From 5efdf98238baefd07cf0e5a1d2f7daa726f19fef Mon Sep 17 00:00:00 2001 From: Pieter Van Trappen <pieter.van.trappen@cern.ch> Date: Mon, 18 Dec 2017 15:45:46 +0100 Subject: [PATCH] Zynq PS core and .xdc constraints modified for fasec V2; wb_i2c_master_2 added for mdio pullups - don't use in software --- .../constrs_1/new/fasec_constraints_synth.xdc | 5 + .../bd/system_design/hdl/system_design.hwdef | Bin 73082 -> 74839 bytes .../bd/system_design/hdl/system_design.vhd | 1803 +- .../hdl/system_design_wrapper.vhd | 38 +- .../hw_handoff/system_design.hwh | 508 +- .../hw_handoff/system_design_bd.tcl | 191 +- .../system_design_auto_pc_0.dcp | Bin 186831 -> 186841 bytes .../system_design_auto_pc_0.xml | 12 +- .../system_design_auto_pc_0_sim_netlist.v | 2 +- .../system_design_auto_pc_0_sim_netlist.vhdl | 2 +- .../system_design_auto_pc_0_stub.v | 2 +- .../system_design_auto_pc_0_stub.vhdl | 2 +- .../system_design_auto_pc_1.dcp | Bin 267137 -> 267301 bytes .../system_design_auto_pc_1.xml | 12 +- .../system_design_auto_pc_1_sim_netlist.v | 2 +- .../system_design_auto_pc_1_sim_netlist.vhdl | 2 +- .../system_design_auto_pc_1_stub.v | 2 +- .../system_design_auto_pc_1_stub.vhdl | 2 +- .../sim/system_design_auto_pc_2.v | 25 +- .../synth/system_design_auto_pc_2.v | 27 +- .../system_design_auto_pc_2.dcp | Bin 267429 -> 271819 bytes .../system_design_auto_pc_2.xci | 78 +- .../system_design_auto_pc_2.xml | 138 +- .../system_design_auto_pc_2_sim_netlist.v | 4165 +- .../system_design_auto_pc_2_sim_netlist.vhdl | 4170 +- .../system_design_auto_pc_2_stub.v | 17 +- .../system_design_auto_pc_2_stub.vhdl | 15 +- .../sim/system_design_auto_pc_3.v | 408 + .../synth/system_design_auto_pc_3.v | 410 + .../system_design_auto_pc_3.dcp | Bin 0 -> 42666 bytes .../system_design_auto_pc_3.xci | 191 + .../system_design_auto_pc_3.xml | 4475 ++ .../system_design_auto_pc_3_ooc.xdc | 57 + .../system_design_auto_pc_3_sim_netlist.v | 765 + .../system_design_auto_pc_3_sim_netlist.vhdl | 580 + .../system_design_auto_pc_3_stub.v | 98 + .../system_design_auto_pc_3_stub.vhdl | 108 + .../system_design_axi_interconnect_1_0.xci | 2 +- .../system_design_axi_interconnect_1_0.xml | 2 +- .../system_design_axi_wb_i2c_master_1_0.vhd | 195 + .../syn/ip_constraints_timing.xdc | 1 + .../system_design_axi_wb_i2c_master_1_0.vhd | 199 + .../system_design_axi_wb_i2c_master_1_0.dcp | Bin 0 -> 79211 bytes .../system_design_axi_wb_i2c_master_1_0.xci | 101 + .../system_design_axi_wb_i2c_master_1_0.xml | 1270 + ...design_axi_wb_i2c_master_1_0_sim_netlist.v | 4084 ++ ...ign_axi_wb_i2c_master_1_0_sim_netlist.vhdl | 4862 +++ ...system_design_axi_wb_i2c_master_1_0_stub.v | 47 + ...tem_design_axi_wb_i2c_master_1_0_stub.vhdl | 57 + .../system_design_fasec_hwtest_0_0.dcp | Bin 1295864 -> 1295233 bytes .../system_design_fasec_hwtest_0_0.xml | 2 +- ...stem_design_fasec_hwtest_0_0_sim_netlist.v | 924 +- ...m_design_fasec_hwtest_0_0_sim_netlist.vhdl | 920 +- .../system_design_fasec_hwtest_0_0_stub.v | 2 +- .../system_design_fasec_hwtest_0_0_stub.vhdl | 2 +- ...ocessing_system7_v5_5_processing_system7.v | 4 +- ...system_design_processing_system7_0_0.hwdef | Bin 345609 -> 345682 bytes .../ps7_init.c | 1020 +- .../ps7_init.html | 856 +- .../ps7_init.tcl | 228 +- .../ps7_init_gpl.c | 1020 +- .../ps7_parameters.xml | 86 +- .../system_design_processing_system7_0_0.dcp | Bin 228034 -> 228269 bytes .../system_design_processing_system7_0_0.xci | 90 +- .../system_design_processing_system7_0_0.xdc | 96 +- .../system_design_processing_system7_0_0.xml | 171 +- ...esign_processing_system7_0_0_sim_netlist.v | 6 +- ...gn_processing_system7_0_0_sim_netlist.vhdl | 6 +- ...ystem_design_processing_system7_0_0_stub.v | 2 +- ...em_design_processing_system7_0_0_stub.vhdl | 2 +- .../sim/system_design_xbar_0.v | 4 +- .../synth/system_design_xbar_0.v | 8 +- .../system_design_xbar_0.dcp | Bin 201351 -> 202799 bytes .../system_design_xbar_0.xci | 18 +- .../system_design_xbar_0.xml | 38 +- .../system_design_xbar_0_sim_netlist.v | 3445 +- .../system_design_xbar_0_sim_netlist.vhdl | 3467 +- .../system_design_xbar_0_stub.v | 2 +- .../system_design_xbar_0_stub.vhdl | 2 +- .../sim/system_design_xbar_1.v | 416 + .../synth/system_design_xbar_1.v | 419 + .../system_design_xbar_1.dcp | Bin 0 -> 329298 bytes .../system_design_xbar_1.xci | 1430 + .../system_design_xbar_1.xml | 35443 ++++++++++++++++ .../system_design_xbar_1_ooc.xdc | 57 + .../system_design_xbar_1_sim_netlist.v | 14919 +++++++ .../system_design_xbar_1_sim_netlist.vhdl | 17748 ++++++++ .../system_design_xbar_1_stub.v | 97 + .../system_design_xbar_1_stub.vhdl | 107 + .../sources_1/new/top_mod.vhd | 4 +- .../bd/system_design/system_design.bd | 730 +- .../bd/system_design/system_design.bxml | 30 +- .../bd/system_design/ui/bd_7f01d80e.ui | 90 +- FASEC_prototype.xpr | 208 +- firmware/system_design_wrapper.bit | Bin 5980033 -> 5980033 bytes petalinux_hw_export/readme.txt | 2 + petalinux_hw_export/system_design_wrapper.hdf | Bin 1028650 -> 1123438 bytes 97 files changed, 102489 insertions(+), 10764 deletions(-) create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd create mode 100755 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_ooc.xdc create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.vhdl create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.v create mode 100644 FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.vhdl create mode 100644 petalinux_hw_export/readme.txt diff --git a/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc b/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc index 1f7079d1..b94f623d 100644 --- a/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc +++ b/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc @@ -352,3 +352,8 @@ set_property PACKAGE_PIN AD20 [get_ports i2c_master_fmcx_scl_io] set_property PACKAGE_PIN AD21 [get_ports i2c_master_fmcx_sda_io] set_property IOSTANDARD LVCMOS25 [get_ports i2c_master_fmcx_scl_io] set_property IOSTANDARD LVCMOS25 [get_ports i2c_master_fmcx_sda_io] + +set_property IOSTANDARD LVCMOS18 [get_ports i2c_master_mdio_scl_io] +set_property PACKAGE_PIN B16 [get_ports i2c_master_mdio_scl_io] +set_property PACKAGE_PIN B15 [get_ports i2c_master_mdio_sda_io] +set_property IOSTANDARD LVCMOS18 [get_ports i2c_master_mdio_sda_io] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef index 94bc0553b1ae4b67fe880310e7688d561795dfff..b12ce8727eada4c62e1302325f99267098911d23 100644 GIT binary patch literal 74839 zcmV*7KytrOO9KQH000080Pk9oOHw#7dL01(0IC5100{s90BCn)Wo9mTZES6kPj7-S z7{<@zQ#9{gL{0Pp7^E*krj)ek;;GrRDvRO<WD`HVWe|7Rj(z_8-Y2O&y`E3xOBH2y z$sHJ&7EE2kPKwLB+E!%!Fn}zx{8BhD0z#uXiqrvcjVC*0Y$4akMa1IY{LmVV%^~!g z#F9}Y@#|SRkQAyX>byFtGAmnGY($F7C=M8zF^^M?C5gv1{<@vqtdX_fAI6h$ZwSQW ze(1oh&Oe)o|Lo1tf!5Zea2@&j{nG&=5=71FbsNG6c~jqXLs~V+PKu=UhZe#Y8G12g zK>%H(tjkKB<xWpM<mU1F*xV4&JeChwvvo#gx=Hk5ih;LUq;f|8z?+P}_!lhSc4oCb zwUw1X%2BWt_fcrY?sp&I8pa(^O9KQH00saE0Pk9oOTT=5htA>v0Es3D01*HH0CRbB zbY*Q{WMy+{XKpTNcWCUr+j1gF(m#0rJw=-SW^3JMQ##h6yIT6j5Fm*y4jK}by5=Sg z0g|vrzyK<#=GFFMA8B7<e;%id%nTqRGNG%wX|tJb0UjRi;qKw?;o<TB{XhTj=|5ke zM#0y?Y(AWf&-RY?5BGw>xHst!#}8+FH=T<&zw8C`MR(lqjwa*5+1_}v7yR>o|L3$? z`4oN$JJm)|t=xXDw!>PhN$|&eL8sQJhMiL5`fRT;83&a?FF5)oI6C@xeDd-5LvVa} z^kFafT>D(Av=ksdd=J?F{h$8{f>S<lt$EQ3O6~I1+1`J@jsLS3RH~nA<?7kqhyNa) zV4BTR1AolF%@>2Gs6UtwAI5vZb*cQRbcxUJ?;qZOc)u5f*VSs}vR$g+vp2_k2OLB7 z_fEClEY+`D?N0c=zzMAM-$A9;KHICc<mi=3JL+}k5k?Mcm$mS0?|IxG+|w|tVg496 zLwF#Grmk4rNtq4igN5_lCjEuu)Mg%Rei>L!tX{q7ob5q2xzNzE-gWnacJ1;CpF@}| zR+o=)^j06F+waf3hB?tk=|B06ag-PY8sb4uaw7j>@=?SvkW7zZ-l^$a)PAp4qFO6@ z8p7f@4aL`34S*$zzRp}UI0fpWWD0aTkLI)a;?7F6QZoi@Z}f*J<a9E#Kq@8Y^ECQA zj=tKcQ5wX8EefRj{Cen!MSwVBIdaEBAdXm$-LVjeBbHy>u@HzOmS5em5Qrm|Bah{0 zFcx^!ZvC*BMlZ8y-iK*lW6;wui`S?>oOka=7W=l8YJqO{8jU9NwHRv|u=OS>JInPj zSv(djOwA44xbHrXEVl3z;M1fZb???QBTJaYB-23i-pHQo!{c7`)P=7&i|(I#uMvQw z;aYHo(gZ%q2fm)W%dz*n(R%Dk5;BtqcplmTzJBrw`}8h)m}c;ig7R&z`ieyvcSq6n zWVV<`^5@*chF@N8HJjCP2l0jQ)S2fUe;U-9h&GxO8NAGT(a|*eV>n(6UOz;KhzH=* zpY7c}FBX$=g#9=gV<y6TUp~%#cRuJvk1vY>Ak-*)W{Ck#58`>qIO2eCX5s^^C3hf| zhcg=Vquyi`O-HnrOFW#IP02Y$31}Z)CC8JTN(`&`<U}T4RT^kC91o(wSWuX-$Sdhe zz!ghdV(3EX<ICqr90S?_Wo%pzvMAye;;H2GqESAM>ZRy9f<L)dJX2b%0~bf9K5D^K zHuF$Pz{OKZqZUWyQJ%F_z=cw&MlG01-?e1(DSR!}s6JFm|B6ZebvtZ!8pma}i6uSU z*q)jcF#%ZU5*|m1v2&AHu75ft3a{-Q2F4m4u3!T7p$nt0=}8~KZHPV^IwyTcNolYb z(G*~z6sE?`O`<LjE)?o;1rw+bT^N0CB6<|bNfa)k$)P>ygL5M?Y~<3=Jj=%om>9U- zc7B^OF%quO^-E5vF#4LF^by>K=%b-?(#M5Cu7myhCE*ICFFAB!^tr8HJc+{BFFCXa zeJT|&nfHzk4=K5Azx^d(id(nDupTrioAT0K^d9?@2d5}E2~-?a$#MP2N~J0K!-r_{ zjKmBwMr)(MWKsbsRRvodjoP4o1oFK=4D&8?^g%X-|HMoUK@?664eCLS(k!B8I6ksh zs~DhITKFXLlXC1z3IP;N3XPecloOYDjjsy_DViKUYJP&=x)a1fiYADUnuj3TijLns zMPGZb6MKnELHUriELF{hK2#G@v?UVZ7Afz+>q6|aY6y=kZok{3lafDHxRPl?A`PZU z#%ROlr3l4Mt^{$AqUqtI<|U^$KY~Io4`z%jB!P-1NsgPJsAC_ZI8gCK@o_zfifhRh zkAvA0j7)!N)R{`%#Q;Up6OWpU8XSHfMzii>5X}dpL2p6n^CiJ_iYx<Uk@Ojc&qe59 zFr7`F$O_sLs6iA-*!}dO%Z{zRPX)MFE2o#Kl87DO)QV{k#S?_XWIuvrQisYg`h)(k z2VumCDmE*J(`GYpkyM&7_=x0kg2(o*OLjU0yjg+?g9pI~v3V?t6Zk~T_MT!k&sdaT zeW*>wg7Uar1d%kT2Z1Vj(+V`6`ol?%;uHm*b_c}tv?^zn!7yQ8U*EAD+`Bl1Pu{yY zMS&Y9e|nHA`ld>A`BANjdhm-<xhjh|&Tm5XS%5#d{9z~P4d!#y#z(yF95p5piiuI1 zUh0%?Yn2X))lo3df6cz=e7QzpO08Mz)JmOJJC?ocQX2*AKr4PtRrA-?cCA&3u0zzv zm+ChFJUV`NsEJk@s8MaS+Fv3<qCm>6#&xS%ZFa)-fEcf{;#Wjf#K=;k|FYue<uIx? zOXu}!Mg4p+dmgNSU<ewe@RKWGSZ{R{k`N1m!o64n(`+i=gq;>L{-AaZQ=$^phBr#r zYVdaJHY&I3H;ra=UTS_?f#v+B9d@F6wRzdOQsArjRr<XamD=aEPP;_qO;K3Bsy5<< z>{J>nu$4<8PV_s~X8DUkc677`;I>`sRM&u^dI|LFwN_NngpY!H>#|lZ)z9__cM~@7 z>PyH!Ag1wq5^52|jfy1PCs3Dy!cB=aFa}hA=myt}DZ&z_QK4#eH7*lbR1&`us$C7M zo$Ms##fg=$tqR0AIZe7cu@a_j;y%AVu@dgKnw=!OL9r6*yp*0GZceO(AQei(u!|Ba zp&;xjM&Me+YKVVjApWxs!mz-7cIjaarZ6i`1gFW<DXaU7HRtp|-$S^|ajJi`W?PXr z3FK}P|Gj)mu~6Lx1*EaS$x+!gi`6`Uihph=v|a(rD;5?5B(yR$fW}RePG5&n2n%~| zoWHtnv2qR=0>{7020-kvT7CcyTex%r?COQWpF<#2{8d9C>+HVU8$|4)hLi>I2N#4+ zseM`PXpV)26nsuvg7_AQn!RZOJ|~qxLTSrZFwJxaMA6LuD2`@zC&h_obuC3f6;HFe z*Yz3L@JFFE^BXd1_BQKGvtU;~6jbpvtGjtbGyW))W`18s&EAq`eq~2N6;HE@6o_X0 zQ7Fy)_K=#rCC&T-k%B6o=3jMv#vg^!%<nR(*;~@guQMsA;%Pog7%}>*P^!h<Dn)=L z+2VSY0?R>n=7fQ=OfNIJ)bcM*wJ8?1*s{V(!V%?Tvb$c20u$k#-=j(`q@Yya^#!zc z>ucp8?cbw%3qg78H;Dnn-LEyk38zUxu8Ar-znj1ouWP{Czb8B_g-zR}m$2!gbW=|R zBQcZsWw4c0HjuX{ott<h?}V)c?--}OcwIB?uv|B+3gzk*wj5&JeH}(GcafGKLqUML zM<%5^{)F8ulgjNY=H+^XykIP8so_O#P?2X^OmHPic=@I=v`V~XV<e=(G{%}h6)L-C z9xPS3nih<)njb7*xOR-yv|tR4mO@1aH94z9hLV;W6d9Ed)-v$RmV24Vs4;Sgj2c5@ zvvD3PM23%%Ph`{>>-d3rEE5?uhDOVJk%{xqDzS_l8zrG(I&bPK^UVJWE^g{|o<=?( zGt__T(nZ9pxYf#seMAQF)kp0|wQIi7Qa1Ves@g8WM%H9%7AfVu065C^QiygY`fu<l z2NYu=typh<KHGa8;?~^jesA*haX9@bzWhk|)j>+7RlaFZ%2|E_vgBt$*t%)c?aar; zV*2sm;N|6IKOXX+_b_~OHyj`IC%yT>aEgEZvv($t{Py+i>xby*xBl7m;qdq<5+CnR z`>F*C5FJQN3i~OgpsHbbUT>8@sZa4!{qvwyM!p|Bm<_u9H!ri{Vn7TP2LWe$VMO`a zIufSR(zgc>ICm;FslUwI!(Y)|<|bs#W@dwjAzdw23}IJG+f{Uo!Eo9H5}%92f1`06 zMwLdX93KwQ&*-1i>vjt()cGP$6slnvYf8ytmGdR=0N_D+GG5FkqX4tylhJIVc{st1 zsC99H45k|K5JC4^*s%6*@I{<boUq0^9Etq@^xQeO6^O?4wYZe<E<0CPhLdMz*(4R> z!&j6bADSk7QLEM~sl}p^PuUk@3EE*|k(Xhv7*?wNJPr<ma50$%J+W5zlbQT)=-5Lr zdmfMJ-{`l5u1k~Yl>V692PoQLYutP6j>m)1{{QuVUQ53P!C~-*kH@|wfO+upI2Z@L z=h<v9UIaf4?=jZ5pV{}5=>P>A=<_rWy0ZcBK21l1#b7_Uz$`)>pF@H%!OJd{hy;yE ze-KOuW9kG&zkR}-<_C*ecRasGyC^oq{pflAIOqqA3O-tYKM<3H_Pl))F0jx~0TmE4 zi0Nd3c@4Xx(YFBTo`z#OwGRf}+34FoT1Cx!v*C0x!T7^5qxyN;$1j5aJP)1+Yy^N# zUI4O~1oy-7aQ+yupU^Dv(QNPp48arrHTxFa4Zz?58d^QzCUWrD9W5m1h~&y}hQbx4 zF994?Pl_E#l@sV-MQK8y<Zif-)RG>Di|*oi4obKh2i^I6fQ#`&-4S11DGh?I;arTg zA9Nn^2ODo5^hV$+4gW2`{Fnqhc4z$;T6-oW-ElCO&A^CB5Arf6=s{4vz6pBxraPKX zg5Kj~G9M6THW(H}43AGp+EQXAX*+^glCTpgvqkzx42>P>{eedOp^^AIun7Vk%Ql}x z)_^fhPTA9C2GA0k?H|gptg>h(<k)U&uq>6RT`g52W~AbCU%MIb<2<w=2yujntxL_t zV8BgNV3<p+w}Jw-!;C}A%(h_<nLJX~4lSiQrCps_-PoUyUnQ+EH7D~n$l>IE0X@SI z0U2WUUkhePpa@cDdaxk-K{!~5Z(<GTLLYnG=lKA-sR2MY?go6YeHa%S9WdP<v$wEU zP|1fGQu_DLFoOHRwTFpi7S_S&Nc$?O7z{CCn0V!R$cdhTByb`;ZZx<{vd;;i&l!6- zsbs=oMI?*39oi2{_q2nEeFggr?OfepuDJXUSd|gR;f4)7!7c}5#dii_?>RoQf#5p( zhc>z9WcJh@#ad2ZF)PE_I}T8p@qh`=3($UpYe4hJ;m?@B|7zNA$u+VYg=zn%!MDc= z3O}+&BD-8BhfKgjNxN`cq;lw+oKkQp>QHVt9dKaaWH8U0to}UsiIkE4^Jm)0$CL4! z;kXB1gcOC`IUJBeIJt-M)5Y)=HlQRvJIB_$2&7*XKx=5MpS8W5wqsZn?g{nzE-2>% z%L0VM{s`J~OP=2|RVM|1aL&XE!z@&z`x<a`b*Sv(F_=G2o=1JmcM%L;djrf0T{vSW zLi-x{$&fL>q0+9BMH`H%T~?oKobGsl{NVn1+#^>LO3Pr#Kt7?P)KGY^!TkVU4s1v~ z5E&lOV)Dug(CQPr-N_+J`8#N}CD#ho>hibVXxNL+D^Zn6n74W}eITiY(jNVTX#n}x z+*QP;4PoQBpcMCrX|(Vo`02dzGgsFa?3D;#D2#$~;aAjLYW9^hdotb+Y80kGh$(pB zIAkOx;wXIaJc67*jNwS|?XxT*FYfw_@b0_<4F)bg9Qp8=`agnilV|3S12v;y-hCPb z=M^^l9^+Ji$ZU*x$%(#9X0vb1>fFhBqk%7`fF8Lby5fGn*esRm3U%kIe(*dd)=kC; z)RYDj`^e(J+>o}i&&ha*uysGUp=gbJQ1~4Y@$xvtk4gOnuncj@s(~S}3A7N;<H73` z(HO-mgU9aI;bdlKSkfz+Ffz0#1=x<aJKI6VPX8zE4H@DAORg1aJ8G?RRGa;$8rOKQ znzYF$U==sB=P8LkQffS@5&VRKe}=9@^VxUYGKnoWHZd$WF(*k6+xucJWPKh6P*8Fi zAoI+8a0IX_6nDgWiR=xx0D}O~On)#qIlJTp(?{_XM7zp9Cy<=s<o9BGW}$+m{PLt} zI<7mibnSLqXCjMg%eF%0zcTt(r2JO~qwcq$Gkn7K5=)+q@QaD86n-tf<A`DunsAe3 zr@t1mjj(Vr_{ja$`{4kdENxC~qs_X>NV(n*AK-HKnbM`*%tkR=#gV1Qv{RO(vRJaM zP-UskW<@FshJ!JWWmu?*ma0$xLQ1*#2}yeTC&gKD%k6k4m`TC3WLu$vS!@5R^9bJ< z$&J2u^b-rB8cT%sU%MlmrNC4orr^d9PKL^R4waL$kaG}z4*3`Hw*v}+=KSmci9O-i zP{7}tJkuEb-}9h9c|kq^cW7A@V3-yKI)q-Jp;&<pf$*T)dqm9i-@$AWqfovRD3Fd7 zJLQl;hD=8WYJWiP0a=@;=O>AZZ2|OqMI-S82cJjCVg~Fin39+H;E=X37U9XfB@SEW zNSOo!#Ed=WoPCGe(0falZ+C>DpL`!#vMsCa+BL5@;;=izfVMOLrT|Tk{k5R=4AEqv zy|uc-&7*_?`#r(JM#Q#2eEBq>1m1A|q@R3H3@*+hmj*jsb~ZpN*Jn=U0t4cBc{ZH% znL;7_$B_cku-w}e$9l{Tuv`YRIDo}j2|rYrc6)yyJwYc3Fq8urA{IH}KzD%iWB!}* z;3W{h!^YeV2qh87ESEg=WP2}H;80mX*aFTqkVD2N5IZ$b-^2unWDr>-o@V&|EWxqm z2DaPx6Z^g`+wxfgVIWd9C#MIpawvJQaVkGf6%K_t3x`C(K@oLB24PEQihl6IA;ZZ! zKaox?BJBc+qv|VG?BQ+X$;Os>^-sWuqTDm@dcn;S5~~NSC<EDEq?!y>LwskVR1%FQ zPhwQ^mVM2_ZS7lLsDV(ODrRJAJ6R;eyd%o>K9C)TG3JS3;3&8E+hK5q>=hYmLQ4M* zFXMA5DqZ<1D`9vgQaUoHByR8%@_Nm3{iafl0FDuV=1!R<+PFL<i4U6`&Y;8rTQ?YW zd9@YoO?QLE%K!&zEXkdrMShArA72g3=}7pSw{#B2`4;@t4kh{V?|%LVb1_+-d=ivz z+LVZlt~+f?s&`7Yy83%MVOy|vW}a|9DMv|M)yQKLLqc6Hl~&DVW-lc^67!*bmS195 zXxR>}T;43!0&?sIy<#equGld+taL_U=kEU14&5!8mffUsl-$&C_)~}#qk+;kOqniu zz8y<8m$BtmhzWkJQm?{m)y*QzMOD`SQB@s<l&BtrkT1H!Q6M#p67lpQ3eF}yI^ORq z14%ZE3RhSSVn30tXU6M}%!FtSLLTfbN{NMTXV9o1virQ4JmIXYhmpUL4@;$T?C3z# z=9uQRQ6#P9rpZ9Eto~F*1!OctSq@E*{lm*@76D&|rF{JdnQLxzs8&jFk2n_}TPP-i zQwQK<$|S)Wsq!4QjhKkR*{LWkrAT~?Lq$1ZF<*AV!9-^LTrK9AoFw7|N`~w&O%4Bp z{a#Hm!BDoTiHhD49T3mea4G|V&uFW{zeE|M+<ozh+$z8}wVj+D=@lUO5v#{M1S4@W zN+}6mg))k{#^hhB&6bkgc2?IETKs)&w$b!v>y-+%+2Lhv+{=+mB;89n6g<1#XW=uM zlGN9vz=h?mEe{8Fa;E39ESXlQoWX)2zl$@BTn++D5mO?W9fpvLfNV1TJ<zJv1Kez& z-Sv)_?u<l18)`^~I10o`Aiq9vKR|bO{HbkLFs2lvz=j@*3>hC6HnWe78!olEsF%!i zqH?zb^q`mrIl{Wjkttm*&LC-)B0HvU8`$;dkH|(*dR!GJDf=&X3o#zj(%PoY_9Dd< z#-%y`o>#@v{+s+7^e<R-4enUrOXU!a7IUV-mWJG1AYcgkX*TJ=f2W?hdRj8AX!Q(7 zUm-8K)H57W#LlEHp+Ec<iPXzE`xP89oG{6=p9a5`)96m2p3rG(Yy+2Q{){u8Zm?|J zA51SbGH|7a{UdWK+E*J@$aj|Nyo;r^TaT7ZD_S8dgI->R#1Iq>uG2_aggzS}kVVNk zi+qtfoM5YhKH|V*h&Y#5r6&~o2U9?u9cc~JzgwY#1?00VPZD>VqP{6wGObV@q}V8z z%bJROl8#qGk>VY)t2?Bs=2MlMk~7&I(dG#!5xy#1R^iCfMJ*~-ht`r`nZjL(E4=Z5 zG4o|9uZ6p?be4<%q>K})*4eqRmL?N}sZ818vCGd}lWsHaa-|B%6Xg9sb^<xA<T~kw zBJ<@cOA!~DV39-+K*2Aot)Uoz){b5H0ZT>hM`mg86?nFVszhUT!p=k2IxCP#Nwq<+ zWLm+-D5ry-G6<T-nNZc(5|I^|r+jsU!1S?=yFQ?hJTdf-Wd$?_rA&bK&7Z9QyrF`n zPj3#<f3<|(MFd(zV9B(C4M5I-AY}k_hc}_7u?5i2pTz-A^Y>2j2>LG;CSZrx8I#g{ z{i`2e_0euJ(_m+po=db<GHm{~Tq{)hQD99M61=l>%%$b!(ed52)Fm}`!YPczfmR&y z4+IGE*jyHKv!Qsk<O@!a<6>*dk@Kr@lrCpo9^yzrm>FSUDE^4Q(=NDG;Tr?;Vn!EQ zf2y`Feva?Eu?iZQ`d)D+n{UeUG#4if{44>jK5!5}rE>t>p%>1)IK={cxKpHhX0S_v z`~nFT|NP7{{^C?(7#GgUB6@a+&PoNI=w7clV@R-?ic=BT;R0^VYLz?{K|}H&AaUhS z%QhxH*KC-oO`zT-EQS<O%gYc24!p_FP}H(onhmqVVSD?{l>gwZ*sP4!%7UKBc+i=Y zsSA=FDc@B$9a{z#YJkM8y<!XyD@IRQA6F}*ms+!maj1y5x~j{OOkLMqC|Rv|j5wY{ zVAbDRqJKblCSy|^pS)?s;hRYm6c8wU5BC>;Y`y(6&fSsvFV1lg=Lm^C*i~;uTEKH( z735UMeu~OYv}F<A>WvO+t14KUxYCup!buw{`<f2$7+c^4$QA%fuk#<EA6Xs-?>5T@ zI<K0%bxQ^ox>@SCG{eQbfK5|f)D%4+_3Btz(-{k3e07oE*kW?X_n6XjiWWN5o=I!q zlFAIg@e{6X0jFKI7w1e8{5LKI^gi!0=BC}Q8x|w7+z+BVdsZ?V`*Y%&;h!nAws*XC zLaU$A+Hx&xYh8r4fSYk5ubHvS>AEyi7ofPQwrHfRovdDqJ2^$%mou;=J-t8qzT7<u z&l4{+@<=B=OLM1fL+a7)vRs+7J&M^L3ASgJljf72jcG}1D!oN#Tk_h6xFsid*Emy! zEDR-q&`j+Ql#f!UH+E>Q?r%Ijql5-$UARPoX31(is-_{+hEf`BELF8nsSS2D1XD;{ z^pfN52Q5r*_A;E~Qr7dhKR}Ob;73{tjnYK71rbxL(o^i@Xm6*d@$XO(^n{|iHkIGC zsD;~4?UqPTiM9`zC@U#oNv-=K^`ML=%sm1Y0T8pal2PE24cvZ`6Tn@CBzj2<Z|o}j zR%(5yfn28U7=8=(vyGx9J63b&8_CBcV$4or1B%(;o?fPB7-HJ=8$PP?LOSz20^sD! zaGiSjidNioQIOmfO3~3$qL(>K*rAs>*hH<f*)5DL&&1uom}TllWL)vfu6&fJ@B@u* zNeI}5^*PlB6W8S&@ZZU0O}0&Ea*633y(ihFi%V#TH0aYPC_oruIBIG^|NoZ#|1W$d z3?a@zO6YRPkuyMQV9FAg8Br|2X|(iyOxtf!DZ(ExF=)xP?Dl(Xgk0h@7PtRKjqp5U zv~3Lbw)Wt1RtVD5+wH;ZQHI?fyxW6++wH;ZhUZ^o4>ow{CWm^r2PeA2SaL192b&&B zLil2S>!N@?SjHInHsB6j_pZdX#RW#draFt=?u#rp@qB~fFI{(6K`V=3wFn7!(}yhJ zji2~H#gw=-$ZpD`t6wY*ERLk8miPFQl_B^b?%qH>LntiLz+N8dZ6QPGCu4IItF!ih z1jl+cXu#q(TA(V6s%4WL#7R_#ZMs~KW2HlctX<LQ4}mG6pzsY*BmJjT8`hIWMP7z$ zp)p$k$yzw@sG7JD&lYhzdtHXThJ*?cYKx9~8rDXgLPErV)P;eacCpiK@dKJa{U~nF zl9(9p${_j>vIiW{`HaQA)5)CQWF=zQg7LNH>=LJP28JzR))6ahk>S24_k3p?hvD>) z412wb#kj<rrwMK%D)EqV0~Vl_&jb&eQFRiFdpYt2;&w#rB1J$M9n?O{L1hCEBrQ;x zgu54bXADEo)kG?dQ7!xRW&Np){@~OqgzA4#(?hi;yctvcdmQ!iAu-P}n=$_dlV~Z% z$*C~<6m1$=MCz!UNY#)qDS|3WDe)iyC8$^;;EiP>fZtihvtOv$T0FYs0tzTRFb1dD z%-+rsNjM^wT+2El+fD{3!<9Q5ut{gcaE!cjMt05!H8b8hBRglL^xMuE5r?0`QT~fM zBbM>~&KXHKBbHptIwRZ8ii9Qqd`LKXo}p`re7&zNj^);$AuM}q_VwudF9KN1p0{5E zz&RZWPG?rkl%`yN?my?tCwpPY7#QImUUB3l-$((-PK0>O3~j?F>_tZPly!U$L^kBr z&x;XUR|pFEVLEwb2dE-*f{X-Sg&aNi`Ee{NrjoKG+tBj2=I*|+CcgK$2E%d!D@J8` zf>k5SSdbm5Y1eugeJ{g4UY!%?#04DdTAG2K@%&MZXTB5Nl+YJpK)?SJ+`o<iMf@I| z9Mk1EWHtG<xt&ebTP5slYBl=NU!>o*L(1E&XIz|g?#blds4AI`bXvsQ&IK6O<DF+p zUMBsMc*=ouII~>rcd35$rX@>OqK==R?vP)CEhUeSHCj;qCtaSVoIhJ+(J?yiFW$ug z*Z2*4pGLh_ldKgKO~us^a^Rhphoq*ZpW3dLDp9H2skNGW!RJ!_2B6t!&$RXw=%#uK zS>nuw$j8QOg&Qdx2rr{@r=F2+8)KB}D{w>4Wz%U|ncBwVHQn)IHW?u_;^nkiE~arT zjhT<Xf0r*`;k;qF?JDPK^-ATdYG(aR5^AzesWnV2-G1<y-VQ_;2T}aYnj+}c$+G5J z9QQv(-Pd6>e~7xX9+Lr*hWNu5{^1;fGOig&f3guFET?B)*j+bj61%fkKbpNVoTM$S zb4u;5kl>rJntjvCQ-GNf5~e4Q{Gwon8#7IO*=O63UV|fMV#hA0lE|r8axJ^tx=3%n zD!uTy(TG@OO~zoSH$$Vb1~|K(Q{diuVsKK@bNQ1C;`5|ajt&nG4KLc;?XK-GvrHyE zG%Z#8c^n+zlIu8ol+MD9IZA;W)<sA5dstfEblUUHk#ha$-W8IcbX@!c>$H&Hyh zLE|wAAOLL4RAeM;>V874S$F*HXZF2Ti`S($&qP5Je-upK4NpGoHpO$BGzI+j33Hks z$oPR@?7AO4&*^OiMg<C?@WcRK&|w#~f@I44nM$C5bc*-d$BQ9*@(73Oc$Aktw1ldu z(YNF^Cedw)S2ppNm)HnYmGuIgNXp}8+;INLi=Swg_-KX(^T?V%@jml+129;7dtoFR z8}Ya7(vJ|aXXd;phPU!ooer!f6-3hE068`faG`M53NN*h4$~O)4jrZPssGb+7vcP3 z0EP;FcHlsZ@;uf&hMz)^RD^i$?^(Mbh8L^`^pq6%ag@A|CSIX{zs5%rcN#@A8dkjq z)@t|k9=o$XtGHugh0AZeK?ZN_#p~y&YLX5v*!x7i#|hPR0yA$V#iCIf9-okWrUX&a zM(s67J&2UqBK;#)8)rv)f1nXBn(b2XOKcLvi-%=nZP@viKbmi8d_ieRD4g;w+w@kj zw+RnXD+(J!jp@jAv;R`pdF4?en#kc<yHI~iSZDyXqA}DELpPxDY%uEXO`}6YyFF&1 zVd0>X4>JTo_s@7KZa=v8Fv`q^7|m4L3Zv1_eK5u=%JX@5_KiQPtUZa>sh7e|Ox<7z z?V7Rl6nA3w`TXfEOfV>RZnV!Cp}Yi^_5v7a$vLi}s5@m(MT-qeH5jBqUCt%csiGox zCb9^;xE3ttTa4H^_&mroVlmi1v|I8|`EjZCCS8*nqvO&oo}=dd(K!S&OME@z7wi}- zvzZH%7qBFIVQ@Z-(1u>Tf8%SfmR!sF@;R)<r@^<!NsiYp1w3oIxWpzXiy)N%)__0l z2S0{+25ime!B3>Ve5Co$_zBDXqMQIO52+FSA6e7D-^;yVJ?CYa%K0;0@R#lzc^x#I z{=}dtJ^(O(Ka1`7a#E~vfn7xqy$4w5AVLZf1L9GvJS<`TgtX7o^Ald@BD~^puGqa{ z4-%j-e~fGDniDdAoIH>E?Ait_A{jz9i%J`BdZxM+v1SayaX}V5xPKnA_YR=O90of+ zU=X0Qr@)@jJz*%HTqFh+u<ILAbUQt6uWPJp$+bfDxcse$c39DQC9008DVDbba7)n@ z$tAQDEjOfV$u|z9MWL1)S8&dsrHe0Q1V5cue#Z3=dbSn2CbfD+Xhs5x>!j3F?klM= z^%<#=YY*9_-*Ox>Iuq@B@x?PV4oOqE8hj@$tLrJG6Cz|huRvRYiw{R%VCw$}zD=H) z#}3qtf;lzdJ+H9Y_ZX*8>^vT0UUH(iKQjBqOw65}H%^u?h4?NEj|}Eqt!$K2=UF48 zo#Z`$ndum<0hZ+7fQ{K5IEtyGyInFZkxaAXTA_+78e&(+-Wpp|{Ti`JNG)NVn}61N zv~sf`_O@8RS+ZfS{jQ~r!TMseC|fpbhC*{y<}J9P;S?prI-)hdRx=S=srjg>*3H8? zS*(8SktV+vE&TE;g>Ap#omM2Y!j^4?s)cG~T#Q=8J^W^xtgqGyo(Qtg0Zp<~J(Gie zMz+(x5YjE*SlWJDOG|HMrxZ!0uw+}IN`d~oycvHnO2OOx#@k2n>X62eRfqI1iZk|> zoB2+zlX7jzwnF7vZ!=tgXsaH>BJSpuV=`1GhXwwRN@}vmgt;@S+pzGG$PJ0V6&nFN z7>FC|)0^GB$uqfN{NHFBf!D6%2Z3YtQqz*?1sV#m*bvC~Q31(3pnA6$g>tn(f%L=J z5r&M-L{gDCNb&7GoIJ7ogrt?|CJ03(B@fUj5ASZ5M3)EzRn$3;LO&Ls$^0X0k2gl| z@cVl-8{`2HN3{Lz%JMq+POXz_ZOOK*S{n-t>`o$}*38=}K&4~<Eojm67%cp@)@!tG zrl6kvo*(JOYE4gW(3ejG%AF18Px|?VcBlrOkjj?~f_O(5(yab~p2c8qcp%L_ob;LA z3|^-=0l=v-b&#In9OPj*rbjVhGg!U>nHIp}#Dj7g=(5`F{ekQYosWxS1lGZGhVu$E zsN=sG4_@T&pwzg&C1~L97>T1x%^ZZv+(G3z*FX-dsuepjPqD-Vh=dJ$_d^?K>*{XX ziFv2)33az+TRwF!rYtDTmcgadRHj-Jc5tB81{6?ndt&f?(o^}ttAb2*PZzany%PTK z|M2`v{Qrsj09RdV0_1JUX`y7-Dn69{@B<k@6b2oz`U#|6*{x7!770#W3Sd*CGfwSO zSx_Wma5~S_zm-KG!37Lus_d6Ct=!L{4AsK`r>m3~lV>aC_I^90Mo`Qa)1YLNQL?Mf zP^rcQ&6y+)LSf40I^kG0cn62ja1)V!!?6)sKXrN46bwH;SiDfrC-cPRr&<$coLp-* zohAG^4QQbqN`m<+iLOs{Ku4Pub`8A;HwacuZ>~FS)oe}rjl>{oQ6~F$AwNlc)M#Y0 zLl!)h{->qkRa+*8#=XLrqdExq-6C~dz7A^v2V(|3BI!(93)8mr_k9b*l4;pZDaZLX zHJvNOYSBPxo25*ZJVcHqo6DGHbx;_oJw?ftwN|O)*f-I%Kkl|is>1_9lmb%RMja|; zqcj=JX>}{|=$EV%6_5PqdGL&+x}KY7=8E-)lbzt;mfps?ok1fNIp{twCTI_Y2X|1Q zLyjp`vCUK39Mf#l+NC7RQciVrPn3(&81kEy*+<A3@MT~hk*$}1%CHyyEg5r)Y&ky3 z6?`IX988chhO8mIewN2-{0>n#GlX%2dEtc$qqwesSuDBUsBGQB)AmO3-x-_LPup8E ztx#hVUe?f}!rx0u?Sj=YLd5~jD|kZ<6xK4y6<BC%P8$z2bEHI+Ff^hZ6rwMCaxfQ$ zv|I`>zDvn)Y7RJvhuKRbKD0$Vc;$1qKy0!Z1?<$8dHUT>tv`Td$+SY12Qr3SDb53O zZPzT_N=aFEupkme1NP>jc&!=5pQHhIyn02{ZOx~H9xn=mGfH2xhQEpJlQtEK53ng5 zB4s+7BSpKyePt5=JZD}r#v@k&73|&VRF;a}4+kUmW(mekOppyqa|k&MbXC>fi|kPQ zRosAQgCOIKr16-V#Zo(AHh&f#i(m6+epm+SD@YQ(fJ3^17)I=eEFH#fAqKZp|C2HT zn4vtmp=up9Vf@EEB9R9S%CBK03F$x2h<wqEhZXs;q8GjZut^i-s2^k|3xnMaF&1U1 z5$3$`w_JkE%0Nye3#EI)%>>)VIVWM$`n)@^xfq#Tfkee|2kgecJmwP~gj{UiGghsN zu&TO~0+(q1h#Vqi{#D78@-d`GBF00$UGr#|3zZbwIsaaUvdJ&u&n=LHmzO4TRp}bi z9fW!p#B{y{&C-&0I4zl0v}p`SUt!U5nZ|HL*$Rv@nXRykbM`B078ajjY3;O>rGPn{ z(>3XCux#8PObInI@JJN<N2;LqRgwDl&Qf~~Rq}t|B!VT=iq^l%pqE$wFa%{B*D0W^ zai0wk!K1RAh5W1tkJaAc_y!N}i615O_y^E8O5WlPYTCkeZZLh5%BFu&q_$a}fbIeT zJrJ;DTA|uT@ola+AmzO#9jJuL#34afOO){|VdQ})YFN7?+7;pIAqXJPcR){f(77%- z#99`QzpoJ|^4RMPG+LaBBX}I!QbsTSFfp?qK$+R)Y-lqmO|n?uJ~Lm&D(zYFSinOJ z#+rtm<GVVcX34aIO+ik-H)RSmM=qglu^k{iou^!299z-HHeT=`<6Zw)imWjxrN!De znhMiSF4B|~Qv=4UF025Z{&nX}^Polje>#pQ%}n)bvt(MqI-S#(PU*DnFD_AP{mdH2 zV+T0eY5v}!suK44)nCcO);49GF)3x%zxpn<+4N=}33ghhciy`0t=n>~P<<8`*t}Cy z%y;B9&hgc=)IBwJ%S`C~fp(0JRu1a)JaIFPS3=SYbh2oZ9=MmM%BtZ)#`zYG03EN# z-)WcHs=Q3|Vn!EAf2y`FerDNSgaoD;n~t>dPFbFkvxfQPmY^92&f}+)@kTP8<*t#y zS3S2V)R1?KeiCa{9kaR~c0?hLLx$=SVO$?hDQ;FR@I-fNMJ7GLW-6S;c?fm$8Q?Z8 z>vY6l7{J8D8Dlctow(YkrP&gnYbHrnCs1P%R_z0Fh{l&8y;w(&(cyKt0*(o7FS(fv z4m;Vfb3YobN)7dPm58-mWvy02)pB(*9&{#UqRDQs+jgz<-87=MWniJUN8A!D#`drp z^OPF9YO7vB%?iPxA*(bs)=hEls44DG>JFr+!po>0bir2d7;*8{M@{om-^99i1ho0o z@=pr}jw}zbciOz<l*^WZg=#Z0<8-~T7;P45c6OXCPhMTMSw!<Seqe3bXjiJQAV+Mr z>+W-9woO)|Yq$OJkWVDn*`$q{&R7DE2_-_z-zxAZ`N;BEbf<936wZ=?g({qW?=oD> z3sAiHjw3H#(JN+Uoo6h7v8&0iW@%OFTfb>^WO>wKlHkAZX{;^RvSMpYC%fG%EJkFx z|3jDaM8VZ+nT<U>ai8$d6k6MRUOS=H(<HWB%O|u2+>R4@%Zy!4XTet9k1IbTKQKni zS@m#wa4lXqE#l6cL66hZ`;+g?<$I+f8;aYt(H3lvVzx(u?V05?B{oe<ntW7;Wvx*y zDLSFa-8D{IAuU50A#^tT0|lDYc8#4>%c9AEy_ksODI7zix3Riff-FmyOdG09w6WBM z2ugO)u#|dAT>g^d?guS=H+vb*(c0~K+#jHcHSi-xg%)V@fMwW3l&vZ*5y+y~oSvq? zL$S;g%FEhRh}IgT!t$qf>t~*^5<L$wQFaE;rgA@|E{ySnc}j?R|1?wWoC>&P6Y`(r z1aJ)`iCz-J8?N#e-HEo*KrT~{48H~Y*+zl(THHbB&NniVNyM0)#0C`dsSzR}rY+EJ z{jkg7{A*qp%7^FV%UdzjdY8XP!)_3gyFxiTT1qr4XBj-)TL%v6bgc?qlROjm0b`b_ zdy#RWE4xio!sT`3<|Y^qrM%cy=b~m<%>gtfmsNCB&omT7gTQC3DyDk$p5&M=HlZaT ze_OOmRT%$Z4J+vX-yYKc|H5ZAhiFV@i$~OHqX8kG3Ik<tl~P_aBbWu`5Oa8tMWqn4 z*2-jDzN^HcCD*c>@v#wdi3?fWZtVRuD66z5rP}b0{8xWBDl6l=9eKAS(=N8#k#Rf; z3sc07Tv6eI_b$x#T~r=ya}Tz>V{QtF|HgZ;CD*ch@D^W@WhY}Y;>g5M>6p_LKdBA4 zLxs1ofEO7LzcsKjJ-5GQJWM>_U@+XJR^KutX7Q{RFQEy*kcGdhD1nNWMcv|*KykrQ z**hLp(vHeodx=&A^g-O;fvQPTQQ6BQJq%<B1m(2Y?M$E|xK!=8oVpga$}8Gs+=d(v zMpVZ^S3FH!3~*3;hyb=L+W3hJo)pYsQzUFsZCJURio*<dBV)Dzk~MjVxe;>+Q9OGZ zhCOA30urjnKuk46i;RE*MC?D*n1LNzv(s<!1DZemC~n}Am>9)nakCg)9Uq|K8H<9a zlR3Z6NyM-P;|$~M66bSihG8Pc`<!x$BBG^h^wcv${oIe4zLSq*bb9@Ty+p-=UgFi$ z1Q+&{v`8@mN6-Lhg1i1y$HZ<IaO4yzBb*oc14{RxmRSxeJANRe0b+5J0k4c<2)e;X zl{BikzP`#omGR^m?wX4F16FRs#W(&vigEamnCF<ySQBtD<<wLdeTp`XEFyK(P2_P% zwiH1ugHln7syilcEYk!0GOtg5pnw`>W58-x)c7}cPAs{WbxyXOAW+7v5uSg)4vOKR zdFP<ueYl;2!rMpe9F%Q2DDdc(cyl|C<OlIceqd+B5U85Y3U-;2L}y(~u4SE(ZD&d< zrF<?3Bpc_vJjReu?6t)yq9FsHepsr47wy6@O5HcZG2QoHFOcWuZG(8&9ZqQMjs&|i z>t|9=Q=ThXC(zdxQudahKud<pEV5wzCFIqTpid7tvw~FoNcEmVu*ouLA|at4F(U$J zk3QpAmkwyzDXU1YV3U*G=R|8HZ9Y=4WM5nUVBFm|)&TgP-KtqVG|MQ6{&>rWm#4^V z`r0*KM(4}0kN4xm!Eynozy=X8E!@to{-|~}=K-)m{EM)w-~XxaU(Bu|$PZ4A=`tG9 zq5Ot`X2fNO9xpRX=J`i3wOJ2gXK78g{=2HTvD}~jd=Hj)b<cP~>13731By@jX5I1p z9))P4UuFT8_TA2dB^jrG5-&t>4ri8&{Vo+9n3gQ*w2HQ@ILISsP#IXZuA*~m_bW~m z>ETd1B4SP~PBrjI4vtJ>|5aI1(FYubr=otfi=(FT8}<f{dJ%`UHdV)C{MPiGTVesv zkE1vi(Os30akmkbkAzR27s6i_`vRM`^sc#^I(~k-<Hft(-r^bkYB`-m2bE-s(?teI zJ~+R%MIBn{98jwel>|nqHxP91oR$4@BBgzPzyT0-ie{}=ahQi*bC?4dN#l*Wvj=!7 z0bVX*twv>sR(y?8Ow~tL#sgZ6upfNxj;Jf-{0XN@a(o(7K?$z$a$u09Rbt)^(4ZcD z*F`yKHp(=~QfWz~X$fuUt;l4_4t(WxZo47=8hgX>iHX_*YB5g_+SSWi*r~R)7ivxq z#HydyTjfvk<(lND>gT7|r8b(mrbbX7icYWPi1kB`>U5=^Mvrc5mClvAo1bj05szsC zuar8a6nHZGV*szzzjPXHv;_!T^_xzu)r>J8Ct6+_V6WSa_Lm5~oJ-~Ur>GQuX_ggq zVz)QIR-2{sdNpd?)H}7Pd{t^Tt95JO=1n7NG>$_F7`HM=bl*3iB}g)E?vS-+xqefr zM&YIPG{ehCL3P4%v(v6eVW-`28Q>-a%1&6iz803&1(@6Blt?76%o=#qpa$Kb(<F{- z<w*hi2qOay#nLi%b$o`=I*b$VBCkOjwQ{=^p*X{u;c~4>GQEJJ@It|@6J#d-=Qr)J z6D7*Jk{2VFt}X*Nm>bLWW#B$bqpz^nvgk~Xkn2?pTW4@2AZfn>TE}G_$YphyiSb_@ z2JXK)4BTgRbj2~P&RXG0@JnBvb&S3!HEQ)QYF+;OZTug7W6#Pl>W0v^X1s`+=!m4? z=HKRv!Bd3PGqS-^_jMTcpSsauv|2cNk;oKB^9ME5IuP!qM^Ct1HjIRqZVe1CJbHRM zo=d>Ff?Un0UPO@d<EJNg$oWIWqnn6jo@0!O!i)^3dr^P%ZSgdV;5ko5&s6&rgRXHA zdeL<DH2W3}=TWye`XlPjzm0nedL4%`VYM!cd2hU!jiUKt_GCT4)37(2kOWvmNPM(5 z)<928(AaWPOqOEr8jISC82Frv!@_3(ebP~X7Hh*g1}6aL_ln?kGRR21d&b4bXg>UJ zjBky3(9{e9Y>f?KfI?ZU{2Y&%2oYAm>qz8l!xYAcwUFCa2rq*-t&J1KiM3hBW4SgA zwZq!5-}|f$9nraoZng3$s$F-!T*sU6b+ui)zN)rM_0`rpIxmG)+Th}a#6`-thxE@H z$gA4rl>zbs|HP1|2P)3e;;~k{qyD1mNBkWia=B<%|8-MsmcN|s9kChEB!Wt<eYRKI z6LA(3glIHe445U`3&I+r!?QhDHTK{9*$z?bii+)s??fN4_gqkLg#R5TQdFnq7DApf zMO@mPSfU~RCa5*TPN`W&m6&Phy?{tK+lw(A$e5H3bP$iE;Q(&{75~tQ51KxaMgQyZ zA-U}2L15n-EU@Q^6^GT+R-A00X=x%zQX`_*xTm;|+#N+-F-**NNT6|jmdn6OWKtLm zIQ^v}$qiizUf4~2#T9m~S>sFWdKrN7@W9Ay!p7;ATxcA=XHjfd%rhqoS|&U2f?Q_* zn=1=A&{kQ%QRZX;Okurx0c-QCkr%Xm>;-KYXZ!@_Q)r8&TrlXZ@`Pc`&k}C;H5vEO z3jwo5`ZuQ)K1v~Awn=}nDsggT(1{mvIDJ)u18<WfY_>TS0%nri2opc<umetg`N4s= z$PbP%KR+@C;?85^6foN)pU*NU{U-MrjCI~M1<W?-FUmk<*1tRR5C93ZMGi=mIYq$e zIiWF_vkY_v&{kPcloYJ+oMyQ^Cl0+;o)qCZnWVx;F9ggM=@%GtYK6%#`Y6TrbwXgw zNxyOwjGoghkLSdJw<!@D_ng3Uf6s{nZ<8Nvw#5sGo_cz5Hkw)JJS?Gv18XOYHil!= zD&c@h<l@4^Ly>qW$WHRD<AV$^5_I4^$H}420B@QHG{K@I;n>ImegNT+cS!JnL2sG~ z3`tR3P?1%F4wmru7X=Q!X+Cf)MKQuG4oD9oJ?kqD40_XSU`UGM!iiBSdR@E_>dyua zzG*&iEcqG1Bdmlv*hW|epiNU<Y+Rinz&5Bd0BxG;LgFZc)2NZ+o^HzkZ<Y&ug8Aj0 zI|L@he?fJ`ov+$+;0?2YO)x(TGAjQ<-Fqw!9rPt<ARDHa;n)Pd9u}^EQ-<|!Z!rf8 zDm>QL%fq}CaIj!Oav{b|9j}=jJf4j9bZa>9W(A$&$SLTiRkzNTYm!nxwtW4n3nV#7 zR(UXe`W}K_K!V(Y<U#~pk<2QUczqzNjfn7MU6ib|(74O1RAfDZIAwY#y<c_!tJi5c z?Sfh8JlgWw?e}=u*!}Q+5_S9CDQ-N)83IuP&FX9O2FF>ek)Y*|!C?7`+fwFgM;4N$ zw%bhtI|teZEAgIXt&*3Qv0&;&f40h)pqvA3gK~P#^GjaJmElbgVd+w3VYWecy%cux zk{vHN)l(y#H-iO&6IpGBwNCAGt%HKVdi8U)9#PGq@-AylT<L1xTzA+fTv^C?@=9}N z)#O;YI59$Q5sflq!%-V2Y9moUW>etD%l%aS-|6{H$SAldm8<GgjHQ1b;15pNnsTd1 z)LEfi5_iwo&9$>VR6L8S$@ps9UO;uCXM172^qI;<>&?$+d#}UMaQwQDd#fK=GL^2G zegrF@o<1J!r^+Q&J*OCY?ezvK@RS$u1PpEicUt9Ee9w$-kkZAwlq99$s6aHj`nRf8 zjjKd88*0E;tE8@8rPnWw$Z+K&dH>IVq;!ibV(D9hYZ0|QfP4`7;DPWt6U3f1=2u#A zH#ROvjz3?s##f~<Vi$JQDzD=qLC`f&Cjb&bCxCLPd=-;pGpB#GLMIG#&sDqjX@lYx zw;0czkeuU!>9vAL6u%o}npoR*f(UP}sj4}Qnx%HTb?dm4bSsoeO6#UW1=p2YGrr}y zZn6Z-CC>-CQTm-vHhsNw9olO!NfV6yROP&Zt5k<xX*Ei<rdo*PeJP`{*9+KF<_-*R zl&-Z+gx{0r9|!f;WvyJQpY6d4vr7N#t1lt{g!v4jnx0oMBu1vWX9wY~POxMYLu_Im zhWm=khB2gPjl<loB8i_)t|#esB}pQAVKK}~l1v#H6G_leawW}-5%J~Pxd=5ku8|=} zV4TM)YD`hLX^=L#2TN$-1p;eHf&E#|4^tI{aUR@=8Tr8v=GV_-6+cYXD5iPbuHc6u zFKrxR6+dic^>!68CQ0SqYtqV`9_YSm>|-x+VPoH1+)ZZ}fQ|mD{sZo>;!Oc~`>>a} z9oGCi)bdT{c#U&Yn6tbF&!6ciC+}I31gE_Jouf=ofRh#rcO~H{3*l<w5_ZBQW!SiL zlwreez)5#Ud7VqgSnK5WW*y~qvs&ruraFve)_%FOntCfC1Nj4as~Oi$%guMKT{{s= z#yfvv6hkSDY0oX)itumAFoqHe^Dt-xqkBV%xnydz%tP?Vb;(4sH7({rGCo9NCbmBO zE$?`;WG>lqEAw13u1I1omVrRlYt}~b_L`Gpp!PFil1=2CucF4*YtDFy+B|H%M3$J< zf(U(x>}j}(lx$R_k6|ezB12&m5nk!AmZe!=RiB4JJ__(vmz^C&{Lm<oR}EPsE16jB zl-ie7N-eE)ROjmxtGw?EZ4>8TI@LIpw9d!upt)klG2hv7&X9IIa)Y!<c7){-d$-L_ zj7*SYlB@HnT6Ifub-21%&dS%l{th<ZlrLT{eW|vql?d(eN<1_bEa3Nr6S^sLL2L6& z{6tl=J&B{KY?Z#r_=qKp?M7k@cAlD;oN<h_4NQz-X9T6ZE}4j>73^eog~U{H*|203 zt`_ICQEjx^Ul6ftfjK^FmztN=fU;(Io`JPbJlngxK8&d2VZC+RDx)bEH3i|vPGzk@ z2z@G~<M1`^&p~H$^2c#c5c)6u$49I$$l5*{=wV1=h{u@PH%O}DPwKqUzG3}2e4PVu zWI_AxV{>C$8)JiwZQHhO+qQON+qP|Q>||qSb7!;PcW>RQd;e8Q^_;h#^K{S5nW_2p z>9>2om<!{_5&qP}T@-K$syOHn2rlBBzUs~6n-E;kndbLvD=c3NS~XPIAti9r2N2ky z?O|USLnFya1GU@gtG1A?^hpb7Xlz(??Ie!a3bi1D4=S47Q^WBe^Pqn`r8i~v@VO+Y zMkPw8xXzOJSJ|GJVZ`9Ty(-6~JI9<~lRl8OHWtpb&=94Rg;bk!XNA#BrA$4CUny-P zGuP65COKiUFZgZKh;KxGnoZVY0BK`2p;^1Gq&_8{X?kELVz3kj9t>n>$Fg9V7syao z-bm-KNwGjB<bvy;6-i6G;bTOe3<m<nT2tSj&R_l?IaDb_59`bI)x)#1w(s>P{X6Zt zzqVi5Uf}cI%|CdWpo<~DG;8#;7pM@-))ld;+^h>MI6~p1T{%Ya+N}J+JgiwL)fwV` zNg$`;MxOoEsPruL9{E-NETi$e0&{&p3gpA_k_N4B0FrvZhmVd0b70PmXd*`+9B<XQ zYHr@TLZxA3D6pJDf%Z<Q8>3#IHmf0V&w3LM;r&XdMRP`@Z)#YsnXN{f)?tBZ4XYhn zv~g5fs(z=+k_@8ZDD#NY-qi@=`3mCs0OENi!3rmD&7+SV!CQ~dHR$Ui?D>eSM9f>k z{{K|003`1P`~OohAS)pQaQUB#%G{)m`^1E1ABCGGS&6L|@lj1)D)%!AGFyQ6e=1!8 zl~aJqXYo-^K;;ny*>8YrHsH+L#DxD=zKV}}0xEw4D&Gr)25c*`j`CdmVd0pT&Cz;V z`|VFRcG%r7?X+Mwc3QAo`t7;xLiZxJ5r)6@;>DB)FlUAB11gwW`rXGOp$<2${}xC8 zisOI9N!E3E+7#ZDqK=T#QOxvwS6IswUT(2Ba(p%?kL)Y40jH~1&V#U!>(rBw+Y!Q% zxvr4kKONHar;b18Q`g63>P0-|7<$`4Pdw*1{8v};PiOW|cl}RC%mdKv|I;1+)2;l| z_59QQ%w^_5er@e{U&BCtZ{54Cr(e*V+k2zC<Lrh-Y-Rmn)_>5zz_mZX5ooV%2XQ;* z7vzRN5Sgfh6?YYP=Fe;mcrf$(pZtC5w?Ox8`+p7-Uk=NDDeP?Qygd+<I$S=zJ>*`^ zOzXyL9P!BV`U;-{o-d%V$YJLB>2Yb7bF-Un8^89q&i$uHvIgds9C*B7**w<%3f_1) z=ZL0joa-gw?@fN5mcX}Ngqw0^s0YU7!XRRfz?N#Bg<-77*Hgo3hoRlB7cuGYhW5JN zq@>!lgcv7qfXE2nXJj8QyA~j>i=I;3P$l3HF{QaIkDbV)Mkhtf<_APdg+3!-dCcgK zi=t~Ye?3Xmk0Zd{1x%3u5tn}visPt3@B4UYr@xJjd~p12%;;UKmT>3jZ)0M&pApi> ztiO#ZcziY%&ZSB-vh&$jCfCo%wWJ#DuLkWr3{gKrRXB<&AX{zVtyYi@SMaB8n5#(Y zp6VE&Fy#$&g!l*&zcUFE3HyQVwz)7lT*j6vmOK<uxGL)CkpXzn-7lg*%gG{AyJ5Y~ zg~+k&26o!!1H>_yTB_LgVI&ZMD!APcVC1k*lNY;B6Rv+I2%jcl{QwjAPm_wBPZQIB zCYYZl*TJ7ARy!fU@xh-aW&cbl|CvC4nuzrQOrSnZ-~cA}g{ztg7q`c4+Ln#8F<Uoq zK`{i9JbJ@EdK5l-w(mV#&;Ff{ps(AI50L~b)jE1%++Ha-$YB_1xk-fB*L{Z+WMNWV zHOUmR^P_GIIzp3|^L*x-b>c2<wSrDz7}!eFaQ*ks76*z0nHnX?rQX3H{F(5p1N_b| zdfc%Kx-670`j9vGI9rzaK|WjO&=J_!26rO9H6Mq%SUB#wav!#D^!GIat&3JF>bW@Z z1eW`FzWI&k4DhtSx}q5=cUSmWr<DrK_vzno$6`#$8sN)ZW(F8;8;+#7-iD}#b>qz= zkhiSNgyws#*u8Nl+VV@rw{Wq`Aqc4=D&1<oq#H#5oBCYZ8La-fa!ml~BDy3cGK4Kr z*tIoA#O&qFjN3%RH1w(N?YhRd=T~blfDd3>%I|KuV6ZYYms<r)T4l+ws%Z!-gT#I? z+e)dW3@o8UdO!9Kqu-tsliU@~pU(@&*wo=R!-&h&b)BtjG8;3s%%z#<wCS}^yy*jG zlXcB>4x!1i+8UlU>>a#C)+EGzOuFr!Dc&kgJUgsD)SSQROVZ+Ur@}KB1^H7Zu|(@q z^XL^e2@C9tTV!_<O2Vsb9|yYn_MSYMI7v|VHOp#gdP(#MYa4x}=<5z3pBMqGwLNdZ zd*xC6jML9LXa5#HttFkH7p%!WVEq|dCDv{X?J`1oRIq{U_z;@D5q5Q^ZXl8^&MOS# zhbEzp)6lf}9MeGL(HE}2Pk}<4>rsy7;7@Ia-v-ygppZrSH{WaLRgUe$lP=mm<LQKp zi#l8Bakhf}O)THnA;EEgDF&V?B-;fDL0ZgX(`>;o%Ka1v7DOxj+-hc%ux86;6!-XE z<0+g2PEx&T@Qq>@slr(o)aW#>K06q#LmX|*UK1sr+8AOL-1AB;ZDR|q7%e;V0_@2c zQ-|IA$Z(XkIgGC#b$1b|Vf!Uq_<XRe8wFwW4^-<1I1Qh%RKR=7&%>x^XyuR949-YG z3$f|rdq@ER=Kz~@i%}W*B@m^<I(`?}*OWn3L$*V?1>EKe1BGoE{?CIJoyipu>on}2 zARQl-f&u*@U5`75T=wHbar(w*!{k8}S$ZXpcp1NS!mONSnw;|wsY4$llx^0LKdO?{ zhBuN|#7u{pJK{@VFzM6H7&g)`gNl{UgL+iFY1sRFLn)}cO_;%IW6(ud&+N5ic&(cy zF;}Tlx7Ja&gXcD|O98wi8M>TvIQhS>#aua$ND8BI<2u~tr0g_T&~4c4DEGy0Tqx=M z^psek42uPTRnEkMwdj8GeUwJlp<iV0f&>7Sp&IUuy;PoGaV%=c+Y)Y(nVS5_2|S8_ zS=_(9j?B~L;J@B&XXl4_xozhyKRMdN5dMHX0UNqo=j4Vsy=~(xH!q%z%+n$RGaSQX z;)j@XJn~bSp9+~4@A!@uX|AJNZ$X$0YArJiA4{A2qHE4j(I9xb%;$4i@cT5`r2k0E zT)73K`tAi(4zv{4%#nI>m_9=-vMmIz5?qO;LPLeoOGZNZDrdEskq7NSJ=lzV4Y;FJ z`A~6OmIG0!fKL-u+w^3kf<2Pzpg`%_#6Ce|?mQu75-FFLs9mD#`S@8}mhR@oAekn; zqRUvZZh|5yabkkiN?tj;(6K(VCQU&`!cbl2HJvUziRPkJk&;?CJvt}%CW+GXyc;|T zzmjyp^>GkfV<S+3jaAmZy=m!OX&s}`LD@UvweaN#_|G=3ykZ2lJoL4T+lJXWxKc+{ zOP8Z_Q>bYF;7JyPmnX2V3r|HVkD_U{$L;N^&Kv%lsLSX+U?GQ@#9LWeg4hQ|RU7lR z=($E#wiGUm|AT+YPrVv;-uGntxnlFweJ?>uVK@z#Y6Sim_L!jz167BNPpvWbaDs29 z_<>A)L&!|>Nmw~}-*6yDLWmEMpOl&RkRT9$aY2+LF(Je|Cr*-Fwdn&w&uq~|7IN@{ zX&r53BtgqXiwsX9B2R@vX<i8PP;Y4qd;$7<tbO%mQYc*Ow#%o%Ey;%1JqqY<JnJlc zOFB4IYGZ=>CMYa+D5(ut#gJ|Mk=jvcE>m9b*5QpPh2nE--z12vPDLiOOBk2Z%Et1A zvK<4c$eU8?sLO{KIFO4N2QGyAS*!*#f88>}_0VHi6$)(7F~IAYlcv=7X27;+XES_B zh)od*(Dh`B?=k4V=hAx&mAX0ynb&Y@i!$^p%H*@uKUo<9k_;8G)IV560`2I?t@DAC z_p-+BH^Prn$Xfl~0Dk_^U0H0@`hCrUgg%E&P9I83iiO5BkQT#;tXUtSF3aoPqCx$l z*d9v7$AVE{%|6bO=rnIRmaxoTIuXfv5Z#cbaBnaHLFdUlE2t230G3jk<myxw_Ul~L zkKKdFLh6z7$0$cV@E~+YO69LBu!h52If0u{Sdd}}dM7}m2GsMYLbWR%U)QLLwWfY@ z);o@^D{bgy0V}Ik4AV=PNRf0rL7+#3U~poq`G?p;sIVAq@P+4^qAuO)jjHs+pe_l{ z&(_=DuyQVb(fiIKtJmbplSo$@W-E(`{mC}m3yOb4?d%n+Z-L(Yh_8Z7Bb;s)FODoY zD;hKY0?t$W5*+6p+{|ju$#O^M(kec#!5d3d+2ou%UN<=5OfoXf4^WubFTOY1+}u&4 zwb=?lxoK;n8BtD+vi~qAN)d6T|37O*coF;`&S4j|oAJc#YQ>2`d`aAT(_<g({<F#{ zY500^wz|Cc-?bt(t8K5|akAxK=l^(jDE-A837RYu&d6C->h<XG29et$<lvELBH~tk zsd<%OPkSP7dwZDO`ujEWbk1d|P0_H=b;5;XrW&Ub3vHKtAT<RBCYCQ1wZfwH11iPJ zHjDW;%8hT(0!Gk(UfoOaYEvuKwnX`f-s>X>mJiBhXRS+@Y6ts)unT|XyO0@-ren^4 zpa5?*Z36YvPu?iEFIK#_3%y1C5KmRstT3A9(vh%w>f~6x@^q}*c|0`Qn>m{t4RQN3 zb<Hsx@+&hjTCkdp0>{Tzh83Z6E9+B=g!rq~Vea=|*~1i8W^U5o^Vx4a(i{9`o_Q=h z_V1a@LA7taYks<RVPN{^EIV(`i2j1jTz+kYzgu|hJG}R?27SNpta%oP_PFrWzfJsd zxB1u`a`~#G=c5oH^QN=%Rsg2`{3-Z;_bG5X0|;{7KLvl@KLwp{pMsZ{e-2LoV+yk4 z$KQeQ+8>=g00(*?x4+&U5Zl3%vJzKJei*F0RK3)|JS$f!et&<FZEph6Tlpvu@3Nt< z>MYR7T^OQCj{{BN#V8&j439d7?r8u3Xt4(`=FFcHR~5{ay$TvYNN8C*LZkpea_=YX zFaV$fz&z~#3ci1Z*in@1fYaX}|HOIUKe=bX`SOYDA~Nh8KuZ81SqA_K0C3tIhba0u zUD*MA1j6;H1I)<&tAo1vr#s}>L$S}{%=*hw|G3A1`yWSn{_}hMr@IE|h;l!5{JH-) zisw@Y=JU_*9e`&m(|A(=E;|PBQLgvT2>V#G#I3knkiE6-v@*p1n{i<O|A$<==<~|t zfck>2*3)Jsc31Z9chRyeP98w<6~I1!kNy>30SGkh6{+;M$N!sxWIxbfwQ1pOO9DoS z7Ej<D&SMS+@b)V?M>t*M9xn+AHu!z)A)gnqz}EKLl2-b&{_@M|-d`}OHT~p|0`gDT z`I1nj78mIR@t08uKO;9WpZu}<4}Wm;KVipY77aEbVu}y|L`whg2W0jWf2{w(4vo`b z0M}3EFaQv7{)?de!ymAJWcBe0J9R#Q#;zRyHdgTb8A<T`Y>bNgldObtsL}VYKKq)^ z`5Ad4t4Oh3qnnK+>8Yg-K~eQ<t^)e41-#V`;$;W^G>EpXDhf34)dn_HY!JBzz&C}T zXd*=Ui6*n*pJ-wP;2Zn!Pc$L_2T7uzXkvi;i6-m=$bkTUg0S!RgBtiW@&0Fm|7jv0 z`e`x%;G64^Pm`s8e8c?D1n$%1sqfRI$*$jTr0>&2`JV~uzn%nrnveoa?1Mf{LI5T$ za}|PGuei%UEGu0q4L$EOVhCob2l4*D<0Wvlq0<f|AlD#(kE0OD=chM59J1+V-~2lk z;!tGCsyB8C%J6gZv%wYWJ>>09Kf45*OIS?aw@$dPxx`xw0?}d@)Jh~E4f}D@xD6(V z9vD)yGE^lCYo?yZ%RQdfNn`i2r_!ZcVZ6fQ-5%-pdHLpdkl)hW-u+Zb4@ZP%2HKyr zS>(0p)yl^l%2z8=)LkJ~;RAUf=bmsX0qF@=cQn0P(+>)isP0yzALwVH5n6W)<t{UB zO!HwLtVf8_4r|w{NjZjhe_G;?-;teXTdZnE9`jb1q!LIxJQ0H#cy-y+t!$q>#esey zI7;+7G2qA$K-OQ1(T3CAXG~BV8;#Wn;Vg~%0c*>D>1jiG?<Dn$&ss*nOxYh!09q{L zwb`TNR1VkaQ^#q2P(RJ5{3e2*Q*cq;5M~j({hRP$0c;R*ae;%}-#K=C6oPfgWHrv( z;ph)`_~|pgVs{sjn}O}za!#O4iiO}WMc2Ay0@TFG^>>YKy0XOV?t^!abT%gv7Ya>i zZ<S~F_FgT4>Clz^QydTCL;Zj|ghHeimJi)LP_MA)8^U#!wMfVf6r}=BOK;#<;EF^a z#aLC>4Ce2)*Byg(%3B>nnQhFTvlF=}N{F{3;7600jwhT128nex#|#&Pfdv(sT(k8? zo8bE*;&ev7<5p+eq>UaAD_dauBIa9nhfCSDCLOH>+EKOQJW!~aw^r_^2U%7t9j2CM zKo?aHSZHz5%iK<U0X8nzu(NLybjxVmYn>xoZ#)#msfx$^E-#x}t@D#B%)qTdIf%8R zi$|Cd1_CC{p>S%v{mn+d_XMqyAXgs=Hx_wFKA3H%RrqX@_63}H3{T&bbpVeK1zbEE zr)X*=rw!Zbov>LD7gx|c1E0OveZrpN5oKhI4kNlLT->EiP2L)F-y*8WZdTW~rxdEf z=n4JmNp(7Q<yo#TyDAGhfqpH;I9)5L&L*{=wH9;$aX05{b>Jzo9GvNHOIaHx*desI zOCQm1p~^HhX8;%vR?#88MXoDKtCy~`YyV)1Ok|}4D(P4}_U%he65<_?C=bsJl;$>Q zCw(0U&%Q~&ON$&OiqYZs6th1erabm(*ZfU{$ku<b&GiN14<J2<zfy3nV6JaNc*c}d znv{S}s<E#mYH_6Z4y`~iufUoMGm^A>hKbJI*bBndfxCnqZLyBTg0KX4V>iRwSg9%M zz|5fX46&xkmlR=6KyZ1X4jW4x(v0yN%=ZtifG|Hf1_+mmr(nH__j*lifAZkD@jzZ0 zG6w9x_^EGB0=6OS#&$KAO&m@KAyu&zLyV0-&KuS9q&<l!Q8;S!TQI3hEA*cS6a9*j z??2xz#=HWE@UJ%lj2gztxRO=X3S1HnAUg-r6LDAuSl#&P^K9gpj-_X_vVIUX^+J2B zJ3D3}{cb<p3&u2p-9VyWw232lb`IipYlqjdQW4d;IfKG6z{y%EiP@)=RO0+fjlID| z@kBks(I|*#I<`8F4IKI<gyk=MqB+jRlWlcM$s^PAHS@h$w`-Jnjke1gg}Kr%CN^Nm zm6;w1<zP7EW&ZSHJ&njT|Hh?$f#l%WGfD4`6Kn1Yqigpe_y$t;?Hs)$jpS`2;I;gD zsiA{`OxLBrIrUK}5@My}IQNKmy6=YGP~dV;8e8vHigMeI*+k&Gl8}CgZD+<B&<axK z5vT-b-x<jH52R2Q3b~T_R20CNgd+%ooPXAc37#XWgT&7f-4XLvQMuIH4uPK%8^_Q? zXc!ODiV<{nt!#ZC2y7-W25=nj-pcLeRcFircvYv^9Cl7_D`Bj5x~^1A>X)WH>4}14 z>UvT7_dZ;}1WR;=k8*+z64q#L<B*^<l8jIOH@A$uYVvv8kQ1_0?|Q=6ONf}`lbk|s z3?8g*m@;uUcl{GL1U^5Cm>BEbNTcCUXy=uQnC(QGgl>MB&JmCAfLnwmTTx^MDvHEz zMzQr9?`*5dWr8>6I+%Dz71kY6nd%*oeU0S6(R;U^q6wWuMw9k|VIS9b94L*Z*u`aq zoYFzaWWH_Y7#i86(ZGslrFf$857smzO2O*rYkdG|Yy#xTaG+u`Pi^ffMG3G`cHYlZ zX$UW7WLv!EDOFkEnSaTJd_wM)(sQy}*bMIU^U_dabg7zpkeLJj;>mwB?tE`W{}(d* zPya&Z)|nj(ZujLQxh#SDw+HWLwx=)|g@3FWLnYlI-?n5j>cJZx8~EQ975CvIwqO3P zsF*O-*#VrlLgew=Xc+0+SP`R?>!dI&trUGuXvr}f9Ff)entGU5tv*=oh^6TEx5E%% zxA<2Nx12T>_#R=W3#f{LRiIymxC0($W#QlW6@@P~j>&pdYL0)q%!|Ct1!<jQSzf2E zRbWoCgROJWi0Gkd4I!@-HT!_c<C|d%EKq7h)g~e6ESoa+DSUTh+;~BDdrk?5>o?X* z<VkUxOf(16aWQ5LRVbWejRcEqT8izlw2X4B!SPouz=U9M;$d{)U$DazIfh9!;zqOn zzWgnQPbLeTD?0>xXS7`nk$!4Lnj|dHCz3H`wg+LDb~|;pCnjmR@77Tc6r5{J9hM#u z1)fAq*ZdumSlbp`Fo@1_7%5aUglOP-Z4LQ}F<u|YN2U?q=LDY&cdC&DfRx`bCe~5$ zSSto)%pFkfS!=i&t^5vW_dGUeh%Eh%e13blr3|w4)>Gd@yIp#abGOWcZURT6%t*e; z3OXv;hx&&9F6}z#G6jW9P{zE1|DKBlN}mZAw&f0;f(h?rk{KbYC!aeAg%uqZTI0N4 z_Z>M_za_^ykF;KS6q%;EEGk^-Y866N<V|u_@2rpBG@wymj5@8LSAoC&P#IpYHDQ%O zC|a*I#*84#!E+y~$c3dSnbJ6`*$qrG9o_Uaqcl9UW<N-OJZiXRUzpSSY9A`(0he6n z3WWg_tqH=lRBGMEW%Y+byxulHun1>FMx_JlsG+1iAJIIpZ;bemxw@B#fxet#mx0%a z!O`!nqWoMppx=~q_yM^YD{`}6^9x<G&;d<EiPZbJa)I#*)TV*AyBlN$7gG5tcU3fg z0T$zekKbrW6CLx71<3f}GAIYfmI%`yFgQZ^v7{jeYesL7-`LcFZCgj{i0S(sJWSK} z1@>19z@byPCfsHnU^rOKc|g}OM;gQ<k!j2stmnMIUZp+KlQUP<TOSmthY`(|&Wu=( z$W`;savdW>YZ@F@;l#OuDwCPnxg5UHRN_9I6sjOhfpGTKZXYvI4^P?CY^Q2+XomJ5 zyNH{pNi_Ff?2<gSYlHBt%TE{?GjqUDA#ZWOeDgcd(RWx!g?8FbRFm{<rp5A)>`$H# z6e)L5tiJJk`_H|{H{4@Ckn5vMD@JTOh=sw^(+5Yi(_a=j7kGOcBA(ME?~;F%Mpw7~ zJH5*J?fXAUFBWWxMzk`v`dVVX<QpjK4p)t67O`LK<WoTY7+*wk3san|@?0cu?b5(Z zdasVuWV2>0wPRnK=EKGA=I*{48(WOvf!NRH+JDXOJC{iSSNych>uLJE=vP;cH+Kwz z?^&)iLCh9@cdx50Y2-uk`>UJ33?kDldm&UgcF0kZ*|KS|FMiS(djY7fnE6)6M33ig z1AAxxC^kpq+@uX6zMXf)LI;?zEuyb?H^xQ~*-M}lBz{&pRaK253lnP+&a52;4@PWX zgKsRI1x6(p4R5ezbB#te{jO`Wh1$@|Tsi%za>m0CHcTHpup}&)6-wy%Z}21~u^Jc{ zZdt<7PEQ$bUqT7R%@Y;3Gm$5j;lqZ^_dSfF+F_s#Z;ZGk%u}F~6Ld*$!1@Ny-D~j4 zsP!T-!va`PT>q`(sIRE6J5b)=r%3ht4)j?zgS!Z=jp`?<6rCdY_?_>2?(&?iI+NMN zo!fZI*q618NAist_-|J~zs#bcOv&KVhb7l!_R!+<m5aE=Q=T_S&QO8d#IekyVjh0+ zJQVX^)90ynX1cLP9F<u|8`72XD~6O3nG+!5kr`b$@oxYd{gpcw8(iY-fEh4V!I{Gg zj}fdE(5#*?pO?P;g+cQ5aF_{PE%&X6k9Hx~99G5tS3x+ZAtBtahbaEm`Kta09gkLU ztNGIYRA`UHzZvP^V}k14#*WoghP=AtoaKCN{=PCO2m&kN-@9?R*&o)EV2y8(*Y0XI z`E6N_rve7|2-U<4W%8MPchF;~GBzMKw<*=6uJU)dS^^t$We%SVm=G|pyr7+sa3O31 z#z-+`fjE5h1R*d_rR8+|S=zVA17MsLTtcYwbIEfOeG~5#Vx%G)2P7Gx?Aq0UbHZx| zBz>5BRCY7{nHUGw23hOofwG-yKQ8C~Cczdqf4|&{hLDF}*D@(*DUBKZbHfOtLd)ry zLl^K0%{CDB4%(OsQ$8wnVke{a4a&o`iE|Fl(nRV4qg7~rJ1g^e-yI%p@NH9gKEd)? zh*|du!$l$+gsba0uiY&NW9JGhYcsFEhk%(#XkFv3l@t4cBx1MYLdJT7;Gr$DmkV=? zd0G0`K)~>2mhkOs#*VoqjwKL*-4%<r*L;P{t|tf3D^KcVt<*|^)l|gbH}wkbIH~}t zJywg8@oQ8Cr)w^3YcU!k=SNKMWF_JWy>4~#G=s1=2lM>}4Ymtgy3`b@@n*Cl1GxCL zs4}DK2$Tt-9~I|6=`y}<im+z-@Ykg`Wuy}f@{Sm@!b6gh_ct?VzVIiJ&9f5c3}#G5 zITc-)h+M*jFyG=}lXf3))uNso`Mt6=X93nvQevU1iFRX1Kc^Q;3Hl@6zF!2y=E8yz zyD5y@)OQ_(I7)onW%JG7iNP?Qq3idpUUT)uVfb%wFQ4>=s9Bdo1vWt6sFlbyb;Z9A z$vd-VKACDO2&}@Bd#wNkl6(lvju$L@ifF6w9&ZT}s)q?-^aKuGL906$jVbopT!9cW zDZKipkw8C<@99NNJiA?exx<%lUCysHduj*nLSj_qZSfrH!DL1b0?tyu_<`a(zZ}y0 zIPxa7f;;Ses4Z7!?C&2hlPBfv(BlmUhB>ymr%Pjrc(Vd0eYee)g<Eu*<Y6JBavlC2 zb@P(&Mnivlb^@4(-TQ?5p}Pi=*i?9G`FBCB9G!_a*}%NUyBr8w5z*DR0lB!lKifX+ z<i^DxT5ulcdX^4|i`n$#ibc%y{evX)R7y|Whyj8M#cI6<7ei*_3l4%3tx2?RS<)2B z%~sEi*Y7eohQdZ4#y_L~zBoA5vpM?Lm1fRab@Gw3!`RaTbts5y+2nh#JN?WT)&;I8 z=dqjD&3t-Z=P|ElEH_t($0R;9E)AE*g|F5*3KI(<t=I4l9I|O%Gk-=f`g@w*0BdR# z$6dmhk2ZM0oH+2HU|g6ldKE)@T+~z?O8S)f_;^IWl0;k70kQv)Lw!%qk5tH4`N)$w z{hWWS9RP<^L79GE6h*hT=qs%Hp)zCEJ@QeD1JcM-Di9z9xIEYvdkcXQ4|*U*Zs(*6 zwNK@8iFNqAobE2480;JL{0!1+&h4+wch$y#>`_!mYPy!f-P;hv%N6XwN2~aQT=~h2 zLqjR!mnAsr=Cin@DfW8ZkiYH7Q1Sf<b6y~rF}E*B?Gp`cL8-AAKpmYFAF$q#AlzMa zFJGt<!nj|m%oy@^WsyzNFg=v@6v`g+<Y*{MQx#0g-D%*i6w;~mig;QC2QS=|Q4_Z0 z0L%$4kt`Zw3^)j_wv8Q^x>Mby5mjv*rv}{z{}WDRzf6+fQPEyJXCu)e*DTB8Nru-^ z$~vxVw<u6bU<+DSg)p&d;NqFjtE4OO{EK?cZ*Wqlu##xpBO>9{BzaNTa$ej!UmK(M zprkOiU>K9d2XxRM8L}G$Wm?$MEu#q4)ej=F1^P&;Vex2Sw|a!7{J46B8{Hj9B2}t1 z*SryH>3idJjkKxf;+XL_i{%;WONCLe`TU2;Zx#o$__55?#^Ad<yUup0;mgP!oLSuS z!XycM^_^?%`Y+tZevk7!@oXkREA3p1<i8(#w#k0n%2_6T+oiU}rcs|^f2+Ae@brmB za<w(r-z#d8OjVzos;;w6cI&_3a6MIKRuG3esO_TSXXCEaS93>bP(I}tds~t-3-EwR zz2$E>ZS>e=?ngxE5Vpa*Y;TtYae>HJwsY5`8jpzPsvKZ7ua{<MK)N*mZ$1&gY$@VU z2h$gBq5{jTfgGZnvW)uaw@Q8zj0u|7x4?|z_3Tao*3S0C1k(eUDhwOLP^>L=0fUYx zZ4CYECC1ExJzn#%SLWzluE)xC7XERY+W>SAfzUJa^&$ksBXWbm`F(^Is?N7=o{rGJ zN#c$C0eDq)KK|w6HJCkwjt^x)1*yBf{}E(XW>Xf0{R-W<cZO&{8xfUWfsX0-+F>iL z!?txD@%s5dBwCxUnbm3c5XTpW^@0v0&$uxh=x>fpkRT?R1sDc!s3-Nyh4$Cu6&QHZ z`Mi{8w=cjP$Ty*RgO<D*q+@2<jsYk3#xO!SU6iV}wOMUS7O>qD^<mWJ8UDuo?7ic4 zg{<N-IX6n~OF}5x_f!eS9@CrOVmSxGi^YtxQk>S%CQWa;t_hh}>tZL`>T%@q>wbZR zyudb%(cYnbIdR#D@|1l3Koy<0QyEr-@M@Geb)vF=&<FYv<pV>SJtZ|==@dC1%QB<E zWsOKkAjIQF7&rx1OHSRP2urs;5bAh+WSR0*hAOhF4(X0+2;57+YX`KAM~4SAR(PW< zHPz-!_Ek-j4H9mlOZaTiC{Un57hOz&jgd)XSZzELkCQLVr6mPvXY$*@M}J9pjzgv9 zaG})}i(BB2C27b<3_#v_ntphOU+exeADcw??Wl-Zts7wB{33Ha)#_dqX@&=Tqh=Ak z6Zv6@a({dg81b7QOKx3uC7lrI8<K<b>}wCbO(fU$Z)?&`AEb!rQudUqLVwa?5o9%@ z46j<aD{*_ad(uREG{`+q#*yK+a_xhpZe19Gcu^!6hTyuRAl6-W3eVdG9bi&ej5xz@ zfQ5KWXyMa!N?>qfC#phq>cEN`lEMSid17(fi9(nK==Hnp+Lotu)bbm^ZrH84@4l>A zzZ#i*e|A`dKf)$otFH_KZz+5*%D8~F8>j1$G-JEJYWyt?{e7~^qO6b|&27YIw~-v7 zQZjq#R284n>B?8X;yuHy7L;gKW9OKZABmfk@7#U&SM}`y9X9@B9)*?!=7fSYr|bi~ zH77Gr@ZF_UR0MZKC+ITH#L#Q!aID}~C-Ze?td|4RngTqh;9&0x)@)Phlb~`Zd9MF$ zDO6$&#F4l5w{+`gr2vbdY3L(DL77=JaSCG<q=Io{h~ida=(sL4@nj90Mcg`&zyZfC z9HIy5L!|`)*#1<HCba<Rx1N$EVR}afLrIysU-ucLJznI{4DI5?(pbwogz$;IDN+-K z;4uN-2xQ5$$|Z%Rs;4-WLE}nQiEYuxNzM(G8k}5juM3sp8XphImU81#*AYfBl4PnH zXosWxH^+gD+Yz@GOqo<T0j}`9A&FgLnPblmG|~c6$mC#-2F_mfS+rJhm36`T3sKCh zpqT|`2GepXT!#>`<q4WS7R>1(n_n^D^nY;TEbt&F_Vt7Dvf8aw*7YJSw$$qfU)z;> zYZj4e()jPu17ivda!HA6BBT<x6mqTV&}MCmv-;idhO^(It_KKvpDQ5P!Efa?r3Kn8 z_oYQm)=)-jjs;d~!YP7GX(RLmIyq+{LFw41*eV_vTFEo8_ho5Xh|tl%9Hfi}18tJS z!mP?N+XRm^y;v24IAwCJ+?kvzaW+e_HmM5?HzUl?2DeYj$%rhW93iG2F=K1<jGzw+ z>rI%mGJPc(2lI9k>9a|O4OWYZx^JLj(yAiX{H1!}<R}rirq-w-SX<wwghOXIfgXu4 zn5lI)5}zY+53PcxxSJ<9ilQ=-I&F8QSV?OUwSzginPGA_nD@u7RLN=+g$)n9?UvB+ z``~j?>;dYJbu`fK-F<1*X2wZvg-!As(wjKOzk?|15S;B11mUkEm+f1wo}eCqmnWlk zeNSwawvzbq@)C4|VcPzhpwkP3!B(@eirXpdMJzP5${`mp8!OB~XKIbqcCRt88+C*F zeK^e7D`ASEA}1Qu_U#A3KQ+ALv57oe^zn%_P4hz-21pJW>y#BN-~`EgSVWqX#G?S~ zSmW$mkL|kWEy(tn);9uf&08@Xe2*rfF%F<oG{Yv+q@*xoFj|bdk~FWOO<_&~%oQ1V zQY+%Xj9?vvD%uEj4=QAuL_rDfnc?bF5E-f2GKeZ1H;0pxz8y)yhf!I<AP89|PiGzA z{v0%jz>fOse0783)j-byN>|R*(b6XMGU_tu)~`RkO?3;h<1{E<WW!FgOadlUE1kT^ zR|?BQ9)e5*GDdSwiq%FRC_Jl=?xGK@NriP)xBFw@3)VFVt0aB7XkEbMRJt+-ncjtP zeu;&U5qks00BspEa80>Lg|KG12$#nEY~_=MP{X>Uj?2hE)OZ<ktm|S}8~hx0Zs|D| zbHc<nX`)C5mKwN<+&2S=^{NF#?H8kW6+k2H)c1*@zgL+-ko})Y2V(PDAj;N%Q{+O~ zb(Tg^(#?0ytQ4OVtZZ2iroNn5t?HEK8cDKZ(-BH;In%Aprq>MCgN%X;^8KEIFupNl zJmUA6yPDUvKXJPm5HjsYN5mw<f#ZO7W$iK6QK2~3J;h))i7fQVq74y}#4kcsJuSXA z@+|ZTpjh3H$B<3Hu|+5+;%jzjAy=!x%G}X9Ef()xq79+)Kca)I$qY_?8PwY0=%a-R zA)-1NOf0ZrPkk}RU3m$ykSGUSijGfor}th>iok8!j3cBWoo+Q&%#-q`7~?~%lJ7yO zjD}s^=yAl4hmP$==@gf`r^WTgXE`lIp}z-H3RdIXy$Q18Yc!Rx!<mYmjJWNO+VHf| zo4Ts8Wfe9G`K>Ef8Me9?=uIZjCEr_47;q5}^d$TXeXwQKTDQ@^4cYA<06Mg4M|VHZ zUgK=r?__o~6&TeV-){spa}nX6+gy91z+2SXuFyRZE`eNm?o#Mev0$m4OZUAT18)#; zbRDREwjweZ)UM;=3HU#sW!!U@1n&GR{BH`wg~a>R1K^kxy6~ZDA@)qDN%jW~nvuT> zv8-O%j>U&tq0d4!x%bzS<=1O5>5m}SfGuU9Jb9I-b@P^TAgOQ^8_{-UAP_xO;Fdv{ zp1n#{AO&DOV*HVT^6{@gh^}aUydvP+Wp#;$DjbdJK0(9ZJ#k|_T!bUqiG|xjkSG)y z6;{!lMK~f*V?UCJ2m60_ipiUfKg5WTX?7(N3ui-Xavj8Jtw{CCFSqWB0p9#r5i%V1 zF`@k<=e7EZs=jV9M!>5ViOQkbwN5M?O|ed`fXemE6M^~-!D`bi?ee&dTCymMICK>0 z$8`)s>dXp(z5BAI;n%f=1Jy8oA_&1n1*0(tx6a(@;4n3&CrnKv7}2!(Fq8ehRx$a# zo+al!Pb!54x0?G+r6l3Qq(J2y_Uec6V!U-41yr4(N6iAv$Z^(JWQiuLArx0Swz>2g z=l<(=RH{>}m9~qgoJdr|A*))k__GmL&4LoZWhop1xGekIKssh{RRsNPW_Mn6B2ZBj z_{aj+_x-CWx=x6N$qU<w2Pb|`SBcr%K5p9-uc6Co%M0u6_HH^$Ke)U@Esoa!zQN8! z)4ux`X~ZZU&GBCJZfeKxR*A`<(X~=5Y@kt{Zi=`HN4On4sCnL~JhZH!QO)6$x3dNp zL$<g%0*1fTZvQj<)sc3;-Ni|8mp7a`sMp2Nk@^M<9yVE{Xpu78%K5&&Q5gQ>S2zE5 z>&391JAuzL=j*lL+q)(9=SQE(JkIFC*BZcJ)V<1c;*#>M(gzo1^7zx_Dt<f!#%rEX zLvV==-FsCPaRhJv>Naq-SV~6f(<oqbkzc>T+4RHzgjtr$Al}WQEv<wq5Mbe!hl&|E z_*Qd-IRB1&Legd#W0w<*e}FG3Rgthokd5(uMoKi!qVbbUIE8XTQOO^-ASqL&zQ!wg zy)@%d3$L`gEoh)4aFh0MCNsW%$C2$QXOoR6-O8HE-#U(+;GDD{{dqm|5b);y_FIlh z@pM8JWp#lqgM$G++N8Dwn-h?txX-(@n_|KAd*|Zv+k%Sj_4#WHXG9f6{UDb_&%Ssw z*>JKDdC0V6@%KX>j`&P=b4?|B;pN%vLB*y~jszd-?OpL@(O-lIlUzwCSBI3vvuuLT z@;TpBdvJbgMR)O!c`s*8S{qtciA+nn3$#|R>(|e-(~0h(k#7{NK=(w`+%tZOS=)yp z%WT5Wds&OOz_Sq)I-_J2aGXyx30P;C3?inOEMo|_MjDv7u?IclTGd>Fq&ny|-ewi6 z&sq~Pv+)4TC&VdAm)kE;2bZwwq^m|$6}$h>FZkn~nq|bRIRf<ge!!M(AIQ-V?^*jr zkf~kj*rL66_#E?`AFP-DYw(I$JqiqyBh0jmyLU{0ERqNG{_6h0W@*LjzQoka0%SnW z2@ySNXP~7RHdpLxpr^KH!lQv(1xO*ggiWsa6+74X<potwbXovvOvl@tRt5>WO55)X z@fLXG&Yzv!IqmCPIYEHu0{;8L>(T*Kn|yP%lN-93KjUD*VXVmpX1BHd;;(vM>uSpf zmE-avDLMoGlC7b9IR8jXb<>v!JNx05s?O#)tKrUtsuP{Zp|^qKVm+$AdiFf#k0G;A zk=%YEYiS;S`~Bao^V)>AarIDk(r`H_WP85Y56jq0?z=pY=bL@+%d6@}iDTM;KHh~_ zGkAI{a3qL=5RPIDgD?anO9w`3Gk{h?w@l7t^2xJLdZpt*oABWjq(tkYmeOwu|E}eA zx6@cBmAft=AD$L3Tg&^qiyOO6qhMiP80HVRFMG9-WVVas#-y=8tit%w+BEJIbg!^S zjF79S;a+zJ;a+Dlq=3iqUUykSCTxltxueWe81aba%XHOtTkdHRNHm)|EGv_8wB#wd zW?j({&s~v2F{ob#hA0bqVT-OhnS;S<8DY$?vQP~`cXYOAIZq&X;BxXlhu}nYAv*_+ zOvw7G0lW9X)m#&M5Zn{#wT>)_CfXz7uu!yqMc~VE7tyK8ekJkAHYLIm?xZWv-912T zyl(F(PbCfQP-jbE7n(KdoaRTLv&FbL?Y1eKyh*L+Ms>XMwR<fF>;|cLIfbi?zo7Ud zl3kuQ@6FaGNh+B_UdtgN)NFo6LBbG@L-?B{b=3(xdTn_2<nvj6mew0u`XQQsXtde) zH2C7!K&&#I{nvDN%$hIh`$2#S+bJ}bu!ft8U(rUX_&rv)GE;|tsdAPU;`*~6)bEP8 zvowOl<LYDH!a^^HxtTxXIZ0~fLX78AOu=n4PmTgNQV$edm1j@kuIyGiQ-O4)%9Wy` zDF<8Rs+mw)y^7M#M$k6J?BYJlXo%7p4nMSsK)bwQY3HXPcMoo%2fR$Kgnon1Pl@k# zJ|jF#|HTy%Cn~}<C$shtq0tvd+Z4XLdsW;Jt|9Wal)N*2g47uGR!QCeIFD-qE!nh+ z5pfpSlQz#&k_2T_Tfim0K1`kJV01=zpL=U_pzzXcL;9z}MoyBW#+mc_oh3k{FOl}a zZ`Wj(^8~mt3UA%=gLLKEw88hUJN!WI{IfaMvt~2GF0Irft>e6OZkiRH`3oxX>-go) zO|f&I$BCn|4!jRup2e<nH=Fy5SmS>EtBvgyGDmT7ajfWTX?xTO0=Z@NGWKP=!f>`q ztFkJa4r6Wey+(0{L_o2<d<rKZGZQ3IadWg$tp6f|2k@utDxF*6nrwDQY?D!Kxif%2 zF);XXrgpGYa$I$Sg79nU3hKyNzHpIpF(ab#*7g=WChj#*x=Sj&h^}x91NWP@H}tcn z6H~b1+N_X6%g^Ru4&9fBEUH>PT>TSVsoGZapt*}VqWylv@Xa2sAq9c9B8b~V#*{9z zrv&aq#^|_5=ZOw!kDKn%v=3T=J3&E9<V2~AFDT@6DL2hbnpK!Xd^0SO2Lk32J=mgZ zUlW$Tw0dzw5p~+5>lb_5XvCzR69qu?*pk$;J|~jD4FM&|RO|g{sKPFWJYxH4!Amkt zl$aZlo2mJ<eGn44b|BsEq$B!~BJ&ENQ@6A<?DRd|&FgBDCn8{XiRbVaU|OW2psoa0 zriXl4Xd2KOtbH>#CvH>R-#fNBm;JK5CccmH<V8>N=E=6$izcE+S#LB@nrzk<o3|}$ zQoQ)M9UUF#!}$bv_#w8JA$x&;3fOotWv;7zAExS_d|o{s?zNq6G(W6f?4HK2GoLVQ zpG+S0eb<)h-m>w<-YjHXvVF$n8?lse8daR$eO)C|EhMGS`>y4Pxv>P5GZzuc;DSZ> zLC~6?YlBZ4W>H45RV&r2ekqk92S!ZU($SUGB&DD%`sYQi2~)#Ze#F=l;C<wIQepj( zvnApIgS{$C9=A~PN7TM-&^ofyZm(LhoV0M#EO)9x1unl%MN!(jA;Ff_2f6?9hSBSv zKfvjQ1yj>UikiCo)J=N$Xseo_6GOTud0?3#!W=cGx_Cno?7r!Ma{F~La!$GvWft?P z9Z!F02{fo;EtpTTL$eyeE8%Jl-pO*N8Ee7vCk`Cjrp$QIq$LM_ze=VNABhwD*}|B7 zI}Ek8bSLfs<uF`~9@?8}4~N-4f1aEz)$PfyW{9`TkA_pMnZ$2j#womvHCQ++z%0ZL zDwNT}S28!K?Y<)J?$Oj+QSnR#KMfFEhhrF&qKC>KMF{+$@v(g8x*^DOpAVypA<CPS z!TE6Yo)7n&uDo=BY+w6>5g5#g@>_^fVX&%Bq>K7PV)ZJ~dFbQA_P1u{`iQ-7cdqWv zjnd}#$9{H?r+A}1M~ReuA=f+XMk@->GnG^WYOLj##uTQh^Xl&H%uOS<UQx@(bJ9l6 za9Ut6J|HlDB;2=*WIin&UxsV2AB@8WELgOnEU9A}fACs|^v3~<T^D!Sn@eG68kzfB zne${z*Xw^6{M-*yPbz>B*FD#e9B~7Y805sHJP|xl!-NUu?G-f&5&$lwyu*T>s)*-L z=0SH#ybS^Q6%&$SN`X|@JLgWtPq@R-a!fB;U)rx_#Q!ZEo9yY~IWwQRpyJ*p6vPF_ zFU%y#VWf&}zhn6W+#}?$V4IKW`Cxo`FYyIUZ>xKZ=p>HbBk`>w)t{OS3yLxQmnN-C zl^nGp-Y{fKnNlBryPN=p1Vv2bmceSBJR_>|n+DT36dM#8TwpLn1h6EiR0|b!fd2@{ zpfG3kkDt*$^%qW5BX*DkL4@V_dx;VPB#3Z{s7jK0kwXHkc*>G`8ACuKeh5Gei@|&~ zwhJiup#VXF3G>ovUI?dSNcjWmtI-v<IDaAB7UAEMaNxk5#x?X~xZ%K2`Ges+Fk#GO z3dTsX^u_crznZc4&&hEhd(*%tGtUms#KDWy!#E37A;SeKBlrPJ3I^k@vHzAbD~SWu z>zT2O&sL838JG?OXdEV+UblgZQ2c8uzWC2_Ef*E4AUZ%tv78uDX;PJ`mD+<feo_X& zVBr!2`T%SZ4Dz?DK|cu@sz^~N`TRk1<CGxpqiLPhejO*AZiHD7N28gaj0%-=bDX*$ zw%x^YexJL_x-aV9Z>aS0bz#&VtEuPdRV*@LY_B8oe;t`Jlg|hLYFD9#be$6;T=?zm z@xz$>MHOZauSVBQknUF4mr(eK?Q2gNcN&|SZj8s%%l3fm1_KkSo>YV4$0f3o>hr`3 z6Yp<rsxKNj+sAND?qy<3#vCNHQ0fRdTxLWMl8}{qY&ueayYJebTuj!?zB4`-#i|g- zYxo3l&(e>p70s9o_9t_vP47iog8AcAAklO`FYVtMrPPsGESy%K@`wU#dfU<FAIA}& zjq;L4HRFGHyMfTm%<uxI*nrT84<r=F!EpJa(kF50ke?sZ>u6%;xQ#M!UH9p`?H=@7 ziSPHVB#8%FH2=cQ!hPkS3qZnNz1i1*-pO3*%}nW54K8|g@w!;L=0BR5hDHWyPlj6G z43sH98)sV4Mhnhf;(t9J!O?Gol10#IK4U&MZiXEu`1a)-7uT5!gMv?ux;MXHkT)ba zSq17EerHt?F}RmpRGn(f?kjaLRzQO0odIiZXXxJTYJ=93-8qJUU7$=vY==R70uPd& zfY(5VVjhWi=e)h76$e>cQeC1;RurH4P&i`|DseueN=%=8d_9?gBN}H>uKxN`Den>4 zpKkQbE;O^ojrA1`BMU)3G0RqrJq;@hUA`J3g)iS(t$lymW~|XZqSu2+^DEfCxy_fz zGX<Cd*%PbqM}I-!-;2qAxsA+v`*_;h8TOicg`xs;W0DVXwIkl$V7$l3{Q+Z{H60o` z`_^v<{6RWO!<`x|YkcpQf-N*WJPdi|`?N=DIYY3hZ;|+8ocpA^FJ6^4BZf0-l>ACh zq0x?^UV4808#@CmtGM0pPeS)U-%+8|<NEi%qhji}6oFNf*ri}d!f_*1AfHWN^5M!Y zOu>PG<H{^E&Djt%j1n~0>A;^JLE4VlVakcl$FJAVfWqHAh~nimVF=SE7*-^^0P%#O zT1>1*@;vMd8F)cI7v2@_x^DfRJW~r!<SowBof2)NhJYA;e)F!@8Ab|1BE1iAVHUJo zfWa7t7s~jC9ey?6$)l9Aw`4H9-tST7uSeEvd7!^6?rlxdD{N1=^sr2!-P{AsH1GwH zpZa-ENhDyZb?ueVP#NLg@g%ZZN1W5nD9DtRUrWFU!px#nAc#%rZt4k;-{xAf8#sm5 z7?+Lw9H_u#y1g4-vGnTP23f6XyYUk=9YmF8FK`@rfe-{rAfEb1Z>R{Erk9*x4Iw&D zF0@dEKhH74_)q#SjoXmUw9rdV3~^tl`|&~y=a#fZ%@ZVhOU$V?J5U$66>m^B1fe(V zka`{eKD(mbY#VtoDUw_AnrLAQmdzM7{cKoZKNmAfW4K7*3y6Rzy3oi$D4S>jV5*Id z{B&t?KhJyOQF7WGtSCCsRjZ#4_Lm)G(1d#oNdhc^5RWOHxXjp;8}2<0OoM#($LJ;; znFiZ@3js;HUr>TI(<9WAj4+i<7P*NEUaFA>FqK;NFaq+oP2g~$?7xtLcN-Af!z67} z(un)BTY<<6IBRMo^P$E-`J0IafbRzOuSj4CIIq+6?#v)j&?`GV-5BKeLyB^ku?+06 zwkbqx4s17ZaKa2i?(UZ+2{Dz}q3B1i!g1NSLvb~g%2VUw%T^EodrY{|MA1i30PC9k zVereDOQ8c2v}H_>9d`F^_3JNV<|8D*94O<=Q0^iAB7@YS1l;~!%U(f(IVNfq*Hm=B zEAs&=%D#^}90DSsQitrA_v2`q6sDf|=P<oZJ1w}r+y$os*|H7x*p4FdmbGDFO)=CZ zepiy;H8?$_jj$WreI5yX+)v!dObd9aRy8h6?Y4r#r(X9MHr=V8y%1gY2%~VIt{@YR zEUP1AejE<;EO0bIV!7x-TI5L_26RM550LJZ$BSH;NpM)icrw;dl*O!Is9NG-X23K? zEFOUSK08oaBW?w$LqXagh?vU!p;6KxUpXkZs1sU519mo1gV2EG8rDM~wafzHJz|Q! zjb9;4i6z1_=B%3Zb0e2UgWplzB*Eh#{u;>>qiDc_!A5KY1Mf2r)|~1QA3f;TDqnEu z3x}YhWj+@SvIJ?f<|Ak~l;L=XU?@`xeSBdG6){36AXf`<iHHJDtWp#yIDdcwVGlS* zbWF)y9N(Vd3UmpIlU}f7IC<6p@_YKq>-TiZ?e|o|wKKTfST`ife*qMZfvo+EiCygy z2BrlGYZgZNhAD`$fjavmC>h_=nH9C*4x=8fEDCV{=<#?c4(!2;!@u$#3am`Yo?%R^ zy~BUr4y{IE3`nmq2e?N<zSG|nL!*8g=@_a?{~W3b_`9F&sbh#K#!6R-MDupp^{PPu z9oJqT%v@#^uz+V<ZJCk_r?Ui=5$zPuq^J5}>slmH@yvm*J)^2Wag0Sv4Df{j{ZAq~ zCyFaP&nNq>OoWh`SX<Ud(oCno5mWDK*qUv(BlVo<*O?xRC!ZwX;a=2X;?wDEd%#w* zqwCz$#G~=?51KRJ(}G3~)zMNAN#Bn+G?Wzv4=0=GAml_5tE0m>&*j`AOE>a0S=d$K z!61haeMeLC!&B?gXMg%~s`S+cAlQa#4D=JY`4w5h&IRwD8^k|L{UoNW2``k1E<7p8 z2RA|f9xb)zPMi+rdO)gG8tpgBwd9Q@2&4%X0ghm%DLI19j|Ut&GgiOI)Z3%dvTZUh z;SvP);WAF<_B&)8hUbT;E%HitbQJ;t<D)*e*L`ni`xCnV9{c0vemNrk+<0nF=;JKe zOifA;Rjfu&mOdv?Pk0O%AMJcWgor>3C>3VkM@JxP!5E{Q5?U;toDnk8w7gge(TM=O z0=rp{0A-mR67VqW;2!V-CGd&&2X4Es2RC88j#OEGnktrkBZtUIA&6391jyZV-YdmS zr{fXJBoRE&AY`l6HvA3=^)K@60r>>amBD3#4OKNR9REc901baf(J6_&Z897xuB5y= zixwn?63y9Oxx{gat&UzjM<8XJ@0by6K!FD6cyPj`e!*7|dU8`o+}L6Ni?6ecilYe@ zHtz23?hxGFJ!o)ucXxMpw;+MVNpKc-2?Tcw?h@?Vyt()O{C>@J)zekgGqdN+PFHt@ z?{CV#mcShplMA}eq6zbT<!QK`<{Q&{yxqiyi#c1-+~2X(76rm^BcVYou!+Jk0Kv$~ zkRSz-pal`21yP^{;lZh-#K22S4wk{4ndHvDIdCdru@QAM<ATXh&ZB*wU1owx9?T_o zrcy)<DJ#*2BU?cW@0%lx3i*t_&uTqMC{C{^wH&N!h`~XkAPy59dDh|&i-p>T73tQn z4G|wc$njOI>sB0sB2_(7VrQ<Qr-eh5!#(87BZLi%rhBwF=Hw5qZ<cv5Eku(LKT zWrI(&WJ@RFm9;oTM!rX7j>JR2SI~xHdlGLiB$7R=i}`R=?#8kaDmz*u2lhyp7u7K& zMh~>j_Ne0qtOYjk!{Aas>&1Txd!I{}x5HZ67|?69_nh=~_frS)D^fVuCMOzm<WJ%h zx!xF-4T62o?Y`IyN+dOUU?IJ1t8F;_ILAszbX(T0&g(z7ynl8K*oy#DZk1mvcC@-Y z^E{1`ytnh*8k!xe8quHFkt9x1A}zYq_VW8!`SX0AQbbow{pebw{weLi<Rxo*?z%jn zs~zusUHVRWmYy(K;_L1^8d``kw_z$i606J`d3kT~`GR$IAsuM%9KOvw@3M(Q30`Hv z1%9b82oE=FJdb#9HEE~x?qHe9+u9*(zHrO>ED5fVKxyz5us#V=;;_VU`34C9U_M5r zL{_I;vMoOa!raC<kVZZc$v6d%W%NZaE3U+sVk{vF>(>l!8UE-UW*U>nD8ub_??qeC z*pk=j>3I;J$5)6P`B*B^N_i9vvd`FX{iD%16&N{#8KR~b+7Kaf`sg>I7Cjk3*{rYH zlr@@QC{99y!Ct$4ojcp24|sGR_oi?r7%op&1BE!${Ue}DvDL86__1={p^Zv`5}Mdz z231SVy2Xgd;w$CVO)Vx}9xEDod?*EAzl`rYqH#p<pz{sZMhIV@PM|3Mm{S(<XDS%z zF`B&Xw+rfC43QWq3h~nVmhlP=cJ=uwXz(ih#qfwOH~>!@OeS$_=F83==Jx2dje`mn zI)(%l^_yZB)EPP>8Zy}@v0%!PBY#OWa`y6KsM12`q2hEjq>%LVPLA}t1!6^YD5jjR z=U~#!-4C1n{e_+C5uvFuQ_>5M`yMBgMtC?x?7PK3!;im~QtHc5qudDVEZd{~fp4LK z<V9SwJMSj{Jnu=%Nril5<t&UY1|7yax3gI_e|=ynDmcUSlTo#|2z5J!b5g*D2~)ot z?7L>Z$u|B$YAPvais!nv7d~fB4*c(qL!n0Mr&QS_{_uFp$4LxMfK@n>CLTNyH(}z~ z%PfUd=3ASu;iXUm&*}N*!g-im+)#F7>P}*myRj0IFgm)JT1U1~E(#I)pdfr99YqZ% zghFU|QYnQg!>T?t#K;UxL?UBFjPn{z2<^wONZOtYfD{tMwINIhHAlD|K+Mc-`R3}v zLj?&b%Q_4eX8ESiQH2VoP@=-w#=L$0ci-x)@NgFLu3}PO+J_91Q;{>$qeBT(N?BfW zB5618X-_F_7p$_7Ss@s#>r5h3ZI)>}5*|$8U3I1@DLI=lMdU4__3(}Z1oab~+Rv4c zwOe%l)w@)mew?q5eoEa%oth#*bXvqpY>mv2refM{_Ag@S0Jl4~f?|LqlI|!?HyAM` zq;v@SL+6xuS#dFvuxH86@spkuIKMuO2TceDWF7Jynurc+Hh<JfNN8e;SUd?Fg6W;; zHrxUvG%+3Imtu@PR5}Q)LySsTtjx(!i<eU^AcT7d(yl#tbnviAOAnO}j6IEnMR75t zMPI$g2pt5l@10NzYA#}WWptUVGb03<Wv8r~H@-Y{K<4u`e<}h3sgl$SZz@tGS#F|Z zoh=)bn)|4`Zlmi!fT-pP(lIeAruWT<>Pd-_+SGNj01AyZmu%9rI7+a-tY>A(XhuSA z33{6nnkrMs<U*%}8kIBB2W(BZxK(iG^02pVY%umoBr_M7or?UAD$$Tk*HqyW)(Rmu z*vftw25{r(&l0i0@3fJj&hM0;gIk<`eEC=>3Dz`RxUs7UGACWHc-gM^BUNNi=HfqQ zss|(Hg~pQE#<B+Nl>MqEvqdllicQqVeV#4(JS(OIj)*5wB`Ow$*nbhBdRE1UUqXST z9VV+#p2b<iJa?Wz4*}@Pe=oz5?-<g7`c;YEoZC!Z3nMdMPmp6>_QFKH56;$P5^6|u z$i8*GHm%o=wG5ucjcm!&re)`J^E_sNYDeTAQN|!74lZbks0oHVRq|Ne+W2X|)WW$2 z=W95$u#^e?y%>uL_(&3Y1PO<oItozy;_ETEJ|0eLRKf^EPJ=g9GDozGxS<%G<h_Y0 zJIQA_8Az{BjEgAMY{QtCCO`2Gi4!XUmRYk%y-vSoP-_LM<mT-)Tb=!{s7k6{N5$!k z+!f&ZJbY#Izr2)vmW0qG4l4Y5a)+KY?q5?(#jK)XgU3nA1Xos*o&zVL?#o;j4%NAv zZdAlrBIb*>Tz1XoB24%=>Hw`PzR<b3`QEb`wkE6WK!rjDP5{S+X-s~Nql1@UZum7% zl4to;70H*AQGfF0d+jh9z%JgG@6R63BNqcqUP6?%D-O38+%qOGTRL3k*oq?7#JOO; z1ur?GDZZc<)P(b;Na8_a#K9WunV=U$PGk=fx}wBPC~sv+%Az%RhUX94y_^dejsUzN z&O5zmvp;OHzk#BaNu}A5hUhMeq*CkwSfh6?SPQd96p6ph5Epdfgi*|&sbVdTXVFJ) z<e(;KLzsFKO`koOCK@Pp0*Oa&^5`<R2Af`KLJxRCnA-OS*uauB9Qx3jzkqw|7|$mn z4eyq*>+wb!RffEa4)2LKdAcjY;FVemax&PBL|A~4)SkG6;G?1O?nzs>1@>_j7UyLi z&(;y+=7D>YZArTy4tcq|FC`+*fC(mFc7S~|z%D6SZCA~RfuA#ef><-sB3!TUBE~HT z@7U<MwjT+%Ve=<ja|!v<$)FBwCdRDkzY4J*fwnuZu|}TpR0LI8i)!46iTed6s6GZB z_TAU4-3W|m)KkA@sXBIPwiy|ZVwq*g);en`CT<Z-(6jBlG56D&q82!wkaN=>M60r9 zab%IbUvcE#53Guvqt)p7E{xrR8aCvaRv|^8i$Uz%l|CVRF6l2#F@i!nzvRDM$<Fu0 zxLN)=bOK|x4g6gc?$*;;uy2^S#o*p`Jk8M7I`tBJ&57tm&u8Lb{Fyd--jOq(z`&td z6NS%C@W69%x8|<#<DEXcxPMiTot^dfN`RY+atcn&cj`j}@&w}^5}q&okdFR)ox)-E zWUh$`$~+SArs6J-(U6Ceb~{0F-min=d`d)_|M5VEY_|of3+5)cC7u7bOZHN0l#O+d zLJC2l6Q%m?t^mL4=_(`_=T?Od@=QB=t<N<vagE1$2|@0qdQ0TdTJ+LiLrXs%jFG3j z=na9l#MliUWqVwGXa_SAd##QdT`;qaE(-cxFi_#ow-S_wx2qu#-c)<R<dy^Ag2^tF z#<ymI{H6l&am%0^>OyJyw`ndAkGS$*)BJDK5~TgtwEWw&25DFS(^~&++Jdxyo7Z2z zp0n}WLLzZjCCW2G*pn@&k*}G!kHX*$<e(({LP_wRQW+|P<R<IeCm^hZaNgD@+{q<f zo4Z~u5i$hYcv}NJ&7tgs^Du%F;}^lg_<lW^GXzIXJ*524p!=WH{G%dmWn4-Kf=84) zm^FX|JV>Ox8`8yxTpM#Pt7`iBFx!FtB6BQ#H{!@A&y3ekGkzT_P>+=*uuntg^Lk$= z)D~9Uqi>`qhR{f=l5vGT$&EB@Y4P|ui+EnXI<`KaR~-gYwa?~BtBl|Yi#8uDsmOhV zGMf0E7cB?<CX4<^c50_nJgu?^`;dH%()WrCc`~w11}&3>pOL=YnIq#`3EC3eXuF-F z`FO>Awo>>wI^X+ncoTWId-BqI@sfG*(th=G3wUfV>JjeOR#|SiVJ#YKc)~j=LU0k# zj{e=tXZpuURI#xYbx0)feM@ESl25GVEl?twG6>vYpEZ~&2psKs{NbX?>S4<2LFoq9 zKkXHIiz=v}XH0uLPxMJ8FAtmW8>01ZfWZ_03oIBQ2rl+VXeo>8pfEqq2iPXGu=w%) z+ms4V?Rl)K!Us&<os+2i2TcAi9+7TA@D)*1peL0zZ>ZDOmOk*qiL@Y1aE2_Gs9$PG z!Dixi$lkgCLbCf$!^iSo&}M%gXZIKyN%Csmz*g1EzDf7(^NZm$OPL=}Su#LcMA-`w z7M^fcOl`pPt<yPGhGU<RctYQ=Vo}Kr-m^Yez#C{-U0b{fc-xsg!f(P@)FxQml(2ha zS}p9;2Kw{m(hY2!OLGpCMCn{<pfAr>QvganOBn;JViSq@HKBODYgT=hHBzG7AS`1- zd{odNZwm=waM+mr1uyYUoZr*Lw#AP^6nopvC>vm)@em*pvtc>D%nG832GI^5BjrSq z`H`vQ-izFi0Fk~Le2qFnS8fJPLcyLV0ZrZxn?>0LDd_glj2wlkT6ycUY*OE4-2-nb zd?DRd-C*<x@_oDJP?d##9>Z3VSlopPq%Z8idSStNok7B~&b&i3upLp6WjGu4_zMZU z^rAvA*WEO$+!|)4;C8-=_rLGGVRi|;0j8>IUs{QLZbvLTduE)L^41zqpBHZT?tPoT z2R!9Aqbe7t$ONo&5WS`*9Y#!J+cq228U%PN^M}bu!;ahPZOyze{}}<N-waCiR~_}d zzOM3WFn=wIQR)}CDX)IDaY}mcf?a7?2(VTk^@ML}ozc9+*yJfP=!=sEWD!|Zy=;*< zE*ZtTgV!@GdqUZdkb0&*3MUp188TinZ?D|U6-#oPgt}N2m|^O}#oJeG-diou+wqme z)ZdM5TIVwREeP8;*Gbg%Y#pkc9<5bvJv@$5<zHAa7vp?26#D4-(a9{Bj%?P`go5CP z0Ep#Xd$pO=9~uh{tKG@X8dCVmj9A^u)hJZ0UwJu8qtcyx4sa)`RIi9tU^A&*An z8x`v9D37MSXQ<`rWF2ALCx8V+G`B(2mcp!-$d6m@j*>kj8wR>L4<s*cPZS<<<C?$e z#BT-#vx>Ih9dZ=stQZGHAvF0aH%0!8hF(3UMMLawQfTbrWXhycUujfL9Urt5wZcW* z)!TE3CSR@S5e#~N$RAvARcMN_5<LA#73asAQI2qX^nPoH5`#}eK8x2?t$*3HztdjE zAGSjoYW}=p@A%QEOhdT#!#!iXj<?i6{;0yI`G>r@780oELWiyaH3ws)RaKLmt(I06 z<Vckq4r8oUR+CSphD5BASgSyfJQZQ&Ux6G$Ui}m)tIh*U;I~q5Px}0$#i=Q`rq*$W z{8~xS_kEBgYdFG<>zH}xCzX~9UM_L$VMKaU`Da4Cr(c)%B2+OSc%{S$R`>qhKi-)Q zpx}-~EZqf3l=to{+sUjw8FD-CC7OM2PmyXiOp$B;6J_^`;B3~xPo6YFuUE2bS0lwz zu2wi3=JI^4<%E%%JJaA)BK)aI5LOE6o*jvN!l1`8y(@$)(Jay5W#2YaM5)gvcqwK$ z{sT|>rHIU7I<Z<WnYb!ygmU5wG#ZB&3U$-n7MB&EoF=0Sb4mUpXegwXK#VaJ*`<Tq zaW8Svw^Kx0uYymfd2E;AB)V~=-^-flZ%#x!j&8nGL7&?(vHY%E>aABC84i2ZHze;U zQ*ULLRogW2bpEUPOoQ<fdXH!~^v*}LF(N1t?56UxX?3Co3@U-2Ni)|`wZ_zo9CEH0 zy?bF#P)AqtG?x0%6|(sHG%*_|9GsmR*c~v>Sm?pAylISa^muS3>Tr=ID<#HcC<=6V zdMutPG#jI{XX+d=^9e(oU)FRf<!!l~NVgkjPe-Dn?(cCn+Zb`}hGqLDSv~1Mbtxa| zo65EG8z4^;eOc4Ehxl2$ZL{yqp$!joy)=TCoTu&B^DIEM&sDrXx&nE$fIM5|+!J28 z4qv4fPfrExmMtqXr(8D_`DpbGYPO7jx=e|`MXo3}6$OvgBjCrl$qdbLr50zTPmP!C zm@4fal~stwLJ?+)&+|)~DL^lwF@_&nBx^t&6ld!|wprFKk?CA(0as_;52#aMnk4JA z@LF_gZFD*H(4{3q>fmazp}$Spe!-GM>|-)4jjFG$OM_;0w%5T^%2G?spbSaqIvbE) zCcE~d!coA>2$95sx8JwG<sN{sQO80wCpRvL0k{rDGMDe<%9dioOZL}b65>cLP$!#X zi)lSC)<A!2x6{E<(iX(%mL)^f@>>Ql<+3=3_Yvl})TtqA*)7*m5!72zJ%lj#)}(~f zG1+V3n5JvQMXSY2mxt80)nq`^``doONWjrX=i830wLK6$$<NJ{C~MUiG^+%l7*hW= zST992AKjjqMXUo$TqegF!X#AJ{68%*K4V5?yZye{_uSU_mWYUv0?H+@s?vJ2$?_ld zR|n7hP9g_ElvZ9_a+ih3Ejr}8x?*o8?|26+6CFb-1~$>M0c`S-qo(Noft2#Extd_? z@{n}`HQ~0LMjCfqlfF$&WP1RPC!#3rE3OG|35!r?9bG*doliS;rVYCFdDRF|m0`4b z?TNP8=TuRiIKOZlH`gz64+L^tv`MvgZQpHw7GiC4F-BM_yox@X%^b^i8#Gf`x0E&% zm@)o2`5C;F%*6;zrz)pYWyu%Sk8`y+8nQUg#TZq2df!L2+iW${;$CkeM#Vfc(Snm$ zi0;H#G-?=oMj@j<ypxJ~x~xM&&9R%or9g1nqfk2Ri)mLn=9?=uH}GSxGT!7a_Lhdi zFzlKWy&=MBCR)33Lv+y2xqxc7mHiz5+E$-OwbHWbZ}W=SaZ{l2!hG0(VO|@Bg?CAf zpl>(XQpi752llX69i_i9F;xPxG0_H&-c*<#)`LfkUedX)44xvO&J2M<tqzC<@#R}{ zcv7mwwz8@m`LptDvRG(Au?BP;6a-JGJj57JXe87wcW83Quzra)zgpT!+XJ39?z&l5 z8FFiM@FHAFW5Xnj&HA6p{-2X^I4A7(dEk}CUn1V*1|SGedn{fEPL<Zj*|CB$4z&^^ zJht@sV%-8wDp&Z9!peVnZha;m;^}2uVA}FezVr9n99OgFab_G*y`^e*Ws)cP-=X1O zNb5_e5&(8_p>h}f+2y-Ve#ug{41U3t{)1r$B+;6ouO|-{V^bT&unU+&Wo}%OO6eI~ zrHIA)g;q{y{znf}>WK?V2}em^f-NHDkU+ef6JuEx@)sIYll8iEXao7NZzCe1oGY@J zZN#EM_|m3bsr5ENXf?K6J^9aAiYJ0lnpl{6^6XMs5E46(DT&Y<Sv(fHfo9F$Av>qn zVTjZJ3yo8k(;2zH6gOewZwFAVzh7uba#Y_Vi_-@bS^kEfiUx|lEtyKm=(GY|$NJj@ zg-=Oq2{xMl2#*rz6XG@(lmW^Z;x}ejS!d)DEtDOQNjXjz<o<N2Tsye?zrUe7P{gU$ ztNh}ii1`~KPi0+@OO#1L@ze4B^*tN4YzH^=;F`HtJ=?<}kM#Rx98X<#PFtEGObIT8 zSd){S%Bk8Efm}=_gP&Y-qSyz$JRPj-atftZ22?2UcDifU&y{yddSdRt8eXZt3U}{X z?@!SVSmBdleAC>W&<ph2xwkhT(b4|-N&87rlhl*-4<Z&ET(<`*M#AeCV)yM%Lx&xP znW?>Y2aTMD0qW^{y74Pcr#F{+rgt@&O21d~xu6)!<5Fs}E-@t*4eUWMp}ED=g;n6v z6-((`g1a@W2)g>lx=>HuPF$V{Nxj<u1H%2|;*`g`>kF#4V*#$7<Ng#gDw1<_=UDH0 zpwFZ>Pc7~7_SdUMNyb85R}z1>UUfJ;F|YPDu5OjcvYHDv1BJKJs^IalA!;(^$mEk4 zRB;K%M|TRI0>3AjI^jN|Vl_1Jo^OTi?!%#rvBo~n`CFd#w|?Fsy1(e&PDqsr70r|P z%v*=vM|<kHPfuUlvzQyX$F>$C@eBgvC`S{4MK}XGC1y3{unjTXpG#_PTLOCm{wTpQ z@D0eFE&~mdH9(1VN@POz5H{`b<png!H*39Lv7v?3gc->&Y^3Wciw&GxugeD{7_(>Z z$a3;qlm&B`{;2UnAbSKv4pP<2O;l1|8diJLe14wrYJdY@o!K7|N=~a#OcHT73-@4a z!dbG(eNLn(TN|f9YoRA)(c|md8wMY9TiD-XI&76Mf=R(pRnw3D$vOS7ts;rk{@w$s zmEhG4U6rUOD{HOOLs3NPWv-!<FlXnu%wnLKQbY5J^2tI<#s8%o4UFcx-#F#bPxqb7 zYJUwl^(St+gFA=1!)UuvTsVnD6(A0qFkGZY0D3hgDT`?24yIk-sbF+Ew1jMnxTSPO z=LOf65KO3Gz~Z>Hs*UQsAJzN%J`SAlL-F83kgT}7axHm<2#jRKb-R;fZ>rcqBS-2} z>~@ISONn5@nrqmJc4tQktY9FN{|v7QrerUEs9Ok)2OgZ#x-{bo28R<bZ(ymAad&k& z%|iAa0zU25Fo-S)QP}9?jpS9exw0?3zZ3>_PF&dTdB^>um8R{j`=ILi$~}lP;!N3z zFU-j>u__DnPVIE*NZLcHFS6NNas8gPc>jladFHYGJZyf#!HqYg2q{GR!ZNFD%vo4J z-ZIR;5WHve2}0Uh0*Je@0D)@JH|ETt=RXcFg;6JxH)*x7<DRn<41P3!twez^&+hvy zmUy!YK0kA_)8fTVf<iU=@T~U?56Vh$FP39{p@!lmOlu$ie$})V)!<dX^9Ogum3u4( z3T<Fq4io4<4;eK8t2<eL^KS3Yo^xo`Z9}hVu88BnQvgJt_e14-0KNRrOs!adKW3I` zwkhl<?8D?*QE*mWrEpY3Z}Ly_Q@G(RDev5n1~Xumo*;+7f{;j#v9#eFpdcrC^>q^T z6FGStu6hYaao)Z~E#i1Uq)^1s!@?tD!UIsH(}@i<pgCuf+XRPzNBFQL*vPHqw5%>u z39s={A)6|N>H>DcD;uR!3~v}|iDFpdeN4!sFduBKLsP#3;k{v0{BdnE<{~jOTQQi$ z7q$oB<#28(^jCcC$9aM?I-%}s^CX&-2~92H+VhlyxzbUM<I0*m8mxUU4S(0>uOdA& z1s;_p0mbS~eeRq6b~?Xr2yT3P2b2$N1%7|)>G>Ye!W1bB%Rx?69YZGagBo5qayS7Y zv4i-Ak*bazm8#f%pyo;znJFkLq*6^Cd?~YxEk6k<1EKh;HC2hbLg41O98K7RJY%Ai z`P{8i5wIkI2Zi|A0}dKL;l(d*HQn^<nW^$1xE8F#j<LaElE78QDz>Z=D-ECCl_l<k z{YoCncCd1NR=shQXxcE54;+BH10n=FeGraXw)zr@0#%MY9NqvHX>5I;^qoQMr^L{` zSprPOY{PkJ;;`J1^W2!)<p~8Li#+9b%Wv&)U<mkh=0sP6n@DQZroOy6$Qs#IftT6) zsDNBtt}W~nbU4o<QF{S234ppAD%j@K5@aaOwvT@hyFco6<4?z|6SOv;x5N>$E}1Y` zs7uS>@a#L`rr(TCn5!d+x;c;%@<v}^XBmEigCoidXDj(qQnyTzI>&nzZPoF#8<8@_ z@nR9SxO&(c-4Kp?bkVYWs^yYrK?E#<%ZaTuL)hwR&={RIo9?tD6zB|M>k(qS=U_&4 z)Y}64Mcj6`wM880mSr4~6diqosXC=iD*8`4>?LPa@;L!nt#w!BY@_fhJ?2(mkj$*= zU*NZsg!pXGF3DC>RAplN`W-J;TxMt1c?UCi7%|?-ArRfpJ*i|JpNJU+P^frb6R;Rj z9`HkP+fH;`0E8lrM6YTnAW04(Y_F8*P`@z9eLJf?$h|Vs&1NWa)Dv1rgcj$TOL7t# z-m$pDh^Vlu85-B27SXE&RHm)6^`kdbB<RrJj0u@UYwr(8%EvR8u_HveE+LFNuCN#y z5OFs}H#oAFeX>#}>I%z4hJG1MD6upE4kLK%iYk=ZI#6uHtm|utrA-SfTa$RKmX8X% znr^FoMTP`{A@-loYd@WS*6f>g4+KwKf)jF2^7-L(h`W9J^Eo2OG?I*^^?GKUb@UM- zHdjsf<~hZXcjF_)P}Qo$-0YpQTXZHUjxj6+iYj$C@h!;IxBqJl2MIMjU*gj%gbxRm za4(n+K;Y!$72@1slhB`Gx{-OI-wiG^fxQK2d0vbd+O+d^lC{sz{Z1F2Cvu!uv8V20 zP%XoVY#}rztmX#MT%7F+`x*KHk2}=2P=~9GZUF2BEu_L$Mmd?xYyUOGRDgVx*vBI< zBpP!9ISBoiJp9WfbISND1Ub~0l0{&MYz7N096!iVI0{uFNL&(Ds84xJSL7UbM^^$F zRmRavwprx<nY_@dMVa{nWZ6_(c@RCzhz!s47E!YJ%zR)9-Y;~TAz>8M7h*`xA`sk? zOD}WA+u<}=mRtuH^O{^7$9|>JOziTjomTqsD+E(QhsU=+Y!IqsW)YxaD<Zi@R4OGp ztYW7bf#I;7npy;k3HI&&gd>SuJ+>nK&aQEQ8w~qL4O}AhHPl5-+;FgdlzCJjhN3+= z!7ponl=+AIPEq!kCo5{$&^T26qhb+TR2o(O*RqFTT%r`Ao1|4pEoH-XTY}%=9ZY*g zWv>0ve*2|hb9Am1mpMD3k<i4fcynpu7(_z!Sp9QpkrceX>b$e_!K=T;D=Nj&eZ@=J z!ll^=U_OO5N*z_;srE+=1|tw)L&gqf<18>D?E=M-EcZmIhR<{cnk{3o3f0`y2foe8 z!!4;N)a6i6^4e9Fh^|J-GVAK1lky*!EVa_f58NvAqnK9?gC;9w|DI!*<4lbT{N_zw z$Mc~@mT}&c1ES}9%{xOK6>t)j_|QgBbk$4kz%|&-xr84SxFdbFPmpC^Ip^lRET2Qq z_L^re=4HQ8Mu6nMgz|ywBy+C)Oz+VE2RHu8=)AWMR-{X}*_!gWwb>|;<IF1483@^{ zcUO5C<!A24KiTwYw{&~m>&+wR5(&X;<vdz=onmsE0Q`G^{5v!v6d$a8fQUV@xDczx z-9-wH$?nAd*4f0qQ3Puduqfc05VoA>RiaWE6YbpS?{`-h@D;=-7tV<N9jPLHR;*r& zUmSOxl2tDhh{zgX0(D)XSO(3AM4b5QP{!&cKxXlPBe8u<>Nw>s37jj7Jf^!t83E9u zbY1rVb8w@!vC?H<sQQyLWwNe3b<>pDb&P3^A8&1XRK-^x3sUp_eo2nKdpPhvwciwC z!#$xx2^VH`Mpn<g0wfoh<0{vw?Y-1N{|4=0%fb2Mb*+8`{FpUPI07&wo{;zpU?F{f z3`R%vy+40Q@=xC%Wx(F-ckyynRl@&_u*YTKpHc$%?5c}*&{PCf2-StoLczY2=hXm) z#&2ysw<<6nZT4=`ahzbGD5Fk%)4l|dUklO>(v0I*-C}K+1Q(4^FkVc@mSNDxZ-LBs zElg{Y9|;aKAKrVdFVVw*81Ur_@&96SVHFm4ogDG|av9a{Xtp{Fdby$Qd{~x>`3Fm2 z#}6WLdKCCP0a$anaM2$;=MtkAP{dQZV94m7xWJYep?!OT8D?MtcsnD7weBRIQUY52 zjco*c{C)M_m-NJQmSegIC;#AL&J#$#!f@)nI<n>&Fu`5-I<%`e8Fl;m`0%L;IT#uQ zb-z8|o&#(1Moy<{*Jz(kdmB_H%H9qh7q$d^URZgmRE+dwoB|_ff|l}(NCY>mr+}N? z7-kB-KdZxEvDtg(4V+w!bHzw)dyeRT*B(q@-X9DYI6{6p3oq6l_giGBFc+m>w_h!0 zpQO(5>i7_%?W9{AfQ43)j~lhPAo|jI7X+S=8owA8BzP(o#?Z{Aa$VorzuWb(ll5A| z6Pn2*9(=#23g+6=j0c#gMgTZtt_x#;cZE8d;?A-lAZ7>TK8P?`F3cJEEaX{SzM&y1 z^7GHnFYmnA3!d0znIfJV>sHD74Uz$r@pO2Q&e)L7=#b8Mk<O@)&OGpz^T+_6*_kcC zmU7}s>T@<`n<yJO0Y!yXlP~iq3#tF3!mOk&U}OFrZX-vlsK5_W!7rpLXJ@((w3Ppk zD$+)dM^WJiNQJzR>IhPS3=&pSZ-9FJQ=tG`_SqB_{(pzWftGzbMTP(G0c3?2g#0@^ zy(e7Q&&V9j-mBz0lyW=2JI4zI@eUV?5H&*t@mj*XJ5Qp#?qric0ofI<qRc9{kw?5- zK|;LO9f<n~r^D9~#Gkl51-GQEszy(d(?MOL0Xus9m)B2))1)jQQT<=h$H1e<`yTWk z+@59-INN*GUGU)@@*Z({(KWKSCJ@vCB6z(25kvn7rNaLRr&|#5BKRNS<@=BL^^e&2 zM_fLFLbzk~A2|{t*}8cK{`K<vAK_5+&&KON;`A@^@{f1{5tF^i1C_yH9ZYAhEOzX6 z!SY%~3j=jS*|#ueZQIB=>EL7A&%<PLFczXsIL(kwo1q+Jy35Z7IG%x75d~WoCP!ZX z)1*C{`qdNB{|t=yCNi)Oa;6u=;a!!kll0+ja;gH8BpsQ6+xBk$4d5-MQ`oNG@f#Gw ziGp#DlunUIfyp276HRGdPcepQLG^-7GU5-lAMa#Q?_@IXWFzlnwC`jMZ(^I?VSW6E z0vX1#n`H0JsE2z(u^(r^+=IUd3EO!;tdEtb@bXK#<hVPS>!N^BQQxFpk(XqzJCdQG z2lBP!|G31t|G3Fg5U24UCrka06aB|I{NtKty|6|xeZ!t+gw0{QW&YZO|Hr}q<35i5 zv$r(kg(dss^Oq}s?)uBgUikdycmDQ2zbQuo2>VxEVy{-D9A2AcAnwel3A9XOr`his z>i8*f_8yw|4x0A{n)d;k_Zr&!9Pw%x6YPN@5EE7aTLo+@C(<DH2(IT7vH;c$y1x~H zCv73+(=_Lq;;VFUKn!j0r6RC!&<zU2y+!}W`Jw;EJ&^z7#6TQz^nct1@IS5~>OZa% z<)1yGK+3*AAZDLerAfmMUnt0)@IOu{@;~kc@t-{$5H~&WAE*6~OC0#m-bVPp_~3id zjY)Ulw*?jeQCCF$Zk0BaX+!vee?H|hODmi$+PS{MZJ@sO^P3oNumON<fHF3~9vdKy z4e-mv*eSHn_!6CC0{{sRfw+LNoB)-8Q%Mc@1dsRa!~5gz2@tq^xUl&4rI43mGmvJ3 zMCUTD>uR~n<vNJ>iQ0;oh01q+Li}==oPd6m8};(=sweF=h9_%-FdnDsWwtA%b^o|O zZ}G)%1ul2G;KFF@E#W;c!2G<Uq_9TojRnjth`RvArdPnBOX#UAVAuK(d<rRKeIbA= z)I5>G*Mb<d{i8;UY9V#f-VUE}xUy2dt~C~~{=nWk;_=0Z@OHk4Qyan2RoYv%<8c`G z*!>0J^NCNlt`n)bNHWF@oy|`a+CfudE<<reHuwIgPc{&G<e#k}n>X)oPaM5|7~_A+ zph=#O5y?;~Mxwn&%+ys2tloxS@PVGl{>=%#^emF5LUwNJE5ZqmWAZ()Y0(4%x;uzN ziLCJ?X|cZ)9xdVHyFbKA;Oi_@98Dk0=}ZN@xy-W!CQ~k$-=RnrO)^zKsuI8&f@<Pj zKUcIVOaxS+6F&Ll)y)P%?&*CNssw)4B=TVM$84bX8AYE?amwq7kB8f>{aAm1Ux#kc zT$3{ukG#?HsOFXfIB|1hrni*slq6|y6pIB3t+P`y`$w1I#w+@?5TsLLK%_`&5Z?>O zZOzk1fQOeK=ClY%8Wbsl{eg2H6f++Pvw`=56hQUp+@@Ob#_F;<)1PP7YXed(1fpyb zeb}^a0p4fS7G_HFb_>f?Dqe?wz&`P)lq4pB^NjK*XU4j@t(LXCe+qMqf287P4JiD5 zUF4*-rg_};X}i+fYMJt=+qW?&5j01$fp;nA-<|ITTn~A5cC+e3ZrUvWE+Ug75cRj~ zA&bW*=VKNgNTGB+4Uoz0{OJvx8iDpg9`Pg!pt^P4R5~n6@7d`~EK4#cu{5m3DG~6Q z=436UciR32EqODgFq$Qb`NeyXQ+mTeBHE#wPu&$f+FMu^?DhVF7_RR@`KdEGwx$&3 zDqHtwk`Dt|kaw5Qg9B?m?Y7|{k4f`Pk)l(f-`d(4d`HlCz3Wmwb^c?%`?JQ!L!Wj* zhN94Wy59CrwJMf(Oa%*>>@433%MigBGOXO@zh4x1wZQeUT{DVSFd3$fhISQhbm?ZR z_`~W-WiX{ioARUry0l32t?V0<X11&?8r7W6ezTO&6bL@FAx>>mQh&nwWZmW070Gey zostZ@<wb$cugVb8XSY3sXM5lE{S8*1AR1Se`_HK}Ekp@q1xB<D7i)Fhp{<n`P{vl3 zCI)n>tp$XUr$$?_HN?=JTCA^&ME;pCT1Y+_s=##Z7%o`&1CvJ_)>fH}H3rJHl`#Ym zq7E-vL8IA`DCp-2bJpE&+=z)?0?9>(erD69K%w243P<>rFUEM`7fOhJidKWbEE=~m zhf8+j%KgcocB+2eURWo!OHqn$Xu@i-ob_*{>UE#?cHC)i$o4qt5_&}()n)g7>9%3o zB)jCM4J$DCeP7M#zDglTmm>h3rgYD`5Tr~0-)Y+LgyqXC-=?3w#l+AGN*634tvZ2o z<QL`OEB+LKTl(fSiu$1J7&MvkG$gchE8YW4ktf@Skg&Qf_!UOOtl6LeF=bI>h`QSc zky~;b-lJzKuH_z;mCy;9gVjBxfAP=tk({^bI^mo-ZDQO2Wfxb^wzRNM9P(WR8t}V( z5o9<5=ur67Ib`GL;B6YKDdE9QN%lQq7AXk}*_?okaugfU>=sNLfQz~uaMINDwAx^I zSuN65Y($PVQ4aB<>_xw-d0gUDL`xj+bNE$&hg(7K`a5M5huqT7ID^JL?GK6wlUh;i zrarCwy@-A`Zps8I>f^Rt4RREFH3mZ>B(qPu#vPQc60s6KDAg-!D}i~{gGM8@oEgLQ zyDl4O{Z`99C7O81x$<rYYvM#>O4MRXUahX>T-e`o3t}XG-qU<WNd&<6_N&SKK>q%9 z)D>%ot29(Cu7J%SS*|q4Pe`9$Jx?|?FF+G#K&?t0!Hqd4R-E|@deB^hJXV6ijoBCS z*zL6M2p}7fJ6}KBMC>q>dfIYV6nnuDrb?#OLj*vh5qi^fVC&eB+Wb;q(3H&3&}pG~ zPc_b<Bj_X>)t9<S231TR5XFGa2kljXp(gcntVoIeSk9C(wK$tHpmIF}zofE5Jj?#g zn(gB7>z+kFil~i|rtD5Mb<6J4<%Df>&vcOAN_`2l595|-lw~Ox{L&bOWlk+U3fkDW zE-r=+526Qbo78ohXzaM`iS^1Dyn~&#{Y<O!*F)}p&#``o)SqeW?TkCSZ_C)m>=(6# zM(pC{9E1y<7#9;+COGb#W6rApX`F4uAw!di+Bi%~<Xj*RJvbao`xr{~T?fh!iLkZd zVGnGGXw<#f=m`{N2eztn54^|aZsinNzBi<<?<-bMds79(i@B>w-41H~@3H+nBO;_^ z>R^#!xP$^CURlPETIpuGzn;NT*2l>fTRhws#wiNaH~9S1Zj~-QIqAU9cH}akc4y>c zvnTig@*bw@NYmPa)AbC>j2IP4-mf;Y=&k*%v`nt!$e5^zI~evurz=Wr<gCh0I<J|= zNx!$bHSe_cJ+Tjap>@(O7_ou&{Yy8@f;tjfUvR6Waz82j;v5kHk~)odH7Q!;fkOXO zhj6i%R0kSPef*BfP&X*c4b?7IV|*-0B#)kgrMc)KT-u71gn^7&e7Rz+Ac2)Eo*gzf zG?H_P=EL>nfZb@LuCVRYN|P;JZQM_;USPaKSwR><I(rSii_2gXh{Jv%&Mh}SXYyvH z45T0`?37Q=#U6-3jRnL4FC-=ZU{&)~s+o9w=;Xz!z=HM?+8?e<jr(G=j8!G@YxbJU zNvXP?TXQ)T?o(QhdMF%d^95u-{KgGg+8l%DY|clD@{dIhd&T=kF~TL_po|M|f}A=E z>yRmv!tN_w&Hxqf7jXod7<#!aJ9(OWUt|Ia5=NWNmr9#idTO)!rQ=uPrECnUJ7;;w z2H!MG#%c(B37f%9VH3D~vW>V5C5*V52QH51tjQI)_^CCG(zS7QUQn>@i=be)toDA= zjAanf<C<+)%BcK4i`lU=G)dK8Pc>yHN{|(z`BYKxJ;(f45F<D2Za8^?xo4~6?Gj=& z4(-NJ-={m_YR1&q|DA?8RzR*UPn_Jke$|eL)}762_O_WWGDj*S=0j8qCLQ8@YM!l$ z38k>n$8t~iZbhrY6^9Vi({}lzKf@d5kbg^cgtsj<QFps69DVQYWsLr<`oli^N!QA8 z-W_H+JugDX2JG5~8}XruZkcQhN=*yKK5<ICI#t5G8vxx&9wk`;l+r`{-U9YnHkk_u z*oa3(%V|RE@!AESCz_jpQ%9`J`52)YWco~XFDwji*>AYy$1Pj_Mrao->kmB{6pDo# zc~0evimp1h>4Q^K12!U_ExgtU&xGhZ;hv^Oaik|5csLL>ZKxZa(IlJP*i_6q5t@`L zk7J1y&)n4l8ks4r8XF~-A(oU|GJGeP2$^wSV(9adV5$cYGIn1#nh_0<&|FA`kQrC} z8wsG>&JP(q)1nK=G5{x}GK5|B?2Cp0fQDBwk3Lwn#I%geH>=lm?Mn9pJVhDt3IZO( z3a>3M21c@pDg3fPvOm9X^tnwM@_acz`-jl7tTK{oPuB)!ulTaQvSKs^FKuO9X^3?n zMeHCSJ2|+e<rB4<Repb^0S0qE?KRXFPBI;<2O`iEc2D1cZ>n|iFn;*aU^)qB3g#!t zIUVJAdI@i3#WV>>KK9QjF>a>$Z_cc<vdWX@o%p2N1(*w#ezYXT-}CYEp04t<QzbF^ z*d@T#j|O>Pc6_(~VgfOnc6{u7=Trw+O?TJsUx0DKq!s;iPx#N-8Q(S4a{ofJA&0J} ziug|kMqWd5-E^K9&{fkh@bKX;A(pPDIMlMc@RM}QeiC)HAIeLjDZZfEGfN<cA0USq z(tjNy5=_Ln@Xcy`&Zo4dptT$)cTtXSCcS_r7>miVr@aQ3=0w|p;I#(Mfss<rgX^^S z(=yQ>Vj}zN34x}N+U@2Q<J#usv0&)BI8ZCglJ*U6Uqh`9yH7(!rBcng5+<~xC%QOZ zQzmU0Sh79V+Dhd(CW1{{^~hO1<&76krP5AwKb)|@pJU~OemoTtN_9~`eD+#L6O20M z+Op>1UbNx9sWhal$zi%(1sJ-OsuQwrVfpgaNv>&914~h=R;tElH3TZm$B~{&=2%!L zK6ARhSoA4Xa{@{DMuuy`zp8UQ+iMl@$zA7b`PdYlNA>&X21JSL{k)_iL;>27Lnuq3 zX&*|aR1WBwa>}i%IgE-1c94T!Kap}wlC+)FjQa#}OZ!BpBC_`^k#o|p#%!jz2S5v` zjD@GzbN@4QWEI&ionJ&3`b5P;WJ8VE($TJUP_Ebzpp2ljnMQ=p&{Dyt?9V-lZz=s7 z+k=`PtIj+<<!3m#mzkaUY7>853ITpJnwKUer6jsOWf|yUTL6!v!}v7{2j9V5?Cqs$ zB{-F;HQ>Y`h7mXW+G)JeoyC$;SuGNlfUGC~q_mVK>A0j>0N-z=$%@Vxkz(n>YQh>v zF-Fh;RiY)#0{f$D`=OLtK7%NgDVX{!k_e+A?C&#}Y|ZE12>M}*4ti4${ItIuge;GF zve)C`z82=oW{@kF8%hUc-3xn2M>dnzKPLPf#hVDseT~m7PhPmtrYzG6$d0~y99Hbf zGkkjbu9ZKc;?8@h`gUk*p3NcobCj-CcD8kksh|BPh0<vtkVvvXVM7?eDd$Rz22hF$ zwZRay#F}(VGO4>9#O2hb1Tyu}${AF^+PE%KpB-qj$<uC%BT^&!o$ENTw;$8*m&Y zcS!&(2)ME?23;-STg5ea<V&10I8lqq(TL3TOiZ_*6oz~bs2c5x6n8FYh3$V+qMhy9 zPj4jtF%>W5d#>G^_Mqv`!#t5<m-#pN^dUuSjPo}lglw2@i%VNhoj~4=%ki~k{PoMw z2gMh^36<NO-+|m01}Kk@EF%jO3vZY0UhSw`sP&|mTbsh7l$ETtr}ug~4;PnPZKz*{ zEK|d;<eci&zQYD>UK?E|SY67zZ9cj8j_5g`Pl@=CFE{YQf7i)HaBbf0Fr9*M;I%*6 z;3n!)sepz~!Yk0YO4P+cYI|;<bLP6RP`JX5q%yoHzSe9+48iVEN7A9c!zl|%2wuEB zPw?|ERnj`fMRJE`LuhN~?bKPeX!m`8J;$f)-ZTZ}0-9EQJYQV@ae{ltT{&(rW<G?f zFXU<e(4l10*Sx(BJ=m*BO~R!j)z0wmB74@E#QjvuuqJMt$MRUuzz#V2o&PYpR{O;Y z=&v@W4Cydy3pB1yYBDiqUSWn~dKM-0cbWsG@M(Pg33`?1iC1PG238x;UoN4-73jb6 zPn=x^1+9~9H`Mre_un{c4gnDpqiPvQ3{?dIBsXn7oVPg-KITa{I&3=auv$c2jNg%? zm}e}3E?VEkmpQn&k>YB&PVn2MHCZ3qj%TICTJdJp0j!^k*lRV0AY#6d>b|&7yy`ZE zaZl?KY9k6l;T`a7eY*lB8|gNA7+Fd?gJw{{zedZOP`&+1d`dHyD_ojsSLr>#M7R9{ zsrF2dC`pS(fQ*|UU3w-(pwyKQbv>TwH^jcg6wxpE-ZG^+6K{@<Fo7RR9JOPIg`!|M z9|_cHhl1JvVezB@pLCnGXxb;;RGF1bMP^~FQ!5(WqP6f#9%?j)^N|VzG8Og{3<jRP zu5KR9UA(NaiReko?;C7{aw^FYw>%e9FYP*>4(PC<mlY}#Z=-(br56Ib2Fc*`(C@%> zJf=T=sjx#r-ma+1PF9-b7&Of9zeN_pnorHz9J$)~xV?z;;dLOBR~_99LgDrWAMK|V zwgHnZ37B!PR(JzKyiur++eF~%2#eyPBR+8^+`smS*>liI@~?vvG95_r%|xfmrouiU zv6JW<gTk5$L&O?gY4LRqfuu+LUkftBGdQTz=wubfx7(>u3RQE&gWGrbbMmOuA{!a7 z?PF2hZm|Xj4r;hT^w@kd+<6Ch$-cJtTS!9)r=4{I;31G>F%Ddqbk3Phx>9%$`wXTr zIe3B`mQ7Mc`H%{o@N4|mZU*^KbyzE(lp^}nX3=tG@>_Ue%t_`>grL!7zqNd;LW0(! zbtpj|3C^q-ia-!f=4J9zP=;dRXgoUwSz-Xxi6d<`A)HgeR8{q6kmLvbU__nXx@Xow z6evuL>4yeog*W|C4uPn)&?04VHfFBRcgkzQgDHP(vF48&vH3A&$Olo5WiK3NCOY0{ z>VO13ziNNVClkEi-&UxA5uZKL7P)Nd97#?CgNv8U@1Qpd1-f^2wb6Yc`6_XbW_V1S zGc*bcl6^ZsGv`DwdT70PdO5Z`6Iznj<P3d{{r=}Ff!pm&@2FHTX#7#{5gJoaC{~$2 zlI0Y(MW<UFn0M&_E?HCH2v|DG_KrH+qOPX5CP>g7Y7$lso>tdD4DiFV(ZeV{2+-EQ zGyQ1WLNZQQ)!EZKaLF8iCz2R2O2|CK!@rHQDm(~#Nn^g%|5SrmL}K2G{pdR80L)9d zt)KPrL4N}&%=|3*aLgn8-g=e-g%B6-u;iFv2#r!#nLWn{3|?Hc|IW*p%NT|Fi}UYL z@@^0DK$g9gBFQLx58#pomj!#Nzz?1s{=!BxwOkl_ttJUVpmD7L>Oc#11EJ}QV4a_? zmeVLjrz@G+QmOEozhhqd=o9+gsCXR;F*njule0Wv(Ixv@g1VvXE3TQUBvR0TB!K*7 zQ<c9D%M>I#7J6fqWN=KUeIxDP+px=r2hnxJ1|q@uFf*4wQ}m7Y;rO{i$>Qsnszicy z7V7;~(TCYuv}oR+4Zh3?Gh{SUe%uxPe$y$#eU*2}p$dWEQ_#AkbtdzeI(*}e#k-_c zHRfxi&+iM_A3S`+i-o(SMK@;J0_px<=F1T@NpF$A-f;fP&5dsZK|dmx|C7^0PE6-f z!fm0tK;htTcUv{m#2YR9KH72+>c>abjXn~;m|VV^3R-$i81`r65^?-Ggl_h%<yzT# zarWQ?ZD-f~`}aG#KJpr&QnQ8AY80UbO5a?*&)Tyydu|XOi?12j^na-_FxDNP;acuW z;DiJ=e)U53S_FsFxo$B>We0Y*Vk3!kGY1|qm#Aud>is)kmA0d?@gwZ5^=ZS9yHf{^ zCUDKMXtT%n{^rKDm}Ll*Pwo41d$bm%&6D&iPrF^^>Q|6a5zfe;iOZ^9(t~fk>-oX~ z4y2^-io$RBU*B@n{jS_^r3}7$Kg!25I?Z+G0UaGV!sV@>8Jpg4d-rEfA8v0q%{e3W z@z2&+g4csyudlo!ej%{Gc1-TTXezTp$@fXXYFzG`fngEbWg}-jN6o#Ux&m-4*@6JS zhp3_;JjAPrYR>Uu`+_~Ex<iidC*SS)i663jP)}we6*~NYRp3H3wA*`c3wyvnCV48_ zk9K?nowqsvvNLYJG*1Y$-gJycKL6d1X8&k!C4*>t;>7WDN02!k#X(Wncw8+;&xb>E zKvx5?&)=`cBx2$=(yWL#{VVX8$(~{jx#LCNsrC&b?+5<uulJ_B=Rpuo^SeQ05Jb$% zj~0F*QyY5|1cK{_Nl~Y-e=eME_(-lVa|zpy*rZWDw1p!!{SV~9S=1<N?qUvUV$A4_ zHY3al)@y_|v?*obRV5qh%m5jpPdwz&6uqaHdWWpHYp`RC-?`-eFm!lVG_Q|W%|~T+ z$O9XQsIg~iowm*e?I2aD8GaAHSplkNwvH=oO|_tX_>7(EFWp>W-2x~}dW6>NxT=h@ zNqrWHhxaG&gNQQX?MM-gb^H`{JXR(H-rL5=2cJBrj+W<}S7C`eyPE?IH{f$|wui)+ zP5Qu2j+JiyrhRkGwwLOMB79L}uz>QdEkm6(>Bu*fu0{frwC74sUFDBY>G|k0CqGl~ zaBwg+W^$_Kv{J?NA%NFK8y-D+IXUDH`u-+^!qBIm?i~;|XnaWgnL1Eu6boJ-b#33s zu~+c0Hg6GAu}nN?ZW>w(AJ<YtLXIVGW4!?j<p#0dG(xUMe7h8$pIX#d%q+FqF=??z zlJ4tC0mC7V6oHtA{io7!*3IL4lf^+;A@V2l$nBS=)w+h;EyFf*;sna6Hk>b$Ne>Cd zX(9QDRGV^$^ZE(f5kG?{y>~?0(7RM=7T^rO7TH6wrZ4e?;(jTA+NQ45)JZGnn|;x< zQKc^Z%10ThAHiBB^<jC3dGnAJA(wo7{Edvz=4(Y>#4nCv=Jkl_4q6$D&xVB!w-2A+ zdBpd<=4*~R_7uyPO#=5-_YwY3Mu|5|aj;8ba*MdqNmrI8O_eM&p$rgKJEO^s>qBMz z>2>(C-{B^COB=F+DUnWyZY7>c9TYE5o9;qb!bB*Z*Opw6JBj-?TEy%1uC66=LtFkb zCL$7~&W(=Ygay<<HySF3iC@-^G+44m!*)d{MT}9+%u>i?ZXBm{DJ>U_+{yI!I@3gV zI75+|RzC;?<N7QYYWCTB^|$F`u^ZT6&8^h!+T4yaI(5F}tYh}uj_Ds9VTzAm5RdKg z6egc;&Q#B!@4&<^2=0t-zM8D)?U%pl2SO9`AN-c-)FZSl9>}KX2F!g$HA=Q(!@>FU ztjkK+MB@7jS9kx`%Ii9}WJqa#vXCZ*xX<?rD*9OTf|VpNy1Qkwanx%R6g25%v6@@4 ztC{KZM2wM)ntW6!BJ9MoPegY~BuB>%tk5swuBuSsq-Hk7F)Zc`rXfSC_ae|`=`d<n zaW-{v^Xp!%ccQ~p@vc1Y`|Z=7w2%)+Vk#*b>_ra2p<E8PkB*^M4=QVb47IeI4dZbq zxn20n2#L*tCQ?L=j9t8mHhG5j%@gH34pZR}e;Psr^T))zOJnsm!Cq@xvNl_@R?iR6 z(%8VxH_BefpzVGDatC_702^@ZJB`(IpA*~+^z1ONV^yKm@zPs6Ss+*6aEFpjzXrQe z;U%fll2#xW>6djr0OU;`wnn@UK`#s@C50bZG5P=F>6?N>X`-!T+dQ#t+qP}nwr$%d zww;{VwrxAP`R<R0soLo2hpFzG>b=)my&?_|2~_++Ms8<|=RK(tz&uDmL`D*{lI40m zRR~}iDiOd#la+(OiYc#T&3Kt$9b4Sv>+#+}D4O9%8$x9%K)Wv6qdVwv%@bgO&$<v# zW_o9OG_ybTR{#yrgF5Q(y?LjPadX(8yXS?IPpY+o;uYlpj#R#i60OmLtwFQ~?P-;Y zVkmqbHz+q^zeJvn8rxnz%*s$!e;a`#`%<cw!85KU)o+-Cl$S$xcBK(|djyug=U1r- z`a!7OLT7eoE~pM<urC<wckjj?q7)}{Hi?r`hzwm+njrIe7)awt&W5jCKMkMG4MNls zb`t<^r%nx@9xbA$4)*7*12B%NU?Nbx{1rB^?0aNlxtjBV`>Pl77qubMdntcrN3>#m z3NfwS(|Sh_)_EW->F9rJ9$vA>GSkagkp*M6yDf>$iiZL{pL`4quaCx-4fCTnZt{Yi zYc;#N{Sv+puQu2v37o72aVQp)F~pPFc6m22F^gbz)hhA(-7_nzC6ZVGnA1WpYb!>J zJ*>E?j4pk0moV2b9B4O+$&rA?`I2Gp!o8yQZqU}Dndln<*gb_Hs6^~qDP^<#cUV{` zP(W-VHxQM{&9WJbx!8~Mnl!pJT0LBakTvhZ{!%(i)f33<+$KxxrxbrO2Q9gqbm#hz z9asrYG*G^+TtLQARU)!`i3Mlom2gzmVu%)p9kI02H}M7PHn4Qk?M$H+i7!i=JWvb0 zrA=hh+%-kIw2_Q>NnHtG?_T|@>lK2D50!!?5%dS_r~b7e?~_187Fh_)3hb*CRzY0) z*4pRjS~Ja-zP0G`t8OcCAy>D@n9^c)F*qO`T&lV*L~C99ik0`AfvjFVk}sK&XQBol zA^AjOjMv<TY{$nX0g24jp-e`73Mi6i^4-KB>4V@j7?ft!f7vgb#KSt}4Bhr0)GzI* ze`@%(lgIq`J}@?sV?Wa;ub&PH{{e^bpfuT>dxkB70o)B4^1u`AGN-KWp*HYJ${p<m zEl$Zpmz-kbgPqksl*5w@;z{>39vei8aGjyfR5tcN5C!eqq0Sw^RDAv{Re$0?eYalw z6s!3E-1dM$ey<ebr}Z-Jn&4Cvso)iws{&KUGJB)yK?$mVZ(MQMF9v{2DJzez_Bych z7$Osg5_vemH35$H7h>gplCa)U|9bmSCD6VZxaaWr4%PDl=ATmDTd(pIWS=Q(^v#X> z!sik2^w{1ps_qe8m~&jznno)m)_dCbl%f;$*3hZlLCSBnsUAj`8A#N&xwDb}TJbtq z`%vg*<K5Ep#^dj~7%Yqa5~t87^8nT0Z%8*%&X<BF=VGMtXM{wxpm;WRiX0Oc8+@ZW zEDu>7lH*m}@b-X0*JLH<W|EN$dK2K_IH#?%OW7Rp{#8dBVbgg=k=eUljxfJtz(dEY zEfZfwqy@tAbgO7D%b(eaDYWJSCp|^u$J?5u<DI4{O3Z{H>z|05eY-M*@tKxXwmLbI zC7zX_yU8&DgR7Puh%mC)3s_2E7ii>l{-jE%Mb$vrMNW@xACEZn5K&X_(Lv@V$9|y| zkXlQ@ulxx>yuP7nA?8fIYvQwzO7(lK>^dWwS%}0*qeD+3{VFN-*%D-9E6gb=IF4F# zT+R!~eyheYH*#YCLlY(f%d{&RG%p?!C6pSl`c;zP8!#rJeFJqZHCSB+l<br*0w6!i zPjJBhAYd0Nj=l3~3Fwp<#DfHCl!LQ$gX{EEkS4Gq)O}JQ6$<iS;el{u002QH5g_C{ z6wL*n=+Wy7J`#5lp%)39wkD3CO&4ZID2ay-VLLMgKF}bD4`vUrUu&0;pdpGQf~>(C z3Z87ThPy1WskS(iu~R*<r!r{nu^S~kiU(+tnGVEr{$n(JYGm-t>+87C==?YzH`5TO zk3|3+BwOetXswt{F-hmr!y;IuTAO%7wQ95XP%D9<U~NmYP{gg(G_+`$<M)s+)C7u= z$_Dp)$VVHF9Xv&=v9Hq+5#VRV5!EvZ=yxPG4x#HsBHEi8M4{rwSS&{<lmZc_NUt3d zhY`oLH`A9bCE>9>=)b(BA&2lY(>CP42Ot2_W?d$t3+PY1ZgMc_S*oPRcUiZ7sFue! zTezQ&9tcPdnWNLuuPgSkPNeV1k#f&Wc34+##;B{k0st*}p=3rP+|Ho5mU^r2Y3x*S zK^M6q<XbDSVk@pRD6D<hP#Am{uYIy&_-vhef2;o52yx$b9m!K)pr4o)7cNQTJMG=M zc>1`_S@0QPe|+S<N!Z?RnkiZB;H&KoR7dMR^Ljn3dpN$Gx|2AWy8GT1StjZYoUvn* zA1F^9cdLCQIGm+SPwOT8tF?~#=(KFI&|X;{OdOOJ_+mJ!2g!jc^YBX`r2%`D)dFpL z!v0asln5#@giwRPr6z(iZ%UvOOCkW_U}W~J9x}%r?XBjF8lnuOgElz)NFsRjX&jD7 zy)iqO=u+FHY9EHB2j;_&cBAerxa{a2GHCS^U;M|ARD8#+ph9uF>;{Cnt_vinAg$$K zseOy5C_us3JuIlMP9D>;(|-O^%@~lMxBUM197+k>QvHVDSY|;1hXPpB@#BUv$S^BG z&`qCV=jgoqU3ED%asdOk99Nn=$YfG*6v^S=Agun_AhPbI7Mer}8Aad-L?E2Psk)CG zCzRcNHHqk>nR+TmQ{0dwt+`pPC8w1}4r9K1CSw1TW!63%Ih7qdHMIZb^8Ok)^%On4 z8wXLdPVQ9%#fjFUyp7rJl)crO3aAAa*T7NFmccghk!)wfNs|;ex9n_tFM25YV5u-Q zcLu26;pSf^FN@Vci(&&Q!l+H9EUVZz6jB<GG*TM%S_TW5?UoHSAffIE<<V#gJ10RY zLmd3(LWjN$mAZp+doa}O?dsj`xMPfx)8>r8-TBU~^h4y9hN$f8zfjzkrx}F!2O{Uf zKQcnchHax9M>(S*q5PwzI?0u=yP0ipCL1h=moaQDF5-AJ<GT_mVGeV*txGv`K`G{j zn$ha)dnR$Twdx(b|LSmrB2vnk3r%4NT2!Oy@d4#;cyXC6_rqVxW9y|oh#eYIC<o)n z5-p@ZO|awuHDVP$eHxMC)T7e{?us=y&xG|F?owhs#8HTGTLhg7iWy2l((JrL62_CA zEy7>ypshGGvR2b@h$UAB?BFkF7g$~O1gICNebOggk+gN}r9=0;)<U9&tP<F}B9+ro zQa-ou%?JF46ts)E-2t!RV9DDK({OV5RW(}7R<<Izb7N4#+9K+NJi-Zxu0*a2C+2AQ zTx5^s_iqH;*W-?yH~kn=_Y=|x(|eE1&d-XGefS2{IFHx-;+>tXBoD2SH?wY0MUmvF zl;F7SEBRFH<H?0mKwiRdg;LNBc?~H?6dQ1KbCM?=)fE=taOtq=bvZkZ!V@b;P00Iw zjO29cRf0u65^~D}qEJRGUs{MlAJyX8vLs?HkhhEXkpNxP$7&JSD_zBnRsX(1c0<ee z)a2(X(oG9{bm6%{Jo{}D_-nHHk_*;=pj;!MK)F%BzaPK_P-{4%-v6`-$^QjzEJwx> zT-qV1dw)w+O;mAo>sNzt*Nnh*K#9NqV%|hhag#C`*F(*L2BG$e=+j?>fQGpQCIc&a z%<FH|>nxZE`N7HjUWc7ZYBG6#lL*J|3H0~_!Lb*U=qJka(Tv>@==mq5V~?u*WK5H+ zOXG5;ELR7JzfX;R_JB(fBoqowIB+zgpM2hoy6$(jz2s*5sZ^nbUz2Ke#F5T1(B$f< zmo7SQH`dC82D7pU!Ax+UEYE7+zPy8CaiCG_u>{{qf=KE2&-rY@p}g{@jjoawWY9vb zlGF(lT!LuuCk3kGS5}yD*OwGhNH_zTd=NBwzcbYcY9RYhn0>t<fiEVUfPxRcdH`hN z-&yvafD6waDI1Bgf8BC}!}b724-<bXhxZBfk4i*}2qDi6CqTVEz-LKEmq(xB3$-DA zwffAo3M=L}{3#r4?O9`vS07(&?e({1xjy=0`s`-|J)Q*s1t`fxs2rX4!kdC&Utx|@ zcQqzogv#?6X)nq{@%X$GAJKm@dB=`kd|!$8qhIl)^fC%{7Dho;i}3S^{S$b{>?whG zS1TYu6l6*EH3@rx^L2zT|2W4)g@0;U)cgTr%=+;(RD<19au;l)s^nQZ5=?PS>)n_z zMTd5@xNW_9KD(+^qobn(KL<Lfyr^yE^Xu_(cX@E{_Hg+C@%wsts%U$^P1}+4yE)$a zKXsdKiyvv<AEs#E|B5!%wl})4re9HG_8rMDU@CA5-{TFxNQl~_>iV!>P~Cg+KCX{I z%tJ1QwhWHU{llDlx|z^YhjCpgd_Q?0O|f}?)E;}@OH&I7cx_$2F-xNK=KnHcaPo<L zv1dDV_5X;5mk*6P8Qh*S0IDkod!g=>9Tg_l1X@-5`+FIs6@z3Thk(y%^z-Xb%0fXa zfa;|EjRQWu_=4{~Q(gdpnY3R{^FHXQWu;2L5voj+(*^#_Gr8euC*%&MUohf@z<3P7 z%!va6fEG49c6dcZYFXH3&(ro6PO1PtI%@C8KB3>xgs@P6#0BhD;m&S|)feYLoR~PO zmX=_{QDz65_Dp)i;4D3i`qLzgv~Yji=CkPIV=w+g_~W8a(88^C-0fgjQ1j3cO3Lrd z)`25?3|N=;#hbhn2KY?N8w!w1<B3q~<ph~LEu+Z5DvB?onGkP(<fdA<3<`OD@BR1< zlnl5IbrhAl2(e<E3b3cHOAS0SB1Zc}0qBNeU+Huz&%=rR=Kd?)?cxa^7bn}nn;*F^ zdopUl8lr&O9d<Q+ft;s6@V@WJX_<%Ph3HK#0N=SKOVDZTKo1(Y$BB;DykOhwAY%iF z(!pRqdwn5$5(8gLhV*!QyBfE<f`sz#(o^C!2FNA{$3vp6da-xG*XMM8KQMbelh-4z z66Nnl>4w9awS#tv!d6nv!hK|&WC<tP)^h#KVPa>mU|B4eP1=&_pdMZ5!nZuzT9T2P z6clJl@dmHQ!Mq@7DaeJQCuk8!WSBzW&>%UWXMHgY5?^wIF#043HSai-cBXhw^;EQw z-)QSnu)h64S>WzJIiR-|BtB76_X^}q-+R?*I3nbu9r~SRZLISPOI8L&i^?-$pDjDy z{t5{6pn=#t1*8bUAE=0J60GkpA@*I2XXU;qz@mCuYso`(TGeT>lSXg)Y^#k|*UBqR zAhCt-S3~;Au`gwg<RIRR{&dn&{l31c5o8H{m=EIYWM>$>Q?DlBeV5L{U6gQ;M;ZTF z+xV(s8qzR=k-Mo>?S71t#$jSI3ttMf@IZ;5ag%QO{FsH|SHhw!9!Saeh@}W5|It6S zvpUT`uXWu%ug|YGO3+ZmG^yxL^_9)iC&$lwRNQplUmNFp20m(0mqvB~ZxnqH5Hj7X zo7;m-BbC4Pv}8Ng7dbcob~L;V67&bzjT5^IP5e4odM)#!rHda=hVlGrPHPu&H)@J$ zy2?%&p4h%GRs+1!H^;Wo({ITgr=-FG%?=Uq2J-2H%c#`;CSs8G==}Z<h?d%94f$S? zo9=D0B?@K&3mSv45DL<(%?4BubSAgexp4Q!lHBgWICaSegRn(p8+VLm5Pbuvc53Q) zlCxuAOX6*B|1StuB=PHrN=dwSg6c6M6pSIKlHy40=*q_u62C(*QUc)Bi+e*r+Ni_a zd<>(<?=N|p%i1{Y?;U;x=JA76HlXxsM$D^NK3xA&@$rZ#6rbb#u$L6luwNza_r7uf zXq_?^b#=juBwzrlvL0sJkKJNk4tal?xQ`CspT86`7V7#d3{~^3UEG$Hf0WIuSezoB z0Q*`<yv_h^#`HN04CJ9)If(3pZnK5CYUSb)B~3z?wI)IJg=U{BJq1Kd5(H|`jh+eZ zJr3WUBjb_f08wEoe0EXNGO>i3IiLQf2<!raXMLs*A%R=M)$-y~5+XSSK{+2ja-|$w zGv@_ZO_?n&oM)l7`qADYBdS0pq~EPQ-WBlTlO!BnesW{e?kxdgo=E_<wa+5(!+(A9 zIA^vRIz}>L%Qj+)Glr%U#<F|1X`Yf<|1q5F4<47ae;Lb#v*lWGnu(f?z`A-CEyPa0 zji2_QK?J%6#wkS+0bs8xMcT{)CG`~O;(Il8uYtP^>Qmtz#LKRl_|wJYM?X1ScnN7c zjiYfv_|NPj2OJ0*)VEPD6#$yLkR1P4*#{^INDjmV(;witI=eWmjB@bWMifLU%Rr%h z9iJLERHVc{sLmM^EoJSA4Q^nbK&XhZ$G|)m11kj*PRMC;w!GL6BNI7PGzToWz+-B* z+$b<V!gH{${2&OQ+NP#|y<HIFPr;yM#9;8kv1Jvm2ngYR=4PzQ5$lS{)hl5<Va?RK zLM67sn$4Uh@n?euSSu%uA7zy;6->-t%fKwiD@~R)&}S;FjQ>tU0pzU~D>I<)MCiX; zEdwSK_8NL-v>DWJ@*$VCL*&Dbs&GN=G*pG~iv~i;-45$4v>!~WYfZu3em9Tc7{dD9 zPQ3(s)wm07Bj{a00g^S}-O#WnVEEfA&~spIOF-VfG_+mdZ1Z2k3vCy+^^DASQ0F~B zYqR(lsOrO;pd$bv;9DO~FhqdH5bQoc7>bXs&RH<+vn9@fDG}uBv=MO|1V&Q8kd2zK zXCz1gb<66C3EGCsRS587B!;pZei;tLors&TmnmpAWv^HePJK;@p%Q-3FhMaIq>>Ni zV1imM=&cF*7ykqdvPrQQc*M!JsWEWH$d=nkG7|rOdmkn@UA64^JCXpn{QcgPmmE@k z&qH73WbaSBP$Jp5RCCC*2wSnNy)4{UKFRD7bJ#&%uJMeFIpX~!u;YK-2sJ4ZgzWa1 zW4!z!18uL(m6@4*%L(sMI9n@r%Cg;tf+xtXqFJ)rQ!TUxqB3`xsHZm+I}LZfM^LdP z`)Umx?+{H|a?{L)eTl1Qigv1MpFmHsWF9?&YrMvUra%Gy(=V_{?=*qBnLo(Trg=J% z+n^uI7b~g?jjHgGrG~X;&&O$=Ptp*9J$%W#YjmD+I<UIwPsQ*0y5TQs;A;~Yj?YzT zAqe2yjH&z>T#PY}Mu2nz{7VI0L6AI-;d+FA`E<wT4jpu`p}mVDxh83LL=k>Wa~hq4 zpIY6~5+?CKUXgu_8*fmYhPAEmjy*p^bhrtPgr&#YkgJ2jws;23kpog<VTV{^J?nc? zVj+l1)#ZY;Pk^Amm{qw+$$bndmN&F}@r?&<h+^I$djv?ENKltwDI(Cs=L(glTp(mv zFAj<v$gA5kGFCEJp0A-2Aw5}1@|>;z%%sONGTLP3ZId)PJ_iHlJuP>pm%m%k%gvV! zWZY?@NF#(rZlm)TR!m;8_&G<Hw>+SvxlK0|^b>nCNJHo2v^z?>1LB4p!#>h*NWV~b z0^kkDbzmzFoGG{!V_rk=*?$iJM|G*)?5WePu5ad83+TLtUBS+2gnziASnvYO!mx-! zqfx@8aTwhQx7Q=lN3RFhjc-aBuqnfuFH@?WNt*`E2GT)U9;Gpqa1g$aArrI)JM=^C z!k&k~q^)FrW$@LF){mGBv{f?Iv)g?{rHoE;Ly&VN*=+MTXPMqOdwqP@1<Qfdl6TjC zj?CZAoc*}Ff_XCz{l)*$H+g_QlKXQZ-HM}Vbv140fEqI#-+x`d-|cxePZ2ollQ9>C z4TSWTJ7w(T-D{=TGh+o=nPD;(eth-g89!ZpAkXT#%SdIhUeE(PAOJjobRyq40O{xM zA_c#&1JcXM58m*|0!$(+zj?(W13U;Tc5VX`1Q;(qemp%PV>tD6Xz}cv#O;I&#BIkl zEPQd|()kB{i}>$sa`U|hHA0Bx#@@M7M1M!VKwX&<b7=7Cel+5dH$NTx^Q)TKVf9-S zs6SBgNPdHhBq_h(xp@k^Z`}oc+PUe64;7<jokQpQa^E;`?zMlz5!Ud94{mCB$Gd9@ zi%P)rc@#nRQT#KI_bG2@jtTD^zTlx*mCu2tsbd&8*xvTc91aj#<ikcz)mq1|#h9i# zuhAX}8o*+B+;s7MkaEqh+^4#y7Qayvxk9_Pe0lBKiB{`wY}AGXI4pZ`c68Qbss7V) z8$R;Y{b532_Leo=^Fzk#0okntt!ctuy{*zmB|7U&{d-@9;amPhWiSj1BOG}-o6S(o zeI@83Ga7ba#SYhyxcAT6aN%E`9+4~vkh5J{sTb{gA^^VJF6LaJas(Q`7oEo{2kD(S zGCBzxmF_Zpn>+AENM@!4N-gii!ShRGZjZ4)=!?tL2^Tv3*9GEDHdDxSz?um7YBG16 z1)xDDYfbZgxhKqsb@!QpyH~gW#%DtGy@0o4`_<n;!HD5M6U2kSH`d}}fDX>2Sb6=0 zj+ZC^?`}IhSE7T6D%f&;jCWIu8)94^_C(-f7xAxS#)q^LTbAdjN@K}Xa~hcbXqyaa zoeNtRMX_y93>mT9M0V?#`)9de{0?zHX+{FwM(!eI`|Q!-<Ey&9p9B6pm^k;$(c?Wz zi~lgfHu(mAmub)1pwqiY#rOWq+~L*SS3L9zOyh9ZArVZ~rlz+;-ZtT-<Da881^i2p zAfN~_ucmE$8Rpk9s$SUC$0KSA0LHNfr0yh`L_(BCPyKmIN6<8n21M0_^cxGZuAgUq z-P02^4=MF~7Uo~$j3`a%%S6U1?n#;54fBI6K<%L34^aiOk~NPXQ4<Wp`z8riHTQ@_ z*MzL%C`e#}Bw@K<=nY==j}KH5>ug5C(X|h#m9YfRTDMBd0apJb+BCuAF`~ft9qnh6 z2yY?P)NhsaxzHL6k^q=YCuOQwPPtTk0zV<~FxR9qPK3G)8xnjmYrg*c={xf9p)+%X z=jr=1`tUK5yTf<)`E50G(|h;HyGcYx3YCL=$u($Cw)xAf;t2g`^dE=Ial<Whqvv7s zIp?(HM%vBWtmA-d0e2_k`gPiQ#I2O8hiU6B=PdUBr*wW(?^BPk$%Kb=t!x`R#x?al z*gPJ#q1mbxaDc!2r%3lSL5fZpb<8CO1d7L;lPeeu!uvlWc$mBZz&J_5AG+U8I07ME zdxIc2CVz~0iJZtU`e#$$0ImI>n^SLKS^`_nhgZ4aI&acAT)pxF!kni_=VJt#u6S}` z+h2bTWLSCx$B(UD<Unk)yqM&Kexv1l_aAu^;}EE3F!m7G$|NPi@3fgWn-k7nJsW(6 z6gS6vF2#-qj9)S7NyEq`09bq29Dd+7Tb6$;tEdimWgjt)u&7exHNC(3Gl#3#(&@R@ ze11WS6Y3u-;-IxqZoo`1F@ZT<z8W^mD{ppm8&6<RY!zjZizkGlF>_}8n*eFEBkbW5 zoli1O*^Gw?n}QZN5957=T56+((fVqa@CJeu!qMC7u_(jEv1q-=CpjK{RSh(q+Ro6; zRE9tA*Qnep&J2GT&v)wao~P;l8IeY-B~QU}*SAaw2(C|e*{o$u+?1?kDLJ!rI=yq? zuoAE43FXJr6fAA2gBdoVi*>@%kv06BA?pi^!gMvcId+9c!A+$rcgDV$XF^UFdz9z0 z@ILpW5^f7#!rffF^M(<$+`jJI{EC|&IQdq}Au~!!*^tdv<C>7&cKZQyRqQaT!wTgQ zEhKYa?Z4(VSR}X-u+e;*Iw0Ah(ao%Qd{F#gLpt>2m79N`Su`RmAxwZ%GydFNqqgN= zDuKydeqZo?^T|sAgP;I_0YCuUXeLU<4Gnak@&W*C`Tzo;0000uc{n+n*ytIXI9Zt4 z>KPi-IvZI}`B*t&v!y<}{Y2_=HM1z8N?ev+b4j4eD8#xt8;GyTZcG1-BGqDKLm4BW z(9%`;{@?{ah4u%V1#eP%GW}OL#Osy~Z42Aw{*?05?T)(Tn_WZn>4&mq_F&DjZk%M! zqbrk^bvkGs!-w`@o>*P>@~~k3Wzs$NNAc|>N)5>C&i#jB-Fs41gL$BL?a`nE)21|v zL)=%L+xAo==JAEU<OWZ4!K2Arn+E-YT61%cOZ>hqW4bhpj(E`&FrJT}1-trq;f-gO z_I+;HJ84U3eweZYcc$=ef6st9ud2wpDc7`e3ti75S&dG2QVf30lLO04;n{*YY996% z<D_1LW>nrFQQ|Y-Ic%L+U3kKGM;%${(lSPqMk|&TH)rRzpn*1pUKuuL{obqbxZ&*E zI!fUCv(-0hA(1`iEP^Dwa}*t(&982fHL}$8sY}@Xiv07ztSKXg*C&^B6+)=gACSz! zQ(bZQ5mno|QCa@Mfc=9ga|4Agh+XsNWYHN>)3Zyxy5Wx0lkJN+le$oD%@S7Z4GdPb zqFt5`a^FIhTT=6G&z2B|!58G??O*U~HNcjJpLP{1J;<S7tgn`xD)GyfbMrO|X>G#K zwCv-{X&7E%4*R%{(Oa8_7@eMCR-9PY-N<Q1wkrc4kB-@c#Cu(jTv^iT(M989i&r~x ztE{dlomWu*6hIy!|3mmEw<cBnV;kw4<F6`*8=BGp9?=lk@O)Tw{v6gK(vSV<7m-Lo zaU1p%qHDO6V1fRW34kk+l>bc8FcKex!ZEa=7U6pJ^MUQ7Ys6@-G39m`T?zcx#nF`^ zgO(WICkAW-36|6wY=lAh<U`x`*4Ed`#7)G2_UN|<%a-w3SVXv?aq=CdP->7y;mDEj zm|G{^kGB9#GPp)``8yf`L)R6IZEr3D$%aVN*lrY|)cEpik2P-ai}hVbX4_<#Tjj8j zfnx^w$;B`=7ih)PzC*}mDaj*u^>0gs|L!z!o}t9$b=n(|;Oz^3n6}xHmEd9jV}PcN zsd#U*ihs(WH;xzoCkmv;7o>nLY6QZ7PtUqAu1KyBw4v<GQ%LZHod0{|dV3K4-HGmp zHpVS4wGwa4Z#2tsC4!eu)eoU`(yEhAIDCemmZZz&5xb-<dx&%W?d5=3$U18FTNZHQ zJEo6%U-?{KA62l#ftV!HHI?XfbK&*WPwQ&=_gn1E?a2!I0=0MYxzy}D7B+6*?oYWm zZ?&I`@I8U?5Tr)?D--|1+S&_HC!$U34i2TZ1U>gAj3PCIA}J6t28*IZ$qi*oAsDr$ z_(oyL@ghu%Zbv12%OI#82>}?Lzj4sA&DMysAf_6Y&Mkf9+G8AVz5VfM)=<pL0uSv( z+SIfopYf^Zunw$4`_N**$lufelUvst3D@!xmhEc`TL*O)uVB0el_CuaG(TTXr60A6 z_r`AeWQW-6X@qAMaC}5UDXGr*P+a*G)r>(_a&C;_rJQAFY1}7WMMTMj3*0~VL5DJ{ zna+%7Qb50h$3~~VANY!Ha;aQ6B#Hg_Vmcb^QEBjn=+CwD6s#pZPT}XQB9>1%E>l^} z!wTg}-Q0dsoDy9-d)iA@Cxj{pyMLaFMP7?OD&)z=O1>x`5}uS>sRvRvSCquGsxh7( z_TN9j@V4TL-gw&U%{eHYJU=3h-{4cC%$*d-o%p^P1p7snC%fCtV+&!6+4xBvA%@+? zrJE*IZ*dYZ%Ri5mMMkdW6gO(63Qq&KpG=?Fmj@hUYwkn{l1N#h_N7v-RC6m{tw<<B zvMuuqF{}FBZr$QGe1-YI)@gqgy+)P?lE!RGz=={$M5zgtMHx70B30&U$CylxghvA_ z2jqI=QX4)7!mW(KlsUzusR(Eqke|+@JOj;Dh2NcC1`l609X&?mSY(vJjtoq)xKl|| zZW5kU21kJ;5h-XMx{p;;wwq_U-@Tl>N2Oe17s5Y&66TTyw2t~DSqv=(4@gldwkjh) zc}e~bjIlBFNaP&ekJxEN=w-~9={5aHeFR*XphE2ttCdN$k~D(A#atO$)f>bX*{Bun z#EOtj(Lr;Z_J&bWb<B9O)U%2JntIz0+2I6FfzVmvtZ|f<c-e`=$SR`!xhToR_<l-k z$e|`Er2ZL!SEv+M$(~b_J{}d*+ZjV4o_At+B`w@Bw7!ibmA}6>^s`^k@q5AtL=jPI zii?P}7Sy@6Yzq?R8b<#m&r<=7mQnoEC|deD0M12KqCtRMBOcK;iL=U7UM=Yd=;-mk zD9jS=j!nZb@F}(ZA&NmjkD98<{xhbN&_m(rH$zEzBr+tqHkL>f?oAnzI~uB#Zt=yf zp`B9Z8RifdS%ER!9l4zkMaLV?FoA0tNr~exFB7k~>HUgVwD6__DzT{S0fBMwZrSBO zdjNByl_J#b&4s?eY@Xgp0d}0IA^fEy>^uBE^3!LI5}*YmM%9f_fYGLKpo*0*z-xF; z^-ljGv~c}Af%G8z#xL&5XX|(MQkaeS$e@CPv8X}fdDIqOLFUpLX%W?9pQcDw_pYGK z*8dZB@3kLh;CEvY(NAKEZIA}9@d&^FO$0kyO^Pvp${@!vdUr7evDNT1klcsm6qrsk zl<%9e0jT6WN`~$qv8W&(fO7RMEBiu;mHJ14T_)ucApfuxshN>5FxK2z1S^zZ-%l(l zj9I9D8kB<zTez1Z154hmB7I=hLmeNXRy18pM}#ZS^g(V8*_8i8u2VdtjHG#C%=js} zhP83Qy%iOa!;<U%@F7ai)9h?`O7)R!;mMKpUC?OTp`{eFL^)PIPWGl$Zq2xZ+#}T+ z>feoMoE#0^%3gHlco_;O+nG6UCJjBPYJFm|3h$lXKQdZa3GJlbXt}~Wj_-+A94l|x zZ$@4+ti0D+Cy;nr9)paYtREIE$Z^oTk158lu6ssHxYehvhUlDZeF4AB=ml`ZyR)sq z*E1?inX-e#1c|kQJ3xW26?>HAhv-Z*Ar|mlJ(q(fueTXhwsy%{B^`(i==w**En${E zLFg-iJgEQxE0mf<TH|!f&~oFpa$|CUYLs_vD3Ef6woCsnaP*HXbFoSBrj0o`OGBy1 zq=R(lcdc0hoETX@`mP=lof8>Ki+HG*;Efi}{1ic<4ONurmK69%QYGk`xfV`+8L-0H z*%nmLBAX=UE*mKv8KhZAYb}8h^9|x9%}Fa1*oJr$?hhgvxIgo92W256958i2UW`~} z2QDzZj^JHTMF%7>+>k~4GNm$`#KDn`q;Fq@$M_`6C^@MfmkeXY!LtsDqBw!G4(r>a z$=Srwv8^+GN%WL|Qm^3^yBAdvoskhcafbRhAN9wwm&cVfWrGl4u&l~F#=FWF@Ph+I zQw!)m{FkaSxY{Y{N!N`RqruXFU_-i7=3641bEfD+?@A1zs|3Lgj>b?9#$YD!ZiV%* zO1Fh^*JZ5EnodNN%S2{Dt1%@S(@Q2Y$e8aHQ`jOx3+I2U^-_)Pd1wY!{wz?s3X;x% zD!a7H2W?A8rY`8-u;qQ)zT!7N%T&4Ox6BEj{=^&(TneCy_&%i)!H}Z-x=YUF-DxT? zl5$0J3(Ps((eukMhI@LG&Q<giUF*_~hSZt^=7NzN;W@A}n9^yf3>){%BV0V|F;u)E zm9r3vvJ97fF$|k%7oKyyy3TerGj!XRu?dtTjUy7NyxhbWr|nN=S8JReePBc|`*}tm z4_?Sg&$5TXD_KwOJsMMrRk7UayYy-yVi$9c8Pg4KJkO`%6oorQH<DQxMjjmP_*azw z_78@=WgWJS^>N*yr7A&WlQs{}(=0e>EgQa`HYy*_30o}+s%0Z9T{m~tuvC0zr=93i z{B^Dz`=(X62-F(>#*k>)9E;jqiB&xr5os>3&ZF+4Rcqr5Eu}*I-G}zQa9vo*X*CO) zwt=U?!6T+&Y3ewmzxs4caHYSNoB{97Tgdyy>(hMm`)fiuJx{r!eq090A?>}u%TsTk zS)*p8$(>{DRy3l)(7CjTz)Ik{<3hXhJinKZ&6D>u&1FYt=QEI;WMzr$^BqrluvHq) zoR5udm0f+@c@S5ol<~&=xbSQ{r@#JExU|VzGRQid2>7)EAw4&Q%5DMStE2BjIfeG6 zrICI-cL}s((7De3^_t--;ZdXtZrwz6{?F2_zJsqsPgccv4@@lK9hJ}aMk#YTJlD%C zCr6cwgIAF<*F6<uhCJk~3MaH&?$~q60N9Q>l2fdkyE=)TXO$=3N@Bf=afkcs+RM0l zqiLgE_M^LB1aG5)GmS7zXAGx>Dk<Ht1?Z&8YwwpJ92>W88A@&MxzfFnic7^|-$>ZR zj&s%ZQ+lwwY}ww9m>Hx6jAjZ56eHfX-mm^;TV^LBsN;C?^iX$W&hX3pGec){)y)ON z)-|vsn?;d5<EGqtrrXxU^nkrX>lCS0Ctrhmc-omC(u#xwsG94%?&=X1+r4GxQ0bf< zm;kg`_`JQfNB_Ca!;}oF{5~>S@5aTy*3HxDipqVNniX(wAs5wZ0($z4E5HE#F-Jg} z*@1Z%oD~$NDgi2wNG}9WM(+;aMpm7zOEx~J_V-#fa_w-!Wg|x_3yId11dZ22q)E*} zo$KSdTr#CC7ert--D0Pt&iw5$FqxkX(%s`?`~wO<H{r|x6xW&N)>&D{rUE3)!Ty@_ z?jvv0{bpk2mj<Q%$zT?2!p0{LyuG<i`_h}QoTh^ySs(xKu6imC;pQL~5h>B=+}a-I zQ)1*<k2F1nsj<Gw2Ufen|3~{*1gzIk?5$)Stpr~&x`SAhg_^j4<*-8xqc4T{E}$SE z7m2pO)p*nrOrOGTb3F!LR{F0QFw;qs3=#i*^7q-)ERsdlKOB3$C-Af{f%k`vKcYB3 z#;ef9;}@rxJ3rM=FFf2IT3l~lItYT&c6RgE01!G2@4Ne8y+4_{&)ZM5d#x;4{@C^R z1ZzzS_ew$!@#`v2e=|oUpG^H}VJY^;Q3oRZBQ@k9q5p2dsH8j0USvP@|LGP~J3~NP z-rX~aRWu3{Q{#l_nF{iQH#-d>mnWcSDMv`BREF@y1<{Vr8;5K7lu)^X;@clIcVm;B z%unK12C6NOQgzSMpn|CjJQWZWhMx?kEI>V>BOKOTvz%2a$H7jx25MGr#VXfh5m6_( zXx5xt3Oib-9L{IE+)4#wk}5T(GZ@u`%OkDB5I!{{Qm;<2mNqF9V>Trc5IHLh!O>x& zC;-xHPMA_@+LnVmHz$H^GAHUZgz{3w9=348Mx}>sVI*I3o)&m#OT(q)o^t}<_^vNI zcf4EM=i_*+b6dOE7M&T4TMY?&<En?E&sjm3|MDwsMOW(%;MI_2>2#w}eNd9LeUi=f zh9dIHHSD9vU9Of*W~g)Kxgw}9Zf(_(qC@c(flw#u5XT{R*LO)u7R^yrWUgy!bQ>1| zW`j<1I{h2-5EbulwaBH%a!QFmnHvg?!kDfD2($!Lr~n4rkx0P0(IBc3A&FhZ3OPp} z0#+?<@X7#b0PNBf%33l<9t3uk)(>_bFYs^qqV;5sN%wG!8VXT3o0Gu1QH+#jCOH9A zxzRWSfx*lmV2PR5;Gp=p5`iEEPV3N+X=Tnh380{Zq>NrVp3Uc6Qr<DX_ej4~A1s{= z6nYekhiU^Ua&!-V5F;>AQ5*_YdW~Ep;w&i2$}M5VpL-vg{f+1*ra7+SV39RU8R#Ej zPDO?P13DY%5M9P4J#)DRAPXX}-dak)RgrLchWg^-z3LnU8cWo>w!iv%1;CwDY3%S{ zfbfH@^lzpE08QrPPpCkJJ1TwM{@%8Vm@2Eto%})b*vg~HqP=_cpuB~L;=IC$IUjl( z>AQK!8CtN@u<!y_R%lER;?dz4ccR_5d!3haQw#&bqa4(lpXd8~8$Qo}zAl~thK8V6 zziV7<#;Oa#&I8BlUi!o}0$>;!(jO)R;0T>kHRcc{(mT(n3<Gf1nw<YFL{eRlM7uy< z5krs!R<Kz^u(@5Zz?C7`k`1DH2FWsyYSEWMnRFYuq1~fv2Y&%jsuZ|J8XPAB4$GKR z*~C$JyMRKW%gb5{?9PB9feaf!l9NMK+NOex*D&092=y$SWL_aid;}&Tww(~SQJB*f z;VhV79#X9sIaQntmXLv%5!5jmRkvkw*daMZn2O4E1uQzD7q<qMUsM$+d8HY-x}073 zZwgA9I5kl~i5_uLvHH1_VkH@Eg!&W6;U^O2(-5gbb$T1IA!<@FIcaQkGRE+Kk;FFQ zzmySVVu3|FqM{V_63UfCv=M(f_mj%WiD|JdLW)^SM101BwZs5MAzMN@6U|+QXc1eZ z{n5k<Lm3mzO@@}rKv0;-R6>TfC;(Z`Bx<NDmJrLNHzgC5Q-+9?6vVX|D?)}S5-mu? zDE5wsdlS|*DI6p~$aMz+>uyO~695in0Bx#M*-iFUk>ia<7v-hbMH%YV*!dZ*l$&!# zWJNd}*p)WO$>1{DP(cqdTq&U<rAUkN*^T#?lU-GmxLqkF{|glV22@jARaCecQALJH zk`$D27#%JoJO5Js$%t&&PaGqs`kf)AL`MZJPFlpkVQh$!+Q!xZ5Pxt48%iomMIB&x z5;S`N$a33oL<+Q2ICemh1W2y6f&lTd5ehorT@*-v&i>Eu!f2l7t!w~@q)=AT@D@ZZ z8Q$CfsG0{NFO^rZxPx4h*Lv1~1O4@=(||bq9_k|}cw5^5;t!NymrU|hbO45%lvQ-R zr&i>3pJVO~F+~7_DG<wA{4;ycqSp<P!+*)k93i0mz5z`GhIB3;n+-txv1BZZDLzFt ztJXs_l=;I6<pqC#>kyAbVk&zgS-nee>MEe}+$&)E1|)9ip!~XWocgG!{0t_4BO}F1 zLo*%omry$0fI}$|D+~RzcciN#9s8=u0gRb-gaiyqt~HNcU)iV}*bZ%IY&Np0Q(JKN zl{Ge$#IPD#Qk$;!7ZY2AvMJ5HhAc+cqLXzdR^c4fAv+25tj^YLb2K|#!w1KgVaMKG zX9r~;9P^&(J-^=^m?O-4*WRp~zF*I4Ka!pcKbH<c<F;|aW}0<<7T~Qd!uQqg%k&3c z1!idi7Cc$F2WzA8nzZ1f@8cpPN~24Tqg+dnx4F{H7yN3|7T9%a7vO78S5n_EobR+Q zUOufp|4{Xkjo)1DyK!nAFMT29i``c~UIY82Je2!ZLmV7tB-Xw1kWu@B8wk*B)A@3| zek~nG!1ecy1P2$vkBZ>+6~p5rj+#D0nZY6*N(#<{<DUV?KLUya0TP4wHRnbXx3Z2x zwb=Mi==tOOQ4m|ABQ+Bwcsr|q0&PKi4*7o4dxUUdi4s@8f~ed<7VaZ6k0hz(r6FM7 zi(7(+z<~Svrbpk|b<nThV}X~JZRvHUbsKnQxl(hfJBMGJ5J7a0{>l2#ccygTD(F%9 z2Vimd(33IaWu06vDd^Qb6FY(HdVGyXAPIM{_Cnk@6i1p*3Ebha4KsgT7ovLlY<mg< z+*mSx;-k3vwrKl}wALbV*5Pg0YVovcI`et<k-I9Wvm-!LF#;Po=hSNWxLAb2<4Pqe z#}gSbB0IdlSc$<QLB?_0VhMQQgoKgMHwvijbvGId3KON=9Mp8YLqdTCz^<1^&JG8j zLzQYyf}dyJ7|)^5Q`|F^nkfmgicitc=1*_b*%U+9hl;O8PmVtwF0Zd`P~gvQBoyKR zA`ZD9lZX>ZIF+-Bk`J~OA)9m!vXI{i;cbN|P((GaUKtLxzu3KV_TBhUO13@c?X!TH zU1HWfF<nbTJf^d0YjS80&fGG65c7ikU_t}#OlXmXc;o-C(t$YQt)~;p=b6b9%>4=3 zZW(&-40RY6B01eo%{`$QiRR&poenOTNVIut5Hzt(;7~)C&p30Q-Mjz8Gsr_x=*cyx z-EJ+I+KqEX5Bl`y#pWc2@!p~I2}j=FixKup-S^9?mkCFAEBk44@v!A-CC94E=HdDn zNid&oZ(Dh@cJ~0Q%LE*{n}Yc7?3>knc%f>>79w!;V<GHCDSVnfI-3fv{XAiQpduA# z0nK;)o2{{ggS*4mG13SntQ6){e4W4NrJevhmBJP=;G!_hQW;y{E5+B@{*)YUSIq{t zA^DOgCm$F34<;=Qh`eRYN{P(wcuBQPe*9C*Z_l~I_lpL-U;C3>ld80ZF=_Hvx(8^U zhbn8-+7q-Gzxjr6p|>FI=@6UAv=hY{;@<)_=<0{B#25)8zhuN@v8XYM6sq8wIHI{k znTYB-o740yHJ^DAqmoWH(okhi@ICh5K!bQin4?4rw&Vj)9mQ!wN^Az8r%2%&t7K#u z<=P<wa{h{5UGv;Dr4X^JUv1>3$B*?19a7?HmrnM|xW#BAw@=4&u}5XYWp4>^>X0D= z4pA(D?^FY}M;I1n{Jc3T!|UR5gojpr5o(Hq86w_l6!tLMz>0l15d_UMNPRvAOf*>5 zN`LpEE>@~=mbEJXhxIBT%fx6irmDwBx=iAOup-~%l#wZyzVRs?aJDffJil|JkQ%2A zi5<P{t?yu_g6FAx1TmrS#gRfxaF`2q9QrDnx4ucI`bg<rcA`EIH?6T?>Z#<#hW@Mk zg{z2fZSU9jfiKEZ$dkK5DCRu{6n*MI8&VO-5yJMFBnAI^h(8YyP<5h#Cg==q+D@Y+ zHd7E9kmP>vZToeAZ;~y7jki*qn3Uhc;W(UN`JsCbGT82CdG!~&rGZh)8v0`;2Fw#B z0S`w5n$F!Pd(CN~bJS^I)5%hZ73IFBtpckmpZI0JTC*2ZRCbh*3U#r2Ds^zvit_mu zS4)QoF43m#%wXQ_P0xn^cOs^v2KL}J+(0^g)o9<>#^7@}k{944tZK@Zp@K<ga-wRB z^fJZ;NGhaA)0UY#!oQ6tX8s<BN%1f?B>A7eH?oPz?^>UcvKX^fD!_tPB~<^COd=%$ z)YBDMv8S3Yqly5Zrzk{$R5ohtW%L)tBuMM_Q(970&r*36@ch%2aR-ZA(b@ChCg7Z- zHBK&bHfWUDvxU`?q&;LC4e`Mux3XGS*r!Lbc@#5xz!;nwguQGhPg<<P2H5k5mC3O+ ze;xRY#PGLGu+!GTLcC4c!WE^59DS8@T5J7j+tV4lj-R17u(a+AwpP9pH8tN4H#!n` zh5)L$!jq(;t%KLu?AJPmfv^0pEH>x9i{|VYQCW^hmUd&zFp2QuJh{y<Nr=fS;vpXq z0#@Po9YsNXTQUPKX~E0jjsLaxZl6O*ud%#uj}@f=x67}3!~oKfWB=;qK5gsh1B5Jv z%Bp2cw(M|nMAsmw99Otvp`|LX3J6`$rOPo#V~&t@ifzY_-3iJ_m|xl_^p#qZRc|G& zCni?>pd|=yt~w9~$jsF(HfLRaBwEC_#;mO(3UZ&{A&#_36)ZiM^y4^S?@f|$Q&-_G zdxA?=6RTpyYWG7ACPXESY(p@<jgpKR^<oMRjG*yA=CpaujuNpW=6vBGar?92Dkl$U zg3DQ<4?w;6$BzP5QK)T7y22-xs;(8b^%(*>96)3ReXTb{<k~^dt(q==O_J%_cUcL^ z-ni5!?N0iVCs9UOk=G2!-*BLYh2XWB3M<=d@=4oysjU_|bgT31GQRdUyT-y#I%TUQ zS8gv&?XD>QDG7#iSd9G+O=2!`i&`^v&U=$TTRpYXoax?*`^mt;(d}mq+Lrafls{tY z8^~I}tEoP#>>Koje_`+K;U@_*ze17txZO-om)~Qkb_uw~pK5;gZNAK|9GrKUpy)`T z*7xAIcbILKi+$`0GHQKJ(Cn5Ennq}q7wBZQHM<oWD0_}`i}Q|%Y#Gqc7+aA6hwvT* zzjIwJ0-ikdeC!l}KVj$~_6iCTvtZ7H+tJl=0b`GNdOz+k`^(^MqXS%x$g(@`VAQQ7 z7yr%lwylcaW56N+DbI({V9ipdCR{Dz3p-8a=a872mabec!904CQ`rAtYIKAU4g5^$ zI1K0&`=otebiE7KNoxy^ZnwP(w@4EIFn!T4qUO1|YqR56M=PKcp_0MoW6l0oMfiw; zleA^T@oXeQhr85PX3Ar4^DPqLNi=%oW~NGseECclST#f74%wwWT%gvO?PQXJV3u#8 z;1sIlT}Q;?LTkre6xsWFA_~VXk79)zq2_BH<WCJdiAK!frm?rRmiI%QjvDq|eQzwA zr;!R6^!$d)0ivAa2rb8-hIS_kaa$*7QH%x`cu+aZs!%%{>k&tqxO$GP@fG!6^N=_* z-H=7<vbIO@&$c!L!6={WqwfKJVpen#?n7brq=Y~+WEN=n3AuYk64FOB)_7>>S<tuK zF_AfvJ}^x~sJZ|wV!%t~rozyM$42~r{o$9Z{-XDUWMEPIf@EmrU274bl(p`L{)FEZ z6NNiZLnB3Bquzt3W1(C3oSOU-Ty$ZUs<Wpy_9iT|uMO*nn7Wqu4cNd&mX=-Tj9j5I zb;hC-rUEgt5YNzM?l50&vUldMwfXyVtAs*OS}H!Z0A~0^2fPSR4V0zHeTsCo`$iRM z8ozf-OjM_<H&0Y*ZV);a22pDqq+3ta<A$qm@uL1U4#E6u^81*Ej4dkRyVFitwxr^u zE8vm!N=HOBh_Ek%1$_ED7cj1uhK^6qA}Qru?qiju^X&I8d>eVNprQ9z)7j?9F_O=* zZz)DJm@rx4F4UQ}6fkCK2*FyK`pCv_z!*$fPd?nGABsEn#04pC7YqZ@-n8rs49Z}7 zptKt9!N9QMS2_%DsZ$eJ+y{(gxoS6v&!6TJlyoVWM}=1q+!Or^8Anlz!m!25a<>dO z*k6~=*tb-&AlUzZj$w1-xld?#B|&I}m5@m!6<hp4Z0&JAK03a=0|^FjSDQ>FyD9-d zi91&rW=QOm0`1{G3P*roSyPnWDu!gEBNe@g@Lec)j%yt%k{D+{N!3VUK0U|iSv5Zo zB{6H7K2Id&^kKdzS!XMN6DayURG8MtRAqf;4j!+xoo=W!c)|{q87b>SJjA^|KP_|4 z$4F32kO`n@I6VM-yeLO|0tFup=z=O7tGhq*ct(u${V-&#^(}x!@&Q)HVN?<J+AiQc zIavbZ7<w5%WB-vu;%{;<#fbDb$waocD=;u2M4r)<LPW8KA;3_G!#HLvpUp7_q81xt zYy@JFj|1{w0D26h))sb;5M&H5o^h$N%)KEQU4fxL$cgbf0BQ~`jXA|lm{9~us!_uJ z7(_H4tbYt4jTV#vLROtUl_p%kUXj91-H=toUa_A?TmTD%Eam>5s1$4%vf0|+S71Oz zw15C1wQjsKF@0bn$tI((zafmp+P8{X4Wnnt;YuNYDFAUl>AYKl`z`=+2%p_06`hdb zs9!j`jUWZ~kN)>=Q#5o!U{#Ps2EyLa+M%>ekP@%0EEG}?drlsWoc~eKgalVrHURhN zJ;9gWW)%un^DyL<v=SP4b7Ma+HJ&z@gN0K32FgeWQ%D+k7gIoxl(h#eN|r$U#^}Lr zM$><;-hb{b0SPO&QYeRvdJ|Z*>~oM5HND^`?(XNQl3mt5i>1c{3N|-?=I*|ZF2``P zs4l<&2;6i1eGLU`5WogV+RA+*MJe+=5(TNfeK)mTnjsTJos2yowOy*AB(f^NLsn^e zQxNno*bGV%!T^-MHzkt7`!`Kj^*iu3Xg4yHt2|H|Q?YOWm{mG89|;v{^Bh*SOO?{D z(J&~?8RmYY`uBaZlX0usRhYowv=w~@3vl$l`%r3DU|;sV@4tNxBEMToDKq}g#C{kO zJ3l05MljT@f0)AB9!)j#&_=WC7?M`nz=fiUIs|4SFiO#Z1bv3qU?G=4sA2aVRkcU( zZ<>b+`V8~Ai2M}BJ1$?206AC<9+U{Ca}a}Pj()O!{Wf^iQ_oCtr0Yg%8g|H@J!@Tg z>mP;+yLy`lTHT5oA;VjWy$_s;)LH5m@&Y@KD{aBX^|rv{COf#C9rghh=q+bK^xELQ zhbi&JH&bHs9!$tgJ5j(XRwIvQ<QZxd)g=`<1NN#m!40Iib2xHd_yNd+{+%s#?TkfK zH_clU%382}TTW_>lyCy9l$R&#u^6-*AQ$Mh@bWX!aBbV*(U2|1snlmU2_z^aHYIZM zP3MAqd#BLA0T~=hC?>CDa)Au?kwWHSxsm_r>MMid0G4iXcXxNf;x0i01QrSI?u#w% z0fJ?*;DMlvYp}&3xclP4U4te;^SJfBuU@^|GgCd))91%j&9A9GeXu?hl*DNklwh*X z%rPAcxYQP5S4kot@@g+<0A8hVTlf}CTC)4s!bg{fE`F%P4o3Y6HTR7qYwJjd+M?;p zy>Q>khPDqw7;Em{*Lg_2nBbb^+w8PHLKv%Fg-Uy{;}Mh0mYUUivu6h=x#0`Rnf{(* zYH>`w+gMxLW&@-H4kKr-7`<9rE(T8gO1jQ$f0QV1VyU{7G1WQwp^e(6%B=1+&WkZy z`%xcgmY=GVcl0Y5NHo;Q{^;v10k4cMg)@vhc>!lTjR;r<*n>)~xoRKG%?$^?#BStc zgjtMBoyGo&W-Aqo$Ym@Kl_e52jmNG-8i3!|Z>AA0iE9T|)w)=77_7?-QorXk{cFRC z8@C^pZa9dlDc3#&uwskYi2#YRAAizN2MCxVua(GS?GeENsN@^61n<c)<jy}Z*88l~ znvGGn8`kvbz06KaVaK}AexYBw{~Eunsk2cvI5P!qA*aV4_cFQc6EH@|2tc3_t<Uy< zBIDy<0W!EJ7yYc<AYTM&5GU<EktTxA4KS*ntm_F#?xO2GI{9kSs)pqa_YJ;86>#{a zphB;FxFolCCO`lvPeYD3&V4Ft-uWEAZ}LhqGSg)A6n+bhiDVmtE*Mwb6pSlv2tFr> z)EX7u^B5Jr<r}?`oTboE_p_7ZJ#RWikUkxe3tXI4xFuOOevE!!%NV0pTZpb!+w5Mx zM+I)(qYBL0TeFqgHx|jztb7)Sd3&$MgUw6?nd2DPQYv%SB4))nO~EN|+b+k_U8TO- zZko1r@lYi2QdRU(I}sGGelt}Tz4|NTW{oDE%*EwpF4vV`*nW~=6})epHf2ZA=}BtJ z0On}i8EfVfS(g<VymQ_MS{I&yS{hwd`#V8))B<C|x@(2x*er7lCUbwuvN>AxU71lo zC-^=uWe9agv5lEYuc?H$5cU`o&%7S*wG5&<7p~Kh$3DvN<t2>o_qEPOd;<^v6dAFY z*G+jg5FsI4k-${ht6M;nhSzzC5ZRnwW{y}?A<qpjoqqS(O2xAvfBpKZTiAB#EA)*q zb(A6uuhZY+wl4HtF#V3S$w1W2M&Rr>hsu44+b7#q3CQ}lgcg2|*CER|Mt$CQ>Cs}w z(C2e_$7-V)vwBmejb;`|K2*{92*3gMBmr<*I`aTHxHsuzJU(k%87+&bO!Lj&g&g;^ zKE00NiA;bxZ2P`tXj!2CIam@+Vb@&ZBBH$2K;!GyHhykZ$7zKBm1YE4Q5=1>5mvjU zhBk{V2QKdb!XRh1TxSFh!Tey@gj41<TGvjfRK^ktU5;4?Z{628PgEK|i4ob|X*9~% zpF{jJ%b~LVx6{YPFFB{}RiLKPqT}E$%E{psC)<ua0If7|-VT-xcpFNeaFFVl>xw~O z;aa-#prjkjKec#z{3K%*X5`)w!|c7oHF4D9_$I4_p6ysHXR;`FGLzMi7n-Ztcwctp zB#|?f?>h{MnLoZxBPhE8!l-x;Za|&lK;K=q?V`;R4ky4`rlW%Zt^1Dv5i|Fqu&xo_ zv5wH7C~PID!=1UygInycfrVH=4(G`T<e&3_NsZ`0ms@P>Li;tvG=$tyVn-90Tq__1 z=L7%~p7z52aNGn4YwX%Rpf?W^Hy>He702N2ua#StoTY4_{5IS~aZQ6k&Qr^N6oq{b z(C}my|Ec>=z_pimJFBiJyG1I2&E~qoCs4G@q!>Eth?%5mLZ@xUk-)^geb+jrU*;=c z#@{*kqH@G2-^JP8etbGbV1Txpw|BTWAKKBe*%vm3o420!P{{Q|{Dm3evlMwyKH*!< ze=RE@B#r^C5e0b)h9al6IldGu!3FQBcFu;WjtxtHPp{lLr5_{w?ZW03u_<4>PdlGW zHi_t$TKjz(11CswoU}ag$V7Wpom-n0lzF~rwT5h<`@AVkeAZ$oIw?k5c&_jYS?`!g z(k1`nKHoLsj?Nd`yNGbNHi&k{pG5l8j+OfRyrGC?*(B(P>3r9zZ@pjftn>3N?Zrel z_2gnDb#5Ut3!o@yt>a=x!1-^{j%`DWyyTDHG5$JjUV6JJ3bAgsxq&YvL`;9(=ceo& zwpb7gWTd(&W6l48wH{bEheJ^%+Ek&3e#LD?@cY4gVBq5E=8NLV(8+7NN&KmRG6;5j zP-fQ!kF`+X#iTO5Jtd_aBUoq5(%5_X@hfPBy4XO}!Q&vOLlTvDbIPKrr7zm8V47Jr zTp8bwAp)wkVvF9$soyA7^CsVXqqB^=WOrS0n_+!ep}Wa1d~;P=JE4?FW%G9-O1)z< zDP51&2K9!ohf%Zh%H8d@XLO=H%-^)^bhe*Jx;sdod%jzxR$$iRwbE_%O_3gq5_mXU zHhadewp8WW)Cyr+S;t7bu659nZeX$c*D8lk^tPIcAXEj?cu#2Q*vL<dA@aBoL@jt* zG7L@V(aMl5j1o{Lp}i~njMb?1;a`s1HTApczNJs^SV;|z*%7GPKywNf`KpL(@3?yr zrJ-U(f%`aZP~5bC+dNzotVJlt=>M7r{#`1>QDCydkdQ9E<6tS>+B4H!#e3XN#(OkH z5yAtZF0R@SBU)7JyB)J)kZbL2lF6i6pBM6kH~boHYKIj07im4XCOq|J;)$Uh(;}=h zM3EaKH1?%e=6Ek1sH-9kYaK)bo3}RC-aRb;slTa#r`go6Rx@9X3^g8oEUl5o?B9u? zOHKv;w7x_nNcs|kAA_5uwsVle*UBOV7<K~;;{b-G0PJo6cAWpr@!R#Ey-{?+o`ie} zHJXKj0Tfp6W#9eaYin;9P{+(b#|mFTDOa+tidW3wII$%hWr^HiOvdZXL|z+ch<@V3 z4|o?3hksU3goG4*oyQ4sIl>8@#FLkQtv=95^?cy#(_P0J&t0I8o>UC1%qzuDJ?^vT z#GdWKa-}XL>elkZ-(}C!Qcc!^9h6n&x{CBIi4cNVeq+F9Yh1IA?+1Ci1l9knvT)?p z4{{K~QcE~OcoZlqt3|fQjh$*_MR4qf()01CFoaPG=qOYv_0(zg;vk(3xjvi)d)1OG ziJbd}isi~?r>87r@vvJUaA$z=YTYq6VLmBel=5J#ip71lrjYgvHENPOBWR5gwBC8O z^wogxfsE*vB#WC!_K&6#jFPH0GB>Flw+45{)854fEcLQ#M_R9wU`_aeJL7Gkf+HAn z_Scu{xpBx^9<c8qnt-M|s$7{)n_jsJx0yMLD%_R5a%<*I<qE6nFVXSao3U8eS!l8* zXf8|fH+W{~&55yCGA+E2QHlRaXBC{)9#{sLYbe6a;n5k)Fri{6p7l`3so`oUVd2wh zgExJufbctoRKJNHz1@A{3gbS-%Ct8x)3G~~C0P{y_@0FSv&e^b)v51^xvo8NJP1h3 zL`@fb5^FD({vm27Q)a<>7-%-QKPSZr-FpJgsu6{*7YfBew7#_%o@dnxkIR+z^=+i* z0@cP5g>`5bTfw=mDFUk{=nj<ER|@TP(>Qd^Ag`8AdP38O-hEkyP2c4Fc>g_iX5Rad zNGT?)oFYjldcy_gG0VFdZp8H=@p4C!ZJzJ7ZF`tAz?bhDbS9nwbD1CAuCux)4zJSo zP_Ai?Q3HBz4Rscd-DT{nVw5CuDN5@CG*FMoWNJ(Ii*GsHYP_R>V#g9WR#3GXOlQy= z3cOG|16DJ3e0(9%c4H~)x9V0hT_}}&(Ul9qFB^kDzuj>VbJth3Q-dF;PCT8g4CT(_ zDkvhZ>wo$M<n)4XbPY7No3#%x6t^o^GCs~P5FdOAp}Au$`niuHJ*Sl0f!MB$gsqj| z?kaPm=nXfTAg1&3YG?v&T%9EeiTkU(O~@y}ZMLm_D*~^gG?s0_vIfqq%(JoLI8>>Z z{^8@cuXnai*TkWFw^RLtrk(|nk9B|RBRdTq%EA5&?6(Sw-&K6S5>nv(S<v5D2O1fo znO@}<598lh9iyb+W+HAS+!Xi2!=&dkpfw=p!S?92uk|hhB}TR@`br3RRW9f!M<iZX z6pp^6uCBQ6OksdPvKo|%w)lq21xvG>?yrx*R)iQ`yVwpQgt-^h)3i*dBhmlIj*5p= zVmV5ccLq>*6Lw3?%@^6De~hn?#f5lo?TD5(boOyHK8>2!{uo?(!Xc#`VtZ7=9wCjJ z;$S4-8=C%R@&K@LvTOfB<z0Y-w~wkCIJ5_l4XA#bB(j^7I@ksDJO7&BjCW!QB$i3V z32K?;d1nK?Tzhw{&k-3^vuUMlJzn1g|0kg;8#OMcf+tRP%K!U#luoPWu~0^+l}e*d zPQsAqZSfxw)|+3?I4lIKV+d8veKEt6_WYVoCK7TTw)L*XZ_9Aw|CYti-C9jV9uY!% zCopPlVn5);{jTJ^fFs7R7<GqzJf6pEH7=*rvYw1V<rK;0V{js*s1op1vGZmo1s_=_ zDqJ^PXP!v-w;l8%^Da$*wxTrwO98{(T*(<H+g}z%Cc6zJ;%3eDx4@+H_BPznSxLan z+xoil4K5KJA8ik8k{C^4PjD+xkSb%L@2=gsxkaHr5H9lbyHFD@6`2`Kt{+K7EStHn z%YFB(#sM<jB-H*QK(7O$0Gye!5V+w?S3`~!zno%ib7<hyhloyBXDAj|>&Mzg(HC2K zJdk%xn;bXi34%g-1mzIjv*tGOgbo=t3i<{jP-m;1Zmr>Y(YspX<9Zs|q#YQ_5j_Gj zMQ}$QopE0IH5bT;zIq@(P@|91)NFDm)56VgL?3G8oZem8bDIO7CJ@eNntxxX$Btbv zl4fd2m?yQ>i6$9-YPVdGZEifiP8HSmW@v^#&3a<55dL~|?UZqA7gU%8Ve=<YK%3R1 zbD6#REf_YSIJingtmLAm4zJ<i*KU6e+`R@+LEP$o$^OK>=F)@|dFR#dmy7u(HnBw; zvxt>-1!AJ@7dV+GQwv;Ia=+xbP;zcGn&g5Ub_u`5xhh_3-LhM`E~oqwY?g>sV(-yh zR&@47C$3tyUw|94<xyx<H%R(`M+Axph)3X&?le_%w~+;hT(Q2Gd2<Ws@^_k$eQP*5 zzIsuRe0&OrNyaEf?ubvk6^tqNn|)0l#TTR98Ujq)Z(@`XcBvsEIAKlccTP;nud8>Y zn_gM(e-LCW^A`yFI@#QR>=%mJ0}pl*XV4Aj9G4v*VB1WQcV}46j9m(2+xd22n@%Xa z^k&8qenMN|b8=)+N^SXDh7vB#nH=>!ei?2mPnQlbDPpykqAK|@+fKuY!Xt9nVBz4; zMcJ3K$Ahejoo3_Qhs62$U5JPCDQU*zK+U}Q)anW!SVT}s&+3!hvM)&xipVmvr4aLR zbv&|u=OkK0GQ@AeN40Dmg*E7#hQUGfXDmleQ~^^%6BqtXJ?^Ey+~wXM*0`))D<Qqp z#{qMGUd$32&uL54JU9vAqao3_ra7}{y!c^#8ea!1$;#xPo}MS9*>;(+ceAUsiodGL zS^1_3Dx3iRm?yFk;}k5;N_2#c9PF6wpCHf##^S#HGdtxh)H7YQNIdmP<tQuO(ZSPC z8T~A_?kLB()Wn+S3aL2Wu+8TV)I>@7+MWCQ_ew<Yu0Y`1AUJYg2ghycA0YWJJKP}m zv%{sMkGe%;{lQrF=4OHNsXeBd5NiJ-15DXZYGE7cJDJ29VL-LmstW*bZ4hmz>fVBU zIA}3?idy^GxrY_cw*E0)cw}_25`&k@)JI+%0orvL6nSR;UK3Nhn=!TyMj-e_zlBQL zP@Po}+sKE%s_fXe0oE}$Hn<_NAQxe`z#crt$z*1W?C8>Fw|I}e2Vk!Z2JaGd)C5DH zc|sIK?ZnLvQYBZ6FJQ4lb+8s~YNcN%nUEb;@p!`<2Ke?IO=4b*@?QN!#3q9$9P6x| z6{fAvCdvqdwT$>!<SRVsXa;>}H+L@nc8<i7+S2EmxZ8-=oiNPtJm7rZA>e;!m_?P+ z{rN%6&8j{ycB8W^7NBe~liI&D!<=IS#x%+B-0D*grad3gyq(q;n`aF~Gxh!#Yx76H zY<Ap$;Z-mCVhO4#wzPlaeZ$|L7m{tC5A9!%To;QgDki1BXxho$V|ln7#=mlVwEV{r z=;wQ-@W>K4-#?5vdetB1=Rq>O4KdJeN)=pd%SpIc67BC@f$VdcOdWm1ST!{Za%EjL z+EDgvx?%mSjpQj|85$pt+6-G$mXrPsojdJiy$xN%S64`K)^Uv@r?;kW*OawWE{`A; z9bv2I`=RIAT1t?U_<qtOG0vjT&pT0e@bG8`-@LPspHffkYBro6bNBK=gAw)LcSMVI zB4|O}OUkh1fGQ2?lXm37_<E&*|8{vDC4FwFyC5;UKWMvU<cIm?HR&fTRvC%w-H{b( zaUBDJ&q-8IniFhRVw+64wR*XDnomzECB-SfT6>X7t{i*boa@!tf}Gia?<Ox|a775S zPO9FKF0o}2@t|R+0T;Ju7J}{Khy(|qH~UrOWn8|%^LK=iq5|CJkGPYprR`1Rx+Hs0 zw7nCA*tA5)az6a*pH*T91ti`*jA@W3{-M!^sPkQD^ljAyh}#fI_olIY`Ki{jkoi0T z7#DSHfXeQs@_E%)m9&o0@g%^r;z}Cy9YW^aWYi<zq%$<;hs8w!+^81Aqy>DiDysVF zI2()|z-p1I$|;k?O*f3YkR-*DzgFijwEt1yiX`Ys4W1f}w#3&A@|$DeIQA(z+IP5p z;18=Z=*~3Q&i(6}w@X@wt?xhlBBbn3g5U^P#kE*vPJDLe^lx&v=yf?@2RWVi{RENw zc(wM`FT`{9z3cvL$e7n^L#p$OdY5zx$^EwzS)ur|nO?YsMq_z7`lnU5tuw01@=w1} z8U5NwnEYFi%Hg($Yq^i8!d^#ZM9FO8-l9<jS_yW#KSe5b;{4*?>&)$>DU#kLYvKO{ zuxnK?-d22Spq8!STy9xMotJ~2J*U&nx_!4WOKj-Sn-g;c<J#Gq3!@0In+kX??Tp2O z>0Zhc&yS1JM9SIrbc3zrH3S_ysGIZ&c)qr#YH)_XlXpR}inMvBl9i|)gretTNiAj@ z$>Z7>GZy+boi6)cEltS3A|da+BF4Tael_VNnOKxw7&~L4v5LXcKU&&|kbd;$x3W!1 z&U<<Dq6ali-)JKBaEOFiN^GM4u)P0jeUcr%n~^UpB0{W>?lm||Xia-oK5QHckEOuk z8l0^#?=oQDgjA47f3is}S_@gUtrqtXHpC<#GSjRPF2CXY^z)?ANF`gxDBZ+v3vF1N zh?N~<Ig86W=&hSD@t4zERDiL7TR+To;t=k^xv788HK=yPPqP*4EtQs-8AdbObB&+9 z%}5a3^e5@WwnUKp7qWq?x|ft~_qmMA97F~pk)gZxx&49#`U{yfK^ERx$N!~$<WD0h z5bRzeQ!vAE)HpO?+L;JdXi^LYe`u*84DLe{`l>y_FV@u&__p#xWFRxki%34^!<w*C z^()0h{#yzqS2}T)_2N{V9nfxX2K<jrBQN#PauA~s=4e~_Jfj~9S8TbJR;-s79^?>6 z#JhaU-z6s)#E=?BqrzU4^!vqgok^eHsi^rqOyKKGa8(Ik8t%7g{R$btK}5x~qlVa1 zqdk(#X~GgXVseE*q+bu&=IEFs;8U5O-q;N;XF%aP-%iCSM$m{KjOX{r`tl!7CTiY) z1*&9_$r{(vwhSrqL{sj#TIQ2Q;}MF`+$B{i90^zJ{Wlw!#FD1RlZ2l)Y@VPMbWLr) zBJKT%uW_?`H9)AchV$w2_{9dK^bIJMsxiw4^vXnv;|<MhUamoNCSfe1=L$W{&Ox`k z&YvoKwLM8F)43_;Q`l=V;Hw0Whn{?_&4nEPaT8JZ=v+J%U&9!dW-WH0Y<hz10R_c- zic@hLG+$g^%CEo)LEJ%S3Q!8@Co1R0tZP0QBI3Kl0*&5FhXJ>%zR)kU+wObLGc_JK z6~~MnH%tehh4Z*%CEp0yOvjc#JgU9P)f0q+EE$3u-)fD*FcBhXv^(h;97aO5CI=o; zvN9@e0I7yF&{F*SwQTg!^nEhYeF7I}bfKP_E9W*dL*tbT<7G-(He)|08yqd283%{# zYp+$K>Ti2!gv>a`lHs&_$_Yt^A9Z@M>xicGkn12Nv?DuY<FfF>>fA9Q<rJ~cWFXFz zEp8yvVR|?oiKoEA1EL|}TqndHHd+OHtd3KzQ5wF~sUWOTiux2`91Q<a*NEFtqH~>n zI2(&>gS#4?jW1e+yaJqln^-JyuPk%)`XFRP>(hT}W3y@$V3aUCNy?&s>?3z#ktlq? zXt35-d6HjfN?>nl<|xw5ona!5OScq&SBiffo$sG5Ti{FNNCNO)f^<hcX%unuNsU@h z^5tzmn>)XmZHc?S5(=@TaMY7a)8cFLw(6XR);<8qoJ`*3OnNfcb|8qP+ZtE=c;9t- zI+T=+i_4vE^LYn@q%6Q?Bm37cLf$8=B<c9y+w{qai^4=Fv|q}<i-i~tBVZ74jVGd! zj!QT<%_{Paj3#B<3nMBqiEfl~q=<72I$}A@>$G{qmUzS9lPhqT04K?_d<u+>RkxI} z8Uhng<`(52r|TI+mWzFe#FGbmC#I|N(9X$I;ZV5$F`AEhUn8K#H1uZ2Th<9{RfdwY zUlu|HAK0-@6@bna5jWRMLyehBq|Ol!2qKkRbJWxF@)ym+CZh&AQ~htMJ!lO1O09rF zn<>9PNn{U?$swL!c{pnN#psTW|1L!3!S-Kh)SmW1ft{^+3@;m7SmcT4`ML7Ys)Xe= z`l5|~5UNLGp1nlBvHVLKW=SMV_9`}^LD6Jq!c9LK$10uCrBAg&MVhCNw*|OIUtoDE z2_v~Tmr3pK%jRA(HeY|Y72iNb20XOpjwUW#uHvL6wQor{C=YMC3iJ6B_w8R1i^;rf zh+iS24*_!5Qzg3j*j7o~0!tG8)s=Nd$k+@|H@Cq}h1GV2&`wDj&HQ&BvIMm-wkD?3 z*ihs<hE)_;qtTn$-R1l5%a%m=W*M2AJ%4pJ(1m{>QbI^;!vjrgF5Q#@!uVb5(kw<@ z@*FX-au9n=CfL@87f|(0F!Nyyb2qB6yp3tO8$Eb`%}}cWAgscw*${MH7WViEA?Gy@ zxeK%OjCwqSdUZNp@r-npt5J0YV`~4y*HS}7B0>HS3;ut&@gKza18ETv5Gr36=4<pn z_PRwV4*$>2(a+Y-9^mf^`d`@pQtEqxLt>_O5D?<nFc1j;llf}@15<o;{~y5~;OOW0 z-|+ut<o`do+}?k||1UpZOAQt6KUv7HqxIFBFe4%W5cv321?+^iTn)tSwTuLmy~K1J gxYYuTZGC<CjT{4nyluEOJq+G~y*#}I-y2E)9|CAedjJ3c literal 73082 zcmV*KKxMyBO9KQH000080BKK5OHw#7dL01(0IC5100{s90BCn)Wo9mTZES6kPj7-S z7{<@zQ#9{gL{0Pp7^E*krj)ek;;GrRDvRO<WD`HVWe|7Rj(z_8-Y2O&y`E3xOBH2y z$sHJ&7EE2kPKwLB+E!%!Fn}zx{8BhD0z#uXiqrvcjVC*0Y$4akMa1IY{LmVV%^~!g z#F9}Y@#|SRkQAyX>byFtGAmnGY($F7C=M8zF^^M?C5gv1{<@vqtdX_fAI6h$ZwSQW ze(1oh&Oe)o|Lo1tf!5Zea2@&j{nG&=5=71FbsNG6c~jqXLs~V+PKu=UhZe#Y8G12g zK>%H(tjkKB<xWpM<mU1F*xV4&JeChwvvo#gx=Hk5ih;LUq;f|8z?+P}_!lhSc4oCb zwUw1X%2BWt_fcrY?sp&I8pa(^O9KQH00saE0BKK5OV=x#Zp7RG0Hf6h01*HH0CRbB zbY*Q{WMy+{XKpTNcWCUr?Q$YV(m(qBxrz?`BTm>6JCcy*#WR+k4FpKTF)uQWMxOoB z!2ps7OP~cb((J9B59gB3Eu3H0TUU2iLsfNyXVy4k*A|eKm06itnORv`|KI=f|DOEw z<>5Z~I-V~kv+3zxW&dz57*B_@(PVmix_8w-fAz~=uviYJqrv@bIzHW-&h~<T{_p>s zH0r;FpTmBm9W?6KpBlZe+3gVg(O%GRwi{u;+P*y9>)$;E-QhB*90iB(KfHPWq4GL7 zI;_0g3qCbJRqI^^h!5Wa_J9B9e}dqI58UjWcY|uL_VIM@Ki{VR-V5rDPt986bno4N z4v#U-PPL6c7T*@j@k2BkFDAFsz2LH1`>lF`&u?yS-@bdh7lfCMM*X5!t>d#-M|%ex zL*tKrqt~gnF1x*c_`kpjtn}YOz1ch6Yj)-6^?ENF4i*tc4x1Ov@O1BKIvU^5Fsoty z96LjJAc-DbvAB~mA1}sB=ef;BOUJ3rJ=pv_wwze2ao#`OgKTo4p=JFt*b92ii;wsm z!ep_!e2mI#eUQOuH1`_jSRZBd;5SAkF$grogP!C>{>|j0jA0;|9>cs*(>ZVc(Wpnw zZuBsL#c>*nudx~cOB8*byJ&C<)J4e@=;I<<%oocqR-%=fF<^)He|kbb&gK?KrR02i zj6O}HuQqCw2C-m^0vSBL96DkVAdXln?pO%K5zCP~76Ng^@{2nb0&&Fh-W>~pIAW=I zEI)&>z@v8S+vQ{QJdYM5nD#XWJq@#biAIyf;LE+mzAdF%pqsx$_p`-XjLi(#dXto$ z<$9Pb9t#$x<_2!u44&>Sw(u0-!)z1{zN}|PmN1J+rhyj2dwZ@=j)u|00KVcpx_KDB zL;#K^Yrz#t6Zotc_<HW<V;>Eo_1KjpWF`;rBD4d1{p1z)$1l<CV+J27DBt!PFIbf6 z;6A#X&6kTv{+xT*@XKr6PNz}pBfb!xI`h2aPlIL$(ME?NgXj4$sys%2PNvK8%e&|h z@c^9q)4eZG%jIkuVLy(hn2GS-^T)XvEXKp=?s+)|gc^m<EHS{zK|Bu`M;s8&OniW~ z<PN0raPG&WXgIr%9`9)_b3B}wP02Y$31}Z)CC8JTN(`&`<U}T4RT}7iG95?bsh}`n zkyp}{fGd}_#L%VC$CuBMI0m!<%GkIbWKqN`#8b)VdAoKLwW`r&1b=d^c&4;i2QH6J zebkbv>=dDrfXk<nMlFxZN|Cixz@<{DMlG32-?e1(DSa)~s6JFm|B6ZeWiRaX+ebCF zi6uSU*q)jcF#%ZW5*|f~u?v$}YyEae6kggp42(58T*(CLLzhNh$CEyS+Yo&;bV2$m zNolYb(G*~*6sE>5OrkCiE)?o;B@?I*T^fCEB3g;$BuW?2<j@}U!MPC`Hgaibp0%Sk zObpy^JHJht7ztPE`X#4S8hss4`Uq}A^wH1->Eps6*TH`Ml5nNcmmIn@`rOtpo<!;E zmmJ!IK9vfXErylDLrQMjZ+{7x^42XetOrfXraTXp!@JS!)+x$O0+mNqa$G;MQfZ3O z<Tjc;Au)rD(b_05nN&bZRl$}=qc*4?fqX9z!@S8HeUMGzKQU875T#Q?gL+V-G>fR2 zOe^+k6$6w@3!g-BQjT0nA%L<;p)re-a_kbX@pa)KWs}24El$vDcY-)b*#z-Xix5Ox z(b1cS=<D!hW-oCmC?B%&Qq^qeLp32qTOtu|k@6nDEX6*nhVaPZjs`<IDfx4SE14!F z(qPJDj5cghics9-N)QJrn;t%DQF4Zh3KTLwm@%%91S*>(Ic{;Hj(mvXK;;v~$Mqm8 zt|eREjpq+AGNat6GnKlF0m`H&9<>lPIQ%}0=7Z%pT8!_<!zHE9bAss<Sq8{5=`##p zh|ux)aXxz>D`-og22mzqH;=aic5Ll^D!{#3IlWAkMC|ycR!oB^pCBA2`w=9QI#h-+ z8jmJJ2qR8Zu~|8sHk*OVq|%JRM<nwJp4z)E+367QW(g(?9t0!A=CLSF;1e<1TZ-8{ zV^M<jp*9%{%HwhoMAD#k1fCqEN{^}DS$@>)pep&iT5HHsg0rhoeHP#k&IQ<`;drq? zg?7ZNpHb-$p`;ZR($#+Tx>@g|WEy4L{MYP@{^v`SM>IRlezV%|_F@iPR(mL;23qlJ zs@=Y9^qSpzbQz*byV|+}VCCq|p+;aDsNHCHd!HjhqCjfh_GP!z==8(&fEcg8;#Wjf z#K=;k|FYuewJ>UQs%Nc6UHyDHe;TiVU<lgP@Hbb$u+{A=Bq0_A<zulNq}f!v3j1B; zok8syrbJb$P;OT*)!@DEbyVxNuG*dGtlIf)1(vg`Uf7RXjm|~?qXJ*Wuj(JosM<Sg z_Ip(-vWddl$3{C|$bP-O0$Z&b;#|4k=+r(dWR=Pqfa_kf-&g~N8XC~ASNu>}4L%B5 z-HT?e+B)4M+#P7*$Il`EfSAUs_0=MXy8a~GZ=fy(g?jxpFa}hA>S}Ms6k!R|s8Bn( z8kdPID$HI9)oX-}es+?we19cuw+=B*PLm4wSHkp6+~-C7E8(si*-5fG{*_Q?)${~W zzrPZKR45I@%Jo-5LD*A_Kym(Rh~G01|6T`SSl|&Wy<dYV%!(7iY4UW!s?TE0IXTex z5Wex9=pU`wR%AW9+)d)Y^S2ZW)ooK)6bqajl@<H1<^fdvQ!k<Q3Ro8UTMUrU%G3ZF zH%&Ty8Ac&2?3r=?s_K8`954h9A@c@+2fJE+01jK2I{|h9K;bVS5Go32qMUMmGZ>B| zc3nWqg7||ALciL(X!JG5!a@o@B`raG7eUS5v;d!yN+6-MWh<Fxx{07@=6{q&v$`4K zM6<dap`gmAS=}!B1Z?=DRGRrc4K;h4b*5Rciw6p-e45n_F`^lNlu9$dHKJy3Ni)AV zqM*vBSw#v&GyW)*W`2i7&EAq`evL&zl~40~U7ztssWkH&HEQ;jH1kU}3aWgXD+wb; zf0atLxZ$G+uq0bt@=;&~=+5N1DcA8lmrE`G;#8YrVT&y*tS1~%J|??yq$n^E-v1-2 zH$w_a^<7^;Yj=-U4$}K0YIPBm$9|I-K-@rD1DtT06y%zyqW^~pZ27VVtoKL4!&2C^ zO*)57=hdrLA{dF8#IGc+q_T~?MfJ?YBY87vC3xRB?d8jwX@|9zVO1z&tgsaj>%q$; zdj1k=mw0e2t!^_(>5e~P_nV}0`-*w36`{5umbBFH;`)>#&$O7}N|f;OO=D>FV0mLC zq`@@Cnm`pQyJjBjHq~lcFve<ruq#z-$5>4ZyH};gST8bhGErp-kuaf*BJ|*@rP7G} zui*Tu)#5q91CpuH!+`EKeT=&|yxWJH7vHARe$>3=R+CbLmmeFwDg?eM^K8fu?ghY6 zYgI#ZNYH<SPdT6%3pt`z=hNxl%LEs3UiOExhYyp-58}%YgkR-m>)qN_oAQV96Obi8 z3&QSIk1l-OEtiiU4i285pZDV-4~DmsS6?R6gVAibIG8-*U;iAQ3M9XNJ^lJFs{A@S zeY`z9szl=B{l}5&>HtIs5|hGyLivP77@oDdwcpgI_^JMRP^}?(3m(kJgVC$!`D8gJ zhKj82>0TI7vaN;emsH!{_!g<`YKK}@ygq!7h80&K>t8V+-%jYZqGAZUt=4Ox2?d7J zCXo1CWO3W=qcEzstF<`OOFyH3PA+?0tWf{6Ob<808rGCDe=31T-~qsc+HAU<&+Y@v zl21knCm$?3oZv>(JwHb}N{x7k2x={C*!&mxB2v!BtXGFb!~dP0JLk3n(U`s#mlEE* zB(cPt#>vxcY?2D`;R~u74^0z3Z#G)>)MC-dC+rKc1idh^$a$FShL!3)O@o6VT+SYY zp;)V%*<AiNbnG^mKTW6fZ?tehBbV9ZBmFVE32+X~)_8a~m`=y{`~TPfd8z&y1c$*Z zJ|6p$02aaX-FO-dpXT%NbQ%0KxxrZ9erDg#9>+KXM(dbGFqn^l_u=t=yd3Wb=a@x^ z6FEpQCU`NRQ|F*P8;yg<@syg&&~G0wr^Uf?KA0|U(1C^xadZE)xEqfGMg<>jv>%Ac zL3>`m3YS>uhk$Al8N}mkiFr*1_xIldpnI51=^!u|59asZ_JjI(F`Q2xmotn%nKG)M z9!K~^@b9Pb)0m9_(AhIUmb2hyGMy~$0`?P{B|e&uAAlivz`y3-f-hq*c#Ix7x47IG z+zsxRl5<3IeKN;cxYCyZUWX^e4y4Kn^s%BeAyD#VvXs=49*4`p@@WA|xEcq8#bS)R zwnSZpudb8^LDys<M%oYhcld*iw+M#!;3^IOEx`Pk1l$egqi0%sCM1JtFrLrBh}jVG zvLNVjP`kVehWKW1znBHXyV-0pCd_OwEQlB$pOCbr#7fdufmo8T6Djj$`bP|n9qFU7 zM*N|X_%C1+1Ui;&K8dUWW15_@r+Eg@5}NHF%CM}mXeQ*?Zkw<y^{CgV)+1)5;zK;U z8St|i+-eSS9)zt+&Bb8AO;cc)tL(bA0=2`86R6C#VGo%+AJk5uq&bDrJz!Qh_9x_5 zNo!2~j=T+WIJ;Rw&oD$lhM4`=k{J>xg4CHIEXaNkj+f$_SOW&o$Kl{<F@|nx0MLyu zV?NkEj0=qpn8A?QTi7e8<n0{!*_$UA!TsRU!^AQR>tJ-GeU(&<Czvoyyz)HcL{C8y zI1wJT+uSAD=LFE_j6IxGGU2cyl11DO?FZEx+Cjv=f_;W|u0gO+T>b~F%00&6h7CNy zE(c@9cLrhaIX<$1;5z$<Ho4Ah{xG<YwVb|UR)({88sM1y786{Qp#28dfaa0*EgbwA z6Zn6d_FHm|>_%zY|J(T6-3+JrStF5ME|Wth;Gv`gxGhpS^i57FxD<6LH=GVQFmN)M z=S^0B5&T5TNdNgW?c~$h^wnfKgfBvhLhc++yC9t0!}#fZ@&X%B6`!49>s<!YuL__w zG}h1BUQXLFEDHC8Mtm2P^MPdn!pZ0!+Hy^v-xHOZ1b=eQ#0tYKRD19eaC9xG?D8&H z+|8cuN0{$27{3h1m=zlP#ZH9wHSm)mV}3)u*C2~Fo>B*%5!X1~@c{Y3&C_&9t|pY0 z!H|J`K$Dt@@L+?RF}xhuka!?6JfOwom6f2?$9B8ZPOB54pe5Hz)#}=};r(P7oz<fT zlQ3`fX8J%<3#G06gJ}Ty*W6XarVU}^xS$j_h-tL&Blzj8{xetCXY7>-Unq=%a^Y9h zuV((0G<!DP51JIFK!_=L;5cL?CE_T2@pKP4zn#L7;M-?SL|)wW7vbGm9U2T=d^qyq zG4+20-)2wD9|vki!D8?*4$kUq_Cv<00Fl`g^O6&Np3UdqnAQ0r=Z&7Am;%~vis*{_ z{bI9Jsw>o;tNOvylvp>LB2ZHrOzb0z19L;#%04IKA;Q-E;EJL(?m^*qM8xym1V1MA z7r-*aC94L8z$VZ_JWa<hkBG)7UK!sFzD{OyJHwJ*(S(tqMJd5{yx!Rka$)p;(%z6E z9<bzEskWoptwqiGzZ-Gc=&DJZd;(TJF@Jg_(ML*+CpChfF!0aNb!a~Oj$0<N<;Et4 z<t7#+>0xhQ%!RDa?HCG5E(2tqnGcQtR)yk@STB*i;TB*V0GjC!1}A5ioM8GWo`UFr zH{t}6Go1WhY|kuIu#{h(G)>2K6-(D{w{<4APFuE>D*yHSZ)M7VeSAOo7W5|%*j{4E zvk`tVk(I))#djQ0j6xG`lI--?LbeeWF2^6Zzj`wn!;_`WiEXr5HyJ6{o5?L)&Jk0( zw42#3XRD}KdQ3ZINh*sa+e%fI#(Z9;vS2tE^H_$3nrNx|^e?28i=U9Br+-qO6}Q}u zcY>J|OiQ+vDwxgQ@BKUY#z=0AyrZ935Y<>BwEsG|$5{$YC1MJ04B=#`yyrwYIZHVQ z;pdQl5q~?T5NN^A4v^RrjtvF;!`Ty!!T-GoMzd$+18^;mMFECsQJ_QU1saMK*boR0 z2E#kVO#d0rXE6%pJAne}Sg}(M8Dz+GWT5s3<Q|Z<d3bt|sMr=jzgIL8KXC9_K^8M$ zXTg-b#0Q78g|P@v<}Gp9vOvlt7$asJGUx0&+=kv-x_rAM4E^N0V#&6wwrh8G;)uiU z3<KKE{F@RqJ@(gv?h{0lh4$9!4mXby2JH6)3mXyJ0`cX;m=buC#e;tGK{2>Ei_8sn z2JCErRBpta$|VNG@$!5!8!?4K_>Ut6q+z+YDUS7+9bmZ(WN`qCvl4!&@HiO$iSz`W zAiz+LVTf4dgah3%&X4(TrsL;8{0<xQARv@PAhTTZ(39=GT!BMn1z`&~*FX*#pFr%? zJbe=rAd*33k$9Tn`?CZ`mK)e^-%srOwrneA350=2)tsCh$jYJQ!N!UFI8`_l7AzbR z1qWr+4H<+johkak3x^CR>-<DIwTQF}B#x>-vSJT!BTqKA#;bn<J{0Ai`9*qemXKII zU_}|o_9E3}s2bus6Qz=9G<g!ElDF(@7H(_b@<I)S>Qpf!Q`^ZRA?6)XuJ@MgFpM!z z3<F24x8DncQ)I8mP!m%6cX$z>OHt{{S6K<eE0NNXIVEv}pODw<)LK{dMg(w-_%nCP zEYZg0AxV7L<ZuQh4%i04{eV|n(cbiBynG(xK#e82GqlK0k>}&9fjL!#zj;mPaGY<! zPrXo*AOG&>e=rx5<;iD3?W#wK$mp`)qojJj+H9%6A7^X}*3Qfm&L`z4iK`lUY+^{L z%cat)xy<aP#7AO2w9oPz$qFsop_R*<#acp+-Jn-Yh0+x}28Wf-DD2$b|F%PSOQvNv zsRAW8O&tD|V#R2nv<*|HOP+7XlFel--wH9oZ`NB4c&)lwgt=(Q`ai0wqmUBSgAnrN zU~(Tw4WmRneTagy*^rL+N6J8w&7#5;R)aW7r0bdS`a)(x^m!l;_8O(cLbo$$R1i6M zTFxGDRyM@Q-^hohQaN^XplNeVbJ{49)^gKiAX!#_s-gli8lo(RCdmHbWi`uyFGDV0 z|3T)O8y%{Z65J!s#m5$kiQv=$_?R+DutusphixM!VsLgUN=qpcpW;waPFT#Bop3Ob zSwB~cc_t@`IDwKO`?;y%f3V-HDJB@oHZ@Vvdrt?%3pJd|K;Sdls_-vS#wd4Rd?L3B zuuW|zrxm>d1V3W+n1^5_PDUvu!K+a2W3Dmzmuj=6WVfBwHH8*`Uz=?-z1e!DQf+p4 z(VPwo<Pu5uQVs>rZjV^_Or|9DH7RguxogYAft{S`xhzYjl`3bjV94*{45N^Pz*5AN zNM?s2<RT!OOn;BHYV`m&8)$d^!b^AVML`>CNG3Q6#7Q8(K5#Qe!)N@dZB{U*6r;d~ z9*PVZ9~U-rgpC_6wYjL5%ygo1w-5B7m<Kt+y33I%T`kTaX_g{8rf(bA^%r-@Mp1fP z6(=eCFLw(u9@5g<rp@*u#TCY-1^=E`#nS$p{2KHxS#=HWSl~<L5Zx~qOoJ^Axw$~V z5cJb*(t-a@J$3c8WLnwk8Qy<|ycAN;@SY-eCUpt@;kQVnUM|?L;E3UbNuK?*`K_Gx z;1TKxou)1&aETUAIO7=vdE@?Ida03tD>dvNnN!ie+NeUlu~g?>EUn#ov}9V@3Rxcy ziz+0BplEQNM#>`e`51vLO3qp2i`3x^TNU&X2Obl|xx6Ypq1Zo|0_yBYYoPw!3Kc9N zpJjQHxZ4!<P0^BRrRpHXMul9~ROFL%yb_8O?~nuCAyqY>s@#;E$-zBso^TT3tHNa! zjx1f&qEdBeE%}ux-1WG^8*idAUzYM(xC={Xx%f}YIH78toeOJeGBKFSlr8TD{Jb^k zHsdZ=s*pTE-VbCakkd-8lWr(7U#_wgaghlYNdy5D{Ic2_iUDZt*o7akROEhSmIhye zXIrRBG*&0<JanzI0-2Ol8w5+Hm28X(I_N2bpn04LRgEnXS&>D`S4Rj;AKSR=V+zR= zL;qM-Kx0tK1Zdy<#rn@1Dp>mT<`Dh8CG;*L&>{j$rj={}3I+rz1E4#+2{nx^fPVfg z4sbeu^pi)>|7BqUc6gmJDb3fv`temCy#q51c6RBxL|Y}p=5NcjQk5SC)^s7kJ3Gf* zT3#L<-(5>xQe!8a!bluw#UcMdfFO^}WidA!idRcM;{-V_wx%38zZysBa@OS`jueEM z5eA0hkN7+7f?ET=F(A+9bfNX9M)&;Z_`VyfppmKX6=$-=rYui$al*jQ642@c2l0<| z4uCuK!kHJRSYQu#id4@Gb}5iwAfe))pIOFVoJvgM!dY2F&koU9slWr>>lJ4V306~a zDgrxPz>Qh0lBXhQNFD?vuKa1)#>D5E4O6uV)VqYmkRob%o}j>iH~E=}T2@Q5VRkrd zZ@-!HAG{TtmC;&R&@-Ek`?DH#L9!#|yXvN6%fM0%khry1jsarD=qc;tYGw3NYgRE1 z74cSAbvcr$>$(dis}+wC$8!j*`ddr%kLk{2Y>MNPH?25)Gl_x{0)_A4{^F0Vw|~XC zJ5vAUIS%3+A+ZO$>a9o%c<!r$oW|5oQQ3*MEW%s8(Lrrh1xp84x{_BoX+vdS(*Yi1 z3%mf?0zm0?@dNaV<zet{vuvaDs>xfoWMHYArG85@TrNu3G}T2-(F0Pij+Hf?u>i(b z7x|4XCWm~FsXjiUg$}i6(i*s=G6Qh@glk*EX_xKAIg<qcjY|Q&&%2DdX}9Z!<%lfz zgXqqlmCVNeoVaHAR|>7|9j~3x>SwgJT+7;87ojcTW}L`tX6$mhF3r>hC~m4P8Yych ztC!+VP8s**3@k}c?=QYDcZ0(7#B+N*(n-(K+-cj8dbGPNSL1AtVzx(u?V07I`J`uK zTGFOUZ_(M7y!Ih($;sU{&Qu`_LrEYsQ~ML;qtxk*9h$5A8xKz?p}|=fE|H*FvKo)7 zX~?vpltvp%Rqa!1gIx{56cQJ`<hc7m7t@<RPZqe8^)wxg(c>EUk(NTEG|_EA#MG+v z6gxTE+v#ciFQ^E5Kv7+f%I~_=!tJMCS0t!J+XqaPl@zd~*3E=^P{tGH9s!F0h*?_6 zC~(OJZa>Kh;I2Xvy(ES=c9nfAwLa89E>m|5zXki*M$wfWtGV-y<YN*sW+$-$#e952 zk6kkiF>U${A60oFop~MsaPnoiPQ83ZD{i_dNbU-y=x8a?%bX?b(90ZbqSo2$7Dkq5 z;_hF}GIb*|uJ~nFKB`psfkw9^1nk24f@*__>v9hG@8q&3+om(Q#Plj}Np|Vt5*i|n zM>Glw5GELonp)8Rzh?jc3!e!?h;xuCx*T%k43HX_vczRZ6iaX#ExjMp_FGnp@CQr` zT5>JB{T>-1bDYNV_TQ)-o@I=-jltg59$d=`L3(<-J(xYpu-k)od+@KjJ(%6_{4d#q z4IaA5q2BGmiS96#T+8mkriYRczL?*-C}9tlF-EZsxKG!;>v3&yi4m}=&SJOwBFjxY z-(dJl*WFdn$|6`TLc-nj2@81RCq7UyB`yuJoAT)D7mEXnBPpuoJ-%dR2tJ6rH&D+I z3X3$b=R0~^$PoI;*c`>`to<Lsv0e=tu=tG@sLG;h*(3*X64hawF4yB&=@237Ks5S8 zU`i+`d_&Yo|0&gm^<+_zm*HAy%oaeh77jeBCT_&DMcmF_mtn6Vp+bb(qNARMwNa;# z5HTQiVPL0S>~vfFfaXs>ircd!CdRumh(3hu0S9zGV{z}}Y{74`5;1JS_*!#ziBmZP z!*ZBaVWllH-1p?3?`-2RoF0;4uUD}cmzeV~!%ajb9#U?=0<`j(;XyO1PGWH{N4`Yd zj)+~P2q>e2+GjbaY~X>U1uBzp_X6*XVF<dKNTo5VWxu|xKh@A5oLYrY{SRt-sMdrx zV~T%|qkcXl<~e0E=D%PPEyXxF6-J+;O(Tm)y&oh}H6%=mpt@2@JV-zZDwYU%Wtj-z zcb4(&7izYacP_br5(*ED!D%+Lw{t`ij)*1KvX02MlL5+b70w21(it%vBk!D%oijqs zjCan+&Karxx^qUv;iqtv|4W?_%lLlhj3k^9ORi;|k!@#1!m58hB%D3X(X~Xr-q#by za_i3!^4^+#seJ!M0L%H)_G<t*rz64X%!--Pl<Uv^7kv3-FANz2BizF)j=ba>DFE4t z5RaLmZTO76$f%yOj_-lUhP?WDF@ozlK_Nd(C$H=PRb)<(k-)2v_fI2!9E*ynq%6rc zwEV5PFW*=b-y2+mVL5>nqq01~s*z<Z$d1&sYrTxV=gA1K&WUs45{`8(&A`rh{;0;Y z*okgR=*uvm-~S2je~$r0{2m-1(d9T~HTku<olVtSCG2c!Bl^)_q~Eqf%G<4HT%2_7 z$>iRsDw)1?TEyGVB^cJDoo7m3CjFCm%7Jq@vs~<VsebjQB}-PKPM;pWAio4#N**6; zw4nS?x;#xef40b?V|3hKe1HS4=_~d=je4ynSt}@-imM^yz&kGwNli;Xwb!WDqiU_+ z>~{8oPu12HK(o=FY3(V{P4yJA#F-6|kBrv}H&QqdUPQHiD<j=D#wgWS;EJBhrqi?< zwT;JXy3^%+c8}1Am(ymsn8uMCGar5bE?=?2dBbwsRnF7uRcjv`ne{VCsL48|)-bVj z`@tuAI}lwQMDa6gilA2~%bII(-2V^_UMA7vHX6)_Oa@3A;t!wshYJMCxMm>z$##UW zoSu1McipH-?9N{OX!go*l9pTNl-gY(!B=4;`=*tr05c;bOivN{MZpX=W}5i2&$c1G z21m@qj$KYAkyEkcT6VW}k=|ledf`#K9kI%qjKNNChDKuzaCSYX#J%;{;H0GI@)sAx z7fGj74i66vFWTGfuDvj`OeQ@vEmiMn8XVw~?Cg<U8zV=E+<Ur0hX1~&iZOY!iZ)4l z$?cIo6iF7yh<uQU?}h=oiQ?G}8jnc;0bpaMA|qK-_Y-Q(2Gegpv+uQ9yaBy=CJLJP zqhRuGc=BPlM?AMlQ^0Q@FsH?Vj34;LuABR(1--4ns6ZhUo*2LjI_#oWkW86BQ3(`~ zKH|Oh>2kuJJi?(m9_3{ZEum`a{#)`Iljyd@E1USsOKb$H%6bM)B;|24ZnC)J#ZNR# zd^AUcd1TEWc%S(%V=!2Id*NO*HsWvDr5_<;&&+vI3~%MNIvrR|Du|@R0dj0^;X>iA z6<%s19i}np9Xd+oQ~#%@0mAv^7z`Es?8AW;<$0`m3_pb+sR;4h-;;Jh3@=!X=_x7j zqmsOjCSIX{zs5%rcN#@A8dkjq)@t|k?gsM_tGHugh0AZeK?ZN_#p~y&YLX5v*!x7o zyBXDV0yA$V#iCIf9-okWrUX&aM(s67J&2U~GW{b~8)rxQXsi)0n(b2XOKcLvi-%=n zZP@viKbmi8d_gHE6i)e;J$ftH+k}Ux6@`tV#&l%5*?+0)yz(d!P2}*bU8uh$EHr>x z(HLrop&QV6HW+pHrqQ9HgCVofuy9bx+c|=un<u;!w;x=37-eQdjAklrh0*BeCYa(C z<;7w!|HdCx)}F-cx2j=3rfxiecFkFOiaRm;eE#$nCKwbuH`?cnP+kH{djSlz<Q&&f z)Sa@YqQwTK8VpjQF6R>JR8f&T7g+>eTniTSEk<k{d>&*Pu^8+h+AaB~{J2zmldeOJ z(Q)Y(&r$RK=p2HXCB7c<3wDf^+02E>3&_b{7@Q9yw4oR8-}u_ACD*dPd;x3m+xXkv ztiWrR0-iNp%&`f|B1k2GHQ-PC!H;2{0bBD$@Dr&oA8GM3e!_CUC?|l+Luv&7N7gj( z_j1o!&v{v<a`r?Q{H6OwUIz`QKQSnZ4*<;H&tf~ioD{2EU{?`D?*Z01h>(KBfOr%u z4@+1-Ano(;^nlm72(NgYD|T<#g9IqdpW>Rj=7cQnW>5Dcc5MR|kqjZ5MZJeNJyYF^ zSThFUxF8E2+&oR$dk0Ws4uc&ZFbL4uQ(zD1o-mP5E)s)E*!2x5x}6^P)-~3(<XWkE zT>CaeJFMue9yO-a6wBKIxTffe<PutnmK)Nw<QoUlqEJhYD>&oN(#01tf}hUnKjZob zJ==<1lUltZG$R4Uby8|7_m$L``iwNmwTJA|Z#fPbor!k6_~Ho~homW74Zf4s)b$k7 z2@x`$)uFAx#fPIWF!g@~-)2wDV+U$R!Gap_p4Hjxhm2DwcAic#FF8@%ADMq+CgzKr zH%^u?h4?NEj|>)Et!$K2=UF?Wo#Z`$ndum<4VDz&fQ{K5IEtyGyInFZkxaAXTB(XF z8e%u5-WuCf{Ti`JNG)NVn}61Nv~sf`_O@8RS+ZfF{jRHx!TMseC|fpbhC*{y<}J9P z;S?prI-)hdRx=S=srjg>*3FXzS*%g)ktV+vE&Pfrg>Ap#omM2Y!j^5Ns)cG~T#j1A zJ^bdHtgqGyo(Qtg0Zp<~J(Gh`Mz+(x5YjE*SlWJDOG|HMrxZ!0uw+}QN`d~oycvHv zO2OOx#@k2v>X62eRfqI1$}{$soB2+zlX7jzwo>I<Z!=tiXsaH>BJSpuV=`1GhXwwR zN@}vmgt;@S+pzGG$PJ0V6&nFN7>FC|)0^GH*%P^7{NHFBf!D6%2Z3YtQqz*?1sV#m z*bvC~Q31&!pnA6$g>tn(f%L=J5r&M-L{gDCNb&7GoIJ7ogrt?|CJ03(B@fUj5ASYQ zMVAN!Rn$3;LO&Ls$^0X0k2gi{@S7Vn8{`2HN3{Lz%JMq+POXz_ZOOK*S{n-t>`o$} z*38=}L8W8=E$Gto7%cp@)@!tGrl6kvo*(JOYE4gW(3cNm%AHLX5Bm9qcBlrOkjj?~ zf_O(5(yY;#p2c8qcp%L_nT?p<j9(sc0)SIv>L5MGImqo~N{?c~X0Ut%GA)3`i3jC0 z&}DTn{1e$1Iv*Fu2&{wW9Oo5iP{)5W9Y4$8L8)<lOVGgIF%n0YnmGuSxr54cu7Mm@ zRV#L6o??j!5D6Rh?uRze*45p%6Z1~p6Y6fuwqojDPFYZxErUy^sZ6yd?BGDF4Je`F z_SoS2q^I(OR|T2sp3a+%Rz3XR|Ka(U`2Q340X`0>36QrXr-hPTtN2j*!w+NtQ5bZ< z>L-wPWw%0^StK}hDS%Cl&N#JCWkHdM!Rb6x|5g@(1m`f6sj^?nv~oX(GE}!?oUT${ zOrEXOdi%YQ8bL8zOoNh5M#-)^L!}xMG-r}H2!$z|>x3h3@IDTq;U*&ehGQeNej4zq zDHwiyuzaSTPv(isPqik@IJwqrI!pL-8qh*Jlmzot5?!C@fQ~jR>>7FxZV;@R-dy&3 zs@a<K8;L>GqD=PhLVl9?sL{w~hb(w1{ZC87tF}xGjeCVLM|B+VyG81_d>z&j4#o_6 zMADhI7N%|K@B0>rCDXE-Qi1brYC2bn)uMsYHcOc*d59cKHkUEY>Yy-Edy0}PYqQ?M zv2UVjf81@4REGzIC<UarjXG4yMrksb)9O~_(JxskDjxaw)A$KVbv-xF%oXboCp*Ex zExnF)JA+0laxi#W&d?qR5AL8qha6L?Vq2uNIi}g9wYenAQciVrPn3(&81kEy*+<A3 z@MT~hk*$}1%CHyyEg5r)Y&ky36?`IX988chhO8mIewN2-{0>n#Gl6k~dEtc$_i<eT zvsiMyQQ5kMr|pg6zcV(gpSHJTTB*h+ylA3Dg};}S+65a^go<OFSMY`!D6D0YE3nYk zoHib4=17SsVQ54-C`4cO<X|ogX}J_&e3z2p)EsaS53`p>d}xb!{KDsMf!Jg-O4z9_ z^Ypu&T7Lk^l4+$X4`d9vQk(}A+OAo;m6EdTU_m5`#_Y{Q@me#AKS=|=@ah#&x3zd2 z4|!1-oKgCkHT+F%pR}n^e1J{i5Gm991yZyt+*c;?PYdQXV?1&dP{BTUe3YePH<R%_ zd$R=NCML)Rr8$Hg2D++h??rZ~_abh<vq6w?M$&jn&0?vYFq=OMkHxR~Ge0bY^c5tD zUcez;K@21ILzWI>w-AGCs{ctD0nAXI+)%ZSnlS$329d}u2Ibc<l7x(&=0v_|#>0yI zSkVh#0NA7%a@4mnlZC;)OfVK@sS)Np^S4}r%*sGcBnzc`!p#KR#yKZp(?+~Iu(=qS zT!BQzaUbl)z&z#?9)w(M-ZNIMim<A>lLD7$afcitW&Tykl=3m8M<T{UzFqTZm<yE@ z+6Dh!hO)^o;m<9RgO`^k3RUSE(jA0)7sT{G2c2rpJDiqGE88@N_g`Vr3Yo_6p0X7f zWj0@77Z>bT)GRDM!_wMmDN6x!xS(s&gCK9*A4~}~GVn+g`$wvv_Enks_r_9t4OQ}g z-z0)1)5_Mr`gmAW|1bn)9M>tJtZ|=@5y7LfoQ3?X2#?j?;rIp*?};BJ^!NwRH%i{( z4QkrLb#5?ylgg%lQKq(8o`CKG0X-0~WLl}(M)7T-I3VS{CLO4R%ETeTKueVID`Dh; zCu&#+_p~d*)k6?Kp6{5R?x1sBa)`Ao9)DjWPUNxI8ECXP6-V$mwxx_-{9$5dKY%i` z%h}LoP?}`1zI|rCj8)pR<gtW@7>qRyJI8l*Ld}wCC7XhRes9VYXpUS$+hRLFdb&ut zz&N&|k8Ql*amKs;u@qTjP)dunZ!{IAom`|TDW(RDS6x^MI{kj<O!J^c{eL=+C(TUt zYO`co$vR!omrm)l?l0yjwSH!e<FNxA?R5U=Q&kCj{p!ET!`3!soiQn8*1!5Lwb}G$ z9tn0@rgz@D?ycK$tyFy$7udX0Q_OedHO}$Xv(!B`cFRoY{egCjj#dup^gMAhjaNd_ z3v{w*lODL2r^>3~LdN+PjsP96$KPp}+8Vq}^L$PhN`Go}&wpmwU4#Ut8Jmu@icVRc zlCy^S<d&cr2hQUkDdUY~I?G)nfv<XQQK%vB82u#Hsxf7CJ?w}=9EVKQCBnEqoKoDZ zTHt~1)QU`cg3VMoi}Mib<}=1^TGr`^zc7G_i8IDzx;t^TPfN2UKG#f=tWKcDBCOg6 z<PeR|6MC_Z9;3tSa3vfQ+Fo)q860-9Vds9dyY(jO?dlP0xyo9thN|W2Y&!1GYDAOW zVAs87|GQ~KZOgz?ZI8GmSdQ&sHRdTbcGXtBf|?bALqk?+YOI^$+)-29pVS>lQH7UL zJ?Mh1-ZA3htB;!IrM`)EuL88i)bcM21}c^Z*gI{`IpwltV5!=S%s5?dEJvF~nw=eI z%ad1EZ5GjdlOI?cHrkcyE65R>?YjG1nQfDm=-O?6JmeF}bv9|ErZbknV?v1#^S4So zO0HNQi|!OIPvI;XSgOM5_b$Wbq6Ec@?>O?}6}@6s)_KMP7`vMMYL-@&zV(|%70aUz zlLY^LPh)MlmK9rLI@#@BVL2kp{U5rVCkn1s%WUl7iTi|qrO?{m^V$imo+h#7S}~z5 z;dY$JTW0KXIt#Y)eq8Md`GF}~&Z>vggG=$kX&HCs40@cN-d}uYuGlLT*-+fBjkaKW z6tg`NY|kvGDY0o<(&VE$ENhKwNzn;S?yhm#3TYY22%)ptpD56zwrlL9S{6+P?8QVJ zPvICEy^Yn?5@cBhWZF<=qK&04L{PGWhNaX~;_{aqcR%RjyZQ5Ef!1zM)6p1Btbrdn zDzrdb1T4cQqHI-hi9i;;=JYiE7Zl4ppuDU{g=pO=DlC8Mb${j=E79{16J=-cY$`Vs z>cSXLn5Tr8_fIp`&Z&S)HX;8>P5{?HlISHdyx}Tu(Vb`;4dgQQ$naaRpKTOquf-j7 z?tCK?nM91)No+tNpBf<&V%h@j)(^WJ&cEh$p?r8wzPuGft#|o*H0%Z;xhs^jqoqW% za+blvy>;NAPS>i?HOVt^A24Q_x)&K2y0Y6eRa{<2Zf=J0P|AyKbs=ho)f_-$a#=-3 z^-M!SGzfgcs$!~@w<O1Ou?Z~!`P-rcs>1jOYgj@5|N4;r{}(>11w>;uU*4fk8x08g zR2V3GtCaGZ8Nn<ehgiUaEGvbWwN@tM@?9kkExDH6jE{_vIWA;*yRrAvpsdoKlxo8} z@_+lgQCS(^?Z~?wnRc<=j*R0;SeP<)<hlwMymw)?@1pWxn|rY39dlDa{5Rf%ExDH6 zgSYsKEIS#K5l1G5O2?e0_(^TReJZ?-1-#67__cwR>AC$a<6+|Y27}=NwfdGJF^gxl zcnM7aCM^6_MF~{2Eb0~?2^1F`mA&IpCGDuZwU=l`Kp({Y9jKZl6_q{T(ZfK7Ku}JL z-OdCmf=ktY%c*N&tGuFJ!)?gv_@3%G=!&PQivbR54-vo)L>oVG!IOeHY>I?Ustqf5 zQ*oH#Ze+|BK(ZzeF*jlkA&O^D!?34}P(VWU7>KDRXps?6fQbEv8Z)qCYj*lAen9i5 zAH@w^5)-4iEN&KqtK(xdJY!Mt<7~mNa}qIZ!8pS>yTtiinqioT@jj=VqKIhe8a?&Q zP(Sx$rtjqA7@b~!VJ}g!pqF^{FvEpCB`s2nz!5Y6n&GZL)iJT#1spj=$_VF0{(#aw zsAZOe%8nn%Xn<JUWWXz97=mu_Q6-IPuCK51Pc=MwhP$Ss{(zO+aPf_Qk767?B<49~ zGu8xLOgS|bMxUZhBa2AAA0+ZPBwLCgmO-g2Mb#aXSC;7kewo)NKTtxAvN2$_D{A~3 zJ13T0%Q`39P7o+#)(+3UUkAl-(7ba{@IKtmLE-Hqb`Hw6929tTIo{mPBl$r*k{{R^ zF$Ainvw~fwB+*&dl51IKWZRjNdbOAf0?EcXFOM<g6MH>zifG8dryrK8<3+nLj8gZ_ za7_38*9#PRdD|c!c83!hyCcEw%=($s)0F2*)(MQXg_OM|DA1DOGK(x&e+hZDBpA^H z&a5C6KT^G?5Nxsxnn+0KN6d)8*`v=m)};elcFHQ!E7;^@_c_rTNt=%pEZNtVKN$Dr z8*2c3!*12A9-3toM1Q>e;pHhZo4$69m(lq=8R7jnaj;y%DX>8VObfTOt3Rq;EqDNI z5dSjl>i2)@`(I{P5#$HQM|2qt=}>+{Kr`a9Lywo4CG-3vnA)s|u(Px#TmN0v+gR>T zf4v9GySit*pmegz<N?Jeee=O|af3oM(J!+EOZ#T$!IF&AKZzG2IEORK#eSEH4opjy zbXrAQRvhG!GpGzKTUXJ!b?_A@iu7<O9T71n7N;6`BnL+(vHz+psptca!c$SdI>1rW z^c8ypN4<!{TAQllF@9@$!7Z_X=f_bTi|DRO$hg~x%16Q{&kNx%i+zDjTYA^rO`SeH zeBs5rgW>WC{c1U#L<f~*iqk~~NIp2fwM89T=^Rk25S0W*sW%XG@0^wWaw4UDzQq9$ zb&6)KR&khzUUQfO7)j&Z59YV<QUbhO#9EEY4z2harI@OZtc(Y=7-2v7G`Od(kc$VL zD#`I_Oa&#l#>;_0mR5=RWsC;(=({e;L9<b&NtUWPk)|cIp|>KFB|Gqy*SYP6`0v;o zj*d;#mQag%a?opBG{b(Qr@c^fav)aytktdkCSR^eeyV<ca#`)6nQLkU^`YqWT8mge z<fuhg>S^@ox>@gkRCn`}tu^8?P2lxvznTJ1W`7Le_15QpyN9*_VYhYFZ+1H|=A%T* zO9Sj>uig6`p_g;D*7_}~hMzk%1)bRK4X}+)^{my1+E=Z9Gpc>8b~=rgHE`#u9kts> zp#+Ru86>*z8_*IY88>&xW~bJ=syCwW!g`wFMWmqmVXf2ewW6@!Yr70^6#`{HtX^IU zOX~v6ZF5Q_l2>L8JZe*eZqR8G$F=gLfPI9K0f%B~8N2#E!)P7GiFc9LAnj(Y*Nsq| zVa;&4)+Cu;Kv8(E;MNH;6aTZTUf7QkWnIaOk-4kOzzycca(x-N&(i2C?6oX9lOyDM z6~opU90^F;uYlHZSqE}i9cE(uSBHW7uMPwESsh(*Oslh2xDx!*S7#lg&#Ucb>$6&y z|9qSNTi@8Ta*Vnmw5^#gqYgSEDY(VA#d7=*A@z)Ga5Q+CM5Bj6bQrA`j$tG+#nIwc z4Ydx0d+E^wE|*Or;iX#x!wZid9*!0gaG@YqGin$S<l^Yz!5wmO8}aBSVwvX{W1=u4 z!|7o(y8pI(m`CuOXZKH3`xS$(aS?{m<NRU%Et)K%!SMdiXt4M;9V+N`9L9vzx-1vN z>2iJ_Etc~K>j54n!}*LPz#2m0qqVUHdSHUamXl(#6nocL)M3QH7hD{cJ_G2Jjz;rX z8`d#60l2tP1h11pM(UR*TzrfclmEo{)|dxP%^<+m*dPWdl*P&~@Q8^JVFkR7M7}mm zVSHE%xqX4~GI-P4I8mHfn{_;vYr{}GtPT6U&)U!totx-3>c2(J%l_xfcoV*C^qQ9+ z8@+04we^n9s$qjRxOgFPk@EE+{j&!0WAoyp0rDLG#E>TkD$dg4v2L%g{-Wwf{2d^2 zIqx-oziM=9pHKHHYz8!mpx*4A?lt#BoW%qox}Pk^%#!T|VH45e=^m^a`)~eihp2T$ z#dgGZqIcMP&M7#;{|*x=s*_q5Ay17WE^SUM(GY(VG&^Cx+Nq&R%rx{~KqQ>*#TX7` zOv(m2h)2?JfH#1Of9S*qO`pi3|M&4Bx$NXYVBZ@rvFC{uht<<ooNS<JX(C8cJEGUP zA8{Rda32lCFfrdDfyVX8mw}bYq%at8`b$NU8@duavzz*gE9_dc#+TUjG5{6ffsxsS zjngf;&^~<2qS%3$XF(QpO?KcJxy;cwR~B%ft+IflEXV?w!dBxP*5<vD7qors1w9yN z`~>DxXp5y>FzBuFgkdbs5^nc38TZi(0kcK=H>VXoN+DpjNq@O2aa=Lz#4|aZzAC|i zx5*JU+ky%KGs$g)i639E13volg9C4o9~@zEeq;>97mtloz-*IzKFfmio7`tG)_K<y zFx#ZRECZ2Q|H0ft03^^BIUrFM6ak~>gvMabGB6N8TV+96Qn12vniuk%IP_L|QikVb zk_sQa5HMS$Utlb#6(+;zqZHfM34yU7{mM}=dQS5qo)ZV&rbKMqa{|x(Jtq#lO@6T1 zmM<WB>gn0pXl9{{u!Is0ter607*0{Egaam#iwh4AMdG0(JIS|>cQU|8(1G(DCx<!% zylEcL1j~|yBO?p=0fa-|A;AL%y=f*eBxP|yMOFzqSi;|56gc>%`M|N1#R#)FAU%lm ztgkpQ=uNYMAt{Rs$3~?X4)8*#KN~psruo3J6lVmFuoCKE8(|rMHcfT8adm<K+n~w- zv}vkKiK7foqehB*x-A2|SuXGi7MFMK5SSGI8PySAeAS)<Z<qyag2h>oQTb=;-eYm- zpf5QC*)Y8f$0q3Yuy7@uGOTxd%Q;w3;jzA69_Fotg9QtcOEGThc+KSC@np28Tf>1j zE9e|YK|wdIx^=c(lavy&<?B~nASp<)%7f|C_Ym|F666*nmm=tjWLBxf>jPPBM1&{n zqGX+g#$8^eBI^;vDbpM2{jvjCy-v$%7tBHz(UzCNXvoXPZYDRgXfPT);>J^)ArK|d ztiHBraGbRo30nRfkMmF5a+#|gSxA=JZZ`?+9B3P?#Cw*_dQo1+f~gn%*(zg#at^c& z%IP`J&qXO$hBrZkrAw8C*#_OMYS=GIcD&$JPmOfm92N*pWTO){`^`_yJ_-U`jZckM zL^X%XyKHuFrK@*!*=L_{Wg+9qE6tfzlVcU)#65D0Xp|Wnj^;E`8;SZcn*u*x?x*Vi zPR_1EM!|Wt)=-~fEdBEUe{jOqlv_oj&I;v{xO>KKuAT0o;#pKp##h_+0;&@|-3wdQ zPgE}2>U=ugdzsu%rZ4-rxB7u4Q|X%N2e9(t;X`FVRW7OOImOUxuQyPEr@VkCU~n6_ z->r4yduDWllrG+-Bq<F?1)|Z%f2ms4xJp#Bp$2@-dg|&`di~Of3|Bsq_x}t?s@J$8 zmcBK(7E#Xw$On-R9tfW^LF`#$ex((6W8;G4`13Vu{IMEF?81&(<#jwH2)YL91VAF_ z1W>EiKE~wO%<1=T=!AjpxoX!wZBSg}7UP){k~3T|y;Km1;&+2g6Ki`;5aHD&RW*lE zr`qdvuN{|?ZiO;Q>0b4z;JV)I#J4=xO_qSU<oQ6itAFsxrmuIdLwgA(X@aqzs+>1) zmFm#z-FCIvQ45j0FJ(0LdI5XN+=1ck>ZP`c@O$$7<Dk{OXx6H&(>+*WR_TBF@pH&O zVLpSXrsow5iIHjU*+ICg6Xc9yh)vAHa9=TR7(;s2IL!4blKAQ5dXlbJk|dHB7Q?J0 z$&`^XkpvATSJKQF5nryIi%@gp8X0l~#(Auw#uRm%2I-M|kV6YE5LinJ>`y*FOjQuZ zd2l0U<Oe^PUq6pk{4iCcnC5Z4f**#wv~h@4{IHeP>s7><B$a!wNh@=5p!=$^kDcSf z#=g0@o6ar(8~s)N2i#x9n*#9mVJ{0iti^Yz{7vR)jdN3)v%Ch+U+E|(?^%)rr@a52 zqfAeLlNJkiCE+Lw;p*TLcETiO*tm0)VZ*M#Nq0wiolD19>*V%k9p!biTIuShI*eu3 zKHphQy_JxG{DHjHjBBUm<_FfUoroploj);(p%lin=T@&p_?I(`p@hOb3>v}c-cVvL znHnwg5Il0tnMk&##XLyHhe*uC)`!359Zz!Rk}bC~&n4rEB<5ln2xPrxZ4_^>IXMPu zKNBX|M9$eNYHYpcjF+g*!`4e=iCHa((1*yLhMP#qMn(D<mNFtT6h;x@l@4oJn)Oxn zc^KrQ1Yb4p>?q=gMv1&?$QoJ6#A?6VyJ%2qX{DpOSf5zseP3vsIQ!gh#HplpK4u@y z75k3)&c1VowC|A{q)oCfERWc`ZFXX0f*g}v{ofi5w<K4etBd8VeBJBsVDnA+;;riE zMz2wi&>pYKLsP*5eqT7Dn=%))HqXRQR5ja^IGV~<>6?s?Si;zDB*tLpsfo!M$4J}2 z#29u)P|9o0L@cdfKeH<&rc%g;C8Kb)I4A8!yW9JWh+PZJ@ma6hxo8BGHN*1^tbO9? z-o@o%L>&)X-Ro`*O}VHk2tRhJX$?Z?Qz0FPFL8ekI+K$>j(dX8f9XH2u)ZK``)Htt zA&DU#W9nTYsfs_T^G5HA_2-bE@?3zPdpO<GGX|hiBn=n~a6CwdUCH0`6EP63Z|TId zJpMGhuqYOcX$a2j1s06KkN*<J$d;Pru;%yhvWdQ#okkT28VeSk*Eo-?ScD({B`o6V zW6PkE1O9tW-R!+(Y}`-qK4xZ&nVA`4X0~Hyika=0nVA`5cFYiS%<MBWGcz+|@0<Mo zRV%esU-m_%v*xoq&&`bQbkaUMJG1gTgnTkI!!l(Pe5c96%bZVaa8d}6-s>-F6+JGm z!JnvE`VHE;7|60}Vno$~zoKX-e#$?_J}7NqayGEMXSkvBtOso{i|@sVOlO!gfwbb< z(5+n5FkMqEHr+8(G94u#rJ}jH3QU<4Ch(NGS!<k2P)!p{`C<mIR}g;PZqZ>R62!;n z6BN80G+%@UtPWQ&B^~!zaOmR85?)vPAt}r*`M!I;+*AKgL6!II2S%q~(I)YVAO3nD z$M&Akj=D8=*xU)3_QKqNjIU0(Cz2sJns4m&;Ez=z0KWQbOjNU^{!DNY73oWx`e|;d zpA!FxUY)w6m6`g~O*i4XHeSOfu~_PsUzVEJSYFB7v`H*8AZ~PJa5MkZs@^Ut3{+9M zP~$MnoyDN{JBKl8_h}9u@%_^K(y434XZaVw>5V_035SK|HH{9OF{aVDScVl^v+~Hs z!)!yUuQfZ+u6xj~XV4ET$Y(J`OFDuzZoURxPXQf|U=>f&()|iDw7$y9*GY+yxyh<; zg@rp*6c~auW&c#MZ-sk93NrtX;=OQh2?+Ur5PGlD!`6Z{9_LgP)j(K7ZgTrWQsSbo z^395Z%>PpEQc>6ey~O~Pz+gCm$^iwLjVI}0Jm5S3M_CC}-X|qC0hJ31GGcE7BOVi( zht1u@PTA_9S-kiq69lnIhe(4B-2|}}0lfH&;BH)1Fc<c^XlvWev|NA)DIkC@h&QXG zJ=|b|AQvd?{|WzpB7OoVH~e^Qn{QuS%;n;V?>s8}bnV(>1q*e#W%u?E6KSK<;Gw0y znDb$@La^I~ea?e8g!^9{@D##d+}=Ojn9~5&4xbyB!Ye5$zneD@*XQ}q-oroK<v-jG z5GNw=7Z)h-7Z>dF&)(ZV+za<ET5T>cVjtA$TwVdeSCI}1{)tPGuG_Y&cx&)T4qbV8 zfT(;H8}=fkIde6FRQREAJJ)dvC@gXdA=m5y@ZtR*eCqOz@s76({@;+d%&zK%0b_z- z!tOJH_AqwR?h_XI{SBkc<>L14R-<qO7#~m^0Ns}#A|28&<ve4S`2clESmhd)q1za0 zDBOiS*}}1FwfJp8?XJ)syGS<+1bf-MLrfRMm)XKKaV?A#=)*XDKKH)_CN$SHh`dtS zFdye7t!8kdUQftKjw2ykq|8x(Gf@9#E{~%7eeM%}I1lV}z6`PO7(3|um|%XdB`!Nc z0M1BJ{GB03$*yg#7MKbBYjapcgMJ8&CkQxG7xZ_ATiBfbq9RT<@2|6u$-mA{4<jLA z1^+tB_&3vX_}AHt(|^vS_F9aDktY9(HKFD2Ot^lp#CiGQmkus4{1ziFS4j7BxT^t- ztxCFXUJZYJUC<3)Fk*EF9WF82Sdc2Z80vo1pi>z#5r@95)|qg5e3s@ac2YD>gz#_p zpt>5kED>Z-izyO(JK;SpMW|6+M)uz=`$%H3|5JtIL5UInHF5;_YvjiMuMwVqMv#C; zIR6@{4gG5*Yx}Pe<9|l5{u-$b0U80O7J!kI_Wm`J|IY~JKO?X}BV_*~2_}OYOaYes zK1}3~>ea7`@_LT4q44<re(@)G4j_09=y(A4+J$~tKt9VRT4M4wxa{ag@p_`?Bt>Cj z7Niko-w7F4kU>dzH>emVBs8A|)<(KOAsZL{7^Yl49zdEV(X&wGXG2&##Jk@l-Ix=J zkvgYChgq>1qC_gM2S4>F{KyxcM&^yL-n`PoQ@gu0Q&41|Mlp8(qyiGhl-h(x?)%Sy zPi17fxz=p?p!=5Tt6GVTYfkM^q}i#P^HuC1(pW^3TUqf8iI3jNUuW*>;;VTQL~;mh z3SJZa0J|*5H;nXR?CtST*E_Bp1;WdL+a4cw13M%+HSl=!e)V?E2wB#C|9UPru38(v zCthjLO%%7p_*zI+n(L-I=;*C%xuFZVXcj+q!%g?NW?~z?DX1R2(`o%RSM0`G_R=%p zxm8>_4oz*bd2mE8cDqr{RAE*0aNj$DzO*Z|LW=ABY0KrlDBEhnKk!52)on-WIvo4A z4GO8p1WjAb(jMFXnI&z5Jff-c9GAOCwOc#S;S4CTUL*bbM=F<d!!EZPx0RM2mNJYv z?a4^BCZK+56sMTHOI`wf2O<7~NGh#&fCN8Phj{SixA&Csq;aA;+H9MJ$py(noGr|u zV%lx4k$WVFmbUypAJ!YKQznpAzF~7bW(Oz1Kv<hwh{s5@--O%AbbKh8iD4GsrpD3q z&Qt1snMPpQ5kI3a2{j3|A4Tg=6`F=)O;Wf|F%oksw7OsXvKe_d{tDXSF)|u4$7=m? zc3S7uJwEI6J#;jOVs+JYGb7bbY8cw-;~^$26=;-+X9B6plR|)*;PS^~J&D2`@1Y6y z9*2~<-Ad|@Z78P)`nbh%wxJ)26FC^P@*eVq9PXHjx!k?>Fxq>>ItGI-T0HTi$W;ja zOSKFQ&5Y6vTx@gj$0MwKPVdwM(LXHV{QN7Li^+}KdhjD=ixk|ch@0}z`5zFp9Y&mh z-)-Jc>>8HF?&#CRp9z>j|8;sn1BYsX9C?mfFpcP8*=Ury_U~fTq^_jepj`vwe2R+5 z+=cS<szPCVLdiUY^b@J(qEp^sK4jweY*D~>zAe=7daE6|i73IM?U17C{(&MtX`QO@ z&}9xUSO;s5XY#o*Kl1bKOM7_qv$}iM*8q`&sPhn=B;RXQYX_F0eQ1>+qqU_(5#6)` zl$I=m45er^otcEwm=hSk;Xg|Q&6*QkHa*%`&{|Q}lAgwQyo5M5hu(#)3E+w53K_7r z@T<M|P)H$s(i=$q6e!UnP=;r!)ZJDDW$~jF=-B)SiVOK2D!L*lLMcWzx>{W+1f6-> zbSH>?ZF^$~b52*J%3T@W0=`;zbX3%O-dI#aLVga9=3n2v-|CM1sIt3%`g)ZN3i&9x znSVWJ_tZ+R2sV&y5v3dw^4!LsvRYZbf{f58F=81%wN^(gbj%w+Zr-llNBg8h3{7#B zddD4N%DVgBF=O)-NLLB>xRavi2VzB>0{+9c==sSM&01+O{#QeAWT@IGZ77pe8Qccj zE5|@dK3@gOxEQZLXTylMRX@#PKsdTZRQ@S_b|!{7OHp7l?Iyjv^0$odGj%vSQB)=x z!<<ANT*t%WdiuW;DU#-!Y-CcOgW(d>mqQuK%j=zOUC}UAXr(OaM=b?sDH0NkI_%+0 zi>T|Tqf~Ofn8v*~1<w?u1ixH#HVZ|0DV_`*z}hZy@V-l20{d>1DmZkhe)4q_WszCQ zDDjq)ZXm_2oOk#~rlBrA3g%pFPun~2P$6vUb?0Uy1Bvh4a>e|!b1POC^k=|#))pLu z!MnXT03d9{_hf`YuIYQn+`&NN;m-d~{yJy{HQ^ZreUYB2X6_<u1V}J;pctSQB)7!z z2S^ZVD?AW<tBmmhD4*$rdC@fv!LsP4q2`j~1E6)k!aX2-=f}8$djOnqJ+#5mq9*Gm zw=nItOI*RiA9BXwxT*jY%4$aQ;H#NGxKNuzFuC7?hYy)!y5pn~$+0<X$@nTp*n8^V zT`Tp%b-0T`=}iL;>*c^r&KnW}bqV3vGV=m5n+wOexTg@c&y0T8I31kYaWBXxQ%YMe zR)yA-;eB<>AJ8-|r;YpKG67rsb4h!=>NhT6PweLaN)sC5Yz>B;@8l#iNS8%(Dx5=G zH@kmP!jO5;S1kKB9z$|+B8C`<t_Ks+fd0@^kM0ZP^sQyc;)W|{oIwyd&VYs9nc5i8 zRHT@N-jNCtD0dG*(|7Frrxo_lVLt34uKG<&crI)o9R=x5o)s%HrW{U1Ll|9I_PzR^ zRQS5YRc2@{`A*-jp1&Vvxgh8S8?Z^vxrQ20T&7PYQRbVArNdi|qF5Y{-5U#ouzYc; z439ys!DMD(+Fxb^BmdBqx<A_-!`+<l@8WBM{_4jszvp@AU1EtlG~g5&08Iqf<PoY{ z8exZ2qHoNJ)El8mbHW}w&$=PR;KVi;n#VZ3j!MLtg_-OO2+7}Gj)hXsGt3IWK*Zrx z+&RPvx#t^Nw$cpf^|ave<dEMmD;WVT7QKZq^EzZZPK<nd*vnh5)NjCD6XoCbgE-Wv z#6<2!rUp}RFP5V6YyX%#3K_RObiTA8^5f(+%*_GiS!a9CXj%kv9MS09P^AJDe(UWf z5QtXXKuBjHL;W2E!uFCd7VgG4^lqx+hX6{l@8?OLO|J8{&O3A956sV6D6Z9>?3-=- zH`jokp4;17-z+jN><xK6pLAJdiTdpwgPU-_cPICZfrrz@*=k9p{l)3y-Sh3t$Lgzh zx@@J_xPnagGI=C&+Z0W%VOPw8AL@;GXqGV(YP@g>c%ovC{XdH<JO6T>uy?(N&E}dP zx<hFU<qLw-%8LaTrbJ)-DKOY{X3b+5crqwlU)QypFy;j~th$85>3cr8DjrB5>M%xM zsi_P7)US8z9<G~?T360icML(!Pl%MN32XOc5i9~CK&riL{kIS0&yA~BEk7z`-lFrQ zu@H5Bn@sZQ$=JMha4$c2In|v%9+<pNosJJTx_?Yua}PFdW+t7BR&!FV`r64iB6n<L z{e@y8Z?-tjT>b}pn83-*O@0EtzskKsc15DZo9Juh-={@yBAcV^OUQxTLznNIchir( z2lu`|@c8dLYCJmhS0(&>m~4EN1NC0@mfi{>d>(yje!X^L{mf0yL+irg0IrlYeE$NF z-v0vDUw{DfuD<}ke*h-Gzktq<zX1M^j+$p_*q8TL1JOml|JGVP@F0IR)u8T%w@F{x z5w`wYdR@u*BXhK4Y(XASQMhw<!vn()e~J-rJREmYebp47W%YLo+ns9xINSg^4`wsK z;j4YvuICogAVv>CtaTtSz6PW@7wRw&U}_(Bn~a4z23+X_#l$}`^-s(I*ZnNne~D7* zf7Hka)ghZ#;6FCQ`;X1I{$n#2S=SL)KoT+A84fb}7e|uw7Z=F)kIi^YJc(ri2?UTd z6#`iX5U2SMH~kN{^N$^gc>{4n|8P_Pa5evM0YKIR^eZB0?oImO6!sqpdjXO#bNy1I zHL{gL&b{{TW)8066Hy=|`ae^g|GOdGno;XW;pTJp9H+*6Djd*h)2CDl&?Zn6{SyuU zM8DI(VY*#HIWQm<J-%B8<=3^t<Ks=EF6;jn1Sn6g>RSZ=*#A#}{y#~82zETpo1xav z9x{AZD;AyK!u4n_3?zLG27L{x-@7+}`y=<@UWd>RQAA5#Ow4_R0;4f7eeh#I>J#(# z*C<9wxMWoyHn{A}_gx~p2cVhF#n{P^x*KM}t|x0-qSRU|sv5Cy$6ES{d0mWYC1$1n z3l}wyBFttd@2l5Tn?a<j%-<G=qFqoM)&<(u@5LL*xEr@67S9@!w}z@7(I16SXiS$^ zk$qt4Fd0uET~TJ`r;yfaTBpFIF7D|Bahu`Pp%AWL!Tp(3Tuctd&(mW)xDe8~n7vJ- zcg2J#LbDhFTm>^+rAe%}U()itACWUo(Hn#fXdQz2ptxx<Y5jWWqX2U5{H8}mn5f&3 zq~V9qt~7|N^O`(#zSzU0!M1B}`|4D&G*7vM6joN#q3KW;Rs$i8REU}jF+V0)19Gee z@KB29T5_R=lepF9QF*oeH2VdKDxcKWFGT~?y-9!GQ!tgzQQrs(12giT@-lb^$!jhg zAeXxbmgw>7<Sy3q$&~mvjZYRiAr#K!URIo@#+82@d7a2N-UJ!dd9>-DE{eO<MK!hS zGu?*T_Fb6J{hFi|wByv2I(kOQ)b$Zn=p&Vl-}r?NmUi&T&C?yWM2%ecqo~8Zn_pPG zwP_*k3cH)<nDgG<rG&fS7IRW(ukz;L>&9I6a+KLeR-WfiNk%Ta4PB{CptWQd(J)IU zLUPmfors()7p!<Zik9SehWg5&q`8x2V=uILydsa;%vFPljBCt9SC#?FXJSR0iTeHQ z6!<#B+nmZ@pnuv{%vFUrzCTWZ!nQ8=Gl}1_wXF%=Sv-<@-M(gJ=?VXWUOskn+Lz}v zpM*gF;wjKasgY|;9R-`dPRMHTj-WrWG5GgixCAB!d?Y>&H*zZd*Qke!j=Trvo-5jc z&*MdB4_4V)Mrk>NuKGvp7O3U5bV!3y{U)Ax*JMOlSwmwOehOzhi4$W_)`I;Q-@onE zH}zx}>7we3fS60SyZ0B^?5z9fNPEF{RuUZVlvUNyxGg$Kd%$|yO18N37Tb(0c6Fz( z43KZ*+uvqP=(p2k+t_^tvb(h6JyM5X-Z(9R;GRCiquJl0Ydla(XOal}DTvdM?*t@S z1g2ngw!k}>>bM2a%>b^=inM4Z2Rx}3AED+f4nJ>&8;Mc*KX5HAMH2R*y#~vPd6%$P zx1hXYE9lKi!N)bZmXdTK(|ZP%pxBn+ElpX-+Pr{y;7A8iggQvq@WTy`p|~&XAzipl zh_*JGDmmY#&;<rKekzq0V~;`cd7}@S${f&-2pi1;2A06so}2<r%cN6r-lThs#<q-Y z0&o`3O#AA7qGG}Kjh^`BB;p#wuWeQH*~a6w6PM-LFvr>k;Jwj2k2@3ykwl=!?1YfJ zw!nTom|6ZF;`#M<K4KI|LU_FvXmV$z#+Ra@UFe#%58ctH6_3Z>$Kfu_ly9rZdL%a; zn3YG;*aPdi>f)4za@mHt8-i^DzlOpzZyQh4?-I=K-iD}Wqb`{fbqa%LgqO8c8jDW- zO_i6H4tI@@>WOX$vO$!<d}MhP7c}fkDErZYbakrJOajG5hpZCnf6V;NrCO=dkFiz3 zB;1W@KIu=IV!8RDSWb##e&#Q4UqKYk*$nr(6_ULJ|4jWGLc-aLw9c)MuqO&t>m>$v zZpo)~$jATLT*z@Nye~S6z(lO^m=>h-%?a6`G#1(3mBTf-m8IUcXEPJwQ57=`wd=@O z0a*f4VsIJW-q9~-c_?A*REnia$!Ol;<4#~miV;~uW(4r)jxxWf^@c24Bo)(~kbiZ% z_`Y9!z+Sv?{tv~n(1=9Kg?>V<hGH9MQ0_PP0+oXsoO+Kd@ftivc+{Kv^j_xrn^c^0 zsQHguJ+ijs%Ig2^g!Vh@z>FE+Pl(PxEDSm29Z;C?E260)ZH0b$-{;z4>O_y-dVb+J zhd$sR#gcS%F@e=5^+kDyXa2(xO3lg=W7tY>f-9PAf)k3OW@v3rg6|7}$#1nfhiR7@ zVg{*J)<&N6QJ4h@?Nvs@(u|1&&B0jy-LEhcOgeZbqAcC7eS~j7r^$ZQeA4sDPJ(5M zV12FOb;dr;1;LBnfE_^4gCl7`6#*n2hi%0qeI)h$*e%XiL0VC$j>lUi>8Fxghjx^# z2u<A4i9^VhvSe3IY*E~*r}sHp<V(jRFQ`x3;SNZyWLIR60Fcm%3JJz=@^-`E04`Wf z1@X8qP6MdaEf$~($Mj@;MSBZ?DDpB(h=j5RY_d40)b&69lLZ0$5R1LHVyOXOIng@s zO!dN{s3siN@7SaptT7};oejp}XTgRr3B+2wU~#vI;8$V*&!;th8L=~K$-hi(Y!zKR z%DPr1%Q$}nDs>PF%7Sq7_ux366*!;U@gjhYa}HwiLX2Xo<uv_nOCHG?nmv}-@l8S0 zjm`m<Ztzic({ZytCR!OB$~$Pne4u+&$0+|<I*>vFJbSr#2X)JjX%Sxa>uLLd=u+zs zt?ckY=Cx`TrNrg(J(yG-wE;2OCz~)ZvX5v(MQ}|=l3dt@P?AamN4QkDjIY&JAm4E7 z48w7I90{gB8TKEBP-Pz@<mi;fx$LfCQdt=i^E1jK!fN({4M(E~YxcyMeq8OrgubRw z%3q;}2EgeAWzx+yW`5@=RVBxEH?aGYRIDp@Oa*;z`(8f3mHPfaX(hg2_ClENmdyGa z3aQ4elMmM~Dg{^^ZP~LOWaJ=!CVY?eZ;C@oywYOyZf}eWG=6L^8qOW5PHIcB<uPI{ zS#gPWABTS6d5>^{`v}dZUP(p3d~HEyCukAQo@;BUa4nc!Ohi4YCqC1=xBA(;?)dz) zy|wlH>>)VegpXiHRoy9D3|+8n@4)QQ*Y&~8H_!6^a({QK<otAcba&l&^KyjI=|!RS z=aZ{7g3~`KXpB!@N)6A@T>>>zfcO12Pg}GR+WQxz-#s7nWyCBgVlcX#>bvN7zq1%S zB`M`|UH|;Wu*=O0NDaf3kDqaNR2KZ)8oGwZ*zG>cg>veE>?hqnVQ25C*_@MYu$nm& z$1b(n?~^-j_Y#_b7v)dM`P@2A!dNQ(LC_X#P&|r;vcw&VFw{B8^;@Suma1d~o#YwD zGZ1w(e2yU--vcZP8y3in*VLr2lgwvd?M_Kj-g?Q<VbX3(u04h5Jqn0eP#`TSFWQ$a z=2fBqrDpnl6@as>WVZpVYd_0{4E3Y(l~ZWP^b^hE&(qI#Rlo7X9uytM6pBAzFXfsI z@iMkV`SSs06Y_m!Migh1_A%;1F)$s{0N-l3Y~?K|X*Rh4!>YQ_61X{}Tdn%s1UoOO z)J8RIKNip&d}bVJ_>d<q3Kc5onJ?siex!n!`3p=2FfYJkjn~XtsYbAR=F;*}o=V@k z>CY>OM$rv?A~V4n6#a@AH2Zy$C@-P|n7voopc;IuAy>V&_c3eaN+9(qu_ua0pU(so z2}fQSGQH$*UZ0g$wIF8@-<%TdQF?vnJ^@EPwVTBc&Pj1o1(?9x;_I~mA^qh*k20<k zZpt(KqP^_&1t~T}iUBtujxuTs{`dQ?O#qYGtUoA|V_wh}vpdF|d5<&H&@lrZYqw%` z;0j#f@;wJ?@}YvZ2vv4+w&BQ8NyOpaCV5A4WW+kRUZk+U*FM~pZZL)3O(tmLmraD^ z2$JP+N=eU{Gj^fNLX9{Qh8FemJC5QYrpMjVh#!pgY8k47CVtP@pmc3N1^F3+GI2Qj z!r#Fg(%>qFWsgmSHNV1mIvCkk<1CE*Tw#KXDDL6roF2Z#rHy=U!&CoOg@HD1w5`8X z{qXJT3G=@Of=ZzPb9>iOByhj6V3Z%$(<MFcCg@7&hd<mhW~WWKG-I)P_Ci~1Unnux z5Haa9HWv{T6jn9CpZs^j2KPC~Pslsdz-unym+?n~<wg#EYw9NTuRx}g4zb%7q;}#f zkcb~DJU-%m`6jiFD4|c00>idscLSk<prp$flcjxH{64-vlW5uB*sB^%qMWG|bf)GJ zm=2Si!apajQnWf`Hp?W%F{{pYTkr%OGFH6p-)HjVYNmorN}t|{dQHD*V^+xagOknC zoH;9fbBeg1;jp&IfC_xAt7RY9Bd081I^aiN(D0rdSsnSE!U_c^WOHpnOFJI@ZVg5( zmA4e8aGY}mY~e0<RPgnCICLA?4^9Pdrmd*aLSWMfwzSrk|BSFMzst<9#NggOj|rY8 zei;#F-UUEm<3D3X>X`wy(aHlPdbXgzhDFF*%kk)`kcSe<RC3^4gUmq^5>}A=@TI$R zF9%diCQ5b>4cx<cDoJ9*0e(2U@Tg8PflLb6*v6EB%>HZ@1b4f35-ZG4gz33BnY+$? z?eH=U^eoIX(#d5CNX>BpAfhc^Z&9Y_!i1T>A_(6?lK`sO$_m-bv;wucN_zT<x%;LL z{66)oyx6(BpWjvuW*Sv}Vkl;1=4WrY^#&PqzS&t!IXWkgD<D8h6UEq3swlg@Ozyi6 zAvKzDDz5iCJ`6b@c+|BU^MvpWmN?i;ouJcc{$9ssP+;IJOm4B+KD6N}Yc-{-im`KB z!KNd9WrF|l--ol}9^vIXYG+!pONQ5g?_+aAPtJRtw{FSNk-jD{y&>Vr3Hadp=?f1r z(AMJ|j91b0=`XM|Xe5cZCWze0pd6XL!pzwzd~h2)41FHw&4OS<R~3Kh3U^!;53HOj zj%Pe%bC}LiA9r)iTSOYQ>f9?iIiy-%+@aA<QW%QL@6vYG`@yM`J1@QN*D3c|`7Ita z5Q9SU?zgw|hV>hv&s$nx6@H}OS*TE@LU}wfs>0q*F;6qPK^^`Qh=+Pd`D3|H>(vB& zI9&>-WLQK2Bse-C*fcIm0c}tjD!ifwHDGkycWl;0s`b8=PRbRLv>k<*hL=w)qFsF? zA^YHL+kt~XAx#PTA{lINa#^f^B^y)Hlg8UQoENl0LN;M7U3_hz5`t7O+ohtz(|O}W zuflQ-;Q}i{`E=~fGR{KDgDFfM<JKyC1ud&FEM@4w44kivu&`wln)<YM)I>SSN#Ze7 zox$_==xG6N(t8S*_4MFDza;n`_eTVY!=mU(S@EQ28;r8)8-Wn4G;}p?_KLY~gW?I+ zTxQ2Czl)1O52h1y`=?3=Jk6(<xL|~nvcnrwr>$`6Jp{#kHdIBFdeSbY#;Zgdqmh+b zfOYE6_hY?i;ayHed~Am+I_8-RX-sSyak`Z2F9cEmN=D(W&|r(2{)m441WR?)r^Q%~ zs|zni)?sMyi9iG;xsrJ3Jm+Du6|b-u`K2>X!5$9anbQ-jn9@bD*#!80%3{fmif?lx zK@M43G;}D)Sk*yovh8btHo&seo4vYI2J?FkYd7yH{^$gc$l3P{j?q_sW_Q>l(mC9; z+^3PW?{LDeR|MZ5BcO`fJ#!a)rs>74g3HFU0$hE6*;Vx6U7)nCf>V4rqSC}QyeR2{ z-{V_U%sC}PrVv>(u?zD@_B?OR)bax2#_vwdc$2hH5t@?LDM${)XK0)MXph$!k;$oR z)RKBluS8+26~h~DWgM8Ga@;j8(T;E$BKH+JaLzQ@BFbv4u50kGdd4vcc0JlI#_$$^ z;JZhqCXmrvV5zhPijOYSZ|iKL%B}0H6%p?v`DahO{_<bG$e*Q$ukzeLBKD+oxAOPj zAmx<hCxn9!wCOuEfuopGkJ#)JAjV_oR_?mb7MiHfqb#i?zGxnP1WWVH#7SySm(Qfr z1rrTJcH>uUmueYme-A5B+UnL(g%_>=!lZuW`%B#T;x~egj}E(wmID=k14Cf;#A^P3 zj??NHBAu6_WI&4Q!T#4i#qUY@9By+t&HVjQ>I{kzi-xgWHF;+KD%pT|-H7d|Odk1l z*4$QAEBG$@x^Oznj384qWXaGHJ`4r4^`*HIsnp}~)dgqRSTu!%A+G&DHfZDKksR=; z={C!fX6ws{`z|WXcLU#>7R2>Q5^N<i&c5z{s^H53v<MV%uD+g@%@*}7hk^RDzR<)w z^RV$ZoqUxSoDs6VzT@-vMtR-}K;S{^%lLgI&(Q3TMl{i%TdlPdH_!a#Jz!rc8WQ3% z{}e>%OKG9qI_-4U6S%dq-Vb6;ER`@CrB}>KtRzIZ=|Kfz>Gi%L3j$+?%Zx)1l9zHV zkzkE9=P&3I1b4xwdc2ILhvnmV5H8g(&0R}n^#Fu#a}Z+`lhCc---8r5L>^b7J|rpd zPn$hq8wVU?gI4x%{`53Y(dc%pN+6Skkb5Xv^XYR%-ykiD<+}cOH5l~VF|NG#EM6r> z#*}rSmcu*!X|ta5!=4Iy%U0beiZjl?L6*Zbg$z4ico!y}G0zADfD?t2LysDJvpaF< z2%>{q$1k)Glge)LDsclUCSb;Z#a3yF!IhJ#iJV;zQT!)4A}C!T2EUCYluhKYMxSfl z+C2C8hPtM{gkwSUaLP84U$%bo?)&}{;vufY5&nvtr6Cy~Zf>?Iu=PWwOU_aT;rE(L zxd@Mp@AK3r&elrglNP1jqMa(!XIoRPE0<NaShBx@K2<O}(!@>=pGQ6WkEn!E_{sN% zbErPvd2+kNk_rs({J+8OdX!rpUU&ZbVGfm-ypbKDR4Z8vEgri2?Cj_MXxQ84M(KMS zpdc@yQ*LNp%7x8Kw!(qoS6aTf=S3=jVfbZXtG&8{vYsJVMlt4!dmG<mh^CG7xOo6B z(79!7i(P{pbf$@vMk`iyrv-b1>_M(rb6x}<(0`gT_Z$t8`5d5B3D5Ml4U5Rek@9M| zBmrJ64K`+;2vVO}XapN_E(I{DK`r8&74DLP*F~U687~PQRjo>DjX6s8tgqDO<ulRR z>JneiD7?4RZ^0y5>`(U?#!r)}`a?^f7=%6zZQPA<IB&vH@1sf6loy3{k1tI{Qw)O^ z$bI~)5nVjRVj;W6w}L^;D!#HVL{5ioVhI6G)wle9-ywwvt<gP}Bm{s0)mkrnj&|)? zB{({yfr`|oX2{$(dtsw#$fs+yd4pZw|AmW@AXKpyMr9@yPz1x6MzvZIg2qSFgem_M zG3sSW7s+Pb;%AWkV*YdVcnx*b=zh-vLnsa6P8Hsk@CmoNrI1IzR;^r0T&+0U2rA~9 zGMl5J5_K9C7MOD;JvHzYZ_h7Eq*EDiM~@npb>^bbbr<7)vlCU`w869=sZ+4#);T__ zwIKR+Ib43A^*^VvuY?;*S;35j>l2i4v?!1EBckwM)ms!J_lGnUwAv2`Ln~L2xYq+` z@;KBh7ZjVd8RtVi8jYjG!`7U!k$$f+gc-?DHuS(hN8%s}3}Ai@C8KAg&VNyigw_xx za#`-2W+s4a9TgvNj=Ys)BD7gI3LkDA?J2HU{dsVp+63DrPskctc|t`yYGAH$P3Azf z<g=LBV=rqr#m|Q=kFC;RWv!5Z)JFz+OSaHN5jTV&b`H0;;$F<iXcFa{1=iHZQe<f9 z25DRV#hReP71DOoAwZ{*OE#OtWLIn^NH#*}Ai^S@5u48(nRATH#5#J89N{|LBig1Y z9yiDfJfdTnU7}G{I@(}J2ydIMU*d~EX64u3!@8d2Q})>SQ3#ddDRz-YMfoX%p>mvU zC(9a!RJP=oewCrMXXbIx9LUpe1@m-~sAr=b9<}wB5Z}@#1W+sIdiR(zkB7d?8~a<~ zlIeI-YZ`{{M_(ON0Vps+n+IWX>5v<BnN_D`z94x1(02@7)=t^(pEsRRXrvp+lnsSa zNY_!Q%p28p!(Y%w1<Tj`F!`!@0u~do4-rMo3(q@Sk#}>Qf5Z&m_Q$_c1JPZ}VM==z z4~*j*Q-x$*;P^zk8Wx4Yxp={EE3rL^2C6=Wa#YZo3JDttoeGJ*(Suf9^T3RIuh z0)j;(xB2klhCrIHDYC3VNwT<>X8ujl#*i7b(hSx_O^Pw@eUZ=Zh{g%zMP;}w_cmcF zP_`N|)FCuUq4;OzsHQ$b57?r2mbb&pMB+0k=>PqKxb^|pMge9+s9jhRSPgRX;bEIz zU-Kt0F|BM;7?!sNhNH>hH+Sz{_{XQxyA7L0AVnv7vJtJkB9^;%Q}#B*{PyRoPHnbo zbWDR-+8r5c0{O@9w$ZLL{k|HF{bW!lbDT9Cf@~R;7)!n>NBx>(Q;n;1MV`5Nvm<?j zFxP^swA;N96G|g`I1v;*ZeJxa=U(FyWjMm(d7XD>)%TN5=eNXOtKm?l=QVj5-o$)3 zE_7oRUh!BpFEVRv3-#6QvOMGwrkhHDQj}Oi>ut+XLQ^_ssYJA?aprt@FWRSX1*%J< z%{toQeO!M(g(b%ta7<~lZa;L4X(DQ-ky1h>P%PSOJMAi!+`k-e&i7V<YW%!p^p%v8 zAQX+>EedXV=$hjRRHJqbipV%B--s*ac1L=~CcJ=$$OScT)kK(P+G}7H#C-iiz#^m8 zML}0~Vco!{6&HB&yb71aX-ZY1spI=sqf@x~&<Hes9wG&djEi#A3e{xk`=~ZoFW{>k ziM)u+Ppj=eScTX0Z#A6~uQsc`S>TvdTBM<=LSm06;fE=98rTZE;~3M(n{5T^F$%8- z=#`YUJ5^kqt9ay`;SY^McSnCb4vk8vxF&@sqMm29ihi<}pv)d4zUYgbG-E!H2uA6$ zmp(UYp)*CiZCu^HJ3II^$`kMrFEfRxYi~b}u6Lo&YvE7G)A%R(`vOiEsX~z+;0*>! zOxBgf4`QEur3+S1<Hv*v3wC!C6=FItfB>WuWxu}MX>ac+Lgo1hNZ*^6tM}E8E!y8R zL=MMVznVhr$RaGy30h>gz5rSmB{w7v&v6;o@^Leb3MD#TPOhGg()uzdp@Km~q|3=r zIxM`VEedFy`F&a4-@O{YW`-uVdgt|0p`Mx^==<Iuu%y@x<Qs%D-g-(Crm1v1H-}$Y z{3!lrtSe?j9~{|ckd_^m^kc&a;ewk=36SGi<<^Lu?{tW3g~w#^!b7konO6Voh)Q7) z8J~f#@g*I*lRBIB+WU8o6~&Jz?fE0t7vS9njNFnlc96OP$1g{Ch3zWAj$j-3`|K}f z)Ya%(PLS=WBlE!dHMjBQHIBvutGoQ<Z^%KGQ~z!*YOrYS4bDX81#M0!5{Uf5B}0LC zTqv6VGLv9MU@IziO3fkSG?-)-xXL^poKHM{Vv!xe$x`n+H^4LL_*z}e*Nm_RWtpn7 z3Nudd-*F@WJ6dEQdxcO$<zoN-He8v!AQ<L4BN1}J>+s#=k#BpM#_SB2OmWkW?B&j? zGmI6dtOMA$VRo{~<cj`-uY?F`N!3aFO>!#Py(-BUg{W=Ke3VS=(*oLnyzj)bDfx+O z0!dpA?y2jmD*kvZkTm$XUvtF6`O+bD6eI2s=R*GXnCn+J2yd;7y}Z7N3um}AY=Ms= zvfE|X1IM;Or}QO_s2S@I4?hoL&d;UMAuZ)ISOz<?>y&P<<%FpyJF<tOzwvnvv-6xE z#tpS%IL+J~?UJR*X3lnwbG>~T@0#+n2^~U@%%7tiPaL#;f1+shagONb?xyTz;dT_x z@u$eI(=fvuI>(>5C>ZpjKY0OOaIq~flxFt_4S+?J%}d5kZ4~XmooMyPuhh+`qw z{UdY(B67y^VDwCi8GMDf!RD<_%7>6X%njJNeRhR1V1()`x394BJO^LK+D=M^8m}sC zWH{&u6$@dT?v45nWr-UY1C_n$rTs*=v$`&OLZ^7Sgds_$w4ucdF~@j0)L}^`DHB(= zqWg6IZEw`)_=d}GMU7a9u57AN5g1e%b_GVAz{WJRkcn*pRw#G{@x>~vA$Hj`<l!+& zQbAaSD0tz`BX<(50ZqURW-UH_F6Q*&wLN#HP6V?xBLs8%pC=An;cmEu$~ekh9u5m( zM>TwZTN$St$MZF!>r+yAORLVi;-eFaVG_HA7;+>f4lO(Q_>j9*kLv4JwE~q_@7BQ_ zL(_e>GLbmQa=y|bhsWL`8wfT@%beO+Xg(XsxZUTyYg<0a`6wGXHIsP_(xexwZY@Qx zlv>&;721Y*THzfg6HLR%gx6wMWywtq-ZI@-o6C>ZJs5zdu2i$e7bb?THA~CWSpyx6 z2<56@<=;stP4D^VbYYiv2vljn@J$_XhT0lzR|RRqsB~-y`nhki|HO_M4?eU?z`DL+ zd@r~O-!!s=7k)Q817w6MdF<J(^LR6L<U3;bwsHJ$=-PsTUl|#*tu2;QCA`+r2<<8s zRV91|K3tY?t0H}CT*i`dN8bFVUkZ$Cz39VQTV#Kx%Z2P(!zE~BzkA`l?{OC9Ki6lV z{o{RZGvKsw1QrAzn$#XAKf|BCtqAU;cDaBXwgS#K-es}@Bk^8xhb4SBO|}G`tHlbk zYEBsfAwwT^Ud`{5Y0eC8j}R1JO}0Nx82?mtJX$x$w|WISK0=rlU|sQ@R2{1T>zU3o z1QL9PHL<c5#qVv~R?bT4KHnH({wAlD)XhCjc9}=oUK#Wv=U!Ot{~SY;uM@hXHTAE~ zj|w%&@QnJHOeAYE$Hu?T<j`<%#wd175EvQAxTX&dQJ5H5G20Q6HEG4~J7tOEDPa7V zQE6XSy5<n1;3Ls$Ptoc$!Uh*kV&4N^${?$E7+KgLcEA+IQph=@bY(f8AJeCsGrcy% z8|3b~-|pcsUy;+WMfvEZ;a>XP`qY?pJmNsW?m-D1z3GAF)7K{`*9`l6KH0KI1BMZp z1ykSp!<l_uN8CsB+iuv|rNC65Ne;j5HJM-l{r~K@BmZ|xj`ri)|KXOL>Hn)+a?Gy( zZnb9~J^efG#3Wh0qu>4oS@K*k)^?{nEeSkA|J?X`piF=?L9R(L8aKOi+SavT^s`OB zLs93X^?~I}`LyNTbguN>hC@K)>f4gYz1LBMNle9ZSwq!HOt`SPxyB*zK8UY1Na+7< zuq!RSX+*a<-hCVM0@o;OLLmygSFoC6!d2>+wwrtTzR0c1!Gu?7R)YGS^YlF~(trNR z8n)cw88xPaIY9ls9yc%1J#3O3iyR#*?Wv3Wps89TQ7?*ox1!-&h6Gi#6%nw81pUBe zRBo(-bD{!R{w-#_b*TpdT2Dx9GS3L1eQxTnG*m#Kk8`w;SH1pg6@hbIe)LX8Z}b|w zahe1i_ZL8`ODoC~u{mL3Zd8dD(o8Wx?J5`aFfRc*LZn0YKwlS^(hgdtB4_)pc0SRM zogsrj9qg;Kj7|k@mI~4PFvS#UcwF6BUC~o1%8s#L-=JQ2kv6`>d))6PR|%Ql_w_r1 zV!%4|Y$9PX-gm-}%bRkd#~hvYeI(b0N8X?iUbIUw_Yst~5!~mh&z!pLVZt*g{@<U3 z#X<{O@1Cou{?GUwW^K!MzLCcs^+?E@>RM5K@(n4yEw%~;m5`QerL*V3F)M0J1J?C4 zG^omr?(2FYPvoj+mrFu4c;)uXV~Q(iUUAW8z`kZUty_`2Oszt{GP8;%u_sX)*Kto+ zy`j?(bq=W@1A|51%NKxU_SSRarjbL|s$0OWtxI2OcHrHULH<}sl+|euPQ<6puh<>o z;3|!%p?Wwuy*{j;5Ny@C68y@woU3RosJB|mv*`*H^ycQ<qqw8fDvG<V^|)sF3Uw+H zA)jnL*j)bb5>~9mz65??onbIT{{$1tU4v?K=npyR7$`xkr>UeA;dr1}n=X1=nmQV~ z$f05YzBjhju#e!Fel_3-)xzg)%ocQ2mp&TQ#K8vCU2RnYbskp}e|6?;LD~&Tqe1#M zX5COj+dWLFY(x7!UZXgBH(MR!2%19~1+i+GI5nV-?urfRpra9cTET3YG*_sO2li&X zVQV3!%M&DS6jmHgFsI|Tv0U00sNN7{M9hKb_>NDCwe=J1VfX?D5SiS%A?7VMTy<J- z970G~v9`8<`0_}w`dwSD=+j^a|2nN5M|gQ}Cn$HcXb`Oh`ny{BFT$FYU(7+egzdHI z0VVp<@YKS>0a4$|gIZrwd45;84VzRAJC2WO6vC$}z3z4;=RO@gxqtqAuixOE8Q`vP z^^MZ>kgoKaKd#tTr*faE|CQtdAWrGqUy@bKA}m_?fg@Ra^~fG+<)H24@|G*?M<80| zwp7iY{9dY2LATIi2x)^K#2vJNzOdMJ*5zc($-GNb)98BQ_hz;kv-p10?n$7qJ%zf) z1D>r%D^q;eHWwIwxOFTHkMnjx)ai3s2$tUSr?lhAK%2Qs|G8hTij{5F$Q$9Ham{?) zs(NC%$mz6sr*UtEnI{(xp}^_r>HNg_=O1E=`e~0{9t4&bluLDI#uuUjS6)m?b77I! zt1lA5qMu(RxET$Tm6yJx^rVy`6pQtH^u~QeeuF0};WB^X>Q4s@(o7IlePhKM*w>^{ zExPgjT-V_Qh=b=RR7zD9L3#T%e|}7@m**dMc(jjfuf7*7+De2|x@Ykk?7M#+T`i@U z9DUzFh(mg$v)kZ8{yEv}_S&VYZay_@hBZh;$L0R#^I*uqsn?&5`|04`nlrE{^?>=B zfBK9%Oxf@o5o=n?NBx*-@npP6f!F%z1!U}GTekByK!+1cgxa}mcbX2<bOITH-hprC zfHkb3b7NoWTdVGYz3Gkov4bU=s+I0dxG8JGWGyvO(Xae^ikX(I@MDbL#)A37c9Tzy z*y1p-uung)&6PEYSh|<@H@LKtlZ6%{$_0QcAzrRZ-JHBLuwZi3wXR9tg{Cn}DMsI# z#(47W1-^5bvhCSs{6b#2Eotcs2pEJA3Pew;51vkxtPRZ6l=ee^GiK%z7O@Qy4J=OP zpRRaLx${(?D6IgAggG6-jlyXd9AWk?ds1~4ToU}?0Yb@p^Fzp&&+8I^LVxoktTN1% z2`TdBmRMh_@yVp1D8@qRPt)7&Gh>c0zkHL_tWie=(|ZH@ui>$Aar!-<+i@<MK_4|p zDtM6JAEZBVV%x2zozDzq1wx^d%0qTpSGhXA2LVibBw#PN(5WZ&!+M1=kC<DKLZX;$ zRCcP!>{GFLvAyv%$rgyt1Gw@^vvHu&?YX{>O0~V0$9ycM+&d}?3SJZ=gn_yk^VE4^ zdgldfe3SxaA8?i6_pz;u2>g*rLvU{&aEUySNm)9B-*&ExcH9;&$4@jvk_0O<^~XXR z=tALR>zBjyI%2sIAV|uv{$%3>7pON^tqB!s6(k_}wl0Q^I5ssb-8|G}SqW(>U1@tP z-M4l&qHgzc;V(RJR=2qZkeMKT<AbO?ic`Zu<vF#w42o9eo|`OU>hz>I?@mbIt%u$D zLe3_n!b=-=1r@b{o9ljUhOFj2wVaRnhfTyUD|rmJ*`2iF-Z%TAg0r&e0oXA#fFbp| z#}w8I9}I?_R7Yr+5SPT}S{Si+r{?NeHV=S%6f|MVeb=vHy-|a8JLPjqdK_2f+j57S ztuuB~>5SxHjXkkq5AKRk^$KN$k8}+jdP2eh(j(p4#f4cACo{V_7L*FEUHf3Hzr%q1 z2@<7=`3-LY>=EOcFn%c7{1pze(Eb+5oZ}hftO84hL;8Bmz@25R#3>=F{*XZl1Sjkl zdcLJ`jotDnOF?LF4m3TL3Ojn3t6^$A4K7lccD%A~enAagQiiy6sIZCpIa*-(XELz_ zUI@RhFc&&!!L~gLl}P>*20?^c9!yvrIphvAtQgF^t)&_mDi<jPDG4lQI5N@?x+2(l z+j^KVanaxHsxg2xtXVE^`{W=AIegawx}qf)1lLTq0u*nqEWVUPsTJz8Am#xZT*5X! zY{J>Oa9|;y!f&F0>!WK;Y<+A6u+F<T!)|vOomLQpj%RlcT#WaAe549_P|!F7eD(G1 zKVS<a+Ymk9FlyF`>H$2#zr6dPEUk5!Z0`l_gM;K9WT}Zw#PL|@;JiPgfjv;Zc)uAn zttz8H&3B3_IsPCL<@Q$IKIc$}^HR0veO3k=z-q^DF1vb|uHRr~M%dOoD@g4Qj&gwp ze8Xx&7vxg#tnWv_wQ1&@5KcGTiVg5k2CVx`6*Yn!Om$2#*Tf6I{2|U~?HMC+g2gfO zQw$E&n+Oy}9Y#5xnhy1!0saNj!J;zwhbRITYr)ZxdP1f|JJ<*IzPN*^ff9(|L8@kz zwrPktAVWL3si3Gs2yNTq5!(Mk<hbI_n4<?sGLy)0VDop3hx(9;iNYPJ?9t$fOm-xM z@W@-Rp^G86LO}LPhv+PHOAqbu%9hX7_0~esOyxJIYV9jC0rFOH?`ruE_GYU=+v3fp zcr3%lKo=pvEh~m#m``|$qQ-EzjFyPZ$vNrLdbn>9R!~`O3iW~<CKtg#`ZS(F`!p^= z`y}^KnL4Xd*ahIMpu^i?PDdoC+#5v2wpcZVM8ysUVKTOg!&juFj%zi{MLCi`yfZ{o zSlW}{ds<k?1Nn8L4Xybh0^ro}5uD~2?F<_DLFtoOK{QLvL249L?Y53v=FZq4cml2S z2zoe#ps!+l<Xt^4R<P8LFm=BQWFz;7m^amc-BXhJPZ8RY)25so5)#swFvtr|dU{;6 ztgs~}L1y`8Pm1Loaac9SPBYcPTlm7S!+Kkq=bCj46XOYOm>qE2%pvCgeCS~84&2S& z7Z*4Y1+O>*Fhj=%N#aM93TB&M;XX-{*uC=AlS{^~*a>6tAt!8ia`53Oo@AL@szqRA za;)+Y2@$-DP*b6Aw5ncgGvH=bKm~&Dh6!RsZ7+>#)gMiD5zy^u3WYU^s$K6LvfXWf zuz#=bxHLEuB!NO->Qg3+`88Nx1X&E1EkSusnjjn4=15wlNo_ZU_G*d%WrI>mXD_s> z&2ED^M`90C$*03WhF}r`Z`(+35*E6(Y1;yExo)r34eflX7Vy4$G;)RNM6>|VpuAY` z*MN-OU299KmgOr<V+usREQrc8WC&0p>~vl!zz6Y1BS6~vBU?a=i?l7)``HeTQRs*( zU!WXEkQEvt#8*aLf+#PR90a8SGpPiL&r~`HF24&Wb@_U@^geqEn(p$o?4qxIC_HMs zHc1aoXj1xqGXIros>A7!Ykb@M=TTh%XK>ta?@WI($%qTXifX~7>Kb<rFiDd@?En=? zd6`|};)7l%WmRQFEHEe+I@X^_uE6SOyBZIq^%x8*`F2oj^!i{$_-({eVeVYcuPCr1 z9Vt&vDU@J{+X>2u+ev|*w>+m=zuH~8j<N&er*giF5xTnKu-nM?f{-vHf(PfZBA|fv zGIs+My9*S%cNM!AN&HKxsfE~^@p(aF$;&z#5KAJ!hPGE7LG8In5fk8J$3;Mte&c|J z_5MNO!uJdT$ww51!S8gy=E4yE02^#4Aqy#kA%oecAi0zJ!YagWQL_Ino_{GnzP@@3 z0U@N1uhhZ)5E4?1x(Fex7m({SC<B6OY5L~@5)QOi+7VkErA$zqnu;$>@>?ibb460Y zBA+IknitmAHZ~+J<gqMU(3uxy@4zs?=lzvXs&i&O3x@nBb73!)eZ{U3PoUdlpI98@ z%dTZ7^nMlA!mL;?sKi{X2ze7UrR8@<)wa%&?v5TvAD(Eknu_>ngRGd6+et{z>I7qd z-Elp)OF>EGNiQtqrq%t$`Ok((iGlQc@e{L}4f?LNs;Ul@?lN^tyt(tU?5ZYTDX}iI z*Y5}(PftIs6Jq+Wm-e=gZ9Uo^b1R89@t-5Wv>ll!^HH4Jxoke?xOoVh;vNr{<9>u~ zz}^f&9ihmq6M>PUj17Ea4LUPgn%r{z`o{;Cu@5aItg>m)%h=BOnLcQ@Po+$Som#QU zKU8TDiD_ggrta`+$k~CLh!J?+)GHwZ_Kpp12nvMo?FieM9Nm*3FeF*t8%bQ`gyN<j z9fQ~j{FY70*#sm?j6?F$?)w<qwOAqnGHq4vc$iB3u{o*MS-kkc?&q5AXKJ;rhQ{h= z-H9S3YXZ8ozicu-xez5SN?-yBgA4_0EL}h!R}}=<ZZqi~<fwPW6IbSIwS;B`2>ym= zIOt_xfE%F4aET22dGZh^SbAt10Pm%o3_<j1e)>t+t?^O6T3byhg%3kuH3*~5dsblP zJ{G7}ZBgAMHWaT)5YO#=E3y>s=Gtvev<7jaxCc^=4zrw%C*l7|w%%}6>x}SfC_o2g z_ja!ig;XR6c}cHL_Y4oRMRoNFa})lmcS!B;lQ-&5cKmp&&gBDvFHN-gAch!d9F8w7 zXwC%c)dwd9J|#=MCH0aV7cOj%KqCRBq|j`%l|YOTKt|(cO08AG|FaK9idAU^6rsfH zyhEe<;Vgv~8(q#uAj?~*TIKMgmw783c>k4Lcx<FYFdfZczy>sYCL+5p1j8`MMDK&P zm)&&opL1A5fzWytuH0~J$N;7oK&L|g-LsiL|76NAMk$jyxI6jyel~j|Y?Yq>8Ld3? zRJ5b?a9n~E??Xi!1n&44wu|m<&e9ie=|V{Y_Gq%#F;ey#^I!zEB@}9#oS3QCDSGkL z&pL1IbN)(>it~-#myOnb(lP8X!?-XL18D>SR8)~)x?@aoVXMUP9;AFqL8UV=izN0> zrAlJ5;+f@Q#P8mD&bl;m!Rs8Td@o&MgwL%2Ojek%M^+U2L=xQ~#C$o!(Y^CiLNbIj ziy&BVoAjD>G?rdA_v$LK<tk^^8nKnZryJp{V-oi};0J#I>$crFo=lP0DsXx+H?Q@G z!N0?Ae*$|8!xiu4Xrk4DzG^DOJXN4ji~b4|07Ax+l`YDKWQRuGBN?7QfpnIG98(a( zlup;^-?52z_I_6R0vpSvg;LpFhmZ^@02((97ww-_5Oxd^DsD6+%5e<m9E~sp^QUIh z7IOv$-Sny!9#(R2lNSBR9%n8+I0@U}M|ap$kEhTaw<<CO5NmYjA44pGj2+9&k1`d& z+6_s|@&Q6dY|3cp>2EkqkKNei`c*X#V2WZ6&kSRMAA0&N&{W8bRTT=1M-ggI+bx<D z1!1pCDM+YwikrZy&8&?TWd~ot6Fn@Up!;gNz#WYn4uOb2^1)$`87A<^!P!_Xh!~gx zQb;RHL3;o%S2h>AbjR)~_za6Be8HH<M_%4Fa8?7q|Eb{!awJms6)~jB=6~bstApZt zf<Iw#cXxM!LvVN3KyU~W+}##;cXtg=aEIU?+#Q0u!)?C#-PQeZbyd6d+3x;KPtUx4 zwfo-moBkc=T5A&oW&&qQyh#*+4;Z`@Qa;1tg=iVz`ZZ<@S)|NuT@L=mNp)5b3ecF8 zcnjxqwFKd0h;E&S4i>`0IRJa<XDo?2>1PU$ileA?lDiHOH)^Hp%XEu!7TC^%u;en} zzi^b-z$2e40;3523ylW~-J0i)2P=rICj{a{N<JVK&iD(p*afF_0CH-;GTi5KrHnwd zaj6z;aeVTwLKT}ZSMw+||81;Cl49+~NEN8ml9_L;G?bNLd@*{rtnp<pWvreb2xqBz z$>F}EZm-ohlgv<#T~rEz8&N;zR|xz(8dLkfAL?$G$=WG{#Xjl&780{N5;(H9j&8BX z%A$p$HwsY7u1#7YO|A9cbi;!eG)XBU41v;9iQjEzVWdSOh=oEoxRNF{L5+nJ0Omp7 z85z%PsKQD?Xt6WQPpTL-;!yWV7N)!L;C~xo&L7eLSy}qB|6`ugp}s<gN6<YXs`T}j zD6N6J6l{-+XIPfbOQME2q6U#)PT8N^8KUHORS_AToU&P#vTiL@t_oFSazvTqx+rw? zMXb`-M_st+LYM$1d?XC>ITaK=%`GgB&dRgr=6PaW$@=dUj-+tY!e!}T2ocRaeOsh= zy1JBYuAN8{d7*0i`YBTyoIw9I<D|+NlCEVt6|^PqB)x0xr8VARlHP!P@!F^uvw|<S zINOZ<;M%BTTXp1LLaaU<7~K#VYcBK-TQP<?8oz}Z;_F}!up;?Xg*eeRCTgr^AKQ}7 zg{sJlwFmQ*pcJMFBAPzaMp#;4p$*-tV9rSe$dgF1BH1wSqzQ7doPD1e3SjJxF~-Ap z9;kce1@13`#Bk#$1;#Nu@-My^!`G{{DVx9QU%7z$%>j(fyL~KRvFbKGs0}*c?q3XN zV-SDs6tb%E9CXR|KSJQeB8;reu;LkpWn4{{RT1H&fV)>!3_+Q(`1jx~iZ;x5e%*xY zunb3@SaGPs^M|?qAz`lXEhS}s)^ds11Mw7tFugK^hHp5{w8kk8VHkXtx&O;XYa<3> z7L0$P%cPHklw{adH*X;(<~NwwfOV=d=fiRg!oteT48CEQVLN8c=Z5w0$VD)I)e&$p zo>efylD+H}3#1;CWiAP;YG$Nin0g|dN|yo?&YrxfpZyvNm^@(Cq>By#IyAh>5Qy8l zsRbNvV+#cwH9_t)yz>8Pj5*w@n{X#$PmV~DR=k3o^dhF$T4N%odH)6qd&tWnd@=xK z0Y-c>pa7mx$&C;_#jE3e(0~Ab^rb{$JKZY>96Hb4;jq6t20PE=iiju|+$S-DuV%Rz zb|U?v8aw~#5@|aA1_{^r5!(J+SGJFi7Q#%&V@%Anzc1!yKC6ya<X;ha=C4>xJE1q# zL^~0AaYXvV3VBxdjGzZg!0qsB<lJA*T-yN)$f;`4!DKUb`QOgUTqXiC*f)#6Ok~?J zY2NJc@v5I>h;f>C$<1W&ZR#{047xejE^xwFO?s#9aJqFB?ljptcR=G7yn0x4EJQ~v zg7I?Mf@ahZ%;^19IdZYXH4lt<gvT%}-5w0ZR2O0C6*o3!33->C>#rF3S43V$-WB~% zL|Fc71&PN0tbax1735vuKkLe0D@ZK(EArES=ZlJ20Sg={|2o#FvO{PHksbrTj9e(j z)q^_eY|-IbHfZHbPfS$X3+7y{*RKwSoMpwNdt<`KtIx+VWMzv_j9*1$*_$y{0+~ng z-|=noV#Dg`H+zweoc}vH^Z(6f{)&oj8T3{ihAsTOZZyF#jAAo(nTLX#bCxS6PAPZ` z$!2T|980(I?(H*{*UKTqnl;dCZW{3F&3`^IxL-EnobcJBaF9Q+neI_yDl2yP&~EHR zpoOH}&9!~)^;*nHsNn07Lg5fcz1LCG+B4a)0WRx1iY_w5-d^`k^WDcN4A{;kiSx2Y z%^Sp<+?M(mhum}TM{s>abhWqGiQP6mYp%gml4+r@*FuXZA2oN~w~Ln-KW{H$ZZDW$ z9uEH8FOqZ#_GuX;8enl7OxMsNT`2{$=)n#=Zep^&bCdpBS_wTRk@|S)zb_UJ`}t|n z!v5$JF3vLo>5KtbP*;8D+jhA3O}ID48`P$_UoZEJ!KK12)+2SvtcO^+^TzuHO3x@t z<_XnP5dzpFgC17_Gl2?@q_`lj*$3Fhh#hVh^Ol%cS6lo0wr8tefx~XM5znKYaO?;4 zsn((pzYqX|g$=k5+Z8oK)Z2VfU9<IZ0(0U#<rqdEYHxG}T)njxB+5!`SAqGsBk{VO z@^a58;hx+gD({4Q^{J2DSmev}Il|ggZF5tDN3LwzZ)|qlss)e6{A|70jYs3j5Gb@z zM_V+cb7sQaJ1L$BW49#dK=qs8XRd;eJ_SScvnqZQw`F;a#45%k8v}NK9d1kKSr~`C z1r;{G1}v9z1*-LtI@!`?d0&!PDLgogl14jhCjG6iVh<x4%LN>{;f9k~51=G?_9h1n za43Wa%j`@%ee1=?b?dI|pO0GW?;-pfcmNLm%*V<$8Rr384|7|u{o&-7w9m5A>h8DE zYe}EiX+Ql=OSoVsn2gr{708c#wZGt`qtT{c68=oN{X~boLpLrng>Nlmzk?(t#B-%z zNRs8AIfHT@rxZ%Erb4<_heF6N{DQ&%OE=FysegUVTfo=Gj~Y@(8>=+eypvxF#qA{+ z_!inO<?j3J`&B;0jX}}l=EbbNjiFjA^KS8F=jwR-d((2&MK|_d;NtXjp`h&uv=CN* z`fRVLb(>XFB}$F#;pM`S@E4nt{i@AAKgPIIJlaW^5bH`i;ZC8gE5AuDsEe7glGoBs zf!7;i(_Y2<d@ZtNsx54x)J_EV18+}c6oTGr$F$s2zxHnZ^|D=nu14({>{5)-Y0Hrh zzG!8q=1gY)$$r0x<x&`2U$#Sxi#;_<W3uOFt|E2OT@Yp4ER9#cOxKaZbL|9M!k4b9 z$f5bU=d08=0S-8t7Y_tUghGA6x1Sw)3>V)ZCLkzO($xI10@){W2gbi;G19h>E_N{H zWF`#KdEQ`OTSRd2RYC=C<WqL>|43Qv9=lJGraMpp=1ucq4ydUCTL|y2>%E*bVF4Xt zto&j>HCx#-q=|NP2hIh=*<e^lCwt(;Gy|Ev5>~Sc?@R<=FVVWdW{lIu{7cLN--zgk zujxkcRVlEKN^*r6VaJ#Uuh(k7BuIZ75|yFHNCeN$nTofIZYBd-q&{EY?yst$E6Fj9 zQ0B}ueyG788}jpEO`i47*T4bM$qAP567zKLJD)BuYT2U}38TzQ>zAuOzECAbTk5sR zf78UAVJ^N|>(JJtW@7-Fm-bn%RWW0vWA!$uEmLwb6pjV#&QV5U{c4a~<`=0T8!X9N zAu^y&K<fRgph-sJ&ocf}DSglE`=ig@l`gAv|L#t$zG;*;YfQY4?62nVSFqFPBc`q2 zl$s8(8AK5Wc**reY6OWgcV2I?GCM**i_ku8K|Q7#Ul|-w{j^wOqS$(wj*Z&`*a<}m zr}j2GO*`Kl(e<xYB6ojPP&ctK`)3(FRm9po3iwXxqj|Iidhmy>9)WH0Cd#;=Hgk<4 zdL^v1QD&t;%cVT#o55F(jX6{fKFskOvzJ?{KB#_nlB2<@8|&E*L`x?}`E}qak03uW z{sO)KR;v?j{NP9R=RgV0@}tgLO~;QhWL+qza%rxC?TnUaKFyP}cU_zG1H%c*$gwht zU&K(G`3{V^iG*zUrVjWn0Hu64+VD>@lbcAuw4tn@=vzb)+jN7Ll``-ue}v_}y3&P~ z{3e@}INcDVbV9vVAC6PxRdDEth&xpl>KY)a1}3YIQI5#G#-QwAWc4GYA30zpk?-55 zQ@U2lO`oc2Z1L`yh=Yyp$X7778IlIclHmf)LV*E1jQOBVah5zXoGC;a<VGlExhpIi ze>O>C&VR4I*q)iL41IZ-pU2*4VZgEaB`qQ~<x2aFKK=z$e|BtgF~CKxGgXkQmzSyY zd)l2Tl>WYUv%3F+y`mLs=C_V~pprXGr!Ti=KleHr*O*&|O_|92$qAp;5_NIPgyXvW zXBu6-icQ1c4ho`gA<K&Ox&9;7@Obe~ZV`o6lmQHMnaN`PgBin9QgYx}a9oVRMJ{10 zDezTfny?dNpxSt&BCPe$iy3t~fuw_9k*vh#l2fJeW2lUq`g#U+6vhgcBUmWmhazfq zRJK;CN+jYN!N#|!JSSa}UF!3oVvrCDkJ)4!9Vu($5A~K{$<Vu{$m@bM@xsL8dn9w* zj3|Pn6ARM#umKC{uyk71OQQJI;o>^+!k9(8bw(;2dVp|Ev?2O#ou-~USER9m;|QrD z95_>v?<fQ*5_3>7sP24bgjT<Szwx;k!b48*;(c0D4CbYQSlC<)2$EFu<ONuTWR@)& zU*|^wSc05P;gXS+afE9TmEZUM|72yPh!r-e51W+KBI{5s)iP#OONh^gHOCwheSs;N zDN40x6sT(WA1RMemjTIYPdMT|qY1PffACN?#VlB9K{e`lQCs!p({Y7`5O#M#Lp#pz zc5?_O%{ccIWxp@{;;mzBwyvgH<Y?t6IwPn$jKC?oFX09a@I7T5J#EFZWs*1A5(fQM zGz<u{$P9r~4i-=b4N=_c)Uct+P2Yf=7>e}w8T)PN6~czR?)8=@WuOD-Xkl(|vwfg& zR;}HYi05`^RQz?qAbO<`&|y|QZ5>WR>vxJrRMBju2^p<<+k~(f(Z$Qz!)ZDp3l6W2 zzEF-gX{3wr_<c|K`*B9H*xa3`A^O#F1C1{4Vl8Px%Hh5$g49%4vqqH1Cke-R3c6kU zk#J{gMz!!LcaMpLfwqeT+PaN_jIxFtGZl_n+g7?lyw0tT@GvbCeuV+6tyT6NENgDm ztM9rr;qD6ZUXmT?i%3c3$JQVb$vZUjL9vQ2-61vNHaHL=#w7?kj;BZ)C6{s`;Hf4_ z%)W|ZZ(6vjkU%sdEp|*~O#u}ijqpSoC{1#51eC@+K@IlTJfYmC*h6i>z*Lp)!uV|( zXGk-w(MuZ86P*_)d>x%f9nca@N?EN}J&llECgVcj6%~na&bl!Zlp)ar6g52^YY;(i z?y_WIy{QWJL|pasA4$VD@=K`g8ECUx?i%pKXm;cnG$?6JHZfd((2Uv2(oeH=ourGu zT{-uvpm;37G0WJ`M3<RrKKw!0>k0v4b1FG94CU=@A9P}zD4MM$Z`%%VLgs_Zd5Yv| zPnfIkXmm^B=Tj}zv&^P`vAVDImUTb^M+AleQ@@Nw`dWlHF-F`{EkLUjwS@FY^v-Q2 z(Dv1kN!MHK-~*YXI2KX=6oXC_{FV^IIEh^@bZ;b)g-E?6=>#iSU52Q0^2U38bP0$> zROcVkj!5~Mn7xarlqwwdNFq2Ae<_$Hl&X)MkTTeNA;NRL5)m7HclEGcA*3S(0amck zoff*-pawy-AoqDP<RhT4F+CkwK|RMowv$db22)x->wJpt5w+6B9zpoQ`NMyUuglW0 zlMqltHtZ;^Z($QB2DE~0a)j*;A(bfzw}NeBoYfU8OO@<!De4AAEIzk@<_U^8oal>G zgcYpIGb$+l;e1fv8G-})V#=#ycrm4-FQc%mMHdW(GGr14c-4s1WRR}v@j-DN#SzRw zkOCTif>4<P4<zDL0he9epR0%`{g@|t*^3`cxkf@Gw+HRP6?$n73upLVbFIOYyr)8+ z?5_h}0UxeOdZvYdPQ5q9*TiO65=HD~Z(l(QrF!&;PtDT-7vEchEBO~uHh-#o>jL2L zE%wv)&vo1lwRSv85vHHdgo=OAW#l)c_+LVEwka2ffqNE2E|wOD{@B(NzacoDM)n1r z_N_kd`n@>Z-J1qjVHz~QKaHJK?s&Yx@HZ<)GI75iq`*M)46I{vpJav_dvtmSA;$nn zWE$JpBPRoEE>ZyCc++Q|?R^g;YmypnY0SmG*`;7(BfqFf6+=)@!cjyOY~9?+xwH8m zrK$vb2zd&HA)bDEuk7F<Xfg!tKgrdd>teZG0vf7RuIGigZKmTzViJF2hmxtaB{>qW zn{O87xVQZ-{gX&R*c>C^{M#XEcR>Y18YNSB#Rgzm3RiUd>qjDvTMlim#t@oiOf?u! zyMSr9*{)15j26=8*rE6lhm$6lMDDSPKNXMr9+3+s=S0NZ7TU}Uw#;Kug)3h>g;4Xw zm{o%>P~tKZeI<p?1Kbg@9Bvixn1DcbH9BAd=Cy!ih?_k<sx#yi_76age4+o*H}hLN zbbb8bm|ad}TFEL%33PL>OB!-rola{6+Il@35u$Z7NC~6;)uTa&P#D8`+|Gj#>oAGl zlA}YmAOp?DTy7<J04X{N;(<hWD$awqWY@Yujjidg;t|H)H^>XXtjDcCKm(;f(tr{F zfaZnxci$I+9;@TavLtS&Iq+qsCnQH=9`Mxk7hE|g5{nI`)Q9f->4w7hQ85Nf<Zqnt z;#9Yn#>}t47es?`^GOVekO?FhI3qB#KQ?@rC^dM!VZO*xl#vdX?3&BdtjWqyQQ`8# zjGwN-D8N`62cET&!Fquz<zuGhJH+pA$Dj9DNx2;sPRw7Mw{G?~FM3fpt>EQx8NXj1 zFcigLlPKM9N*k|ke)Z1zM)T4SN2%%=N#}iI%+boT?5Dk1ePN)lC$QGpA3r*A{rwL4 z^l)GAMdLWW5s%P6mK~SEr6F>gCli!R;H*6E*t1BG4H3+Bs~IoAbg2wYXuf;=>J%V3 z(EB`hWz9Q69l3^tYtPKMDPABvk&Z+1Ihh+f&R5?wapRblz&`G5uKC)k@|!P9zQd;v zI!GRpeDmJ&%j>(h#jgad?;c%gF$k34Fh*Eq28OKX0b=>$2XkWuLPXiIG=r9Qs-vS8 ziNSn)IQBX>y<#z)N@5mtPQ1F~UXk0*s(Y7f=U?RS0fb47VFc=sOq+!pfKG_vp><n6 zFulpp$Z>E?fLL6(sU=UJC>imqrya)|%jtt8&5H%$7U*Wa(BU4AbQ<MPWn5uKi(1+w zT9LjQ!YxEf2mfsV{Ecpb<#5cg31?ln_s?WXWaB&VM8kggXcGjNjw3Bi9!x!p8&OeY zhSP5)fdys#@b2(6mX#*^Ga*<h&S&cR4)#XD;*qWJT0e;suaOM*nMK~L4^e;2WqcXg zu_2-9`92C$ORrIXUS9I>F08*lU^Z-i#Yf;f3LPA_KR9(CeBlYol+k;)9p>$B*Y2*W zUPK8QoSq4Ynu!|_&m%cYfFudrl>`r6Lw-)CsNzDQ&1>w7xRgdc=ynSDrIG-!l2x#n z3=Nfqr}h3}ny7G$EL5!ASITUvAk#?YqnHG@;0YUOXjFlmPkQI%X0Dv6ikO@`701)_ zCl7+4YU~#D9E<8IpnT*BRG)U^);s=_XCio3@YUTc_9>~wZ-_!za4twKQWgoBMrDA; zydv547DW(CzEyxodgjjV=I1bXjFy}Qdu8~ym7g=)JTgc)KM3!br*5qE3rsVcHu%A4 zgOp*3E(bOcji|^yd2*1&(`bC{()VI(Gb%Ie5bjVR>DJ9xkzvq_Dpn!<xnl18dzx&C zH*?KNNCgj8Y}AMbxR{c!NJUr4+=ZuqfybckZqTs#?AwUGEU_`L8%iI^onSfdHwyOv zRS`>eH<V#*Zuqj&*0B~VC!S9_jnG1!-ZaUOhvj_x9f&UKy!wr!cg6tLF2btQM)D#y z5u=a&?vjkRfLttu_KEMJqCyQjhTLKbJV)l5gtsZha8OUfF#*F0$Z_t0)LNEt33PL$ zmoy8kaZ*)Lmu1jH@UdN{W<5|Do7x=6{#})(L=aw%!%>iYvM_{u30ZhB@8dCn>&)!r z`6RrCWYz0Y1Mvi&*&|W?@dVF=;b<^V;{iDJe{db*#yDLGZ{H9okgwB;U^;7!21EoK zcJgqD<Y|YcG!b7T{NZ3;roj=IiMe)#Nj@9)AT;4YJcA-jb|P??Mj@(IcLEYL@fnsK zKrUi`Wu12K8-RHljtJn6<=QqRS*ff2sR0_K7z6gg)<jT{ui2nTW^uZ5T<j_C_XW<y zFZqgule1+ynaUKTd^j4@W#KVc8Isx5bP2IlxE7e-`-BDSO@vp~Z~n;Zh|=L^On&N_ z!N*70Fv&SRd4hW6ck$g;8b=){=6bJs1^D3$&qrOyPd(iBjl4hH0(lT52yQNpp}gNK zs}Xh3QDq`{@BIdEftnb_ogXTWgjY{cx4cr`zTmYj=Vg^Q2rbd>c9+`OCTb;t2dIby zCP(}Vyq_3=Y};kypL*u(9-(0PLPmL_gp6$mRgw*a=XTIxH1=_(gIapD_Hc&V=a36a z!!-mVL=ofuPRrRa8u}2?XCH(me$*i%4;NqX@VR7y=f^4s%02dWarzqs3(}Z1^#hzZ z^()66mY9lH0DdS%%qR$81Y2EkrJjN^i=}3G*6cx?CAHXlmD*I)Xf-(@=N{EM2C1E} zu}`Fkbx%-~%8qPChd~|pW=WE!zTnY);fWw0<KMezbFVTMz*9t7n5j?2V6@}D4Mh!l zNa-~ch#%q@S~`DStU#dv3pS}z&NlE5chJpu5Hd=19o)n5he~y+W9#nO;H~=(i6o}5 zOGC2Es=klo5AzxiBopx!=~n5}FD@Hqx;*h?Y>tcd#5o#fYIELJl4p9=9tqgmfmr=~ z^so}hnuP3EBajCrWfA+@dGDW;Sou|fDH}EQ+pCp2vxNhS0VXNFi|(<PXA7y3Lkv#i zkqjwZOb%qk){%@T8leCj=!@aMVp*)JmIo_9hK4IjfeRN%+?!T#ql!qoC1xxPeufEA zKahqw2dX<PMg`68(1UJb#<;D`&Wf3#(hw7*Q|Lfiol@~_Vt@tMV1^Da^<r2~MZs_? zR>^<chRvFA*^wC4&#G2<m`zE!?LmVVZi1~a#y7%4*gA8qh#s@mkr+h5b3wTcJIN=* zBYL9<kZ{+F>_O9CB;SPv@o=;1IT@Qwf3AXv95Fp0XT9MVOc#_aJcrq&C`Q;ptAEsG z*CW9pzr91XxY};zXe(c=T)x;{jAYBVq*Ca02W(DwC88`7ujH6{_(terX1!E*onK^& zZZo$M&4hjp`m9SK+^jUyZ5SYY=>H?Ne}U}xji|&0@t<FVT~Y4}pm(wN6XN@+Ty`F) z6e5=t{sB~kq~bl!jnlAxPb}!C7-j0^$dl{^RG^P+lZtoQT-yQ{s#a{2L3xI=wI?8v zj2<lh8rBwVln;e6msZ>PnYiBnQ>H1|1KvuVaKdQ838=VDy$tsEX3Ca|2EKslpOoPe zKqoodlG(H7Gjj0Yk5Wvyb8m>nz+Bx<>E=zB2lC7PdW+G{Z>}_0qZN?_{v3vVx>?_w zV>>G<O9)d2Rn}K+LV+R@@65&y`rq5$C`hP2v&ja#La${LiZ^s8^|=RX;Sags__Q)c zIY!F?lmNxiwqrF1x|<|mq>YhrqKOY>Cj+3Y!;5Hlu)zDf*XCciqAS*#ei}N)*<A+u zAprj*0;PlaWZkr6>Eh^i5p=#<UoABZWbkd;Tm)V*mgu?OCt8Z_eXr~O4LlAfs(|f) zItA<qFzv*i*i;<6q_{l$nj83AI4J*484^(ih9i4PfKb?NEps9<$#f-Tqa*K8e>%gh zK_5w9>|W)5AlF>@@^1Te^G1Gr9qjX);ffGRVG8vrcV5w{o7dB=cMSB$nCr{q`QNQ> zo|2CS9qsR2-gZ;(-g@u)=MN0uVEx`6=4H}XHhuY?eyBBmxcXc_Ho4s1OFh0ywXUyK z4q25S&%Z!OX2wdH&OOz{5hb6~76x_^>R`=%(QD1He7*kSt=$?}<%37=espyu()dWb zENV$=x{{Ya0+r#`(#$V5(`_NJ3mGkIJWaI+&`G%U14Qpc%?JANo{5-Hbrwf?N_{bL zSonBUb66%2t;DA8@#Mt?%a$95*;6eBQ@3Twq$BH*I|8~O+r;RC-%KUG4?Q`vz4F;C z)F1f_>jtPmi0aO!`wUC<cR8o4Y0r-Ga@sca_wX7SuCvtkv(y%{)b6s>E*cry_4RB( z2Q>9J77>+Dov|=khFZ$-$;+)6>C7U}CAg-gH2yPXvoIY8S<2AJ%khFtaB~TYX(`Tq zjYSwGRR3e*mX~V-nULlZY(XYaD}oZLbx^AiOPPNrN8A#sP$QlHFJP8XNr5Q;KOiij z8Uj)Nf#`#sySWz^f1s_)sr;lR#371Q%S|Z7=~F)%!(}Li*%pe_X%9&ZE>MWD4$_au z7=FXvnFd6P>Lp=THaIey%+=59nm)@C>J;|LC*bw-40sl8cHX!>%9;F+Q2m$Sm;dtD z@*aGC`g#oYjMLV9Q;KtBaCAPINxZ)C)c;r2`%i^E^&dZz|3AL%O~>(!PmYi-$OWIk zKNolZT&(`%`~Kte3EFu&j~$}?^;+^zwJP|}#p^%*-A#$qgYUcj-zeT+kAt6Z)C)1~ zeN#iTH}{#0k(2|DopjQgVVyP%2e3POfo!CoJGgKuNAeJ*H^^PLCcY{|Q=;&7kM&Pp zT-V;T^Pj#l|Bsl~`Lx2#&g0iodl#Axnuppunox7j_`AnBgs*;%?z=2e`b*D=&3!vq zW*!@k`6Zwr%RU7>VVn89?;_6OsMoNK-#Dp@?8X1Ng+6{%JAMu%1ihXD310#`?m;yd zP_7pUAQxCS%OS<Cp|5g%SV-*X-y2Y@Qn;}`mP3CR{RcrspRk4{JOdx61Widh%R#V5 z^dFcK{F8A1N!UK+!m2X_1Ag~p$wvx<APD#eHvd5TNDk^cXoD2g!LrDI{e1oh>B9d> zPeT8JwvYjR+~v*RaHMZM)c(TxKREsedrztXggM+{AE#mmlbI18r~U6#Q_qJ^x$BWM z!b7Q|i#S`;I9tOwTT3`wO`m!Q4E&pOz-qOwGI^HD-2so29H*-MbbmqlA1M9<`B&PW zt^tf5w-O_z9hqJb2>pXk|A6ElpzKJ2yOQRBb+IG0qbR|HfbSo)Bma|-h2U#9FCj0p zEtjm^RsVwdKQQ?R2LIseql$laFpd9(e1COcA`k>I|G@SiDE7qyPAT}oz0L9OQFAc) z&G8>7({uYwIQx&iTkJbMqhm<&t#XCF&>&V3K)d2XyJAAS5<=heBAzPXF0GQXEO`aV zbDs(Wd-@t7T`5F<{{?FB-_Iy+u_zCfFE*^&8$TYy3;Qz%%T^(deN<>;i>z=y8hVwW zj_rGA3iYuaxsey71DZYDV-2!XpH=g6AE5i6Mg%$RNSQu+UM^g}>k9^%S<<{{HFxj$ zCB{Y(aSvdO0X{V*9x2fzB0gB|{(iV5ImVD<N3rOG>E~O<!Q^p*oqK_xK$^u=ih{Zs z_aOXc?vIwitYVhmtM}7is`zj^ImTP~v)_s})#NtK4aVGbx0UYjI(NkW-1i1h31weW z_p)E{DT47uDsnO5gVLP(qT(#>y^jo*0Btfg3y8Xn`MGOXw>HDPbXg4L;{k(F8pDvp zN2vZP*zUaxitG+xfEllXzsq~g9)@yz#)g~1v`G*v=I#3+2l!ycrWOp74xw1|y@5Q& z^!;u-H7*A@*B8GZ^WbN+-Bv&m48y6{#aYNC%ExLrXa#@MWdk`3W!KC9c-OgpObWtq zn->{99N@g;acf_3RxT0<=WwehMWpP96Bui1auGkb*gY&(63`)pIu<^D3lnXeHk)Q8 zu!>17UU0s>@;XvIP+|FK)Y1PSo)iGLi}3*pCHM)x@ZZose%N@lcOf6<`g0En_2x$S z1>OruSqcT%M)?2*6TEo7md(B&@|=}!P1J2R-U|&`3PIV$d^E1qXn&-Z9hXikI4I4O zs`}cjL%e1VDGy2c6POZ(q(nIRtQ0oBBY@mIQ1VXs<eXpSR;R9hJL<sLDsiV-qB!jI ztn-UtbAw;Uy^!%1$aR}oGkV-UPy{valyUk$mhtS94%_o7R*)fcC)+R<loxT8kr|6{ zjvsSI`z(F%)NwK{Md#BWKqNsj!av@j&IEM3PO{VySKH_zKnQ#M8Xfm3fV%PW(JZPh zQ@YlsQ<1I}><d2$E!gv)TVzN>&rRO40@-;meD;QCRwbxB6f^FruH$d#kZTQ()YkXM zYMT>IcAjed;15&HaylHLvM>UrIsB>{{1+iZ(`vQE`wy;WcOy|bMNTXHzXs=>+`;W- z_tUeS$-EAUm;l1mzEEXJgHGt$d0M%d+z4-$e@H9K5+u-7P9@Ppw0=g<w==u^f_{0t zM$*Ezy8D%Lj{bdi#>B3D8c~)mJ^x5#_pBc)DSapsQb4^6BivB591>9TrIPjcwPnLQ z1T)eA0wu}2z-qWKOTIc_=EuS3<ayom@$a<7(BBe<zcagi;|x-!4>;1i$@<@t6Tkz= zg1}ri(2jQN{zq{Qmil*=a1I9Co}(6kEJzhjyog$&<=p+=8fnQZu-BW09tg)ti?%rD zo+-}kMujF?_Bp(zq=pI5D*i*?Esrcnk<H<#Zh8LtPby`vb~lWj%7rj_=jZ(2dGfYe z4|EIZDqPFq{HWQ`#aFSR3h<V%{1a~ENaCfRE%{69Q^&HG5sE?7*`=}lm{X`ZOJ#h^ z(|t#&d*Cq#b@buH{jprfL(4eM%^Z<CiYSdLzI}*}qW?5+e63T`#w4;TZt)(#kmfJ| z(2`}|*?UMd?iZ^n%LJyggXJ03QfacMCQ8fspu`Ged81-S<6$I9^Z7&t#*(j+BrizS ziNyS#ui@EL(!fRcmTGWh`B)Ko5;fVKvkZMe4PIp2jT}~-DxGu`Ex@Y0kqQp{8_AP3 z%out8TpEWigACKGKZ_;JEUB}qjM$WM+k)EaKwTl?JmH+orx*u>^THS1Qua}?KSG+K zxN1R{^&MUGIg5Un%G5F$p79K>w|@+ZSmd$F<gu%<4$u2YaWms36VRR26zDNRtyC)7 zd0>B;4T#uFeTTsby(H9aD6D^@RtxWr(XynE)*CEr>jh5L-iQ{m;BzD&j5eq9BxK40 zGtR&7OIy*aa`K_URGui55Mml(_y!ilI1_lQZ12;KTZuyzLi3S5;wOl+KX{g@7IDQx za=%nC1{Ek4V?OJkV+G?klD`)iB-7%z?@2WRv3hBous}YbMO8{?;U_f2&wk6x23(+W zQHE3N1>ax^FZQta{^*f?k#0}<Q%zn`)R%{Kl?quYKC=WRvO}K`n)SDF0?*cIJtj^h zeSzXTsJRk4K|JIy!ejQQYIy|CWvn*S6RAK4ZV94K2##P8FBPKjp-lN$Lw^7J=5Rak z=iU!v2%?O50Oc1Njd6ahLiDh`lui6^YdXj;zgZn@F$N;&57?S5$t8VTCR&T}=(<}K zYW3>vGb!(iCe9LC-GyB7zC^uL9Hy#-shin&rjGkOvB?8tsPPmD7A^taB};OP*WX=? zTSU|zsj0wv_qmqIk_i_@+$`)@s~z-$!FKw2upE~2i;)hBI+3?GTxtrD6O@F&?QHMi z-rb#Oq?+39+c$oe8T!d=W$xyphhh33Y%TwqoLZ+^>MVC;JmIB69brOIb@}RMt5Y76 zSjiV`=h$_%9s^Ca<>{_U74X=Aj^(5clTxlI|9i_@X7{vWhr>mAHfSg}q^yk7_qd0= zRG0m#7L~bIvm<BSl)%x&vwx=<(hF2ZyS#uqdG%Y`hnb;5Ta7wmBGlK>=4r!;x>=oU zY}-jny*a`UNWTucxly)w8}haG93u^T5<k;oa^`k6pb*x>^Pe-%FNHA)O1`hlQ4uZU zzAG5`=|1jdV9Btp%<K2o9YB^}g!b_GvtKfI%zSQ?8EaBwSLYLHaYwI)y=1ESu3Hpk z1=%FSR^d80f9x4)M775$Bse;M`3Rq5fek&VMlUi;x8DgQ*BT^qBPaKPUnE>F({=l( z=EBNFwojB#AZQR}HZCSp%R1K;`?c7?S=_dwZY0MzE^j6aN3Ja8W4Sa|dnU;{aymLx z)QZ#lR+D5zQE`Fa*y@?~NIR)ul91HzRA(`U&L<>W!U7kQLI{;OkkoQ9PnGD|2^}pG zo6K?HGskhXmoTGcb@-ZH$x0=C)Jlic@h3XtM-jX!N3oIDOAwho(7C44I^m0YQ_c2B zx9Mbvk@ZFi`nu*p-%RwV%h$8-YF97T+)Xl$RH0)MUz<l})OfwfA2YPlC~=xwzHmtO ztHzGVTbkQaFW+rOOn~zlNz<h3{a9_h6RjAOab>+k>{R*#Uu>Myjd?Pu;Q-@PPL}+8 z`-v~(BchHJ;@J`V)5H@-tWgn#IW_!cAv4lDqDiuNKs*OCygZdY)6y|iBG|-&MwZ|r zyP_e%A^*r{X!jYm6ONxK#sV8exZ;&3LSz_1pe(>YlRcdKX1I*p{*N4);9lC8LDFm@ zNy)vOLuR<;abnJ$wczd4q2k5;6->3dI{tK&!x^o$>rp6%>Gh~xZad(!gflm=s_<3e zA8ra^cqL&cKHli#{+(41LDEHUR#sqg-caLyK+IHxRhe62a?<$?OYDjYupt%}&@M?B zU!ZS8jwRK}4>P5FpZgB8I@u6Y#>ho}jrw;nBFIuDGv3lJHC@iKXv$#ng#$;FcO~*O zAe5G%9>JkkoiXK`58|t=h~ucHM0LRfZL;ntRagulUCg&KTq@c9ALT*AS;j&ozv31C z38BNWm0`vnmBa^p{G9<B5sY^vw?1+Of#Go@*rzyBhl4&>_8Bm_l;F$GMA|fD7RRpW z8DTyy8fse<t^|z0tWP!%;x(vTsTd{&u#@2<1s0_EEMephicvEDY3VNsw4hm@V-AO& zOmqj(;Tu+Acp;l~!WkGE3dnFM?;`d&8H=K142N45STNE^CXw;eP><vXWTi2O4t!=E z^=-kiprk2j0L|*<WVlR2CkD#2u40tDx4WXebU}DlS_xRWlX23UeW%@DTDJH_aTac_ zYmy_p_Ok`=k8O!uRZA9lUq|n8+06>riNB;{VW-cm1&FuRHJv*}`hO=+?QkFsvhPip z{WEJII^`9lC+2A<S<1X0jTNa}rvxvAiDJ~aAE-mv!oM9tMeh%k5!zSKO`>tcW6bTx z@z6*vqdWkj_XeDQCO(2O;fL9SV>WJE_^XCHgZ;9(v`WCZ35V`t%Kh1Vat6;i*Y~3l zJs2GDX)18!h@v%>UuZYo{i2Oj88N&e<JUgIz&CxkcWE;!Ec5Xdo3Byf>~j9bO<zfw z*)vCT?h<H5f1b?iVXlN5vAyql*_OG>otB=r^tvO@ShdaAT35_j@40lkmYK1QTlIS? zz$CAP9hXdx8Bwi(l1M6ev&?cqHAs(>KnG<jRI=R`EghGl$pEmHB#Utx?^N|e7Fk1< zH+Q0fok*3xR6~Eo{3V|?*KCt)y5c$8v_Id2Db!yLF^t}+Kw52!i8X`ryo}2f*SCcX z^#2nv-7r?mPSa4BKUFLsAPs?QXab*&NR_gba}_psjwAYsW5@#VqheELa*D(5%-)wA zTAZeJTbe;TPolGlmWImn%t^KECTA~9Ige?=VW&Z4l!aBcri@`G-;k=%RQf7pTvt=8 zJWAzzASL;Qip%JRA&<~<Y6=ImoUBZrp#nJ<Mv8nK8kddRc+W~%c|SZJM^hl_G^}eh z@zD4WYI=NmK16L87m|8vQ_@P3pXs4hy|cd)zI#vBqS0IGc1;9v8r21Lj+Jp5;v<I2 zqes^Pg((hjh8M;!ULf{39kDw)g#@NT6D7EWPVU&>S33pvAG|K9b}|g1Ycw+_p5LwN zo`}wiH#$SAc5%HbQP_u33Kz*fg$51|CB89#a-GWeMz-xfzhDxas!x3L{@p%&|G+Gn z7@7E%dUy8xzCS<TzutZ)u;$dMt^Z>~B<0}z9JGEYcQzjYEqFdrq;lR%atgJ*=v8<e zYShimyL>T2FzMA0o9lGVz#9b2j*-bw3)oG#HD=jnDaMfB&9f0kq%DKfkrMetNx(8K z^V7Ix0OdOYD*vu|+u3EZv3;^AO6LzN+#YlR6zk&H#u3=JQ-B`$DdcKqZqC#;tK;r; zx3||yQbt`SM;<qc!i!M4%9^0=+n=5fev)^hs==bs%sUbW7{t_hZ~pdc2knue1`3kY zWN&`HYu4O}QmnZE9Bdf9iUHxak5$&VbIxDO(*&9XoWMqZ4kMPLTm@X|AwCE|f66H2 zX66GZZw-syrK#h2P@?pp(ArpYbalQnP;t^P=fLdIkKdYb>r<r%X#0@u`iM|-Zer$2 zcX!vFfW{;CiNY=$wke8ZILn?M0CBtET!|euVM%nQC1GhYLlB*cWgkn8PGQd4LfN1F zjU*%1=sX?7NmZ6lY6iNR<q=S`mOhm0$A~xP(xI6=G<uGRmm!Q<XvEzxw!m(E+tdoG z#A&tYtqqc4aN0=OfP_~oxz}*yJ7O)RlOcl$Rs_!I0NRfv$bwyc<N&+E__BkuW7IDg zCNKz0HQIo{lZsNjGGURQc*up*-qAfq!|ItFpx-)N=ph%mn^eM$34=f20#aMFQ0sE` zh%s!_Rmo)bjhgF=j*v0@M_%YLz40(By-I8zA-(Fj-)Dnzut(q!V|ahGdk6HXpjYK* z#iL1S$1PYvBZfH9CsJ};jJ4R`btRXawOt?c$y>sPaDhD&^S8nb4ccLq2RTIgKAU^m zt7e<GAzEbYZWN#Q;z3K<aJMpt^l7XrOh#_o|8@<8p~3AF;0Z=~*uo4b#H<M3B0QXE z@TR`dEtAAXBhI?q!e`3l=Na7MiKRwSWEpU`59w7YRUppFx6e{2gfZtK{AP5y1u517 zQ+nwsQ#-<^9!d5q@P_R5GcjTJtm<*^acTSYRtZ)NKgBL6bzMU5mJDA?fpeL0aI36T zAn}BpHYzqC!}*7~jubAkl%jkkp4hh8Q;0?oslBcQA}dCKTU5II49$LzedZ9QRN}-e zS&OX77{#@lw9I~Ue+=TojHam4Xs`+K%#lDkDPdE+4xQfO-1lT>Ap;i3-YArzCzewt zIB3TT>ujX{u9zM^NvIHwupR=<d`Ru2f;9OT2{Kuk%NYT_+!v==@+!|B&gg2GdBng> z0ybW;kUkZgD8=+`d(M1EfXx~?F5!B<hzp&!yRrfrk8JNABrUWQ9`1N3sXYhD8~~)d zHq^;`LTw}+ZKO>Deg~3Kmzm)bx~w#^v0}y~urMPahVFXfui^C?+M82r<EOa8lMt(` zLB^=EKt+3l5^gB%M_772je--F1SvT&vBGVhkE?;-6MycK2?qxk!f=PD<=g*e7t0@N z8MNn_<nT#Uf-9nhUlbnQ1H*&YQj~W<?cW{_9|=Xn+>+$X=3LJ1MR9^uX0k;IM2yFk z*cuM(bwY(a&ne`7tU55K1%P7rMv>Yghe$*yfhGKAxI~HuS&bI3T@^xZpA<k=k_X#o z0w2*s8kp^_^a`#0O%~|e#(rVRgH0J*)C$U4E%~L4YieEZYH~Yj-|{_zxDy?*x-H|= zL1{&+>Z83vAuM6Bq2mT-U?C!+ej;fYsQ3;*ida4;7o~UPCUe0F*y|%uvmm{wUc!2B z+HaqQ)DJJp(o#dZ4=EIk5-UIl7+U6llJo|Z6+IvDB3}HJb32#qp(J*Vh(gAEZ%Rve zH;(JYMi|3x6Cpqsk+zhWZ@)i)`B#&b#*Zq3hUVo6$?T9y2P6FVxLguK2DBee8!JWh zI8Y<j(fl2@+Nlg0&nT$yDLQ2JNh{fX5oi*We?*l8T`G2vbh&Bb@y#lI$X`e?|7xxI z9U@~)OK3iF^alEx>alwWMUek`Va2S@O2drVw%;4rdxMRDy%<7k`O-D=q5?XdaXUyv zP`BS`0Ym95?m{s=642BaQh&SKdV>YR{`**aQv%!fAch$r0)4)r#&li4UAsXNRT_As zB3a?OnMiU!IVnZqsC^1{K8*Qmz^r{9|Kh@@qhol>bIjSX-Vf5P^FAcednWUCJ0cCr zo`+(?HDw~SA$GZg8?e<eOx-z$CM>pyjw?q}F?y5e^Tc=g6%Ym;%v_6wI#;uWCi=#_ zvdeeJcQFmC?Q#9m+vq1aNxg$lbXv1~aesaMM96yef35Je?7RNIR(Qf?Pwcw0UtQH3 z-~U_T@$r77c)pdwJ&Sd3tRb$k6Q@6a11}t_8SKpMwYAL>v1I?iT6u3Um^}=89@Mtu zClskhytg@ISrhW@{s`@{3K|w<f^R|B*dp*HyqpHi^L=1~1zUde_(>~>Eabg6pu;@y z+Dm=73!tBz;rU$tGZ+&HewN_@vHg<rc5Iq(ha-UkKUxo^TarJwB|ndGxqW`M?d#Ib zL_OAyRVvBsfc;<of{%)ot3;_L@u7!imZH~<hyz!Kz9WEpY&F_3Us_FkTnK8PTjjI& z$Ew+jy_Vc(f>(9a*q(gK!b2G=d)INu7QL<Z!dIFM%GHxtU*1e}p<MICck@eXre_#( zVdwdrP1KDwLH?SaejDHJZu)9lzx}hw<!-C$@f`4I!22g}_^uGyWPok~N7*b*LZ*03 zzBd6*f~CE}y{Uon7J5$@aumm~Y|kqJM$qWRpKo%n-|D&!I|{7gj`W+NwfM(=829;D zgjaIBI?|WB>-&1K-Y}xYt+3dyx4Zzj?)C|~sG`SZ<5QvTpZ`<~r_yUJ68>3zyOVW% z9(}#!dlpV)HoyJ#xxlIfilrzUwyMqapv!v|6V=$ey}-%(=xRSOMt4YCfXQw-t#L8W zXL`GGTMOmkfdO|D-3gA~LYyuM4OU%>B(L9owIfOu7c6Yaf|jZYRIlVbRmQaUG@;eT z(%3(xS>q2oZ8(2)5F)I}ULZ+>pZ<r6|0EjDU|Vtlu6#EK{lF(UJc<C5D+Py!E)Uw2 z#WAT{9xkc$T}?<yJO+09eDToJMK`$sh&NzG8k>v;thEVn0S5KUR+=gKs!0=lKAQSn zhLO_=2S<>!k7SnI_x=rzYa@*z7zN@Z(~vE8CPV1V-gSanNFu1CFt;_}nmUC$nZYKk zK97VA<fq?1Ie<77xCFfjQ0LKG!ID&h?(qV&2yon=yd&w6D#R(iPll29ZuK8eI5xD= z`+95?Y^5VqEs%Wv5lb1eA5i2N$WwYgOZV;S)mfvFB+_7Jyekiydx*&DXCLB?fJ$#_ z`9(N<R>Jl&P8QZvPTBM<xX+?^@W@V2wtaA*eYD+U`R@-KCrnU+a#j(nyoyD^-XyLn z-k{j;oX)7PkvMR0mMfw^#k_al1Z0fXU!AmllvML_$oza03arU#H<bHje;!9J`&re| z6DG#}$Yk|&f^UtBP4<dQNstXe1j^0+qD6tI#<fhcWYM;tI&5ds{0Xkxc(n=^Q^Drz zmQhaGTgS|C3|sS3aRU#{fP8gpCl%UHFDw19OPug#E$9J5lK&wW@WRJNUPATiA9Dt^ z2NrOFzh^L8W;BzDb5#G$0nUf%2~Dj1E5Uc3VAenGMujRQT|C6jxL=NNN;~-}xFwH2 ztDWp4@h!$J=rfuA+1=%a*u#58L$?>wRhhz^-r2NV$LiMW<i|Ww?fP2e*nl&9y)MS) zaevvkp4XpJ;53lGgqRAtg_{LhMo3a09Pbbg_opO9t#+$U`P5?29Ba>L-`S;OtF@RG zDdko&(R1v<J!h#1`M^pp+|!N<UVuRd@Kp><I(fGBLJHuVy(Gh=<H^1tZ7H3PhNd1D zzo7w8N=hFDO)R%;GUpxJ8ISPy2CU+6oYy;BTf?{fazCTo!y2#BV66FhvbnIj8Ts`R zh8Qu^pJ2NUf;}tC%GnV4HRQ6&pX-+c-yOeL?1@3(V=@@QE>|&>$)LAyn^%iI-cz!7 zS(_7S>GYx;?btPkFC4X`_<^vnC^M1(CG6HYNq&d^=1W>Tm}Q6<TUHXHzRgN7cO+sN z9yQ*5qqVE(5~Z+0olLD%{pY=B&znb=@TmGnRd}rdVAYCZolfu52@%kelq)fg#_Y=T zcxvaaUIZK5gE6w8!@S*x6v~(Q>V7wMhhZhZXi+`nbBa)P@z>$q^<M0HU3QJ~A^@p? z8^DdyFH4}K+SY)$Nkfd>P)C_A>pWt@{#vm~gCD%}I%f?@agh~=Ck)@v%X@BiycX~! z89CTiO2n-%FV|4az*@7pjDKQTVW(AvY_75(AdGS%PFQk2{!GrH;nlU>MIF;+6ztKn zQ`@&y@AID~*_V5xUFnqm{0jQ%6DuUeN4O*!oI$O@d#cg5@})1naB-58036B#3j{&| zb>=A@9bsVj3=g}V(oDzIvX00g>k3j8gEoT%@zK~vM0oFzlwM-6WY?N#_8+tMpq;nH z!Mpxun<UB6r8Gg~qH+#&R;MPPws{U!(7-B52fehabW`bV!NRga&l78g%6x2i8NdDU z&snluvvgGd#F!KT-jeq?lhW23l3VK$Pt<b2Agjy@WY_q{hGc3NCSpWlUkFMkD2^KJ zDeL)4@Tq#ffU0~S0Y71@LJ6|$w}b<aP=FJOHYc)o#NoIRC$V>J*>*Tj=GM)4#Yr(Q zU^}8hTyb-=$NZQMxw7(z#f3Esnjw*ZGVI!GNtbeux8B!TVfJ2>_u07)MrO&2g!Q1% z#C+C}=|y2ZkesrY<Prl2h7r=vxH5?FNyLy)0Rey!t_8P)3H7mu&XnQcV7<%p0oiET z72WI|{E##$ZdL7lEC+3KNHD<D`n<-m8-lQaR<Q|HS~Dro3Lq|ihLmUkvaG4cq6Jbz zc_RrZ!sANxt4`aE!N}wn0eTmdzNT`FJG1{Jv3<s@H>ys=6?EsXf3|W}%4m_W<QJK# z!i9|<hElqDxm8F2YgQs7FyjsXb>j^2^}up21$2_uEzE37Omf=m;awzPcd76yWukHN zeU!vViTLJ7i^jT*1fs?Rmn1r@+*`?|QU3sk^v95EA)!|q0hCu@8Ji|3Wzei$2qZnw zxW|x>^`%4YMQ3VBe=sm7zWe9%C3o*Qv079Ld8kn<!pA9lM2#-3aKDF?+5wC5GK6ea z@eLs{>$l65j9*s%C>aF9Z+qgTD1{!{JvMQJ4an0inho~17t@$r!p+`bc(IxB;4z1E z4mwZDUQ6^>x;+{X{FllL-f1Q0(`b*5z5jilJ7C}7BD#bm<Za`~)bE|m-+OUr>hlv? ztbf)XUA@04>maQ#4z#kPX^B5NT)Bw~L9X^-QE}n+E0*JLYk~=1a=qcTqMxlEh&Vce zM<b&BrM<U#ghVb3rvy|F<+z~zel!)t3JEuK&?bbiDDFnw_^};>PQZS2aK(z`>Ngfx zv%~NnVGEO-j!y|qa8%1E1iAD?DoyL3c|%SN1pV4kkvrViq@1p<KFU78M=n?Fi!d?T z3iuuWMdU`Xah?ImfWBUN3nMM2YqW09L*hiEP0vJ-mgJ6ESnf{Kw`>OGUPyTRe9};^ ziV1h(F@M8gaH#txQ}C5vVZX(@71yQjlgqCYdZxKJ*A(e|sj8AUy5aPV(Exqc(86tU zwPdwG0%X~wq)0Jn(Y^Os<*pu6BHau0bGiN>G*F|m7Q|qfqO?KC&W|@{uy><O%!e#A zP)ii5EVIliuN!PyBwn8zv6Bc8R!qZ4CFkCivQiMhJWwWni%;hvhlzGCe$XyCkX^Am zZk;}-K`h>!LO9po0P^3I1eWq@K7sO91sh^epPmp0F+5lU7U4=#bJW5;#f=rxb3Q3M z&GmwwGC&Zwyt7E7f|Td@1nIf<A`bmEs(u9K>7d}-AG1871mg~%6%ZSiCzKg_&cTkB z`l}6Nd9cI}Ak}J05q|#OS0WS9&R`CylQq${*SNf%yj-#Z8FDd<-r{XCk$}Eh3D(_d z9Y+L0Q>}d1;UF<9{c#rDn4qZ3{n9Ty9lmMCK`?>%^klq$FZkc+uw!~vpnv0q<pq<m z6b&ixAK5g123-%`YHkq*QlOxc_k1(?d~J*?f2@cBdgQkm5Ll>q(%A?FFC~LZ?1Too z&d%qgGRH-<BuS)fOxYnMrukOIrNIQfNMmCNSu90XL?+IEP;f4HT-VjquHjNCdQ2{~ zk}t<yRB2RDbCapk{~T6xC-*tXE^~g4@1{A~eZzT(L|ZN8u#~nSVT@#N^WyH==W+6X z0kl9%zsWvg92#ez^-u$E+)R>f-Je!pK`is$<O6(i*m-;S_V{(@?eYHJdEP_6g3s3* z*lCER^8JBKf|C}(JpUC^-O|pWUyxRo)?Gy<BqCl4-qn!55^_A86#4M)QVj5dTLrY` z8~?^JqXtWA2&aS&28sh5Z4`^)ID;UEhemIN7>$JddzX_)tRna_3=DmLoI&3=Q=Dk{ zem=S=dg@-uE^dGs_`$ez$1)qruG>o-cKe4&Z7eg1y1OG)<$`X#Ajr`65)g{I^zO#S z1^+=6lq}P$7Q(_psrA+_L{n{%2?YH#)>l<mn3_<-dJlnRnzr4JGQcIb|E@An+l(kJ zc-efHix%tqMH?zkV|1XF7*hrVO(kTBIOCxPu%O{dML}bwCsDy;1c6B?ZK3Z_2jESy zHN=Si4D&LF_7Pjj+1Sa`mDXBTs|+xnW<+qk+@`n#O3E7!%K|{VwRrXcOEb*FUCu~t z=VyCt%IOw0RND!7Gu#?>RYBTLj&P}Ox0p9dx#QO71#ZO-F93Az?wH}lu*nfKPiDX$ zIk)1>o*On}+R?E2AXV<M%{9k-3X|r%bCYJQ*O;^<Z`187hN0d>Dr82Kw>hCDF%FQL zY8>!D6zn)EoIwSrw@Y|bqd7(~dTFL0xb$u}#`%P;?7YCcDuqW0Jy8V5co93%f76gz z4Hqe=w5d7M5D3|%i*mIAToc!!OuL4HJfudp4(X#s9z2QiFe)1QfVnk#Fb*1-78xv@ z@RFB}mn2;uV^|haY$YmDl#C(7*3<|C5&-q?(=tX$9Pu92LQ9uN5+_@!GW2*Nna0Fl zrtuVz;TXl=Hw*Ew#fTUbO`4^XPV^-kU+L(FL_yLaD>M~K8e>Xu`NmfmsZ2?h20K|& z)^fBqkuO<>$XyG)J+MPh+t_^twoH@sVKUoEaCN~l8Jh1U7cLU7MeOh@Qeje5@_Wmp z2?JtBagp+%1-Lsjpx}~UbaVn)W%6z%-xe^lsZae`ri}X&b`xQT@?az*?S=26%h4@8 zbx!6`;_pFNCZp_645#D%i!m1uzZ5|fhn}gYlTP&g2w&;wXa7Ockr(GgzBa+V3149( z@w6r1!U}mJJ#B*f!=BQh6jM2tlI76qq-2&uikf!lcnQIH>ByGDO0FVxCBc1TPhFuD z(@LY4$aI#ww;e{(Um$TelPuH50+otO1b9tLeucnVwSywFQpHc`U4Zg+1@T_FIum7j z-|rCYf4@TjwQlrK1YHSG?hq)2I|hCIeusdUEZGYAzuzG!)qxq*2@av^?+_UMd`l|H zD!IGhD+F|ZOpZ7dq;x+GH$-d8{}}?3zI4E#)d({T<O3@Pt@NM3lV97R%Z2|G8voi3 zO_Q{u=AME(a?b)D4c?J=t>mAOC%?9io<*N!>h$+^_=>5c$yzJCUhGeXPk-+KUm^Y; z-H|$As9=HB?^`iwMf!vV@@qRZoU$s{Y$-JUwH>;GeOK;TPOv=x^!Ez=1b193YspCU z1v?XBKlxk}heOqkQVUOR(U*eE1&&NnZS(2pMJBHE*)FOs23fu+Rg>ESgoPGi9+e8Z z$|30@;C?0wLs*#;D8o6F;@g&E3__e9g|M-LA%4m-P*fiXQefgZs?a#Tf$Ms{OKnNX zM!nD3JR3my{Gvx{v8V{NXU@ceD&2)Jw|@X_f;1;@|G>(X;J1pA3XEcj#!7Dj#)Gqi zlWEjx<KNrCfqC=T$p>}>nuBJ{8<xmaP6}7#7R(Vz2^;Vk#4W+Im>)8zpVBVth=w2E zeG>N<|C~O_=J&q)B;<_fAN}fY$tU5+1y-XVpTvM2eUj!>7<{l(VF;p}O2oB9dIvzd zh}eDA)h;5^Z>70<2*^zS>ycbFgiqlK;V!TC6qgG{%ANmiFQ1;z(WSv(w%f;d2M5p3 z&#%M<#)GS`>mR?Y?+>mYt~LkjNBHZ%uTI$2hrj)H@>{p){_XnY@oVdC`$zQqAA$O- z$Lkxhy1hRbO#A2KY_i~MrN|-jUm14RSH%^-HFm$eTXCPH-qB~HvECDdtBJ#LaW))f zMV^V)C4&`y2u2LpFcb-No{frGPijl>>cABgtwx-Q>(yrc_1*%C;<o|5>jmbag@{6o zG#3Vs9wUHxMYvb-eOxZe$ECQ|3JAD2c7aNe>7d*}WL8|DE(9maLR2xX3(?2H<?H>A zy~#w<1Q(cW+UI<`eP3)=T)q#jUOsyf_@71Z1G*xdL73?=9|#Qk!VJ%b0f5RNUFI?S z1PR+oZ&ZxWKRFLm1}e&PbulYowxt-<pb|0ye5*K{kd9!Eazd6@@LQRD+;C7~A)NQd zvk`PmDds(BiNvPm?Q#34`{val{tNxrf(6;~bW!w1y}7u~Ya@+-@NRUGjb{O{7yUkz z--iMA&Oe0!p3Zv^uX}R|Bc16(b+Iu7sgKnW60ZDCOQW?xg1bB$emDnZfVM)#yu~%b zHkl<rIF@J2!13{sO?^th9Rb|x99mQl&qgsCj)x0*yobj}ue&~=V#J-!k=1Mzs$#r{ z?arImK2#z4qH;QFzdrUF@F531>~=d!cFEvilmWwaE=sl2ZojontpW<&7$|7>O{eqL zhu7B}^ziW5Z@_OjDA4SBN%*Y*ZM{D7l5kt7f2aNC(5A(ToZ6B;dD9gN)qUIT*lbp% zD>SP6x@)yO6;`NJ=XJMr>@&IO?l04+<4)UVwR!gQc{b^1vdO+awzZ@XP(r%x*KciF zD8PhZZAvd-gh1V4gdp8vgaEx*5(eo`N?4)|<^mQUVFfl#MBkQX#-cyy+hRgoaJx?h z!tFi@=-Wd4E;4bKI>PNIZ{h4*WFq{V%eN($=Ja(jn=Vpmi|KUwy5D?)Q(-Q~3A_7@ zqDU0_S8((;(a`T7!U0Ft69KOa7>;#eQ^IN4SUDS>O^ZP`leY2gR@=4&4k!K5`5-IM z{ZvlMGCrQcm?X$x0AbSO2_-PHJ_;xKII?^E9xal1-L5D&1;PP+6Ck)7Bx!N$lEdJ1 ze6uB&K;vvW9~UUi&&2{!FzR2qs22*faXKHJ=XkTnK~X=kI#B`;+T$tRRr?S3+x7jo zhi{u&P#DsDaXw?aTEld1*7slGdEu*HmiPCo+gfCwdIQK$0Y*Tm>&0e$2hSWY?^iIU zVzaz^yamj)_{c6<%{y(MzO8D3z&5~ap^a9r|MU4Uhqmgl)j{2{xHxZ?x0`w#f%|R5 zK1}mPHqY_?O5Ss$qQcs7vyf!2&g1k(%3vB2f>cJ(;C%?&SIjJ^-@Nxh?vrX28k4k? zuych`lXiC5vH`1^J6CE*y!k@T-nmmOCD~j_%85327_kJn!YH{cS0F>u%?DIVy!in8 z4OmMc*(a_lePo9;CXbxJAf78FRqS9?r7sNmBRen(8Ngl_=W}pbDE9=#7{d=AGO@6~ zIkNeG4TWly$1QIJFk9tev^)Oe05s}QUfYHu&m8pdzLez9diAzGFj8Ql>$C(5OK5#^ z0ATslm*}=%y{=CVD6nO^Z|e82lG2pO0=pKnLf?uq)mA+y(^zBX($xn5+lK6=2ecuB zX@v%&80=z--iDw#iFQ$+AVVYN8Cq{KE5Mqd>sNI}MkUIiSM@Qw3S6dKpH5+NqA4K7 zklL@T;u2G%saB_6wQ>kN;9!#;lNjPa$>G%pL@FW{H;6!oPrp@ZPKUE8xYlBdJ*~W1 zvHRx|KM_$S6Nw(5O&;#+15y-OPS4KrYyqzh@JNpfz|Mt)0D9;bAE48`5tCJvc{a(X zAjz1)s5s)rL)Ah)Ve=4+48~NJgWFH`WN_2Do_$`JPSj|(Hxk*vn1{5^YiS_hKEPpe zQ3Qa)YRbXASs<ckgZBrYXJ_KTJ_uaoGyK8Py6nL_@dsJK7G+Oxedf?w7eSw_cOD1~ zBk-I3vIIzA5j6s|fO#O$N8pK|ECUe0tW}`&@I++Tz0C+=X%j3WR~v+-L$HVxZV;9( z!6Gud{>Fh=IwWXB#y1Sj5kVs|!C`2Q2^x{~4@2{spb@75VQAhEG~$>bXrkT{EaLbe z2uqu4uyn2vhKK2}P~tG*w5b|PM-hRDs3x~#Rk=-dSvtN591+!K>8RC?sO+|IEt31P zSq3*cE&ov%y;c|+&25FD3GiDc*#!746Pf_OWkM6+w@hdP{FVug=C{ITN^@LcXbhe! z5K)NhGRY^%br}(bxGp225Z7fyl)!bFHZA@$rtVro;K6r!gpDk*;JaVpa7K@7U1Jq+ zrl5S-e&Cvi^#c#^tjg#ET&e;e;7b+w04J)z2Y62fUUQp%;@8}zAGpCydLm%j=M&i} z0toVpDuxh;sA355gerz07g#!>E_~fcIT?wAetp~N;0LvlgvM}2M4FEY9Z`U{G0P~x z?U)e-_#ZQ(07qm-6yTA}h&0y}I%}Gf3PogaSHY-49G6*6K_09ERfsFAKo#QCDo_PE zH%OqB`W;xFbHkk#ydHC-8B<gQQS|1g@Wiw@HTPEx9zfNXH&dc0V1?&_MV*J8AcaIv zl$UA?t&46*o!|@|`aw&e%Si#pKRwQ#eV{7jsRv{>_^BXQOF0mnxeaF@p~PWSd)(JA zPN8Z`f5;AQYgj6$;Ig6d3B04wC-CrF7Q7=Tdrgb<`DAZdl;1w9Y)ry#1;bG_Tx%8= zU!j%@ikT*)cv8B3itb8FpE$iEN}jJ!DM<x`fYAF56#gy)W1l=G7R)}m6uk@fjn}V@ zq9&~##F*4x&*aT7@#+~91b%-g!LwiZp1L5e%z9FN&@aUMs{`+43^{w!%Yumm9vMp@ zj2)Rqxv_wkAfW1y-@$|)Imj=eKhPI*I6v9v218%!LeH}Ew~^StiRg^oVg9XN#>6rx z{yjxtnC)T-9kEy2dp_TP;g^okSrJilss!X=BD;?;T7~k`(IL9VQ7m`((vd<j)r!jG zQXf#bi-X{aSxGFkM0b29kF-6{nLz4849g&)O)(oC!Lw6=q<v~tyr)1!LtaiwXF@xC z`iaa*Lr*_Z>EM@>5=v)xPd`!VBz^jc3MGwq+2zwuR2WV87TV0cYWL#mT6WnJo=PK$ zW8u*|Wa;I#nLJ03&T@A6o&ph1+V>QQ<<MsdVs&Mg?<r7WB(WTIA5(yYm)F1Zz_y(B zaJ3wYW`}Dsr5GQy(L6votR*jwrL&z~KAJ}Kg;I={knB>}V`s7E!|?1-V;IRuf$+p3 zaVPApt$2a#KCDglZW^(na#%}V*-B?ZJA5XLSWrXHgb~F7;cp%ta%-+GnBd!?JrROw zt%<(x6>Chpd?t*lN)wASwa;j2?A)`^fv3`q^<xKmCSMinr^hXXDsV*!uKiyO7oSh| zN7)7R$wUW4Jchx|E1*XK+>wAcv&iBl_3l)V#hA;>?bXBm)pA=v=s-?rhWE;azo>JW z-yVy#NH}VZ!KJed9?|`F?+>#4_VNhhR~{FWrp-tOVD1B89$|OlO(y{65iUV^(+Pli zgc^QtIsq_`Q04DUCjjOVrFOjuIRf#h>9?z^M=<7MdAoeND;~ZfgC2eu<|q4gI<A0& z{zZUaUq3zggOdn{pN`RXDP;T=?-iQOh;y=fxbkN|P-?4(jX$j~-!{M3L6PHCew6th ziY2h?J?r%|{#)>Y^6&TH2hAg^P4wAM-{1B)*;g)~gDA`IfuL4a{}OL|*ckcVN1rv( zN97?Xno!=*(jMqwr}Ma2L2@*>x<m(ub8+cu5C#lK`*u)LZef5nWG;<ECxtNv1jdM& zj$QU22eJbZ0b7M%aojFdymm>pX1D9@ioN2IkKjrK6g!P37bp9oVf{Ph5s$k&`^!Ss z@;8Hh|0_Ou3B6Mlt<s!U?=s*OBWOC7L$#Y)K4|kQA%sHcfP#9D4cS$3Ift4?wIP`J zy+w@3_n)56tJ>omv<X3=4(_~k&n7<v@cqe4?Ky-B(b9L{S<f2F^nHKw64h4KdkWMR ze=r?GGZU_|>XRHsXP@B7%Q>l26)#$`T<;Qg59**zYaV+UP+IgD0>@R8y-sMrU|2Sd zV<69S>Ig`AjUsq6yteXF9!zh*n=xohKf~b21AQb?<H2<HV;X_>^d+GW;E;K>N<e@5 zl7c&qUVHYDfE$v^rm^b}FH&$v4Wizttipz*t&w`g)X~JMRd(8gt7PzoIuR3f{mD}b z?#TNkdAqR8`?Fq$VWeKcJFS&acu(+}T=ueEJ>4&Fi{U-|<7RoaDlQ(23&{PEjWYeg z`Axa&_03JO1cVP?i}iycE_HnO_o4u=D?~_=iwg55;awCn1qx>|6{v<p21y}EUr~{f zAO3WakwmG&y^G8M405sxSaU+-B_kI=7Jm4r5L#&q;VQHeSaU*yY_ZTZ_~D;IXiC#R zb0VN@ok-J4V9f~)Qr|*o@WVfa(3CEV?m{bpH77L4;|rm|5C0TGQ(B(73#|m!oY2sj zgpeBg>7PPuFc8J5JG-?KoV(xxUz?N;Hl^1lJFj4Q7~(GX=p9G-_%r^sNlMa`XoJ@# z>8J0|5LgC~zbu(^p^8+KoIfll&4-g#DUYPA8v+T{q2Z+M`TdUP_q(3oKlJ?mk>~f1 zJ-`3j^ZRc+zyH?r`*4q3O%z%u*ms8EPPiup-#LcHW1bXzXSwY=&28U#Zu?Gj+jpir zzBAqNo#~G6Om}=|y5l?39p9Pm_|A04ccweOGu`o>>8|fgcl~Di8cGq0=k@jW7oKaZ z??#WFn?a{lqC-Ia_xnyS^zfw@s*3o!h605iG@Z?$0Rml=953QSsK5aEl8BEN`A;+m z;g?)Vp#u+~hN#k~qQC(aZjdkwUE{mHTf)o8o6g-GR3UAjP-O>Os&R;DyrNzDL<%oB zN>*H@V3tG!420Z%*Z73s#>!_SWn}P}H7MR6qKr(bP-}ow*sxkTMdE+{Qmi{y#oclP ziK(Jfpx>d=orma&En#L;EC@8fHFN?E;JVcq*I-x3eVpt!$~9ChIdT1M`LspH-eAJ` zWc%qGlv>8xuRzLp6}TIiVQ3U=<@IK{SzQ&sJa1PUDBQ%JDpH?Sj1r?yfVcrJp^tG8 zm$$3y<~SwDBe{jrIO3IoMY67-McIK}wm*ak#Sk%iYdTV7lRBLLT%2`g0kcZB?0nHx zYFF6)_5A@n*K|J0$mrjbANJ19M<XJP1I*?Io><!!AR%dI-Zt#a`S;T7%`v)~-8udo z4jU5e&mmCA7trc%!~-$hi=*9!mlMhV<O$FsBLZI9J6T@Cbq>vlRJ)e<XqpMmxOs@4 zH9q!16(Zh3%ntAC>aGA1TX_8Mx7F45;i*{5)8rVXrM463*6w5{@V$QnJE`9?7!5<7 zBi)od?c(@P*|c!G;F}hIvv?hE7SB&tMf<V%6@@r2uSGQf__*1LDX`@O7McHee*i8h z|C~b;yZ`!+{|``00|XQR1^@^EX-`Z`36x?!6+Qp}t9Stb6aWAKb9r-gWo=(%Wpim~ zZeL<#E_7pT&3$=u8#lJ^|Nazx?bNH8sz_otwckmqXo_+)qlF=5J9(K@7frTg-cTfm zq-^=B^4;I>0KEWc0DV9^RnLh<nSC}KoCRCsym<AMe_p(bZa+@f5&j=V_t`vKjW*dN zT8~%L<tF+tTH_y!c{It^)BE{pwEnW*WDlwQ=ZjYYd+~VxG1`30R#`N{-`VtGx*2Vz zi#bSsSUhg%S&j7fK&9^=M$>t;d|WLT>nvK_;X6q3xS7tTn=d5PW&w~tKs6h!=F|B- z&@TGX#e9?9L(;`6x*gA=@#5iOG@q=aY_y(ctKAo0sgzeQUd%@i*?Kt|XHoWPG>g&= z*gU^jt`=i7Ws^$%q<4$iBwIz#f8tpbMeA&nYc5@mHXozk?xwRWnlDxlquKO-v*@?! z{BDs${QkRvk<*emlj({ih`!4i5jlad$~KRydHKCWdhsXz`!rfjM<2jXlkJ5fQ^A26 zb9!29#_un_UT?3W?#FEWM>M@FObC6rdYn_&Md<1AVztV~o9Ny2(`d4YK4q&l4CNbi zSk=sR`biK^bK+6biVt@ap@{J#*v~g6#BT-Y&idnGwSgia|8w-)db1+A@20Eurcil} z{C9Suzehj(5beZKZW_zS%{qGdlKOl++KfL&&t$ZV`RVC8n@`e*^?f>>L@!^TrMKP7 zow#-KGJ4q?3~mPa6b_nu<mb^^@ukN#$(JkhAGxuZ68;#$H^`ShWCV@gpq}`LL+&5R z3nj(fs1LV;UlIyAYc2z@8(9K;9xaymL5MP55Ze;buC*U`qqj?V_9RpBK71){=-)4I z7mL|C`r*fDkS)<!%5Mk^Lqwj(_3rNOzh8b&6y`$q&#UPsOFvA67bBvKR~e!l)Dk@| zCrZ5Aefj4L>Y?1pVtCcJaEak<XK?%Vgecf9qD=-{6j4(4#`k6Kz`bXS&(y_bJiJ>i z9txGqKsjG8X4xr7trw3gL^my<=V5IZ@c|8wE+`yRScmP*7ZHNr|B&xeuVxccFTO9# zaymm8&eaOk9QOO@1LD63;Oj>a`m%V8;P&&yCi*z~Bzhownyxn_bdCb#f9&o(e4&5t z<=>_8?$dJhBiRpGQ*JuZ=f&y|!jy4s_l0or8OWuCw~l_J5SNo!M?3#{oM!NC;o9FR zVA+4*n1!FpHWXNeP-z@ViK3n52sZaLKKkEQyLESWe|U7blaQT2?(R>W>o>jVtT()P za~)lGu6of=y+KciB|RV&L0!{%u4qLwqZ#x#`BK-Ap7?T~qTZlnN(9ER=%Nz}pOYbi z)K;?(G6RZ7qT9$la+2RE!ishg04X|u4h_z;Y?4hx%d*w#YJE?!jbiY8fFCW{73ime z<Zp$$S6qtzAS}@v<7@`U7M%tt`L6;f@3m(|vOgoO<6cE?hrOuV8TN*!<inzA@!z8} zF>;ZLC%XeX;p4=%5Iqlb^c5+p%%uNN*!@UDkr7OHzLPyHF*w1n;4#}35uFf4!{Zk( zAl7W1Nh5o%H1H=>MrQVZ{!jGXbJbi<Ba*+LMqQb>iXM<I7<I1C$Ty`t^bav=iPji7 z?3OxchoVoWcX$7tbCP<yzPTme%U!7=z5n&3h5KXpsZb}$rT!}Qk@i%xEZmjM*ONzE ztr+?9;`;msAKj0m`8}Dj=s8MrdjE=G`45$g=yQ=Mb36VqLc&GV%&ocTj-n5wH9#gZ z2*q~FNVLpWupAK?DE;PC#lY@QYPP>EiP9E~t~aa4vF4U@42>@enKZGH5MQ!Pv$9OZ zUMoq-<x~X~-C%XTgTH&s_9MnIXzg+_$9QZlESbz!S};O+uEfGar59t+qG%Q4nmkfg zMuaH1D(v+8Xeoy;48KNXK&Er}wBphtI?<@U$S3e-MWjD>KTLklHJ1<kRMkYKQyx~j z$$eQ;`5sYURg6ra<dIS{-)m-7wY%n;M}Lp57kR4AaT;;|KT>*9SgV{^sY)z$c-+)P z3ioPYflZ05aPm!*Z&D|@{Qlck{8z^F*%xhuC2hd8@~R2nW7H<oHH9&_j`V9{?9bti zqU~3rU5&(`$}S5TXFi82Gr5d<wXd~6f$n_q!mpi!N`$Ve9alQ0p!=Uk%*~OP|NT-8 z4F7&f(N3lik(U4Khp1JAD$eXHCUxYqukU0C65+X+d=t@cF*kTc3n=+;u|K%!uD^Pc z0E%KL+Q&jgHlZPRHQhm=o#G4GvHu+b<kJ-MtkLTJ@d3|@r51>UXp&>Evd1+oD(uo^ z07GBEbumn%QO8Tnus+kU|B+^hi^XPFE|27<NZ%Brzh@Pd;v#&d9AwEEM81?lPh`g_ z%(QWyOs>jPeZaU!$yChZUPW(^g%=;hoaGKHMHLP}C@07-Za(~vG3flRf+T9HJOqq+ zeKlWz>v5*@t;(QYzMYF@8g$Iv6ccmFETZu)JilW7<9}f`3db#`qgA`$p_sT)=T<F1 zhkV4+SM*`_ht|5=FH5XD<r5(}{J8zK--~iaXph-MfkA^+qF2s~nC+zDR<Uf+7v@s7 z39Nn;*-h~Oq5PisVcOq&T4HjE)kf&$Ll*smxc^8Ka;pl4G<(cL4r2UzGs3X!zp*5+ z#+o2j3o)r*<$Ayp9pcFaU?O!EQXoaUzmw6uiiV{{6h>18I{+y0twgPq2&W?&T@i*M z5ZK?*;vub2taCxm&IZwMN~8wVT`HC^b{-aR#H$h3R#(x^r`h~d^n?}A`O_{!=4nao zbTU~zrK`>CG~R7RM2`B7EcyK6m)===akCZu$#lJ(jlR&Fcbu(hMJbgt)T7h8=~FhD zE=ryypMvqb(c@Fg%XK)TuJSbsgPU|TAI%n60;^a_$||4uFXI!JiX^VdU&bfV_#FRb ze2z6fCx02A6OB*&*BJsxmzJ<h-_8G;775A)pZm=+{k-xrYIfN5-LmwZoM1tJnvBy2 z;qmF+!}#ed8BM3-l95R{k8gQwQX|s&RX0w%m;Y*YldJSPU1&nc$b^lE=q9QG7Ol`8 z^tluWutwo3=|YC7Lxzh=h62z^q0gm2fEtC%4%mNivA>CS#QH$H9qk}PwWK&Xj!CD# z1s%fC==2A}>sxB;ys1eVq(UR%_N@+RrO?o~6$PVj<%6Qj)bv-`m*`s^uqFdhXz1ID zg3-508s4`hjwT7{1oo|<WAts3YCYyviUwK8GM@dBCI=7cr}5LmV;lxpfjt?G)A2fn zUAY}zh0*a62A+gb@g~zIZW2PpS(E&J5p$3dYl<PnJocz$QbOoBNh1zH=s0b!XG%^I zM#tM{;7J%24;$$Rsh;>M54wqnT@GSk9eS{2Ol##KEvG>U2932-J!M?fX%Ip|Bg-(d ze8Aq)%pF`67!z$WYDNQ0frd2OO6d?oy3S^pQTRf!1y&)0+3RGA*<FUs2w0*o3{!5e zL%V4$o%+0p>a+;Dpfw|D7PE9Y^SDNys`4(;P*ggYVPt7G_tPS54X{SRD@utjpbFf@ zdK|Y}LapwEuK^X9%Ma<jPkY7l0-lz29}pxbZX>Ed3Z&08rJrCv;%%A^rH`_TDdK4~ zdYYz_hf&)4UTnjQb%zz!d{>)kR?G}b7)?mABCxZe3H)?vnL#a2bG*}|-MHdYm<rA3 z<b;0*W{k<N*kz0U{i1tweSYy~cZ^Uuo;^;o^x+{{N6)Q4ZO_)p1CIM(O8p$$pWmC9 zbdn(_yxy$G^UZ3OVz1)C0*93&JX(*I%XF5_?{Q*AN)i1YeOKzlm|4rIzSOIj8IKq9 zIr({$f_306G_4XiO>&j1U#8_OrAhbKZ?Dp;i(IEgYjslGX2%V7rBT(V&^!ZE3D}!m zPM^QN+1NnU)=|{ne#Fi@j)i57JzW8A(aQxgn%WXhReZtk-ER82^Y(1ex$U8c<AbE7 zx>h$Grk!6d(hlZb>G`1dbNbW&1z0QpLrzv0M8#QZ<r<srv^<7oXgW0~wlUN7YD`<1 zlk9HvI4jngnmWQJ#O}M!<=b959CV}Sv)*~<?d7dcm~yF0?V`^gQf2np<dFt+V`q)C z)qHpS@pLL9pN4+g-Yq&z`(E^#+op8bq`{XA=)Xo_S|qXye224G<MiWaIs)W%0v)hX zOoZXp?qYR+O23?{$U>_}k@^+i4enD~9f+QLXPuo^J2^g55{P(~7|+P=byf~V)K@CK z>ac+~Dn(s@U7prSoF42R$c++h$&|02e)m0sz-`*?T&Mls;G&PSLY+$y60T%O*p)Fs zQCop?*1d4y#0SSmZ8hK&IKAuMZOe|89JpB#S{#mc-hb0^aBH>P+z8FVtpN*@>OdtD zuXZq2zuW0skr3wH?fQAxcl4M~_rtS`8#^yghYhc=QWB^Nr*xhs-S#iuS{Zp2@fJrR ztysu_4)yil(mGa(d<t#!t~;+Ukyj5-lT&p>Dy$rkww50r9`52V{+O;bxawbCrrp~C z{O<MH#k=Tv-*g?gXN!EaMcXUS{}_r%<&Op-@%+u8F9a<_v{EX7nusawK;uPH?mc09 z2>T>9-U_&fJr;XA*KtEb;<S*_OQ`_zH$?O0=7wnC9`<TC7gQk#I<u}E6&785F}xYH z^w|vA4isYnMD{X`%mAbjTpq4#{f&in5zdX_T|WO3Trd9>8z5!_F8`G@LbMwo$b<0r z@R$8x(yPuda3wmY`h2VnOoco-BzZ;EvUa<@i@&C1y0;bG&>39W`auV-`XMo?4t~XP z=}WaQN<pL;bxDkDSV%$}q;-ohfGbJj149%$Buqjb$dJ|yz*640wHqItm{iXOX3S}u zN`T}k{nfjnCBj&ZIpBWk4=($I^yjxd4B-2SuonoG*U>Y+|6A+tdwBM@cJlYSAcUCS z-lW|D5W1xG!Nm=J|24hrz3W}VZfiOLH)7>Ovb9EuPYvBe&91Un)jd|KHv<f5s1dGM zA+!jnm<5%vpxVt)g&GIF&RHMqF)DPW4k2L;9#-Z^HFkImrGwzxJoxu8hF(YmDg<T= zDg<T|Dg=KU1YD4v$^o+cNm=a*KjOn^cQ;B*r;|XG0<UO@R#=~zD-5uL=s`k656pln zp%vpVJjI&XYS5Ld92=hQ0<~nf8mPZ%im*!5Yqg@Q56iVxia~GKyDb%FrcB9R!jQHc zHcenLh|teQuv#zKn94zq=*&ifN~4P&atzI+gbIAsM>bQ@Mn5^IqmMMuqL1tiQ`ocm zC{COEsDNqEl|jkY<{YGdbJ?N96FqlurX2@{E*GCI_LD;odzZcLZS*|+h$CiL4ik&& z(|HXc-Tzm5dvVnp=xfiEvwPQKb3CQsRv+Zar%<xzDJGoaX<h3?852v_(;fm#dVAZo zj6%UzxPVoni^PFKlS?ZG%^&Stoa||SX0f?*G)bGz7nTnTsE@H~(3Rbr0}2-?WH2*6 zfogW&D1!!F$q<`eR->#qpAA-9YiN{0gI1(4%$0<&fjW9aTe)bIL4)?oU;}l?AYJJ7 z+w<rdFQa6Z1`$M@Iw5?EL?$)Q%8&(A(_|U<ury(T)mmJ_#!1aqAwnt*wg|aqNTW3+ z0Yd3Xu2h&HZ~8Q1pco*3%fU>+3@}fm6_7Q0R<<P+k;6X>We7@2z9^bt%0`h$tP)&Y zyK}h)<Pl%M$shTktKFjUQQK^RfU!tth4beyL8@_>0Vp{xXlP~y<ZmVhD@3sg$kIeh z2NWsT(?<n}pP@S4s*FF5cVqfv`T$zckku;)MpCbUc{%;!rZ#!5>E;F+HmA;VanUgM zi^0$7dD2hOy%-^wW<<WyO3y9^7;1>wG>+F81SH7CjX2T%eEF_>bu)~xR`SjY*MEC? z`L-WD<GeM!05Guv4KI7|qvthV<hDGHHF>6UyF`HEi3C7~On~wW6{|{e3PpC$(Nm11 z-K;1;`GrbYLM2?GkWLsvB@IHgSwgkBLLqN4glabkwa*f2pDWaUDO4ujbFrCuyx$<x z0ZXO>u1p6UnGPCcI#gwHc-|pbqQg=mPc(=RbCIwqY70H_5ksUSu1H5Lk&YTfI%bG; z%oXXFCDL(&NGA-DPPihSutYj(5Q!lXS0I)=xj2rrl4xngZrXt1#cu#Iq7tBF{C1dj zq9qlFNZgbHVjPi*l;RS}NGX>5oN)6A067vB`NSoWkxy(Aag#~HN+y8vcbkz+Y!Y!Z zNm6AJPmBbVUm_!w*d*fSk)+BaK8cD%;_5Xmk<?bLXiS-K^9TSrcGQqZ5-pF|CE}(L z(BeqckVX<Mjkv~?fMUj^Dv`JcC7|XVQYKm+amvKaBp_EP$|F;eNL-OyO(af{xLPU& z@>#cENo_5axFiA;uj2sNtRw(=LqJ>0B~F>R*#zVmGBqTVwvkNi84gfPJyrR{ZA_r% zHSo5PP8>3EGYZHt^i(7ihk>_^gyN8in^QoJAybi3TrwFc#UT?ntF)`E;_160skme^ zl8QqnZeD3udBr1BkyczX8EM5K6F0N8tIXn&sYom?nOKRX%}p!-WY|+fVrjDy3!s>> zfRk82%^wRmiG>{rN+o#`OG6;oXC)Ru@stF>qT@U=0m`eTeO6`x6jP`wv-pH6Qj5dH z_gSe0P)wn!)Z!DWA-C+aatolCLRGoNCsdP7v69O^H@N_iV^a;;WuKK@0L9c)m0f(A zYDh2pMtZT#q5#5_h?84D&F^_eZm|i(O)UGI!~!6{Kw4t4r@DP^S^*%(k{a^LzL8gK zI^rf3(BeqckX3m8#<e4sME+hoU}Y6R@k|?lg_hhy6aaZG>423~0L7H4N-7?i8gj~k zmQ(E34H%|Gtc(J3el@W&$^kc{0Fcpj4GD!6y19{?6}TJXwidSS-Np^uRn+$6k%p+P z1#P=H+@M{>Y>zk%F`E&xxe=Qcup8pF7Ow5u;f8Bgv~CF2w}T!Ilb1)ahtc!TkE2OM zXU)!MqxHvEf89Un9Lir!UhwG6ad}$n)i?bRgvAdWZUAxlv-;Nm+wcr1j30DPFN$B~ z9~DilDVB^cdX?@c?_8os|Fd3B<8-}FKfIz}Tk5aV#c!?O^RMxHto)uRzqgCu=`NO6 z_e+2t$*<D85A;>eqx!WXjGJ0Y!s7Rwu=pK>1+{p;_~qdDSDPogGy)$J5{^C~7kvPe zK7d3Zo7pSelt+*&2}vJ-qz^#S2OtRqkb+BcoO4M|et(6gyjo92@<|&A0;F9?)Gj1y zLn8U;u=oXSm){ih+Mq{*j2|YiP-|dBa*I!hBR)Z1e1iIT^6K*v4S-~l$^n1{xdT8y zOvd;-T^PN3jf=n2?yud;-Y~sQJC>6yIz;!f5rkd=kInNiIu*RxP(LzbfHd-X-^}Md z4$N33p<~@=$K@$I^AwN}C5Q2xe-dEgM-xyL3Eezz25EQLLN9cCH}vkm*;D27J~iC= z)UN&#*>+gzx_oNaY4zBq&;058o;WRCKP)Y&#|;gm=kA8lQ%}R_vAbdP)YCBW*x9f+ z^)`%*q=T(J*FidJd;#M#k{pZ-potX0ut-&>NNe_8vZINB^G%6D_~D>)C2X@vv=Wd{ zv{}fUC|^?FflIAP1lS-c!8d>~eY@0+&%qTSmoq3JPLEJvTyCL&IDJEfaX3f`;`Ndo ziagC7+!UaOwiBJEwi8T4+lAv>lL$Ipk;a-uR1jy|`<?E;I&XU7b<Ec{oxxdp*1PQd z+Cq@rMU^HahC85fd>${ipP27uIiM3s+w^z`G~R+ENz7N<Rp_&yF*3kgo_L8~2v2V# z?m(c#e8a+vBpcB(UxoAHKsaJlJ4IX|iAosHvW$+YO5>gd?<)@Gr3}>@4(9n~6S6$< zTz@s7|Igdb8)XLM4C^_d0QW>1I=TG%*M4U>yt+B-xv9x>>90H2c;n{X%_W4uSi*g{ zxL?7?9C&(LNF=oL*JKezLNJFT1{k#qM^hrxhD)%En<@`DX%SFCsTG~jG5^?n4YH&- zytsaIi3^E_rHrOaSU^4cub_vOsBV$~Y9fa0(;HwWmHu?`=BEzc%<T;^scJo)pPh?8 zhDi$nlw9LAX%o0IX=@iKWW7DAz?G(7f73-U7e9*Lim9*6ATvCZuYq*W$}TdyUI8gc z?YqeIZ|J=|`PPitF9jWf@pMZ8_P$?Ia!CY`QX(^`5gA2Og-|w91X|J&FRtvHF=u#| z->FflsouO2#M?Rv<yTY%!yu(7$|X~-D95|F)HsW(Y{UrED-yl8Q7$-9z7qGI0Hywl zp?Nh<<sP*gTuji??rSf2nUJ^<?c%IHQ!{SZ@yn^-##4z|vn3s{6cYF17-0nQTe{uQ zGu6<5d`ho~xr3rY%-@3*v841rkNC7>;GDV$riwWfPH3ekfc?azTQumb0^$+#>Z~Bv zq_YCftFsEINiv?!u;bIeXp)TYj{5ah9y8YgD>HZ9(Ijt6{Hc$fy3TDUeSdLw`xCBz z+Bcm-T&nm}ADd?!Fzjb>z_7>U12eC{Dk6d7Isg;gttAt(R8vxm2DgJww<pGP@!{(W z_v@G@oX3hP(1b@(fUyX+nG_`)i{w5}Mghhmc+4Xxz#0jbL&V&0%_*1EHN#~kp#16M zihBj*YuO6T!Y=6&sQ4GdbLc978ivO-ZQ!MS#;!Y>3NG4UfhCuQ(JYq+&X)^&()E#t zS6>=Nvs@ZDQ!YiMBKw)c2BBB+gN%Y+E3bF2tnm@jz&eJvfpu_J0|lDaUrh#4$7q&I zW5Ab7>o1mE8b-5R8aPufi$R#fA=m;|#ps7WN~q>gaz8Ms(f(pfTxU%8FVdcdd7m*| zrXrzek^CGlq&i1_2AD@a3CU=!1e8A;=;0a)+{)b43^~kdY=#2X$kx&f(~GGAu~4Jz zHd<-fKc*@7zPZFmplaV0rU{JtEXf2`tkTxgD&5j1>Jt!?s$-h;_5xSYVcUUjEbE-T zbDM<<hfC*bk}1z=RSd*Hv3v9O8aMZtt4Au+*C1vtmT1q7_1t#tVi*UsSPErBi}l?0 zAyX2up4$NZc36yq+e!3#YQ7t+O5KGH%+(G3`Azp<xaZ)qcm3w}r}XN|umA3)1TPkF zC0g`+a<qFKADRmvHb$s(*}r*1ce!56)o8?&LtmFmmT{Pb2>SWLeW--M+)hGN`U%k? z!vB^M0&_bF+hG#oMBJ7V0&_bFhqpJhaMxgiH<wxn@Oo|cJsiMXa8&K`toQB$6DaI9 zbh_dKvi;V{VRQwrGB2>xu&|}Vb{g7$!Fr;h%s4*TJ@UFtju)y*LSws&1U6+mY3-iW zwv^2FZ{aD#jqN8A*c1(0UAxJ?FPP?d+o+B0A;hEZA90K-1g*9&4CaX22xo5LVPoyE zHabvpC9%?Q<PKgKj@*nq_Ii-XM{Y?T`_&NQJ~r=3=yKhIMjpX|=<MeZ=K-u~pduC4 z26$S(5LUPagm?~MO}XM$vU`v;^~x5>1sV(R8;9E*?ANwU^ms#f!8)ViXTI=)n_L@W zdasL<1ZVcknN(R^Q*jlBM$f6Z7yQ7rBBFxR{$YCCyTTET>&5B;Ma}=#+BrTjuWVLP zu6kEDgI`m5r4k0jQ9Jq@F3pVIEoPh1eda@@8=|jiKlgS&{#SBvcm>@IdOP)PK$YJB z8*l2sySAsV0uTE=)FXa<flLn3+9`te;kmIep~7QX>jJ|;T5Ik7YB@Pqhozg9Qw$?n z!16BO;!bOy9O-!n*Kh43w0fZiogwNsp>=H(V(>%4ruL6_k9>iOj>2G@$u~>~2yDX# z@pjmwi_GMH^t(Y1cQU#pdxJYhX*Qn@-(FpH2Do(f`sTW4He>kOx(8Y#YQUBA#`>V1 zAmw3&!iB8FB#3WXO@!Xk4?hs1Y_+E6VX47+<u7j3^Ah98kIDY<wRedI&zqH%LohDd ztH>1>?K$>y$&R{%8=Shh9bCM5W4k+-K+Zd_2Nzvwaf7#g%N@D|btQIX-t=+csFo3x z#~7H{9?PvCCwB3ehBY<gg>mOQLNppN9=|`cE}>YLdkt7+1;tO)Wg-T>(%hUY=Y&9i zO<SjGN%gWsY3p0p3Zn%+cby_f)i9;T1>xE&B=B&>g~+NW=PKi4+x(k{?W$tL$NoCr z7>!jCEU4YC;zm5l9jD}Y$mYqVAi2#W7-7iPGZZ|ju^Ya%vK`yCJjUi~D!;{gO%*<# zS@oz{PEp@T{J6MbLep*l)~54S-#{cb7sWl~LO7F=f+qtt3lG~*vVIa1dJ7%MjRjI1 zQwLgr3DALt7oY>xNdnlZXhjZXY0-ih2P3k511*v@n;0yL+D$A_S;UD2Dyum$K;i#1 zWYNny@$u+9GG8%zuwnPSjuz1K&N@)d4Kzj@Tv-h?zN~gchLswK?9RZPnmVtlTgT7| zw#;bTEU427!CqU6$IxG1ibum=ZHh-jiS}$`AYD~suK;5pM3nwe>ryeL1eRK-ijSq% zt>R;;b*z|JwK`R;Z4o)%L7J>_Ot$6N4n(X9O@%hH!VGO?g&Eq+3X|DRf#9i+)vPT! zF{3d~UN?!0qWBfp9cz<7zC*fD8GTbjWk+a<sV;VLl~b%9@!;wFv8;l{g)jSyJLZ!N zN;J-}RHAIz&Dy{;teb79%x>0DgSuH?6XbS7ST`H%d^{#Ol3efOpp@mZSiof&D;_k= zlo3Z!01NkJ@BnF@fyJBF6nG@*ASH_=P1+fjC5r`Z;RrQEz9YC$nc`}WzzxsDQ$q|B z*M`azH&I7vA>wL?VdC0Qnc`v-vl>)}h^rxniEBe;iYw2BqkLDhQ;-P|xTyBU051w< z6}Ke>pcF6NG^5bHI7+Q8PVN#YbP2oog^i(fD>t!HpfjpyHMdAZ;z%bD!UiG=3X_9e zwW7-y0vcZ-vAG1dqe#w74yt#u2LVu5aDJ|Exarxfe8n&ovk+D@3faAKB_bC=-uuUe zrfdCpuyL>~zz7ir-~rMo7c(;DryX88p}__ZkVd(Zkx9O|ppgw8AdPYxBa?h_Gb0;3 zKpN$uQ6~A~l3zBsye*Oq9w5AOizJhLait_1JV<zX$0U=sa^oZ$BgjXTJ0zJ5iYq0V z;L5F#Y>c25DEB-v85Gw(GQq36+qnoq@&|-WwXzgXma@(i6FfL3qZm|3Yf-7GECI<B zDJ;w;!I2XfK`jUlhN!6`3e;kLCP2Ejq4ETF{fvf!YCGDkrz2o5S`Z6jt2iVJAj z;QWN77CvH<4+~gjDI_-a0O5n(H>_jxTceqh2ZYXc@Yoj^AvUR&MdFDMj35TVp|h-a z#FPgMF`@t}8=P-9YB3}x`LM8DmPcY!4-h^$<fAZBP}dfvE||j0niovq;B?XsOD6yi zGMkX`FA66G4i|tCr1|0=cBV4rjqFU|;53MuOhLjIx3;t839$L#6kAq#VoDR1VnIDX z_~1D~Sq_RRd~qW@8$3YxV3Q9snlNt-(E5IGiUmf9y#t)DMzxp}ub0ZgQf%-5X@Zk? zSy759jjZp%1P-1gm8GQE3<7i$oL<WsQcP(=@}*iZib*~!UxGn^Zh{kFSvZO*d{_d^ z3rR6)2PZ(3g$fD?VF@rVI>i(|I02URsMrhw>>xO!p~O^>DrNZ+rs$z5Dr`6$HX06b zZnZ!auc>9RDmHk?U^p*c#iSj)I9FD+VlxO5URJqc(hg35WdSTU1HL<<JXPSlqO5$y zltz}eVgd&X6Xe=q^Rtlb6r7yGCTC%(DP(FEoS4G$QdnA&Wwm&w5}cHZid$UrApsy{ zN*0{I!qQhr_A)DR@l>N$dTPedOZRjJp%|zt6pAwF24nO(!6?CEy$=@aeV-{69ilC3 z7KPz(4zQ@jjF{B7IJQLfRH`aR3_c}%pQG6lnq?j(^ev~KOK4UfSJ0gP&7t!`B3!jl z0TZgH5(3XxBT?t8k)Zi%Bxt@G1vFcYWyL0*TF9-c3Ql1-EWbkE5|6616Q74H^%Mfn zKiybVLh6cA6?`6L#UJiry@FAR7^1bZ49}L_XwxaMZ6OB{wYCu=mm48+VYdvkrM^Rg zgo4D46bcGATqr2qn4zF>1BZ&jj2<OQP$bT=C`|Fgv4Rw+Y2p*8X+jCqv<SM)oU62j zHJpL*mndva7gv(ed!=eIt!DRjU^0%eJ1~>2c{1LwQ+d{I;cJC)uHgr^xrQGgKb7ZV zNNcUs6^_S$t6}TwNgO4;7_!P*V0noz2E47-S2LQpI)#QBvNjhdy_6`jwpIX-s=ihb zpCNO!Ap|RLBvUG6F-25zCig`d!BWBFTa>_*5;F!HMU^R8jH<ak1TX7EabWW+K#F2f zK`5M_EXq+;RiyYV_$`*Lcwf}v;<Eq>w-riPa|f5ycv**vBay8jRX85s49(k*#Lemq zhWfonTz4_*SOtiw^JBj$BdRn}c&n-~F&bADm7?AEAO!wgN)e4W;ZP8Yx=lf%(J5gj z!Zv13N)sY7xkz^n?3W5DkV{a%6kOQ-QXmBNONGR4%Xa-z7T#j@zWPQQ+pqPj%mVyc zN96l;e$^t*)0E}17_GCE?BZi#du|I}*hUM$GVgWcc7Tb;?*S8&-378R6vbAx3|O-| zO+1eAS2#*FuMk#wy|54zwQyKCURbM?iee$G@(N=iC`zfZU^IT4%0ale3Y*Jli|8f| zL;hrmXR9T~b}}1sfT!8e`R<luYd05!O0FS?ORk{@ORimF5GuKb94@(r9wvES>WpE; zR00d_(k`(MXlETcsGW86kah}et$#x$*O0>{*U*C{*ZMb9at%3Lat%F9@@*oXQf-Z8 zlj?YmEgju9qf}vQW+V~BLQ++bEf|dx!X+p9lMAm@V&lmq$L2~=wqP{AXw($mOoJfR z?&KP}iO08b6EnzwR8g7h3ToMfs)8Fs`AW5u&Se<9QQ<8RIh0vZNiB>`H;_$HHLWZV z7Rt_6bZtu-W(}2X$SBpNwnHyANTfoxr133Uq7<XrMhYYwt*Y0SG`>YkloCAKNP$bD zzPcr#@hw`C7l8|Gg;o?U5chqcKN~gU!no0foKiY&8|1I)D#`vUJBo;G9Rwuu*R}y8 z)UPu(5@T!km&jk+2855VZ^PD(Pi^=*x6dhjZ5zJE9crcFLj8#de02l9!WU{4<boUU z4Lsp%9HCZfF1P{Tzzx(2biw?;)(LE-=>ml)3)O|;p=4bk!gc5MCF4woI`XGk2Gz0) z<5ZTk3q#Y(%!T2WRp-LcuwJPYpW6nvEJhcIOx5N>QS#Dr!DxYoB5KkFGhv+3Q!T4j zvyQ>gC^yI5_ZQ{jlm#CC)Y+_B$CQzO!Ltxe{9vt8P~AACApr`|@In+&+@cx8D@Xxl zJv^3ngRk2(tKV_B7-jI9QH;uN+~ptxjlUXXAaXm$Kx5kh;n82Gk@^eLbywMFlSR|{ zdNZ1jv(v~{yDl9)P19wznl3+nAC2adXq{~c5MOPk+4@CPd@;_}>*@SHU4L0`vWFuK z;wsGOw`eSwr5`5gIGfF)oz0geSTCcUPqX=_=xI8e&YyP2i-*(c@{|y#^G&uIFXr=X zyg5yF<0j#v-=!#NF58!AXEGgcz<D-ZZ=!#{$m`!-zr8}8(CAq$S54my@tvr6=+c?e z99hq=roPpBL)`0%h+C~IkMg{DfvO|^)CK7(Lr0yW7HZ@t|GTF#pI75FUZ#IgUp!Hd z9wtrgu%UdLCRi!Eq&I;*+BZQPrR}1i>nX74ucDq)6dH$_>R+ZU*d+|}RaCQ<r_p3g zHnmRgrgw{UG#M>n@oCE=stcu2WW~K6*V*dSPUtj-wb&ntb_iE*@~>|Cx-V3a?8 zZawX{yZbHtQ3`W*)8gQ10dS5#1VEnWV((Mp=js18t4#ofC<<{%2m?fPZCffvQelRg z&RI7_)Opt%3@>i3Q>yQa7^7?B^@XSuo4)J3{iWX$AJ-_&x>-GDiq15sI0uWH;3PHF zV)ao=BovCu`=E{`)UhAxL_(d^pt?VGuCIHS`BmhitTvT9emj6d47LWSUoSRbk9w@& zE-l%BoyxKSJ9TCQ=Bn;pw~MnQjauuc?@$W+ZZun~W^{%Dkf<;Bws+k{jcM4TVq|i( zLMOoXhj>%Db5-M#Lx^EyoqGNh62kd!(#~aP;3NT{J}kZ&h+hA4Q2HgWtbGMKxk{tw zchqvFQRf%uH|bfgfBO?PH&)Aai!(Uqoi6GU_bz+gTli@7+?$U+%ra7fLgc2D!PMxm z_cQ6TH%PB;&S1<pcXtY2ew@GPZ-osNmd`yvfyd-)Ae^IUvdIo=Q?zDzT4_GLym?QR zqT}5};ULY&L%!5^f#2FaQI)0=NZ<C+2x8G{D?EOoxHr7*pa!+7+4c0{afX3rws>5p z>(Rp!Bffh=E5p7Bt}@VHXW1m$ETUDm8BOQWr_t;&OI@9HP!!(U$5DEvI~EqCTVO#z zQW}<qrMtV9mhJ{Yx?2{I4hiXAWRVb1Ktgv>i4~C4%Wv*`-*?`7VxDK7Ip2TJoSF0e zoU;b^?d(nET>ngvi>Y)rkZs4Y{X3u#L?PF7NEV@%+IB@=;nE?>L?C{*qRJ?mRy$Rl zE~l3#UzseTN`-x1p8^ooTe>U;oDo+$+qzdNb5I)}a$yzqc`PYz7pOnjtTT$iR#EX~ z-*_duzaXCVC$VSxN&X2%9O%|H`R|G1DIFn4#>0n4tuTP{nG*-_G}q@0k?YeLPqF-> zx@Thv#a4}^W8O}mG|hbvs7FN*HUEl4&Qtu#?T2Mtp|!6zTURKYi}p}GHy#EL1%%P* z^R7+|#|Jy_%qu)a`v>_HZnfk%HGgYjyv{C{HMAsY;0P&-Ob_YQ2QC{MIZyZn=^jX~ zj|<>|uukbhE`2_p^s;*i62@wd?)m-r>NmgRH^1k%`_*rB=YF)`n`D?QP<oWK4?cXn z*_X;mB+tXC!6(Xr^QFGewdt!SE6|E6;_BAWaY(Kbq{)UXp_v|{hr=XWwfNz4r2hHN zmuk(X_a5&y9k73)t#`V3taV3pmTtLR%?F1P*|b)}o>4E`b5cd;$I?bRANmtdbF>U- zs=}zvI&{Ug4167mB`!BOW75Z&N5svth(%@IUDgIAyfP}rnGo%!;}{KWr!uW`Bv4eJ z=X_C^*EF(~P|5Fwk$DrX8{5n%Zo=@SBfW7v{*iaRWxHkHFmbXy#w(Q|0NXpfbUX%` z*Zo?(M1p;YvAM(d`t%p)SUZebN5In^r5eY2fAS#cKPKxo#ff(HmvBt$q)`zr_G<TL z{XVkV4uI4*p=A6KK|1WOyjjmG6<tK=vs*dfXPDh?=bY9)Hk?Fn*28So<te0_;+<N8 zrp;zDuLL;N?d#H6L<dCf4UrD{YhBqkb$g2SIR!@hm+lkh8BGZZR1*Wr=sDX%256t! z-0c&ORmg$4mum?`X^PnMZR2#_OL?sW<{64cK~95n^|FF(WacmUZ9o;DVc39n{cJ@( zcauBTD{i}MXB@Shl1ip$n-P@eSU9a5E}v~+-FG7=*Lg+Hf=-QC>C(h}o_<pr=srMp z;4MxYCw^@WK=nNyM|P0>w1+oNI6-03Er*0EVPZb`-|kJyxm$t)5_4pkpr!L*r;`Td z;{JoXq@5*FLF|WD-Lbb0<7{&DAv4E}`(N}V5S!{Z!;Q>(IPiWeLKJjCLAlz;J30Wt zp%dkWNesY9D9+{#;lOgH-kJ|#)#7kveNz*fzNwvZs?d)7C2TIkzl0vw4M+I#%J-c3 zc9pT$s`|Ot@|7^FudNetf!!>1?d?Z=PWTde(NZD7_pbu4?2_Y40?yGqy79tAW&%pb zmh%X7D7{ItrP!yeZ`#mI%|~MZH=QO{vfRvrp}7sByyE)MJt`|k$4LPSW33*Xl9sYo zti!zqo!<RZU?-d9avx(w7<(($xmXXvxhyGKDm7%(-m3-k>hrVz^;J<0RMO0!PpSNJ zOQBh8jxnKxU397wj;-_Mpk<aB%*T1l>7>(!O`|1Ws6Vucu3tUD5GG<1^hy~oxtgo8 z&x(@JDvZB(-X2qx-aE;TB1uOxXwT`{KJ9zn1btCEt$^vm+_seus?V=L%Zb9@5d{NT zuoXJaSrT=$Wn3~D9TzKmvPK#cQ+QQLrNBCPBCZbAu`nxTEnC7B?8r^(UY3kR!HnSH zT{RyC;2L^)OGVnomtBHc)gi`6YL2tf(|j_Sx?se5?ktfNLY){W3}(`>4;*$-NoN#t zLi^Qq>zQO+PrKwZ6I_7R%A5#s@det!#r?tX%;GEe#b^-;1|hX2QO=+Tv-Wo(o%E3< z{70UiYh_oa-z!K~J9k89d{A^_b}(^@cb<7(@gluzlT3l^-9SyvKW}ny410lb`&ssF z%zIIVzy~V{phLx2xa}E3P3#IrG1S}L;m=@sG|qEtdYdk*%ah)9{;xcx^_(vG{N0p0 z-(VP$$XBLCvgsjYY<9wh^dm4Ly;&ZlRo8f_)D9W@T<k9s*`HDH_@W0Kfx0GHyK!+$ z`h^{8!b}uJ9jPzAFRidJN%M4Ddh8^i`PZ&4`)BR*SdMMI&55`9ZkBZ6)V-hHY6>vf zPH_Lu@1r}^rprlA*+qpGdq^<^wjKs9rX>v4crI>iR@!d5FnWC)S;r#|W818-Eq@F| zd`a5sk-1pym~h@8wTLXtoOwz_8Q600NHoE5bplp3eel<ZiYq~axd>lg(?L(1TIp<r z)Xl~xm!`hh9Non%>JccwrtrYKVQHJR6U?%;IC8rk<5I^Qdg`Pz<_n&iGivdqu3uJ( zc_)%yx#;3vcX-Z(+;C5whC)J5)mvWCTUdVH&9^>Ua)u-MyELq8)vvX>-;;@1&n$`5 zbZODZlk@ZqkIIw|pBticp*oQVuVbHM=Ut%bG)6lyPyCz;iJOO4tvHQlTC%zFnwq^P zm1Nf`D0v(;Ar7wugtJY$$CbS93+R6e1<g-BLw}qv55BEu2t0%^e*6LYDnwaqJDJR; zpI#mBWX63rNvYuZC7)c{ot15?!@U&dyhje?^;GgRK2ufqyetQSI~kH{wIYgJlb1b6 zIH6+G@5i#Ldmz%nTh@+2hmTVor-f+jw$IEF{<1}rk3w709P@X0EW=q0|6=q7@tRw{ zR}<%;%E+qOXY(9IM!CJ2?B6yLJkIBXb7>7wKaNhL<g!1Fn=*;<yD5(=h5Y<Uz3pG1 zF-(^CF=S1uf4S|&ux*i|U!UC_o_X*d;_L(LSA1I_z+7|bX?Q}jDR;+><&K@qG3k)f z{g%^^psr}ZWQH?gJwf<GAsQU_v$yVu0@DCnrx0jkM{?sHK@ZeeUD_n`_oT-3)yeZ% zybIB$6+m}<8ntZjVTbBwL#y<lhoz0r*M)@Fo&q(80Nd|+Kf1hI*SuhCU8eLaZ-R?2 zVzN?$#9-?6b2vO<CECVcN8$i3I=CVblg*AN)==KxvwRIO{bzo*KO6t;APMqklUlgo zIqor~W1!5rA;PZ|uO{ANzKf&eN;N|0#9v-L3Jrrys?tn~8L;Yz#>R~P;C4<}v@Ej) zYH`x_HB|=${>?&6%r&kMbDxpnJ0De-uDC>$D>rjl0J0Wk2$2iBM;Trw<krZiD=BT` zX1l}^=r#-iYTZRtgd~b)|L2FRTdXH4a$(?yql^@1jmKKFLSKo(ynoOZprUfCW;ZPW zPFvk)N}7K#-4>sQDF)NAlzPv;S@J|ks@%ATK3|sVALu;_IEhH@p$0hkyQ4-4S6VL2 zcU4IGElo>HAWfK)sxc$BT*@a>218G$k801T$PW1IF5MmpQ@##>FU3w6KJLgeqU{JR z^5Syu=j*a4(K8@sH-Uy<)mfY%mBpjF^UO^ydXQ~``h7q4bDpi69d)-JzFF<bwjbv{ z$WPs+=AOUxvtRwP1k5N)G{0zD>|>+DGu0H(JFqX(IN%&#y`~Y~`~GwMU0er#`0gvQ zlyE_L{SZEjZ@r1AolTQ8k*&rHJHB}1%=kuDG`u16_bM$s5rx=HMfsiKvORv09_ZlD zzqCUjuw_x6{HB`eD%p1dkZ-T_J}UM8CMI(I5FC01s7b(#+=ZSI(mK2-^O99r>(Ke| z!yncg*2>7Gm)p5JRtg=+DNzFbxn$k)1pNRhA1??-xRfh-hh9psNJd;b;|DPShk~h< zpGkI<_OGKN!bO24gC;HQ2WeZK^<zU_YuxwjM>v)0GwUk4*EnlU2fuwyblvN9sFv;J zcn5DypC9+!4TI}nlY~HnPgxg~Z*UB?xp_@|V?8JyQ7Tg>!U4VnbsqGBaVMIW8CKIR zmq4cLk<_PnoYep>S*a5?TUm3tzN#IN_zqkZOa+!GF}~W>hz0y<Q22g;p5Yt7`mmOp zIpWX8W#VDmlQe8~f>C=BPXS`O^4;exv^eFZpHL;f;DS0n5%AJ0Zdnm$D!aVO#=O)R z+QTExovr>=3=3?3+4i~^OfNPF5=SZ7G`<-!v?zw86sf-D>u(y7XbgBq!QqsoN*iD- zgfaY4be%4Z=S=_|xL|y%$xrVp^v}P&#M3LqXS=w!fL|3e11b3*H5Gm{TJ$A>>@AR{ zC|OxIf_JlkwwM)%5s{RXv5x?nx)H1GToaQ?L!aG*iUy`_gHwi*I%e8@hV3!DK^JY+ z#3{a4;>u08`$aKG2SiQ5t%Ki0ox140(-d{iUIM!cQ>jpZv2>9|Um9rQ-fn$fQ@IU> z2)LAj2{e96>1`Z1pIr+_ni>ur8GR|eOc$Qw+j<T^$+$zNoM4Ws6jy6ycRz0P<7Q^W z@&zMq;{*{GOi#Zn%lJ3>c!=2pzUO=Q8YOXg<&SBcO$Lj$4i|?PDWkOiCK>GH!%27# zA5?ZTO7uGcc`L&erc2M`2)0UnUljor`xSdDoECNrAL?@?9<`8BHS#2<Y}VBU-&$5J z0h)Wow3TJpm$7?h2n`Pj!j&uLzb+TYgm0Gq27+hFbzI5uwLUlUi@?+6mt!S4j$kG{ z@`5~|l{T5`IQ>6vp6U~{h;I$Ln+<DV?od`={51WC=}M9SPFw&JP;`DB{9G!tP8|Pj zw6GXzsk^hXM8E)DS^Q2(JvM$F^;#)Tm-(KFpYn)i<T$rK^j&`5(D%m~MsPt4Qf-xq zq5_@le~*Obd@C7hgVjuYplh;Yd?_M6ioDXVD^OA0={<1P5-$e8i!*mo1QCEhunWJO zn^GDcZ!%(i;CfhNh*wYSj4VNm`14T*r#&JHe)T*mi|)>KP+?Dwbst)ecz#$fYlAnX zN5R4MWWJ!?wEwf07-hEk0<NH+@}~r^&7ZMSEklWgyMz05cs@#=(zyxwk-9r$`Bzhw zFzjm=wwg7imy;F)kIl}71v;{>yKkD>$oGf?r0OrIIn><}zQsC8E_0t(PbzgQ54FQP z*?XW2?~-Y7!&eImZd!d|No8uS8&GVq5*<|3DrJi6(1*8hW2Z)^{f*?FD~PtlWCMhy z<R!fp?;6>}Xd&es!|Zg$fu54ZPmVV#G0Zb{Gtk;~&QW%^l;%w9<^0t>6xQG5WMP4p z?Dgr)dz0&l&~;St%FP@vXLA5_1iAqd2r+9{Umv-O$#&bblgyjX%yS%*bLVZvL!6qm z_)0z-t?PArfc+CzBR%>dk@o=Ck7{7io9^|pL!9is`v6V&Vj8{MNjPw(wJv-)*yIKx zDiC5sR|uuBRP|hyXL+9k&h|eXpEVtbWG8W7G6(DrPLZd@=b1Njm64j+YMCtpnjdrB zB$5QYwL?XN;k$~BB%8eB;(sMxvJ}m_U&ENbAp0ZPbt0;wRhO?!Y~bXLPT~{ihPiK^ zSh<<nWgF$23C$LtHPB|pF$m96cr9Pr(h_wd!nSyYf2%{%eB2ulcC%q0)FAt~k8s%{ zM(b08;HeGq+K(TxxW6X$3yB4^mAo#Ciskq7d1-Fk@97IwY+njWjc2U~NeI+MEC6GG z*&ybMXc5je!=_^zSMuD8)C!t5Z>sA;pgAO$2vQcKD>z#lA^&?!)2)5Qu4S>)ud01f zR4SvJeZw=A_T~&S=b|l>sugd+YPYYm30RE1Z6M^ks53nI)VQxoJRq1C7?fbnkF96D z5FlQ+o-@u=Vxw6WXKS4^95P<l4=RCl-KHTZ5N}<O`Au&9?~8($&0#A~Yq5~#RND&H z5zE7GI*6M#c|s5vmxG7?SW@T$9qcOXLcL$4Y%BYfAkfcl4t~@A>KFM?@NOK24i?v^ z4vTsRrc%v|8D$BMi5$;0n-I!%vAK@I1Ew42giou)aF{T5cb8Hf(1pp1IbdhhCtM{* zX-H)&%1>)%sD-{4({<btpDYkJ<yMz02r(wLq<>lD^aux9>Mp7CDTCA_`<IRE$4nZ| zjY~cEyvka?k5(4aUp#yfCl~|%-8(JY7l}w&DTXCvd*t&y(hdKpN%WZ1yh@^eLIBF- zM`%Na;`a&`E;%;=I3c%PyYIzN(p(nz<N#3C<~TIvmZUBBOIm1d{x$SENEq|j)m^`8 z2CNA~Lh}?3vW+Dhx*@{yNh%g3&;1^z-8~g(N6J!C(Ru$ib$?Xo(&fY3Z1rh|(}<N* zViq#DL~v-QhhIsQ(aEJ4&M)f*Y%bFu8Xyfwx}x`SB=~*XnE`j_WX}s657UVM!jh15 zvYMc<Hcp8^@<U1b(d@C+=Z0<-ZUa9+8^>)?#<5iA;xaa=wkZOlW-GP0G$9}SWi`5p z(r$ehq*~atY)z73WXQPm)gybr-`#v1y&#Z|op4uvcsf|gQX3R(vF0X;RXbCi-Q?=a z+<S%ZdAw(RJw+J2H+QS3^4IMBN~qOm{-97(;#4TacV{?Rw?r*B0noMQBCkbr$l{q| z`AUO3uYf<<Tn4>GCY2Q3hGv6aj(|bREWeN_XKOd(h=BsfauFim<5^-qoL)KxIe8#Q zWV-!ffX0dN<VU@iJ3VnUpiSYo6@#QP&x$7~#wtolQ;BuIC<wiaJgsj6i4Mo(r&7;O zO1D5IQmj{>)f&WM_)KXMx<h=v{_LOdSPHkWw0ZjS1YN@<Q||B`eFp?vE6W%vC^I$Q z65YDBecadid-0oA$ZFvFP|n6T{(225xo{Bq$WQ3-rHYXC^X0$zx|$CjQepptjQ<-X z*Ow3uWqN>x)p$P%@2mf``xL7t`k%}t$idM`Fxb=MKeGSu=WBe$UgMvzu&VFhf+GKC z<$e3NOzA%SKN?-oCCKH!<^PSZ|DXKn)_=+W2WHpR#Krq(7507ay^j&V2UvnwPlUx? vT_O4!!Uh`Nwz>{Z`hmUy#!eo7lFDwPN|HhvCc$Fbe(E8H!a7gwgJk{%JmTnW diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd index 21496934..6356d7bb 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu Oct 12 09:59:12 2017 +--Date : Mon Dec 18 11:23:02 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.2 --Command : generate_target system_design.bd --Design : system_design @@ -122,6 +122,518 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; +entity m00_couplers_imp_OXX3DM is + port ( + M_ACLK : in STD_LOGIC; + M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_arready : in STD_LOGIC; + M_AXI_arvalid : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_awready : in STD_LOGIC; + M_AXI_awvalid : out STD_LOGIC; + M_AXI_bready : out STD_LOGIC; + M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_bvalid : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_rready : out STD_LOGIC; + M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_rvalid : in STD_LOGIC; + M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_wready : in STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_wvalid : out STD_LOGIC; + S_ACLK : in STD_LOGIC; + S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arready : out STD_LOGIC; + S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_arvalid : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awready : out STD_LOGIC; + S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_awvalid : in STD_LOGIC; + S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_bready : in STD_LOGIC; + S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_bvalid : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_rlast : out STD_LOGIC; + S_AXI_rready : in STD_LOGIC; + S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_rvalid : out STD_LOGIC; + S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_wlast : in STD_LOGIC; + S_AXI_wready : out STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_wvalid : in STD_LOGIC + ); +end m00_couplers_imp_OXX3DM; + +architecture STRUCTURE of m00_couplers_imp_OXX3DM is + component system_design_auto_pc_2 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + end component system_design_auto_pc_2; + signal S_ACLK_1 : STD_LOGIC; + signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); + signal auto_pc_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_m00_couplers_ARREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_ARVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_m00_couplers_AWREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_AWVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_BREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_m00_couplers_BVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_RREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_m00_couplers_RVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_WREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_m00_couplers_WVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal m00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal m00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_ARVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal m00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal m00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_AWVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_BREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_BVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_RLAST : STD_LOGIC; + signal m00_couplers_to_auto_pc_RREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_RVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_WLAST : STD_LOGIC; + signal m00_couplers_to_auto_pc_WREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_WVALID : STD_LOGIC; +begin + M_AXI_araddr(31 downto 0) <= auto_pc_to_m00_couplers_ARADDR(31 downto 0); + M_AXI_arprot(2 downto 0) <= auto_pc_to_m00_couplers_ARPROT(2 downto 0); + M_AXI_arvalid <= auto_pc_to_m00_couplers_ARVALID; + M_AXI_awaddr(31 downto 0) <= auto_pc_to_m00_couplers_AWADDR(31 downto 0); + M_AXI_awprot(2 downto 0) <= auto_pc_to_m00_couplers_AWPROT(2 downto 0); + M_AXI_awvalid <= auto_pc_to_m00_couplers_AWVALID; + M_AXI_bready <= auto_pc_to_m00_couplers_BREADY; + M_AXI_rready <= auto_pc_to_m00_couplers_RREADY; + M_AXI_wdata(31 downto 0) <= auto_pc_to_m00_couplers_WDATA(31 downto 0); + M_AXI_wstrb(3 downto 0) <= auto_pc_to_m00_couplers_WSTRB(3 downto 0); + M_AXI_wvalid <= auto_pc_to_m00_couplers_WVALID; + S_ACLK_1 <= S_ACLK; + S_ARESETN_1(0) <= S_ARESETN(0); + S_AXI_arready <= m00_couplers_to_auto_pc_ARREADY; + S_AXI_awready <= m00_couplers_to_auto_pc_AWREADY; + S_AXI_bid(11 downto 0) <= m00_couplers_to_auto_pc_BID(11 downto 0); + S_AXI_bresp(1 downto 0) <= m00_couplers_to_auto_pc_BRESP(1 downto 0); + S_AXI_bvalid <= m00_couplers_to_auto_pc_BVALID; + S_AXI_rdata(31 downto 0) <= m00_couplers_to_auto_pc_RDATA(31 downto 0); + S_AXI_rid(11 downto 0) <= m00_couplers_to_auto_pc_RID(11 downto 0); + S_AXI_rlast <= m00_couplers_to_auto_pc_RLAST; + S_AXI_rresp(1 downto 0) <= m00_couplers_to_auto_pc_RRESP(1 downto 0); + S_AXI_rvalid <= m00_couplers_to_auto_pc_RVALID; + S_AXI_wready <= m00_couplers_to_auto_pc_WREADY; + auto_pc_to_m00_couplers_ARREADY <= M_AXI_arready; + auto_pc_to_m00_couplers_AWREADY <= M_AXI_awready; + auto_pc_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); + auto_pc_to_m00_couplers_BVALID <= M_AXI_bvalid; + auto_pc_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); + auto_pc_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); + auto_pc_to_m00_couplers_RVALID <= M_AXI_rvalid; + auto_pc_to_m00_couplers_WREADY <= M_AXI_wready; + m00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); + m00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); + m00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); + m00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0); + m00_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0); + m00_couplers_to_auto_pc_ARLOCK(0) <= S_AXI_arlock(0); + m00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); + m00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); + m00_couplers_to_auto_pc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0); + m00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); + m00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; + m00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); + m00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); + m00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); + m00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0); + m00_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0); + m00_couplers_to_auto_pc_AWLOCK(0) <= S_AXI_awlock(0); + m00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); + m00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); + m00_couplers_to_auto_pc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0); + m00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); + m00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; + m00_couplers_to_auto_pc_BREADY <= S_AXI_bready; + m00_couplers_to_auto_pc_RREADY <= S_AXI_rready; + m00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); + m00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; + m00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); + m00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; +auto_pc: component system_design_auto_pc_2 + port map ( + aclk => S_ACLK_1, + aresetn => S_ARESETN_1(0), + m_axi_araddr(31 downto 0) => auto_pc_to_m00_couplers_ARADDR(31 downto 0), + m_axi_arprot(2 downto 0) => auto_pc_to_m00_couplers_ARPROT(2 downto 0), + m_axi_arready => auto_pc_to_m00_couplers_ARREADY, + m_axi_arvalid => auto_pc_to_m00_couplers_ARVALID, + m_axi_awaddr(31 downto 0) => auto_pc_to_m00_couplers_AWADDR(31 downto 0), + m_axi_awprot(2 downto 0) => auto_pc_to_m00_couplers_AWPROT(2 downto 0), + m_axi_awready => auto_pc_to_m00_couplers_AWREADY, + m_axi_awvalid => auto_pc_to_m00_couplers_AWVALID, + m_axi_bready => auto_pc_to_m00_couplers_BREADY, + m_axi_bresp(1 downto 0) => auto_pc_to_m00_couplers_BRESP(1 downto 0), + m_axi_bvalid => auto_pc_to_m00_couplers_BVALID, + m_axi_rdata(31 downto 0) => auto_pc_to_m00_couplers_RDATA(31 downto 0), + m_axi_rready => auto_pc_to_m00_couplers_RREADY, + m_axi_rresp(1 downto 0) => auto_pc_to_m00_couplers_RRESP(1 downto 0), + m_axi_rvalid => auto_pc_to_m00_couplers_RVALID, + m_axi_wdata(31 downto 0) => auto_pc_to_m00_couplers_WDATA(31 downto 0), + m_axi_wready => auto_pc_to_m00_couplers_WREADY, + m_axi_wstrb(3 downto 0) => auto_pc_to_m00_couplers_WSTRB(3 downto 0), + m_axi_wvalid => auto_pc_to_m00_couplers_WVALID, + s_axi_araddr(31 downto 0) => m00_couplers_to_auto_pc_ARADDR(31 downto 0), + s_axi_arburst(1 downto 0) => m00_couplers_to_auto_pc_ARBURST(1 downto 0), + s_axi_arcache(3 downto 0) => m00_couplers_to_auto_pc_ARCACHE(3 downto 0), + s_axi_arid(11 downto 0) => m00_couplers_to_auto_pc_ARID(11 downto 0), + s_axi_arlen(7 downto 0) => m00_couplers_to_auto_pc_ARLEN(7 downto 0), + s_axi_arlock(0) => m00_couplers_to_auto_pc_ARLOCK(0), + s_axi_arprot(2 downto 0) => m00_couplers_to_auto_pc_ARPROT(2 downto 0), + s_axi_arqos(3 downto 0) => m00_couplers_to_auto_pc_ARQOS(3 downto 0), + s_axi_arready => m00_couplers_to_auto_pc_ARREADY, + s_axi_arregion(3 downto 0) => m00_couplers_to_auto_pc_ARREGION(3 downto 0), + s_axi_arsize(2 downto 0) => m00_couplers_to_auto_pc_ARSIZE(2 downto 0), + s_axi_arvalid => m00_couplers_to_auto_pc_ARVALID, + s_axi_awaddr(31 downto 0) => m00_couplers_to_auto_pc_AWADDR(31 downto 0), + s_axi_awburst(1 downto 0) => m00_couplers_to_auto_pc_AWBURST(1 downto 0), + s_axi_awcache(3 downto 0) => m00_couplers_to_auto_pc_AWCACHE(3 downto 0), + s_axi_awid(11 downto 0) => m00_couplers_to_auto_pc_AWID(11 downto 0), + s_axi_awlen(7 downto 0) => m00_couplers_to_auto_pc_AWLEN(7 downto 0), + s_axi_awlock(0) => m00_couplers_to_auto_pc_AWLOCK(0), + s_axi_awprot(2 downto 0) => m00_couplers_to_auto_pc_AWPROT(2 downto 0), + s_axi_awqos(3 downto 0) => m00_couplers_to_auto_pc_AWQOS(3 downto 0), + s_axi_awready => m00_couplers_to_auto_pc_AWREADY, + s_axi_awregion(3 downto 0) => m00_couplers_to_auto_pc_AWREGION(3 downto 0), + s_axi_awsize(2 downto 0) => m00_couplers_to_auto_pc_AWSIZE(2 downto 0), + s_axi_awvalid => m00_couplers_to_auto_pc_AWVALID, + s_axi_bid(11 downto 0) => m00_couplers_to_auto_pc_BID(11 downto 0), + s_axi_bready => m00_couplers_to_auto_pc_BREADY, + s_axi_bresp(1 downto 0) => m00_couplers_to_auto_pc_BRESP(1 downto 0), + s_axi_bvalid => m00_couplers_to_auto_pc_BVALID, + s_axi_rdata(31 downto 0) => m00_couplers_to_auto_pc_RDATA(31 downto 0), + s_axi_rid(11 downto 0) => m00_couplers_to_auto_pc_RID(11 downto 0), + s_axi_rlast => m00_couplers_to_auto_pc_RLAST, + s_axi_rready => m00_couplers_to_auto_pc_RREADY, + s_axi_rresp(1 downto 0) => m00_couplers_to_auto_pc_RRESP(1 downto 0), + s_axi_rvalid => m00_couplers_to_auto_pc_RVALID, + s_axi_wdata(31 downto 0) => m00_couplers_to_auto_pc_WDATA(31 downto 0), + s_axi_wlast => m00_couplers_to_auto_pc_WLAST, + s_axi_wready => m00_couplers_to_auto_pc_WREADY, + s_axi_wstrb(3 downto 0) => m00_couplers_to_auto_pc_WSTRB(3 downto 0), + s_axi_wvalid => m00_couplers_to_auto_pc_WVALID + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity m01_couplers_imp_1HZPTVY is + port ( + M_ACLK : in STD_LOGIC; + M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M_AXI_araddr : out STD_LOGIC; + M_AXI_arburst : out STD_LOGIC; + M_AXI_arcache : out STD_LOGIC; + M_AXI_arlen : out STD_LOGIC; + M_AXI_arlock : out STD_LOGIC; + M_AXI_arprot : out STD_LOGIC; + M_AXI_arqos : out STD_LOGIC; + M_AXI_arready : in STD_LOGIC; + M_AXI_arregion : out STD_LOGIC; + M_AXI_arsize : out STD_LOGIC; + M_AXI_arvalid : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC; + M_AXI_awburst : out STD_LOGIC; + M_AXI_awcache : out STD_LOGIC; + M_AXI_awlen : out STD_LOGIC; + M_AXI_awlock : out STD_LOGIC; + M_AXI_awprot : out STD_LOGIC; + M_AXI_awqos : out STD_LOGIC; + M_AXI_awready : in STD_LOGIC; + M_AXI_awregion : out STD_LOGIC; + M_AXI_awsize : out STD_LOGIC; + M_AXI_awvalid : out STD_LOGIC; + M_AXI_bready : out STD_LOGIC; + M_AXI_bresp : in STD_LOGIC; + M_AXI_bvalid : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC; + M_AXI_rlast : in STD_LOGIC; + M_AXI_rready : out STD_LOGIC; + M_AXI_rresp : in STD_LOGIC; + M_AXI_rvalid : in STD_LOGIC; + M_AXI_wdata : out STD_LOGIC; + M_AXI_wlast : out STD_LOGIC; + M_AXI_wready : in STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC; + M_AXI_wvalid : out STD_LOGIC; + S_ACLK : in STD_LOGIC; + S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_araddr : in STD_LOGIC; + S_AXI_arburst : in STD_LOGIC; + S_AXI_arcache : in STD_LOGIC; + S_AXI_arlen : in STD_LOGIC; + S_AXI_arlock : in STD_LOGIC; + S_AXI_arprot : in STD_LOGIC; + S_AXI_arqos : in STD_LOGIC; + S_AXI_arready : out STD_LOGIC; + S_AXI_arregion : in STD_LOGIC; + S_AXI_arsize : in STD_LOGIC; + S_AXI_arvalid : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC; + S_AXI_awburst : in STD_LOGIC; + S_AXI_awcache : in STD_LOGIC; + S_AXI_awlen : in STD_LOGIC; + S_AXI_awlock : in STD_LOGIC; + S_AXI_awprot : in STD_LOGIC; + S_AXI_awqos : in STD_LOGIC; + S_AXI_awready : out STD_LOGIC; + S_AXI_awregion : in STD_LOGIC; + S_AXI_awsize : in STD_LOGIC; + S_AXI_awvalid : in STD_LOGIC; + S_AXI_bready : in STD_LOGIC; + S_AXI_bresp : out STD_LOGIC; + S_AXI_bvalid : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC; + S_AXI_rlast : out STD_LOGIC; + S_AXI_rready : in STD_LOGIC; + S_AXI_rresp : out STD_LOGIC; + S_AXI_rvalid : out STD_LOGIC; + S_AXI_wdata : in STD_LOGIC; + S_AXI_wlast : in STD_LOGIC; + S_AXI_wready : out STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC; + S_AXI_wvalid : in STD_LOGIC + ); +end m01_couplers_imp_1HZPTVY; + +architecture STRUCTURE of m01_couplers_imp_1HZPTVY is + signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARBURST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARCACHE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARLEN : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARLOCK : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARPROT : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARQOS : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARREGION : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARSIZE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWBURST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWCACHE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWLEN : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWLOCK : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWPROT : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWQOS : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWREGION : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWSIZE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RLAST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WLAST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC; +begin + M_AXI_araddr <= m01_couplers_to_m01_couplers_ARADDR; + M_AXI_arburst <= m01_couplers_to_m01_couplers_ARBURST; + M_AXI_arcache <= m01_couplers_to_m01_couplers_ARCACHE; + M_AXI_arlen <= m01_couplers_to_m01_couplers_ARLEN; + M_AXI_arlock <= m01_couplers_to_m01_couplers_ARLOCK; + M_AXI_arprot <= m01_couplers_to_m01_couplers_ARPROT; + M_AXI_arqos <= m01_couplers_to_m01_couplers_ARQOS; + M_AXI_arregion <= m01_couplers_to_m01_couplers_ARREGION; + M_AXI_arsize <= m01_couplers_to_m01_couplers_ARSIZE; + M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID; + M_AXI_awaddr <= m01_couplers_to_m01_couplers_AWADDR; + M_AXI_awburst <= m01_couplers_to_m01_couplers_AWBURST; + M_AXI_awcache <= m01_couplers_to_m01_couplers_AWCACHE; + M_AXI_awlen <= m01_couplers_to_m01_couplers_AWLEN; + M_AXI_awlock <= m01_couplers_to_m01_couplers_AWLOCK; + M_AXI_awprot <= m01_couplers_to_m01_couplers_AWPROT; + M_AXI_awqos <= m01_couplers_to_m01_couplers_AWQOS; + M_AXI_awregion <= m01_couplers_to_m01_couplers_AWREGION; + M_AXI_awsize <= m01_couplers_to_m01_couplers_AWSIZE; + M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID; + M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY; + M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY; + M_AXI_wdata <= m01_couplers_to_m01_couplers_WDATA; + M_AXI_wlast <= m01_couplers_to_m01_couplers_WLAST; + M_AXI_wstrb <= m01_couplers_to_m01_couplers_WSTRB; + M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID; + S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY; + S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY; + S_AXI_bresp <= m01_couplers_to_m01_couplers_BRESP; + S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID; + S_AXI_rdata <= m01_couplers_to_m01_couplers_RDATA; + S_AXI_rlast <= m01_couplers_to_m01_couplers_RLAST; + S_AXI_rresp <= m01_couplers_to_m01_couplers_RRESP; + S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID; + S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY; + m01_couplers_to_m01_couplers_ARADDR <= S_AXI_araddr; + m01_couplers_to_m01_couplers_ARBURST <= S_AXI_arburst; + m01_couplers_to_m01_couplers_ARCACHE <= S_AXI_arcache; + m01_couplers_to_m01_couplers_ARLEN <= S_AXI_arlen; + m01_couplers_to_m01_couplers_ARLOCK <= S_AXI_arlock; + m01_couplers_to_m01_couplers_ARPROT <= S_AXI_arprot; + m01_couplers_to_m01_couplers_ARQOS <= S_AXI_arqos; + m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready; + m01_couplers_to_m01_couplers_ARREGION <= S_AXI_arregion; + m01_couplers_to_m01_couplers_ARSIZE <= S_AXI_arsize; + m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid; + m01_couplers_to_m01_couplers_AWADDR <= S_AXI_awaddr; + m01_couplers_to_m01_couplers_AWBURST <= S_AXI_awburst; + m01_couplers_to_m01_couplers_AWCACHE <= S_AXI_awcache; + m01_couplers_to_m01_couplers_AWLEN <= S_AXI_awlen; + m01_couplers_to_m01_couplers_AWLOCK <= S_AXI_awlock; + m01_couplers_to_m01_couplers_AWPROT <= S_AXI_awprot; + m01_couplers_to_m01_couplers_AWQOS <= S_AXI_awqos; + m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready; + m01_couplers_to_m01_couplers_AWREGION <= S_AXI_awregion; + m01_couplers_to_m01_couplers_AWSIZE <= S_AXI_awsize; + m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid; + m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready; + m01_couplers_to_m01_couplers_BRESP <= M_AXI_bresp; + m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid; + m01_couplers_to_m01_couplers_RDATA <= M_AXI_rdata; + m01_couplers_to_m01_couplers_RLAST <= M_AXI_rlast; + m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready; + m01_couplers_to_m01_couplers_RRESP <= M_AXI_rresp; + m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid; + m01_couplers_to_m01_couplers_WDATA <= S_AXI_wdata; + m01_couplers_to_m01_couplers_WLAST <= S_AXI_wlast; + m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready; + m01_couplers_to_m01_couplers_WSTRB <= S_AXI_wstrb; + m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid; +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; entity m01_couplers_imp_B10PA is port ( M_ACLK : in STD_LOGIC; @@ -348,107 +860,107 @@ entity m03_couplers_imp_1TMTHD3 is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - M_AXI_araddr : out STD_LOGIC; - M_AXI_arprot : out STD_LOGIC; + M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; - M_AXI_awaddr : out STD_LOGIC; - M_AXI_awprot : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; - M_AXI_bresp : in STD_LOGIC; + M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; - M_AXI_rdata : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; - M_AXI_rresp : in STD_LOGIC; + M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; - M_AXI_wdata : out STD_LOGIC; + M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; - M_AXI_wstrb : out STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - S_AXI_araddr : in STD_LOGIC; - S_AXI_arprot : in STD_LOGIC; + S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; - S_AXI_awaddr : in STD_LOGIC; - S_AXI_awprot : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; - S_AXI_bresp : out STD_LOGIC; + S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; - S_AXI_rdata : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; - S_AXI_rresp : out STD_LOGIC; + S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; - S_AXI_wdata : in STD_LOGIC; + S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; - S_AXI_wstrb : in STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m03_couplers_imp_1TMTHD3; architecture STRUCTURE of m03_couplers_imp_1TMTHD3 is - signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC; - signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC; + signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC; - signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC; + signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC; + signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC; + signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC; + signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC; + signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC; + signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC; begin - M_AXI_araddr <= m03_couplers_to_m03_couplers_ARADDR; - M_AXI_arprot <= m03_couplers_to_m03_couplers_ARPROT; + M_AXI_araddr(31 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(31 downto 0); + M_AXI_arprot(2 downto 0) <= m03_couplers_to_m03_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID; - M_AXI_awaddr <= m03_couplers_to_m03_couplers_AWADDR; - M_AXI_awprot <= m03_couplers_to_m03_couplers_AWPROT; + M_AXI_awaddr(31 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(31 downto 0); + M_AXI_awprot(2 downto 0) <= m03_couplers_to_m03_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID; M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY; M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY; - M_AXI_wdata <= m03_couplers_to_m03_couplers_WDATA; - M_AXI_wstrb <= m03_couplers_to_m03_couplers_WSTRB; + M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0); + M_AXI_wstrb(3 downto 0) <= m03_couplers_to_m03_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID; S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY; S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY; - S_AXI_bresp <= m03_couplers_to_m03_couplers_BRESP; + S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID; - S_AXI_rdata <= m03_couplers_to_m03_couplers_RDATA; - S_AXI_rresp <= m03_couplers_to_m03_couplers_RRESP; + S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0); + S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID; S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY; - m03_couplers_to_m03_couplers_ARADDR <= S_AXI_araddr; - m03_couplers_to_m03_couplers_ARPROT <= S_AXI_arprot; + m03_couplers_to_m03_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); + m03_couplers_to_m03_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready; m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid; - m03_couplers_to_m03_couplers_AWADDR <= S_AXI_awaddr; - m03_couplers_to_m03_couplers_AWPROT <= S_AXI_awprot; + m03_couplers_to_m03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); + m03_couplers_to_m03_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready; m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid; m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready; - m03_couplers_to_m03_couplers_BRESP <= M_AXI_bresp; + m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid; - m03_couplers_to_m03_couplers_RDATA <= M_AXI_rdata; + m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready; - m03_couplers_to_m03_couplers_RRESP <= M_AXI_rresp; + m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid; - m03_couplers_to_m03_couplers_WDATA <= S_AXI_wdata; + m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready; - m03_couplers_to_m03_couplers_WSTRB <= S_AXI_wstrb; + m03_couplers_to_m03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; @@ -1382,21 +1894,39 @@ entity s00_couplers_imp_JGLB8L is M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_arready : in STD_LOGIC; + M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_awready : in STD_LOGIC; + M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awvalid : out STD_LOGIC; + M_AXI_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_rlast : in STD_LOGIC; M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_wlast : out STD_LOGIC; M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; @@ -1444,7 +1974,7 @@ entity s00_couplers_imp_JGLB8L is end s00_couplers_imp_JGLB8L; architecture STRUCTURE of s00_couplers_imp_JGLB8L is - component system_design_auto_pc_2 is + component system_design_auto_pc_3 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; @@ -1486,45 +2016,83 @@ architecture STRUCTURE of s00_couplers_imp_JGLB8L is s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); - end component system_design_auto_pc_2; + end component system_design_auto_pc_3; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal auto_pc_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC; + signal auto_pc_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal auto_pc_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC; + signal auto_pc_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC; + signal auto_pc_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_RLAST : STD_LOGIC; signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_WLAST : STD_LOGIC; signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC; @@ -1566,16 +2134,33 @@ architecture STRUCTURE of s00_couplers_imp_JGLB8L is signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC; + signal NLW_auto_pc_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_auto_pc_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0); + M_AXI_arburst(1 downto 0) <= auto_pc_to_s00_couplers_ARBURST(1 downto 0); + M_AXI_arcache(3 downto 0) <= auto_pc_to_s00_couplers_ARCACHE(3 downto 0); + M_AXI_arid(11 downto 0) <= auto_pc_to_s00_couplers_ARID(11 downto 0); + M_AXI_arlen(7 downto 0) <= auto_pc_to_s00_couplers_ARLEN(7 downto 0); + M_AXI_arlock(0) <= auto_pc_to_s00_couplers_ARLOCK(0); M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0); + M_AXI_arqos(3 downto 0) <= auto_pc_to_s00_couplers_ARQOS(3 downto 0); + M_AXI_arsize(2 downto 0) <= auto_pc_to_s00_couplers_ARSIZE(2 downto 0); M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0); + M_AXI_awburst(1 downto 0) <= auto_pc_to_s00_couplers_AWBURST(1 downto 0); + M_AXI_awcache(3 downto 0) <= auto_pc_to_s00_couplers_AWCACHE(3 downto 0); + M_AXI_awid(11 downto 0) <= auto_pc_to_s00_couplers_AWID(11 downto 0); + M_AXI_awlen(7 downto 0) <= auto_pc_to_s00_couplers_AWLEN(7 downto 0); + M_AXI_awlock(0) <= auto_pc_to_s00_couplers_AWLOCK(0); M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0); + M_AXI_awqos(3 downto 0) <= auto_pc_to_s00_couplers_AWQOS(3 downto 0); + M_AXI_awsize(2 downto 0) <= auto_pc_to_s00_couplers_AWSIZE(2 downto 0); M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s00_couplers_BREADY; M_AXI_rready <= auto_pc_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0); + M_AXI_wlast <= auto_pc_to_s00_couplers_WLAST; M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID; S_ACLK_1 <= S_ACLK; @@ -1593,9 +2178,12 @@ begin S_AXI_wready <= s00_couplers_to_auto_pc_WREADY; auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready; + auto_pc_to_s00_couplers_BID(11 downto 0) <= M_AXI_bid(11 downto 0); auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); + auto_pc_to_s00_couplers_RID(11 downto 0) <= M_AXI_rid(11 downto 0); + auto_pc_to_s00_couplers_RLAST <= M_AXI_rlast; auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s00_couplers_WREADY <= M_AXI_wready; @@ -1626,26 +2214,46 @@ begin s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; -auto_pc: component system_design_auto_pc_2 +auto_pc: component system_design_auto_pc_3 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1(0), m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0), + m_axi_arburst(1 downto 0) => auto_pc_to_s00_couplers_ARBURST(1 downto 0), + m_axi_arcache(3 downto 0) => auto_pc_to_s00_couplers_ARCACHE(3 downto 0), + m_axi_arid(11 downto 0) => auto_pc_to_s00_couplers_ARID(11 downto 0), + m_axi_arlen(7 downto 0) => auto_pc_to_s00_couplers_ARLEN(7 downto 0), + m_axi_arlock(0) => auto_pc_to_s00_couplers_ARLOCK(0), m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0), + m_axi_arqos(3 downto 0) => auto_pc_to_s00_couplers_ARQOS(3 downto 0), m_axi_arready => auto_pc_to_s00_couplers_ARREADY, + m_axi_arregion(3 downto 0) => NLW_auto_pc_m_axi_arregion_UNCONNECTED(3 downto 0), + m_axi_arsize(2 downto 0) => auto_pc_to_s00_couplers_ARSIZE(2 downto 0), m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0), + m_axi_awburst(1 downto 0) => auto_pc_to_s00_couplers_AWBURST(1 downto 0), + m_axi_awcache(3 downto 0) => auto_pc_to_s00_couplers_AWCACHE(3 downto 0), + m_axi_awid(11 downto 0) => auto_pc_to_s00_couplers_AWID(11 downto 0), + m_axi_awlen(7 downto 0) => auto_pc_to_s00_couplers_AWLEN(7 downto 0), + m_axi_awlock(0) => auto_pc_to_s00_couplers_AWLOCK(0), m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0), + m_axi_awqos(3 downto 0) => auto_pc_to_s00_couplers_AWQOS(3 downto 0), m_axi_awready => auto_pc_to_s00_couplers_AWREADY, + m_axi_awregion(3 downto 0) => NLW_auto_pc_m_axi_awregion_UNCONNECTED(3 downto 0), + m_axi_awsize(2 downto 0) => auto_pc_to_s00_couplers_AWSIZE(2 downto 0), m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID, + m_axi_bid(11 downto 0) => auto_pc_to_s00_couplers_BID(11 downto 0), m_axi_bready => auto_pc_to_s00_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s00_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0), + m_axi_rid(11 downto 0) => auto_pc_to_s00_couplers_RID(11 downto 0), + m_axi_rlast => auto_pc_to_s00_couplers_RLAST, m_axi_rready => auto_pc_to_s00_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s00_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0), + m_axi_wlast => auto_pc_to_s00_couplers_WLAST, m_axi_wready => auto_pc_to_s00_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s00_couplers_WVALID, @@ -1887,6 +2495,43 @@ entity system_design_axi_interconnect_1_0 is M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; + M01_ACLK : in STD_LOGIC; + M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M01_AXI_araddr : out STD_LOGIC; + M01_AXI_arburst : out STD_LOGIC; + M01_AXI_arcache : out STD_LOGIC; + M01_AXI_arlen : out STD_LOGIC; + M01_AXI_arlock : out STD_LOGIC; + M01_AXI_arprot : out STD_LOGIC; + M01_AXI_arqos : out STD_LOGIC; + M01_AXI_arready : in STD_LOGIC; + M01_AXI_arregion : out STD_LOGIC; + M01_AXI_arsize : out STD_LOGIC; + M01_AXI_arvalid : out STD_LOGIC; + M01_AXI_awaddr : out STD_LOGIC; + M01_AXI_awburst : out STD_LOGIC; + M01_AXI_awcache : out STD_LOGIC; + M01_AXI_awlen : out STD_LOGIC; + M01_AXI_awlock : out STD_LOGIC; + M01_AXI_awprot : out STD_LOGIC; + M01_AXI_awqos : out STD_LOGIC; + M01_AXI_awready : in STD_LOGIC; + M01_AXI_awregion : out STD_LOGIC; + M01_AXI_awsize : out STD_LOGIC; + M01_AXI_awvalid : out STD_LOGIC; + M01_AXI_bready : out STD_LOGIC; + M01_AXI_bresp : in STD_LOGIC; + M01_AXI_bvalid : in STD_LOGIC; + M01_AXI_rdata : in STD_LOGIC; + M01_AXI_rlast : in STD_LOGIC; + M01_AXI_rready : out STD_LOGIC; + M01_AXI_rresp : in STD_LOGIC; + M01_AXI_rvalid : in STD_LOGIC; + M01_AXI_wdata : out STD_LOGIC; + M01_AXI_wlast : out STD_LOGIC; + M01_AXI_wready : in STD_LOGIC; + M01_AXI_wstrb : out STD_LOGIC; + M01_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); @@ -1931,6 +2576,92 @@ entity system_design_axi_interconnect_1_0 is end system_design_axi_interconnect_1_0; architecture STRUCTURE of system_design_axi_interconnect_1_0 is + component system_design_xbar_1 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + end component system_design_xbar_1; + signal M00_ACLK_1 : STD_LOGIC; + signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); + signal M01_ACLK_1 : STD_LOGIC; + signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal S00_ACLK_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_1_ACLK_net : STD_LOGIC; @@ -1973,37 +2704,215 @@ architecture STRUCTURE of system_design_axi_interconnect_1_0 is signal axi_interconnect_1_to_s00_couplers_WREADY : STD_LOGIC; signal axi_interconnect_1_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_1_to_s00_couplers_WVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARBURST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARCACHE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARLEN : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARLOCK : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARQOS : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARREGION : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARSIZE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWBURST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWCACHE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWLEN : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWLOCK : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWQOS : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWREGION : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWSIZE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RLAST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WLAST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal s00_couplers_to_xbar_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_ARVALID : STD_LOGIC; + signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal s00_couplers_to_xbar_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_AWVALID : STD_LOGIC; + signal s00_couplers_to_xbar_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_BREADY : STD_LOGIC; + signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_RREADY : STD_LOGIC; + signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_WLAST : STD_LOGIC; + signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_WVALID : STD_LOGIC; + signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARREADY : STD_LOGIC; + signal xbar_to_m00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWREADY : STD_LOGIC; + signal xbar_to_m00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_BVALID : STD_LOGIC; + signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_RLAST : STD_LOGIC; + signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_RVALID : STD_LOGIC; + signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_WREADY : STD_LOGIC; + signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_ARBURST : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal xbar_to_m01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARLEN : STD_LOGIC_VECTOR ( 15 downto 8 ); + signal xbar_to_m01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_ARQOS : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARREADY : STD_LOGIC; + signal xbar_to_m01_couplers_ARREGION : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_AWBURST : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal xbar_to_m01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWLEN : STD_LOGIC_VECTOR ( 15 downto 8 ); + signal xbar_to_m01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_AWQOS : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWREADY : STD_LOGIC; + signal xbar_to_m01_couplers_AWREGION : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_BRESP : STD_LOGIC; + signal xbar_to_m01_couplers_BVALID : STD_LOGIC; + signal xbar_to_m01_couplers_RDATA : STD_LOGIC; + signal xbar_to_m01_couplers_RLAST : STD_LOGIC; + signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_RRESP : STD_LOGIC; + signal xbar_to_m01_couplers_RVALID : STD_LOGIC; + signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_WLAST : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_WREADY : STD_LOGIC; + signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal NLW_xbar_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 12 ); + signal NLW_xbar_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 12 ); begin - M00_AXI_araddr(31 downto 0) <= s00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0); - M00_AXI_arprot(2 downto 0) <= s00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0); - M00_AXI_arvalid <= s00_couplers_to_axi_interconnect_1_ARVALID; - M00_AXI_awaddr(31 downto 0) <= s00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0); - M00_AXI_awprot(2 downto 0) <= s00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0); - M00_AXI_awvalid <= s00_couplers_to_axi_interconnect_1_AWVALID; - M00_AXI_bready <= s00_couplers_to_axi_interconnect_1_BREADY; - M00_AXI_rready <= s00_couplers_to_axi_interconnect_1_RREADY; - M00_AXI_wdata(31 downto 0) <= s00_couplers_to_axi_interconnect_1_WDATA(31 downto 0); - M00_AXI_wstrb(3 downto 0) <= s00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0); - M00_AXI_wvalid <= s00_couplers_to_axi_interconnect_1_WVALID; + M00_ACLK_1 <= M00_ACLK; + M00_ARESETN_1(0) <= M00_ARESETN(0); + M00_AXI_araddr(31 downto 0) <= m00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0); + M00_AXI_arprot(2 downto 0) <= m00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0); + M00_AXI_arvalid <= m00_couplers_to_axi_interconnect_1_ARVALID; + M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0); + M00_AXI_awprot(2 downto 0) <= m00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0); + M00_AXI_awvalid <= m00_couplers_to_axi_interconnect_1_AWVALID; + M00_AXI_bready <= m00_couplers_to_axi_interconnect_1_BREADY; + M00_AXI_rready <= m00_couplers_to_axi_interconnect_1_RREADY; + M00_AXI_wdata(31 downto 0) <= m00_couplers_to_axi_interconnect_1_WDATA(31 downto 0); + M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0); + M00_AXI_wvalid <= m00_couplers_to_axi_interconnect_1_WVALID; + M01_ACLK_1 <= M01_ACLK; + M01_ARESETN_1(0) <= M01_ARESETN(0); + M01_AXI_araddr <= m01_couplers_to_axi_interconnect_1_ARADDR; + M01_AXI_arburst <= m01_couplers_to_axi_interconnect_1_ARBURST; + M01_AXI_arcache <= m01_couplers_to_axi_interconnect_1_ARCACHE; + M01_AXI_arlen <= m01_couplers_to_axi_interconnect_1_ARLEN; + M01_AXI_arlock <= m01_couplers_to_axi_interconnect_1_ARLOCK; + M01_AXI_arprot <= m01_couplers_to_axi_interconnect_1_ARPROT; + M01_AXI_arqos <= m01_couplers_to_axi_interconnect_1_ARQOS; + M01_AXI_arregion <= m01_couplers_to_axi_interconnect_1_ARREGION; + M01_AXI_arsize <= m01_couplers_to_axi_interconnect_1_ARSIZE; + M01_AXI_arvalid <= m01_couplers_to_axi_interconnect_1_ARVALID; + M01_AXI_awaddr <= m01_couplers_to_axi_interconnect_1_AWADDR; + M01_AXI_awburst <= m01_couplers_to_axi_interconnect_1_AWBURST; + M01_AXI_awcache <= m01_couplers_to_axi_interconnect_1_AWCACHE; + M01_AXI_awlen <= m01_couplers_to_axi_interconnect_1_AWLEN; + M01_AXI_awlock <= m01_couplers_to_axi_interconnect_1_AWLOCK; + M01_AXI_awprot <= m01_couplers_to_axi_interconnect_1_AWPROT; + M01_AXI_awqos <= m01_couplers_to_axi_interconnect_1_AWQOS; + M01_AXI_awregion <= m01_couplers_to_axi_interconnect_1_AWREGION; + M01_AXI_awsize <= m01_couplers_to_axi_interconnect_1_AWSIZE; + M01_AXI_awvalid <= m01_couplers_to_axi_interconnect_1_AWVALID; + M01_AXI_bready <= m01_couplers_to_axi_interconnect_1_BREADY; + M01_AXI_rready <= m01_couplers_to_axi_interconnect_1_RREADY; + M01_AXI_wdata <= m01_couplers_to_axi_interconnect_1_WDATA; + M01_AXI_wlast <= m01_couplers_to_axi_interconnect_1_WLAST; + M01_AXI_wstrb <= m01_couplers_to_axi_interconnect_1_WSTRB; + M01_AXI_wvalid <= m01_couplers_to_axi_interconnect_1_WVALID; S00_ACLK_1 <= S00_ACLK; S00_ARESETN_1(0) <= S00_ARESETN(0); S00_AXI_arready <= axi_interconnect_1_to_s00_couplers_ARREADY; @@ -2017,8 +2926,8 @@ begin S00_AXI_rresp(1 downto 0) <= axi_interconnect_1_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= axi_interconnect_1_to_s00_couplers_RVALID; S00_AXI_wready <= axi_interconnect_1_to_s00_couplers_WREADY; - axi_interconnect_1_ACLK_net <= M00_ACLK; - axi_interconnect_1_ARESETN_net(0) <= M00_ARESETN(0); + axi_interconnect_1_ACLK_net <= ACLK; + axi_interconnect_1_ARESETN_net(0) <= ARESETN(0); axi_interconnect_1_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); axi_interconnect_1_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); axi_interconnect_1_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); @@ -2046,37 +2955,206 @@ begin axi_interconnect_1_to_s00_couplers_WLAST <= S00_AXI_wlast; axi_interconnect_1_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); axi_interconnect_1_to_s00_couplers_WVALID <= S00_AXI_wvalid; - s00_couplers_to_axi_interconnect_1_ARREADY <= M00_AXI_arready; - s00_couplers_to_axi_interconnect_1_AWREADY <= M00_AXI_awready; - s00_couplers_to_axi_interconnect_1_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); - s00_couplers_to_axi_interconnect_1_BVALID <= M00_AXI_bvalid; - s00_couplers_to_axi_interconnect_1_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); - s00_couplers_to_axi_interconnect_1_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); - s00_couplers_to_axi_interconnect_1_RVALID <= M00_AXI_rvalid; - s00_couplers_to_axi_interconnect_1_WREADY <= M00_AXI_wready; + m00_couplers_to_axi_interconnect_1_ARREADY <= M00_AXI_arready; + m00_couplers_to_axi_interconnect_1_AWREADY <= M00_AXI_awready; + m00_couplers_to_axi_interconnect_1_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); + m00_couplers_to_axi_interconnect_1_BVALID <= M00_AXI_bvalid; + m00_couplers_to_axi_interconnect_1_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); + m00_couplers_to_axi_interconnect_1_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); + m00_couplers_to_axi_interconnect_1_RVALID <= M00_AXI_rvalid; + m00_couplers_to_axi_interconnect_1_WREADY <= M00_AXI_wready; + m01_couplers_to_axi_interconnect_1_ARREADY <= M01_AXI_arready; + m01_couplers_to_axi_interconnect_1_AWREADY <= M01_AXI_awready; + m01_couplers_to_axi_interconnect_1_BRESP <= M01_AXI_bresp; + m01_couplers_to_axi_interconnect_1_BVALID <= M01_AXI_bvalid; + m01_couplers_to_axi_interconnect_1_RDATA <= M01_AXI_rdata; + m01_couplers_to_axi_interconnect_1_RLAST <= M01_AXI_rlast; + m01_couplers_to_axi_interconnect_1_RRESP <= M01_AXI_rresp; + m01_couplers_to_axi_interconnect_1_RVALID <= M01_AXI_rvalid; + m01_couplers_to_axi_interconnect_1_WREADY <= M01_AXI_wready; +m00_couplers: entity work.m00_couplers_imp_OXX3DM + port map ( + M_ACLK => M00_ACLK_1, + M_ARESETN(0) => M00_ARESETN_1(0), + M_AXI_araddr(31 downto 0) => m00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0), + M_AXI_arprot(2 downto 0) => m00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0), + M_AXI_arready => m00_couplers_to_axi_interconnect_1_ARREADY, + M_AXI_arvalid => m00_couplers_to_axi_interconnect_1_ARVALID, + M_AXI_awaddr(31 downto 0) => m00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0), + M_AXI_awprot(2 downto 0) => m00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0), + M_AXI_awready => m00_couplers_to_axi_interconnect_1_AWREADY, + M_AXI_awvalid => m00_couplers_to_axi_interconnect_1_AWVALID, + M_AXI_bready => m00_couplers_to_axi_interconnect_1_BREADY, + M_AXI_bresp(1 downto 0) => m00_couplers_to_axi_interconnect_1_BRESP(1 downto 0), + M_AXI_bvalid => m00_couplers_to_axi_interconnect_1_BVALID, + M_AXI_rdata(31 downto 0) => m00_couplers_to_axi_interconnect_1_RDATA(31 downto 0), + M_AXI_rready => m00_couplers_to_axi_interconnect_1_RREADY, + M_AXI_rresp(1 downto 0) => m00_couplers_to_axi_interconnect_1_RRESP(1 downto 0), + M_AXI_rvalid => m00_couplers_to_axi_interconnect_1_RVALID, + M_AXI_wdata(31 downto 0) => m00_couplers_to_axi_interconnect_1_WDATA(31 downto 0), + M_AXI_wready => m00_couplers_to_axi_interconnect_1_WREADY, + M_AXI_wstrb(3 downto 0) => m00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0), + M_AXI_wvalid => m00_couplers_to_axi_interconnect_1_WVALID, + S_ACLK => axi_interconnect_1_ACLK_net, + S_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), + S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), + S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0), + S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0), + S_AXI_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0), + S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0), + S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0), + S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), + S_AXI_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0), + S_AXI_arready => xbar_to_m00_couplers_ARREADY, + S_AXI_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0), + S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0), + S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0), + S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), + S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0), + S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0), + S_AXI_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0), + S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0), + S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0), + S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), + S_AXI_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0), + S_AXI_awready => xbar_to_m00_couplers_AWREADY, + S_AXI_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0), + S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0), + S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0), + S_AXI_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0), + S_AXI_bready => xbar_to_m00_couplers_BREADY(0), + S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), + S_AXI_bvalid => xbar_to_m00_couplers_BVALID, + S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), + S_AXI_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0), + S_AXI_rlast => xbar_to_m00_couplers_RLAST, + S_AXI_rready => xbar_to_m00_couplers_RREADY(0), + S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), + S_AXI_rvalid => xbar_to_m00_couplers_RVALID, + S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), + S_AXI_wlast => xbar_to_m00_couplers_WLAST(0), + S_AXI_wready => xbar_to_m00_couplers_WREADY, + S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), + S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0) + ); +m01_couplers: entity work.m01_couplers_imp_1HZPTVY + port map ( + M_ACLK => M01_ACLK_1, + M_ARESETN(0) => M01_ARESETN_1(0), + M_AXI_araddr => m01_couplers_to_axi_interconnect_1_ARADDR, + M_AXI_arburst => m01_couplers_to_axi_interconnect_1_ARBURST, + M_AXI_arcache => m01_couplers_to_axi_interconnect_1_ARCACHE, + M_AXI_arlen => m01_couplers_to_axi_interconnect_1_ARLEN, + M_AXI_arlock => m01_couplers_to_axi_interconnect_1_ARLOCK, + M_AXI_arprot => m01_couplers_to_axi_interconnect_1_ARPROT, + M_AXI_arqos => m01_couplers_to_axi_interconnect_1_ARQOS, + M_AXI_arready => m01_couplers_to_axi_interconnect_1_ARREADY, + M_AXI_arregion => m01_couplers_to_axi_interconnect_1_ARREGION, + M_AXI_arsize => m01_couplers_to_axi_interconnect_1_ARSIZE, + M_AXI_arvalid => m01_couplers_to_axi_interconnect_1_ARVALID, + M_AXI_awaddr => m01_couplers_to_axi_interconnect_1_AWADDR, + M_AXI_awburst => m01_couplers_to_axi_interconnect_1_AWBURST, + M_AXI_awcache => m01_couplers_to_axi_interconnect_1_AWCACHE, + M_AXI_awlen => m01_couplers_to_axi_interconnect_1_AWLEN, + M_AXI_awlock => m01_couplers_to_axi_interconnect_1_AWLOCK, + M_AXI_awprot => m01_couplers_to_axi_interconnect_1_AWPROT, + M_AXI_awqos => m01_couplers_to_axi_interconnect_1_AWQOS, + M_AXI_awready => m01_couplers_to_axi_interconnect_1_AWREADY, + M_AXI_awregion => m01_couplers_to_axi_interconnect_1_AWREGION, + M_AXI_awsize => m01_couplers_to_axi_interconnect_1_AWSIZE, + M_AXI_awvalid => m01_couplers_to_axi_interconnect_1_AWVALID, + M_AXI_bready => m01_couplers_to_axi_interconnect_1_BREADY, + M_AXI_bresp => m01_couplers_to_axi_interconnect_1_BRESP, + M_AXI_bvalid => m01_couplers_to_axi_interconnect_1_BVALID, + M_AXI_rdata => m01_couplers_to_axi_interconnect_1_RDATA, + M_AXI_rlast => m01_couplers_to_axi_interconnect_1_RLAST, + M_AXI_rready => m01_couplers_to_axi_interconnect_1_RREADY, + M_AXI_rresp => m01_couplers_to_axi_interconnect_1_RRESP, + M_AXI_rvalid => m01_couplers_to_axi_interconnect_1_RVALID, + M_AXI_wdata => m01_couplers_to_axi_interconnect_1_WDATA, + M_AXI_wlast => m01_couplers_to_axi_interconnect_1_WLAST, + M_AXI_wready => m01_couplers_to_axi_interconnect_1_WREADY, + M_AXI_wstrb => m01_couplers_to_axi_interconnect_1_WSTRB, + M_AXI_wvalid => m01_couplers_to_axi_interconnect_1_WVALID, + S_ACLK => axi_interconnect_1_ACLK_net, + S_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), + S_AXI_araddr => xbar_to_m01_couplers_ARADDR(32), + S_AXI_arburst => xbar_to_m01_couplers_ARBURST(2), + S_AXI_arcache => xbar_to_m01_couplers_ARCACHE(4), + S_AXI_arlen => xbar_to_m01_couplers_ARLEN(8), + S_AXI_arlock => xbar_to_m01_couplers_ARLOCK(1), + S_AXI_arprot => xbar_to_m01_couplers_ARPROT(3), + S_AXI_arqos => xbar_to_m01_couplers_ARQOS(4), + S_AXI_arready => xbar_to_m01_couplers_ARREADY, + S_AXI_arregion => xbar_to_m01_couplers_ARREGION(4), + S_AXI_arsize => xbar_to_m01_couplers_ARSIZE(3), + S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1), + S_AXI_awaddr => xbar_to_m01_couplers_AWADDR(32), + S_AXI_awburst => xbar_to_m01_couplers_AWBURST(2), + S_AXI_awcache => xbar_to_m01_couplers_AWCACHE(4), + S_AXI_awlen => xbar_to_m01_couplers_AWLEN(8), + S_AXI_awlock => xbar_to_m01_couplers_AWLOCK(1), + S_AXI_awprot => xbar_to_m01_couplers_AWPROT(3), + S_AXI_awqos => xbar_to_m01_couplers_AWQOS(4), + S_AXI_awready => xbar_to_m01_couplers_AWREADY, + S_AXI_awregion => xbar_to_m01_couplers_AWREGION(4), + S_AXI_awsize => xbar_to_m01_couplers_AWSIZE(3), + S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1), + S_AXI_bready => xbar_to_m01_couplers_BREADY(1), + S_AXI_bresp => xbar_to_m01_couplers_BRESP, + S_AXI_bvalid => xbar_to_m01_couplers_BVALID, + S_AXI_rdata => xbar_to_m01_couplers_RDATA, + S_AXI_rlast => xbar_to_m01_couplers_RLAST, + S_AXI_rready => xbar_to_m01_couplers_RREADY(1), + S_AXI_rresp => xbar_to_m01_couplers_RRESP, + S_AXI_rvalid => xbar_to_m01_couplers_RVALID, + S_AXI_wdata => xbar_to_m01_couplers_WDATA(32), + S_AXI_wlast => xbar_to_m01_couplers_WLAST(1), + S_AXI_wready => xbar_to_m01_couplers_WREADY, + S_AXI_wstrb => xbar_to_m01_couplers_WSTRB(4), + S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1) + ); s00_couplers: entity work.s00_couplers_imp_JGLB8L port map ( M_ACLK => axi_interconnect_1_ACLK_net, M_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), - M_AXI_araddr(31 downto 0) => s00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0), - M_AXI_arprot(2 downto 0) => s00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0), - M_AXI_arready => s00_couplers_to_axi_interconnect_1_ARREADY, - M_AXI_arvalid => s00_couplers_to_axi_interconnect_1_ARVALID, - M_AXI_awaddr(31 downto 0) => s00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0), - M_AXI_awprot(2 downto 0) => s00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0), - M_AXI_awready => s00_couplers_to_axi_interconnect_1_AWREADY, - M_AXI_awvalid => s00_couplers_to_axi_interconnect_1_AWVALID, - M_AXI_bready => s00_couplers_to_axi_interconnect_1_BREADY, - M_AXI_bresp(1 downto 0) => s00_couplers_to_axi_interconnect_1_BRESP(1 downto 0), - M_AXI_bvalid => s00_couplers_to_axi_interconnect_1_BVALID, - M_AXI_rdata(31 downto 0) => s00_couplers_to_axi_interconnect_1_RDATA(31 downto 0), - M_AXI_rready => s00_couplers_to_axi_interconnect_1_RREADY, - M_AXI_rresp(1 downto 0) => s00_couplers_to_axi_interconnect_1_RRESP(1 downto 0), - M_AXI_rvalid => s00_couplers_to_axi_interconnect_1_RVALID, - M_AXI_wdata(31 downto 0) => s00_couplers_to_axi_interconnect_1_WDATA(31 downto 0), - M_AXI_wready => s00_couplers_to_axi_interconnect_1_WREADY, - M_AXI_wstrb(3 downto 0) => s00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0), - M_AXI_wvalid => s00_couplers_to_axi_interconnect_1_WVALID, + M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), + M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0), + M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0), + M_AXI_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0), + M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0), + M_AXI_arlock(0) => s00_couplers_to_xbar_ARLOCK(0), + M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), + M_AXI_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0), + M_AXI_arready => s00_couplers_to_xbar_ARREADY(0), + M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0), + M_AXI_arvalid => s00_couplers_to_xbar_ARVALID, + M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), + M_AXI_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0), + M_AXI_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0), + M_AXI_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0), + M_AXI_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0), + M_AXI_awlock(0) => s00_couplers_to_xbar_AWLOCK(0), + M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), + M_AXI_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0), + M_AXI_awready => s00_couplers_to_xbar_AWREADY(0), + M_AXI_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0), + M_AXI_awvalid => s00_couplers_to_xbar_AWVALID, + M_AXI_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0), + M_AXI_bready => s00_couplers_to_xbar_BREADY, + M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), + M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0), + M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), + M_AXI_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0), + M_AXI_rlast => s00_couplers_to_xbar_RLAST(0), + M_AXI_rready => s00_couplers_to_xbar_RREADY, + M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), + M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0), + M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), + M_AXI_wlast => s00_couplers_to_xbar_WLAST, + M_AXI_wready => s00_couplers_to_xbar_WREADY(0), + M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), + M_AXI_wvalid => s00_couplers_to_xbar_WVALID, S_ACLK => S00_ACLK_1, S_ARESETN(0) => S00_ARESETN_1(0), S_AXI_araddr(31 downto 0) => axi_interconnect_1_to_s00_couplers_ARADDR(31 downto 0), @@ -2118,6 +3196,159 @@ s00_couplers: entity work.s00_couplers_imp_JGLB8L S_AXI_wstrb(3 downto 0) => axi_interconnect_1_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => axi_interconnect_1_to_s00_couplers_WVALID ); +xbar: component system_design_xbar_1 + port map ( + aclk => axi_interconnect_1_ACLK_net, + aresetn => axi_interconnect_1_ARESETN_net(0), + m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32), + m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), + m_axi_arburst(3 downto 2) => xbar_to_m01_couplers_ARBURST(3 downto 2), + m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0), + m_axi_arcache(7 downto 4) => xbar_to_m01_couplers_ARCACHE(7 downto 4), + m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0), + m_axi_arid(23 downto 12) => NLW_xbar_m_axi_arid_UNCONNECTED(23 downto 12), + m_axi_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0), + m_axi_arlen(15 downto 8) => xbar_to_m01_couplers_ARLEN(15 downto 8), + m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0), + m_axi_arlock(1) => xbar_to_m01_couplers_ARLOCK(1), + m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0), + m_axi_arprot(5 downto 3) => xbar_to_m01_couplers_ARPROT(5 downto 3), + m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), + m_axi_arqos(7 downto 4) => xbar_to_m01_couplers_ARQOS(7 downto 4), + m_axi_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0), + m_axi_arready(1) => xbar_to_m01_couplers_ARREADY, + m_axi_arready(0) => xbar_to_m00_couplers_ARREADY, + m_axi_arregion(7 downto 4) => xbar_to_m01_couplers_ARREGION(7 downto 4), + m_axi_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0), + m_axi_arsize(5 downto 3) => xbar_to_m01_couplers_ARSIZE(5 downto 3), + m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0), + m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1), + m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), + m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32), + m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), + m_axi_awburst(3 downto 2) => xbar_to_m01_couplers_AWBURST(3 downto 2), + m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0), + m_axi_awcache(7 downto 4) => xbar_to_m01_couplers_AWCACHE(7 downto 4), + m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0), + m_axi_awid(23 downto 12) => NLW_xbar_m_axi_awid_UNCONNECTED(23 downto 12), + m_axi_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0), + m_axi_awlen(15 downto 8) => xbar_to_m01_couplers_AWLEN(15 downto 8), + m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0), + m_axi_awlock(1) => xbar_to_m01_couplers_AWLOCK(1), + m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0), + m_axi_awprot(5 downto 3) => xbar_to_m01_couplers_AWPROT(5 downto 3), + m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), + m_axi_awqos(7 downto 4) => xbar_to_m01_couplers_AWQOS(7 downto 4), + m_axi_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0), + m_axi_awready(1) => xbar_to_m01_couplers_AWREADY, + m_axi_awready(0) => xbar_to_m00_couplers_AWREADY, + m_axi_awregion(7 downto 4) => xbar_to_m01_couplers_AWREGION(7 downto 4), + m_axi_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0), + m_axi_awsize(5 downto 3) => xbar_to_m01_couplers_AWSIZE(5 downto 3), + m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0), + m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1), + m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), + m_axi_bid(23 downto 12) => B"000000000000", + m_axi_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0), + m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1), + m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0), + m_axi_bresp(3) => xbar_to_m01_couplers_BRESP, + m_axi_bresp(2) => xbar_to_m01_couplers_BRESP, + m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), + m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID, + m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID, + m_axi_rdata(63) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(62) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(61) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(60) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(59) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(58) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(57) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(56) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(55) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(54) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(53) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(52) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(51) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(50) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(49) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(48) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(47) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(46) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(45) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(44) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(43) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(42) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(41) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(40) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(39) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(38) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(37) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(36) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(35) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(34) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(33) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(32) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), + m_axi_rid(23 downto 12) => B"000000000000", + m_axi_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0), + m_axi_rlast(1) => xbar_to_m01_couplers_RLAST, + m_axi_rlast(0) => xbar_to_m00_couplers_RLAST, + m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1), + m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0), + m_axi_rresp(3) => xbar_to_m01_couplers_RRESP, + m_axi_rresp(2) => xbar_to_m01_couplers_RRESP, + m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), + m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID, + m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID, + m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32), + m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), + m_axi_wlast(1) => xbar_to_m01_couplers_WLAST(1), + m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0), + m_axi_wready(1) => xbar_to_m01_couplers_WREADY, + m_axi_wready(0) => xbar_to_m00_couplers_WREADY, + m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4), + m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), + m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1), + m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0), + s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), + s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0), + s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0), + s_axi_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0), + s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0), + s_axi_arlock(0) => s00_couplers_to_xbar_ARLOCK(0), + s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), + s_axi_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0), + s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0), + s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0), + s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID, + s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), + s_axi_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0), + s_axi_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0), + s_axi_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0), + s_axi_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0), + s_axi_awlock(0) => s00_couplers_to_xbar_AWLOCK(0), + s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), + s_axi_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0), + s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0), + s_axi_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0), + s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID, + s_axi_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0), + s_axi_bready(0) => s00_couplers_to_xbar_BREADY, + s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), + s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0), + s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), + s_axi_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0), + s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0), + s_axi_rready(0) => s00_couplers_to_xbar_RREADY, + s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), + s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0), + s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), + s_axi_wlast(0) => s00_couplers_to_xbar_WLAST, + s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0), + s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), + s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID + ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -2192,24 +3423,24 @@ entity system_design_processing_system7_0_axi_periph_3 is M02_AXI_wvalid : out STD_LOGIC; M03_ACLK : in STD_LOGIC; M03_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - M03_AXI_araddr : out STD_LOGIC; - M03_AXI_arprot : out STD_LOGIC; + M03_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M03_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_arready : in STD_LOGIC; M03_AXI_arvalid : out STD_LOGIC; - M03_AXI_awaddr : out STD_LOGIC; - M03_AXI_awprot : out STD_LOGIC; + M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M03_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_awready : in STD_LOGIC; M03_AXI_awvalid : out STD_LOGIC; M03_AXI_bready : out STD_LOGIC; - M03_AXI_bresp : in STD_LOGIC; + M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_bvalid : in STD_LOGIC; - M03_AXI_rdata : in STD_LOGIC; + M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_rready : out STD_LOGIC; - M03_AXI_rresp : in STD_LOGIC; + M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_rvalid : in STD_LOGIC; - M03_AXI_wdata : out STD_LOGIC; + M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_wready : in STD_LOGIC; - M03_AXI_wstrb : out STD_LOGIC; + M03_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M03_AXI_wvalid : out STD_LOGIC; M04_ACLK : in STD_LOGIC; M04_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); @@ -2449,24 +3680,24 @@ architecture STRUCTURE of system_design_processing_system7_0_axi_periph_3 is signal m02_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_ARPROT : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_processing_system7_0_axi_periph_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_AWPROT : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_processing_system7_0_axi_periph_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; signal m04_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -2660,11 +3891,11 @@ architecture STRUCTURE of system_design_processing_system7_0_axi_periph_3 is signal xbar_to_m03_couplers_AWREADY : STD_LOGIC; signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 ); - signal xbar_to_m03_couplers_BRESP : STD_LOGIC; + signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_BVALID : STD_LOGIC; - signal xbar_to_m03_couplers_RDATA : STD_LOGIC; + signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 ); - signal xbar_to_m03_couplers_RRESP : STD_LOGIC; + signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_RVALID : STD_LOGIC; signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_WREADY : STD_LOGIC; @@ -2782,16 +4013,16 @@ begin M02_AXI_wvalid <= m02_couplers_to_processing_system7_0_axi_periph_WVALID; M03_ACLK_1 <= M03_ACLK; M03_ARESETN_1(0) <= M03_ARESETN(0); - M03_AXI_araddr <= m03_couplers_to_processing_system7_0_axi_periph_ARADDR; - M03_AXI_arprot <= m03_couplers_to_processing_system7_0_axi_periph_ARPROT; + M03_AXI_araddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0); + M03_AXI_arprot(2 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_ARPROT(2 downto 0); M03_AXI_arvalid <= m03_couplers_to_processing_system7_0_axi_periph_ARVALID; - M03_AXI_awaddr <= m03_couplers_to_processing_system7_0_axi_periph_AWADDR; - M03_AXI_awprot <= m03_couplers_to_processing_system7_0_axi_periph_AWPROT; + M03_AXI_awaddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0); + M03_AXI_awprot(2 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_AWPROT(2 downto 0); M03_AXI_awvalid <= m03_couplers_to_processing_system7_0_axi_periph_AWVALID; M03_AXI_bready <= m03_couplers_to_processing_system7_0_axi_periph_BREADY; M03_AXI_rready <= m03_couplers_to_processing_system7_0_axi_periph_RREADY; - M03_AXI_wdata <= m03_couplers_to_processing_system7_0_axi_periph_WDATA; - M03_AXI_wstrb <= m03_couplers_to_processing_system7_0_axi_periph_WSTRB; + M03_AXI_wdata(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0); + M03_AXI_wstrb(3 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0); M03_AXI_wvalid <= m03_couplers_to_processing_system7_0_axi_periph_WVALID; M04_ACLK_1 <= M04_ACLK; M04_ARESETN_1(0) <= M04_ARESETN(0); @@ -2875,10 +4106,10 @@ begin m02_couplers_to_processing_system7_0_axi_periph_WREADY <= M02_AXI_wready; m03_couplers_to_processing_system7_0_axi_periph_ARREADY <= M03_AXI_arready; m03_couplers_to_processing_system7_0_axi_periph_AWREADY <= M03_AXI_awready; - m03_couplers_to_processing_system7_0_axi_periph_BRESP <= M03_AXI_bresp; + m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0); m03_couplers_to_processing_system7_0_axi_periph_BVALID <= M03_AXI_bvalid; - m03_couplers_to_processing_system7_0_axi_periph_RDATA <= M03_AXI_rdata; - m03_couplers_to_processing_system7_0_axi_periph_RRESP <= M03_AXI_rresp; + m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0); + m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0); m03_couplers_to_processing_system7_0_axi_periph_RVALID <= M03_AXI_rvalid; m03_couplers_to_processing_system7_0_axi_periph_WREADY <= M03_AXI_wready; m04_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M04_AXI_arready(0); @@ -3081,45 +4312,45 @@ m03_couplers: entity work.m03_couplers_imp_1TMTHD3 port map ( M_ACLK => M03_ACLK_1, M_ARESETN(0) => M03_ARESETN_1(0), - M_AXI_araddr => m03_couplers_to_processing_system7_0_axi_periph_ARADDR, - M_AXI_arprot => m03_couplers_to_processing_system7_0_axi_periph_ARPROT, + M_AXI_araddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0), + M_AXI_arprot(2 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_ARPROT(2 downto 0), M_AXI_arready => m03_couplers_to_processing_system7_0_axi_periph_ARREADY, M_AXI_arvalid => m03_couplers_to_processing_system7_0_axi_periph_ARVALID, - M_AXI_awaddr => m03_couplers_to_processing_system7_0_axi_periph_AWADDR, - M_AXI_awprot => m03_couplers_to_processing_system7_0_axi_periph_AWPROT, + M_AXI_awaddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0), + M_AXI_awprot(2 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_AWPROT(2 downto 0), M_AXI_awready => m03_couplers_to_processing_system7_0_axi_periph_AWREADY, M_AXI_awvalid => m03_couplers_to_processing_system7_0_axi_periph_AWVALID, M_AXI_bready => m03_couplers_to_processing_system7_0_axi_periph_BREADY, - M_AXI_bresp => m03_couplers_to_processing_system7_0_axi_periph_BRESP, + M_AXI_bresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0), M_AXI_bvalid => m03_couplers_to_processing_system7_0_axi_periph_BVALID, - M_AXI_rdata => m03_couplers_to_processing_system7_0_axi_periph_RDATA, + M_AXI_rdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0), M_AXI_rready => m03_couplers_to_processing_system7_0_axi_periph_RREADY, - M_AXI_rresp => m03_couplers_to_processing_system7_0_axi_periph_RRESP, + M_AXI_rresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0), M_AXI_rvalid => m03_couplers_to_processing_system7_0_axi_periph_RVALID, - M_AXI_wdata => m03_couplers_to_processing_system7_0_axi_periph_WDATA, + M_AXI_wdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0), M_AXI_wready => m03_couplers_to_processing_system7_0_axi_periph_WREADY, - M_AXI_wstrb => m03_couplers_to_processing_system7_0_axi_periph_WSTRB, + M_AXI_wstrb(3 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0), M_AXI_wvalid => m03_couplers_to_processing_system7_0_axi_periph_WVALID, S_ACLK => processing_system7_0_axi_periph_ACLK_net, S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), - S_AXI_araddr => xbar_to_m03_couplers_ARADDR(96), - S_AXI_arprot => xbar_to_m03_couplers_ARPROT(9), + S_AXI_araddr(31 downto 0) => xbar_to_m03_couplers_ARADDR(127 downto 96), + S_AXI_arprot(2 downto 0) => xbar_to_m03_couplers_ARPROT(11 downto 9), S_AXI_arready => xbar_to_m03_couplers_ARREADY, S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3), - S_AXI_awaddr => xbar_to_m03_couplers_AWADDR(96), - S_AXI_awprot => xbar_to_m03_couplers_AWPROT(9), + S_AXI_awaddr(31 downto 0) => xbar_to_m03_couplers_AWADDR(127 downto 96), + S_AXI_awprot(2 downto 0) => xbar_to_m03_couplers_AWPROT(11 downto 9), S_AXI_awready => xbar_to_m03_couplers_AWREADY, S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3), S_AXI_bready => xbar_to_m03_couplers_BREADY(3), - S_AXI_bresp => xbar_to_m03_couplers_BRESP, + S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m03_couplers_BVALID, - S_AXI_rdata => xbar_to_m03_couplers_RDATA, + S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m03_couplers_RREADY(3), - S_AXI_rresp => xbar_to_m03_couplers_RRESP, + S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m03_couplers_RVALID, - S_AXI_wdata => xbar_to_m03_couplers_WDATA(96), + S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96), S_AXI_wready => xbar_to_m03_couplers_WREADY, - S_AXI_wstrb => xbar_to_m03_couplers_WSTRB(12), + S_AXI_wstrb(3 downto 0) => xbar_to_m03_couplers_WSTRB(15 downto 12), S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3) ); m04_couplers: entity work.m04_couplers_imp_16SD8CP @@ -3422,8 +4653,7 @@ xbar: component system_design_xbar_0 m_axi_bresp(13 downto 12) => xbar_to_m06_couplers_BRESP(1 downto 0), m_axi_bresp(11 downto 10) => xbar_to_m05_couplers_BRESP(1 downto 0), m_axi_bresp(9 downto 8) => xbar_to_m04_couplers_BRESP(1 downto 0), - m_axi_bresp(7) => xbar_to_m03_couplers_BRESP, - m_axi_bresp(6) => xbar_to_m03_couplers_BRESP, + m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0), m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0), m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0), m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), @@ -3439,38 +4669,7 @@ xbar: component system_design_xbar_0 m_axi_rdata(223 downto 192) => xbar_to_m06_couplers_RDATA(31 downto 0), m_axi_rdata(191 downto 160) => xbar_to_m05_couplers_RDATA(31 downto 0), m_axi_rdata(159 downto 128) => xbar_to_m04_couplers_RDATA(31 downto 0), - m_axi_rdata(127) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(126) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(125) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(124) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(123) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(122) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(121) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(120) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(119) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(118) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(117) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(116) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(115) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(114) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(113) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(112) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(111) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(110) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(109) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(108) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(107) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(106) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(105) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(104) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(103) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(102) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(101) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(100) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(99) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(98) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(97) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(96) => xbar_to_m03_couplers_RDATA, + m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0), m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0), m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0), m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), @@ -3486,8 +4685,7 @@ xbar: component system_design_xbar_0 m_axi_rresp(13 downto 12) => xbar_to_m06_couplers_RRESP(1 downto 0), m_axi_rresp(11 downto 10) => xbar_to_m05_couplers_RRESP(1 downto 0), m_axi_rresp(9 downto 8) => xbar_to_m04_couplers_RRESP(1 downto 0), - m_axi_rresp(7) => xbar_to_m03_couplers_RRESP, - m_axi_rresp(6) => xbar_to_m03_couplers_RRESP, + m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0), m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0), m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0), m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), @@ -3640,6 +4838,12 @@ entity system_design is i2c_master_fmcx_sda_i : in STD_LOGIC; i2c_master_fmcx_sda_o : out STD_LOGIC; i2c_master_fmcx_sda_t : out STD_LOGIC; + i2c_master_mdio_scl_i : in STD_LOGIC; + i2c_master_mdio_scl_o : out STD_LOGIC; + i2c_master_mdio_scl_t : out STD_LOGIC; + i2c_master_mdio_sda_i : in STD_LOGIC; + i2c_master_mdio_sda_o : out STD_LOGIC; + i2c_master_mdio_sda_t : out STD_LOGIC; led_col_pl_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); led_line_en_pl_o : out STD_LOGIC; led_line_pl_o : out STD_LOGIC; @@ -3648,10 +4852,10 @@ entity system_design is thermo_id : inout STD_LOGIC; watchdog_pl_o : out STD_LOGIC ); - attribute CORE_GENERATION_INFO : string; - attribute CORE_GENERATION_INFO of system_design : entity is "system_design,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system_design,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=32,numReposBlks=18,numNonXlnxBlks=4,numHierBlks=14,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=14,da_board_cnt=5,da_ps7_cnt=1,synth_mode=OOC_per_IP}"; - attribute HW_HANDOFF : string; - attribute HW_HANDOFF of system_design : entity is "system_design.hwdef"; + attribute core_generation_info : string; + attribute core_generation_info of system_design : entity is "system_design,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system_design,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=37,numReposBlks=21,numNonXlnxBlks=5,numHierBlks=16,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=16,da_board_cnt=5,da_ps7_cnt=1,synth_mode=OOC_per_IP}"; + attribute hw_handoff : string; + attribute hw_handoff of system_design : entity is "system_design.hwdef"; end system_design; architecture STRUCTURE of system_design is @@ -4203,6 +5407,38 @@ architecture STRUCTURE of system_design is s00_axi_rready : in STD_LOGIC ); end component system_design_fasec_hwtest_0_0; + component system_design_axi_wb_i2c_master_1_0 is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + end component system_design_axi_wb_i2c_master_1_0; signal FMC1_CLK0M2C_N_i_1 : STD_LOGIC; signal FMC1_CLK0M2C_P_i_1 : STD_LOGIC; signal FMC1_PRSNTM2C_n_i_1 : STD_LOGIC; @@ -4326,6 +5562,12 @@ architecture STRUCTURE of system_design is signal axi_uartlite_0_interrupt : STD_LOGIC; signal axi_uartlite_0_tx : STD_LOGIC; signal axi_wb_i2c_master_0_axi_int_o : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_I : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_O : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_T : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_I : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_O : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_T : STD_LOGIC; signal axi_wb_i2c_master_2_axi_int_o : STD_LOGIC; signal axi_wb_i2c_master_2_i2c_master_SCL_I : STD_LOGIC; signal axi_wb_i2c_master_2_i2c_master_SCL_O : STD_LOGIC; @@ -4473,6 +5715,25 @@ architecture STRUCTURE of system_design is signal processing_system7_0_axi_periph_M02_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_WVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_ARREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_ARVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_AWREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_AWVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_BREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_BVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_RREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_RVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_WREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_WVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M04_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M04_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M04_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -4579,10 +5840,37 @@ architecture STRUCTURE of system_design is signal xlconstant_6_dout : STD_LOGIC_VECTOR ( 15 downto 0 ); signal xlconstant_7_dout : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_axi_dma_0_s2mm_prmry_reset_out_n_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_araddr_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arburst_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arcache_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arlen_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arlock_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arprot_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arqos_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arregion_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arsize_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arvalid_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awaddr_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awburst_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awcache_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awlen_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awlock_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awprot_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awqos_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awregion_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awsize_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awvalid_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_bready_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_rready_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wdata_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wlast_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wstrb_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_scl_o_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_scl_t_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_sda_o_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_sda_t_UNCONNECTED : STD_LOGIC; + signal NLW_axi_wb_i2c_master_1_axi_int_o_UNCONNECTED : STD_LOGIC; signal NLW_fasec_hwtest_0_FMC1_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_fasec_hwtest_0_FMC2_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_FCLK_CLK1_UNCONNECTED : STD_LOGIC; @@ -4596,17 +5884,6 @@ architecture STRUCTURE of system_design is signal NLW_processing_system7_0_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_processing_system7_0_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_processing_system7_0_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal NLW_processing_system7_0_axi_periph_M03_AXI_araddr_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_arprot_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_arvalid_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awaddr_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awprot_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awvalid_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_bready_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_rready_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wdata_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wstrb_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED : STD_LOGIC; signal NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -4653,6 +5930,8 @@ begin Vaux9_1_V_P <= Vaux9_v_p; Vp_Vn_1_V_N <= Vp_Vn_v_n; Vp_Vn_1_V_P <= Vp_Vn_v_p; + axi_wb_i2c_master_1_i2c_master_SCL_I <= i2c_master_mdio_scl_i; + axi_wb_i2c_master_1_i2c_master_SDA_I <= i2c_master_mdio_sda_i; axi_wb_i2c_master_2_i2c_master_SCL_I <= i2c_master_fmcx_scl_i; axi_wb_i2c_master_2_i2c_master_SDA_I <= i2c_master_fmcx_sda_i; clk_25m_vcxo_i_1 <= clk_25m_vcxo_i; @@ -4676,6 +5955,10 @@ begin i2c_master_fmcx_scl_t <= axi_wb_i2c_master_2_i2c_master_SCL_T; i2c_master_fmcx_sda_o <= axi_wb_i2c_master_2_i2c_master_SDA_O; i2c_master_fmcx_sda_t <= axi_wb_i2c_master_2_i2c_master_SDA_T; + i2c_master_mdio_scl_o <= axi_wb_i2c_master_1_i2c_master_SCL_O; + i2c_master_mdio_scl_t <= axi_wb_i2c_master_1_i2c_master_SCL_T; + i2c_master_mdio_sda_o <= axi_wb_i2c_master_1_i2c_master_SDA_O; + i2c_master_mdio_sda_t <= axi_wb_i2c_master_1_i2c_master_SDA_T; led_col_pl_o(3 downto 0) <= fasec_hwtest_0_led_col_pl_o(3 downto 0); led_line_en_pl_o <= fasec_hwtest_0_led_line_en_pl_o; led_line_pl_o <= fasec_hwtest_0_led_line_pl_o; @@ -4800,6 +6083,43 @@ axi_interconnect_1: entity work.system_design_axi_interconnect_1_0 M00_AXI_wready => axi_interconnect_1_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => axi_interconnect_1_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => axi_interconnect_1_M00_AXI_WVALID, + M01_ACLK => processing_system7_0_FCLK_CLK0, + M01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), + M01_AXI_araddr => NLW_axi_interconnect_1_M01_AXI_araddr_UNCONNECTED, + M01_AXI_arburst => NLW_axi_interconnect_1_M01_AXI_arburst_UNCONNECTED, + M01_AXI_arcache => NLW_axi_interconnect_1_M01_AXI_arcache_UNCONNECTED, + M01_AXI_arlen => NLW_axi_interconnect_1_M01_AXI_arlen_UNCONNECTED, + M01_AXI_arlock => NLW_axi_interconnect_1_M01_AXI_arlock_UNCONNECTED, + M01_AXI_arprot => NLW_axi_interconnect_1_M01_AXI_arprot_UNCONNECTED, + M01_AXI_arqos => NLW_axi_interconnect_1_M01_AXI_arqos_UNCONNECTED, + M01_AXI_arready => '0', + M01_AXI_arregion => NLW_axi_interconnect_1_M01_AXI_arregion_UNCONNECTED, + M01_AXI_arsize => NLW_axi_interconnect_1_M01_AXI_arsize_UNCONNECTED, + M01_AXI_arvalid => NLW_axi_interconnect_1_M01_AXI_arvalid_UNCONNECTED, + M01_AXI_awaddr => NLW_axi_interconnect_1_M01_AXI_awaddr_UNCONNECTED, + M01_AXI_awburst => NLW_axi_interconnect_1_M01_AXI_awburst_UNCONNECTED, + M01_AXI_awcache => NLW_axi_interconnect_1_M01_AXI_awcache_UNCONNECTED, + M01_AXI_awlen => NLW_axi_interconnect_1_M01_AXI_awlen_UNCONNECTED, + M01_AXI_awlock => NLW_axi_interconnect_1_M01_AXI_awlock_UNCONNECTED, + M01_AXI_awprot => NLW_axi_interconnect_1_M01_AXI_awprot_UNCONNECTED, + M01_AXI_awqos => NLW_axi_interconnect_1_M01_AXI_awqos_UNCONNECTED, + M01_AXI_awready => '0', + M01_AXI_awregion => NLW_axi_interconnect_1_M01_AXI_awregion_UNCONNECTED, + M01_AXI_awsize => NLW_axi_interconnect_1_M01_AXI_awsize_UNCONNECTED, + M01_AXI_awvalid => NLW_axi_interconnect_1_M01_AXI_awvalid_UNCONNECTED, + M01_AXI_bready => NLW_axi_interconnect_1_M01_AXI_bready_UNCONNECTED, + M01_AXI_bresp => '0', + M01_AXI_bvalid => '0', + M01_AXI_rdata => '0', + M01_AXI_rlast => '0', + M01_AXI_rready => NLW_axi_interconnect_1_M01_AXI_rready_UNCONNECTED, + M01_AXI_rresp => '0', + M01_AXI_rvalid => '0', + M01_AXI_wdata => NLW_axi_interconnect_1_M01_AXI_wdata_UNCONNECTED, + M01_AXI_wlast => NLW_axi_interconnect_1_M01_AXI_wlast_UNCONNECTED, + M01_AXI_wready => '0', + M01_AXI_wstrb => NLW_axi_interconnect_1_M01_AXI_wstrb_UNCONNECTED, + M01_AXI_wvalid => NLW_axi_interconnect_1_M01_AXI_wvalid_UNCONNECTED, S00_ACLK => wrc_1p_kintex7_0_s00_axi_aclk_o, S00_ARESETN(0) => rst_wrc_1p_kintex7_0_62M_peripheral_aresetn(0), S00_AXI_araddr(31 downto 0) => S00_AXI_1_ARADDR(31 downto 0), @@ -4897,6 +6217,37 @@ axi_wb_i2c_master_0: component system_design_axi_wb_i2c_master_0_1 s00_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0), s00_axi_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID ); +axi_wb_i2c_master_1: component system_design_axi_wb_i2c_master_1_0 + port map ( + axi_int_o => NLW_axi_wb_i2c_master_1_axi_int_o_UNCONNECTED, + i2c_scl_i => axi_wb_i2c_master_1_i2c_master_SCL_I, + i2c_scl_o => axi_wb_i2c_master_1_i2c_master_SCL_O, + i2c_scl_t => axi_wb_i2c_master_1_i2c_master_SCL_T, + i2c_sda_i => axi_wb_i2c_master_1_i2c_master_SDA_I, + i2c_sda_o => axi_wb_i2c_master_1_i2c_master_SDA_O, + i2c_sda_t => axi_wb_i2c_master_1_i2c_master_SDA_T, + s00_axi_aclk => processing_system7_0_FCLK_CLK0, + s00_axi_araddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(31 downto 0), + s00_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0), + s00_axi_arprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARPROT(2 downto 0), + s00_axi_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY, + s00_axi_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID, + s00_axi_awaddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(31 downto 0), + s00_axi_awprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWPROT(2 downto 0), + s00_axi_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY, + s00_axi_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID, + s00_axi_bready => processing_system7_0_axi_periph_M03_AXI_BREADY, + s00_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0), + s00_axi_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID, + s00_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0), + s00_axi_rready => processing_system7_0_axi_periph_M03_AXI_RREADY, + s00_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0), + s00_axi_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID, + s00_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0), + s00_axi_wready => processing_system7_0_axi_periph_M03_AXI_WREADY, + s00_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M03_AXI_WSTRB(3 downto 0), + s00_axi_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID + ); axi_wb_i2c_master_2: component system_design_axi_wb_i2c_master_2_0 port map ( axi_int_o => axi_wb_i2c_master_2_axi_int_o, @@ -5208,25 +6559,25 @@ processing_system7_0_axi_periph: entity work.system_design_processing_system7_0_ M02_AXI_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID, M03_ACLK => processing_system7_0_FCLK_CLK0, M03_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), - M03_AXI_araddr => NLW_processing_system7_0_axi_periph_M03_AXI_araddr_UNCONNECTED, - M03_AXI_arprot => NLW_processing_system7_0_axi_periph_M03_AXI_arprot_UNCONNECTED, - M03_AXI_arready => '0', - M03_AXI_arvalid => NLW_processing_system7_0_axi_periph_M03_AXI_arvalid_UNCONNECTED, - M03_AXI_awaddr => NLW_processing_system7_0_axi_periph_M03_AXI_awaddr_UNCONNECTED, - M03_AXI_awprot => NLW_processing_system7_0_axi_periph_M03_AXI_awprot_UNCONNECTED, - M03_AXI_awready => '0', - M03_AXI_awvalid => NLW_processing_system7_0_axi_periph_M03_AXI_awvalid_UNCONNECTED, - M03_AXI_bready => NLW_processing_system7_0_axi_periph_M03_AXI_bready_UNCONNECTED, - M03_AXI_bresp => '0', - M03_AXI_bvalid => '0', - M03_AXI_rdata => '0', - M03_AXI_rready => NLW_processing_system7_0_axi_periph_M03_AXI_rready_UNCONNECTED, - M03_AXI_rresp => '0', - M03_AXI_rvalid => '0', - M03_AXI_wdata => NLW_processing_system7_0_axi_periph_M03_AXI_wdata_UNCONNECTED, - M03_AXI_wready => '0', - M03_AXI_wstrb => NLW_processing_system7_0_axi_periph_M03_AXI_wstrb_UNCONNECTED, - M03_AXI_wvalid => NLW_processing_system7_0_axi_periph_M03_AXI_wvalid_UNCONNECTED, + M03_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(31 downto 0), + M03_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARPROT(2 downto 0), + M03_AXI_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY, + M03_AXI_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID, + M03_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(31 downto 0), + M03_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWPROT(2 downto 0), + M03_AXI_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY, + M03_AXI_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID, + M03_AXI_bready => processing_system7_0_axi_periph_M03_AXI_BREADY, + M03_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0), + M03_AXI_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID, + M03_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0), + M03_AXI_rready => processing_system7_0_axi_periph_M03_AXI_RREADY, + M03_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0), + M03_AXI_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID, + M03_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0), + M03_AXI_wready => processing_system7_0_axi_periph_M03_AXI_WREADY, + M03_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M03_AXI_WSTRB(3 downto 0), + M03_AXI_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID, M04_ACLK => processing_system7_0_FCLK_CLK0, M04_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), M04_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_ARADDR(31 downto 0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd index a247d67a..51ea8de5 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu Oct 12 09:59:13 2017 +--Date : Mon Dec 18 11:23:03 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.2 --Command : generate_target system_design_wrapper.bd --Design : system_design_wrapper @@ -91,6 +91,8 @@ entity system_design_wrapper is gtp_wr_txp : out STD_LOGIC; i2c_master_fmcx_scl_io : inout STD_LOGIC; i2c_master_fmcx_sda_io : inout STD_LOGIC; + i2c_master_mdio_scl_io : inout STD_LOGIC; + i2c_master_mdio_sda_io : inout STD_LOGIC; led_col_pl_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); led_line_en_pl_o : out STD_LOGIC; led_line_pl_o : out STD_LOGIC; @@ -192,7 +194,13 @@ architecture STRUCTURE of system_design_wrapper is eeprom_sda : inout STD_LOGIC; gtp_dedicated_clk_p_i : in STD_LOGIC; gtp_dedicated_clk_n_i : in STD_LOGIC; - dig_out6_n : out STD_LOGIC_VECTOR ( 0 to 0 ) + dig_out6_n : out STD_LOGIC_VECTOR ( 0 to 0 ); + i2c_master_mdio_scl_i : in STD_LOGIC; + i2c_master_mdio_scl_o : out STD_LOGIC; + i2c_master_mdio_scl_t : out STD_LOGIC; + i2c_master_mdio_sda_o : out STD_LOGIC; + i2c_master_mdio_sda_i : in STD_LOGIC; + i2c_master_mdio_sda_t : out STD_LOGIC ); end component system_design; component IOBUF is @@ -209,6 +217,12 @@ architecture STRUCTURE of system_design_wrapper is signal i2c_master_fmcx_sda_i : STD_LOGIC; signal i2c_master_fmcx_sda_o : STD_LOGIC; signal i2c_master_fmcx_sda_t : STD_LOGIC; + signal i2c_master_mdio_scl_i : STD_LOGIC; + signal i2c_master_mdio_scl_o : STD_LOGIC; + signal i2c_master_mdio_scl_t : STD_LOGIC; + signal i2c_master_mdio_sda_i : STD_LOGIC; + signal i2c_master_mdio_sda_o : STD_LOGIC; + signal i2c_master_mdio_sda_t : STD_LOGIC; begin i2c_master_fmcx_scl_iobuf: component IOBUF port map ( @@ -224,6 +238,20 @@ i2c_master_fmcx_sda_iobuf: component IOBUF O => i2c_master_fmcx_sda_i, T => i2c_master_fmcx_sda_t ); +i2c_master_mdio_scl_iobuf: component IOBUF + port map ( + I => i2c_master_mdio_scl_o, + IO => i2c_master_mdio_scl_io, + O => i2c_master_mdio_scl_i, + T => i2c_master_mdio_scl_t + ); +i2c_master_mdio_sda_iobuf: component IOBUF + port map ( + I => i2c_master_mdio_sda_o, + IO => i2c_master_mdio_sda_io, + O => i2c_master_mdio_sda_i, + T => i2c_master_mdio_sda_t + ); system_design_i: component system_design port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), @@ -308,6 +336,12 @@ system_design_i: component system_design i2c_master_fmcx_sda_i => i2c_master_fmcx_sda_i, i2c_master_fmcx_sda_o => i2c_master_fmcx_sda_o, i2c_master_fmcx_sda_t => i2c_master_fmcx_sda_t, + i2c_master_mdio_scl_i => i2c_master_mdio_scl_i, + i2c_master_mdio_scl_o => i2c_master_mdio_scl_o, + i2c_master_mdio_scl_t => i2c_master_mdio_scl_t, + i2c_master_mdio_sda_i => i2c_master_mdio_sda_i, + i2c_master_mdio_sda_o => i2c_master_mdio_sda_o, + i2c_master_mdio_sda_t => i2c_master_mdio_sda_t, led_col_pl_o(3 downto 0) => led_col_pl_o(3 downto 0), led_line_en_pl_o => led_line_en_pl_o, led_line_pl_o => led_line_pl_o, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh index df15d86b..070b4fd6 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Oct 12 09:59:14 2017" VIVADOVERSION="2016.2"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Mon Dec 18 11:23:27 2017" VIVADOVERSION="2016.2"> <SYSTEMINFO ARCH="zynq" DEVICE="7z030" NAME="system_design" PACKAGE="ffg676" SPEEDGRADE="-2"/> @@ -247,6 +247,12 @@ <CONNECTION INSTANCE="fasec_hwtest_0" PORT="dig_out6_n"/> </CONNECTIONS> </PORT> + <PORT DIR="I" NAME="i2c_master_mdio_scl_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_scl_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_scl_t" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_sda_o" SIGIS="undef"/> + <PORT DIR="I" NAME="i2c_master_mdio_sda_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_sda_t" SIGIS="undef"/> </EXTERNALPORTS> <EXTERNALINTERFACES> @@ -358,6 +364,16 @@ <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_master_fmcx_sda_t"/> </PORTMAPS> </BUSINTERFACE> + <BUSINTERFACE BUSNAME="axi_wb_i2c_master_1_i2c_master" NAME="i2c_master_mdio" TYPE="INITIATOR"> + <PORTMAPS> + <PORTMAP LOGICAL="SCL_I" PHYSICAL="i2c_master_mdio_scl_i"/> + <PORTMAP LOGICAL="SCL_O" PHYSICAL="i2c_master_mdio_scl_o"/> + <PORTMAP LOGICAL="SCL_T" PHYSICAL="i2c_master_mdio_scl_t"/> + <PORTMAP LOGICAL="SDA_O" PHYSICAL="i2c_master_mdio_sda_o"/> + <PORTMAP LOGICAL="SDA_I" PHYSICAL="i2c_master_mdio_sda_i"/> + <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_master_mdio_sda_t"/> + </PORTMAPS> + </BUSINTERFACE> </EXTERNALINTERFACES> <MODULES> @@ -2264,7 +2280,7 @@ </DOCUMENTS> <PARAMETERS> <PARAMETER NAME="NUM_SI" VALUE="1"/> - <PARAMETER NAME="NUM_MI" VALUE="1"/> + <PARAMETER NAME="NUM_MI" VALUE="2"/> <PARAMETER NAME="STRATEGY" VALUE="0"/> <PARAMETER NAME="ENABLE_ADVANCED_OPTIONS" VALUE="0"/> <PARAMETER NAME="ENABLE_PROTOCOL_CHECKERS" VALUE="0"/> @@ -2896,6 +2912,51 @@ <CONNECTION INSTANCE="processing_system7_0" PORT="M_AXI_GP1_RDATA"/> </CONNECTIONS> </PORT> + <PORT DIR="I" NAME="M01_ACLK" SIGIS="clk" SIGNAME="processing_system7_0_FCLK_CLK0"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="FCLK_CLK0"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="0" NAME="M01_ARESETN" RIGHT="0" SIGIS="rst" SIGNAME="rst_processing_system7_0_100M_peripheral_aresetn"> + <CONNECTIONS> + <CONNECTION INSTANCE="rst_processing_system7_0_100M" PORT="peripheral_aresetn"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M01_AXI_awaddr" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awlen" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awsize" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awburst" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awlock" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awcache" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awprot" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awregion" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awqos" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_awready" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wdata" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wstrb" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wlast" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_wready" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_bresp" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_bvalid" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_bready" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_araddr" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arlen" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arsize" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arburst" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arlock" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arcache" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arprot" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arregion" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arqos" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_arready" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rdata" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rresp" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rlast" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rvalid" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_rready" SIGIS="undef"/> </PORTS> <BUSINTERFACES> <BUSINTERFACE BUSNAME="processing_system7_0_M_AXI_GP1" DATAWIDTH="32" NAME="S00_AXI" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> @@ -2963,6 +3024,45 @@ <PORTMAP LOGICAL="RREADY" PHYSICAL="M00_AXI_rready"/> </PORTMAPS> </BUSINTERFACE> + <BUSINTERFACE BUSNAME="__NOC__" NAME="M01_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> + <PORTMAPS> + <PORTMAP LOGICAL="AWADDR" PHYSICAL="M01_AXI_awaddr"/> + <PORTMAP LOGICAL="AWLEN" PHYSICAL="M01_AXI_awlen"/> + <PORTMAP LOGICAL="AWSIZE" PHYSICAL="M01_AXI_awsize"/> + <PORTMAP LOGICAL="AWBURST" PHYSICAL="M01_AXI_awburst"/> + <PORTMAP LOGICAL="AWLOCK" PHYSICAL="M01_AXI_awlock"/> + <PORTMAP LOGICAL="AWCACHE" PHYSICAL="M01_AXI_awcache"/> + <PORTMAP LOGICAL="AWPROT" PHYSICAL="M01_AXI_awprot"/> + <PORTMAP LOGICAL="AWREGION" PHYSICAL="M01_AXI_awregion"/> + <PORTMAP LOGICAL="AWQOS" PHYSICAL="M01_AXI_awqos"/> + <PORTMAP LOGICAL="AWVALID" PHYSICAL="M01_AXI_awvalid"/> + <PORTMAP LOGICAL="AWREADY" PHYSICAL="M01_AXI_awready"/> + <PORTMAP LOGICAL="WDATA" PHYSICAL="M01_AXI_wdata"/> + <PORTMAP LOGICAL="WSTRB" PHYSICAL="M01_AXI_wstrb"/> + <PORTMAP LOGICAL="WLAST" PHYSICAL="M01_AXI_wlast"/> + <PORTMAP LOGICAL="WVALID" PHYSICAL="M01_AXI_wvalid"/> + <PORTMAP LOGICAL="WREADY" PHYSICAL="M01_AXI_wready"/> + <PORTMAP LOGICAL="BRESP" PHYSICAL="M01_AXI_bresp"/> + <PORTMAP LOGICAL="BVALID" PHYSICAL="M01_AXI_bvalid"/> + <PORTMAP LOGICAL="BREADY" PHYSICAL="M01_AXI_bready"/> + <PORTMAP LOGICAL="ARADDR" PHYSICAL="M01_AXI_araddr"/> + <PORTMAP LOGICAL="ARLEN" PHYSICAL="M01_AXI_arlen"/> + <PORTMAP LOGICAL="ARSIZE" PHYSICAL="M01_AXI_arsize"/> + <PORTMAP LOGICAL="ARBURST" PHYSICAL="M01_AXI_arburst"/> + <PORTMAP LOGICAL="ARLOCK" PHYSICAL="M01_AXI_arlock"/> + <PORTMAP LOGICAL="ARCACHE" PHYSICAL="M01_AXI_arcache"/> + <PORTMAP LOGICAL="ARPROT" PHYSICAL="M01_AXI_arprot"/> + <PORTMAP LOGICAL="ARREGION" PHYSICAL="M01_AXI_arregion"/> + <PORTMAP LOGICAL="ARQOS" PHYSICAL="M01_AXI_arqos"/> + <PORTMAP LOGICAL="ARVALID" PHYSICAL="M01_AXI_arvalid"/> + <PORTMAP LOGICAL="ARREADY" PHYSICAL="M01_AXI_arready"/> + <PORTMAP LOGICAL="RDATA" PHYSICAL="M01_AXI_rdata"/> + <PORTMAP LOGICAL="RRESP" PHYSICAL="M01_AXI_rresp"/> + <PORTMAP LOGICAL="RLAST" PHYSICAL="M01_AXI_rlast"/> + <PORTMAP LOGICAL="RVALID" PHYSICAL="M01_AXI_rvalid"/> + <PORTMAP LOGICAL="RREADY" PHYSICAL="M01_AXI_rready"/> + </PORTMAPS> + </BUSINTERFACE> </BUSINTERFACES> </MODULE> <MODULE FULLNAME="/axi_uartlite_0" HWVERSION="2.0" INSTANCE="axi_uartlite_0" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_uartlite" VLNV="xilinx.com:ip:axi_uartlite:2.0"> @@ -3521,6 +3621,192 @@ </BUSINTERFACE> </BUSINTERFACES> </MODULE> + <MODULE FULLNAME="/axi_wb_i2c_master_1" HWVERSION="3.2.0" INSTANCE="axi_wb_i2c_master_1" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_wb_i2c_master" VLNV="cern.ch:ip:axi_wb_i2c_master:3.2.0"> + <DOCUMENTS/> + <PARAMETERS> + <PARAMETER NAME="C_S00_AXI_DATA_WIDTH" VALUE="32"/> + <PARAMETER NAME="C_S00_AXI_ADDR_WIDTH" VALUE="32"/> + <PARAMETER NAME="Component_Name" VALUE="system_design_axi_wb_i2c_master_1_0"/> + <PARAMETER NAME="EDK_IPTYPE" VALUE="PERIPHERAL"/> + <PARAMETER NAME="C_BASEADDR" VALUE="0x43C20000"/> + <PARAMETER NAME="C_HIGHADDR" VALUE="0x43C2FFFF"/> + </PARAMETERS> + <PORTS> + <PORT DIR="I" NAME="i2c_scl_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_scl_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_scl_t" SIGIS="undef"/> + <PORT DIR="I" NAME="i2c_sda_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_sda_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_sda_t" SIGIS="undef"/> + <PORT DIR="O" NAME="axi_int_o" SIGIS="undef"/> + <PORT CLKFREQUENCY="100000000" DIR="I" NAME="s00_axi_aclk" SIGIS="clk" SIGNAME="processing_system7_0_FCLK_CLK0"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="FCLK_CLK0"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_aresetn" SIGIS="rst" SIGNAME="rst_processing_system7_0_100M_peripheral_aresetn"> + <CONNECTIONS> + <CONNECTION INSTANCE="rst_processing_system7_0_100M" PORT="peripheral_aresetn"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awaddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awaddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="s00_axi_awprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_awvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_awready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_wdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="s00_axi_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wstrb"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wstrb"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_wvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_wready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="s00_axi_bresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_bvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_bready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_araddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_araddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_araddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="s00_axi_arprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_arvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_arready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="s00_axi_rdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="s00_axi_rresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_rvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_rready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rready"/> + </CONNECTIONS> + </PORT> + </PORTS> + <BUSINTERFACES> + <BUSINTERFACE BUSNAME="processing_system7_0_axi_periph_M03_AXI" DATAWIDTH="32" NAME="s00_axi" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> + <PARAMETER NAME="DATA_WIDTH" VALUE="32"/> + <PARAMETER NAME="PROTOCOL" VALUE="AXI4LITE"/> + <PARAMETER NAME="FREQ_HZ" VALUE="100000000"/> + <PARAMETER NAME="ID_WIDTH" VALUE="0"/> + <PARAMETER NAME="ADDR_WIDTH" VALUE="32"/> + <PARAMETER NAME="AWUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="ARUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="WUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="RUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="BUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="READ_WRITE_MODE" VALUE="READ_WRITE"/> + <PARAMETER NAME="HAS_BURST" VALUE="0"/> + <PARAMETER NAME="HAS_LOCK" VALUE="0"/> + <PARAMETER NAME="HAS_PROT" VALUE="1"/> + <PARAMETER NAME="HAS_CACHE" VALUE="0"/> + <PARAMETER NAME="HAS_QOS" VALUE="0"/> + <PARAMETER NAME="HAS_REGION" VALUE="0"/> + <PARAMETER NAME="HAS_WSTRB" VALUE="1"/> + <PARAMETER NAME="HAS_BRESP" VALUE="1"/> + <PARAMETER NAME="HAS_RRESP" VALUE="1"/> + <PARAMETER NAME="SUPPORTS_NARROW_BURST" VALUE="0"/> + <PARAMETER NAME="NUM_READ_OUTSTANDING" VALUE="1"/> + <PARAMETER NAME="NUM_WRITE_OUTSTANDING" VALUE="1"/> + <PARAMETER NAME="MAX_BURST_LENGTH" VALUE="1"/> + <PARAMETER NAME="PHASE" VALUE="0.000"/> + <PARAMETER NAME="CLK_DOMAIN" VALUE="system_design_processing_system7_0_0_FCLK_CLK0"/> + <PORTMAPS> + <PORTMAP LOGICAL="AWADDR" PHYSICAL="s00_axi_awaddr"/> + <PORTMAP LOGICAL="AWPROT" PHYSICAL="s00_axi_awprot"/> + <PORTMAP LOGICAL="AWVALID" PHYSICAL="s00_axi_awvalid"/> + <PORTMAP LOGICAL="AWREADY" PHYSICAL="s00_axi_awready"/> + <PORTMAP LOGICAL="WDATA" PHYSICAL="s00_axi_wdata"/> + <PORTMAP LOGICAL="WSTRB" PHYSICAL="s00_axi_wstrb"/> + <PORTMAP LOGICAL="WVALID" PHYSICAL="s00_axi_wvalid"/> + <PORTMAP LOGICAL="WREADY" PHYSICAL="s00_axi_wready"/> + <PORTMAP LOGICAL="BRESP" PHYSICAL="s00_axi_bresp"/> + <PORTMAP LOGICAL="BVALID" PHYSICAL="s00_axi_bvalid"/> + <PORTMAP LOGICAL="BREADY" PHYSICAL="s00_axi_bready"/> + <PORTMAP LOGICAL="ARADDR" PHYSICAL="s00_axi_araddr"/> + <PORTMAP LOGICAL="ARPROT" PHYSICAL="s00_axi_arprot"/> + <PORTMAP LOGICAL="ARVALID" PHYSICAL="s00_axi_arvalid"/> + <PORTMAP LOGICAL="ARREADY" PHYSICAL="s00_axi_arready"/> + <PORTMAP LOGICAL="RDATA" PHYSICAL="s00_axi_rdata"/> + <PORTMAP LOGICAL="RRESP" PHYSICAL="s00_axi_rresp"/> + <PORTMAP LOGICAL="RVALID" PHYSICAL="s00_axi_rvalid"/> + <PORTMAP LOGICAL="RREADY" PHYSICAL="s00_axi_rready"/> + </PORTMAPS> + </BUSINTERFACE> + <BUSINTERFACE BUSNAME="axi_wb_i2c_master_1_i2c_master" NAME="i2c_master" TYPE="INITIATOR" VLNV="xilinx.com:interface:iic:1.0"> + <PORTMAPS> + <PORTMAP LOGICAL="SCL_I" PHYSICAL="i2c_scl_i"/> + <PORTMAP LOGICAL="SCL_O" PHYSICAL="i2c_scl_o"/> + <PORTMAP LOGICAL="SCL_T" PHYSICAL="i2c_scl_t"/> + <PORTMAP LOGICAL="SDA_O" PHYSICAL="i2c_sda_o"/> + <PORTMAP LOGICAL="SDA_I" PHYSICAL="i2c_sda_i"/> + <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_sda_t"/> + </PORTMAPS> + </BUSINTERFACE> + </BUSINTERFACES> + </MODULE> <MODULE FULLNAME="/axi_wb_i2c_master_2" HWVERSION="3.2.0" INSTANCE="axi_wb_i2c_master_2" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_wb_i2c_master" VLNV="cern.ch:ip:axi_wb_i2c_master:3.2.0"> <DOCUMENTS/> <PARAMETERS> @@ -4455,7 +4741,7 @@ <PARAMETER NAME="PCW_EN_ENET1" VALUE="0"/> <PARAMETER NAME="PCW_EN_GPIO" VALUE="1"/> <PARAMETER NAME="PCW_EN_I2C0" VALUE="1"/> - <PARAMETER NAME="PCW_EN_I2C1" VALUE="0"/> + <PARAMETER NAME="PCW_EN_I2C1" VALUE="1"/> <PARAMETER NAME="PCW_EN_PJTAG" VALUE="0"/> <PARAMETER NAME="PCW_EN_SDIO0" VALUE="1"/> <PARAMETER NAME="PCW_EN_SDIO1" VALUE="0"/> @@ -4524,7 +4810,7 @@ <PARAMETER NAME="PCW_IMPORT_BOARD_PRESET" VALUE="None"/> <PARAMETER NAME="PCW_PERIPHERAL_BOARD_PRESET" VALUE="None"/> <PARAMETER NAME="PCW_PRESET_BANK0_VOLTAGE" VALUE="LVCMOS 3.3V"/> - <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_UIPARAM_DDR_ENABLE" VALUE="1"/> <PARAMETER NAME="PCW_UIPARAM_DDR_ADV_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_UIPARAM_DDR_MEMORY_TYPE" VALUE="DDR 3 (Low Voltage)"/> @@ -4680,13 +4966,13 @@ <PARAMETER NAME="PCW_USB1_RESET_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_USB1_RESET_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C0_PERIPHERAL_ENABLE" VALUE="1"/> - <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 30 .. 31"/> + <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 38 .. 39"/> <PARAMETER NAME="PCW_I2C0_GRP_INT_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C0_GRP_INT_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C0_RESET_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C0_RESET_IO" VALUE="<Select>"/> - <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="0"/> - <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="<Select>"/> + <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="1"/> + <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="MIO 28 .. 29"/> <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C1_GRP_INT_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C_RESET_ENABLE" VALUE="1"/> @@ -4795,161 +5081,161 @@ <PARAMETER NAME="PCW_MIO_15_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_15_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_16_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_16_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_16_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_17_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_17_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_17_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_18_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_18_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_18_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_19_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_19_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_19_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_20_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_20_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_20_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_21_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_21_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_21_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_22_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_22_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_22_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_23_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_23_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_23_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_24_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_24_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_24_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_25_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_25_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_25_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_26_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_26_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_26_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_27_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_27_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_27_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_28_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_28_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_28_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_29_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_29_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_29_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_30_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_30_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_30_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_31_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_31_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_31_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_32_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_32_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_32_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_33_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_33_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_33_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_34_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_34_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_34_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_35_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_35_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_35_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_36_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_36_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_36_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_37_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_37_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_37_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_38_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_38_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_38_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_39_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_39_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_39_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_40_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_40_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_40_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_41_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_41_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_41_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_42_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_42_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_42_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_43_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_43_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_43_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_44_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_44_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_44_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_45_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_45_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_45_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_46_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_46_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_46_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_47_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_47_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_47_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_48_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_48_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_48_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_49_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_49_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_49_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_50_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_50_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_50_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_51_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_51_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_51_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_52_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_52_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_52_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_53_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_53_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_53_SLEW" VALUE="slow"/> <PARAMETER NAME="preset" VALUE="None"/> <PARAMETER NAME="PCW_UIPARAM_GENERATE_SUMMARY" VALUE="NONE"/> - <PARAMETER NAME="PCW_MIO_TREE_PERIPHERALS" VALUE="Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0"/> - <PARAMETER NAME="PCW_MIO_TREE_SIGNALS" VALUE="qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio"/> + <PARAMETER NAME="PCW_MIO_TREE_PERIPHERALS" VALUE="Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0"/> + <PARAMETER NAME="PCW_MIO_TREE_SIGNALS" VALUE="qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio"/> <PARAMETER NAME="PCW_PS7_SI_REV" VALUE="PRODUCTION"/> <PARAMETER NAME="PCW_FPGA_FCLK0_ENABLE" VALUE="1"/> <PARAMETER NAME="PCW_FPGA_FCLK1_ENABLE" VALUE="1"/> @@ -5613,6 +5899,8 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_aclk"/> <CONNECTION INSTANCE="axi_wb_i2c_master_0" PORT="s00_axi_aclk"/> <CONNECTION INSTANCE="axi_wb_i2c_master_2" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="ps_clk_i"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aclk"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M06_ACLK"/> @@ -5626,8 +5914,8 @@ <CONNECTION INSTANCE="axi_interconnect_0" PORT="S00_ACLK"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="M00_ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M07_ACLK"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="ps_clk_i"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="axi_interconnect_1" PORT="M01_ACLK"/> </CONNECTIONS> </PORT> <PORT CLKFREQUENCY="10000000" DIR="O" NAME="FCLK_CLK1" SIGIS="clk"/> @@ -5912,6 +6200,7 @@ <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x42C00000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x42C0FFFF" INSTANCE="axi_uartlite_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S_AXI"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C00000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C0FFFF" INSTANCE="axi_wb_i2c_master_2" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C10000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C1FFFF" INSTANCE="axi_wb_i2c_master_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> + <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C20000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C2FFFF" INSTANCE="axi_wb_i2c_master_1" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> <MEMRANGE ADDRESSBLOCK="S00_AXI_reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C30000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C3FFFF" INSTANCE="fasec_hwtest_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S00_AXI"/> <MEMRANGE ADDRESSBLOCK="reg0" BASENAME="C_BASEADDR" BASEVALUE="0x43C40000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C4FFFF" INSTANCE="xadc_axis_fifo_adapter_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S_AXI"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C50000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C5FFFF" INSTANCE="xadc_wiz_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s_axi_lite"/> @@ -5922,6 +6211,7 @@ <PERIPHERAL INSTANCE="axi_uartlite_0"/> <PERIPHERAL INSTANCE="axi_wb_i2c_master_2"/> <PERIPHERAL INSTANCE="axi_wb_i2c_master_0"/> + <PERIPHERAL INSTANCE="axi_wb_i2c_master_1"/> <PERIPHERAL INSTANCE="fasec_hwtest_0"/> <PERIPHERAL INSTANCE="xadc_axis_fifo_adapter_0"/> <PERIPHERAL INSTANCE="xadc_wiz_0"/> @@ -7161,25 +7451,101 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_wvalid"/> </CONNECTIONS> </PORT> - <PORT DIR="O" NAME="M03_AXI_awaddr" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_awprot" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_awvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_awready" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wdata" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wstrb" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_wready" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_bresp" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_bvalid" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_bready" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_araddr" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_arprot" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_arvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_arready" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rdata" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rresp" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rvalid" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_rready" SIGIS="undef"/> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awaddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awaddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="2" NAME="M03_AXI_awprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_awvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_awready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_wdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="3" NAME="M03_AXI_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wstrb"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wstrb"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_wvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_wready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="M03_AXI_bresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_bvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_bready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_araddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_araddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_araddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="2" NAME="M03_AXI_arprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_arvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_arready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="M03_AXI_rdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="M03_AXI_rresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_rvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_rready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rready"/> + </CONNECTIONS> + </PORT> </PORTS> <BUSINTERFACES> <BUSINTERFACE BUSNAME="processing_system7_0_M_AXI_GP0" DATAWIDTH="32" NAME="S00_AXI" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> @@ -7293,7 +7659,7 @@ <PORTMAP LOGICAL="RDATA" PHYSICAL="M02_AXI_rdata"/> </PORTMAPS> </BUSINTERFACE> - <BUSINTERFACE BUSNAME="__NOC__" NAME="M03_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> + <BUSINTERFACE BUSNAME="processing_system7_0_axi_periph_M03_AXI" DATAWIDTH="32" NAME="M03_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> <PORTMAPS> <PORTMAP LOGICAL="AWADDR" PHYSICAL="M03_AXI_awaddr"/> <PORTMAP LOGICAL="AWPROT" PHYSICAL="M03_AXI_awprot"/> @@ -7451,6 +7817,7 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_aresetn"/> <CONNECTION INSTANCE="axi_wb_i2c_master_0" PORT="s00_axi_aresetn"/> <CONNECTION INSTANCE="axi_wb_i2c_master_2" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aresetn"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="S00_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M06_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M05_ARESETN"/> @@ -7463,7 +7830,8 @@ <CONNECTION INSTANCE="axi_interconnect_0" PORT="ARESETN"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="S00_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M07_ARESETN"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="axi_interconnect_1" PORT="M01_ARESETN"/> </CONNECTIONS> </PORT> </PORTS> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl index edd59a9c..2a119c1a 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl @@ -164,6 +164,7 @@ proc create_root_design { parentCell } { set Vp_Vn [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vp_Vn ] set gtp_wr [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:sfp_rtl:1.0 gtp_wr ] set i2c_master_fmcx [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 i2c_master_fmcx ] + set i2c_master_mdio [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 i2c_master_mdio ] # Create ports set FMC1_CLK0C2M_N_o [ create_bd_port -dir O FMC1_CLK0C2M_N_o ] @@ -224,7 +225,7 @@ CONFIG.NUM_MI {1} \ # Create instance: axi_interconnect_1, and set properties set axi_interconnect_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_1 ] set_property -dict [ list \ -CONFIG.NUM_MI {1} \ +CONFIG.NUM_MI {2} \ ] $axi_interconnect_1 # Create instance: axi_uartlite_0, and set properties @@ -242,6 +243,9 @@ CONFIG.C_S_AXI_ACLK_FREQ_HZ.VALUE_SRC {DEFAULT} \ # Create instance: axi_wb_i2c_master_0, and set properties set axi_wb_i2c_master_0 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_0 ] + # Create instance: axi_wb_i2c_master_1, and set properties + set axi_wb_i2c_master_1 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_1 ] + # Create instance: axi_wb_i2c_master_2, and set properties set axi_wb_i2c_master_2 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_2 ] @@ -351,6 +355,7 @@ CONFIG.PCW_EN_CLK3_PORT {0} \ CONFIG.PCW_EN_EMIO_TTC0 {1} \ CONFIG.PCW_EN_ENET0 {1} \ CONFIG.PCW_EN_I2C0 {1} \ +CONFIG.PCW_EN_I2C1 {1} \ CONFIG.PCW_EN_QSPI {1} \ CONFIG.PCW_EN_SDIO0 {1} \ CONFIG.PCW_EN_TTC0 {1} \ @@ -382,14 +387,14 @@ CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \ CONFIG.PCW_I2C0_GRP_INT_ENABLE {0} \ CONFIG.PCW_I2C0_GRP_INT_IO {<Select>} \ -CONFIG.PCW_I2C0_I2C0_IO {MIO 30 .. 31} \ +CONFIG.PCW_I2C0_I2C0_IO {MIO 38 .. 39} \ CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ CONFIG.PCW_I2C0_RESET_ENABLE {0} \ CONFIG.PCW_I2C0_RESET_IO {<Select>} \ CONFIG.PCW_I2C1_GRP_INT_ENABLE {0} \ CONFIG.PCW_I2C1_GRP_INT_IO {<Select>} \ -CONFIG.PCW_I2C1_I2C1_IO {<Select>} \ -CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {0} \ +CONFIG.PCW_I2C1_I2C1_IO {MIO 28 .. 29} \ +CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {1} \ CONFIG.PCW_I2C1_RESET_ENABLE {0} \ CONFIG.PCW_I2C1_RESET_IO {<Select>} \ CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {111.111115} \ @@ -428,19 +433,19 @@ CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_15_PULLUP {enabled} \ CONFIG.PCW_MIO_15_SLEW {slow} \ CONFIG.PCW_MIO_16_DIRECTION {out} \ -CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_16_PULLUP {disabled} \ CONFIG.PCW_MIO_16_SLEW {fast} \ CONFIG.PCW_MIO_17_DIRECTION {out} \ -CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_17_PULLUP {disabled} \ CONFIG.PCW_MIO_17_SLEW {fast} \ CONFIG.PCW_MIO_18_DIRECTION {out} \ -CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_18_PULLUP {disabled} \ CONFIG.PCW_MIO_18_SLEW {fast} \ CONFIG.PCW_MIO_19_DIRECTION {out} \ -CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_19_PULLUP {disabled} \ CONFIG.PCW_MIO_19_SLEW {fast} \ CONFIG.PCW_MIO_1_DIRECTION {out} \ @@ -448,43 +453,43 @@ CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_1_PULLUP {disabled} \ CONFIG.PCW_MIO_1_SLEW {slow} \ CONFIG.PCW_MIO_20_DIRECTION {out} \ -CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_20_PULLUP {disabled} \ CONFIG.PCW_MIO_20_SLEW {fast} \ CONFIG.PCW_MIO_21_DIRECTION {out} \ -CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_21_PULLUP {disabled} \ CONFIG.PCW_MIO_21_SLEW {fast} \ CONFIG.PCW_MIO_22_DIRECTION {in} \ -CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_22_PULLUP {disabled} \ CONFIG.PCW_MIO_22_SLEW {fast} \ CONFIG.PCW_MIO_23_DIRECTION {in} \ -CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_23_PULLUP {disabled} \ CONFIG.PCW_MIO_23_SLEW {fast} \ CONFIG.PCW_MIO_24_DIRECTION {in} \ -CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_24_PULLUP {disabled} \ CONFIG.PCW_MIO_24_SLEW {fast} \ CONFIG.PCW_MIO_25_DIRECTION {in} \ -CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_25_PULLUP {disabled} \ CONFIG.PCW_MIO_25_SLEW {fast} \ CONFIG.PCW_MIO_26_DIRECTION {in} \ -CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_26_PULLUP {disabled} \ CONFIG.PCW_MIO_26_SLEW {fast} \ CONFIG.PCW_MIO_27_DIRECTION {in} \ -CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_27_PULLUP {disabled} \ CONFIG.PCW_MIO_27_SLEW {fast} \ CONFIG.PCW_MIO_28_DIRECTION {inout} \ -CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_28_PULLUP {enabled} \ CONFIG.PCW_MIO_28_SLEW {slow} \ CONFIG.PCW_MIO_29_DIRECTION {inout} \ -CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_29_PULLUP {enabled} \ CONFIG.PCW_MIO_29_SLEW {slow} \ CONFIG.PCW_MIO_2_DIRECTION {inout} \ @@ -492,43 +497,43 @@ CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_2_PULLUP {disabled} \ CONFIG.PCW_MIO_2_SLEW {slow} \ CONFIG.PCW_MIO_30_DIRECTION {inout} \ -CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_30_PULLUP {enabled} \ CONFIG.PCW_MIO_30_SLEW {fast} \ CONFIG.PCW_MIO_31_DIRECTION {inout} \ -CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_31_PULLUP {enabled} \ CONFIG.PCW_MIO_31_SLEW {fast} \ CONFIG.PCW_MIO_32_DIRECTION {inout} \ -CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_32_PULLUP {enabled} \ CONFIG.PCW_MIO_32_SLEW {slow} \ CONFIG.PCW_MIO_33_DIRECTION {inout} \ -CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_33_PULLUP {enabled} \ CONFIG.PCW_MIO_33_SLEW {slow} \ CONFIG.PCW_MIO_34_DIRECTION {inout} \ -CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_34_PULLUP {enabled} \ CONFIG.PCW_MIO_34_SLEW {slow} \ CONFIG.PCW_MIO_35_DIRECTION {inout} \ -CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_35_PULLUP {enabled} \ CONFIG.PCW_MIO_35_SLEW {slow} \ CONFIG.PCW_MIO_36_DIRECTION {inout} \ -CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_36_PULLUP {enabled} \ CONFIG.PCW_MIO_36_SLEW {slow} \ CONFIG.PCW_MIO_37_DIRECTION {inout} \ -CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_37_PULLUP {enabled} \ CONFIG.PCW_MIO_37_SLEW {slow} \ CONFIG.PCW_MIO_38_DIRECTION {inout} \ -CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_38_PULLUP {enabled} \ CONFIG.PCW_MIO_38_SLEW {slow} \ CONFIG.PCW_MIO_39_DIRECTION {inout} \ -CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_39_PULLUP {enabled} \ CONFIG.PCW_MIO_39_SLEW {slow} \ CONFIG.PCW_MIO_3_DIRECTION {inout} \ @@ -536,43 +541,43 @@ CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_3_PULLUP {disabled} \ CONFIG.PCW_MIO_3_SLEW {slow} \ CONFIG.PCW_MIO_40_DIRECTION {inout} \ -CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_40_PULLUP {disabled} \ CONFIG.PCW_MIO_40_SLEW {slow} \ CONFIG.PCW_MIO_41_DIRECTION {inout} \ -CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_41_PULLUP {disabled} \ CONFIG.PCW_MIO_41_SLEW {slow} \ CONFIG.PCW_MIO_42_DIRECTION {inout} \ -CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_42_PULLUP {disabled} \ CONFIG.PCW_MIO_42_SLEW {slow} \ CONFIG.PCW_MIO_43_DIRECTION {inout} \ -CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_43_PULLUP {disabled} \ CONFIG.PCW_MIO_43_SLEW {slow} \ CONFIG.PCW_MIO_44_DIRECTION {inout} \ -CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_44_PULLUP {disabled} \ CONFIG.PCW_MIO_44_SLEW {slow} \ CONFIG.PCW_MIO_45_DIRECTION {inout} \ -CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_45_PULLUP {disabled} \ CONFIG.PCW_MIO_45_SLEW {slow} \ CONFIG.PCW_MIO_46_DIRECTION {in} \ -CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_46_PULLUP {enabled} \ CONFIG.PCW_MIO_46_SLEW {slow} \ CONFIG.PCW_MIO_47_DIRECTION {in} \ -CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_47_PULLUP {enabled} \ CONFIG.PCW_MIO_47_SLEW {slow} \ CONFIG.PCW_MIO_48_DIRECTION {out} \ -CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_48_PULLUP {disabled} \ CONFIG.PCW_MIO_48_SLEW {slow} \ CONFIG.PCW_MIO_49_DIRECTION {in} \ -CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_49_PULLUP {disabled} \ CONFIG.PCW_MIO_49_SLEW {slow} \ CONFIG.PCW_MIO_4_DIRECTION {inout} \ @@ -580,19 +585,19 @@ CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_4_PULLUP {disabled} \ CONFIG.PCW_MIO_4_SLEW {slow} \ CONFIG.PCW_MIO_50_DIRECTION {inout} \ -CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_50_PULLUP {enabled} \ CONFIG.PCW_MIO_50_SLEW {slow} \ CONFIG.PCW_MIO_51_DIRECTION {inout} \ -CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_51_PULLUP {enabled} \ CONFIG.PCW_MIO_51_SLEW {slow} \ CONFIG.PCW_MIO_52_DIRECTION {out} \ -CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_52_PULLUP {disabled} \ CONFIG.PCW_MIO_52_SLEW {slow} \ CONFIG.PCW_MIO_53_DIRECTION {inout} \ -CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_53_PULLUP {enabled} \ CONFIG.PCW_MIO_53_SLEW {slow} \ CONFIG.PCW_MIO_5_DIRECTION {inout} \ @@ -615,8 +620,8 @@ CONFIG.PCW_MIO_9_DIRECTION {out} \ CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_9_PULLUP {disabled} \ CONFIG.PCW_MIO_9_SLEW {slow} \ -CONFIG.PCW_MIO_TREE_PERIPHERALS {Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0} \ -CONFIG.PCW_MIO_TREE_SIGNALS {qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio} \ +CONFIG.PCW_MIO_TREE_PERIPHERALS {Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0} \ +CONFIG.PCW_MIO_TREE_SIGNALS {qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio} \ CONFIG.PCW_NAND_CYCLES_T_AR {1} \ CONFIG.PCW_NAND_CYCLES_T_CLR {1} \ CONFIG.PCW_NAND_CYCLES_T_RC {11} \ @@ -685,7 +690,7 @@ CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \ CONFIG.PCW_PJTAG_PJTAG_IO {<Select>} \ CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \ CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \ -CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 3.3V} \ +CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \ CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \ CONFIG.PCW_QSPI_GRP_IO1_ENABLE {1} \ @@ -987,6 +992,7 @@ CONFIG.PCW_EN_4K_TIMER.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_EMIO_TTC0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_ENET0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_I2C0.VALUE_SRC {DEFAULT} \ +CONFIG.PCW_EN_I2C1.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_QSPI.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_SDIO0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_TTC0.VALUE_SRC {DEFAULT} \ @@ -1545,6 +1551,7 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_intf_net -intf_net axi_dma_0_M_AXI_S2MM [get_bd_intf_pins axi_dma_0/M_AXI_S2MM] [get_bd_intf_pins axi_interconnect_0/S00_AXI] connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_GP0] connect_bd_intf_net -intf_net axi_interconnect_1_M00_AXI [get_bd_intf_pins axi_interconnect_1/M00_AXI] [get_bd_intf_pins wrc_1p_kintex7_0/s00_axi] + connect_bd_intf_net -intf_net axi_wb_i2c_master_1_i2c_master [get_bd_intf_ports i2c_master_mdio] [get_bd_intf_pins axi_wb_i2c_master_1/i2c_master] connect_bd_intf_net -intf_net axi_wb_i2c_master_2_i2c_master [get_bd_intf_ports i2c_master_fmcx] [get_bd_intf_pins axi_wb_i2c_master_2/i2c_master] connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO] @@ -1552,6 +1559,7 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M00_AXI [get_bd_intf_pins axi_wb_i2c_master_2/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M00_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M01_AXI [get_bd_intf_pins axi_wb_i2c_master_0/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M01_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M02_AXI [get_bd_intf_pins fasec_hwtest_0/S00_AXI] [get_bd_intf_pins processing_system7_0_axi_periph/M02_AXI] + connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M03_AXI [get_bd_intf_pins axi_wb_i2c_master_1/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M03_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M04_AXI [get_bd_intf_pins processing_system7_0_axi_periph/M04_AXI] [get_bd_intf_pins xadc_wiz_0/s_axi_lite] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M05_AXI [get_bd_intf_pins processing_system7_0_axi_periph/M05_AXI] [get_bd_intf_pins xadc_axis_fifo_adapter_0/S_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M06_AXI [get_bd_intf_pins axi_dma_0/S_AXI_LITE] [get_bd_intf_pins processing_system7_0_axi_periph/M06_AXI] @@ -1602,10 +1610,10 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_net -net gtp_dedicated_clk_p_i_1 [get_bd_ports gtp_dedicated_clk_p_i] [get_bd_pins wrc_1p_kintex7_0/gtp_dedicated_clk_p_i] connect_bd_net -net osc100_clk_i_1 [get_bd_ports osc100_clk_i] [get_bd_pins fasec_hwtest_0/osc100_clk_i] connect_bd_net -net pb_gp_i_1 [get_bd_ports pb_gp_i] [get_bd_pins fasec_hwtest_0/pb_gp_n_i] [get_bd_pins wrc_1p_kintex7_0/button_rst_n_i] - connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_dma_0/m_axi_s2mm_aclk] [get_bd_pins axi_dma_0/s_axi_lite_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_uartlite_0/s_axi_aclk] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aclk] [get_bd_pins fasec_hwtest_0/ps_clk_i] [get_bd_pins fasec_hwtest_0/s00_axi_aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_GP0_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/M01_ACLK] [get_bd_pins processing_system7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0_axi_periph/M03_ACLK] [get_bd_pins processing_system7_0_axi_periph/M04_ACLK] [get_bd_pins processing_system7_0_axi_periph/M05_ACLK] [get_bd_pins processing_system7_0_axi_periph/M06_ACLK] [get_bd_pins processing_system7_0_axi_periph/M07_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] [get_bd_pins rst_processing_system7_0_100M/slowest_sync_clk] [get_bd_pins xadc_axis_fifo_adapter_0/M_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ACLK] [get_bd_pins xadc_wiz_0/s_axi_aclk] [get_bd_pins xadc_wiz_0/s_axis_aclk] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_dma_0/m_axi_s2mm_aclk] [get_bd_pins axi_dma_0/s_axi_lite_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_interconnect_1/M01_ACLK] [get_bd_pins axi_uartlite_0/s_axi_aclk] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_1/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aclk] [get_bd_pins fasec_hwtest_0/ps_clk_i] [get_bd_pins fasec_hwtest_0/s00_axi_aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_GP0_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/M01_ACLK] [get_bd_pins processing_system7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0_axi_periph/M03_ACLK] [get_bd_pins processing_system7_0_axi_periph/M04_ACLK] [get_bd_pins processing_system7_0_axi_periph/M05_ACLK] [get_bd_pins processing_system7_0_axi_periph/M06_ACLK] [get_bd_pins processing_system7_0_axi_periph/M07_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] [get_bd_pins rst_processing_system7_0_100M/slowest_sync_clk] [get_bd_pins xadc_axis_fifo_adapter_0/M_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ACLK] [get_bd_pins xadc_wiz_0/s_axi_aclk] [get_bd_pins xadc_wiz_0/s_axis_aclk] connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins processing_system7_0/FCLK_RESET0_N] [get_bd_pins rst_processing_system7_0_100M/ext_reset_in] [get_bd_pins rst_wrc_1p_kintex7_0_62M/ext_reset_in] connect_bd_net -net rst_processing_system7_0_100M_interconnect_aresetn [get_bd_pins processing_system7_0_axi_periph/ARESETN] [get_bd_pins rst_processing_system7_0_100M/interconnect_aresetn] - connect_bd_net -net rst_processing_system7_0_100M_peripheral_aresetn [get_bd_pins axi_dma_0/axi_resetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_uartlite_0/s_axi_aresetn] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aresetn] [get_bd_pins fasec_hwtest_0/s00_axi_aresetn] [get_bd_pins processing_system7_0_axi_periph/M00_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M01_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M02_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M03_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M04_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M05_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M06_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M07_ARESETN] [get_bd_pins processing_system7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_processing_system7_0_100M/peripheral_aresetn] [get_bd_pins xadc_axis_fifo_adapter_0/AXIS_RESET_N] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ARESETN] [get_bd_pins xadc_wiz_0/s_axi_aresetn] + connect_bd_net -net rst_processing_system7_0_100M_peripheral_aresetn [get_bd_pins axi_dma_0/axi_resetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_interconnect_1/M01_ARESETN] [get_bd_pins axi_uartlite_0/s_axi_aresetn] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_1/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aresetn] [get_bd_pins fasec_hwtest_0/s00_axi_aresetn] [get_bd_pins processing_system7_0_axi_periph/M00_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M01_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M02_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M03_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M04_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M05_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M06_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M07_ARESETN] [get_bd_pins processing_system7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_processing_system7_0_100M/peripheral_aresetn] [get_bd_pins xadc_axis_fifo_adapter_0/AXIS_RESET_N] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ARESETN] [get_bd_pins xadc_wiz_0/s_axi_aresetn] connect_bd_net -net rst_wrc_1p_kintex7_0_62M_interconnect_aresetn [get_bd_pins axi_interconnect_1/ARESETN] [get_bd_pins rst_wrc_1p_kintex7_0_62M/interconnect_aresetn] connect_bd_net -net rst_wrc_1p_kintex7_0_62M_peripheral_aresetn [get_bd_pins axi_interconnect_1/M00_ARESETN] [get_bd_pins axi_interconnect_1/S00_ARESETN] [get_bd_pins rst_wrc_1p_kintex7_0_62M/peripheral_aresetn] [get_bd_pins wrc_1p_kintex7_0/s00_axi_aresetn] connect_bd_net -net wrc_1p_kintex7_0_clk_ref_o [get_bd_pins fasec_hwtest_0/FMC1_GP0_i] [get_bd_pins wrc_1p_kintex7_0/clk_ref_o] @@ -1632,6 +1640,7 @@ CONFIG.CONST_WIDTH {1} \ create_bd_addr_seg -range 0x00010000 -offset 0x40400000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_dma_0/S_AXI_LITE/Reg] SEG_axi_dma_0_Reg create_bd_addr_seg -range 0x00010000 -offset 0x42C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_uartlite_0/S_AXI/Reg] SEG_axi_uartlite_0_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_0/s00_axi/Reg] SEG_axi_wb_i2c_master_0_Reg + create_bd_addr_seg -range 0x00010000 -offset 0x43C20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_1/s00_axi/Reg] SEG_axi_wb_i2c_master_1_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_2/s00_axi/Reg] SEG_axi_wb_i2c_master_2_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs fasec_hwtest_0/S00_AXI/S00_AXI_reg] SEG_fasec_hwtest_0_S00_AXI_reg create_bd_addr_seg -range 0x00010000 -offset 0x80000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs wrc_1p_kintex7_0/s00_axi/Reg] SEG_wrc_1p_kintex7_0_Reg @@ -1678,6 +1687,7 @@ preplace port watchdog_pl_o -pg 1 -y 1120 -defaultsOSRD preplace port gtp_dedicated_clk_p_i -pg 1 -y 1630 -defaultsOSRD preplace port FMC1_CLK0C2M_N_o -pg 1 -y 1020 -defaultsOSRD preplace port pb_gp_i -pg 1 -y 1670 -defaultsOSRD +preplace port i2c_master_mdio -pg 1 -y 300 -defaultsOSRD preplace port dig_out5_n -pg 1 -y 1160 -defaultsOSRD preplace port Vaux8 -pg 1 -y 840 -defaultsOSRD preplace port dac_sclk_o -pg 1 -y 1650 -defaultsOSRD @@ -1699,6 +1709,7 @@ preplace inst wrc_1p_kintex7_0 -pg 1 -lvl 9 -y 1660 -defaultsOSRD preplace inst xadc_wiz_0 -pg 1 -lvl 3 -y 840 -defaultsOSRD preplace inst xlconcat_0 -pg 1 -lvl 6 -y 580 -defaultsOSRD preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 390 -defaultsOSRD +preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 9 -y 310 -defaultsOSRD preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 9 -y 520 -defaultsOSRD preplace inst xlconstant_6 -pg 1 -lvl 8 -y 1250 -defaultsOSRD preplace inst xlconstant_7 -pg 1 -lvl 8 -y 1710 -defaultsOSRD @@ -1708,7 +1719,7 @@ preplace inst axi_interconnect_1 -pg 1 -lvl 8 -y 870 -defaultsOSRD preplace inst rst_wrc_1p_kintex7_0_62M -pg 1 -lvl 7 -y 850 -defaultsOSRD preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 280 -defaultsOSRD preplace inst processing_system7_0 -pg 1 -lvl 7 -y 520 -defaultsOSRD -preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 720 NJ 720 NJ 710 NJ +preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 710 NJ 710 NJ 710 NJ preplace netloc fasec_hwtest_0_led_col_pl_o 1 9 1 NJ preplace netloc dig_in4_n_i_1 1 0 9 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ preplace netloc processing_system7_0_FIXED_IO 1 7 3 NJ 450 NJ 450 NJ @@ -1716,87 +1727,89 @@ preplace netloc fasec_hwtest_0_dig_outs_i 1 9 1 NJ preplace netloc gtp_dedicated_clk_n_i_1 1 0 9 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ preplace netloc wrc_1p_kintex7_0_dac_din_o 1 9 1 NJ preplace netloc gtp_dedicated_clk_p_i_1 1 0 9 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ -preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2980 1320 3330 -preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2960 1340 3350 -preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 710 NJ 710 NJ 620 NJ 620 3390 +preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2970 1320 3410 +preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2950 1340 3430 +preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 700 NJ 700 NJ 610 NJ 610 3470 preplace netloc dig_in3_n_i_1 1 0 9 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ -preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 730 NJ 730 NJ 730 NJ +preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 690 NJ 680 NJ 680 NJ preplace netloc dig_in1_i_1 1 0 9 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ -preplace netloc xlconcat_0_dout 1 6 1 2040 +preplace netloc xlconcat_0_dout 1 6 1 1990 preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_P_o 1 9 1 NJ -preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2930 +preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2900 preplace netloc wrc_1p_kintex7_0_dac_sclk_o 1 9 1 NJ preplace netloc fasec_hwtest_0_led_line_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 720 320 NJ 260 NJ -preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1730 750 NJ 750 NJ 590 NJ 590 3400 +preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 680 250 NJ 250 NJ +preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1670 750 NJ 750 NJ 590 NJ 590 3480 preplace netloc processing_system7_0_DDR 1 7 3 NJ 430 NJ 430 NJ preplace netloc FMC1_CLK0M2C_N_i_1 1 0 9 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ preplace netloc wrc_1p_kintex7_0_dac_cs2_n_o 1 9 1 NJ preplace netloc axi_wb_i2c_master_2_i2c_master 1 9 1 NJ -preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2870 -preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 700 NJ 700 NJ 700 NJ +preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2860 +preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 950 NJ 950 NJ 730 NJ preplace netloc processing_system7_0_axi_periph_M05_AXI 1 2 2 N 310 NJ preplace netloc fasec_hwtest_0_dig_out6_n 1 9 1 NJ -preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 30 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2070 760 2460 +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 0 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2040 760 2430 preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 9 NJ 540 NJ 540 NJ 500 NJ 500 NJ 500 NJ 740 NJ 670 NJ 670 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2490 -preplace netloc xadc_wiz_0_M_AXIS 1 3 1 1030 -preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 690 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ -preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1280 -preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 680 -preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1740 760 NJ 680 NJ 680 NJ 680 3330 -preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2970 760 3370 +preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2520 +preplace netloc xadc_wiz_0_M_AXIS 1 3 1 990 +preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 7 NJ 260 NJ 260 NJ 410 NJ 410 NJ 290 NJ 290 N +preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 660 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ +preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1240 +preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 650 +preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1680 760 NJ 740 NJ 620 NJ 620 3410 +preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2960 760 3460 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_N_o 1 9 1 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2560 750 NJ -preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2890 -preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1670 -preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2980 770 3360 +preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2530 700 NJ +preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2860 +preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1650 +preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2970 770 3450 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_P_o 1 9 1 NJ preplace netloc Vaux2_1 1 0 3 NJ 820 NJ 820 NJ preplace netloc Vp_Vn_1 1 0 3 NJ 760 NJ 760 NJ preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_N_o 1 9 1 NJ preplace netloc fasec_hwtest_0_watchdog_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 730 +preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 700 preplace netloc fasec_hwtest_0_dig_out5_n 1 9 1 NJ preplace netloc FMC1_CLK0M2C_P_i_1 1 0 9 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ preplace netloc Vaux0_1 1 0 3 NJ 780 NJ 780 NJ preplace netloc Net10 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 690 NJ 690 NJ 640 NJ 640 3380 -preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 30 20 350 550 730 460 1010 230 1310 230 1740 360 2050 660 2470 570 2940 +preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 720 NJ 720 NJ 630 NJ 630 3440 +preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 0 20 320 550 700 460 980 230 1250 230 1690 360 2000 660 2490 570 2920 preplace netloc Net11 1 9 1 NJ +preplace netloc axi_wb_i2c_master_1_i2c_master 1 9 1 N preplace netloc Net2 1 9 1 NJ -preplace netloc fasec_hwtest_0_intr_o 1 5 5 1720 770 NJ 740 NJ 690 NJ 690 3320 +preplace netloc fasec_hwtest_0_intr_o 1 5 5 1660 770 NJ 730 NJ 640 NJ 640 3400 preplace netloc Net3 1 9 1 NJ -preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 360 -preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 680 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ +preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 330 +preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 650 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ preplace netloc fasec_hwtest_0_led_line_en_pl_o 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2080 940 2540 650 NJ 650 3400 +preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2050 940 2540 650 NJ 650 3480 preplace netloc Net4 1 9 1 NJ preplace netloc Vaux8_1 1 0 3 NJ 840 NJ 840 NJ -preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2950 1330 3320 +preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2940 1330 3400 preplace netloc Net5 1 9 1 NJ preplace netloc xadc_wiz_0_ip2intc_irpt 1 3 3 NJ 540 NJ 540 N preplace netloc Net6 1 9 1 NJ preplace netloc xlconstant_6_dout 1 8 1 NJ preplace netloc Net7 1 9 1 NJ preplace netloc dig_in2_i_1 1 0 9 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ -preplace netloc axi_uartlite_0_interrupt 1 3 3 1040 590 NJ 590 NJ +preplace netloc axi_uartlite_0_interrupt 1 3 3 1000 590 NJ 590 NJ preplace netloc Vaux10_1 1 0 3 NJ 880 NJ 880 NJ -preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 380 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2460 -preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2970 1310 3340 +preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 350 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2430 +preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2960 1310 3420 preplace netloc Vaux1_1 1 0 3 NJ 800 NJ 800 NJ preplace netloc Vaux9_1 1 0 3 NJ 860 NJ 860 NJ -preplace netloc axi_dma_0_s2mm_introut 1 5 1 1730 -preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 690 -preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 370 10 700 250 1050 250 1290 410 1720 380 NJ 380 NJ 380 2900 -preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 950 NJ 950 NJ 740 NJ +preplace netloc axi_dma_0_s2mm_introut 1 5 1 1680 +preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 660 +preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 340 10 670 470 1010 480 1250 420 1670 380 NJ 380 NJ 380 2930 +preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 730 NJ 690 NJ 690 NJ preplace netloc wrc_1p_kintex7_0_dac_cs1_n_o 1 9 1 NJ preplace netloc wrc_1p_kintex7_0_gtp_wr 1 9 1 NJ -preplace netloc S00_AXI_1 1 7 1 2510 -preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2080 +preplace netloc S00_AXI_1 1 7 1 2520 +preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2050 preplace netloc xlconstant_7_dout 1 8 1 NJ -preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 1020 480 NJ 480 NJ -levelinfo -pg 1 -40 190 530 880 1170 1470 1890 2270 2710 3150 3420 -top 0 -bot 1940 +preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 970 220 NJ 220 NJ +levelinfo -pg 1 -70 160 500 840 1120 1420 1840 2240 2690 3230 3500 -top 0 -bot 1940 ", } diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp index aade593482f874934da55b9ab41d34e7ec826b4b..3f628be077d61ce94e6fc784faa0dc4dd5458929 100644 GIT binary patch delta 180100 zcmV(xK<K~EvkTd?3mZ^N0|XQR000O8Xj_s?(G7_GF;W2lN?`#28kaC!0ULjKZp^!7 zTw6`IK73P3f#Oi4Xz>;;P^?H=+$mnXMT@%!LW>1=C`D3=6)#ZS3Z%FdcL}Z`Sc2xC z-jAI7Ip;p-!~5ywcg>zPYp%6subDlQJ+ptjcJ$R35|x*Eg!!|1`}FDWT*yMy+IKG7 z6c@NyhY*2SCw?h^ofBEJYZHIpP1z@!{~cXdx6WqIAW@agVVBv~pS1tAj(sbeo^3H8 z{<}I-E%~t3C-X4%aM2Uqio8B?1;T$|zi3nrnz}l|V#6Yrq(8*&8>n+?-(NUrt~V8I zU_-l{eex8ub5a|N?d$DkoBg=i;N^&xvaQU?$^jGuMjV}0#@Bqz{d|Ad7D+~&7Cxps z<YX3X*~G?D$HAAt7hC7%HCspf?{`!WNqG$27w4z}Hg+v+(unFvCyIG~2l!H>-&Mtl z_kN4($zdeJ{Je3!Q>*LV`C>C{!$4!Cj%4uAZiGkeXX#S`QGm}^p#WF!x97VL!SOQv zN=Wzu@NJqIO@xOK0j__|TV^w1(RMfZ{`-tr*LODd-thfF&jmo6<w<KY_qNY#wDXfO z<EYcuiHzK1Yx4f|<G(f$i0n+bDLl6<VvJG6|7-Klv?VHIe`CiTr>cVb-v!H7-fn;6 zn6o;XJtu*wd5f0%pS~{9h$X5uOhvIN#ZSMFkB7VD+!#5cPM&{iWkp27B-I{ly_IoU z_Su=K3xL+D)_1F(2t|VGRv-e`LX7<5<wX$QQy~Swn%{eT9>Dtz&TrRH--UGZ#v!+F ztY%RGL>w?{3DI~seIX<?Nc*yHyNHp$IVH+OMV_yKC0#pF)hm(IXP!~>^tOI`J<Zu& zjkBkzuZ7s(C(wW2CD7kC(BC|;)il;}HE8ksDy%+JBYL?ERXz?+`?By?yw-9qdn~G- zLdV@7OS#b<?Y`(AL;X8nJ8R$vw02#(d;rzIYmr|Qi0ygCI88$bF>iZ&V_<0-6v5Xn zo4%Yo03Y4(d2`{EzVF;!8@YOIo`hCC0U6#_=Aa#*s&IdC5h`5j9b-31Rj-}!TjObv z&`mmu%}hho@#+KO`$NU#fH%29;<cp6Y|%Cc2YM5FTuV169>q1cZv?a1;!DNIRsv+; z5=!0=+5FfxJ*QoY-$Pp4@1libqo7*^zToG#q~7hlvKS0_a>I5SlKtBDY$r=P`&ZG$ z+>Bh?%?5wPJg0ZhKsV><`Guwm0RLv?y!rHBcz4C%<^*JkBU+m%+LX9j^H?!@{ZV`- zC+GcapvOU97s1Jatj@uzw`~&<K{7!^ZY%P~y7W8j@5wx7TZLEka4{E)`KboDh2-2= zG4|Ek(JKk35hgS6v^NJyoh>n=QA1s;k7hvKV#a^$G-I5>yd(XhpM4N9RZ=sQQ*Xk) za;|w)V@yoMby<J$GWx7|G$2-VKeLBbooV4&_VkCign3q;dY)x>8$RN??!Cn@n4bKQ zE7I@mo4f2i;Y>6H@7_S!tf+8{o&D|6f=s~kK4e~WpQY!;{DDNuiR;tZXI>5Cxr-~s z*?oVd4NC8=67MuU^ph<mSl?1H3!C+pGIKKEWaP<?*LL?7llDuDs@pmy2vX5A{U&E@ z@XmSj6OP+aszr!z!Q%&z%{{)7@g*UN>6}l-+uxJfq*d}yh?94nlXu!L!bB?`A*nOf zOssZUgs3x^wr2u$#2wDlVV~BE>`jA8$ZLNTIhttsp1X|~XAUoTnq}8)ofi*#;!=qm zjDw7xj=xNRe7jaOXW_}(jaskw{^D|A?dKiDO4N6+yYwIrYD>SveEd9ZSREOZ;0Y_# z5zTJgf!RoQ2Z|qeGp;qiYRj6)O!o8G)z52=@c9*)sj=v}S-4lx3~+NT4jF(^Mi76~ zhciUX9lR|oNK{cN{+`mWQrvQH?;y|4^6g2+Ca%A6k8MB%_~A#xcX07<?b&cE`2Nzx zxl&8FJmXgL<_rtSX6Z+PUqtN4@#z-Owc}P2e{OegDDInM$v{pJn>9k4LF?th+QUhl zV)(WxpIP-hfBZMApc~DTRH()H>!^R>U$Bia`GH(s@uVBA#_z{-54?B46_$;TXI?z1 z<xOz~AM~aZdABX5wPuX6z9x<c1F7MpkFK)Y$l$K|rYh;uS9qH88x`gHyJOsgyFa;q z4sk|4GjsNo5Yk$Iz|gCfkGNlxgHZV;z?OK~I^DAJ{vxnO=fH-ZuE7Pqij98@q$)DA zj_ajF*cR$#80y(LXW{<Bvz(mMIl)Cjv9BKd;J_hBh`)+(C*f)fsmz}HahRErxueE6 z=rc!f5^+psS)P0V$;loc_q$e)TK<k2idt?FWPVODcQN{C=fih`YQA0q{vpw*tEZGm z_}>2F#4Md?{I?1NPQ#^|BoTiTiF#LS>GSLcrQ4{$iyIGNs?Iz%^qSVOu~!Jd;ph7M zkQ2Y2FZe^pECmt+bA1jr^U2xgr|X?o&3o*PGtj<54H1v(iwnt;fx`^bUYBmO;@QTl z5jEZh!^bnJI1->UHCy<5Kgvojux$24p!|4T&DZnJ)Qg0`g2T(D!F+!%q4NGg<L6d3 zyB_{!Rxv8RCrME)-&y!8JATQVIS2Qr|H@8832K{%BWb$X56lV=cf=$`O-+gp3rp6D zb9Q}8ik|1}7RMF&tDKANs`k&er`CYDZPEI}x8+%!vXPkUjj{MuebSBb?2WPBnTy_J zyPh6eU+>1)5K}&N(ffbZt|yPyr@S$~xU4@ubJ^Hi?w@W?tpsuZYEPZ~Q!q#Cx80Tl ze|rcZve_rYt?Prz7xD>uB#MElt=wp%1UKSLS(-WbAF~83`h&+5Dy8)Ws{sdYNIMrZ zqr~)jmx9D1iPL*8X?l>>?6+kTkW?n1l(k{tY#%41^x09{&B=cmw_&D~0zY3}&GV{c zO-bPHsu0QY!4C~|9uWH0;MYH!8}1S{u^N}JGg${m)AJ64ihyWO6QpL37upkgGhTHF z(fD~YUfckBPKk`~Swn12av#%D`VB?3=*urD$uGTggZQimE+Lk;7|?%a&P9XidPrO0 zYeRLA{s2T6HbQ^Pd#D7NekUH=l|w{M0`W%;c1=qGyShZBfCF9ezP@e3s)bdo>Ukre z+64n?E$7Tx#LQq^kInNeBhKZcBXyGH<0Dqapzlu|HO!5~ood}@?Ki3?`>jh;2lTnq z%k}w;%T4Nx8Xarhz3}wv{#Eg|G}XFPFRWajvd&0v#$JE#?cWxZjmxj=j4qsNkLT?- z>L>eMN>i8hx!cS2gZ@?FaxWiPXXNKtdpu*mQ8n3bS(@6T&mCW`&uCmO`DX>!ygfUH z$5;4&@n-A%y@o?9&G3%zzQ&=zO@H1n*fGgq($yi%U^37VE7s8B#tr6vj+Od2(>8g} zJMHDrS<HWlO7=6ylY1}mlChl!_6JmM3^M?wha>i=noqZ7X#fW(L|ElL$y35(fsOBO z?vmn>qMT=&R!dn;qD8AicK#klOIc(t8bKOUJM>!%4D<>!dXoQKj2G2K7d*$+>laSJ znTLyBg_YRh8wIgh2yE9GQv(E4y~z2w$GDg1qU(RSy6B?&IIHt>fapyCN6|Tx^aGMH zm#Y;kTeO<9dq1b;w}qJwcUIhiSh@#TS?-pd6$NxWbZs5gLj<;4RC5h673ens<RIK_ z>h$@t!Cc}@O$|+-Z~n6EEL9m$3+?DWo<e5<qG&rKwE+tSo_h>Ef~?W``mEZ`F_Yj4 zdpUo5VU}9jM59=xa)sa8QZjun!BR3q7SVn-t#mnAa&_hJYyvEiT6ru1#6E9wC!D|n zBk+m!iBzEiLFkONc65jF=Yc_uz4;y`jtwg#jm>$+Np;4LzdBu?WP?4q#@w%(ltks4 zo{Vi~NInJBn)3sA$To2XBR+*`<Ey`BA5?!a6DBE8c>A2p&TvUGH#?(m$6-w`Ye7_^ zQZqT9JX6J~e?Rc)Rd9y3!?Q#s7GZGL)A1*!E2W$ygBr-=)Mu~y1^+Lo&_1?)_<w<& zD5aY4H<IqDC}S7ozt<jn@x<<P%Co;W)f0JD;`mv2_C;~^d+p8_Pu_k`dHPC)<}rUe zVG8*@6@2W1(Eo6N@&0dh=btupf5Bgf^7rg<*pZ`?qT0$&Qq!~_7JW@rJkxgV6|+s* z^r$N`jy#;wjbNz{In4dVrNT*t>4{W1j>sl9+N5_XU)Uqblw-`aDjLfSxEykf*sX?i z3{P~YBvXYot^X~iPxe|32^pRY&dGmGNhS-A{8>=@r?{cDSH0<Fc+ynnw>TG3=4U$9 z!slR?E?hbAY1(>d<0WnNCXwMuc$uH<RLk1~-KiF4houBzm)=jJ7+dMg>P>aSlfp7T zA&M)<C{NXVMLGZPwzALJ2PU5wR%rCOQ<|L}vv|EBr}8&s7n&loDPtXct@wYjN~164 z$=mLfXO=3T1lWf@CfTSd3l-)+)ESF;V)rlg;NPqN#SZ;@^}pFq|6ctsHu>MH|IP0F z?`-@(o9v7!PI{=rE>x&uqn0}OQE=!ZSB3x%LAF%*!#q!xv0^1#7E|h-a3xXsxp&o1 zll!yCWdm{@I3rZ#EQBI|=2L$q8>%f@&{B<m|CshO=CzuO$gHJR;_tbbUiBYSvG|`e zw6jFRMwq3BHgVS2Ft)c$!AhSMGe<Kf!Grd4Ho~3HeHZQJ{)wgdNpRDD0B`RVQ7PGf zAz(zGmG&<vV-PJ@c=itnHLex1870vq$`!i{y}5g*Nsc8_m%KG2P~(4NF1gB|^E=9E zZ^J4t%P}q4X77Atke+MOlp>N%TlsWzPdv9LdI{^@kL}dMI2vn~L^&?}6Be)XpbRCp zM3qiS<!9P*Qn?Z$&kk!f`~u2#X3h2<)J{J?+ppDlawQh+q$y)&x)^btp{!?Pl$xPu z-NgM&w5HM*5DXA1?pJ>+6|5;4Y>~m{`Bv#>x94C|eMy`CrLCL2u+nX3yGLcL;qg*S zJ_~<&?*P|vme=au>X0he@mN$#8Rnft6=Z9qS|FSD17Kx`l1&2wtezlc)7}BBcFt~2 zP3&4)eA_%n-ZqFFM#z+g<>*Jh0t84?SKfUx|AGDgM2b^K(*J*jm;H5b`hMe)wQ)i< zuhJ}4z9WHl9nO=#H_hdlmocmht9B%?o}~(PB#^1YVV?hx;PkS$?va%-X*Dn2e>lK+ z|F=5yPaDU-;4egs-@k3|Z*TQ~115xTR=@E-0{L6hS80C<=kEdu{m($G|4S|Nr%l~o z@E785zijg|%5{HXNsa{Uvs5a7u}b>0rN6lRpSRlk_v(MK|7QpPC!6{2)qfd|zg7Q> z{oi8#OIQ3Ok`ycB$C%#3M49woeU<X(^?!Go|Npb7|1#2;U@(#VW4v&5{xv{<_bB{h z^f1<{|0kaOk16>7bw>Vg`1fP}zX22K>%ZWC_Qs!`lwyBv{CMC$Cjn-D_WuX}_d{I$ zH@5$vu+NI@OE=aN60Z+|um0G`#R?jBf0(*wkbS;B5p+{3&%M_-z`VEG&%D=&Nr{+b zhe<E{gZ#CAFKBOzsmk>YU4RoLW6zf}X9CP2x@-RMARc^csXE={C4%EJRxUVMDjeMJ zCx<WzL@s|?-}CssC1tsYG&PJcUh@SQq<hkrSu&KZNxbgy_!hVKhGDuR#p~&Y#K&WL zwMJqN%{3K-5(ts-E%2+?FlSYC#MJ<<krN=DuIHZFTj`!&l21FMf}CEVVsRJBorfwf z%SjL#Pbd1H#C&n@DBXMyY0eYlp#Dk9UNm~|RJ(sfS4giSgKJvtp|Fn4kZ!RJMqxdr z7Wt`Id+0k|DTK0c+t!EadBd#gw#-0m>c%2(48^oS{q{%W#~uWEFXsvl*<*BcV;mK@ znDU}@zVr|ccaR0^_(yOLo(Uqjnrj%=jKyI6xq8@$gh|o(a~^M3s|Qy!JU;7`uXew_ zI{bf)H=eprCAd<a|HelKN;$<a?SH}jH*oUuKFn(6PW(p4{?|u;5;1U9#HsmRgVFt9 zpd5_5Z}VGm$LF^<j31Rh5fgX6;5Y33@eprj^NEIsS4%Ua4WxQFFPA8!0-xF{uH7EO z<;Esldb*eMQR=@>6MxoQntu;OYTS4`5+#4}DK$UZyJOO<3yiS&__p(@^+y3Hi64VK zC-2Q{yw`bsz^N_hxE~tmo6>UYU*r33Ym;Cn1aQF9hn_g#4<VFjqe}X{9ondkBH3u> zH6vQ;ihQqwZF{d`5B~8TeLXN8I{@4kb=hy4U6*{W1m}WL`%-a_DlT)3n{(}rFH3)8 zk_;v(>^0LYR$Dip78tjdwq8_6o^M7X&VMcn)wHeDIW3wc%u<?3BaVtAMo=Fm>YW+e zTcmQ^1;0&g&UI;sL~1rzO;4StozLK8*1Ie>IgL+r>?|;R$$8(rJ3f#*rODXK@a9_A zjE<P$qv<=#DsNgQy_V6I85SR$ZEk;t@orHU9^z(iANfi5HD3fNJ2AsB?<u2G{*;Qs z^v+|eSnbjQJ5!sDiR+G#WRLNKi^jl{8jmZO@Q2ZYd&uE0)PYY$Sx&Ndd`WG&<q1x7 zggz%f-`ZXXJ~VDfQtMDFTD+=@kzjR!DHz;qdmxQ0*_0qS;D!<5k}$pgDCK|orcg@4 zDa*+8sxc#D$9pL(vWaUccxa&b3)vgsCNv{e&*skqg0S4CCFI?02Sb!FN0Z#M8e^^e zCwlX>LS}2*b&b?UhvW#O>AtAxX1aq-hWa}}D4V>}0L>A4qlW4$L~a!M&KLG-dDV&d zGC6B?y6D3^H><1O5@#RD(7=DL9sc`~bcEu2K{D>&Y(?F^t;6NF^KYNMa*il7E<`^3 zdTe=d5P8t-y;lFdUvo=hXF}ap%HL@Rf#7TI26h%He)@I5*BEsuS5sBQ<6XV8$I<<S zG0tVH{=UZrQ6h<AG*E<}xcQA9+-yHEaHK^FVPOoh7;ver;c9baktTnjsq}U|->Pgf zy+(1xKRz4>dAJnm`O21e(%Dlky(&ElH@aL5%!&V6h6DnvTerra>`9rc8^SN%^eaaL zohx0Fj5gqRy`?f%S+Bj7kl6ZXC>qdkTVq)1yg1JEu=);z<DAbMUma;GhPCSlANOQ! zfkBeu#iE=in=5-O3toRZ*}mD?dHey={f8X}Zx85Q;0v`9W;tj(h)-Xg)4|DbwB}Lm zvvyF@>h6Baw4PN_Y9njgLd*18og%U=&7<eo)>Hq1hApzou2olCrJz7pUuA>S&F?UV z)3iOEA~Hj1NX~{MiXnfKSla`)xG`j6;pN=o``faj+go#I$Vq=i3BV@bpnt9Y{TwQ{ zF2DKS@*DDKWnPXNnljg_F|!e4i(T?dHIh?}n4B-8>G*lhdS}nFkq;CX7ooO{2;6md z2Rp5$hbBvwTN2aVH$UMk($_~@FI!Ykn2@-NwRY}DkrA3wz@R0O8y5vOGx!<<MA$QP zbiz_b=i;T>tt5Yp_F_?{M^Qv)T2aIfqE~ON9oS>AJU-MP@O+^8&C<qMz2(WF$5bLn zOw{aPMML_7OWLkdAiscB;9-@d6(>t}l&5Td=IL8SzDm<L!D&}l^&zLrWoa+#v+sN$ zqo023F11r9P3hydOglyQYsspmIi6buDth)_hHfXEosEAo?{(!mm@E^Tu3egJ(@rB_ zM3j*P5gRUF(pR@_8Meip9oh<b$rT0Tccr}T{&sbvxfI)0c9X&&>a3&0CY}2{;GMg@ z{k_lS%Ls|dzO6#+?bR{Dpl`U*$_*KE{4LLx4|Di&GsR{n`fN0)%oTRC-t_d0Fi6js zZL1A;tWSS*?qAb?uN2%Iq-<2Sb~eh$G!SgsJsNylrrJ^+-DLYF^^t2Qb1^VT&LiQP zxHH%rxAIQTMqc)_!_Md2h<h$X3^iNO4r}5Hjl|5cvbi_^JP!F8qc4~-+jE*Su4Xf{ zd7L6tWt*#<siBcE1hX{pkh`q=#>shx<L1ihqThed@EQ5m>WbEX=Q}mQGrsq2C)54O z1+xu4;qJC`#+;^}At%1iOM{Ht+Gv=}Gv==$Jh~cV!Hkdwr65S0v}47bL<!@G&dK(# z5mTx0j>d+ip?Ah_g9<NDgRJ~bg+7O8M3bZ4Y`ga~U_?28SOnR`BST5;{IwFJv7;qR zwqAc+=B*!_sqAMys)eVOInf=$7^_*u<$daew9OUtGZLQ*?zZvLw#C?3hwstwE>rQ2 zP%R?*vJ)>b`j~M3yq$p`=_oq*)Xu$%srfADPnnnpEj;mlGw!<q*Q_FHU%~N`LC0T% z6Ul~^bJbd@vnJ2ReFpU>(|DJ(!|Qj3y}y5<@LI3@cWxR^diyJ@&%KR_R@M{gUes)V zlF@)B7`kXLOlFD1$HT4E9kYix82|Mp;;)Baj9zt%i=QSI&nI-|Fqgl9w@<B*&%E1C zr6p5Fa=FB8c(T*;*|cN^x9U8TRo?VB^y9lz98M-(RYR84j<u?p--|qV3lY4mX)S*u z`z#dH8SW=_2Q<yNF3Z;ZbB&fh?3kx1g~S^XXX;)1QOzXZ!(*)z{;6SFzghoYrkJ5) zIhErPY=6u?*1NOxS<UKC&w6KGswas&Ml7b^<?7x=UVdReHvXcG9w-v3aD1-mumOc| z7*Iuz4+=ME6lar2N6Z=I+7*eZM)H4bMwY5qudaL|uGm;npkMeJxU%%dGWl{(^@F2W zLt%)6cmo-(DA!N;+wlmvd|kiU&9{xM`5chFK*rA%8CQ?GtR}gIEH=$bu?YFpskGkw z59*kEAK)+$Bi4Vwn_L_{XkxV}FJfXfuG-I4Yr<|^k;M8Z@-T=?Vv*r!`WAm8A8lX5 zC+L-!5na~Y8y@Z0)SDJf@0N%<c;+OhQfdyfbgXzPwD;41tH~oLZ=;7x@?j)D>zTDx zTTByw-s-@+OF^Ty7&7zjZAy!}=>VUofRG6v1#LK0n^4l_u+pvsYcV_~|E~qqr^Jh4 zr8M936hBl`go`h#Fv7(TMMi%Df9Hnyl*9**RkT4EwZ3A5%p(s8oO&3_njIJWM6w)p zs)@FK(GKScXDK>}#ZMhEm2X%nX6=8qQp`SM@S5_OFkIF$2pk`*HMbDrH1?^U3NjwP zsmr=@5=YLvABcLKCk@W33fl7bMVVC>4pOasR=44+K4i(ha=c%?@%(?)fY;}=y%)Sa z@BP<m<vE<G(l;AkAAj7>nrKWP?3>DV?DB(#Bf;uhW=0y`(7w3m@iS-d_vxoKw6}It z7p=27@z+>;8eVI5>1^$EHAIGZ<*>zkqF=MXEQC4IF@-aneY4O(za&9hrUCNhi=NlD zA*U%7&Gyr-iR#p|?hJor8xk{M2kMIpMDeGt+cGF5b&flxx#m&ypC1KkxTL)*9W^Am za}Z<%K$fCFSMu`{wQ?vsF`t)fMo6hdLwJb8oC&;&w2z&b*p?+}zywDK*TBb>2zR<g zhHv#JKD2}&_Gl?|>U+2f8Gv^<Jp=lZT_kl**G9)H(|$&GywHD0MA$+H<qmjh7^dAU zRh*Zym^0z5X<yG-JD)svpnPzi?;U`t@U9hXmb#QD|4{w0>E|<^LOTK8=!aD_gqI(G z8Qe7ZNB%ykxdSk9!g0_-**S1;MLELk8wVl(?Q6rCO+nW!4~R$yL^Q5H`6*ELyJVwa zj8U&oG_^`x{wsffwy<i}C%S+n89#{hFS@k?oaxDn9hQq(mWwm_W4h>dQR+UX;$cl{ zJM&LGN%17DsWMB5H~hV&J@<qn2|C4R9~XQo&@D}&eNx~#+Ccw``fi(bF})IhQ6|eG z`3_m)aC}1xUEx&eKmb0FFBwAi#rHVJnXSVl*lIyzqd<S)=YeH%WVD*8)h5dxA9=c# zn8iYqks>9QlK%q#K|wQhbxOBr1<j5>rX9}A4Xe^D`X`)--6(JX@H^|>$~{Ro?88N` zha03VrH&S<>J9rUWZ~4pN)7k8<sHpZ)B@Rs3<Xb8%LXXM66ACaOLrWURnr_VRN{J* zSlg2Fs@H!Xr<-hn_14HGO;o)UjaVf<SKS^$j~N{ec!u)M7`RnCa8pA21=uqaPuj+C zd^Z04m=K|#9?e>$Zz>pFY^>H*;?iZj9A4i><Pu0{Vs4-@o;iNJ8RP4rV7gUcSKDYU zz)B@#pPjA+_j`Dj^JlhkqJ3x;S*Bv|RXxFHX$pVjJ{}|Tdd{U2D$y$L<q}uQTBy%C z4zJ-Bnv$=Ai7b+q?9K4H1R5`0*0r3~^0fuIm}Ml&3+{b+O$Ko;o6^b{4A`<=k^raz zr4+j32H6ARVg}Q-kk$>vM(IUgnIj9?tY-S?spubO@aG*4xK4V=O<6pE&>Y<bot^9b z^LKw*Z*z*<gD$mksDB}iyIyN{Nx?dRF7?1kx9&d*KHsZb^HL8O${peFtOFFe=Ov70 zmwxr1$LZIK4wcLe{10y?wgJW%OTD^!z1byw>%SKC0%}yEQ}m4T%f?u&Q>mVmepfOq zknbmq_RLb;`>eNk`_IpoC4zf;8L=gUHhO=BrP<{r-gPB&vnBSnr6vDWDEcKkJzElI z=Ct>jLNvR{?q-FZuK|)5@eH4XP$&G-!$)H$g0aTES6u!zG(8nnqYa{xerIGgH1rrK ztl9FVC}y;|I-oc|MMbr^ETtq}J62nz9n#FEk~$u#w1=$zB$L9E5b0@}PsfsN@Fsuc z>b;WWNeDa9V@4jF-8D*^-oV74-^I$Awto)7HWW}iaZRg&Rd;kE?udDkRzB^*L)@=1 z?kPRsqe1E*-TU7+Nw-pv@rw@kIR%okxg4~7>SFMs3Lu5@7)fR~SZ__4QrC*c$3}|g zruylAD&vf9f^W>~1mS4fZjKJF-;sZoUYdNa&Q(=BQ7A}~`bigFW%B3R@<1A_b^AgK zz9_c=e-KGceDI_-Z2KLqopkcNL~M8T)<@Y3U7w;c@fvZ1#)*#AQa|Diiu{(7@)`{W zsWn|`f<@abM-jK`;^ZNJ!Pk{`Kk1_e`cL9TJH-`mlUQ{nry6$>=g)oZgRXyLqtj-* z=^#Vyo84#g-zO2M6x$qwX-zk$U8#O~emE`9cZ4eEDFLadewMbso7#jdr(O%GOnR>( zYUW4jxmS|<1pr7iR2Uc3Jo%%I_($Oa-;0*A5Pnc3+&^>VH6nO!N73l%W?D}X?2+rv zaO9$~DD#}xue!*^169%D;j(`q&Ij~gl55{bYo##~FBmqNZ<ozChW1O)R>3G*o8|eR z6cmXAFVrQPecl~dm5VGbMffDtktV6qo9wQ*W*xeHcMbTeG@cx}NS#NgW+71g^D{na z&zOtN2Fq=QGXGIucIVfKxbu{=_Q9XNc9B6LTKrl;VT!6P(IFqY>8O93DNg5O@XBir z@A!)+9p3u8*fOjNp$JYQV3Q&S(Fz*$CQ0aeVsut8NaH79YX#CxehG(mOj|};yP4fV zXI*mexCQXM2c_kZ_k^T}`bBa(sHUx>{CNUFoh0%tfF5%^XT#*8dftKYc*8Fz!?M?| zoyKH<4@Y7!MRG>j>&Jhc#-xC>BO7Qu2hbK8b(BqNE{igOPLngrU;okR1tnnva=>uO zq{$E=_?5Df%DzOf2vW0H->4%l=y+%Z=?JOxeS~yp2)L51g&uK(-vdTcFuni=yN052 zLuH}3_(;|q))qp9<f=3@0*_xFNV!T2BVPSOfYj`R@B1Url{<g%;}M9|TphIxW>P>6 zfg!JsF04(E4xJ;ix8$7^svg%wog)fCxT~Ny7v^L^e8)&OAXXZhdDWJ=J?v<?>e>Yl zjgN@HLt`Q6@X?HjOguC(A~SjoCJoX+rT2n99`Td5LypG34aVc4=`Tn~+lViiC~hAf zi&6w}9RrxJb=H3YN~kBj=#(SP1Q5w8Co7tu6QFS08VU)$9>GK7T_DMBnPK&0w^*=x zidzxbG<nbi=PReqWtm&AP#5`Y|ITH(>wBH%FEQH>bA@Y-PIH-SzD{$wYv)e$7uQss z5XEc#PWa1f`%bvRHGL;s?pm_b1?qql>vSsHQp9h^9*}>89MOFnT*F_c9soj)=Bow? z@Y)#%BomJ)UvFB5y2#xMc1k3oC0FZVb^I(qmvz8PRKe?8_fBe7G)|{W$1y(#kbfPZ zjFN$xGheeToJ-3?dXD*-f#PWwy1)3oTi1uvnulIfN48_tw^Faxuh#Li0hxGC+&ba1 zw}zq1VW@w`P<Vv3v<&K^0kRkhkGr16M^g--la5qcKuD_j^DST2)td$<6;#*j+vlB- z7uRf^{M~{`jur+a+PV|@;##<q|A!!ww}RjKincS|BEuLD6RLP8f7kImUgeLbA@U{C zbyTKjh1mz|L&a<2g>%)=dgfcMRceb2ZoJB$H~W9gk|9nlQmeABH=RS5L%o{cAC)G! zP_z*uFYG$gAKmh-rdwtNkOpD=J9fequhlx4UI3+5GsyrUN4R8w?4t-$K<p9EAvz!w z@ZnkqAM^mBg9jo+l;VNt5y|)<as)R%=stoQ4@8R?z+e7=vJM4=pg1}Sd>7#4w>-z9 z<hOtHuypbuoI2!TC_HilAnQvFV<K(CA23lt<@SOij`GMr(MOh~%POcUaJ!)i^@6w1 zYAe_{6v^yN-bU`0CuRWN3x&svu>${alR-U#z3ub>!<Bp)5geTc%+e9AxCjP3Y*<b7 zNeGIkGlC4FjIxK?lInvsIe|p5aXj;Alx}|~$qV59Ra}-f?p0i7>5QWgGKd_C84TCX z(uATFQE$O;HPk8?E{7rmkFZ}WLG!|f6eYDy2%z)P4)0N&Fr!CH@+dd34|$LzEE*qx zg^>UGI^6dQ4V={cRZJv!g!9@Hx<wXr4^|#psVJ#|$^iGMn3*q=pI_Rm&g>bNm@0n` zPKr+A-)x(NZ$G!lq}%e&RyBp?Zjk$O(B`otPa?4WBAJLh7d0ifh^}=c?nTUFc{UOv zJw$pciErzw_uS3szYX}k{lT|&9&9?yfaTMQrgdHs$MTtc^d|l25G!-Z=*QtI1NPyj zDz-Oe1a=$c<sEOj<lx1KJ#24oM(TfWS4XG8i%~te`*BhdZw&Sfv6^K@@Mh%wU;j9q z^uR+uL{tQumKWXIx0hnWROezf>rRse(I2Aiu$m1t31<rYwSPEIBx8GL=a7H9ItdMS zL9$~t1L6s19C-+Q!B^!1rv+HeN+ftQ>!-N;{<c`nsw9Lna$#7_>u14>UvYnN9LMx= z_O+!x9vP6CGkyy=`x?Ahs))O9CdKgvxm$&4goMO*CIf$_wTa`6sJ+jRim9bw(<0S7 z-pv(+Gp(P9W@4K_KPq}|gH7|Xu}I==arf^t5Y7<IQhy`xHvDneErjjOen|I?+pRL# zG{gbh8!L`v2It+~{W}XnE5(0(XdKBFm;1iH=xl)&5{x{8E9uEZ{mnsy`iRuW5z_^p zKXlSmu^E?&?l3MP?@G34-ji%u=3iNDWjIO*lK&xl8F+_rOb?T{Vl$3a68kCv=#LWG zNRJYTu}F5ZgCh{7cNn`#aQ2e`EGuu10NqbfE|xUc=>mke<23isZwY@xK%(It?GL+F z%wu=pazA8Y<}u8Ok?sT(r=^m~!=nV0qNUPxhyWWS<xzqd%~3)F%L=ob-w#;}`lE^K z55W;V?=k7|$|?ei$tmtIGHMe0`bs{*D9$mxL5B|@L%?Hm-DQ8sZU|tJq$=V{>Q<15 zeRnnc(Y4tW+(lxH%_x5}eUJVN4T-OqDTOPICC`c-VmG*GqMpE)HqhvY&XEpw&hspC z*N;}VKMt=Au_Cnj?$0brV>)l-A(keY2FZ+5yX+6<_fA+5_v`M`%goTbzFT$1il9HF zb$txu!;0WOq<5uR)4+-l9VQan7oc?&TW7?IP*%7@4EcVCxb%M+Ht|6g(?_!o!PT4x zhftT%Y?RYT_6wg1H}~nv3sz?_*+VEC*VpC@7rM1|T@$hwznk-A>tT_zdaUNo94%zm zOSp}G&jFaxW?|BnOKU!*C)~DB>aakRHr)^a9N3mw7HOLGiK#%D<~0Y6Y<Dk<HX%vw z9G(N7IY&Sq*7tu!(Jo7e2)C8Tv0OiTwpK}SF=cGHX6*jCyWZYp%^20*UEZ4CT@QL? zS@Wv2s`0gvrO=px<w<o}x6RfTR%V$wjw39H;@i%Z(+>`W4_0RXGOnWxn)I99jpYvx zq-OY&+nQCr+n=s>xAqOuAR&_u5Y6E-fXHCWy!+d+pJji8U#0+I>mp6gk{zKjTrj-G zhpuZOAZ8Wb8cu+C*%gLLSd{_JxH3yyG!Q9H&t#*%3bX{x(s(c15@NMK9;Q5xnAQQI zaF>L9iV+D&yDkQQ&<|N<K&p7?d>gZsC_F5j`<S?E<F<K~<#xi=G_JJD*ehh!IgojU zc|ZN97u0`gq`ayb#nM%9UB4RMI^jAZ{f6+yh1cYr<65b#_Y-r+hHNxu?%_M^pI`U7 zy0qCfNI{;OR6`z&V=bTw2<s1QEI;0Q{X)7zTnJ}}u4oYcmo>TF6?5g`1W5U=)XVTy zi@>a-4YBqBqJxu>*Adg<`}f<z`mb>=BqnEYF6n;}Ao*K$=4M$4`>jjwa(Q>fFWaac z{9~W;s!UH+H}ynxJ8iQvgyq(}ce$~fZ=5qa_x^=J*uHe@7n-^Rkae%~#XvMO;e|oy zep7oP&9DUzMRfq$zUu&#D!|}dAYknqDUb@bm#n>L61eee72x%+5is>n7KruF6KM4h z5jcPFZxdjUDC{2po*A<<AKU%?u(5jruG*b)WZkWcaOi$;jH1=#1v#pTo7<|%x7({} znLDVN#+PU0*^-fr<=M6i_%r^LJ-Twm3j(>|bt|c2qswmngQxw2^LUsTR~`>X+!KQx zcVNX42fYbqm4(o)^s2M2Ki=WRrhnMv7o2~m$n<dK-KZk280~2lR@}WnAVvkDS@}B2 zi7RG*R*V&A6o_%94|(|biw-BSbV^vA&cV^l*?i6TR=2Z$*OctGQ2Oqw%eE}VEiEkO z$lz=h4}{~EeUwfniU-1XOFo*eHP*4D@UM2;(PX~H;So|n<-Fd^rzOFvXN}RAZ>oR6 z12GQ7Pt4F~%hE8kdD%`haOWt|aE9(_RJtLXvEO=9O=m06FhIR*r)EqbuPk*yBCGtn z#0K1FSugXp<P=6CV{#}SN^w5(AHJWrMMxuM8}`dXCT|O>Fk<L@nnj5r#O6@Y{w8R= zJIxy179SATIG=FOBD~UF+t+40(As|>pGl@GN>!0;Gr4o+vo@g}shFa=!Z0pYstZfR z{0h2=4D+Nm6q?+DXLUa;Adlxu|8Z66=Ko|9IU~6i+7o6<@ZQG(8!ef4o=3F(NrB!m z2nc<7*&|Qi0#buw-6|^5w~QRY;;0YbL1BZetW>y+WNs_xZ^=gJnP?F_`^<l2BYaHs zh?xDCWFx(dGziDjRkD%I@G4^Cuf)>|k`iqkutyb9V%q^;P^`r+GTcBWx+>RSgyO!0 zWVo3Um{b`hHUoTYq2(-X;r52l;_dkx=!b*%VEKs~GJ+yx{A-@<*w^$8f)-Vt=Fs<B ztz-nk<75Q+I%Lt50W4Im9ISuDy370)SS1WqPkooc^6lI#RNIFXZf~xZ$Ov8`qh8-_ zmb4H!ss@J@tFlt1!5_N4x=sSi*DR7ndo{CAb@?dpd^DkVBfa?omQQ6Mi{_nYr3&DW zd7UszMfuX_!5#}0=#a7M4`H$@6!0ZfE9`_dPBM$y&C|jGN*03v|3rURf%Bdlv&8i} z#fd%luLtK*_{6?$X;p<<tfA$3ycfkSUhZp<@x#PKEwKEaS>*b%!Q^qv!PFtoSj`B> zz`j^YQZRXTLny)HF)+0t8yUaI!#LlnJ_c4Z$?7LC9M&zl7NvD!GJZmF2^bECt$fSZ z^+7OoQWhEFL*h6qm6v~JwAcqvduU>T4ojR^Hocqf2?2BTIfO{u;v^%1RRlsJzI!$r zM8)iaFP?PLn2`5^WXD$EVw_oVM4Hn5nIp4>+s=Bz;<08p&q5%}`d4_5toJVfRrRCm zUkRxxBoSpfz{gfU*F%^~*+{@*Twm9OsYj&&<ki}LyhaPaT!?=Sl`mfO%FJHL=G<u) zUB^3-kd6D)#<>n&zHx(O+CkuW;{BKB39JS1`{Mon>}i1b{&wE=-d$taxP~@%D^AoR zv!eAfb@dmwKae%GN5wK`JRvoOhca|o_&%%^VtI!O7Pc%@>$M9aFRwZt8i{_178-Nb zJr`#t*c>4?d>ntI-+Sj+NY*UWJ+Sw~$$*U6yOWp%`JJK&9(@3>71>o&!q0=EaGqcQ z^ZL&x$ek^_M+$LGzihHPys5Ejuf#org_;gOq;p2JJY9`s=8y8z?j^9zAwRy8wiVv; zdCiZR|DIn^FM+Zd>2YT)b$E-|hCDOBjh|a@w7D7iF)V+ZPR48$F_u6*ut0PC;Zr82 z`H-6aLl%xKKW}PL)435A@nPNOXn)sxOR--8EfCgSb3(uOBdnL=QMUyN)KY=s>o|($ z_<mYr2C`-ir?m;xcLSx?f3hy)`3X>0Y-}rRx)6!eqRSKV5X;1d)>j(6B@2|y-2Q=N z{D&y#-e`ZpHtOmZH#Z5?{@0JzmEa_@aets6TNUi|Fe?Uw$g7WT#}nkQAYnYiXh|#K zb86;N%XUJ;j7z;<mBUKexKK2Ql{~7RxwO8Wz%ctdu$OC{Nk*RzO>=DV1rXLUx<A6q zuW+T>OOSF)dkiaV4{Q0j4QJ+0x>W2XsKjhTExvz%!dmbSmzntsuQYoJW^d`LtLxZc zKWSe=qtEQg_?HZ65np<($@oL}<9(T}Xb>{Jk6}Mmu*vwNVpuJ;tf0~1`f@Fgte?Vu zCMn9dymq&SMzcq-m_2Ibg5e~UvSI`djNpI~B9q$>@ARFK@h3<=hsoRa$YI#B7<PQD zZ|;Ar8yWxYb7>fkKBrvE=Y1%cT6B>QQ=(%vYw)}RQ|snDpp5pBZaFQi8I^{y9dU;R zDgr6O3d}-Y^RgSqW47|8q~`LdRPYvF`yB)}UOPP^sFPn7$iA9M3d)T0Vugv4qqP}^ zS%KIv%+4DhR!<rv0IMetBExL0gP39P2{C_qzYym4CQeZ49P_o&69RksPe7Yk*@6*4 znT(N&s#Eu9=2l>Lf|;~~5<jH0YT;N}*7+iZxgI!|V4P#s%d1&|(WTI;@=Q;@w(v8G zWlt-b#s~@+Vb6i5O0ue(d_a*Xa>FtldW@hQ;DS80N+qGI?pGu<+%OeR(_3=t<$`|; zSfwIqtNX7ox|{H_V=P(y#;R`S+e~}<>h{KN=Ckz4*ZzKfv0dYpU#kdD`e_HAR+eCd z-QPknMwt68lwyQS+K36jYfI%#BH=WvrQd@3Z^6V8f0g&QppVfU2&Z8!X|27wm2lwM zYDqm}s71>m*9g8rK;_$(V7TH9+7EvWS4A~~D<56cLy^qaj?i?9AP$(w>K+-K`I-=_ z6AFn#(aD2kugkx*Gq=@lyMWu3QBS~Vy;CA+JKyC!m^o!2HiAF8?LCTGe)+}q$rqP* z_$j+bZ+`CtNijP?R#S-ev!%U2@^(3R#1ZIhA?FBiCYf~v@Fe^KrrZ@5M}U9EPt0KG zlC3Q$TE2()_clxs53M{BfsZCb^x&b*M&|L+#0XA&w0;jO9$KO25guBl=K&sC`#1Rx zLJ=QLkLbZitHp<fj!bxvmz+GDOJ@N-hehLA?mH}MbZT~=oM^J%Ga6LZczOGJZY!A- z-35kFA@Y(FmtR=tfKhOT{n~$z{Mn(`<Hds%r05}V6;;)@9#*sgYn}0+rU(oA!JIb{ zOcApcgtfnV_~4+~qi&uV&Bj$jiy-fem%p~<3aK#hS#oDNmesYPgrTYGh!JqSJi3O% z!%)o^LF}naGS^HnOXzY@8}c2rot<&9%M1Ak*h0nfZax)^Hl5LgwljanRBSXkDePYX zJ9~Ck1f8yQ7)Ds=S<u#8LSWQeD8KAAekbQ@ZJWd@WV?ZJm5Fsp0i_7`A-!dW9fX2D zTY^H{E7w9o+lvB;JC`SsIFoOmFd}uJAjM@xPYwHCP<;PguuHDsa<^b5>oqU5k`qWf zbpf-WhaM+GA(fR3s~&&ftz=Mu=ZuP|<>&VlQTk9g2QYvKw5Xl-SvS|?O$L%2?JIe> zMKFYYfuultK}CPRhLMona{WHDx)1XqzZHZbNpHzvNJ{CXIQRq1Wrt^j{efnA)wLh< zs=*%3t5roi^KVDl-zyDK8enr-lmfJp3&?p;Lv9x5)Q<Z$E6RUs4Nvq<hQR}AN?1A> z<LFIP1%t+~sRJ$`BaesWssnS|`y&F<_JpGoGC(^`2q~bRCd4zQ8FK-)G*Hb^^Iyvz zFj3rw#G$Fkd0;-2bxK#rP?xD&?$tfdn98FY()N$8fVzz$)c_KmYXELRK>X{!`LEn( zqQb(ya|hWzS(JZ2YtDU0wg!1t@$RU;!X<s_ZqsL&^z{)q_$!<8hr3vPEMM`Gl6kn^ z3`a!;-v^6tWl>TNirX#+mJ}A{7=9R-yb20}2f_ho7tZRC*^HgtUERg(K!@Cz7#8_4 zb1Q2rD?sTrJ?iB~*h*$991E#uMwpY=au=9$tbJs%v9W(bkZKT2%~g4qU*8PJtOQ8r z+jwk$q_UCuW9#uUVUW&+D<B@CiMM>`hOT7!k08?v{Ue9|dVGh=`Hsr`P|**|Q_p;Y z&Gl|Lj*Lq%d__M3l<9^<heRs;PJ0os{+hpaMBk5b!|6Dyf4<{J_=eb(X(OPcH5HDF z)DHRs_~CyzWMu%j8u0^Odi(YWw?97E=Lz8cQu#FvN_L}X!}QcI=MVD=B#;~!cC53) zoeH_v{(1Sx$IpDjw!>#v3WB>_d3^_Ewow;$(%|%w+7B?0liz-D_onA<+Y5k7qtNM^ z@QuQ@ra>U}HfQ(+&W+NfPgqd(uINjE%$cGrfOmgTaXX^4jqY^$vCI3QkH-R|pi&^` zscdR|*sa7y^Jjqih1hnxK^xsAbN^y4q!{hPeHx;-jD2ZXvV8AGZjy;4uyD6t7NB${ zm8%(QO?vsh1dbD!I~w$v>GLJUXU*`syEnxpaH2q!GvrH9)UIae62Sq{WwPEfu0P<r z)b@W>X&e8kVJ?FVC~5cVCFt8O(}y<5uI7g}t9Ou5q+TFsTQ#ii{>|M<2w~vPsB<nn z?^5UU2(c5*C50Y{>(p8%ApBNsk|emTY!?z7)Ut~!W3F+gDFe_r3;9f<i+*rwsRy9B zyk7#My%Z=h-`YSJ_RA&|ekt_3XE*%n#%_PqK>Ma<G+zJa)iw#q4ezOKX%Nk6YM1-n z8;sM4TktovmjJ~x*3Xq+E~#@N<(J19kFWY!6AQx&{qXIEwQhDsr*&@zMn|-79&bAo z-v(|Ml?2^CE!T}VwuMw)+Ku|Wx^dnf(Yf*5j@Q147=@HxJ{<MYy-^$;F_1EOaV~$k zq4|UQG3b6y>Geb3?BnT`hy9PcXU9{uJZp-c<h`~*>fd4m-B8)de%Hb7>JzNfUqRd< zw#J`F^a&-^E*>2<_7`+eKei3oitw^vMk%-28g3Jmw$V3$-Thp(He`SNOu_9)<C|F4 z54(LeNfI7Zbh*Wv3s5_g{R}TMA-aDg>!0i>O>qb|;3kp16kffOX;(Pw$^|LH%?U15 zbpdxSMfJ?-E=x)P43|hfbLva`l1ki)3Q1Z!>CtCrx#p#p_g2pOEfY4~19QSh%_}ae zM&V=2A|Gy5CgVeH$<>!>F7K5vk=$69jJ$8l-5m)HYTeCzv8;5a-VvLc`M!TrKPU8* z-~MuQ6yu3%MXR5;W174E&hpm<+6ALVqK4RG!i5v3z;y4?dYv1!ZR%~bJ;@Etq|YC4 z{Tr<nl2nNg0BuI1it?S2Kc?~9IUAf&L>*_H@Ou?ZLENqysK6iUDV)KXT$*=93EJ@+ z8jlTEsQQyTcvFi$%x@w3p%s6}ejxrAfe?cf*8>^Y1(cd}gxz_a4x)K^0v=({A=2Q6 zU=M5O;7IFUIF60vp9(1fA{wNnx}IH{>vYJc7L5jSjJu4m+(=$JQ8aDIjcGoTPWF{V zh{38>IQlJ>K=%;uU|P_kaTmp;pu0N}$w7}1c2HR`?zjtXV$dVRA25HNNX^{k9JR|^ zC?^?`^-y&o;?RR-Cx8O-Vj;SL^XA-l4Eg9#14xgkI-R#vT8>4%gH>1oOu-TqJ}iey zb)F9zKDy(=&Y=h3`47>L>zSR^7or;2-3VZt&>?UpxhV56v$NU)xPjRX8+Hjj1MiVf zGgqjaL^p7_5y5t$liPn1Ov)yy4fhdb&=DQOpziu=^fQD9bjvsG_Q@DPRWf=^Qu&e- z%$cYuap$tLKYsi~ax-&C@_t3hHQ1#N@w5PxfWm@ZMHVF!RrbFC$Xzg>+nrt`6K~Cy zbNp}{8jihHJg5;OFfGp!3FY=Mlrt<bB}iyTlx+EBODc*HCbWMoO{WZ!K$Ju2z!AUd zpW`l<BpeT=Y%0Knp^ebeNPZSGc_0x&1x5)Sh)gHrXE%ERyx)4oo8KPm``Nb62YZK_ zf}hPyt|b&@0aFEI>Q!6fe5DZ9n3pT_mq2!e9@K!sTouURW`4;roqWpy6HnR18H1=^ zQY)j$5d+Xl(sqB9Yg)vfZsF3Sn@p$+S-bi*F`^i%d>ji;s+>{2U6)!0u3Y7#gU8@3 zH_l_A?fDx3%S}(pErrU-lY)yF;L29YCczj;d8hqLK#=;SixQf5r#%mTB!wV>0?5&F z*Fp$>XgfJt;aUR01O<_!<ww$9-m)bhKYnQf7L(s6yMlijLc=1XNvYZS6tXy^!3|<= z_^^;jRq}KeKJ_ehlkf%}H*wf8G=h{#1xVxe!sLAeiyJ$vtC&cCw)TWOU-U;UBq5#u zC0tVL?7RiAH!(mVPMYy^lvJK$DLW(a*MTjGQ{98z5BYU;9g18oKWOBhY|#B6N-64e znRvAn3(|joW_RWomLDs{o-{Qr#bS4}%B8#~l&)sf-jsW+*|4QKK~h<Ac`yp1JGT@F zIu$=Nh_?GQH41upGw(QA|KV13QZu40Y1dc=ow{4}(%kfn_cPk)Oy_fD@ukfui27V8 zx3b`}a1_LJE}uK18%VJYdKJi2oT&?9X(&5ZXqkV0t4v37X*doEeRh^4;;p0JD0<rN z@F{5JvIKy2ttjRk9l%&}X>u)6Ecu6>dy>A-1Fay^9o5Ik(A!EGksQjex4zp#DFN@% zggtSHdGl1wu(pQ69g>PtpkRZ>*e*hMY<F+@UCa}1yEPTcWD!1Z*Rk$Y74G&JbO89+ zZd89%KM3dYxL-EcCI6c1bR$V*$@A4%_s4!VCzB`H-$my6FCB#w<CjNi##fc;(u>Qp zLY@Jrs?T%Nzat9!mF?Tv8y}^8)1H@t+mCj66x2zp@XB3eQoM^;sjs)Pzq$*|Jf=N5 z=x<yh>M!lcOy$4hqHTuLChN!Un|FNwsJwsw<i|9(`5$c>etamSjgt;hYoqyq(qr;% zxUMuwi@cvS%4UP0!+@LrkLAxTq`<smmLuW*=njJ?{5Z=6Eo$A<Dd{1VgKKM3m&4@@ ziq1}wjkP*`<wK9^MG_b0BG;!Bl8hzN7UpQ&9W49Gvt<A?K+M0Koj4k6&y_tK)_Tip zRX!PiJ0v};pNd#88qaU6eZM~SiG8R%mZg45($3lGPGc<<hM0^YYJ4)5h+Z(t#E>j8 z#R8V68Bs4J1kZAG?+z<{qLX~Tu=2(|q^oZ+TluiUV{BpWsk_4>ra4*Xa-T61ou2&0 z!sMjQDTM^%Jq5n+s$(X5r}^pzk`Te^X}vRlhYtSy!LV~qYX9%Y1%jTLg2*LiyTd@* z#{S}UuA+p}{g)NhI%6i*{O+km$)%DRCL4dar^@!}4YL^dVMsN7dc@2Iv+*VykK9uO z`}7!7pBc;w+EuuFj+wv^eIG>$jQL?hom0oOW6>Bn^B+q}OOh`RLec_Z^OiuR#c;-d zK@5`fUXeWQ)u)qf>61vzg7N}su5>A%Z?1+kQL%$&GGx77;&JklEovE|^&Ix}lEt1N zA`L5f)1X{P(MxHbW4CzCecZ6_kTG07G`@e7sP6IgBScd^pyHT836N>h&(aTL*Inm- zwe<e<Bo%&_pA+ex<L+_PxE@E9%x$cHbm)vl*;=s9$j?^a$kWI4c|E6*I@Tl9yb8Mw zruECf5nMSlS{p3^JKsB&i@XwC1oal6TR!ACvrC<El{~BXTp94Bb;JHrhI%}`Ije1( z_Hv9`i`YcQ+>K#l%sM4tZ)dMU{g@!Ny51$U-i5w3khLX{6?uNJQG}>(k6XGGRq?+n z-9D%+tZ&a=y5;b{a@aZef0MOAQ3mT))YO%<lLta(e-t%!q<(GVF=n}le<nB7d2^q; z`LtAAz!ti$^+$%$#rn@tWM}8ey{-et3aiUG7|~~TvrrE0O08d<{c;lrrOmFVnw4t_ zw3scI&2?lSt_ynmn0iK}msNFH@j$S{SjxrPRP~^<gH|?@+gS0y?+{cz;wVz};IWep z9g!EUe|*5&>4%Oa9KE^Em@3rFfx7A6>rK(>-#1L9yb0C1`7$&fMakCn#P#zX11f_6 zuG$UpM&)sIJ#$UBLaR=<#4zIT!QqqW!8zt@*w%izWqej1Q=qi<v)IeD;26D3TKnzr zy@otBSO&9%p|ZUUpj_fnLUx|i6ke#6n<-Uve}{J!GjRkbU&hU|7PzGq`SJ6JeTBQ> zSU=W6cNr@*IoFeyvkN;ElIx7GlX1cBNYhztO51W~Gn=oH+Yeq*$hEHw^?%bsI@R?x zm~%?z%boO;WJxgo6&7M%^)c(jMfLH;b**9M9^Gi(P!r>5j~b_CE&Gg8uIPYFXGt*j zf0Rs(DZYcbVJDD*C_O(S&c+BMYw#Nmmz#mRA>_s3n7cu^aTgV+c&jHxCW7g!@E$E@ z)7wy-MXhTccWi`8sYG#bm$xyP7Nbc&^vPmu?kj4+uULz6*YxhE6|V`VZ$KJ*f{3l3 z7Smhzrq{;D*`LwS!j$IDEVuJ*WI^>oe`Gm}{l9UplQp)dzqv<s(d*qskGT15zxrvx zWNjhfOh1&(m2GJuU~`>rIebW<&TCQc92+voH;M+6WId&WqHcuB@QC<!yB8-53Zd4= zKePllM5Ccb)Y_B8?76MPR)S3oy)J2^-xXhi6veX*3)q6%Haxy$dXdV!;R)5Yf4Eb* zfy+#jJ+cp8a<^T^8e8HRk;Y?inXE<7)ra+$^F~Lc)7&Uxxoaadj*&x{QMVlS;VF8Z z&tkr`d17t1u|J}RgdyM)v|ob(%~hWK$8|#v$NWRg-67!N$RPHx#2`q8DaDN*7#I=6 z83t<FEpJytWl^ux$EA&1aIQ)ve``D`gT3h*IM`1^!gjZ~UxrkIueVF<G4JWwPiGtu zCllG4IY+~)IbEFT0<;CH#@$y1tqENm**zgc(2*^>vXOC8%^YoBaJ@VNTFrSwnWH%f zzP{<IxV^^CnV=ntTYb#Z%6a6j4rV)>`~6D|I`U|z1FZaXVySAuV*4<of1UFvC1&em zgoR+5%GNfPGBg!Ozqe$s!p2wc<r3Nd%lyHUBje1f?(_OUk&xjV!@B319Pz!eJBfNX zQ6K6nUuph?I_Oq1-PhKiEx7GKtm-VUxs-Nfm@u2-r|k7|1(FrWyyX_r|0o62P<qMz znIx|y@KJupsN}R2Nx@*qf8u2M;R#JTD_#iO+RLAl>|Q;rx=**&`z9ect%Brd{J%$B zNJQE`A8C#*y4t|Z20#nZqk+drcEJhjN{3L>+3M_oR{}#>HsFI_u20T724sU$bs9B| zOR9ZlW1d{<Kd38ztOWQL%li|PoFwZH@UuD6Hp|C`tp4=eG!x?Ve^VYE5*f%5sVQMX zcz@d*K?qPOlJq(Z%?to+Y3y-5$$be!V4-K9Z}sfXpecV?Z8HZe&my!wr-XVY6tWAU z($N;>4we=Fp+vrk>|%;<+~$PxD(az1>614iUEwP1VOTV^cj*3#vlUt0!{6$-qrgLj z;o61|#F7Hj0&e-Je|cWr&`NlJbSBmn4&vyiJ$o2Fr1TEWzxX6&6)Q(%Hn{9$T;M9Z zpQKB>d0Z@4`kZ`-cA%@sQ#iu;1KZpjAEF7-!1jm%Nvlt=O7&!^_hHG*;n&B)F?gVs zl(J0@qq9Xi426Z4r_N~<dj^%A6s}h4<7t<BikV;Y9t&{<f2~)1#C<acm{CBua9wAo z+4K<&s(hq-6o|eXJJug5mhXSWMrLoA_7=<AKZ^grktxY4HPYW+McJ5-BuiUPa5qpF z^FuO)^-9JACY&}tjNxHU%HAmJCBh?>3qGVP0cL*DB^pc0WbvC=MwwnRrH`HWMPkaw zm^dZnO^sg+e`pW_Qcm)e@%5LqJs%g~<BQ*B@E~Lt`^@Q*`}aV7UorV7okCPfPik>h zD1PpJ(0`AYM3oOL!QPsD0lOAb=Py(i;3=I<j<F2Fz3gJMEn!TQC&RyYr11GvP>&o- z?75{I)kR>ezSV!u^TzeXy*fp_F+jOop-aAn-#_3Ae`nR!GVqvCV5eoRO}0y{m;6;< zfGUQ;yjT9YR|4}*^pzfboGQ*h4|Cnarv%x2@h$ga%&~P~HFxt)2f}T1{h}*hfz-Rx zQ>9egu!YFK(bJxMLtbzF>wu$3+8@)e!Cd}TC;S3k6^ppRO(&BA*=h!jkm`Mb`ugF) zp&B~Qe>UBgs*#j*bTb8UUryucAK;!Q9Xtya179iQIpz9#%7I*w4B;h>zupqY({F7u z{Zjup(^a*^RBy7>gDLg$9V}geWz-PMoW^Xn(PVHZk%xT)v4ch#JZX@~k{vMBE=J#z zB~P7gIb7IkH%r)ABIrE#wh6~%=nq3rRugrGe<kp1^5~0fYwGJ)Hx@pnwP5Hd+s+YN zAxj9kS&pFMjml6I^s!h{wY6sm4JuJBDcd?SRIc9i?wWYVfU}S*ifriK_M5`BBKfB` zhul#tLm@U3iY>J`xonpia-@h1n^lE?inAkdoSF<UK<r8zL9p5dR*78Em8QOHJYgeW zf6%4j=2k@r{*=h<4`0!v!49<upt?dsAO%1r!DTowHtke7T131+YN@0eMj&`RU5*Md zC@@hXsf3XiERw}2Fk&Q6YF(Lc*+=Lp3MYm218DcezW){Xa8gp<I7><z=SnG;ZA6^K z`y{{Ywq`CFo77Q#s&nJ?oq1^R&P43)e+h&Pk22gVGf_39)YQnX2;5-ftJYF6@;Xhh zgNf=QHITcy{<8%YUWDpB30{UOH5p#vz(*r77=xhITI$D<r5FX4RomZ&%|cWZ5upKM zHVh5UYwn=mwgJT>!D5GwSEo;XUcc?W<TQLLQxg3A$^kL7x;4<f1jIuQe!Jx%e<J(s zxlk4?b~did=`EpovPj0`izcI004@ikZPVh!S=x9iTmz1+*WA&s(%jje-9>w%A1e%z zbf<6_K9k8$K!nbEW-Q{HgxjiGM4zSKezqTF;8EY1<0LCt3Dx)t6N1Y8?^8&-L)-0f zMCg?yG`>M_z2nE_1apYYZo02xf57&uM0@9)%QNmn^_HJG(e~W}7P03!9|;2*v-S)r z0yt`JFeV<;>wg%?#GErUm)buSMo3~)a2y%Sj?zQWC#k-el6BoP?ng&GzLeNq#Tmb8 zv?%9V-EIPZz2{mtULDzDUocta8;|4_kixDQ)UAmU3QH$1m)a{LL2@;3e^Ty(NzS<d zn+ss{ygRMl(ognsJS*i~FA)7;^xt=~-2y96F1~WGeFO*l__Mdq#$SiWNMTE|?-{QS zb`NDD&DF;HaHTbkzo}u3N2aR>{iV5KuyxYw)a|SA^`+O;L%(?mLFc62nEU?A{_}!d zuC^|z;-*tB<L5`C^FKdnf1zAgd-jOkiLg)gPx!UNOwewY*hMxNM|U}`-n8oqu`Od6 z;OqF3n8Nv0mn5Bkhv&uO(z++Q^FDKLaPNBNe($c0=8lHQTHUo&z*=OooMcmB6OHQh znEmi<M(xwe2a+0KjI>G%d-G>cPIp|y-__h9m!Cht3z1HoO&PU-e;OI|nYV{eYRFKE z=*yYH$SQ<K+Z=@R;j1L)iB!da=!J7xWvhMf;|D~nr->&zuA~>bu#^{ie>&&3QqAgf zPxOvKl6=*gqDuRkq88K^W8W*&-vAG5x3`-fD{*%a_?$4*A31Ylmk^h+CpINGGZ|;9 z0`#P&9`F%59r5v|f1jC5GgaXpUzE2WPq_Agv_uBduS52Ny@U$}{`|hEINi(Jt5B#Z ztMSBNwC`|pE#+=W>)cRIW)PjqSRCMowESQh>R*Fo-x%m~rd2thA`|Ru$|zfM4>ugY z1Z)!Sar*U#@E}Lg{~V8?|6n2eonrdN&{OWMl$V@}|7PTTe+KrK{a#50G4tO^EL5#i zHu7Xsek_NIi|H&clgok<>?^V?A@mw6zgB{9%)DB)^>T|oD2-3&9!|$jH8F=ssINd+ zL|?zjEm2*WOtI7IExb7{H%^l|zzLfdCsi=aS$vlnEo}IO#fslMO412%aanC2`o&)A z^eIGGTKmMAf6C!i&h!g`yoilljG({Ys1S3YpX`t%iv0aK|KIN8ebupnB3V8ZBvomU zBw<|o=}7Jc%!-Fges3uxNsy979d@Txwh_+KTD%eQod3;u$<EGLQ8|smL6O6m59^dk zatW_cLFc0WO|kt{HVsgmFQOA}3<m14U9wEiC{;F+e|@oZ3j_4MPE@fj*cRLLPU@X_ zo6vRd9C%B9b|JWPFpipqr7k~62HtvYACOHfjF&eRD!9ocE<czC-nN`woNgcR7B$JN ztdo6laC=1W+wc6?w?FZAO#C&e0vQumbfx<*`A@#OI7@B;rXlw9mwjY=VOjpIW1SuM z>f`X8f6%uNli0Msdd=^(vf4)){F}PAT?{4{EH>s~{ce!nZXYB!-XYmd@vu~4)bQ~^ zVoed1(Yo>e^30i!msH}>Z9?an<G9t6F8v3!`Gg@E;DX6DnZv@Z9Xe1dG2}MhGbrA^ z&P^uWmivP1;sCS0Qwh@B8KO<vI?*uObR>xif0;%pG6RIXIuCDe2q3*2#T5J{1D~54 zV~J-B65;c^IhiDK<eU_l{5Zchyc2tWbO1eEQglrhhysFVy(-YAl_uCPodfN^+UqH# zu#qX`#!2PXwB+Urin4_<m$X4fxy@A&yMg0n;WauB%9})zlzLtHxjLeOBAMP_Pi6$t zfA{CwN2wv2Kg^W=hE&>5w^2&v<+e>V{H)-V%-iiK@Z2FCFY9$HA#`uB*PCie$8Q~M zaM;GB3A<_~PBF-XPwpBWuGvNoGtfqSuBQT74GWmL_n;A{T+sEFNR@JV*<R{8&r1>K zH5OP+)0EAAzhx6S2&J$O{D?9?&wi&4e+WL-%Q+-+x#Zg1Gau?4wIMW-N~8`7ulJ#R zJA<EAxu{g;DQb&g8Hdl%l<v3vJ6qI{-u|WXkNIPrg(Fuq0&dSmrpb6(AH{xHI4C2# z#O++7ynb{arD?t&5ZanGYM)yN+iJJ^3tlIrOj63ITby~^>}u<}{+zjYY0tqVe_L1K z!LaTY2S9yoTe0TJ*lPBD5@>@&s8nN4{o}0$my3<+?b)*S9TJ#>AXbBr5~KPVl&OR= zGg02pJ9p)%A|wf%K~eA_^RnjpCia^BQOO#iw$wze$JvJMGyF{K^*_>vS*cz20~3%7 z=iFd2+tEEpZ)wPCPHzXW(}mS`f8`e9gI>rib0a{~dC6u{c*%v~EPCD0B$@d178JbT zw6U<vv~uyqp8tJeYGF`R@F}PMc45iQ8uK`OMVc|}4)1!OOe*omE%*+(u+c!kp_SE` z?a!BdO9<q=SOK6J*gW#Tm(dgZB?H3DIJqFeaF<EOaBnMV_eoI-x>uhxe{VZcY#AmR z+tBT_b^0Yb&2ea=y0O+76P10=JzO6g)K4glYjyK?fKw5-t++F1NUk%+Df`rc?oZR3 z6M;_Kj@r8d9Pb-aX~==e-=LwD;Yj9{U;RMNM>@2E&KxU(l>~*UCacJB<6D1Rw)Cpb z5TEa~kyVUM3uqP{DqQJ%e`pCCfrX~Wt|-AvI_UFCYU1<R+OwOYxHqwxm!q17#VICf z(jU+tX%#&`i&NZ@cWfa22iHql1hA^_J{C81mT!MeVGrD6Ojy22EpC#AZhzHg+rPrL zjeq%=U)<VR!iV5x|Fvggi-mKaP~6e^`9p0j`}`hR!o^KaaYtzJe}`IHlo=-}4d0dL zxoawMqyM6EP1-l#EovAV?WUvS5|UW#cwnM$7&J7LtAFA6X0B>~p*Y)4ACFSUcjnY3 zO~Z@BFexPQ*imisH>_#<o39OrW{8RYbmWkw!V2l^_u~4Q@F8;&jv$|4lj!N#A<Gbs zxF3~N2CNO^pFNF|f9`<XClV^@ycIy{XA1r{IZBU9Y~M6I_q5;q`88=tl{}JW6{GrC ztCAFBOM?4xwWOl@LecnuJdyaefT1^-B8fBon{0^;n=TilWrT8=PU&nRYEzC^hH^=# zjg;W$ys;2i3hnHDaZcwYHP|G5Hrd(xh@+$2&wgvO(nphgf62|WErg)7je4@u#}s%& z$|$699cb*ky<qeUtMh!a?MCE_=%)k?zm-0;WF$9+LxsTW?{nohB6yRD8aHAq@LUY` z6)tS15BqCID}AV^v`Ak#{bq+sg7A$W1L{$ZSCd#>Mpj*B$fe7b_N}O-L6Cc!O^Fno z`K^eN*HTVpe=r)Innb->l|TN4#7q<D+gzto^eB>>!P#ItpUF9`+WDFBoML^wnvJb} zT4sTqfk=+0wHTt0c!gnLjGmKH;jd7Zr$3eP(3}l>$zXk-UJXR;uV_@YC$aH_cYXEr zU~zdGG^KQkFH?Q+MVLjJRnlXDYFz-RI)_&2@7aQ&f3CBDQbK`Jnw22Ct}}&Ff@ZmD z9XT<(NDM=7Ss!C>a;a*aBQd+Lf0k0h+o5{Zx&&iVb_4ohhTcf7L}Cdpl!7;k!V2|V zy4As`pv+;ErTZ^P0OeD+H!d~u{f7rpxc(m&N8#iFl*RGS5=L2s{}eWql8#ccP>t~O zqKrFKfBnP%TKx6T&4eNecBsngP#B1+byn^lmi*U-jdAHK5%k+G0(O>A+@-Deej78# zyk1%$m4HkQqkQej=-z9p+#<2Ue=_}>Sm6m);;HyYD$5uYAO;%ck9VU0dm73E$4WR= zLltR3dBEx@#UWR$>J!Sb{|}%%<8tvv;F)JDf5q)QXWT<hKiqW8$*xHeKVqpCBt88( zLlRF~!vjONP$(0~J$&l0YuSkk8LmWY7;0jxjp<Yh7(GXo%Rxb4aN^0kH52d*1FE*H z8&oB;kB>q_!1l{re^aJ>2dx4lQ>I)exs?xx1U%fB^8I(Lzz?zS{u*}{9P@QIO*6ge ze{CUReRJNT$Nt8pm5BRILF+Uh%b@O5w97Ry1j4VA6~*FwTYmgKiN3So4gYM@G%Lp7 zl%B2r@F5uIT5BzymXT?ZaglquDMLzmD1GMG`^Ab@tJrAMG{?li@F5L^hR%|AZ4d`l z*rS!}Ev~lC@j>)UA<TO1H_|=$+DsEzf3N4n_d-{EZ3AV=F$(Wf-K^&qz~zH4*o763 z&bhH6I0~M&u<51&aNDm5n{8Ts<tmO_<`v&x^BTBELBYeF<(ZSZ!=bZH1IcZf<-@9+ z!Ule9yuaF<v3g3<Hnk89w$Ba7xwH2TLns%QwUX9ug9Xc3!S(|KZdW6#WZ?0%e>95~ z--mhPSZ{@{w*ieGH)1VURwV}Z+G{=<*k24=eiHL9aofE4<Ysr*>}GcX7RWchU>tJy zo|}!xQP-TcmrRT$V4dhJv?okqr2$ID`V%glYB<(vmZ~NpOKIhIFsB7^iqF>XI*u$P zBd0bdmZuqaAZQM+&V<xuz*9JkfA{WFg<3Z{uoCuB@|vT?3e~yzX%;OC5xdn1OCoJr ze&5yA29_jBv4`&w=RnfJz<1L-=aheFrGphS$~1R{xUwsLm*N?y{(f`R#Bq2(0UC(d z&YfG)wg6)?6Iu>=!P)Z|ZN0KR8%u*(ydHUkc^&?oX{LWSwPUUgRPM3Ke==l9W6fY7 zVmPhYWOg!6wF$j>$<#w+TKPseq~XaupI+!Ec9{_{EyG;;GbRZw3wwt<AHJ}Bz2T1Q zX8~i9M%xgZw5VRZEUak1Hoj2NeHDn_x$I$?_OkmU5Q1>!URo*})PA?Cz1bHQnffK) z@%BoMMuTj-qDxBV!6Z6qf0OFkuWK}l{0@CAapk!OkGSy5;0(!6>=P<SzOHw!Aj-kY zfK|WYs0MV5U6=Acw?qImfA?{<F@O*FK4kO3&>8k9$9cK_7jItd!(LHA)CJyaF|i>z z70d!EZ{LYtIqC?(%Pv!<2mhrdw;(aGWjSrk4yyfYo*P;};`zsff2#H{V`;OQ>!@h3 z;ptPv^!Y_d<wGa0@azoq-A?S7sLddh3yB%z$Mj<=36IHR9ZfA??-+)d)-<)ceC=Dg zg9=@^gl^upZVc0*Ay)EX4>Jb7qXR+Y&##BJe0eiwQw+Np1$=oGy3j=c9LI4^N7S-# zUvzC#bvr&Lk65O$fAzG7D|x*R-9{cE*>hu=24iJ*69tO=S3AYRC30U?$-eS3n|+6X zy&G$Odr#*6QB}d_!!C@llm8{p72`xOgCklDesF14>N;cXak-1PCn{8s9QN=q`9mJw zUUTS*z4L$mU^xvJl=w8rQIfH+8Cpgt3F9{m+3Ks43{OEzf8{!i1U{A!533J2{wWIG z*R^c{gJUY?qGq8zh;-Jy_ffHRz|0NZEUp4vie8?#U=>H&Ag1aMxD;(gENTY1%XN|- zF~>S}$HD{g_oc+loGMh&wc$REav8JQ>bmvyu(+7oSmqyc)3e%mWj3}Td|V51PPZt# zx<YV~%^WOlf1o_Io-!nXa-&1wI`J*8#dTo7!z`3zt;&pboV-n+J2=J(5nz>_j6+M3 zm>;!S2Nd2&dkyp4Qdip)(BblxyHYh$r~dAqy*Udlt!~D9*9vSnNN2@vh%z{w68B{X z{&mCrx&$>o)SW!@m}I;*Zq+xjn@2d1PKzq3^o$49e{=Kn=HIc`Gb!n2r2-S?uJwpB zi6LBK*~D8L+B%B5HiIYLYW$pG3Ew4uh`~O#myf~XU<{W}fQ(G3>NFt)B=<`CXJk5) z7K%!=?XT+|xoJw}yG1<FtKX<YkCuAe9%YP%ub|G4FTdCp-*Z3HZ#)+)O1x+&%4L|J ziS(X)e;_SQyy&Gf`(CL0@D3wS8C2L6?wFdRFEK&~(UMH^ckHCth%~|#$Z(7%hfT+q z6KFF_Yd0=_r?4@v#z?EWs;Zz+wdtQtXZT4BokeQYPySDoPkxuxJL;-W8(r(XS2>AR zBpIe(jw%a{Nl-`^P5XXi$f%bon<8-6-T=nIf70@MV4=b4-601H@UK{Kscp@(dZ73k zBexGpl9?l+^c11t=UHeO3iy)5nK^DA7|U@byan8Z37)5s_Hn$p@5;GR#kL}eept~6 zDaE$_ALY94r997CZSk&IvC3-;$EVrOEQWwBHSSfO(bbo5(@OM3=#Ht}NZPxfX1RSN ze=ui$9Y2RJZvoU+B(T?8pX}4E|B@Ylk2KoT9T_MrjwvUBL3w)5?%3Z2zLPVB>uL-a z7H6U$MYfgW1M$}mDNc~7q1^0cpGE&c81`TnCB<M;N*YzuBR40u<V3yh>ILjUG!Z=X zL9XxVnd`27>|;>tS^P=p!(_x=aJKY{e>vxmcZ6bkKNI@0>DaITXk(sKNi3q33SL%} z*Y}etOOYH{lK)QHP5r<-T=WjrX&pGpAH63#KglJjk{x^||BW)1^W>&cMw(jdhcJyP zr6B8co_Vqi@5#_yxds%^QQIjFky0Z&C`Sd*YE3EK#!lTT2Ytl&!)W%soGm2ke*^8C z8<+2f>^sFB8YQ<RO-X#vFWdqo4JZ0O7xdC;&7w#wSUI3jW3ljx2_Bl9xNwov%|lj@ z=r;}_9gB`C2M&E;oGR)(P4y%})BSE+wg?w%?L}s>&CmRP7(Y9v(gzZ|)H}r6MPrt} zEB?^0r}o<nZuV<h*Q^-c*!zKRe`y^P+ihk^S2-^RqitzQ`nq3G@WQ#szb2x%?fE72 zuL>=kDE{9|M8PkSgRuK}ZH7aY;C!h<|DP4VV9S-=%4FPsvcJSyvl}LVPFcyk`fww= z1kzqhATxnsESNIZ;om1(Z(DdXOXof)iC%6Tel>$8nOQ|;n@t}nwz@Rke`!lZxk_Y6 z=T>dhdAJ-WBnBU9HoeY;e4(5hRa*r7H2>aR<%&L!bzNvfVH+m`=uEPG+6cpSY|)+S zk&=|A_FcktYy&PWEgj#?E{aqTwFxl>Q|~)a{!Ust-apm}ahUDn8BJBWVZTtFMTj&H z|9OyF^6O!=oL*QxoQ3YJe=(AfnfPS~&M|8@cH%bn@{HopVzuF8E=cjHHf*FxCrA;B z@Zv3d(6(TP%tFAuA#=yyy4;UzZq>AJ)copGrJeO>=f!4sLZ>(%we55reEOo6lA<oH zWOC%jY)MHR?fGVn#QkM1cmxOU3p8ylaFutD2Fl-SZ^;@kD87~Bf9U}7NZIh*Uz)bM z@Q_rPauBrGEVtM+wdmIv4l&ZRtx&We^1Y;(yD%NdlOw@C>{??-Pu|6r&_T@-9>B#_ z&|@1Sdo>T;2Znh8Ds=XB-LqkfmuXg-n-7<;aVPp4!rJ!=1Xzwjasew4b&I?)Au4n8 zg8k+G4bc{ZJ-moCf2Dr1oLmlD2?XY7NuTWZ9%*66;-&M-Nx(t1_D(VN1-sdIpbSd6 zue2mPxI!VKrxkL<1+QdVw-qC{L%)x9Ht$~O^ZL-asw`$1{hb|el*8O#;^n4C{ynIK zPDM0Rdzd(NE3!U)zF@Yr)SW3fe`(=dflD%Sk;V4fNLJk~e@Ngv9?YfsnxNnfou3OZ z{+&_rwkldB$RuscL31&d$`I%&%niz$wr=uCF<Tsa`$D9p(Zs9O3;VJP?n|NYtv96P z@86sFG0PXe&96H&A8s{DSl7yNTS%l_oT{SW+^kd$blj`~;hTp~6Iyvpm7&kmLv|_n z(yN&b-xfB<f5yF1mpHL@iC>T?+!T0ozi>L)^Rp{tO`wdq!<;h^N(%cLzpyd>iQERV zyLgn?A);0L;xN7=rSk&24)bO{M^8ea+<?cY@V;=JF4Q%{>s?-fLPBdI_65~njgQ(| zqg=L_(y7@Ac+sGCeCkuW@!5EFuk2vxwTfXbB1FPie=SKX6%h2J%5ikkn5cVm_D`=J z!zAlHyB$M;Da~X0?4!6ivi5tI<L4}IHEhYgj+xg?k46gmRaC1*3J;5mZf4(mn3oZK zWDjjB%*v!gRfl)FzMF3*m?*GQ#%Nx?Q*PbPFD`mp!RZ=@5x)c?lODpQW!x>i(x)^? zPQK$Gf9LI*ca<`s8ex<&Y2oNvvTeMQ9q}7-6P*lOwCOzE`E&#Zn~XSGd^G-g=i6|e zQ~2>b2SI*p=pk{adQ<L85=PS069?m5cwDlSGN9tMkutbGIPADuipyX5F|(*n>$PVd ze9T!BKBp}*r9Gh^BNIAzZLRh<Cw)-6aj-zNe^<G~14q{#xE~@KyG&jF8lBQAyD{dg zKh-MnB1PMo=j^+FW6f@tI$&+|S5K-*;zgRavt9F6Co%S+R;To81F_vCh0oP(EZL56 zCCg&iDCk+Kg@TMl|KM&c3SJNXgXWu%C1I+UwoAflzJ_9=;a-jZ0uJ%EFqVOyewu=! zfBBTNIU9$$p$qP=t4mCNGaFOBZsU&o@kYWd*Fodvfj(j)8i78(O@6=bdgCpof*OEF zvDb+Tze=<1vVBq7X-BE3UG_5eDVa)uTJ11u@T<FB(G#sDWpgX(3sPncX{iMYx$F!d z?+r|?1GO~4^&Q?0j(qc{S-XEe@S5i?e<xn-qLRLBUfdbwpiA8py7{JT)HrgX+xp?i z9Hm^L29)7!?@34}Y5=-GMb`3msC@6@MU5+=J2@pGA9q40rTf7=^O!lP(S=0Mgn9nV zFm9VvC(4l?sSbz2fFLp=3;EM(vPr64M>qn;$<W9hmFPC)-DR%Wg%^N}WPr!Oe>md} z|Gg5a+@9}`?!kj3g!jU-H=X8}_F}8?Tcrb&V$LYAcZ5l&oVZG7j8tpuQq8UDJNo4R zFAjR=AbueCk66z$`Ms}7c|yQF>rij{;Hb<qj9-lz4U59rhppkt?MoLEo-+a7GtAyI zy}mOav_I^unSvjUdqRhT;lh7Yf09JfvK|{>Kc<vt?Cjzf7nC8WH}#7D{N+n&?8cKl zG3DG@`_Jy$@shZF<9@Vz`@m2!PI$2D(t?^F5Gk320*h_0vA?k-T)b$?U$dW5oGgQ% z$Pb^|TU>c;5p2z;lq~&3DAW!-uJ72?-$Z?o@Cqmm0Dp+_p<LJGbC!1vf5}&p#A)Rw z5oz0)l5gwDu9J9QbxMbrrvP5z%|a!Q7ooj}>4^_>GnQ&o5eb3(n0%*!;bxEziU%&3 zZI|0K!Txmjx&4=d9>ArIl*@gVY$}Ua{AH8fT0&J6)W?c%^}Sya<8~^=DNU}Lcz+@u zWmAsR{HMAT?-Zkzs*+JNfAu!h9?G&$DPaP2NGPcJly-`v%1~TPsdanxAAU30rWEHm zS?%b(ZSasYsaBUWiQM?pPmsb))AP9sW>28a08y2UxYD5f3L0yBx$MjAQbBph?#gP1 zp3+uTvbZ7ZAz?p!15sF#L}_1#`s8AjX}ZTs2o3qcR1<7fhQ(2he@v5X>O%8<=f!$8 z)myKHR`R#DXY;ovLPi6$yuBb<^oeW=q&l%&#W==_<n<r{8v@$_hM`m?@P8Z!wz;R@ ziM73wS;>N5ha{zKIK&a)mq)Y_!0hWXsM6sMV;*O`%2QphlqK^YdS6g{FMtW^ieZkH ziT6*rsBDDIlY1}Zf1G_@)F4SVYj7Cj_pVwy(`Wqf((&2&yR{AByiG~Q#|(`Uu8A8& zj}hrAUE`{-y<|y1F40ykdTl>X0d=Qz@_X!MkeTOnzE}Xazn}rcE;=P4Y9q4ADtl}h zc;r=@rw8`r&t0~X+}eL3n(|@LE={T}pW<*6k9$r-z$Y8Ke`hiHGfCf${>#M~n%&J8 zD9S;16C;zHDM2+(77XC@YdOnrIpb?NqxITpY_W-Gv5{}F!EXUiw&(-A7bVjMPa_5M zr^PwL(!5S1r_B=7u7Mx($nsHXY$<V%zq$JtAU}PVW?MkF15a<x^(ZO(*z)6G)>QHw z0wdDN?l6c>e~hZ{KCk<%B0@kwe7OfC>;0PL{Gmiopt1!}P@(q6-WzULTH?hIEyZKN z6#sPfJ$UKILk&$PzA$LFrQ2X!NyP(0*euBXoA5(Pk2tsV2RiaAIv_dqExUEW1ufZh zn5nbyID1{LeGzBnwG$+i9U@5a$!&~H-PbdrpA$2Ue;(hroxbkT>%*lZk&creZNu}G zhbG~O(>$|oyP~e48S@8T;S3d)llk^aU0;CP1Pet+3f?a6e4oluTd%Xri=<iYMuOXI z9P<WYHDkTLo)RM0s9Mw5VYih=(wX==(rnX^#r^NLBU*9IlcCw^$`2`tKRQ{qC=cAI zodh)-e<vTdxvVJ1X|2|;C*wP<W-f5rcE~S8q*qva*H0Bb1c#n<_HCsk`QXJJv)%C5 zjeTBRi|Wu{?y$~mVhZAJ@4>1YGU4f<`3vf>%4{+XWUNLH>f>;0IkPi5rKYWFM@*Rn zk;ln!kctTBSbS07a&{EZ#{HfWqp7)B8R1*^f30#*Qg}iH<((_TfFH!yu+xk}MoQ+) zbR~18a#R{5%Ke3c1XAq$)R7-9Y-mOgKfx#W2VI4NfUn?@NtIFDlZ4t__M;AW7Dj1A zn!)KA3u$f%leq4*v#nHLo&&9v-!ul(KCwt6y2hWo33=)Lm9fB?NQSJ{H%+TCt?N~5 ze~G+VvvHW2;Ss*Oa%w-BN_E?9;X0w_XLfcq@X$xc{;zcv8BaIr$^hfconkAC>jiZ! z{ZB<M*+LI%m~LCx$Hqz2Wa!FIVKhk2drY*&GmEsdD9=rGuE}-qhs1U+5!&$@z%7Hx zP935&Ur3~VyarA<3ROf~WYS7IW2{%Pf7@Si`K*}7OyIjdRzq>?cP@C4h*iZ}Lks+# zC|&jK^%`B)2O?&|zftI8$x=jJW|Vm>9TFm%uYZ=Q+3tIq2vD_wtJ32;CBNj$@^fC` z&lX9Z?q0IaRpHasO82%026K;wsF?!=vuCCj^EQ46c?EEfCNtGSbB3K94%JTHf91Bc zXW0d%&rFRh&AiLyMujpCzKc%zE<Whwu%SDAoF>8?z@233NFqH&{m+^GE-vLeKguc8 zvyrx(^c7l3-7tLIT=R5nyjVhqGm9Zkx1G|L)v-@k=rgfI&!J+HQ9>CQ-tkRBM=GnB z9O`dz;%mQO>17HGb}gg!7yhY9e<e}n?lvKo)hZ3G(X3or5K4f$%2w{ZalEv{wXUSp z-E9TWci2W5?J9#?X`p{aLwPUyxUHZ}!NX5o%_-dGB(sV|pv@Adr@y(fit(Vc6g2^k z6hNJ9U1#hWN#S^Cg$ld(*v<W{9xcHs-&*A>JyR1*Z8PyKD>SIT)JRH?e>J-+l-Mmg z;0=2gTtppdceTXXr@N@d{+&Ad{*;@r+MG*x#+<TJYT`wv^?C(uR0p3Y#l3waroaz9 zE{^~wMBREw9HljZwbSXJ`k7wGxgXb#b9>f5_TTMks`k1oR9hM4)mk;P8}L{AkSJ`Q zx}_-1R4-*0c5!cR&D0lme+mCbtN>0=o#w_@2>&anP~sA?Rhx8u{bPTtHvYdN`M)CJ zzv3fGjEzfhX1>}iVc_h&;1S1tEcvP*nK83gN7?)JEd5hv&9FVRg`d+bdt7}6R_$#j z&DkMl2i-d{-huv?)`E<8T0@L?5Gb?XAkcV3eWot6CdGK?b>o!Je}7o32&%w^Ewswo zpf&mHSuv_oYfdwghAAIsDCd8ew-Tz9IOjdI;aw%H)i=4C_?8GE<ZdlLE2+D0BWp!_ zu~}ZnANLajGmjdq73==tq{}tm?%>B%SSjB7(<m8yCZq*sy4bqx#rpxtR2bILlg@F~ zZ1x`NkM8aY9Cucje;f7;ms5Y9HbxO#)x1%bw)ou(ZJC3%sC|~ZLFiv;Cl1JPGdx;_ zJ+{iVruzMqsq`t5K~4|aTve>J&9p2_-F=IuJonD+F@;Yr8RF(k8G7>#nSDvc5q-wB zL-L;SXSv{XuUV@|dQFn46LWpcfE_~~$eFAD^S}+mUP3i@e|_1&E<*~jv678#TBf1_ zAmG!0f?^htv9gUr8naRsARu+XiXjoSSjgR|mF-#UmD)ZQao=v$ntvOh8``<0Y|Y#r z;!181Xp#M;44+5UPG7_R=&{RCGaLExdz<xKBok*Q&(VP`Ap*l*H@j-?t)?N*z!E8$ zI_=@qXu5a9f5yB<+O(1uiMa6wQyP(CGMTu+204RDJQcfV^T20@I1m++XZV1g;sx29 zwhe7shtdUMK>NTULkh{9rj21*fs!xroScnB+GA~YG~<Js+VS*(-kQEiW{2@$U5(>G z>dUVifZ8V#Sy|e&y9bQ*P5L){ZoGP7lm({?t5gd$fBH`!bRgD2<4~_;Zu+^L)O`(A zUlt|_qVxi+!Leu?d-;07|2O!Ak^VZ3?M>$7>yCwv<l!;2>ww;~^24pMAE?>irQYqw zz6bYzCrXCx--!zQ{`hDveDCo^b^#u$n`#4{DuiBGsjajnoHr<bP+K9}a1BU4kjNer zZ;TfEfB*0UdkjxM?vY`~>U37%F*sRYu4bAM9{6_JYBac2`Ak0yF>O(Lp>vz99+oqo zh<V@hV>VPRn&vm}`$X<*nX2Bb^YM4iJ6%q7(2FH2&h{hHJi~9KCTS=@!fllJ)u{WO zGv>ip9-7^E&a7>uCg`>Alev!{X6o+y#Trj`e-f6Z4W;hEI0XjK4&n4rzogPaGsd0s zXCmq5*M)yn1J?_M)0gktl&7k0U70#sj+CdI(4Ck%&QXC)*nd?6%ikxJ76es2xcVfO zI-yGL>30W)SwJ6}E-z{Is<O1hGUn||-^IyWjvp>``~bERd**GmWli|h)Ha#l1_tDq zf1QW5-8_otm<gMeyifYLi>|g=KI0JDq-A)^%H8l~hY|ZiUl_Hmy!N_N#1$HU8FsT0 zIv1veFcH2t>Hbn~p@;r@e$#sN=<kljgIWQqKfSskyO)ttf5b!=ghT|ZY5huH+8{28 znT)@lUHpFfTyVvYF8)Z9`seczXVG$jf4**iOfM=8U-z=8+NJ($^|kG@>BFzUA({Ky z+vbvK?R%4^-L%lDkc27EsxZfEsj2aY1<~Nmm5$GaJcxN8i`J2wJ~;=!9sKg^zukn{ zpA!py$QbcCg%!R38~PaW>bM6p{pvGX-No?YEsy87-`xm2lo;to9<HCc({3F`e}?3@ zy}a-^luW%`@}-M!q8aEX-(PNOi-O?Aif!)|Wk*RY_Rnpbc3VF}IQ`F7ywFqb7ML&N z!){|!9v5m>NwQU%BxW~O-(p^h&+Zo`Q`S@$ybwH#3FB2Le7qDmYYDr(?K*Q?IqnUU zP)PB^YtM>m#S47>VXX-Ab3lH*f1%jSi)UrHM%)lKGx|pvd=a=!5&P7ba%h*77fA4j zF=%E`db8f6WnZ7iq+pn4yS}Aqf028^a~OiCuWa6zWl1EmQG-->XDIpAuc~Do4ifqr z5A!Bo+WzR|y37uFV#D87ZJy11QGa1hdNjPtCcdwr^|Tv#7LiZL+^;g&f1>NT3eHz# zj*A<Zdt3iRevB;5%+1Dxb`DKdWH@ap=%1RXB0`W(9cPnBkM#xoN%&f;Lhspi{Rw+* zbn{ENBgl!aCZ-t=9tfhObB%7sg4=;i=tN`}UwTkJPjCrmpezDoXv<5YBZXjsfN^<A zOr#_X8^9~y{v0Xryj?c@e}twT1Nj<832>8dM@NdlBmhV9`_caR@DLC_ov++}>=gjc z2uh)sj<_O*3xdY!JHusf8D$q3WxW^&ej(q-&?m^QHXl6Mvc?p9FY%N8&(q{zc<v&; z;XU<e`D4^Y;zUJjgsaYoC#)uzVk{CruV<g)t>L+I_=PjJq2>2be?JF^#Yp@_&dM=^ zdgwV6sGjPe7jwYU0DtJdDEtnuz=|LwE%HWZdn3mR8UvWowaQcBMkvIg<?B<ee%N?o zPmU?ZCiWAn`{_&P@M7}S_p49JpI~+%@`YYdQBkc%uRT#=#}peD`?=QhR7(ucz0@<@ z>mwRfKGiC0<4Hdwf2J6=_|LW8rwpLTNr30o{3}o$V4bc{As>tXsTBi^3DiKxqM#A+ z=_Nm|)oU0IC<kD#FcK+-X(a-q0(sGoMTyZ`@xaglr*xYNrZ4KASkc26K)nDUU6wpo zlo4LtGb?5o2Pl!wKw(3^I->a%oDc-0|0`b|WrXiR4nqJ8e`QUvJV;=F05h_tm>yCv zT!5(j;&Tr{7&m~Dj*o|85yL|QrVNsx6OnU{oqguP3Nr)vDLBW>V$@N?X+YF~OZi1i z4;dI50AF76Ig$^?2uP8a#6XI{hyedjoetv!)XM+A=yNX+FI{K!)l0Y&$c?Tu<_hl_ z(aBRg88jSWe+)DF<k)Qt4;)w>pkK~AZu_Z+q@p&+9Kb4j7Ar<jjIU?`3I(vroyCcf z!-+w9^cb=@(XXEKzp{D(a{wsN$I9Wvy?V+|2=@l*07~e`!e62BlUh;1{6T{BEV3HW zpPqY=!fZh706qFLIgPkaPx*=9&LCsJmh4FECo~Tpe^>xuOJO9Y`H2+`EEt4MPb$k5 z{nOO%+jA>+m;eX?;G{E<ua0bfVI};0vnxs%K&D{&%!3{F4&(#aqvwfgrh>l&anjdB zHdDYUKu+{EQOz`P3=k!~Yh*JyoD_r$Xp;Z`vjbjyj6pAy55_=>!^i*@^1<jxVVEf3 z6&o&qe}$5+SxC0RAr)5#qnJxk9CQMRlcoD9^mD_5j()eESn;pzz&lK1Z2Dm~o17S~ z!PHFK`V$R<vcVx?<2jaLe%n9LYE1BXLH&hxR{!9MxUo6w@R3abgljLu?7c0e@f_P* z5!_5oCEID8Ik3J6cP1{Mt)Sst!`sH_OlmgUe>nBIZe8DIFg2eo&}eSb;6gR?UjJV} znt|`rOfz{~GMzbFeP8-aYBO5}t+{V{B4=P+8C!)na|l!4$t)?Tt%BQ}gxN)JR!D=b zg!|kuC8a6mY^dZ<WyI6QY`kv-f#FGGX!}bv1Lh<3i2D6Gt~QS0NixsiSgL+{m5_m5 ze<yJ%o#c3M6er>*P@GL~Yz^%78bPHkzNI(zG?NjFK#fc8GP`YwsA|uZblAgQTt=la zCH;VDavhuR8F5h+olG0U3WT)5x{B}bmPt$<SK~|Tkj}TSfL8wn{4)Ooy#5#9MS=TH z14~l?DjZ^HIg<4Cm(h3?-&o53$i)AVfB$$_?b}z0|LQ*F_^4F(_SIehN#Czoj*sW< zFRkUAN%{iKV>~SX$)=a<m*ART+sPz{QpF^PVzRHxAHp2Iz9H_R#n?6c6MS_`e^F@q zK8*OA=+wf>CLh`ozg40j-ut#=@u0+#PpiIIN2^vxCGOw!7NI(LWA1zd*jswzf6FG` zS{A&I7Xg9=cF|Fmcv?QkML?>U_$04vk!JTodM&}8Zuc0BM(uc$^y5opXJJd2(BzWK zx2PRFEkC|_Y*EFWg9ESJfR8|`-j^!$K3k;DLvHD0Wrc6Qo#|}f7+v~r?cX9X2B2w( z{+axDLR2s2C}>}Z<44h$z;6)+fA~w9$PV-^c|Vt6w{GmBHlEJMWy$TDlodB=Z_$1q zvLVZHkELhZuAmh+dT-IbY3|6wT>sYI2{V$gPUeXv@)_=!!<wu6EIC$da@^t&`YZ)M z+h8In$;1-H<AM6NNS{wtQ5$1T+ly9Bk0r-#k$#_+2^Y}bUs=DPJ7b>Mf5S$98nb6h z-1$6<#jg}nS_a?Zp60I^%$vNb<znp<mxf$DhcCle2`}jnRe}jU!r&S4{e&ru5LwAI ze?p`&Ob=8}sLcq`YX9Vqh4h4z{O9ZBT9z?pbA@1jq%vFr)C5>j3noJ@!A#<t2;5jZ z<NPs^9k5Fx8QD8Jqyh||e@I6DjtyxIOCgexyCXr)z*Y#twC~W6DKHs=M~ypdWG$?V z;8E+26=?w@$6D8bKlh-AGkGM;GG4?x5zWxoXfK90(|a(&`(WZQ&423^FuH0jrZ<y# zIKf3=F7XQlO{|Bq!NeXaaJ_g;06r^?oMifyH(UocLl~y~e>B92e{_R&5k1QNx1pGU zED#Ya29yC~w@R619b@3pFpX|z^WcXIA?0Ab@jw8rhG}{;v4;cP87=^`jUOh+W|fY< zdIRqPp}}9mNa8sOXthjpu3o@7U~l77m}-<w3z{)JG~w@HKjQZQGt4zAriIN<Jrv-| zu%vh|P#!>(*;RQlf4>>s0|+<x_xlr|iP=?U5z>r?+H);fL3|s4m*pY)>VN(xm2*V~ zw}=m8d?>iWhs(r2GK{I}2C!-Y$QZN;f?(1fq#l-V2AEQOB0+gPJ_C=IY2?*=csdLU z0us@xQvnR=YE(_*uiW87Fcp9yeT{lBlZP;T7bXmHQoaj&e*#+EulT=RY=_^H?>~#} z1d}Mu^m~a8E(4msyR+}AA9eT<mvT_cDap$2yp@e%dhf<G`^LI9{Jor<tWI}i#cU97 zxRK6I<cpI{KVQ2`KOVWBlB3&z<*m~@FX@$_&Hcmu!^IpgJ72qWxQ||X`qZ?wpGtZ< z{2a7LBueM1f4T^2=Jz0im;GCjf~bkkRc$e$S=fUT-uQ3T3L-x`)X=L4PlgqMv>6UH z7Gs(@Jw)M$Fe#8Wi*!sgs|PRYA`SuF5?(SMYD<P+>BA#nQ6Ozb>4Ga9csEP|6i0~9 zD4pmphI|1}gZ<~_ngo9#<STd`Y#r23q)i7=m5lcne?St$D`8vzx!xtCt|Z~7@w{{p zHOWM=46#J9Jbw`+6&yRBm!b316(JlL&&$}Ek4ghL<7*i@bNzWd@H}{slBlepmbo+e zDiBTy+9Fy}4JJa4!UABZn?yaB5_tgg`(Jm<CE<!nunh7UJO-8sqNOv}Xpi=1M@qw^ zU||4Se+DgeTygn*04q^Bpq#J`rUMs3b@tAGy1O5gNR&;-qh^}WEaZXVK@J!5Fot`= z5b>M<T7_UPqz8-!iTuy?X~<F|Z<^Um;o$?PfC<Mt5zMgED41q9(|ClyF<`jylmwzI zuJViEW^xZNI4O)f-h`lu#Z_T3tC`v(2#yD1e+3k(E~Z^E!N*``pf*B3#zU>es4E+| z9w><LlHpKuF|L`{Lk9i;Lj!3u)2K><u7u#z@#Azf8o?|`0Q@to2Q<z=qa_*X&xD+V zZ2?jkXf!2b{kf20a3qWf#7d~m0MU?)@#jFcz@|avMB{YQDObU8Y>++SIKvpz7{eF~ ze?(I<?n)k>2;yZy<y^Y(VGu8CXXKSSyb4sy0s-bL<O3yBt|;J6@wJSdkSh%MHB6Ln zMKM^=g9gbBYa(1x4wgVt!~6(WG=uT~J(dVowA;h|>5&X@Oi(sJMztO6Z!4V*D%i{g zbfYOHp#N}sUa4S86zs3yyvtE(oss(bf44AwB&O%k!tW8LmZ5uHieAr8PE@*XpQOe! zbX_gU+o&s#pt*WnX_0${@a|(P`xcgmld<}8qgQ2kewxoWa1EP~&85linx?Bs-ztGF z%0QTMD~l&m`HP^v+AiA+OmUelC_|fRxztU3UN|jT^j(3<A+s;0?#gJ_;BVh+e=K}- zzd{-ln?gNl8ClUTqlX{TGE~dEuV$V*(+nIy3R`7&F;+b3k@u)$lhF`8et$*R*UEBs z-ZxL#EYp4{HuGF(S?ru{L_B*wKO2<fr}=3&sc9>KL2~^0%=7ol&x0VWJ6hTAcnI>g za{)7X73xW05c_nE<D-c5DnvO?f5~m&N60tg82gP1A2k;6xvb|oy3Mt_|G8QX*0T<^ zi`|siOQU{Y1%Ep6@hKSR-?YbxWv1!L)rbwr%NRfYIpMW@QRDkKjR1dvb~y3O^W|k? zr)xfAo)_Fl-kMF3qMC@sF1SJe^Kly0vC|h)O{~Ad4qW@a6a9-Ahz<ZxK(N0^?0<Cz z`R`sJpS@oA1?y60$yDyNBJBu~Da7<R7xXxf!t1JZ4%B<N9|MY5wqT~;hH!EH2^cIB z>>X~X9R5|M;iq{SA!_y(w}Htg)+y2#A3Vh-<0F2rK+9?_*Df$(Qs&f3->%^k`iRBo zn(=cPE#|x`%tz64sskSJOBA9sK7YqsRiLZ6l{PbIP?9o58Nk(U;h#NKuy+1EYnYJx zzRJF(jHrLO9&sSodh_d;r`~M3V8ga*$nR@uXok&eNV{9Q7)e(`-zH)>-2suo0VM^V z-VU^PUNGU$Ig<gsN;yaO>@!GX)YzxiH_w~Y@T1jgyhj4ioTuP??3y=6?SFbMQ-pc? zLKj^1U~nPyMSb+4%n^IuDf;I*(@)T?ycRx%ad4AleAT7Kmu11q!13Z;sk{gEhS7rJ zp5dT(1&*Wz9En=JarV;=_Ia#Pp+ZM!L0^=-zhJT%ChmL(5^78IOR9N~2hh&vUOavM z$LjST!XOSw%d^7(+BrvGet+tE7>zC$x7q9G9TB@qBk8P?3kb3ZX-&6Oj`x!<MmB$r zjEVvy$XiF+r@zjf9FtP*7@v-PQ%i|M9naG(+(tFdtG-F?tpbM(gZa|pI&2R!*2h-7 zn#3B>Bj-QGIrjAlr{T-5kEm=#5=ZQYbF@Ln)Sr9iom@?F5RK0<Jb%1kGJ-eF9i)Hv zk%|qZs0?9}`|!tiJ5IvUcglBd%G#|nL%Clygq)bQA7C#Y119B;e#E=q_k6Xa%+NmD z33ADtqY`j(I*GS@Qfn4%;U|$H`jOQC;H~a0$YO)9V%6u38Z}cDS!H%isJYu0M=HTl zY<a(Lel_YtS=pX-9DhmM-IrrtqbrsqOZypT_<>B-JUlv3;(}kx*IzUTOGTJ7=D`|{ zdd@79UHfK5c!f%F<HbGplWPqc4l0H}MD?g4nyB->Hs|f%c&$=2vycbHLj^Q!A9KB? z3Z@foBtGa4XX982)(J6w7{9^&-<W&rpg5kd;TI?P0>Rx0?tcV#Su}X?;K4&!+<i%q z;1=9HI9W8X2^QRgZ$f}9wgg%H!uR<;zxP(XPu;rjAGhjObyc4|bGm2xO!rK6@8?Ya zRq+NN0;AwpUq_!YRHqwrR|7T7%zzx$5M~2qN#?)qSZZI1NyEdkh~{s@hzR|*k6GwC z#YbqL?5Mt~OMg%KLKTV^F3dVYbu-s;GY1JxGwR%TK$f#33}-F8RazI&-e_aQE|nq3 z+0Eqb4TMs|bgC&>Kojbrf1E>I41btMxYbhG*`&u5lP{RsAzz{yxRC@CMx+?0IoPxk zCEkhwB!F2T%d!%N(c}M<FfLbJ_(j$V+@oW(@P6`W9e)=`-d}u;q5TXfe3L2~tHgrB zFThZ9egFAMMs#G0$e`%CH?C73R5oWsEQo?$B507++0EW%JV!;?7X9!0;9#Fn)HCXB zIfyE--t9?2gW~c049*S~lYb5F7d9U-P4p(4+Y|Z<LZ7HlU7vBg*nF~Sl;`?5yp?&Y zojxll=YLl)y8!79v50+C$n-S-JP`+1ja7lo^wl5=%9g9Db2fiC#la<GHJlHsE`?*7 zmM@;!lHUl>mNrr)!ZYTYJOKU1?Wk_qk`n}x#wM8csgmmikqca6uL%q|Iu(kjjUsBw zbU;z^K2FUzhJ%K<>Y6{oMYJp_u!`r609@5+tAC77)>7Oervx(4CVNI`{Wboq3mm)I zqE8C=G}B<@mAikUs?hO@LYdV=ttiv+eh^wv9kbtAvsbNVxkZi&_;iqBgp|8YQB=@_ zBIO$^a8Fsu`m~C&O3-A0<C=tMJwJ_#II3sY7^iBT(0c@YVrekEG7JG6)j1vjsHm~R zs(*_Vr&DAnJ`FUcTGJr1pLZF{!^Wj@j`3x!O;npeo0AKm=&O4;d4=O=g%`$Jm=3AA z2UrTGdSvPKvidJF%OZsY2l<gqaj6oVX=R}eM|L+U-xYFL&-_B}w_XWhJEV&ApWSw# zkI<$ANkZAM9In$OI7wS7ai5GPwJ4arZhv3(e=+ofky|V9hrim;QqeQ5tA2l3GB?0e z_K??+`6psaIBBv%s5%m4o9Z+27sn`-VB9xQw+})7;j`+P&ux7n0dxdL;VeL>?8Rw$ z%;%{hOWjFVT>U9!!fS)*A05T|amFXa7TsN<as~EiFOKDZFWQq1M>~r7FQ>UhW`7Bc zVDWUNY4?+`FEcurG~?(GltrSY9gMIG;EcNoH1jTFu-K#$$cF-dqu7gLGs<yzqcrED ze<)0qKrsy|Ln+I}m4~|31Y{c-p)dn?AaU4?#5Cd2jys>@u&I6Ai->dcJ^2iWu!wDD zFe_T;+EEp=MP}18%@!_+(vIP_Lw|nz6x%Hq;jVPocW?Hu&4+r^f}SSb{#+0}*bFlD zxt)1hJea)iw2)Z;CV2pf7@%>2im|dmHtb3b(wrbvO*9CM8QOF)f4>xCAXQV^3!=%` zA#s5zA98DSUmx@}zvp5`a7aI&3LK)CRp+x-CgW%sSQiQ6P}c4{aHG1th<_5?f<S$O zRlofdzfAc4wehb+#`BoxIZI6zitFaVXfUY{3A)3}Z+G1!K<%`W=7jmYac^JhPOK`Z z62AFO*nR_a38}u?ro+0w<jLkWb~MtSFN`l^<EnmFedWZor;uT|Y<Mf2a{57|#=hnb z@5AXQjT*}HpBSc+;-mA0*?&gjrn%JHe{@r8a(J}k-=1**MYS{8)jnUPl~J>6rhS+* zW1G+9@zK|H?#^ERIOdw`+AKl{ba&Ht$xbI>cwg2o_KZ#I?N7T8a}(@raX@Fpw7QFD z8f~88+<hgMsU(AA@=_AnNB|WV4jDLE+*F1rj>jm@{)1_N?d0kJK7Z{=m1LPnP6mtY z#Oe<a?Mb#|+0&d1Hra*M6A{{GEjs<w?=a}laOqM}_eVF{-c`|_juYRvd%EeGVI>w< zq}wxvoF+Oe-2Ma8H?vlhq9LAdzXhbnGhfn<hZT9(skoG=<i0F}^0$*eiziq4I*@2> z&znlhJM)#9H#ENO4Sxx*?PYL_^NU`Us1wVnqEn@)nIr4nXF@%a@oVh&8)i9G&JHs^ z+<$wNi>A)RZ*i%b7>YD!c)uo3(Y@nbGv2+Mf)o}>RrySAL9m(QI)qqCDhhNf$ywvg z;*?T8+%w9m2Q!wKe^{@<1eTC1Ok^rKjTN_SPc7sBY|17=Eq}w@D>VZE4_?S8Omn-~ z;$L2{P0MKtebA&DF310+kM$Psb#`oZEU=+>>AAg<(I6{OGeJpASyU_aw8(3C!jK@1 zRmQ%g`b&*XYFXUcAWenAgN@??KSL2UJFq!4AZ%U$n0hvL{ZK`!8P$Dfqf0hxwGS#^ z&?INqK5$hapMS(5%W^%&JzFQKdF)Otn!18s&v%(fwaVrXy+hWmJnQRh$!Ztx^!kR> zD#(dv|5@$0cU_GAJKi6ip=|-}ZAg~sl6tsF9!ve#FUs$I8?4<4JIJv~cz<-oiB~!; z{T2ycPtJz<Lfpj$%gQS{^Bwbs1ord{R<V{H1+)UcKY#6rX#b3tmiakO+|_B>kym7} z@v>sT@9zpWf364jjaAig&?Q-@Nc*VokH*vD5(Y#mj(SR<P}7e_?j)b$sHCF5!S7?p zfEAWYIflDGq^N_w()Y@YfPUPY!qbS~s}R;62v<~;w)4l*|MYtS7klCYqXc;>nFvpj z#T^ptx_@9mNTzylEDz*ONFW_WwWPZm3KuvaUUW<6UD*XlewD1;3<Q5Eq{@dg5<%43 zfWO}x-C$JoUg;vHT`Jm6ZV}S_=~@fkt73X-2tQZ2fb@236a`U0%pw|SCoT%wHOKh9 z5Esi6BFcG|vw-r+@B9sgF9uHp5o%Bdk}&xjHh%;Kw2(AR^`?58L_YyWlahAO{(f)m z_%Bg<JJLiwM5X+yu&9W0NKW++dEQkZa-MqB?}oIj;YGF3F@+|YN7LYZ<7_yrYfGFB zY4B~M|Cw>M0kRrd`E5h_&nT;G@}=?bo>#9Wj4>KFIf9xlc5RR1D$@$ak}VED2d@z( zvVV(h<yp)|$2Ib0Sy}S+Kr8}%KA--1yEnf*Q#)2}lBN020golNoYcZ{d;2}QMG#O` zx6M?@2q^{X^Caz4i0bzw=~MYxYpq%p5xgR5nFo^y(aQ2?L68J<^(vFERl8ekyuCC} zP2O$wWdAMpUMEkRXw=i#uV#Il-|GjY{eLW^P%ios4S41@6Le!UwxeFtnA)tRc~6x} zy>x`PUTz*FM+?<?ABNi!yRaW36eLdj_g=^0Oo{fyfrP=f*8&+`c#kb`%@zONODse3 zT6!aHS=AvEh&dz4cl5<A4f2{i!w3%T#%mcLbt5OUyC2cyCcTmB{l=tHdUG^f8h`v- z&+%mUT>$8ZG#5v}Y02MRh7`4Xuryc0UpCDlwdJ87F84Rq?014ca;Hw!ToIV2-E?MU z(7q<}LXOOvc6O253A5nLm*AC$!`QBfXQtg`q2HU9FPc_02q!M;38v+=T7N^{l3Q}* z6--)qj~^XilQB%0u4~^&jaO90vws{VBCTdE{d4@VZBCvY=jt?BrCo}^o-l~jHCIoP zr3WV8-t;56$OaVd2eG>rT^gO;nt~bFMOl2A-Xd{-EG#GlT}y-DZF0wGph?#-m2Ya+ zGrs(a9mXs5afcH1K{ybnR^3w}kZWsxYazdjHJYqzaQ?zU+@WbmZ_rj_cYptmX0i;^ zPD{D1OdB3Qai4m35|S(@gIIN-wjQ0i+)hT~$v;{?5Uu?&)!obI$eUDNsY_lCed|v# zw*g$aaHUf5U%(}+x-&ybYvxc{x1o*H#k4T_A8+zr;oh6UoWP3!IW^HOJm}<%wN3tb zKEit8<-JOCXXc?D0RnG}qkk6GT&K@B)>51tA;b_yQhl)`upJ}dQ=iUN*3fo<{F^@6 z26ZL*TL`=;Q29Js5;V!zpJuvu629{zy75JnO?jmy{c$kg&F`^zIfov!XDa;q?%hfL zJ@q*UD`&2Iev#jcGD8=7e>m;6^?X3O2v=L!PnT|)kCzOkt86cMHh&ZtByZxZ_EY<K z-m&<L`(q5Rdbf-8smJ`K@=z@3AcqgzCbm)jTCD8*>4F`3)ynZ_wxC_s(x!AOXum^E zfl>V8*h9+6W%N6^8pC=vqv+`%fyL*X(VwDuLj;C`-I*^0-9C{;Nv9BO6<Xbq@^cr4 zDj}0oW^Uhct<mhK34dU6`R_K?ptXI;1edcL>#EgRiGb&IzZpnM6wl*OXTKwny93r) zy}CAh)dEV_yVYy6iSt9PyUl{2+zf}goy?U`Qm>j}G-7yOr58n=bL?8hG&mJqQ$ZSw z;AFv!?EFDZA<Oz-d)zq0xBu2ctz*&(%6|7*&foCI719CaFMm66Ik%S%^rI-0Slib# z6@dO<`@%ok8c~|a*1<HIR+%e52q9C)E-e-QNyNq@epOti`X;e4=cq=nw?AHVDrDS% z>r)5k+EOzp4}bgkh}Uv*Uqo9&rORNWjRX9)Aot+p`o!&M{*_7HeI{M97s7I{@71@M z#`XZ6TUF&*OMhl&->ukMvJN=7RBrq90D1#^f7?HQbh=J?|2$^JDD`$2v+zxTl;I3E zrn1wR5s2N<M|<&Dh%6tdFEcB8ll^XjwYqnYI&@Ce$wnW2R<wIg)!s&baCqyWzo&-o z!%%ZY_$&7mf`x&W5NG-^MVg`*pmokrb3c}Y&5SB3cz?|ky-C114X5|8<%>ynv~}98 z#@qK#Yl@yxg=@SGw+0$N>Ed@+v3IS<ZL&^ES1vWy+Y_fXcXZjyNur{Xv`WSYwirz7 zjqBtll>JF5W){M&_SqAn+^GuvRwBgyhcm1@yKcVdz*gu-^})NbKms!p#c$?q4Q2Q% zE|$-z7Jud;GeVMeK2$=@HAkfh_){*H77Hm;0esw19aq8IzmBR8M#ub{+OO#+Kc|=G zzNMneE4*Li3p8czdzD@m5k&&s1`C5VNwb(`3u2eKrUX_5p<b|N)a!|_iI);wP0Y5* z6Xm4@3~Cmsof!XoRF(?r0R9Peh)-tY)3$g=xqqD{Q^<X=`3mv%i~Fys|5xeBP*Mt$ zj#RKWZO?QzDTeOQsKrH<v-o?7Ehx?Bs7ny`K+{kA<}Mj{6KDapWh&!EcwqW|##D3G zR5Qj&#_DFG3WtJ=_4oYqtFPc>??`Y{$@l3F6DfAYrWP0E4mAUM-+J*PAtA{NtxuGz z7Jp}RJc`wNoAd8>yfAnyTHMH#nJy^jBtA?*j^D_BrY}%47;~elrjGtq;wbA~L`bPi zb6t3#@JEh~DoEY?R}3I\<9c{+0Gc$2z$HzH)pfs|;Xj2X`G!e9U;ue`+GQ0#_L zEr>5mMFN9!M5!rD^^)ZW$re?po>M29V}EtK4ga`PCoQl+o68~XcP<jfm^&dCS<%9u zJJBoF`}*lL;;SAOW+UzIoukvz&+mk=*g|^~4VW>9o~WJO4p|eRk^>|%5pgJC{iR4f zBGkJlYea!h-qZ&3mv&W#MjlG7t(^&jHsD+Q-Azc3wY4?^h@}~a*Pu@Q3hG)?bbqnp zZoBIm-)`uvWk6T)O69@%Fch=?nhavyro;Yxe;Q&^d<`VJV6*A;tPRB?-VI%t?2kC4 zaL>YD6I;k(uTn{m!}K#aTH%A`L#4nSr1z@b$^QI^MrhE0yfv0RoS8$ap1V(U|5vKV z_zyjL@>S}I_gTmFI_ZNQ^;@5clz;7vN@){spZZ3KrY5te{NNlIx=Pf>EimOUA8{*B zDlSwiHKfe9PYh)*wN_-IP|eRsscvXzOL!ud@b=evOHT@PxIQ3V4a3i1XY_x+1QJz@ zD4w>~GuP~L=}~=phiA#n>1w8<rEb6U#7;PA0@4$uAu*Sj!BNXvAtKF*PJabrMhuB= zcxrR{Fzq=tmcD4U&xmh-N>i-;X&X|mUjN$pgns(r-CLS|x2!RhX+qKkwHc2L`xZkY zV347{BWjavJtxurtulI0SHP=A$8_xT&=Q?7OX*K0ETiZ_a(W}{Bj`c%rL?+-n_s$J z^pZF_;$%wl<|u&mr4<am;(tE&cj}AR5&krYj#IU(BxDezd}E;L8OJ)8izLKvI-^&+ zo1`#ivOq;*xTHjKF=45#X@lsntR*b#CCi)jmR*fy!_A#s;$B}p;q&IG31kS2>QQ-W z(!}zXuFj-g2Eo%(Et#hs#&gdrK^&?{=YDo(4l{42o8d5fA7YO{Pk(l9ZzB&PE$pM4 zb@Sv6)eesJ)%uI!+QfnIcqO>v*IAZkr?C0vEMjneNYcXGEv_NQJ0u#Rj2OEcXu}Dv zYI<g139?id|0)jgqrDYDX#W^W3GE+;jA!iyShq}IKr-r_x^uW-jq!cJUA+spQa&OV zVNr;6Tw=p_h&20X3x8i9VbEf^Y5l7_BZ*1&D<wBV-g07@39*tbvYlzNofuvVmH7d8 z+^mr)-qj{O`e#4V1@xb0(=lw{4Uf-p1-oBL{)`SYVcj^rW^UIyRn6HANq2x*drv3V zblqi?`ut4(7Q_J8(jHXzwcFcN)q|6Qmc=7H<Uk=lSs{8xxqt01#Bc9D<ymPMG;?X2 z4@h*wHZu$f3uwD$323`XM!&3hUjNYsf((JHB&U-!W4y&JSv4PfZWZ`HTkCMET|Rwb zTB@2-1SaoL5ox`N<uiU^5~z{hHPi9J<m!&ar+mmz9ugzf{k}RJ)Tga+>N(1Nuljvo zt!X<wj0JdJx_{I>+Lyw5t><QODV}OC_l~#ph6Z>d_ip}9JNCpA>XtR4@4UUCKI4&A zuK!?JCUdxTryZDnJ#gV|?VrMV5p%TDd))eOUUg!5?rq&!8=Q69&L5m{sk7}QBG89H zphaZJE^}8NBUb>d3Lu1&$w5BT8m85?@frXVR&7=#dVh(-j?$v~Zm3NqQ6cLQgbdQq z_eJQP)<uKr0jp6S;nU?q1!*d{`>*Fzr8v_j1x_Vm1^@VqUl(5Ie~W-}ijs(!idQu% ziRrWbmGUCyu%Dc^i(af297x=vEH>0$?6MHO^{A}~RvQ#;6#mZa!WM+vcesRC8ZtVJ z&+5^t<bQeTk?fj8#xnB9z`j*=BASCdS^2Wbz4H2$zvC<6TAZr+@GwKpYO?Ub3sv1| z12evWEA`AgL;mnY$>_nPj%u5s#H|6R8Ec6R(c6qvu4GxRWTFk3Z(TKbZv@{Anw=SE zxy~mV*51)C)C8&y@$yaXat;Vfo>&x2>qR?(R)6<T5|WsuOMkLwIf0&TQPGJ*q)SVO zQyf7S@RI~W!Ird7ja~t&ajeV0rN0kln1H?{z71o-lB^>-0~3!&?gv;kt*epFjdb~U zYwaitSHxVy*3|sBCJsI6by=xrCg1EfO6wTd;A$g*rHFMuZurpfm0<I_z4WE!Xdsm- zKYt5+T%S*@MoOod(2H2=XxMBqF`8|39l?}UpY_2<rn%kkCayX3LmfP=t><Ud=oM45 zhy22M5)mgnZUn+)>Pgr)p6*NL*KK(_+3~sfj8E9rDX)1+hrDaNF5f!+D`E37%}S%? zouo@&^KY{tiMFV(h;6O-&9R)r*VtY6L4WO0#Bz#oPJ@E!%(E$vL$*J{Wg0m3V=6U1 zDTXeVe8%<f%dV0d4ePy)=DDg3+pKD87Bt#jMB9GP|1ls0@>W%JYs{x-bXIih|5v8? zUzx>!Wm*qelA(cBmCLAxg~5cLr~_NWGpE|zW|GD9<(i5*sHj6`%`@)h+?h=MoPXg( zxtA67G#btwVQ~E)rjcanGl!6L9fR`Lw~Ej5Yc!m<qxAzDxXLp~HJmH{$@V}-8r&S| zGp04^#<Kd)@~btR9r(&As`SlrH1{qal)v=@3mz;#r%${nZ#_xT*n9d=!24N#-9LtD zhR^E1mxD_FvCw(2Xyz^N^EuP3R)1!3R(N*sPXEWe?+g4k_&9-gD#=3^c2}E^^4;z% zCpR|Zv|9|665D3rEWeCn(2{va^)rk%5m8(!s3Vyh4^Jr-^j$6Wm$f_4q;j`Oh+(V# z9e?XOrr_Ig47RlMGI^`7XgN3jL)y)q{-oGzKg!TsudBKEFGZFIt)_4C)qe+wT9CR( zEat?G1NXrJPkRo714XligXoayPp|Xpz;<bqR)KYPx<cDb1s=<62fzjxs`0Xm&~{N_ z=1066OLN9BIdfjT8*_8YFcvdBzK*3ibC{aBHolIzId%A_3O&aOWy-cnCfUEpI5~x> z)-UTut!3Fv8_&wl)ro03D}O=byU8FLZm0&mbILGolaQK54L!F}c9xzX`TgHoljIEE z?*TPKqh#NBSd5E{*wmwZ1do7%noY*fzAyh~8{#tWlCTw5n`u^YeE0F$NpOm=vJR<) z(J%WHQk8#*@z*Ik;D3m5n@3`t=LD1zrCh+(+&A3I9Q1zw*@=D1ntzHf*|MoUM+(Qg zoBKQ6sw=-coavWChQfyi^+oz5JhLw3ef9KG-*};sJ!G~+5H%#d7>lokLhU|ZN5+P} zd6PSk7aDWI-LAbbVeFRFt)pgD$<jERvbZ-42mSJqJdvae$p1f5GbD8rRld+xy<QSO z_uYp}=MHjz3)Q-vHGiccv&}O|MiTt(cy!Y>M1a54cE3xmFYs*XB1S^@Y>6mFa189O z&0EBZ$*r9C^?mJ-FU4=SOR^O{S0`>UHLm{;(~jI`;isLW8`6@dReRxYm#zxstS<+p zUWQ#&&MAt7k=e>s(ifjif^SpJ<O!(j=5O=tT&7+#$+V~6^M54s9vlU@HT9h==_l_u zkx4WU{U)EV)4b<Su7ABH-EJH6cj+C)9C@LgOuKOXkZ-qn=eu$5d-JB-6+<^NTe3Bl z39=t~wp{fZm=Zwjy0q3wNnqjA8Q|5i+!*lc^hg3|eVdVXI-QbVHkq`~8e;LBWAAU@ z+tgmpk7=h4TYn$R5-~-?lFc}rQ+!g}E6Mq;Rx+<Pc8@kHjOqa^DS^=BdP%mtVGk#T zP+*7sZ0<*UnPP0WI=?Ii_;%m^pL>F{`w%PNvzG@05>#!t9m1pech?>awU>U<Eq|J* zW5$f~2ew@*d6VRjm?C{o4{tp!&W~o1#>ux<oxh#KTz`sveXrKTHV*9iZu7-@0^O{) z-ue{1`J8L3mdCE9b=@h}_M$vk+vfY9Zv{Z!Qvu-GlDC@OjoTkR{C*4jAwzc4Y?m!t zydCrBivzybZ`v=XSk7a6;4^Qh!+Mh7#6h=(l17C~uIGB`DF(M|sT&;U3vwX?;`hs> zst$^^{eS%D4hNrJd}F6;&CMroXyOMI@E*W%O@3H&S~!Q@y~aJhDisVxyw<p0I}i2d zwQ${{#}ha0oKUpBesi@H*8+J%v@<dw^N}OzcW9w3_0P(r-&+ZvE>>0)<?vW|KROnN z9b1A!o{za39goVHkagco8e#I^&fc$@-0zWkAb%@sBZ&^A%p7fEVv>2JoovcuuG+hr z3+$|RyY5%<LUsy+iaqaVC+}~=uA+}_XZc$j>-*tmuU{1x#)y$q<0fbq<dWBk8q|lk zIo8129RG|=1Wfq|GuZR;?(io>T3$*AM{aGI6EwyJ+wybUkEFhA#>xz~C5p%$RC3rN zh<~8O6~u?}fVTiu9@2(9>&t*0`GiPH0zoWW9_Vv00zk^huGnvi*sStD*6!v3yFFR{ z>_iBS0UUcsBL&yl09lF_SY8rPGJuJq1*VrM)B;TVM1+_=7n_JT(vJpu0PvGv#qpxR z`0<peKF*IHqg~_TlOH5*yi#Vr>%fM?iGL_aAZW>Gd9YxF-q-c~lX+{L_JE9=4BV#H zizsL*aA|2}aART6dLb=F$U#ujl+S#DAS-6T!QqXmnZ*KaR!oaSxtl4q`NC=D*Ioy* zH>UI!j|QC%F&?Inf3?qqb7YuEFYSL9Xw9bq4TZLJjd?z>;o57Xna;@@OMQ5DEq|0{ z&HiWXd$6gayjaDDkWBmDnEe<g&C_9O<>pr@b^9!u1S1ckKyuv@i{_J&T!m)!4|TY# zV?-l?O3j?9b&;%NC?k?;LSahAMyU?zzerzR4!qFtF?w>zp#G(ii5pX4F0zV1PtBM< z@-^c<1(*+Dx$Visz&+~M2H;Toh(b0^T0)`h36=$fIE2RU|7B{?VAP4Bd?NS+CIX&) zWRLN^*r5P$3uCNOLO3Okml#w6fQ%f@LlGplCHa>^o`}iDlklJ=e*uq>H=Y+A^b8;_ z|2?jg7{(6HV>)5<i0CAPiGf#`4#PW1VSL~&ro)I%3YaYT`X8X#AH`M>N&?1aqL1{a zgb{<w0lO4u^ieOdnrLBOU@`zVld*y{DuNa20<L5%i1o*^C4icNotO%u{OMtsU>^X# zVqKg+4$J{;!YC%+e~pWvhQa}!^4&NHa_9=+NWL2nK@YtKFvtf#K@dZS0RMa+g$lX@ zu$B+TL6AXJ!P<a4rry{kGz7sT)};_kgg}QT1LPEL@DX@WG4KIEiqThb|LymMVtQ3M z8IWk;8dISBM|>~be^~GyrYrf=i~}wQJB{T?eX+dg|J~=se}F;zF^h-s!NRDj_>sVi z5XuRj2H4q)n}NLmKmSF+1*vRtp@v}Ze^GERdgvv9LjHSnHf9P=3T6s{AdT(gn4wUx z!1uy|mIJaBEC{_Yp&0-Z1q%W}3TA9HyR~&uz@p;6p#5K_f1)LR+qb}L{P3XlfDVOK zLN9D+KEO|5f0e+C2-*X<P*^4Um+8l5Bv;~z@CU${z;R6U5ifBOoKQQ!7-OcA^nX+S z9E=6gFL_tu6s37rP891RUg9Bmpx%H<rEZiaLYNBp48X6@jgP>EVu4MVtfKtsU<6=s zru9gF8W<hek7+&1p8<vrCSsC}L{h>?!MaScQAk=Ce;$~hsW)=zNfVB(Fmw@M&e$7) zB!@{oIgJUBQ*?{@aPZ9GT4Q-#zr8R0K+u80X1VO8*nGOSxC6iC@+;$WyG(a2hdhI2 zETeW{2A#2>|Nd5<+>rt}j*&jr9|NWb9%9TK@vQ?SE7gU+#A(8X;ea`qjFqI>Xj&De z(VMVre|e!TfJp^uB1EKHK0bmO8VJr}w2Jk|v_*&30vZ*%2@zP(Jb<S{HvxhW+66dL z=;pufA5Cg(?%@7^>P*Zl2a3UX2s-EmKu-RKlZIXC1_L1s<p-=Vwkz$2FX1%d*@{Ey z!PZOy6YyKCCI*-v7~R*&h6r7NzW3?*aePZ0e^G%<Fx7z!E`stO*#DmvI9$**I4;uG zZS&WMyEn-|_vx>Wr9tlgV5GDuO-=Dy_vgTYfe@!EQ%WNeN1FtL7J(Yp?*o*jjy8tC z>=;4C@qwyT0X(};xiNZdHss&lh~kxwra0PsEPpWAGNl}x<4NF>#<Qz>I3D9<gRl2c zfBu*!L3sW_t(F@jX!cNkphZBn`k{V*@^2C@<f>!^F<$NeWu9R8V7_EZ*;tNj5wH(= zr}2d+fiF8|`s)PQ07O&mo*?X#=NGq*aGzpb@KXWYo`ujAYf+aXy*VBk!K2ri1(Y^f z2_9~f!pknXFSQNuX5DArES~Pz_<T}Kf6z*7gU30U?>Ywc)hMTt^q%M1x_`f@OB{3% z+&_)zyA$(^lmS-6c#*4`zkbahk~{zX)6{qN`6hwU^%J%jnuJ<6B3kE3Q*9BuG3|Qd zeUBxb3+`9(b^fGkyy0<d@vw2)wkaBN<#*zKb7&qfEjX<<^xng4B=Y@v-Q(Dze_)}V z<h!4$bh?vzvB)vsEzS?wI_LY#xW}o4z(h|kKRtcX9bu(O-g5MlMA?@hlc4{HGa~jX z_$3$bx*@~+jA@vtkClLv%=$})_s+i&s%CLtF=6$sgi>2yS9YcCes=rg-QIkfwCXOG zC0{xDYTlA>dVjuf6WyIollN<pf9l}%mf7mtZe7-2z5ff$1i^&C*rbI(=^TGtga~X8 zDh!@v=?(X1NASS3U;z&!$yzrU9T5zpiT7lmVCjuP8pF1s$)t_Up^-=+Y#yphET{eO zfTM=BK_^M%bZ^iQk}!(+MbbXy8zBTYlm=|gwyxTp?@xj7fXP7nNRHUnf7Nbi5TUS* zcp|{PLNJ)pT+1v8AO#(PK7&?6`MlEq!B8LB=>MIJCh1`D&|Qr{V!%k_rAYjkW#c=A z5ieji(9-xJKr$P>nsk9bF~ayiC+aRl`oYkl*zxy_vdSORwH)G?m~_~6m~_~D<4d8= zP!;fO{Cg4#mLy^d4nBo{f3a?Sn9$?0H*_03Mry(+8;g7i`w5nT{fy@(F=1}dvWV&A z`fp;IWRbZ++aj)$&r1e&1tkE}kccyTXst$fKJyZW{f6>_%}F|#J+xP2J9)jNVCPUe zur8x)N+*jK$A8e-0<{}-1U<|;{(|I)<u1`*4sis<1{0Ayy5{+_f0(-?D4~~-7gv)e zEt?JtpW;Uyi}X%PuY`ZT(f?mmw-X?XNj7tdMYiCdo!v&EM&Mc!6P5-Yi>OX^FJ9OW zsL$iheJuYsUj9F{d}Jq^7dLDH>JI)Ned|R5Yk;nSyGSn>Wpj{EVFl10a1iMQ)1l^S za;MxsI}l=%5HZUpfBxH#h?wX#q!W-luq`MHSdFAmJD3o`37dx6fgfWB=yjzd{FxD~ zu#tbF2MRT%6a6I-_^`j=Nsj+?IUblBY#Hhe=4b5%|GO(+Zvyfyj2qm@+WQXa0{aY= zfPRMl1vfJFW+EkF@OV$g0^ML1gf>*1bcc9DF_;_S4(%X)e|Z0LAS|JNq#K&S42WAO z9+-lJpE)$#Ul2h8D~DeH$Hf^xJZ$5h=RA*jp0UK1L{dpi!KA~%r(u!sT-D-ZCx#ag zrVlND{JQ`!6hB6i#loj+5%KWiv5QY;IR__mJks=?LSAIB8t6Q@mUNM^0ci2QQ_PDF z26@~u@&ANjf9D|fV?R_}&FG}@@`KSsIY<i?SJOHvygXsD(2)3R;tLLX`Cu-DJWK&v zNnEHCjD>gw6ONAq5V6s#29qP+z=)wN@ssSbasE#cuVI2vyZ9mEWR8C$Htb=~pa$`i zYz3;)`Tkgl0O$^>wY+qiKe-pX7rB=h!VM+?r693Ze-0KzutBp(tW|?09^OMHB-Sdy zq6iBZEzIJ-o(7u1bci#kIN;%yr6Ad1dGUY2o=m+NNHN$-{1Ibs8qxz61U_QxO-6>o zFrj$y6vT4!-O)&e2cN&RZHUHi`Wj?oe<sp(AB6V<UfV=^XfQpDb5Z@8^i3THmet`W zJRI@Tf2cgEFZ2?WKJSSJ9OQ+<xih_4$p$kK<mz-DizJQIgyVLcBI{48S@wnM++Y9v z6q{bJx<w|~O)(@Bz;X~-Rln<KCi%r!iSi_&qk{o`Y~D4xn$kMqLg^1@&>1@@x&Gsu zmdwm8IvXD0P~0SHcLvu|XsP3@Udx`>wNw9;e_J>2gn+ROscE3Z0^a0b-|H#(3`-S- zEE@jSsK&GvS=XTlOW+$(5`G?9cw(tXi+RahrIYb{K7*)EvHU7RnPe;*<C@W3_GW$2 z(a9y<uTR`=KxM}7Pr61cIDUCPi}?aWj(nnZD%2P}&artTu})V_YWSz_m^As%IVsU+ ze+}(G4kJ?8iz-LC3&2?H>1IuAVd$$Dvg;U`Y3|QlH8RHsf}pzrH_9({ngVL6GMl4d z@r-9hgVlG&rj+|h7a2E8JG0qu*V%&uLS6-A1%lmvIbjy!LGylsX5Ed=VyY=!lP^^N z;G1veu`fKyIrYoqRkQgnyKdk+mH0Mdf3l>O#zSHCd2m~z{U;iy*Y<wb)oP3GAN=`a z%}E!Fy4%$2m~XmVc?M@YHSDenU+2FbxyQM$Vi!UtmgX+OR+zOiZmk0uMGeTL?&n7r z725U}6;ABUrpe4n7Ry2eYh$eeOeOFApj7fUTgUK?-r<$}^NbFyV3APrywOG6f9EO& zLFl}LhQUU}M^ST*=hF40+@bdju}8|VgI783cxnU?TNy|Wmd>Y@dFeJ9WhL76McL{r zF&d;}6>93$a+#TpzD9R%@UcUhOB~RH)<C)&RjgVkJDq$8Eo;46th%ApuM{{rnfji` zr=`notU~*2kI#j8vBF88qiJ+pf2?C_{;-Iy;2%DkaHM3`@ez<VKa4a8d4;FVD{16D zYLpM1oe)bd7bd))qPNN;Y*dO{)RqoT3IK6z9VfeKo5m3~!ZFNi%ZH@CxD^Es+_lTM zSgt!^3jgU*XisuWeK}Q{vgzHi`62h*yW^ADw$jby^sm8&qSrQC@{NJ%e=C~T64aTI zr!G~j4}x*nsY}hHuuz9^seTkPyAdw6tYIl(m*=D7llr*LbE>`q9bvV<;|Y>(!yDW7 z9UHzk4}TB+zNq0VW->vZw@h?xHp;g%%9Hoq3@E!DRn1uFAG3aWE+gjmdQ#>ltQ*fT zb#0UIUedE-j4yx9o9N!ie=}1<aip~n?mp0<lNV)~FZt8EXX1XR5bp3fz7QUgcG@Ak z=n7ie2~51d{=9zwZ6`3LI^+2BdVker@F1L|u7gidJ2ug|4B6(RCGtu!<)<Mhb2ZXI zk+`l35X+n@xk{i&%+t$Jte!17K%huC8B1&PM_v4haH>%@Q}r6Ne?qq2L@d=KmivT5 zWBCoU7Tp0>v7&MeZmQ%0K}q;i8qDZwqml@_B+L(rLl*kAs^~*E{_yO$>iLogVJm%! zpQ(})1RoKwQL3yt9FNTeyQZ<@Ab2|t;q!%C{Jsv4yiJgu7rqx{aBjq7^L5wgbmrW% z2ceQnt*ibv_3QH=e-8Df+KY);p~9KCIJb_#{6nlzZz{NWT($6OzZ{?Y?N5O=8<FFX zCiVnmuZ_#k*PAW2yu=98!8!Kn{%fA%Ze8Fw2z^7aCU~gbH@&|z=H)yq2#>AJS>z4g z&jnt5gFGNt&BXJCxYOo2pT*du5<9xD0-`Gfy@5j~7F#Aqf2r@fbU_qNj*h#0?@X;* zgsF@b2-Z}9f1G^T2L~#PyEuWj<BrZRrMN&8Y|i1!JOrA$F^46r`G4pS`Mz1Kqc+qJ zO7(y4Mz=8UDkX0=oP&7V%wSj4Yjrf3WuF#%4ZeFR&ZBiT+-c=JdX`!iak$k$VT?+) z$Sp$ii~i8Ve}-)8jjw_^{39#m<;x*4P!klt@6FLm9C1(+YqjcJpI==G4di92q41v# zsmxshOCDei+1U{t9(EjGChL3>{h=+JDFwGkt!s0%3=Xs&H|<XjkBuj~SNTBM6}#pV zi^6K9K()f@o2<Q;+d}cnmlgK(r55Z!NPqfw+);<rf3lnk`%DwQG}f)f@x9(L5S!z3 z3$pKTs<5-F*Eg04%H?&dJ$9dw{Wf%3+9OcgYN4}9(`osR2>XGyG`%DOKlW*+VfnS3 z5!@#e{A{qV1$K6iXkLiGqv<T8+BziInhB=b!a^@T2@4vG>R-GeEzCa07tnMy0bBl} z_qzN7e>^{aMG(+3cM|r)@o8AIDfAwZ1fXj2iF03<_U#q+aj<tJ5H_vsDyS2tu)_QO zB+v)lk`R>q+L8}M<hmxkOFsi~Eed)8Z;0`TU$Depv#sx<hhVoJV(pSUuLbXtyR0Qn z@lHYVN*rr}Gi&&3w`N(`YawQSc%Taxy5HZRe@D}jpxdFN1;|RdxheecL#SGPm%+El z)<Zt3nkh(^LxKYQFv6pJVGVDs-pr2y)bV=lcB*~~f~hAQsSJtH`&pCXK@1veJw)B5 zpM`v#lBx00EHbb0AyVwngwscQR4&Ny$o4JBpsh8Ubv%X0m|bv!y4rL~)*a0*DEC9B ze<2Q2w{<>a#n(Xir$zJN+65Ut(#BSg7%0H3L!X(v-W+pHwmt|ABG-Bd+$D5bbJ-<) zy=E|V+u);QA<Ps7(jD}OYY$>~Y4>DXqmGYm^KhTj5;>uc4f>-P=kDh$wCPP^r#9C# zsgzac!!N({dQEbd+3bQD1T^cQhbT#gfANo0X{P2ri8CD#GW}XhziXA0JHlhNvngxe zR7M}zRAy4<seI($r|?bo)W<reL0?&WG)VgjxAo8`hq21XbNH89eUL#ccH3cn6%G=3 zAqFZ~=s{m|$?x+k4$_COzt7!F2OX)6-}RPVGrB;S)Guu2pk8IyoG$0T*w%)oe=I9~ zmUIL|>lc8@+9sAdaw!UwmJPBoe3n^JlSSMmK7~qI6+YI=+I^CHy$QMXAwY2v7cmg~ zn#ojt$ymne)BK__GRLj4G7d>!mi<pE92v#&&3R4jD;Qm*X>-4($Mt68wTD9KL}eDz zvv~DL^HbNv^=5T-d^a>c>*|wgfApnYPhFh%B&5To?*XEDW3x?is^i9Op#6oaWs%I$ zU%GlNqC9lh-g9min!bI?<L9lQUUwnI>Ld3qE%^mssb68$2fm{Mh3zYtYqgM@gV;lf z`W5uG7PAX(5KXIx=bT%KHY8-Kr_QHO;Uab6AkL#_;g)diy_p{h#K+7Je*mFqJtW#K zScE7|(bX0z=u?lrZ+|Z@uAW7J4cRiw!e4t*uNmP{wotC<*S_$1D!<f6Z&%gXk{VRn z*6;*m*UCo+X)-f;3h^>C!H3MY@?ov{*K-rDVbpV@t|`}Z<E*jPb7QXA*K-rBJ*^)L z_o!LOh&Z&Uf3GC24Ifn$f478>D)>PbGU7a97mUIWrR$}zAi}MOFL$wA*Sx0kt9|G} zO09?fyRj~oD!Z}HmTbEdGmz0Kf;k@@vRxe=eV^!4$-*-DM9n8D_L4snE>lKRkUvuy zixBFmj9EzTRDKN{X6EcDkbPnyHJ)&r(x8(|b;@FCx$Ed+*&`y1f2Iz(a&|;X0};%8 z2Rz%OR`iQl5RE(}sdvR+`)anxI?jWt1gV&^tnwKz>QaQ~D*1h0XbV3Ss&~a%D>qxj zg?u(!q=wYCH4uPIoGs0F8|EOsQ<kMZ2gP}nK6FK6^AOUav1tftF?T(DBxx#R2BI^S zF$YO2;x6{dFP5^-f7;=j%9wYk=LUJz!?7%>>fwZzu?uJ6hiaC|g&!T;eK^*hIXl|< z&zwacvhM!DhFCc}b_F!U3Dzjg7O^3dZ4LaOLT5|4T}im>Y22ZKyEqC&xAoBQkvWcV zuIMTRMHRtI8oj7Na<98)XTNiz?M<i)M-i6M;ICbq`LTnJf7qbLQ$F*Hbhv9>KRhTw zN3YjRr+Vsr28)9<;i~eB+SYcgAWxUBmf|2K_(a5EP(2Oi8r)2r8nW2xfe%_c^BGdS z$Zw~?wp4Q$r-yj9twoFcEoa|jSL{%MvnyP*EojF!r1gG#x+~_|RXycC1Mx0)t@oKN zaxL|FxSk=Ae==95(DsG#@HG^=w2#=9VYhN}43J*P8UzJm^}~Z5bPJO5Zb~49Bkjod zZOyW9ECuTa{k6KQ7DisWaI9H5ZY|aPxR{7-$UQG~QZlhz8INetP?m|pE3Pd*;EQZ% zmfiR|Wi;oTCBLX6x1(7+HVYXm>N17%#T^FLcQKFif4C??xQn~=;0=);y$hBEYXoK- zb_4lmfAjFV$laXC7r*4@X7Fj~=q7JjGp)V9OfWNsF#P@ezvjq(tcU6T1uCHbPWF8t z_9;?t6`QTq&+&#sQJ47{uQBbz<d!#d&o9z?9L(aL^kB|7e3)D$@$*qUR1YTc+6li^ zL3|={f7nk_4;_t9@<KcLjNW9yo7Ir1X(Akq=A{PXdz>XQA8IAM<(dG>UVMTdmk+&t zk@+dQBA5{e8yfoV<-1N+FC5$Fly^?yO+#g0K;P9oj>`_Re0GbUE^^DXYngp9v}5gX zZa!_bM3<Inx5&M*|2r>uh1i#2C6$5_!&qTTf2Ug8Xu3c?`Yhko-z7@6d=se_bpQ^Q zWlA~?4FO4`1mkx@wu!%H+(hIPjN`BE5}D&wZ@=#1AoA)`(q7N$CKRNrexqjNpgtoj zoj+mTU(3(-_fvxIKJyBw(;HGQMcAIUA~hDOG)tzH1p`&l#PTYijv5?&dQ%rQz4qWL zf6`s8gM%IIy(Wrs(>5oKL{`d6-Qb?q>4jl!k&{BC=1iw~>Fbh|d#E*eV?T8{8}+5` z4Vf25onDGE%YD4(2MV{sG-;aX_=T#J)wNmy$dGKLPJD7v6J-xpX;8i1j&f;*?WttK zVT&pU8}&%JohO+8^?j0dHL^r$xtshFe@O_J?g$Z_zsnn!qp=h`=brx`S2JW2{iP8Y zuy|-1n1fW8sX!x`@1F&<f5Vj@!^@pW{<9z=0bPdXK!xL-NS%l$nR~;LB(V375#t|_ zxUjLui1BoPKEyoKgxDGwOn{JvJ<hl*6iRL1$%F`Sy&sJ7ct;B^&s%st)DaSmf3$(c zff-n>6uYyLs4$hsNj9L7xwjB03k!-rV(QI9^1-AYC*%1Kv+|6`U}wedNTe5R8#)GN zU<pn4e};JE=YrzH*w&T0^E#;z{7^a4d*$woPPWH|Iq(JXy+ZH@BnsjPIx|7Nu5fh# zT>+ODe`o4ok6X>9ee3#mxPIiHe;*^XSGtr|+Bd8SGngoJ5+J92^AzC&edy8U(q#s+ z?*Wy>YVnpZLm27qN4oefK%Yi{e-hFZMgVOD^l9CYAOas33yJ&WZ=}7vVQHL&a;c## z2otC^NtnWo1i}egND`)a^BCJ82MANYK}B3ckBIv;ZwL`9P*37M;0+eye*)S_+NXL$ zhv<NA06dxQa{Yx7?Ek%_#muL(Eud<V(8=k=5x97;D;)q8C$-iMCPgGd|HgYV9%?PR ze7Ro+=aAd1DA|O)gQ{-lCNZ+ugjHbpe*QoeEt57-ZTYreT~dCjP<nch{*BP*#LB5J z2c*9ZhE=)0v>sT2(k&dHe_E<86*@&|S8bzI@BN-ZE!{)eTFRO!b~$SA$@j4#TH@B> zCr?TatFV0`3#XKrH6f`oyZEf4ADNu^<?!adIYD!IlQBOsO4eqVg;Z9@c#`XV&2wLy z8wo~av^XEl?MLG6i*o|gtJw*MZ$pmP!IJFjBhLe62kuxuG#;Q@f8L<qVWR~P&is$x zZ4ei5^#5@Xf*nlzb?y9M&(Mv>&7PlfAVScC(ylPKDZ$GY=vU*{tYZRFODUIX;@&g- zU~lS|s?GleD_vd@H+mhd_+nkEOpioE@rewby6487lds6+N1o%0p1zAQJpQ}e=k(+E z%WKQa`8oOb_c>H?e|EpW4|IvfDIJY?17ug9z1fn&B(EFydj9b*uTuWt6<i?B?j*dF zcV>Seh;!MA?iYL6IEnF<tFKMt*J*xcZYJjg2MYfzz7MT@FZ_EQP0yLeDEkK1!*|fv z@s10^efs~ROk!Fn`*RNkp%kF|i)~K*qN50vm$)sT<$G5-fBc4>BLtW#%RF<vaPW(# z89#0&N9bcK$=fE?Jn2ncP=6OJ{O$8sn6#hF=#MERH+Pt6bQQ%2vzC!GDeHhTj_^|z z;1zaCD3f|Jx^oY!gyYL&83fv=Jaz9Gt{e`I6f3_U;$M<<2DO)vfPnD#gvg9exNWB^ z(bLd)X~twie}6UF7r5B8TK+Uc4kzKklA8fu-rH}ytv{5ZG56%UrY*UK5p}N-3D4mB zvMboeZs*o<P@Pjxvd-|Q3oo<!qHvt)Y5N;U7%<Fr${Ocf#h>!l@I|w>Fl65*1fU9v zlX}0Xei`-siksO=-dJ%)BW#@S23*I~99s5L&UPUzfAuWS{<f0zuY6d%^i7)JWGj7q zD2^b`$lodJ7Q9IoIC*nH<;%UsoS3n{y#4{bArV}XdsKu0s6vxGtBJVn3f*C6Qz(Kn z%&OECsmP|Yjxjr`0m4h)PuO8t(z7<4s<C)Zlb?=aEo~UIt%~0f_NMk=c!N**6@EzN zfyh`6e-z5X@Mdn@X66$Nm09CDi+h@Z8xCbXU=gJcH6w4Hak!@$dCR6aa+QreceY49 zXP@!F-FvGusy-vDoLl~(VY5sRc!idNi|NcQ9q(eQb4}vxr$9Z%j(Gc))VZCpyam|( z@tkFbR^dmgHf$Tjm~u{DMjG)eBfw0#ECgdOf7*>?a@LkPMBziyBs)TymuaRq5@aD3 zzvGOVLXJ06Eq^j1O{ZAK775aLRI;UH;?9gLvldhuDVwpYb2y~=7mR`pdDNXys#Ski ze^h6SJl%e*(uFw#cV_R=P$}M!UA^+rkdR|$D-uNh!Ns9qfz-K&F+WEe7I4L!(#xqX zf7J|>*0pAy$-;0BdN@yYs=8-9wFO3ochq+SvXpi5GMPt%`MN=pGKq&uIrvl7$;y@= zs}E$pRrqj@p<n*p06j$(z$%jm{X3^#Muh2X^-$4>+~6S=rL)K5wX>v%lz-`@q;B#Q z(icwoShD35>nW)80bk<X*2?!OMXW5_f9Hi%iuS`~i`NpTzY{>HEHUxv9O{iuX}yE$ z#LU}xGyKg!3L*V;b<D_9Rk1y0RTs7y`p91~LRFa#02cj}&QcdsEH9z&M)=+MNsNQi zU;D>4)rEFpfsOJNH4ap?DrGX5d-HB2{86Rxtyzpcnc6UiDb=#e$kUcbrMB{0f4o$p z(SGi+qI~u1c&U?7p<TwoyLS;;3X%ADPtp0KSg8mBi$OR-+Zhg1z_OUg)3nEOc3@Bu z<E=;@bgZ9ohFKv{;!$FqQHV4|ci2pGm{KX*h9w0z<3mQ?e6rnim{N)*d#v*vejFHn zODVMdV4_);5qTOc7N4cSkYbE8e}lKoYJ~tgK*hgxt9<fg=KZ6DuFOSIX2uysZHhHS z;@s9*`XEHvKQ|OS7NTSxq<(1Tt89ESSl~vS*8H&0l2%z;<Z0C7l@T-3v8&5{XGaJv zDVF&}o|Zl;^_blOIrSMGS?o&XwwoPUOiCFKnYe1Ki&szOR$$x7`M3CAWMxjxz<-<b zc9Ibe^-0Gx<bgYjej=kxmNu-zkCx`iyU=11dIZ)bIGJPS+E%$qA@d84@P2We$g$A# z6#PEphZ~^7Jm?8MTaVCk|KRXOC?%9z{m3!RpX#Gx*#^!`&||YIN%k%xPeUJC{l!`N zs+7@4fy!`H=y|?+7>S?Zv$4%Fdw*ohgTLNjQQehL`ct`a3|fCZ3&qK)Nq6`Zr5;9F zCjKzC@F!SjHZb?<A6wP}J|$8JlzMRgrZn@{x-2%oe23#fzq!NHdVw!qOq-_RetFQC zo!NM+=7#oP@w9rsq@Kfl`sJ{1g!>y&L&=W0!>zGy4Ep6zZ%8&TQ2L%AF@O6f(eDH| z8Bp(-HW^UvWH)zE<nWM|{gWu6)W=fc8(25wo8qYVB*!%2VW`<MJ#@#XzWuW+sJfHi zYPVjBHLrhHovnTSBCMKn&cu=E&xmx_u?s~H>=y+itL1y==X9oy6jo~AJxTvcQUo&Z z@_S9dDO-dVBUzxVtRV!ai+}qAs?Anf3#wlq9+^DdnO0xNlq+gmge|{)#NS|<!CTmH zJsNJ~VfnBHR)YKyL-oL3MSYI?9H*1?STLNRpM8_BU$1{HJP4%{<pS*xeU-=`<+v#v z8<hyv15+B`UwD&p^Z6zV3Ih(3vtJF}1HGHz`1vOOre41}YBH)0`hPkaQn{Z4MV9{9 zD_j>d7<V%bwGab|-*1ie@P?R0Da1r-^-H06Vs{75;2A$xZD?M6%J7S_6Z$Rt=*>rU zrx)%_P>Y7M<uh;EB<61Ot=mkWB)eX_2(jA!;*O~;KU1KZwEioEN8E2%P<SI|Fu~># zS}*mnQFtRpFy`hMs(&>WQmj7<trz3?YdAkzFw^D{N-xUsWq2O}(mdP~^G3UW10@)5 zGY@qG1F70Cj?zni>=Q1Baf7-khki%8DTj9V<PFv42HG9LrZxH<*`^ZeJ;AXx>OJOh zAId%Hu^h@h-7y2oJ^k?!%EMVmkLkjX(1ZCmgFcO+2UBcTqJPMtAVd3g(L&LVe}*%l z-*9fKp~&GQnfi&)_^>Td{dqSBQ5sRZ36BH9`v{TT;eA+0i~b#yJFZu9t<QWmTklha z$DG5Sx>~pYwz<|<I?#k_{3zCbY6itYS~w(*V~B{6PAzH4+X<uo|Mf?|rX(uCB!-AI z>D2Mk5@u-;ZGXQ`KlLl@&9K1$4b%-BBw_yrYA?mHO!yJjgU52-tWkyIq3obfpnt?$ z!rT7)^y58h{{?y|$uVg-1NIH&rW&do8q%hp2sIS&Mq={<^}%mD;bB-v(f&rXP|V}8 z@Gx8?N4PcG4gDqs>i@;cTLs102JN~Kf&>r2-Q5{n6MrDM6A11yxI=J)1rP4-?(Xgo z7~CO9aCch+e1ENdu+~1<wX3G8yYJ_E?(TjkGdajq+97U!bO^#ckad9HQ>czr*a!SI z6UcUi8=MX~C{NgvMeo&j50q;<?>wPSm>^Ukv=MHYJIo<eyWDD#C&!^496NL%AJ{u~ z-U+~-sDDD1!?dK8xy3PLL5%Pez_}xeeK&DTWmiwBUfC<b+|)>&X8F>{tTBwsZskuH z($w<sE`Nn$&fc2<`pPoua8l`KD{O^^k4LfL&hFz+t@j7m71ogl?>ywWp#OF>sIeOF zB}4y((_JFRslHI?<U+&dl<YgYOVGuK4#guk2!C(;#vBgQcNwLSLqvsb+0h`&y1h#U z*GH-AF2{N`C5IO3q^n7XbAG90XA$-pmia5BHKcnOpB>R7z}D~`HckhyV>MRnsMt#l zKk<DZ*BVQQ>M+?gs6K>@*QXNcM5RM@kPM6Y7RF5~9J0^WyFBK=|8D-LCB$Es4ps3h z(tk&~pO)|bzVC>ax<Y%z?>u_f7v#+pePDDq`@R_un+mc`xhkFw2j1Ws;;&&xy!aLT zWAe|`cYQS8OmPRXclFTCSlIdR+Qh5)@1TD!!-j$&bg1Vk?)-l)qlAJWy~L`9FG8T* zAh5yT3O<JAGfWr<20pehYv&YhVV;e;D1Yw^c-O3@uGAkTI|<+QA$P1s9XQ@i|Kvvu zWrOrms+!%Og}XA=O@Y3_``N0oemTXlqh?thvaqcxQu=IJr&7QkQQyym&}UtRQ^~gi z^Q_G5nL7Gw=hW$%>gQ>(Mc>Dg_6DCb0BA^waEpf4%nBkvJPf(e!9XY4B`*1(jem@E zDD&eHKUK0v{j#$k`W3c&B<VeL^D!LVf$fd4=v9c*>PO`{;7yU}m6Vg<WL5>+$#Td6 zGhH!WVaZjBp#5h7g4+t}`Fq{>4a)y83t(O4nUA3RSO@2{+I5(ZAp1T?v52*+G9SV8 z*$q-p9%F_!d#}fZ{<us1X-mb7DSzIVc6a#G7MA(yQ|L0hwc^o3CVq^a;o73kMJvi? z1}Qld*}IABm3ZH-D8$fDBCBo@S>J{`{(Zh^b>7O@WPmb&2cJgz4mBc%YJ*QTkl{~Q zne)~iuZ)}Q^S=qEC<~T-vL2q7m;E$vE!9=lzWQlLfHyhP@EF7(xonQ<SbzRuWf7*p zjjgui#=F1`PqYj-<7jliIHU<ne$Ie-=u2IJn+&`gexKd|m{rir*UJg8P`G}8=Y=xh zHau^-7Nz?k>+?;eanlb-PK6j0XC&hPlw^eQ6)I7ME^m5db8>&sp+KJ}Ch_cohqY8e z+B~69sGLH{-`J#<{$Anh`hO?Bp{hFyiednvWBIqSmYFeHX!oT+n!N6Vk|^5gR#}$P z>S{@-kJszLyEeSVcmp-sEE77-m7RqSdXL_wI%CdUXJ)<TwNTng1SgUQ-mq;@ggeMC z-+8}_(v0^vBTrIb?ksZa`3rkZXmLgZgY?y-#*bae660v|a&I5Xl79zkq*)k-cbo}` zL7#(zo)$_^R94I|=&3WD&-=4D1YjIz$uv3>?@*xsD(K>eGTdE5|NW?2(u4V^*6D(c zO;;i6rOr(F?kh{(KRNh5-wx_t%tzZ!7YOV?{g9^u-6fPzyZNluzTv(~UCdg3rmYN2 z8$1`ep1G4={1|jAsDITUY?QX&zC%5hYYHC?I(F>k*BX!>==GPbv+<{%!+ftrL+?E^ zF8KehMSt`dx0hUHLr%k;xaP}#k=ntM(u<@`0G2Xeq<yet@G4P*rK}ezdBbD;^hefl zCaoh$>o!#IM%q&r?Ii&#L2*L3k<7<Y#I+R&o^Iv|D^#8kB!A-ia5!%0RLftc&U7`y zomd?}Mvvd75i~W!9UnS?Kkh0;+!Qml;IqAC>Hfg}x{65{Quy#A83Eb1fzL2+MJ|x9 z6yJ_}q)S5BJ)VeF>Ns0CO#!(Pk@7T$1aSmqUh6nlIPDv9Bl<Q(E{XaGN@8~Yzu!AO zB;Rb9+&W3bhJS^FF9>-y?}td@yAg8$J!!Wj=NDEyn_stn59_{D!Zn0^_|1RG$g@eg zg?g`j<s-bXMRM*Kjohea>c^7<Bw8j0F?7ZJ&hxl57;q?NrpN^fwnj_We^AN?F#L%& zY|=^ykIeIT{VDAX7fm7)a)HdcOe38KV3=4`qyL`Jlz#(EYzvaX`;=z|R4=QK+|}0G zi_F8gn=ovWOHiDwQ4|c8zD7_g2mH7o8@%vlzLZc3@_e62h@R&Oqy_yobQMp4$pO|9 zl7YIr97+JC6B>gTznGCELF)XHd1C%R@uZpEkF5NR(%q9a+9=VxAM_Ru5)MAE4D`+b z=_WjhO@E1kzlJVE+p<2&CkXsLTx7EEbx9brc{kxnZtB&?hA)>#gaAs<8O$XT%iHna zjhKwdw<ZH2bX`QY94$89umdD(INvkSTb~eQHPWjiPsma(B>%kP99fYtbd^eY3ZCbU zl(t3y=@=5Od^>t{$Z91seeQ}*|C;CQznkuIrhhbek;eQ8b^M6VDg#gY%vgTkW~XEz za^ITq2w9#?0wVI;y>?j!BnqJBtqw-7mT{%?_U?GRhk>fjEOsLjWJc@^f9Hl=vDzIG zX4Pp0eMQ*ei6zMA3e5|1K&spBOGE+{e9n!e2YdRFHHRx5gc^!UL_+o=k-(pRj8aJ% z2Y<q8{)+f*+k2Z5U=wfyH=p-HZGx$Oy0IOAUviJwK+p^SnR?w_K+RSlzw<T)X2%}) zi%Qq6d(nowtS|TwOuldMJHK`4eLH-y4g9ckj`MO8eE8zY_i1d0;J?~$77Ww>2fwrF zy5-9RBWv!m!oOs>(%XyvD^TUQ(#OAn&3|kJ@=0o_QpR;u|0{05#j5;&1s^rIQ2ej( z1{XUoqrddjM<3fcrv9(+0~aCa|4pX^zjIFU-!$)8{m*Y%|C{DZDtIsd|Mu#XUw3bl zd|NsIwa`YuOZC5knASS^7(4(|2+A3}SX}egn)?c2J1Mq#H4fd`54?vWUHrIFE`Rd$ z`es3lQ1<!ISPO5@{HT0C)1U<MIl`(sE=t&1*;Zj@c%P3ki190w*wx;Z3an=rPMvc| z*rQdm?NWoFNX%y4=m9a@vV;Kpe7#9em@zIGG?NRQFz<2G9w$sfBP|+1^0A+)Lmjav z2RNuQf9LBv=IiHterDTSSj*Wvt$*|rAO9t8?PCk?!Vx>{=%OU)Md?&aTBmO|N~b_F zk*HqZJ>bJno2)Nu1{ry&EHOS{Ua*`I<K4r9EGIW7cbgaZDW&TrmC|H&{vKI&IfMW( z{#_s)3&<u|#pNaPc-%HeVC5y}0OMr;LSKLAa>xc8mVsR6J+hdWiDm2^aDTlU<k0f= za|^}u^+N6q^_papws!$@wnSvotI>J<j<}?aNP0~wN!$B?3cKVkhcLilHppgVnL>Is zHqXNmx2Lh$f7cASAeWz+-Yk|-7L^ChgG9beqt~REgt^CAWyI;X3lCJ!iGJ=}rjm}A z0--&+*4=qCYf;J!U+gCY3V$as#^!C^UC&9r?{WwNl>RBF0R3jxqL-n&G#>!aOJhky zi#;<Yav*^GCzZ2wWb!`h-Gu{UcU2>SA<SCDGR2p4g8*9T9Vrm*BRp%a|L)>sjY@lp zG>+a~9H11$WPk?LyUPQV&N5MeRP^owm=9IB#B3=i;3hnRhmQmV-hUIVx2=7+`S~kI zo*?Hm?_Sw<0Ct{EBWL{tv3Y~=2UkxfNzOe03;9^&FwZCd-r+U#3~emZ;%fO+oBXxv zh)t8L<*&1P&Oi40PnOLYu@VcKS%+2=@V}fGC&ubbt0@5=qeY2qaa%fZzI#Hes^Iuu z%J=EcN3(oyc#oxm6MuLq(WgfdY5P6EuvqKsj{Fm)>D6EX99*_94^sSa2Swi+TEevL zz#f+&3R9Tcf|+1Mxgtl~Mip<bjtSFARDhJ>noY}osZC?)Z+=a8qvXfX_Al}GMZ-tU zp3w0cNb%HzZM=L8j1yI(R*zKwYIYh<KEdg1*)~&gC7bRvoqzDc0S%nWZ7?U=w!0!o zax81zez65zIbwN!B(cPEquHT3K0>bZ3vzKri9Ie$3(R&o^J!||{W9xUpuVZ#=r!zL z_CcX`fNKA#4ngbF!=@YUWn4<s(Ye;YBvdLYto-|TSWT2YgM)f|PvKx7YbEM_+9UG? zZ90!l=7}(k*MF#K9dm?VeIM`#gbH7Os^4+nd0&Zs^4WWNK9(D*_T4P>PzPPIk2Aum zp!>3=JMkKY_cd$_#LBX7ZApLu{>rZ9$%=I~QO9gyXj#RO>9KigmxS-S2Ym=&$qV-g zr`Q6wEHa>~BR1YfA@@}hL>eD#8yEG)f)XIU=ML+%Cx7tW0l<tKAPzadzOaTJ@Q0LZ z40W=rnkQ+SnfNx)wdv7qbJiDta22^EF>wKFTDV;fzyTfHqt!kHNG3>kh_y#ZCT~`C zWS3Sl^F$`iPCBS@PsFr->=syq6TZH+RP4a2zBGNhm|YcWr4^@T8M1semB-QiNYHrC zoHaqrfqxHf=(ZIGS>?HRrMU0J62s3^M_u|EU4V17t~(Mo0!6k^y9DzXbRQbx{R%bq z4#Zywa6*i&1Ksz;n&297;)eMrwVe{vFSz485n<erBj%dt!Y3&h1pp=RhXoZ6fygvs z18US8#Q|}1pbK^(|3-*y4T=CNvg*W#uozqrYky%1{L$-$O@jPfed1%K;GTi=n;YR- zv`;_gp@(8A8t0dwk?xfljB&Z~|2g!5L&^Vphy#a0W8&}x9|%1dQZF1EW8)5rB*=a< zn5AkQ8yDja%OuDha~RDA92<afhiD??jxo$q7LLt{Q3rbhWWGMkQY4N|iBShdJfw*> z%zu(Mj*Y2N2Sywul{(ClHIDoWNHlHs=J(wS4tj01Cno6k<8RR!Iqt_Po{d|J<z{YK zgg>sZh)bLLy2o8iCnV8z9gQOj8?-iKw(9MMNy9sSz_`IqY0Nt0r5-c}q2eYMA*CJ$ z8|K;fAKSf85w=ZdtO@TOD|M*uDb7~>+kXdZ2REAP2I+AVSds+mPdw|;LL8xvd`pQU z>iSsfPax|oLXxhCHEIJU<D~N<rNwlFfFV>MLVbkUf|kJfJyx>?G7BwcNezL-D{2k- z&`IPZX}8c)k*~mEN)VyRuzz8{K&J|<()k0q#+9gyTW~2>b{_vIW|9IZO)q4*V1L#l zuGBtz`<S~91|^pvRr+2)!wxngbu=yClh}g_Rey||*3qMRdGwt&L<4pO><MiL9TkgC z{srnjEt}#LQ+G%U`UzS`2m}!#)QHg^XQqHBSMD|FEW0^6fu`Qzh>`eu2sfdIp)Vb< z#ygwLP8>x7cb*P)?ZE?UUw}q*ynl>nbi7rb{~&Iv_)t%}26<`SBl!*U`4{-!=h>7& zv_ROf=%TEB^HzN)AXLV7gQlS;yJ^J|vyVnSYjl=2hx;)kmYo!{%LAWyj5)cM`tC{E zourQ+Rx+ZRr`;hlL5Fs={zJpghlcV0{i4pUp4-y?hF)skW3pg3a7S{Zpnpgbys4{8 zAGo1L;)_^xVcYmypsG8*)6E_gzio<zd(&wT_JaiZFi5dsgZ05hhjKGAwC=rW2y|ZN zwxTF);~XTTH^moP8f!~@D@(kV)rb8n&fq0IXo4rs(<~-u@ALy*RvE@0_RLF{cDAw0 z@H$Fah=K4<EJGbEXO-&Ae1BZBodaTxx+Pu5nxXD7ANnL8lH!in$7A?nxehtjGY$fO z2NYZT+bzJC=ehg{?yWzWJa95Y495?|QgdH+?THMj*7(e4hp6p#n%gYdQV~+M8e&_3 z{ow!ja|46ln{fFui<RhSup9aSgDwxxXAPe=uFv<ikGmf$n>3sOI)Co2@+CQZJ%apK zjC?#^Nyj1XGc?T1j1Z#v^ZES>8`P(}r-Q3xr|x*aJJNgtxxdp3N}tX7@II3dn(WUp z3$fK;|Ejc4x<?)%8ciN<hfUlo)~}MX%$7sS(~nv{pp-P=v6vUFSHg`349bNeOB!qx zZ0+SEfPM|i#lO!Loqs;3pkc@$T$BLH&>mw;h7|DmPI46SMNcMu)5gk${-({D>pxvX zC~2+`A+r_2{(Fn+0}XfMfQ;wZZ@=i`MMHHag2l4DON9kW_R{ppg{c}yNnW0P+!&{r zBo1+)SP@V-W+r20kvaEv+HFx9Sh`n@pIP&DbAF;VeS7i9!hf|OOH3Bx2x1A@<<lBR zhZvLq{7IzuF2!_&$ujGGTQl40Q=37$4n5T($^YdwKVA547X>tJ@THm1c|j(%weE5G z_G;m(grvmzXVElGa&?$@A#Fu~3kMcpRoH~M$G6=kfOrkF<5G5h2?j!GOHV7awd#rC z_G;+PjW0V0A%6teYV)0K?FA|I#!IRCX8j)DJK5qLJ|zA-cR!^oalH=D4!qQ=d^y>& zM}-D|!V$TiBFzyS%9I!{hH$i9iqDb|G_(>xQV*Tq3qI(-a}XmviN%w>r^qD?L|hql zM#g-*tbTSO2>Xa`cK&rZiRi&tScAu-0MbQz(u<2h6@RKl)qULk@tFr+{Idj4xVYd5 z)|vN#GxF*}sSULI_Xm-FP>?pdDlb~u45<Va>MrzWvq4XSn|vNe?>nuFzCKZ{eboHq z(I%Bgj;f;s2eZ#*c$>YldFOm-OnD4Os>zlo;ipitnNWluVW+y!f!<KKMzZr=S14PH z2#eL(;eX!Q9Ph$|-D=|#-I!Okjb#aAeX?0?(23$Iw(6`#>*E(Uj@k}17Uog6!rk3| zbtR3wrw{!D*+6hY*<tA&0ppIoMg~ch8ZC@<wab<mwn&3lD!KS00pDL5rh;&wUqPI1 zNf$ksV#81F=KkCkeG)EEB!wBik!h9Czd{lRN`IZ}{t_q9tbLj=bt&08LiXkXbWn%6 z-_5~IWO#kcn8fsNG98tjK4sb6I0L6|+a8fH^ya&#VhxQ<2b^#m9tl%&${MNXS83u! zI8MBu`}gaiqc(lSDV3ui7`IG%3D~<MNjjbmsx5qH#T!!7(VREOt$y@noX){BrT*R& zV1L*v6l~7M^_`7E!9TEec3ZPy;~ygH@h7ucpE-bUMiOB3o4KRpc@Vd~6nDbnLXHj5 zd7N_RRUYa)iJ(0k=4$z%LGnpI<0e6u(`fdx^kfC~z6i%1^<3jD{mE+5OR_BF49frc zf^40mb-)NkUSMc>5Mt|^Esva$(OrtUcYm#W5Qa$Bi1~uWbMCP*B~_ZyILY+@uMvdb zoFFH7lT&;=o)0PQ71XOa^enOSL*;LD<*)j*(;2o!o~!1#$1=V4;(2SFdj`FB!mH+} z$1>ga;rV0Mt7gAPM*Vh#`Qvw2%|!Rh@sCH{o;%g6{PV|rR~kK@I~A+T^T+g8ynoS; zm=&w3tK-UEKLj-3G5U*cEyyshZdTLoWDmxno^WGIc=q^Gq#S-#@RA*jNmtJuOJCjF zdR{zqjqN_1MhpLK)TGZrPAL^**T@ML%dPzX<MpV6)w7~`UmNZH)A9K{Z{=CPZ0U|X zzvD^MpY`!vV<eV5{XnxpoiA1=Xn!Z>(CQ{3L42&^VdB;v;kkq3Bgr%W4-Y<MTo=!d z8LWJto#4S56q>Nn&j|BCHUz<}n&V)(0o=LpLVGl<r%3Txcr>;@^*LO+ze~S7P}LPR zp9scsZKBDr%LegM*{-MWdgH}b*LA72rwDS(dWco`7oJTeuf4wJ^ovq0W`C)8ij+nW zjYedh^f>NXzBcZBZ{vgRcF%D3{j%r3f08=&9b2#TgrO?*Qn>GKt4#hpRx2|B!^!_H zFQEAg3*9P4uqdWyiJqa4)>gUQctJg2i7i;|kU!gOGfm-u?OAm6X=De4l4w9|a@Ore zRGA&qqIW07tmj-94_ML)W`7wsP}zgIw2r(a#Zo`ElP(i#jsNbWuC6z|ThAU!UEx-# znpzfFEL;7n>m2thI`%nbBjqJRQY&Sxdo7{Uz<I~rlv~JU;g(kQW#M7=jr#PnuG>dy z(H8CKeBNKJ%oZZ2{9FDwfmi|Mv%><=l<gbi`4(;bPzc#yluQIcoPT4NLlUrSIbw64 zFw2y%```T?cqp{b^vapH&)hQFWxB(?&omzQipy(GeF%AmP2%5COCq#CE5AK9zv43i zx=s!nAdLgBmW+X}LxU6n<7QX*;B;Zo$ba1QO4A7F+BcZ%Cue?@VhD7d8SLGb1G5G| z*Rhur%o+gi+M+{7(|`XQH1<q7WP?+p*#qwGNr&i{6l7z?%(i^6sedlUo`N?bUC-4x z_wW&E2Zjw)D$B^>^}V~XbHpL}1)Y3FkH9GGE9(4$LcXG@V6<HajJ5>xfJFhYc>dG@ z9^l%Hi!&kd$kDFymLGQv$vE#mMbknSM6|i2YuvO72AqK}0Dqwk7)X5uU{f_MJOcyl zeG_*{sIZb(%htiD>l_%Ze?x7-Xz42|_JW4IqP8z+$qSm*UbO?GQwUDK-ur0Hmi>8| z`tc99qtyxf%dHxsd6S#6*Gv6QmaXb9`(3nXX_UXN*V<8bA7{55^6RSV%cuPjP4PTc z^yu#<+q{OZ-+x$w9E}-?LFU20Fb+rAGdVy?^oXf7SN@npn`}4tVCYqz7X2#7MwXSW z)d*|27I#d-IIlicX@`6@XFbL6t6RUoZP=^md>Fl&0hWwi#~IJ}*}*o_$Gk5#ny)r) z_f7FYE~>=DCtaOuMWh{aHW2Jsq3xnaYy-o7?~`%*1AhXk6`hQiiOG%l55Q_3LTZ`A zgL@c(Bdd}RYhsX>OXH3IS?r*6i=h2!^MDd18w)5QBwo7=e3n?(=<>Xw_#)XduC#Ab zM<*uix?mmaqzoBS8uN7a-ebumj`T=VHGwht7fb?-J!o_fN{={Hg<LZ|Puj44Vk7vw z@i;8Vo__)+175^GFJj^gsr5omZGn$h0WZhPFXFdX@#T)rt4ITG_*AlPNcn_u02b3< zMUNL!0Zcw9&Gp{LN4$uFs+uicxAI`o-EbXD!s5yr>*tZYT+aYsH^y~3ecHNy6-QsL z%f2)MY=BAY*Wol?u7?GHmjq6MJ$1~vz>i?^g@2TMA!BU8*FBJ^*iNm#f<0G2FKbNb z%tt302M<a|IR$IY8vl}znsg{K-`mWYAKE1U$~!91eFRR7d6vsc{lSTVZngP7y^$Rn zTk3ie5BIJX5)~E8g468OphWxB!JtHxQ(bB+C%{enH$Y}?{@&ksd^$3(d~V)Nt9oZz z9Dk&G*tfZp#LxLPX{-`2IvsfBjt%6QwUX8b9dI*GUa&W_{P<SA(<%;{)l%ANI>;Mw zT!*5aooZwh9n!@5dY`9-?nZ5|m9VSfq%fke-NX#4f8`2C9QA6>52N?NlyOssz%8Fq z83LO}KjTj0tMZ54v22(wiGNmflCi%0zJJPC?(}ya-NF8oa<vJwI4HKPFe^?9pfN>y zU%P)8ty41p1rylhllnP1NHK$nCcCYcc~8S4qP4An85yb%&8_Oj)xQ!MTk3FdBakk% z+ov)#Y&AtPtxV;sn^PJObRM5p?hB}gI}VD4Hy~i10rJVIQiH+IH(?%)UJvn`M1NlP zlY+9O9cTVsf&w&MyAL{>b`MNVR)Q1k59#*6qguM1dC~EmrLI<I%EcsyK-sU#m`4|3 z+-ubree{fcHnS<ccy@<oHKry;oV#3g1|RJat_PFRe%yRFdTvv?Mct@?g0n|BcfJ$X zOfQwxJkcrc@lRI{vd=H?VRKRmI)8U6MH_#}k>oR<r9HK3Rta)moexPskG+9Y2)a(b z(^s<&<vnIYM_+2Td2RtxlzL%8SL&Lu9}r~)Jc>?LaN0YoXr~tv?=gPuc&5`kYBl<I z+0OLtX{9X11Pf}*9>FWNIr`c_(O9=1&Y702VkH7aP~*6t$T@dF2>&TRHGg*b2PwX_ zn9>gB6OXfGG`q*I>5XR&XDXQ`L*-?acOwiByRt-sA?c-JXJ=*KlG42tV?BU(wD&_7 z->#^doi0@c3x}R8W{VEYn^lZ-R_D!~TMMdGf6?CGFQs|_9vQFMDC%yy9i|8Fs4*^& zeN(6Naan4!f~QqM+{}S7NPiYH>>7>EhdDV<4Dfwbm(D0P-w<aTZmQZpZf_XUu~o7s z%$Z49gcF_fR2#+2{gki(lGnkZX&n7xu;__n)(^p`Gzyw~Co)1dI)kTAhrq%FIOk*= zXFVrjvt~I*sNO0`;0(WAPEZZI9Z$#)z3rK^<Gbj_<kSnvs(@CW(|@P4(8H|zhoF(> zQ)=Cb`R*3RzMWYN!M^a%xadXql}ekR=iHE(g%Nq39h!zi5W`Rprlo!ePo-%3T$a4W z1a(~jx`xAE{Dp9HXESiene*`AC@*z!nP?J)ABD3t`CncH_jTBXJ=^2b7s2|;amx#P z-p8fSg3goUS{L@5kAF+Tg4dJd9vAk4k9<OcD3jyD7w626d|w13CdUmf&bc1>J`2iC zjw@Z910MP01O@ZcuXlHxFV5ZWZlNCCg#^KUJnR~uB?Y~*)7wGgf_}~UA>6y0B5>-E zz8|D-(1z8%ps8z!z)K*KkXObMe8Q#W4W&2gpAW9;9#C|07k^f8Vy2_!9s4wd41{Ly zSH)ltjLhBUQmvlGpM-}Gw?~Ht*K6N1skss^NoR9@`l@vNhDRoo>YSiO2NOkM1z8Ka zD)N@^*_R}i1{BQz<_*yeBvUx^8v!+>R?PBC%tgQO5Yag;6nc<gg7Lnh+xq%!1dIkZ zbPCyaSG*B9uYUw0?FI}~FH-+ofO;i_+h2)4`LD#q+YycEl5R#rrr1YLY-+22DzCmx zuf8x!uNw1P6N9%P`-+nkzFLsKX~=IDTCe!rTmKrGS9dYGxA0%})>4f6HQ0i$NmE&I zDZJj}`V0=BAUs*6*AZmDy@j_$Wx;a=pmVkqic}ehxPK{RMk3Q`KvjO55Mo{!cDm}r zKzh&Cs&8T~4HiJfPFJkKFh3Ku?PaHhQgTd*Lw5nFCe*laQ0%BWDgaa%Gh$g)0BWBD z?yqWeBtIYAUu+n!fgl*BO9-jQNfb&?I^Ty&dqN1iz=uorHO%Fi5+fG++*}%V9_gjF z_?vne5`T%7rAk{qHwB=5aKa>syh#El#tVre8+5@UKPUc`TLkqG;!2Vu#)$t(5>q7A z%MkgADHnzI0g3{xW~?km9Gf~aL)0!;q)RC1eW?>21saSbx#<TDnn-4O($CG55<z}G zXdf0tBwj7hsb4SN2EX7UX{0YuWU=_mQ3Lu58GnQ?`qHAS@KrN?<@|&rU%Rwk<h*ua zprn55!t(j8OUMVw*DmVP@^6C{{dyZzMKtDZP};1wK{2HN8&sF}%>r8K&4MoBKa2El zZx%cyU<+xg$PA;kx2~l&R1|1^4q&87<`tozl7DRyrx5+tq$m~K1SCOjx-JGb&5IU4 zD1Uw3VnmhvrPr0>TTp%t4zGLazdZsL)z=NF!34NN&?EbQMz*|f)0ywQJw|mGIZ5)+ zU2?RVr;N9SD4_pai0{8=QYrdh@3*Jw{`u8;PAu}(vFqhM^%Eh@5huTq4^Cb89&8J} zoBEnH9Tl>cK9zs;8SkSankCa7<A9L_V}EN}lqrqVwPspO{%oa6Qq<L7320XaC3}U* z&wRi<?d~QfN!q^>)ec1alGKGisSeBB)F|?EYWaZS+M~3b6a;<I!56eDku*R8xukqm zMMVON$B-RQIS(j`X{E{bACvB>dDBgJ)PtQUOJdKYcoB+GebHA&`r_a(%YRO1Ie(7{ zDqS**vQ73blkX@iY(OhfR#<ZC1Ijp73qzBs!_k;5G=a%iy$(C4ITRLF-pO??jyvZ$ zM$$t2c?3qwY%Y^_l=Y6Fy2_(OJ|Og`ohD$s`n44wkRtl52{^2AO`o<o0lZaorlY_( z%Rx(3xp3&G20EuH=Yf9aA8}EPZhuP@JE}uXdAz3>U6(L-P!|Bn;K4OquSu9Ys(+rA zp?ho&ahc>v#XNEV)j=Qq-~+aL!X@tsOSm%L3-Q`i!A~~VMUK=w{%WS3^_)%mzAq** zy`hCrp%M|*vY~ZeJrf?~9^+t45?{VKmcyD(vq@KD_3aiCG^i?|TJ&J8Tz@2uk^5jh zn=T!j<Q`gNSmeEVE`IeqB7W7}jA=a@{`J`!g-<Sw#Y>v&hveqm2o5#w@^H9=V{s&7 zUB&l^ri$+g+htW@G+44@>qedB@eIPpw`@QyosUZywO;dBnMxai@19`Syda-Ab;ZJa zaCNEH@H-eg8okdUcAyv`_<x{Iq&u1+)1VtrPma7zpwggRP&!1tciyGJeur(LdiggO zSWV=&pAka2bFE!^7el&1-b2hnXs<=xKy)BL62ZBAT<i6YhtP(1!CCW#V1jjLUc>N4 zfowrLq3s~-==GL@h=0cj1$JRy6NW5@{GVSXzS*_Ixj?N+c6|FEUw<eoh$Xl=6fTH{ z4<}zcM!kK#g}uAI$-J|@H6Z&T`XT@K&p<!e@U9_ueCUwqScKGpzG1pKu*>9|7qP0l zSfSJZm_S&Gzp~lwD|tyVYv|zOgGRtnoz)FXJ)y@&$0H3IGjf<42}TChEwJ)f7>fo1 z$p~>?i5isfP~>E_34gr>DCMt#qb2{C4+UtmyNy*G5}fd2-);|25C5x3#30D)UHH#t z&+9)M4<fLQkwZcoucNy5aw`c|DV`mbL4S>DQz${QTBU({o>pJ@=&pW$jY$Gn!RF25 z?>0P`>zQLY#Gy3kr|b&{jx*!%a<Di_y9Er+RR&cWEU-!Ug@4P05`fb5Se-2N4-&2r zqbm(Q8T{WZnCx`_KU-p3B!&GyfU8gc0ZG4Kfs6kvcSGdf02Q?VfZuOG%~ku`P+Oa? zL!Hhezt#RbZ$mN=QojvVssJ7;KZF1j=l5n&M;=pYVBa*yQc6quiX1z<?r}=+pT)}O zmuE-W2Vx9hrGK{2mrrn)e2Y#jF`xB5`F7QWWFo>Rt9@P{M9VHEGLoM||E$-<X!$tc zr#X2soZ1s|?5Nu^*W<#%a6$IBm$EP9*a=*xFls+!K)u#PHm~QWkI11|$=FP7<36YQ z_DwD<hl(_>=Y#oFuMrq8iNnN^pUfuL+%o805d^8X5PvBH<0pfI`Xs-rWU67lO%M;b z3mMgMoYS@gnhjR&l=E0vHv$M!4aQ$Id*I&Ct9IN7K-!r*S0$*!(n<;+e&d<|sVQ%q zBanw8@S3K^18`m@1uEojk;`n&N{rV^k{w?6fVV^(Z8@A&j_<xlUw}^fv7B2d@B(kK zowrFT34bFWD``8-X}kQJw|7bZlFZF!-2%9MNqITh%3A=?cHndoz;@gMVBb0s@V_!N z)^-IhB)ph;C;r&aE#!VNTVy?7kWylPNo!fpSEQ7vU(yKH^9?B_u9p<ZdcG~CME;Tr zv!3rsDFI$m0@m{*DJ6683~6?A+Md8*aEmR8z<<NT_&k>v(PmED3&=wXMk9DFj@tnQ ziQV@x{rFDkLP_C6>mEbd$h^43GM3<lfAr{yL9ZdC6w*Ew06y2m@`oUcFQ_?bW%D1X z#Rw&h)$QY2YL90@PXhF2*%xZlM3HiK>39|FEo?H9x<%Mw4{0c;@hOYAP4Aftx0w}2 zKYuqTORxt9nT2-~6uj2=z}FgCeNwTATUy!vce}(}Tzrcqw*MJTQ@<Li@XC+sWhG@V z`IsSpn#MOmR<=(l*rs-Tqcl*<#aKSD!!9~g<tF$g`C7Jvioww!HtVHXrJ}+M%q8*~ zzGoJ^G{7%;4fLv0FZRfauOW`+)m;kXb$?ch;1~0LebJZB29d8`wi$1h@?bCUAB}f! zbDX?PC!4=*`ae^1Grq0wX8pFlTaXlcAk8#>k#zQ$4=d6qBivf#7+?Z5sK7Qux?3bM zVEj$hh8+S(#{iXi<@5Biq_c<K)YummGzdt-rv@?SM+5&Hc`Lr0lN|^p1_ZGpsejih z4gp4X4RLFnQ(j#&7`Ag>Y+)>?sElwahXAJWf;1rJTxkQQt)?2SjC*~5r%Dg41?N8= zLHbQSZH$(>|JsyUw9ItkoxV8e<RvgJ2Jz)g_?)4B+9E+hjtNYlMk#d8;Oj||CH#^+ zCGq1|(AjSg0W}JXGbM_it88E?B7dY{tOpFd4YU6*z=V$U_-;ik=_G(N9t(kCdIX(p zvPOr|>#(1o&Q2r=d1$MgpMiE1oqSR`Zdk`n{V<6}BBzivzWu631fUcn4qDJ$;hyC1 zl~yB_3EVmxi*!Oag#QFok00jk{}@;bh|KevPbE9_A3&#?ys&Pz&tdqKcz-66gx}a= zpOcD__)avb=EVReR62-MX6z*u2$PN^mEnE?4)GINMUymNQZ1syFQQ3y_CI#SlZ9Dx zg8^GF&8~hM24et+#<x<*EZGNR=q3SV@y_8jWE4sj;-HZix4u~EK~kCKSGe9V7!#Nj zJIpJ#02584kyFV00&7qzHGhbMj$WF`uqRH6CK>O%z$=EqSiq#{7dR`LMkfat@nR>N zCJjj@Bl-d(2_~X_P1=5ShBgYu22R?4`++#kzTgx^V?bU?8xQ`l`A5+h<`gcJnb+)6 zC=H26&w?{pN~IC;Pmk<7p?O*6HHnRFO<&9N|LI%C&aW;unq?H*H-FgMd~@6pH!1SU zsIRtmneNc_ayHR-F^w%RYch(p77nQ|8kNL5B9cXMFn(^eGi7F}F)Q#o*UhpoD0TTJ zW;ZSGYT8g;&oIwDWpq)xhb#YSVSy9nx*myqfltMCwSqg<aZ7xH>0k*HtM%fUsb1Ll zUf0BK+Elfu*xJD;tAE}3+r254pm3JpblksVyj}bn)(H+p{nQTWJQX!B=iIxnh`+Um zOUBE8&#(C7(D^TM8u}IEjF)FZt}J6Q`OksvrQvmk&v9*;Wr%Lt8+}6pkDN1Qe3gL* zcyvrne+4}Z2Cvqy>I|B~cUNy83yhnB-rs|of?yAmziT<%=YQ{0Vzctxm$)^Iwgn1@ zls(F=_zo!S=-%73U-qHLFF04Sbq{@HQ@ij^J!PL^a93wt;m}uam0w(A5k9~aIV`)m zm_1^UJX~=$wwhAf1=1%qS5}^_ZG?rb_=fNY#`*7}h)vvESs`Pz9)(Y<<nc=S7Jdg- zf7}nds1+NIkbjt(i6A#8U7qpc|JE9-f!2zV<^otNEDp;@^YHNrk76}27!FYARrxfJ zPglw$Hw6<BBpO{9-e$bvAlgdfCDp*EVuFYry;E8w?RWt*nOYi~HiM?4!>4ZbC|JwA z(i}jf6pdSTrl7Ku%16~~oRg=YlWiuvpmTU>m}<?ul7GaL*GjIqqL8$NZ(VAz17tkC z{JwJ(Ua#!>x75d{!7<zhNNYgAmLeYS$i89Q+P3a8U@&zF<BHacu`3c3kvr>X$@1^H zl%luLFpL|md_i78^_%s4tCrGHdrnsWkh6|fZNI7R)<=4|DZd}uuI`z-)Z3Zoj#J;S zaSO4l&VOd&OIQZ9aVsVbJZR)vrscfi-xs;!p3I-{O`$l(yoV_+NdeTW^u3!9D$1r@ z!8jr~G8>zkrD&oX725aa)ZW8`Q>NmpH1+t#%(r)WtrFVfMphbhB<{hiPGr4%8VWFc zAbV;PQXBZo6eP02vVT2i1F*9&u{0!CP)a{4k$(jML__X*6k{v5XVR(+`;-)F5sV0f zzj9ES(@r|B81l@h&#w|98Sb_CM2hHaiD%9303%c^;*5Aw_Cn*52_~3hfyDn#QN&zH z85q{*Z04fj0blooM;X#4p8v36UpnJK?cJ6>e5GyTF{5o-tVf*kbMI{WO*Nm6ID7xd z4u8d-+G<2rx#D6kMR|1m?_4yxwR;6qj{J`%{y<OmWiA2xU|-)xN1q6};_4Ec<|Nux z9+^rwnukDyV9dhYd~}i7QBW8lrjLK7nRiM16R>12EDXl|bT3b3Ri5?RJT^@k9Wb0c zn;>yuPhO6EWs+T!0P#9r>>iD5;`i~~m4C83Km~ue#(7pXuqb+lt%UB#wDef!t5R!f zYoU;d+{mYcVtNUe(v8b9nlJ~vULR96-&GAA%xf2Z75;zL@UxadZr!<0Grukgf8aVP z!dx7PMNw=OieR~6lI(Mblbb<p1vxCE+Hmw|_0rJZSLC;Fr!a<dVBH6H7YZ2}41e&d zJ@aIJlyj32Md7b*YP*vz$(8+aU5M-)^W9R&`e&My;A3iujAc-Lg}Exb*t<3%XSURc zg)n9F!Gh^Fa{j}MG-WEul8dsj8C}}Qy!O`Jyoh3r)^5B-^MJY<H?l<eO2Oz~eXXOt zot<%I>}dr|TuV5NN3tT_6i*Av5`S6ba|xly))FqG(hd2IORMn~bt`gS8JuadB7v=^ z+V)&&WpFUAUn9b15s_f{jCs%*2~C4tanY9fFFa!dN&8GI7H3ocg&Ag%So5s#E@8o0 zVk73d$DS&;CYH!xikbTgt1Mf|T#0_==^os;Qps+pHmND9&TAl_KU|C|kbiZea-)Oc zE&6+yy1c$2F1Hja+Dh@X?Q?`#jN9wRNAV<HQC8SDi+dz>=_f_I$H{ShoP$^(pc3PB z@pbY*?cye2Lq#h+uT3SO<+F}`pT<(sd`0gn>+MqD-_p*faI}>tjYt2+=sGWQ*~^GD zu~-Q&w~{vE-f}e^oGZW5tAB_wPBZ@V0Tu!k{_uF1`$58io|20@yM0ZZyTw%kMxbfh z0%NbMn3z6=Fw**hVg2O_B4vp{-<~Q*U$e)h-ndXnE|XC}%T}g*590e^sK*)mkXo^* z=}zeintz8x(pO2#3@bM_QVvrf{BQ>f*O8|<@uD^g^ot%>n4(XaB7aTQW)0=@uJVK1 zK2<jL^DAl^f~cp+?|*t2Pq{L+tV<hNX3V;Zxwi>P)wFS-%`>A(s{(pmhcuv8lw}Hd zP=8I`aNKqK$aC?@qtVkG9m2(PvOB{<McPzy#ub)aU&CmW-f0t3Bgd&+CiOcxee>g0 zI^7PJ_V8#SXPr|_hJU|RDl~PY{gwzfJzM7j<uNGVT0UqF<Gb9s9v;Q8^>ks=(8^WC zgT3$@NFNjro%I#?XAjSSBCSfK5AmMuvo*aL$9s)?*vCv8Ve;q$%MZO|1S@yH+PS`C zo*^Ojk`4}qr`<KlPunn~OCa^T4c{+zw~a<litCh&&tlP5E`Mak06Ai=tSi;a8P-jo zO?%=cHK0=}j~W}R#}S=oR5;jgOPUn*k;OO=MY8zYe6G}=#ZA(!r84Gp@J|wJWlB}< zV@`X!BA*nC$4!65geJ|b3Nuh#vNtclvWxv>=(PFK&}g({`EeeP%x9rbei?J$^YCim znaC_+FBnRTXn%3Gye`e$sD(-m>va0ME=IWriodroTwlkzf-7<FB0MfIn2dp>JTeF) za2Tz1khOUHs!yFWhC;D$S|xcEv=kpI%7@`G7|OKAB#Wdzo=dJ?ZB#?EC*_zESYqv+ z79eEl;Y{CZT*0lM6r}87z^9BO<!~Pm%@2i#7h+(fGJika!+)vmH7Mk!6s9(dLvR#) zPs_GG^Ic6z#s#p%#yq(WB-};J9f_N{Ti2DdwKN$OvX!cI2J9A^9{;+lzX|g>%IMua zc^`<&=ja=zqV>x)u&(EhRD(w&x;2xr80q}BMP(6pAMRGFn?wgNLqHf-hxp?Q|6rTQ z&`6D2seg$o?)=if(^w~$cX-Ke!zE1wm3u(q6*Yr8hbi^dlEQ(?rzmeG#cE^b@A!pb zIVILvQ$jLI=EO_d(M8B{J&PK5u9C@SCGGcjQlu;5rPK6SVv`sXzh-Br6c+f*T6Mn3 zOn;WES<oQ7LPx2s`3jSr88eNU1Cyj)YE5o!FMn)6sfJNdMt`bGHM(8Ev>a8rXXiX* zR;-jMLjDJ|hEo>g7oE9XQJGuKk!VFPqkN#)oXiUQ?>?v6Z0FcI|IuS9Df61sGqj!O zLGXO}FsOf_nGSX#q`Q}3MO;`)ra}OnT`Y><_=mlD4$~3K{yUG@brE1r6TjgMOo?^1 zOMi`vqm;EAWy~%OaF-_H{g+Fv&*MT$QU#3-G(5kN@AvkTx(2Ph#%<i(tj%(`H?2)~ zaZDq`0^OoZ+h)dQyjNY@Y5x+EEl&{Cx25bY@|q<}@hC!VF_c18ZkrlXYig}dO{uHl z1c|`#nhy;xWOuq`G<i0#p-DNr$~38{Wq(;`2%-f?d?k$ydrF%)b21=~8@YikJ<ix} z7{>~o?HS^670cEeuV^ovMhq<WV91m=l938iJC5jU&YCcknOdx-NWd-FFQ{F}D+|ut zme0uS1{qv}BBiA$vCV?R<7)jvC%?<tk^j-cvA({DS8+^!Bv=VfbK#oD4OaPXt$*Ml zGxy2*+RY&CN?k`Lgz3*tIW8f5%=^G(exJ;~Nf#w*{9kp~x321w!o8JIX`$2CIbUik z?TV470)z+SglH94(Ah^bE|<F2(<YJAC;ku?(jjP=$cea0qNP<aq_+Jk=l+&{8n_o2 z-R7dzkki6H5np6Y9p)g~y@eE1;eR~Tj8}g8LtpK&by_vxA+xEf&nBaY`*HR5sQ2GK zee>~gP%X^p;Pp7y!%3D#ZDLsg)!K7SqpE4Bwx-(BcYw7WoK91pQXOvpN!;T(%fpVr z&3OMwdc?XQQMZ>sz_UBz^DXw%|KT8AFyK1wPMCkj%TaCh-)A<pzsU#BA%92tEbf); z0natGNOhPa^lIvBw6tfO9JQh)+vR06e=y<h=~_EI@I#(09}zHPT!K~0>46$rRTHF` zbsRA2iySb?dy7T;l-$M7t4B|3?_`M3_Tyq25a5F~9etX9bM2Q*M&;)9KZ$A9ZF(M- z+0L6iIyUzih1|^^<HjGt{(q@3*Q~P?`U^e?hkDZbTNU;}T_90IQ@&#diy+Fx_By+N z7QLhxh~VmB>4W51&NUEQ6JTvF>-D&o=!U*|M%HFXkeO$Z5cQ>au8<~Hi3@N#C2M;c zlboMZf+Z%i`JJ=>N~a(Tk*U^-F~X{>RDIK7WsmiT+XfM8u|V3*r+-me-yfdV1$Bi@ zg_VVrGb#inW+7ATwFl?G($0`)p7C`!eLc&$>8_%O?;A-VrY7s{{iI8gImPAa3z4)5 zc;mU_#r(RKl%{XmWgEE!GXw%B5beTs8(gCh4<joi8aRvhYMucWKGv%yx5)E6TkPo* zy!5qqv>fZ~CV7s&2!DBp#b+gDMPAIU+ZTUz3|bL+w>@X_b>WZOnT@(*&{w=@#AGBI zWzyz*m8{aDs<hM8{th3v4=bfDrSfyuEj_!X$L=_VYY5cOu5LOHI5D_9SGxyVocrr| z#2x>(^gQ1mKO?B(cPG4=x;}e`rLl?}{6GCSImAZ#1}+PSsek4c_|q8u&Ie)DvTzcJ zct!HUXt@3X=;gC0(onX2Y}Hq59=6T|{TD2b8<$WP<@3wresZ^pkxeM-rZlnYG~%Lr zfx+<HIgh_71K$7q;B#U{QPiX;9rXn-X>w8}_8-5qlasNBr4++npnVEP@Y?tRPy6Gl z{ZS&@wf6On^?x$&T>a^UdV0j|KIb8PEq;oCv!fI-YD@Rd`Lqht<!u)p)Ke112je=8 zkze0!*^NssEg$^a=gu_KYt2ok7WmZ%YVrA)8_gVbgC6_-K1A3VX(}>G4fRLaX)CHw zQ}kNXeQKK@;+FUzaqL=QR>%6c#a#UZbtko#*x|R1=YJ|RxT%}bhD2>QkwUzYaKpX6 z09JqLGTHHiQACseudLbrO_iEgD<el=+8Fis3Y&f<u>wNO{VnvKzZ+k9ttO2hj?HdW zyV*6f{U~m;Qq|G&^lJN!Q+daFo9Q#rlv(+pYt0B!z3%vP^5-8^{n1$X)FsNLNvY3> zDdnujz<+j0>m|}ds;@`4{S%7$v99NHLF|4#(i0FB5!o8IWXBIHo}hfwFIA}FC#K%t zyw2^DW_Y5?VWlAPg}q-+xqn$UmFdprpMJ8p<TKCk#+YNm$o}*p&|RC>UBjV;VFb<t zTr`IDZBE{Enf~;p>+Gyn#z&muJjYi47F!o2(|^^Yq`RS|yFtr*Dnm=DJ&jr?ql^_4 zsXufKL#B#F)nj(>)k@=d#v!_VL39+ExFl104%#oi817S^&k61jVE|4*vA>@839}JO zJEGsL;%UVg$tEWjDBrS+2~zJjzTN;u3oM*qA*u8nl%F}ZTf--~)$<8*K3G2%;){vq zZn)ZlqJn=Vf%ik?^0Y#?j2J`a$#|SdMV3YPW^`ye5F1>mf(oKXd1O60_2*vi3$Z&9 z#mmWDBJhI%%`whUM$meaAM-zCl;mLL3*peTN%n;K2vy+V4!8gOVEovkb&=aBQ0%4S z<wJGo1)Dj(eBkt_b*Ldnx+!>7g_3-$AO}A#hAe-|ZbU)$OQ10HVp=Y%42MT2jcT`- z_gLR$;{7$^;UU4cmpxrP4)%s1Z~wCq`7rxt3`X{RoNwS*$;Z(?%e4)A0XrJXNmS&C zBL((gchWDFNYZ?tDbVq-M5#IAzMOU>vdj5|)cb}|b@pN{$v<%83Vfd4wSQMpSy)K( z(1d^Pjs0V&i*f1fk3*Pvszzt|&Tj6Qyf{@F58hILuCsR#(@g<C<F~M0=G&l}TTz=6 z^u!vRV3C158HExH*mmt4#gdezjhb0%EV`eQj4El`WSSPxSoviQzh*>P?w3C>wux9> zA=J_b+~u;P!ddyZjWBg|Fr3##nb~=$wEch4n2WBz3v`1$QxO<He%8@JBFHYaLXn{M z)JXgt(;amdn*GaNm%2CZW03pFf=tLdrn3CPaz=y-=jp`M`!?;8ZAz;IX8`n#Def3D z;^DS|&7Lu~uuNKQD<Sy9hpalP!YDQCPEQjZWw-L}p^lY=MvP)B<?XSbh325EBDsIX zz!n?R_2)Q`HUy(h5r1DPhcP<a8uvwm+=9xK7Nn0U_dcV_#BXpp=Qs+7Nmm-&I$=0( zs^*CwG=ZNfTfZ;SJcbK}d?M?c?NZHe%yWqqY<_)njS3Z&d(J0_I#%g3P(NInM&G+% zbl`EU8wh=u@wds3KRK+mhFs_Lw!wcv6$re~`+(^j;)bBN^w+yKCD_)Py-~`PQl~zQ zm)eO~o>evmWmPe_nM)j-^G^--5bS4~p}^hMG7Lsfr33zk4N`S1ox%-90op4f>MNN{ zMWxa_*A3iqz`{qS`pw@Y{(VCelNN*mNZFICKx~OkuGo9FAJ`U8NfczaJ_mo)PR{U+ z{5aod&HF*uy(*}Rr&E$tY3jgUCAv}<v&$Zk14|kqCa;}%uM$P7OHmGnTx`uo;Uu11 zv=g6)>cKN9hMXtQ>1IASsGn|L_kes%4v*Had$jpzojZ&9m%D|jEW5;dWZ7x`YPGwR zoRTdy50uGfi5@fOT10yrV$*+~{#|@nYzXY>L$!OHIEI)pDZs8bQgE25i{UYcm0f(C zu=WkXa#z*t+1t1h5<QuwSn9b%4L0X?aAazC;XL7q&=qAz)&7ggsZAiTM(^GcAa@FB zvIb>!hfZ_?@7}TV)Q{qA-=V%<c!JmFKMuJVf1U!xaeF1{vbnTZhH-yF`#0(=Ehi;D z2BB=DKK6seZEB9R1o%suo1R9D+^A2XXN2c{rpJWXjbX)S;)m?xp+Vh(xZv6bsbx0( z>7bVqn*g0x_O6$fWHmOr^G~8nvK#B1_xHK2W;<4FeX`yd!94W%@yLSh^tK5Q^lb;D zS(T7W5bHWMs;79O&3k{i=P!vi-)YLoDWw`<;hrV^PlE84>y|SSIP@Ul1WCoP?LM3f z-Ea>1aT7oA8g<>rZXiPk`)#~06d-<pZQYn!EB|ebeN-rX{ccy#V^fv@9z%yg?_Mtc zMu7MUi+^LWcU||Y*g+E8B770XvT0S7sfF80M|K6D^U;L?fKz|}BV6;0`tRH7^CcrH zV-mA|Ip)SG<|1{K9pzNT1?)>*M~&<{P+h{xvcsD7jw}|Wm@!x9zp>2yLs}(mQSd)t zaWcYVamH!#0lpz<2p;=A*jHDb%*dtDg=h#;t?HoMp2&{>#gAZRq&b!tGLqmvrhWNv zqw|)##!5}HD@A|V$togC4SfXvwJ2!b*ZTFt(N8@cYaM$BC~CLQ9~Or=IZPikEYUT@ zNdRBYJ&Vna=f5q~|M+K;yx#mVeS%hCy4b=QqrDWJ)6y*_JE}^}b(Uo}DR+{c?TB6S zq*&-ys&eb{Poaj;JnT@p<rYDvJ3)-WRoz^^-x-;F_~L&MS$)UB5=8n^20b6|_?;E} zJj)XsW8nz{z@-f<qG}oZrJ?ws%{QbVF;CyRpWra6xSND?jZ1N3K&)(eoo>O#t%qIa zQ$61`dXDDl^uOqG>!3KgC+?TP1{P<7Yw+Oi?k>UIJ=o%Ii^F2UJ-9mr2)ektTNVfq zf;$94Zk~VNTXm~$)qDS$nVLQ`bGpw=_qXRWV_w(p+em=?WcPkGl;zaoj$zZ}U)h({ zO>)=P<Ws&7qBm*L{$~51m#+YQuK=1`x<5@-Xlh2-dN59ezr*_M3sGj;i1@sEju{y- z!|&Xb<LO(~pf%oxcmffX#fMDOO2it{%0|Me4A_4c4p-?CtulHd&Se3gku{Su-;~O= zc=FZ}IDAtT-41(Kf#x#xhnHC}5v0*o?BEzpawg?~X|%()CG2ljolHtX4iv{`V?ENh zC2JB@9?&w!R=4plW_E5$yF@7yR;o-x1fbh$<vk6@)HrJlS_TFy?y63{=7ieHE@cs) z!8(6i?_hsQ9-__OsMjA*n#@<?$5x*)PVMex+X*eDmC0G5Vp$D+KBg!YsCZ-NMU15% z*`4zyhfn9^REhenAF71zI;D$jINl*%e{G|MifN|9yc#0xJ-6i?QVX>$p5yeXN>*s< znnj}m&wK*j0mc_&s}c_KkSSko;-g&;dd+`iTm(%MfTU7UggW9dS1xtrDwMHb-@(dr z*lP)Nv89BF&i+9)U!v59TX|UswbUF-is`KfMYqOZrceOyB$Td1ZYri?xivdR%l<wV z5gL#RtsveDP^yqPq_ctC!FkJzm%c)?K^jDxvv23xS@V}N6w0Tw{F2DUn<5f>E`5LE zg3(=x;XE9lr()=}x8tfEXzK4M`A3Q@<O0wf#sdj7VN4R7Rw9Hl@9b|#YCctCi_guG zy<&EFAW_n7oYfbmpPS274{B;LhVME%{w9=&Cp{A>ohxR&vIY`jx*p$P%`Fi)$mr#d z;B>nMbN!&XjMC&^m5%a35c0vbG2wq(n%&4h#KIv~EG0To%I8Z2S&VEeOd?mv4l@p! zkYDQ{LduptNgLY<r#t9PFx2@=?0iPXlU_`IkO0WmPS1HsZ?WU_E9NNDd>X+V5>OkZ z%>K!jm9FWNb>%%pj?^Fu=GOb})Nm+aIt0u+S0D*!H)IsW0wqb|%yO%(ZU=wkL5PNk zEp3vd6qd#%WWJ~!5&?c$A049{3a#TZy+ny;$K2FHJCjaq_w}nqLGqiOAC-Ez?G&Av zoMD~2V^$+EH*qYMr2#B;xF@Pp2IdBX21LpnlhE%r_G^W|gd8I+BD@-9wz^T2j#@Vo zaVwjb9=P`%g8w#rLbmnETQ`5(cF-iUwuEuZ?|LMz6pif5r2%mkQ;_`h%F2s>VGS-| zAfB9Aeu}eu)6Fs?pyjn4*FKmC^JSXW<}RS0Lt=1Il<fs>RH2H<tm*mrr{wh{Gt%Vq z?b`>as|AN!JO7Gt-FhiQMEU4z+8;>xtsX<p)9G@+iG5lG0hgD<^(%j78ZnX`GG$a1 zgOQGt9Ri}!lFW<G4cRmh@6%p=D2_6zC4oaSr__kKty=-gw{psv2_-=_et)tGXCoyW zYx@m_c{p~S0jiKqS&=9GoL{p=rM4YBKFk}Iff4R|$Rg|}-OnMt956N%qD{v$qv^0B zhb;G^W^sF9>lP=uoqvDj$umS7{!Ns7l2mN^%eh0wJ|+8{?Y@K<O#~7#CU0VkTBXsl z5Qn%9yW3A00U}H+IcsB1>ir2dBVWNCKw_H*1s%0J(Lz_&+a}K(eJCwKi6D@8S`v7S z!?@xY>P0^LbKH7qiJ?^TJEDq^B)wcxEQl-s3SX1XeF)rbhwFbA!cVP&=4^Ww*2Qkz zj%7NDRN3S_$+(L5aUAJc-27&TIe0KVo<0=)^!!Lfj3;Lo$|;0|;UlZ3;qhlnSdp`$ zC9>1A*ceJrNF#(rJnt4G@LnjMTdA)E%k`ZxKOx2ns6B{{sP?$t5{pRAA&XOK5aY@N zZB|fnZ;rlu+$n$ES%nyVMbev+BbpPVj`JNl4bK?So+Lf&%d|Dwh+tUp=@@tS)0Fso zoRzDuPGXdDQ&e)!W1OF)v7B>^bcL=;U-bIQIehjGWfzXAINcSXJN;I-$P{}~xgI!# zl<R%evM&X`L#VD|)cItf61kzV1R*(>CD54HK_6P2RDFN0YH2Es<x&h$r^e`5!neOh z_0QP0{X$y**Phmv)6#e2AV0tpZ<&15XOP}Nl0$}?m86xaY&e5fd8jNzo1VKRH)TEA zhaTGWlQ$U?m43aW>qltZNSu9LWsv?xfv#Xs<zqjSm{E0QTU}l8qpplb{9Z|%M7&|j zO#ZfkcOQRkN{IjIYcs|8_y^(Kz{7x}-oqJ{9R8(g*9K*FRl9eh-J&VyhHFNv%bDj+ z%5)ni8J=D~cWl|cl<Qin^UEne&~bZWwT<Yr_x@mVpRx*XcA@-%-M-G;GkS<nsL$c9 z?cHVSyl1`<h>!HElwIvypVBVLR*ov-N}WyjbWwkFzbQ59_R1eGkTEgSqfS*=W{iE6 z@o8@OFrQm>qD)6fM_|3+MWxF5OX_pc#FlNgwXK60Vqal_8mq!;S!%o%`n%)5O*_34 z;Wt__&zu{<P~Ru(p~(u*9NV<&@2LY9F?od~vAK0yP6;(-Mt1&Dx|%;3Io*|@rZB5p zG}C`^UB7~UW~t*2OFAKwky$2e&5uQFV=?Glzhu)i*o*0mVmBb_Kp06jH}4dtNvaM- zEF;~vGuea!mEBM!F{gW3VhXcY*`^hS>9@9T6K1B1<{^3k>YWutTk&u)K%e|23g86v z0)qVkQb;tufrSGpq%Jui;#9r>SRv@;EzExmBoYUA1->T2IRQyDYcX(CfI9VB1e_6| zPUDLVYXPd=BW5MQ1-E|q0oW;hK`><?AB`_Di~!I;>5C831vF6klEX-WuVLn-5Pnd1 zq`5Gp9n>8THvwEwJ$`^ZfC59!Q6Si$Q<+9|m<!;q)TJvR04Fd4ZUrc$e8h&RgHC^C zFNq;RKoNX|Zr}tCR0=NrG4TtcBpsqSL>dPw$AJth0*+7<MZ-A&I=~T{N;$9q1QqxR zhb+vT8p2NX$OTaZCdz>EAclZc5Lp782w)}&W`XSEkR_R;K^myD;^2<J-b8Z}2<~-% z=35K)P+NcofD_;bsGv3nvH+ZchctgbCFkNPW4~a-1cARn7?d4A7(P&!y5k*82Usr$ z#)O>U)XIT{A;LJd(%=t}E*vL`OESn2s5=Rc1Q5mvj4}TJ=>cM~BXPWep#pou;JLtZ zno8+Q*8e?3011{2T=7Goy(B-mB3}fDc*5z?L7vn<r5b^-JD`j7B^zWLC?<c`NGQ3; zfWQUefH33K$~2<EyaBE>Yq92p|Ez&xERn$T(I1IJK4g(!-nG;X`>4o)c_0^*_VSG+ zFcN?xXe-Pd8<K<*7$?CrdJFmq4332x0{AIAK>w`#R2{@HR-lV)BLR#L<C!gRbX$;P z=c2B2g_)_$3F!sB;qYN~N%()PMiueHQ_!-Nl?u8?d6chqTxF_=*8MuW?&jCh`1W?2 zjSg=A3+zi|iXZ0+VjY%nj`<GZ!dA@}!ASTUKqig8?i)BFfRGw22Z=Q2gS^@LK@Ipm zjbuik4?Lv$Ul;@+EjX=ISxJ;(Uyxu@fPCOL92O}sAH)T4B8osEHy3}Nt8#<57M^>% zN3RHA1gHg>0ljI~;`^&|&|ypfhZk02z!5c=sYa@iAWW+P50M<^_gHj9vzD=G#XNC3 zc<18QVakFvlW*GBw^1R>>#<;E_M^L?5;ad<Kl$_!{d3Qs*t9?L0y+@x9=nk5%lXCv zThG3v?IA*gMT^fB(3XEeD_mPXwKI{brY4P-_swQ|j^L-L_?`y8vp?XLHSEdZ;I)nr z@vR%#i|9R)D}<m*j_qFyx&?N2QxoA@!ge#wB?XUT3`SS}MZU2gw`AnzzjG{lr<EG) z;pI2@LqX`--3G3lB`KPEq?u~o*1ivWDrQD{)kUU5kCic3$e@3HG|+yFwWWxsTz{-a zx13y>uuPdpNzAn-=zxGrL+H+lP3eJ`*)=epqZj(cjq;&-HfWmkuN+ZG(ib-?EvtED zB3Hr@$B3(g2<FPbul%Ts7r0Yj#XeqiOU=~mFiv;L?B5t!1V(ZMM?3j6xuuc%RyI{t zjqhL<yJE1ulRbZGikx1mg<pq__6W=T>?0^@z$@xg<h!-8adB<#HLb%wEvD$$H`-!% z0J50{<v-wRG;Pzo>-+4zr~L4NA}##ubDOE1Oke}JFYZ3Z*C|+r40!O%m+rZ+=RMnf zZ@a-M(9OO4{!<f;DV}2}{g|;P9tGy4aiT0{s-j_Qno)n(e$UMB^32FhnN3!TRbB(G zHvLv}RS^?WyA*N@(a6>USz*z5Uw<fM=SHf*(3?rgh3PY+o3hr_Xa3v-+iHsij+<DJ zpMh7pV>u&F_e=5`nNEV1V(r@0xi{}Tk0YnH-0)QAlsnYXcJ;B$Y@JY>%#uigw%Q5} zohp+kU+RA+D_;vkw&;hc5xeOehFE+G>$Klm>gWw_2JB2hSY~iy3orc@CA|{uZ<>*j zACtyC?0wVmmq|*Q{EyIj<_$PW@)HIs721qlWEn=OQ}oj&_a2#oYE%b)eY9A9#~X_$ z+5Yf@XV8H2olPV4Wwk(?4Zl$w$!9~)qm{R;WfXrzq>D@2D*<0q6us`)rm*|)5)?{| z3tZD~lxWq|eNnE8!KQmzux_A=!z{(nBy~=vEH69a5yiyN+T;fzO{Lo5A5&n%CQaAD z?z^Xgv=Z6UWB2FoPuiarbY@P)8YJyv_6*l$=j;<E%Dz)~wUB&DBh^&kHR=?J?&nIH z?i7E1Ukx25S}m@qbbk$WI^J}~_m;<Uk+-IrY>eX}Xu)WxOxA1tSz(_Lil@lpvQ8_M z6`UPi8dKh$;lH}zbH1?SaXl%J|6CC5$>@Z0EJQf9%Bzf=d8;sW?|#0hSW#hMPLEjH zQrZuiY@nh!E+YYan>&|q>B}ttsG?j;QSyJYOc_tfLc{B)FQ<;C;jv2O1tD(y9|i&$ zH@VC_J(oKoN%r`tOyQ;jf8O~y_X?;9E1Gk&@JF}&tbqetMiLz_|KVhXy08=jsEaj0 zPfm&A=c87fle=@XZ_-D%vQ3$i)-E9o!X%5or8gMU&R7=K4O&}hI3xe2HInAGEf#;% zXWj|6{-y?vbLeyxmM!G;CRKvmW-%!iJkwWd9h~YXr2MD;EoG*=`rCK9>vqRN6{+@~ z@=?hp^Q6Q|YE&$C8fr8Dv{r1v7`oK?Wi6SO0;UT32apr5+%Ddt+^(m>Ox0~<w`>rO zbmn0-?QiJ0S5hK=EJSau(>0b!TPT12{7zrWvA$GGKw3lp%mAu<O=eh^b|%x7-n^`! zP2iHG7(e92TP&v<I|sSfi`*)eJcu!V63C;9OgTIvVp_svy(Tui<+kQ3B9#<Ot@b`4 zOv-_d6uUk+H4yNg^)}Pi$QX)0Ov%b54CynYRyDr_eV{fR%ifq3`<t-$?^u6stzHsH zX0h{<MM=Ql7US*z)df0)C-uR0E0rs6NPiQPg`!1!1k<6O023E=%H)aMu9_khuU@&F zB;DvZO-2t|Wr2v4H(lJ@@J`oosQyx#6YY$sMxcqR(RxJ14YgDq+8cky096%NPw9u> zdf?+<#}WL&s{<udmy|q4*}s4NFuC5+H`CU&<z!nuKSekAkvR4wEhwx#^1yA-=yTTY zOYJq>m~qOBqP2$0-M9{vR>S+*-G<gU+Qqr}(;9`oroHn7deqc2tKwAhH|AAI=-M5J zz5I!P7Sbu+gg3$QDa*!sihktpZ;dt6>w*uV)cn0dLX9R06vDN%v2uTwW(Sg0n)aMn zx;n(1)hlC)%OsLjT<@x-`M5oibyIJc)zN4bp*35wWy7XJ^(RPzhO2i)c~bf_#d&$M zLW1vTn0#QFm69<$2!3>t(9cGI`1<bBYaaFoY5}T>5k`@e)t=uAd}y3^+Cu6}jgfg} zt1<;ApT%pJIQTtPb@P91qK3Z3QOeiU#JHnb2*$g+6CD4j6j2}OL|p#prNj5+a0Sc{ z2#!NB<89H`7B*oK<Szq{`;f>Vke<ERJmxJ0<r-ov2LU<rb2U3FF3^4ew`GgwW`evM zigL;cFiAb@!@#HR*j}X`ABZi=Cb;UdoT#XKf?A8`r^Wk~itK-FQl=(~v+-l=y8}df ztneK)@nya0*Gp{Z*|;3CvU@P!*9E(dp=C#$yZm5{W33<7F^R9{K`Ra58|ZW-`ryUQ z6Tc%!qg+%mG{(@${c(MBc|K=`;hPD0n^u5S;z(7Gok!}YdQj}{eyF&(LDoYtM`*1O z2OApA7;LZEe#C!9BOzWRW-_0&wavcH1MJEvZ=D|=kWr%$FEh#O59CLy%XDSnNA%kJ z*l7^q(ZX;v!tg_vgTSg9lq8FpKEb+?$M|)Ad4DK%*RQ3VYh0~%sV<8>)i4iOPc+8| zy$)~;wkg?ouk9=Huc8Hqw5e~gevQC9@{6$8Uz!=|CvbneDCLx6vA(wL8HUO!nK(KL zt*7j6$8!fcn^YNiLvVe3&j7BtsIMPsJ0evexV9UhD7Ca-_;fe|oZ9TM4SxH=5m!kJ zBo`r7clXS$-D*UCeQAlmGeR4P6-Rtf_Y0x4`)vch_!?G5OHE!0@A2x+&ITSUZVfjj z;P{{F&N_bvR=&a<0x8~sUe#nCxk0;fT%Zh;3hxyZd6}pg3*VZt%cEN?>1z;Q3{d^* z+40wD;WA0OH$>9N*9q!i5%5SnA!gjqZBzKiG8N_Nk+OXk5e2|bTv>gcX(#@v?2kIg z7Xfwv%+NvTK!8R5A0=;&z~2dk`P}>y!UPaM;EaC+m>w|^{(nTU0_9JMMjXix5H>(O zPF}(nQkXw>Xf)^+PQTcVa1W>N=}>$pi3aPkLHJLSnIAA9)Zmm}wOixl#hWK!_ZtFK z4-h;7N4wDlN#;huNq&y!xXX^pWeBA7d~5Q|xECF!OYN!AB8EX{qOk>V8>3Yyg)5<# zUA%wSUM3#s2!V-O6{Y)V25GFMK;-H`><Qu8*{7yP7O6>vXlxI=;8Qy`{tS&L-UGYW zH{ZKUCf^c~7g94Eo!f|*Pkf_kSrq8)?vdkx^#t7X+ka$NmR+WE720e*GwyunpwTnJ zzDL^mo^84@sYQN#{3riRORT_LZ#hy}S!jPPf0^f$G(5AeH{@2AQ@64)Dcw+(_Bv}d zM4^71ZT*jqE{)-7RMn01ASP*Z)WN_d>+Ij6-H<m3Gmd=!KP@xoe;C*VjJt|I+V(Zs z=ElM)SutNAuoQ!_sENf%K6?hxpx1S8=}=Z{O?G56YHrch#7d3&?s~|m%1mfcZBc*3 zE6;&@F<Q-ztNeEO4X#2c0_v06MyZ#futYEAkLQ}(f`GP-wt7{&oXj1oER~TbJGz_2 zzgr%&1pyCC`Dc@M%`3g-b-G&n=6}Ka=SADZj+CM~-hZ$izni=KtxVs}@ACL={&%D~ zbkxc}m+uTC3R_K8M^!nP5zcz!OQL^WIEpL8{KV|o^R1}j7q)Ujcy@~CenF9^SiUo~ z^r0-8J3wxs<Y`27eM)_?>$+1;HHns#SFnnSVE#J$<yG`};cqW@=61T{l+C@ZPnpM$ zi_Vrl&UW!k^(6g2$6Is#_WN@MTjpkb+ut8A{{y*$KIaqADfH_g;AGgxK^1>}<Op&F z)b`(h!U9AAmnI0tM-MA(NxA;fHq|*cW1MX}EmtH@R=?#IXtGaVFJVZoddrWQjjLpI ze{*V79+lFaHLDgz7^6G%^1XM~jePCYI!FD|@hTBg*|-jF(SGHklq%~7KI??<Z{A|i zbjyj2M?G-hzLEmJkE%S9;{<<)W?vdn=3T>SF;ZWM!<~NAP=}HdP8BM*{8^bP(r{e0 z7gN?;T2fN*;?#TR#b}l1p033Po^Y?K^v&klWz?w$PcW)w@1{xTxo2s;PBFH8l?sQ; z0wFf|EWnpy6xhWvEy)JYnhcO#M>QRH;6|c@jL_ny9JVsI`7ZWX*?50PMzc`KHX{wa zz;c|it<Mh0PVV<b{xs)r@j|{BWFjb7^#~}l7H(ig-$l5|uB^o_YU8C}V<QQRNYb!W zh!*qJM|6~wt~DhSk+*EU2yFELLNnOjUUMB4Sc66knJ_xlb0Y*dueM4;^Le{v$Lrz+ zk=bbnVZd-Iq5)}F=e>XBz-lNM-xk{}9nG1bH6jFMU|C<psTFk`bL5s-?vW1%c@Bh3 zKF}U|nS;%_`#rP~t0g+*?SPM{04h7;t^wuCLU_H<GLK-XbGme^e-~mY`<r7uiQfbS z3(IxWxyTn-$m8NO@0C<~f{0^Q;axZOdgFD`EA>J<y@;i+OrC!`Uq2bv=sqHeYwg+g ziO;=N9sfS?qd6GH<}Ca5`^KNSa}nax@5o<THV!=Pw<m}yR0QKP8FBNt#u=jp4mc{H z&r0bu_q?qKR~STo7|H|APfaF|5j$bIKXMnb&&fAd`Bh#Ib@@a&WP*J%UN}Be<-pTy zO0q9uR~}y69Atk<Ve#q#bG-Gf8HZmM3jzojlB{Bv4`o3$)5H3r;QGXGz5rv9Fm~N= zSWHwmZ~HQFycT?q`_b6R1&fcyr)k}wB?mxQcxP_Z@>%Bx!B+vKE5^o4+TJiCv`-s* zZdVc7YpP+zt%6O%=K<zy&J1+S&IQ(G({5FbPnS{gmfwFAJ`9(@_f~(>%ib<Kw4|yP zBBQ^5*zJ110@;;yOKO_Fz?La8y2H%suz_V5Ie+~=aqxT{;IVSP+Z&dbJ{gIf*r$z@ z-&VQkSn|K1xW{PeuR<Aka@3R~S4iSoU-z8ji!LM>4U$e5a|qt4Zo*cXe(JmGbL70x z=0tHLNq2w2IYT$8qP}+BuYF77RO|e;k9VHKsMZN*FfCUBPtaBv@1_x}2~IKY_i7#h zeWq(m6v9$H9|GwZwCq9v6CR%Jf;#0PlIbC#*Hk)K#^3%>Tnm0U{*EGdMvfjl!@KUu zmf!A;C2nr>EZ47k%{=mJ*Gf_7eS^`{m`1lsHavd~t?J8M`q2CS8`5CZ@h=Hq1(;(| zOl>3XB=ln=FU9zG9q$?tciky#5eF*r9bS?Ka5UNUJ&KHqq<0HdS2g!t-qe=qLpOK! zocOZ+V~N`_fSDFti3EtulW6KnUpQR8*l^+@rhO(rTy$O0BCLT8<MSKitqdOp4Gr_c zE!%&F&KJrylmyou8A=y^;`mxohhZoQ-0KtA-OLfkD7j`<(*s5szZcF(Hu^bcLVqB0 zl@xcS&Yr6dV(If0xInI2-!1!YMA?Zr5Aqg#-6c`qG~Rdk#!;XP^R1TTEtt51R=6AQ zSCOsyGWjG`F!B}X4*FKh@)mUaj-(Q<`d)wUk*J%l`U-)eUf4+guCnMvuXppp9#!WU z5TZ0rh-`$Z`hIR(*F~^EFbTP}?XMoRG;XUA7YfQFZpQ<zemO~6L0nP&=YBJTkfTvt zejAdVen@K+Mbu&EZgC~s*QZIuzA8%k`sgBk^)JlNW5ZpctEP~$Wr@leMwcz2ZkT`7 zB{FI6DCrBy4*cuI6>`!5>C0tEAd-Lq^P8H1?@#q1%`4IdtK)`R4LXCLJq8T!e3-5B z1`;VenO6l4AU90xTJ!=3)eYK$!zSE=jM0L04CzcQ_q?9ALD(55?0(>a5?NICd1*}1 zpEL9B_oscz?KZwHdE9hsBVW7n&tiWGe~OXJCU}$&d2pWQaeJkl2VHLo*eO|mI4-@a z(q6NSD&7lnudC6ze3Sbq{$^k@3$;NhGC##0RUCOBi4)f}1?|~({$23fh(dF!z`(l2 z4U)bwU>{&Weg406#U6UeJrz-iw0o3=DvmV3p8ReB*Ix`_;0RpU3lLvqN~C{)T#MlZ zT;}i8M5Z@-j4VY<FzyOlF-^@Zpa}&B14?ZkUs&W=&)ym%Pq^o$#TS<#B{7W@E&uNN zdtJFFZSblwd^}3>MT5+T9Pdzbglu>+4OMNOC7p?Ti&yeI$KaVnh_n}p^DzS3Xp!d! zv^hj6@&o+i)Wb<|Gf}}*MVfz;>WMaz*OeK3k4E2ktAoLKOW)J&#S=*+#&J%zY2rjY zM%mqFS8}WBVO3g;$5`2&%?7H4_HTDUQeg}>J+PSoR5W(~(yjlkl&-Z^WBXq&oDzz| z%L+?&vFvknM`ADwe`h%{%g^uwcky4Ams&$1d>Q(sRLdZyC;S>c-Cutv{Js28cjLc_ z5A0vD*?iXG^JownFwugUaGw~{ye$vAW<TY8PW1`B#$-_EJn*X49*~QmIc+)+*C>0F zR-*EBK+bXFJs{jr`R#Se=z9GJcfi<12g_i^-0^Q?W2M{@-)zlCW8;5G#Oct~E266$ z(q+5SDTh{zB$eIySEheU4JZuH2`G|qGD*J7@I$dol|{A;PVRotcsnL!T+3EA{Vzo@ zHhxP}R9m0w`33Y*efux}cqHKIW7mT2hqW?kk_y;pT1kS)=L$Z**t9X@DaVdlx(#X; zyOk*qiE3If-m-jQ(W)&a(MeD9GNY!aji~?@4|OG^rT<c`gg}4t-X<Fk$Bi*|N-UPh zYvxPsJ$}K5*VWMrRMY#&Ypq}jZm^c}9xmMP_Rgbxemb4|De;pS?7#?HzzjPxcqfE; zpR7#~>r=tPt@sDDK+dSI@+M8zTI=*lmiNbf<)>`xV8yuHjGngO;e{Vr6leGzG*>jw z^6xQ3u?uSHDYt*;E6ZdL5=+Mhhk0i`Clr+vNA>`633Qr0kiEP&c{zdt=IQWdjJeiH zB|RUhkI6>})^td2OeB&PYKT+r$R(-YRARlUh+a5(k++$Y7fXs)rtTw91+K`et7P{@ zA;oz}X*w9g&=_&st!(IJvY^(-<b4E90|Zc-6k0w)9Fu=s3f?MoF;ruk<6A<^9L-K| zI)Fe^?H33@(=*y?U4|}+Mo(F($*~LaS?WWed^5aY6<bN7m5`RVu#X&N`<}Hwh98y2 z1}#wCfpDy}6q?(!N1~<cWHjQLKrrH@D*gDiEPrD!HjQHO!fyWqK~jwA<ECsMoeQx! zKgYXrl7xRr5HnMSw)8Rn7E$hqw(w_2#?%oNek}k`RL(t&fRL{tyEmhJZqUd`+BH<T z9Z|D8-0M%Rc10DvFsop;wyN7^&d$jf;!h~VnghkGZZ<#^)vbjiuP+V^b941Vi8#hx z9NBP?uVYj02{kT1!dX|Wpb1~m>c-h27ETqaB<Ft$zOXYuntM6mEi36M0e;aJA1}zo zyDu!my1D&cUrn;TKP>Onqri+7LW`N=;G#H6AV)nKQ2Gu#WxwK2RNiXR>V5(5QA*H- zhAK1T#asebiZHTE0Nl2|D*fybr#q%dK-5s_)}>9`7^_H`3UQX(do`am5{O|Ly_Q#o zgXe$6g+o=Dii!*oS~vZJ)2Zf-iSIsG7(+_S9KSW{)$GQy4&skEGgcVh$?hrR%Wj6J zdBaAbpOWKLw6uCM7U+JdPWhQv(Xf;g^RsTR)iV`0{MrbJq#6*K?kM_>UFM;5j)Pm- zz4ow}fY<pq6JN*L=XBkySA7)6+H;UwlFEN0ae<LEibNLCS`L8Xexal)cR*fURtdb{ z9R5K&j=0yn=0-11oiYDL9fM>%jqyYdO%nUdr9w44_sdyMzbx%<Hg*2be^{(FRm(i3 z*LG6#zf&5VfWln2x`z=_J}Q{vJh6FhlM!t+fe6d%1a1&iwDF%TjQ)~Y!KV<yEGB;r zPnm3q5nwc*JYOD?$(*SfmVTPNq0aCQGw7h?1`*S6#rIJB%%TSCW*qL_0+X!To#U%8 zFja+_NUl)S6d`Jcj12i8&jKQhj9(am8j;(L!-GH(j~PL?@PVvqvSV59*AlK`0p;>3 zZyt_Y(!5A^esnG9+%~bEe7G8yRJ(tjQa9BibWU`-QvgC}UnY<|i?b^shf6ZL^I?gG zIiI?rnoy8hK|qC!jQ(>6SIQ8+veBM<HzL{H4F#Q)N7fe~&eh>K_z;(dWwt>0+z@d@ zGS=H+e^BE}GPFQJ#_O##$*plTBP4lzXw;e-DvE1yikkZ%Qlz)5Unp_hBsPCw+q1VW zhVCYt?4B*qsZ#1@Pn{sG!EKQ;8N?P<%|M+QLZ$@3j*CSe9>O)JFF?TUDrT<f@q7KC zD63|-dK5=_z~$zit*}2QLlTX6Bpu)(cP0F5NELE&7!Kmy+xTz0oj#3ZWr@a(tCfY? zt+p%lA%<du)`iPx9GX2y{V9K${BqU`%2>-u79YznrV<to4F~b1f{300af0#%vAMI| z=x<_4Ty@ajWRH<?HAUvdvi^ur_0So{RX+^AbJYBywgpiD1uD=B(c+rHvmX)F;ZeCk zIE0i<4GKlyasU2JyL?5$xDXflzc$J?Jly|d%V5%B@=-eZL|Ib+ZYqBt9Kp{V=uA5q zn{phUccFW2aZGzZBdFp)IGRF(JIeKS54AO4kyy!&XyN)_C++zg-te_GO|2+ROcTx7 zL3xt7n+h;hbD*lQfXGU<E&7CaOe$<$9>Ve4fc)p>)%JAIt<l-5x2sP>gFX@dew}kM z@8|CVc*I0x3^A&myIX(uW>45Pqc@~_-YAG+zLfV89LB3_rSWXj1O^3)aWYEE8w0$z z^>qAiX`Ti$jIFIX^rjNzpj*B!l^o4<{Kilylz?sej&PJ3b+M5Kb=vk@a;-jtso3Yi zzow#m8{!1S%ueKIvkBwR8;zV?-cS0R?Xpf&379S<i5Q8{gJ*v^4^o;<<Y?sR_PTR+ zr|j#Izw9(>(=m=epkwJmXHtvxZY7^p)tTt>c35y-&N78~xW<UxKFQ@7SMC2$GQnK+ z3P_u8W-4KuIb|za=i5)zZz%iJz9+l{b_zyz6Ia_z!euYa^L?sa|E2X?wDLtQ=ho`D zcG2i&e6LjrS(JZHO)Ykj**DJ=R<jGeA}(v~HjGu9c$6IEL?c;xE9fSv))V9YOSaXP zS%dLs5-m6b&wFAiTfq+FofXvHI+=TK2`bR3IXa&Hjbw(XWcTC#3kHAc0wWa*>vRS{ zm*VsL;05i9xRu9MdJ@8@liYXLFNd2a2eM4C2AmfXm@9wI3js_G&?gN>fqbXwz=fFr zUqj(Zz}E=43m`=9k`NFg3&w`@Q9TMn0zg~Q@L&Kor7sSQ4S-GMO9Z0<Qpkc$ar%V- zNxO_mG`@(iRA7NrBPnbVcu)C1lueH#5)J=<G4^X1ybx$AcZmkk19eBiy#TJ1zPK<W z;61f3DNKJ1@C+i8xMT<XmAk}(_<*{j;o`v8cz6&11r!(x$AR=aAxHwefVNaXs!Dkd zQb{BT2V@^-N|J*RCIYwtu}FYLAdx^diMbfK3?Lqdhe`kyW(jyNedz{J#>s=i@c`4n z3~B*<m;+Evz7YfF4)~Axf{`Hv06S`d|5&G8reuF5;Ikxx36KRq3@`u?Qo@18fFK|r z<r>7CNb(H~2${rz(yS%InSu4PjYzOnP#_Y_3s^6I83f>`d=!Kj;cUgh34t#EA?r~b zkwmyEz?Et(9_|cy#vzjdTat&$0%zndQ2~WAjU<u)SSPR<$R=^=2QZTWJ0eJ6C9TEE z*A9PNSRtsdM^Gl2<G{**!J%+Fps6$%0TuwnqW+opRzf~m2FwZ}2lht7Z2%3FYtiQ7 z5G0@!)ql9Ue=Hv=p9lH!#%dEP&ms$Eg3MB_#lVpP{M7%$(L0itY>)?#{T)#dfD!Or z_EHoeE^|rq&-};<DWvQ`g{gzKpl~{jduM;&A@&b2)Lrw%W+5HMbQ1UlU>e*J>(}H2 z1Xv!aZ0fn5Z}Ktl-Qzz;tUA0;8ZYoSek6Z<T;5F5OX%_`Bmd>i1mgwvijan`*3|$U zNfBCUI+_C$KB9I2)m#z4s1)<j=1350oPSOeNhJSUkP9n82tE+`iX<0wih-aX=_7vx zt-!<a)v;XWy%Za{OrwSX3*O1j#ZgAV(Yk+<GaN0Wrhma~XhMc%(z6SJ(rm=aCtd`r zBfb-2M3YLF+XyMU{AGw<Oneda3wPM>oQD++lrz2>zt+`JnE5r~{V38`?$se-+o{1= zWf<(>+L9gtYdq&<1d0%(7y7brK52i9uruwqO>{^TUr<|-xj4Iy`^c1#Ph1PyRDB*k z^hiJWW6g51&-&!8esyl3d8JbJ$Os8@T5ZL;W8q#BSe>CIn@|7cR_j?;!%D=Afj2z2 zvZwGJZqHIZ;G2ZDZ@>gC)U^mqQz1HP8}8<)o}B`gt!C9~7QJA=My$EgUMqh}wz4Ws z18(eMS0oQQs*7R{Z-67qlb|1VT=FrD`$*NALej(yS|be<e7z4PeE0QpW<>A)L|Rla zxmtd@b4bJul6q~MF1dDGGd^0?CMQSD7HO5YdQPgWVi3~PGpoC*q3<Q{R+crvtmJhZ zS_smas^XV44LQ8q_Tq|~)WLsfNX_q9OZ}uYL~UXpMO9nMb_=x;Hs-D~OgxVJ!Y{bz zaPU?Cn@tO&)+!mdm5vIiyyj?Hkh<0`dBwv)ewL0xR88{2t!%Y20KW{3F+CsQNTN2K zTPMwJE6g(`^~wB8y<3s1EeTKe08W$3NV7Jzt~32&y;_c~DBr&JRJVVQoktM2!TxHn zjhTj9r3*sJ$9!D_VC2X#-hg>qw(|HXr;WGNcx~!u{evW^rr2HMwc*F>;?EutmPrgX z`^vhEwi=3MOz0L&B|eLn)iu?;3OcttkTO9!PLgpsoBx<`uxzE>!ZDQ2^X|DisK#XC zQ$h2uCNgT-x!Hnl+YWzPxnhi&M9~@w^D)~=bJV&I2X#NrtL{RtI`gH226NB$e!?%y z-5(}7VtFR+4&*v(W%jOQz-a7fvvg{?6Y>4Y_um-1jf=0`t48AcTcU-QAJD2~CdO_B zAe*Mlx+l*19ELNcBCOP^nT0o8$|owEFq;|2#pB{f-DcoMPlkW#Gi$SWHH^i=5%usE zttYpiCuuvC6vjEjZJf38q{1$qR2S5RYU6Xg<Sj$rOs11EVx3XKO!5QY<hO>Wodlku zipzT0yQxODcZM4(cPsv%h`;S!?@mrE3iLRC`S3kJirTB5s6oqBa$fTodVBqqwKUa1 zH>(O^AzKq3n|^<koN{Z)7lyZSF-CsRg&8-m*)q!DU&e!0)>tic+bysNH5HQHjUHaI z7LR+YAv#ZY#48v8{gSCXIQf3<x<|;t{z-bImmrdF{i5<wZ41Xg)7F4Rwt?tf6)%T1 zTLmdsrs?#2)$z9IQ1i~9md{U--pc#tSm>F$oxGKPh@pRQcyqq8kT=`eS_;uXh{F0b z`+9Z;&8;?noiT0QI##M5K?!eQp{+xkNsK}kqnzUsPfNj-4AcHf@3NM>-?4~}Aj$fe zr#rHRV5a*I<AoHOlvt6XA8IV)ZsFRat?oFI)oy4>S?79cqpCv7y&oHyP@1$h{N<?a zZdXP9b!mUmOl{o1TM=u2mJJcjSTJlTV-P8-ti3m8<LSxOWv0uo{Hm#$AY-#@r(!FV zYw2JsNK%A)Hp|pWr!@gGc=F|uMiZUJ=H#g){r1D^=Ry+IDylQ!0JC~xh22pwZ=}@q zuS0`_AeY{Xr(EgXO`&nAfzV)pqQY|eL%u>Ts;hr}->o}y+_PHgS!t~#@MQpUASOwF zlI3tythrse6RmaxgZ}*}m;BT5`OT!Q@knG{9e*8dO6U`JUrSyYR}PhC&LB%qrkdjI zuz>QrpYT)NyFXGkHsv;vg<~J>M;}ky>YALL&px4AE8O+goH5+0imRkZ9NkLeV_wIx z{b7Ij#!VTQm$-*sjc;6?S@7!b=o7g(oV`uQvuT?*By{lc6yK)v<!1)1oPfB!0G}S3 zRsQJdrztW$ALQZXd_}hdTH^{=XO@gKa%HqS)gal-r$)M1kY>oCPz=ZjJKVc<WsuCm z?&DVv#nG8Ea^ZI^9}D%or&GOmC_eu9tyh0BVygRjGLcEKI7MIytWu3<2({ATwS`s- zdldhg`BLfru6mJ=+fztad6HY5iB|Ep=0&k=*>q^-E{v?sD~Q1kC&PnLeuj=*@SP}A z$UtWGe~8$IE*$#V3{azMs_B=T*#}e`Z(@n$zy4jrUZw$QLI2sUA&usz)ezggTK0d^ zVyS3Rp_1>nh!I;BM=8&&BO@5zn5Qi0&UQSe;a3>Zj<{^gST4Lb;FL2{Q21+D&qTy3 zo3M)UC^4h<snkukbDoWTkg)XL`Bzi+-*=WmxtdQ&7fe5ZXT={Kj{3S*Z4<2w6?11u zfU_>wWr?2NpEYz<RvjW0(Lm$(^KpN2IUy10lJgUE#LSttwF;%zum1kCOxxoa;eL+y z_Ssdk^mS)t&X4v7J*fP<I2+px`uJaKvuzj`EqTyN|MCoM@~R|nU`&@iOpWpWWOU<F zdIf4bbO{*_66<U(YXr1b{ID0<2=H|OIL%KzAGAfmhE0&s!{A9tNI}eoCOUssHE^(i zk*UmUoF~=s(j-|W>sS!pH%yk|hxMve&&2wUbv4gni=oxD=y(gb6uUS)O-II`>Aocp z*`f3AWov06p0w5eYJU^8cF4o_(KU+DgnmB<s$XYx_0i4KkuCVn+ryQE%qec^E#h=O zW|)MNItQ5xM%A{Z<J#q3D35>fQg1ok`#W*Yix3TmZeJ<lc19}`;_vb!0<@7<6PL0! zYsDCtHXZR$i;lJ)x;}ctxDq{gqjWkNefQN$bsodl3MVq15!B+oWf_rz%U84Dw5w&W z1%Y~K>V)rii~RucPgWObe<G>o&4@Cpuj$Km3l9b6Km0J;6k+N^t~h_cT>av}sk-=* z!yLSPe-e&jJAScid?)Hzb+N0n@qMuStG==+ewe)oMZ%fh=J)9~Zd7^CGJi5=)dfG8 zzQq@YsILU_zmeswIN5)>R#vvxROT}MVoy%^R@WQ$+gG`lTTOiHK5e()(RQxpbVg%W ztkBzL)gx`<JnAI;qSAl1UOEVSyEQ&2$?VjorDA9nJ31F*oq|u?C+{|K@;mH5P2a4X z&fuK&Uf)k4_;G<TXQ|_xdmqZ4*>*43qNCTdiu~G42TlSoE5L+zQ--!-B5Z(+4SMA& z0mgs|euNG{(ogBeH<DaH%4m5E$}t-KsD~yp7#bklH(?s+jf{U#J0MIxqJ;*;TG{>o zimiWAYh;=V!P$4>-_7&LAK%xF-<bd3T1!rDOY8p_EUK^e^3w>n#b*_3^e_a71`cRm z!S-C`GZo*jd`!7!K~w+^nG_doxFWsUK=~JoMa$t0T}MZw1QVw-NSrfk+eSwTWaVod zDTxGuWU@{f{#k#}EG>U3wmY`^-@jk6kF2kMpmyKn3f~l52p{dVY;mK`EVDW|5O_p= zprWGkaB!EB;<vDqsxY7EV9~%WFPE?<<y*EKA47Djp=WT37wid9>=$Pwy8I0p{*>Y) zGqBdsDsLWmum^7BBymX8mi-0MNr{RqviD#hF|GtS!(xA76JPGT^N(kAoRJn;6q`z3 zi7!amS(NDq@ad_I6(jAAIo&m5=A^K{vBm;7s~W@6x+)uU(E=(PGtrQaj}%G<D49yN zEI9C^K2n9FNmp?g6HakjVBt$?XQfC*h|}Q|74*_dMKa*u)4khez*qZd;ryO@OFA-o zN=9G)t=@k-5L#7Z>waqDV)fuYj*IlYoxbMc^s8lvpWQ;P^Zub>evP3hJQ(SmH1K>C z?sDYOXX*X4#SYts9Z?`YsER$HgMF?$3&WoY3IlMq+Ml*&`-7rq|KM$z1fD;@&ksGs z-#nOzJy>Np2cEwHf8Y10gZIuJoul}$7j|K9VLyLvCH4PFp8bQfmGroU-M>A0bpF;y zyzrU4Ls#q}2#kH%c@g%^S@_J_QFz%o+W#kQwhrZiTkL_M1LbLp1|B?fM1lN(F4msN zn-O>p>@Xv7V>Jaw!~Vq01|grX${CaHi@kjy74w0%T?L*qbVNVpAsNdyr(o-{q4bMd z`ig&yoS{506h0Ge`HQt<Z>f$Ovte&pMP1nh|9x}bE@v#fpBVm3UieJ5b@8;738&zn zB7EP03xDlD>P2V|oBe~k^**69p66W27<rb9<tUw=>=Fm-F`~$VAUXWBId(P(@m$f$ zC3!@&$OLF7hTp|z<ob!<L6ftqd_<W)mnDBAaaGJ^qCR0Mx|wv4>MTxV6W5TaI-X}G znk1*C4gLP9sQR$nsqnj2#+lY+_xYM^;LYa|oIigf%I$TuytS+)TbY*dT5V?QW?T#x zmdL8Hb)$0zcrn*^N6I%Q>??%=+&b8{8hxd7O2tUGA-^ULC*a+e-M|w}>s8%V8^?d1 zaIi_~A)fl^s}Am`tVZxD%d}d5EwrXh(A9xB>Pyyc=QKwZe=frPo5x#+&{%{*LCiV8 z<@zE5E`pd330yB`<%aB%a?cQW{WjSsGWI0dc(lXN@zmnv(eVs=b5I!wqhKynttrh_ ztpvP9FoWtq63VR@YCS%_l4|I0cA<YcF8=-2=>L<nQ2UeUUD3Y(oFVFA&PVQ6L~Wk$ zvi7{E3MrWwsSYcnK|El*xFefT;Rsd=3=+WSJi}nYbQ=19-<qpIY=ekEC-{?}TS~Gm z3Xkj|FUko455I0yiFY22l78!~Y^xbac;hL5-rI0E$@^7tUN_H>^y5^qDAs@c4z2RG zw}L^tv;TH4aDHct)H$W3?&my3l6~Jsz)l9u1|iKx!`+H=SV_W-w|px%=&bK{<=>e6 zaQl*quWv-#Rxwe&*oHqNb@W|#R`mZ#m=#4mzX-(Q4#;-B?EKK*Gk)|6bU`od!rd~8 z%0oNPg}d;PebM2Jf)CC~)Pa9i{X?AAY60?rMsSOkmbu<;D*=H^JkrlfZy(PcLJ2}I z@{zL;!6y!(;|SlyF*#7-PFQ16)8&-)?-h8Qyx(dDtHi+?4W4^yF?2$2Fi%E;n!fGI z6Mse5<!_~)I$_~vk1L*OWYEpg&E=%&&q(~jfaQfhoWcD%<TY{BTKs>FOxC99pxLv9 z(X7C8hG5J8VX=L%v)dUiW?Xwv^k<#z{_z?=e}B^bevqs~*C@a8?Pjd`g+wEEJh_v% zsfM<W*IwX)pXq#D*g+sx-0t8`9-+X|&28T356we01NUC;)jBu9e|7H}@#`t>FjysL zF-W{?!Obh%%Hy`+x=nxUHNO(1*Z8(iArg0?R4Py&3A=DRzou9wqr}~KMpvXn=3ZHg z+Nsy%TFIiF=4~Q8r$26SNM0eV$Fh*#GNE|-NIKeRr%Bn{8H@_))yr^1s#z{LS)_Q} z^mFb<KK<~8=w6Y_{Ni&5t}mjM`FhO#=t8TfpP;u<eTgF*7y5tw!vlTS*tpB8(W5fx z3~8bD(oal1ahrEO<HSoCZ@DVTckLra;ccQXFz=?*e})-&FKOVub9h;8%Bwf7v!&L) zIL|v_C(lXtYub-d?uiU|Z}HDwRE-vlSFtz|EJR?{4RwRkM5T^9xk-_BiDu94M%_<Y z1)kG^3$Hs9;9`IK9_*0kyxAUvbAiGDRDESo9YNDB?jGDBXdqZ{2m}c3?(Xg`ixb@4 z65JuUYw%#f-Q77H9M0u^tM0wu_hW0Ox_f(iYh<fudY+E@d_DXb^WpRXo0sb$f$b`1 z$v3r!Ezpd~HB|1APJFw>Sn6EjVO8}03l5N~pd9fmX{r{SwC2A0Mf$4%4)R*S+mAEX z4)Ua^R5%L9G&k3#!hi@9G_Hg71sb%b!k|yEdnja181@f4^w9G$kN^d1Z6GA9ZeFVm z?xY{TDH5cC5Qp9h$A(Du_Xo)Bne0y_$fAJ2uno?qZcb@vt2)v$_jWt_&9DK)HYc^X z^wJ84Lp=4S6T≤XP|K?5PmqQung)qXH>>LQ5VL6?up`i|gHSzqyp3rGU#5apC+g z`enX=;BzZnjy=ya{nq>54uGOHKoHp{sxpGN){$3CXoGGXO}?<zio)VRQioN(V#j~h zihgDo_zNEcUj;@p2f<JyD2%(T<*j#SM6Vywb}KyyCW!z0%+qO)V5euzl%y7`T4CsU zOHLS0c4U8=bF~nTn|Q_#?!L4a6xg{FSB02#o+n#Wc10vS(qK57WZ)k`fa}q{|DHf* z6EPFLz$*4MCj01(gOi^`h`2!eCS&Q|PRt)&&lk?`l}jzq&mZ?Q>qA*FLS9YT=QxZp zhzM<Ju%pMgw;Z9GFio8lmGaJM)<E{}!O>sLY$hQuXtPP;HCq%_93nMVm$gX}HD%gU zoNtGG0UJHIXVU4OyZ}be{)ek4&zQ{8JJ#^Z4nYe@I0otJOEiCy&SsBSOAtEa00EDu z<9Z}0cp$`&f6L}H<IAwNJQ?a-OU9R?&5Ki3e{nf;u24~4SR-eAUgxCauJ5Q6>`QUG zpBZ+Is>pMwkz>9l-Z_fjYiah%$oIIa;nkTR!;anTSc-L_%mNw(&%i9lg0bX!EDuS{ zzXpWABtrC8e7u}Lt4wmgM1Ji&HX>!G8%4r2HNe@zzFGGliu84}|HE^@jMmF7@fnSh z)8Zu>ciK_(4eRbJ8sY}xYN(>115qD+UNcg!j^gFwv_4vkl0lG)BgEta>H%cwyU|KX zAcX2^hM3pUe+qa?JNCO=pDU0!**SI(boEv)=KB9STFk9{o$a7<66c-mUNT3Y+y;BP zUSQDu_P{mW=$D6DolZO^d85&!uZbgtu4Jhwwr!9>da}aIVB0wse`UHz)7)y~Tw<Dr zFCKv44GR2aKWGDzWezqw*<-CyT!RkZq`=Q2JZ#IQp8*oDNmGN9Mo-4Xjt?a~&OP>i z3!YudRLwjvLn$SNZayuMM^oYU9=xzw*jv<_qxn4ESz@D|g7xejbDHzwM_Q+Yl3X2I zCDRYV+25LoJ0D<L#OjrP``_cJ44_Lck;yzy_x4@Z)zmF_w4B4ni#UWJAHC>4A#ePh z^iLMMCjl-8tUb;|-p~OU$X<Z+M#uZ|tfwkh&DUbi=Ly%vc%fZD9=oz<y0@8?d70c` z5_Bu49B=7C!6-EPX=ekxHaXVP-hKKscf-|ZTRCSvXDal&nEwX~&YWoFM`mH=^R<Ag z5520L@}Ii|Z<H$RrVpHT$-X<Al>J=x_~4~K%?%hn$WccW$+|sPtwx0%g{&u%n`_K$ z>NYRJFLs@{p?}E|;+MR8)NG$_If0o9+wS@z*_FY9oHNr0W&mP3JXY6Rau7GXTEUK@ zzZY8dDkFqDx{e=y4hpDhT7d3dt$xrUzFgS9a5SA_KOr4E)zK~$E0?v^|6o_j+D^ar zQ2@fLO-S1`@1j8PUB6+$y-c6E+(!R$V9yuglv-`cg!5mH2V(J94I+*x{gMMyFL~@a zv>F8Vhdbnq^NkHF#&~;Ibun2Msvh*2#4pn73CS#cD!XrTjkUW%{)IKyYgA>cYT85b zVhPN$w`f`}@a+8Ck{Np|0Td^nw7v-^$OEMUE>ROTMpsL(h&CeCvtwPH=h9|><FhNi zs&B*zG7FI?k~Yr-!MZt>VknoW%H%$|T%|b>Tv{o3<qKP2vdy5?vY&YVT)J8I$6Nd- zY1+q?^Bay&G?t&cjwR!@Vix&tz-%N6&%WT?=9>guDd3u9jJwsL-_`EV)xuYdb`RJi zyR)=RoVnG@pd$$TS779J7N7S1-j)Zia17cvay9l(+AjfLp1xF?`_y)x4ayy{z3HaN z=M_@PIG0FwP0a=D@fXIl9pzru7z+(sg>JRVrJ0=x|LU>rZEUpSQs-Zp2|?GHVcz)x z{|VJp-mFRiJqVA_tixUJ#g~7&E)I|}MOz-#uqg0V%uO7<9W#BXWCVCXsE#UvP~2T3 ze4w4k$?Nk{QO=dmEb8kV`AaC*6DGc65g+IefziK|doi5y8J*T@C;3vn6RdBlcHW$% zDurXxC7ReDzcpUIiA@tmU#AD&Om-l#=zwe?<g;tX1I?u?CHDmZcy*Nyoj{%P5Ea*~ z6&aXp;)g}s8wEdqJwHD>4YY8@Ypp!H<SN#&K5A!S4V-wiFee-yfm=`HmI-@Li30a= z;q*0jpQ51XJ?kgDbr%<ofEwrXSy6I*aW1ywdYbh;|5}d%zVA9k)v9F#^by2ry)?6& znCY^6)s^o1RBP?1Rlq$24lx|n`&Q2%rDa+IIu;eyN;j#+NCjFQ@xQfJZD>oF|J2f{ z^`L+Jw^FSK?eJe7xjwW6H1a!7HnFBAtvub{0Ga}5(oeaW>D4JNhe}S3{Pvx4qJ|l! zMC&6rOmgDu(~L-Cw0YS26RGeac!r5JZ^aZ^p|t|JFg}=BrhnF@xy~iWkCo^b=^4w4 z9+a)9Hkd)G-{sEOSRxKJk)T>$0LsAc&pNoRM)p3*>A9qqdQrSbKBV0r+CnS}7md4@ z0SN>k_}Mc+p(HdRs(ULP8lj8*l&W7a<qmpB;vyw{ALptF3Xbh%f$=Nr6G2ysAzU>N zTecrwON(T*?<$U0V*i~|F(I}IEs|0wN7>%UD6ct%kHMjbh^Y)mz>uuJyZ!QM?&x~t zO9C(9&zXY^!{@Y4N*YDIl!EE&qvSdx+%Uj>_Ei8wzq5MIAh&#bur_g0x3qTdCR4up z>!-!*gni#%U6{jLp#?=0w-m@X>xRgymZqlhfrI?<vVLKn<|ZWkmF%Pn4c2wJWV|&H zc>947(x8GY9>i<T#Amd1NZ^4rdu+$OM4*XmX(H(q%8*tl-8`obR4ltz>d*)6yCG&S ziTfP8n|IJPy}i*t7Y18L8!uj#j`%IW+wXn5J5(iESU6lLC@3VT|MWAr5p)g=#(#P+ z&d{82nhXl6B_Vyw1ezI0H@~?NrQ=~nTx{KyNJ_73vkdtz7ObsRJoSy7Dl0Sr4lA8_ zP^Y7eLF;!lS8yPu3Kkqe<6QgCf}u~<Ch9sZ&3CHZ#rb!$n^TUHe6EHYU47pt28kb} zT_z!Uk5id#4LN)uuSvz&=;sIL)0}ejSoDc>^E63(bB&2=`$#hYf4+Hfvcf((Crz8T z*4)`v>~-z#uabv?fkl9+DP6gJW_4n-)M>xe`pC!K-JKnUX<%33{*;1GTbp5cFt)>+ z8TD~By<UkLXP=(p>z(u%_6_QDeBHmOn@Z4tcxrKS^!Nb$;0G1+oK(F`VRk`Z2Ya!G zq<)lz1f5mxj%F4h>65>Pi}E~8Kn~rjhK#kiNNZz3St~QJLP_KZFGbHKPnR*QKA0*# zsBX<MBU5gqO~5#kAg!UJcDPBTqGK&_F_hGC{`V4%Xxc-s+TL;$*A`3jJzj##EIU<Q zj^VRhdbvi0y|`33>D+|*!{<y3bQe(~mBH5WDdx<Y3A;rA&CL485Bo~DOygqWABh8d zMz&VQ0qVv9%Fc<$HAjV1?D2Ms$C}}!6=b<7wX(Q#F{~9?O8OjJ3=!H%b;$EN(6T3+ z+bHM^d}}CK^t1#Eluj9VoE+Lk;{)!RgqK{V*5Va{{W3$E5<2aID#AQ0SOt5^S+mfj z_VA{k5#I4CnXv2wM6v`Ch6Am|#rr6|s{%+1$t@+&QtS4vAKFp+XJtQ)MU9~BAukvO z=<o;l{S86$Ho2FYQCF;DW>!e1zy9&1omTR@WM~k_-e?IXy_zB`hB~gsVY>N<YE`2{ z>Jy!MUewp1@Z^diw6s#~iq)wCCGoyS>4Cjtjc`*MfL%x}u8}$7`r(?GoTZ_P%E8r# zL(W2%X#F$l>H}7$X|aHF0j9MNqKKAfEUl}%?zsCGYd2gC%{25>?=<zIe7<c?z9=7S zlZ^c0(U~GmBK=YJC)(91CYy>>QduYxD@yIS1{_YMF98{X17qKQ@e8u|RJ6DLb3#kC z)WP3X2h1OnqtSC%rv7~#L))+;%{aa}bD)Vxbgfc*6^I!eWzo+&{qDlC=lw7w(;r6r zxW7MWZv2|ZVHR-z!WpMiPsvYI7|U2R6pAWSSve+y8lB2qAKV=L?i?^TtKqT@B8*=9 zY^9|s($QDU?!#G%-O{i+bASI>e00~i6rOYW9dHw4=?#~QwjXiQwm^>@ELf0HXbh$m zU!S#2U~Yj?pRJ(NNO2`l<JG*~xhXK?8<k<GEGHKh(l(G%dHhbxR81+pU2!t@um6No z+q@X}KvP4dxOjiGenlojM_HTqv-W}(@(0_JIErj$`UXxqZ3Sa%y`&tQ$I4IRDtnwv z<v?8(f@EOQY$Rz*Y;b+l%LxtNz|E=rM+VmVA_-Rs#ffs1u}tM+28JF>CaPB&J0@?P zwk3@ro8q*TH7xAEQJ=DmnyV>Aa^Ign8bccJd!$T>DUl-Dj!qL~DX!8)xsrd-mBl|8 znlMr6zo>i?;&(KOvTIDzBF+AkZ8h~58Hk-9R5f>PDM?TCE((({E>ty_Z7Ip0pto1U zXem`+RO{Ohu8+m#kV`(-K*1b}d<;v)6KVU#`iNI$HWKDDHw%SKFn=^C8x7qyBD1HQ z$ijL`o=VHx6+S-bL&PCgrV@X)<K!Os_Vt%p#(TCtb6@e#l?<Iw_a#xmR5vJ0xq$5t zk@yr%oq;Cj@|p%qBF5VK9j2z5y?gN4ziPDX?L_9<W*n5;JB>Ulflw}n9vdBRWAke` z4928=uKuWeH7y-MDFdc5U$@2h>MWcT+0axq)c_Ii!4ww?r2M}MDJ@lN*V0jnLlJwE zs}Ai8rQwyQp*pNrhnAwB2~JR&)Pb@lQL=ImB=<NO_3_XZx;bsEbOy)PeP`ygWDbQv z5j_i1RO&A*LR--STbTJV<4I%`Yh{%c%1ogZN(7a*VLoDIyXqbMVzCl$6sYrza|XW< z$}_v>K1hO*W*W$Ukq{6hk+qm68(`VADCMJq+m+&x+)I_{D+W)}MdgP}=7Gw2(bNi} z6Gm*+)HCJOy09{WH!EBFz9pnp39~0y=+Qn?y|qPbe-$|Q1jdxNXwGGq!-yqIikXg# zsyu><@1L<{%HiBwl!nXDMa_>fn1?1`{Ox4Nx_xB*niGsj=$D3&o1I}+k+QMi>qUa> zl-Rh#m6k#o2u;O)z$`!5i2}GI2=AP}B|_1B`5(dT+@TB!v72<?-$f}&aARWY?Ej97 z{l}UiwUZVm@jk+A8D+|BuMU;}_g=r(yKYlEq<1ph|7eA+kM4UPA&DsN2;I#8S4Sn+ zh^)*u6#j0FbUm^%CCPu=H#J2{wiCsT`Azk`&ybv<!7`8l;LM!{cCLosQ6vftsl6_9 zNlvCH;*W1(D(-)LZ?k+gZH+mqF4(P!NPouJC)2%c$4SV}({GU3B2H)SXs*hvwX9FO zbDU4Ye2<1~Dxz2Z#<C81@fVG^LigC&g-c0um{p;cA;Yu=7^N>IK8CVn5dT;&@s~kU zZTtb7WgbULRX@1&0P>^t@jpE}^N>`nU2V$fI&RgJ-J9s<(xG<bznn?R_ZuwT`&-ha zLv86%vw*`l+ax3FFA!ppsf}TI5qX~d3R!Wd0LNaG(AWBZ`zb)Y9!<6_=DaC99oSxa zN`LwJ*9GzjFj+do9fY>wr$Itfu=JZ?kfxqi8E4saBtZ^A(fBtM-tz~IMA02YD=eDp zXis;%^1aE*5w;&AcRw>Eb|e`-;ilJkOGF><P5O=)CH8eNSYQn(;36htnz4oYdEr$O z${;|3%m&JKfS*WaR~Y<!ITe(*Y@$3D9foBC$m@4$0Dk<>m1gE12}IIAb<l%_QhGOo zv7%)1&DoF|+i@EDj*ErCII8PdN&Zm&G_T8VQ*mO>hY?z<*Jf87&F63?UhhBm(X^*+ z8k}0gU$!KDdB%hE$zGUIr3nvf+3n4$%4?8g)b{4tvZ#3}4}PNe#7{K0Qw$b~=R&v* z%4a^uz-1Oygq^T<?I2bgND<dndF_6iAUkaAV@S)i2QHp30g=Rq%V&l-k>8-NxWg)V zG;tqq9i==F@N^^4`IFH!SiPYJxIa6rTnBOUX4~DH+~<mQQq=z2X?y?Lq+H317x+Ox zm!v;1pBBKG9`y+oUZ{iAIQJ&C1j*;o3~`dbfn8U-LAaZ3Q!@stYvi*A73$UCoyWa_ z%bBg<3}iyrf`1>J>I>E}2SCm+1=|9Ochc>fDMAl&u)G+S3T=EM!rxW@5eBR^|mi zk~VnRGf#D!b5C{S`QPO1ZM7}_=6vNGn{5%M=l5FR^V%@5_u}8sUMHAB#>fL><bCCy z0s>Ne4*53vTn(A0N;K{ACcFG^NDsG`vBnEar#KIm8#QFRK%}QzZJXOuGTD}!B|_eD z&R2GB51`>i7LM0W&3CpLzgNKd(V_5?;Pd8D@}U*tREbvJtFB#MuI}?5-&kM6^0s11 zsi|+ipd`N+xzpcTdF4FBr#-&@zJ@{Ix2%Kqrm@FpuCDS~?y(c%?1ENF?rEVM8RqQ- z=UU&b5%X*bx^hX)^Ros2-@e9)nRa*O690Sf1RO@mIY$1)%eH*D^)Vf;uO;z;kq<*! zxvgS~HAKw+3%Wbo*E6Fh+(kQwbxVf3NzOlgw^Gcr-OmNTRTlHQGZ6$b$lYUrU|sg$ ztp)d*&K}khG{jkq;SOJtdn0m1*~CUqy79i-jDb>MJs{Tj<OO$dBl91bT)copUv{f1 z$j;l$Gyk=SRIbOl@xmGnr!Bu`__f)ygcEUALGsi?GLt`F^0#M+2u8^!zeFGEx{yD7 zi5lYU2aNnTQZhv{R`3L_8`~=|$v(6h$8r7+E!yK*f{Qqt9OrqjaPPe}*zJ$Pn~@h~ zuJe@6FspJ>zmb_lCZ{Nn;o*M&@NRxl$T87y$En3hYun}ZJ1*+V{?YX+_mXL+t?4F+ zWv1`8YM>Oc@O1WV-M{t|GDgWE>7~Q)9oJolZ}DZojX*|Ty!naO@hfojbo+3a{cM7R zQL-LK<ToC{tvXCDhzFj;Jv8#^W7ln#crJfeSt-*Qax6aV<-@t6n0tDywkVK1)px7H zlN`6<A-=nIXtFDV=Vaa<ncy;-b;XHjEUAfRJghnscz;~0;8hXgB+c{dqRH_4{hhog z|J~gNqoRkB0fFqYH=ybH4s^!Fpm<y|=T)HhxEH6W^5T+>Z=Lc`#8wzVKI`Oc%F9AD za4kcKu0$Z=n8EG`3Z1F+Fy=HR9LW4bs6a*_VH(d)njAVq>1NECPBg$b`L<o;Vch7$ z7G4>(?OTZ`af)Z!8s|*0)xY0w6=sH}sZc|ZE<+$;%VFB80elIMZa^*64`g2>BOEyI z4V&p6AiR(~`{MA8CB}n)$O&=JV=L{j-^$S(?Ox9T(V_mG>^kI>P9M|kP9Sj&Yf7le z79JFeTKFT3J;tmR15JO8a7Ze~`0NkZq;9XD;gcy^A(sPdxFt)>&bJ{pp}l%Stai32 zL85^lDQqYrz&hbjdyFyKUvu^&cVk@rFX75Oi_yl-sD)m6>@gtZ!rqOr8RTGgL2bf( zUlX?{V>CtaHC8V)7h|_(W3(y3voGW>dW5;-gaaVr#UMmZ#I$dR-@>oFq_D`5^s(~z ziTVc^bnP#pw~5lJ1fOt1BlHPE>21QVeonw|9zkzE0=ZOz3$C%gzTYxF8zChl!5Odg z!W%mTQ^{#N^)-F{rKvGxiS&Wy>|`Tvnw+HH4b6A+Lq9X7SjLO-<>oQ8u|g!39M|uA zTVK&}JERY~Xw^s1#@#Vga)s=;`y5Ktm)<ek22zCf0W2}x979eayY;Pwe06XuDMbBU zS-!i+<N($X44UzeUif@hk;oiJOEl2c842BnKB4)RK4I~WKH>hJJ|XSlx;eY-Wj}-d z<$(Z{1hSu}_TRmZ)83SBl7Y4Nho+|klWq0#4t{ps0j*(yC58oN%|8$s@YL=kc3O<~ zguA#S|H-^g+&aDk4(hP?GTmZ{CO~n>$25xoG|?OcI&Ga=U|Gr5z&qz5Bm=fcJQN#} zt<NZ|bZdkgO0BO0l_&%fp7<B_Uf$a^1di-$eu!Q@ZnfJ(SHT0Z>#k5}wSszt>;A3& zL$X+&%djy_?fA~1?bjcuh0!?gsxn%ip=uT0C4RlPZywcw(W&nI9I?p65_6%w1_)6= z6=oB7l6k@JvP65bzuRuU=I14_dxu$Ty=H#hJ+zMKrMr7k32Wq*x_d`->9$5_aMAjB zl{OqU;~wA9<#o9`bOkeVj=BNYwi>M|dU3b2{>9Xb{(Qh5n)R1H)`mm}WoMelw@5$X zbB=*%8-h@t1s0^sqILMibDh6fz=lG@eAidX&I}I`FR}CBtBMu)?c65R{&8E+K6Mr( zNb=Lj<C{bKcsywQ9H~eN3+_6DQtFoyqHrF2f4V3;293>fzNj)b4SO6Fg;evf7G@IB zFeN4ovnc~2W4P+D6P6iip^y~U9e+ZI$dE*YZGwfJTMk0h>EH;)zb4XrK(r4=OGiQK z7Xvhh*3cCcLPLx*G@3CHEC=D3AfZPe+b+qNkrUakKBt4>pQsq70NeZRyVzKahApHt z_Ke_<u~mf(yit7Ye!+!A!>!01nTWgWyy51gIZjn+A5^XE`ka|}k-~PhhoBMY>tY6Q z73N%2P+~cFBhCF9*n-VT0d^;jabBb?T$bn%R0S?UpUz%u=3U;<S?!@;@E>D^eMUs_ z$3!r0D0P{TMdB_fBk)j8DgU4hx+aXHkf~BCGF^)ZMfWmPKLq<}1<mMOBR<|jn?4|u z2^cX8|7t*qF@@px>m|~`77=pq&3p;vR^*KypT>Z*v=z63S!>=R1p-8UxpQpGoRdR9 zTEEE1Z?u2G(&5$#xWR;pYFIUdQmZ0pIx=wE5!Up2)uilv&geyfk5TGJ5r<W>S?hCx zp5%ec@DD<5{pyAm%2AUt39uzj98Dwb+ewtll#LW&{0hUQGygYU;D@F+V$N_3ld2bf zXHxc0#w7ukugoG$1JEin4#Qz%%PeOchf!T3)5$UZl&xF?Uvm8cMa-!E*U$s3MV+Xm zW$TDntffW9sQFPH+NP=GJBEW3ohLPU-?qtJAhk)^J_oL7KeL8ae$cYqHxleQB6wF? ztZf-aDj3&B93&SFgp<rH6;`%%yeO?-eT|3%Dy^Y-QOesYy8su$^0XDMAnmtg4V7TO zwLptPqXXE3--sy^!T5Kv9xNI8(p_S|cpK|Q*@+u}i$e1?X48AA1i~L6Goot$YCvE% z34@_)jlqj5Gh%0I930p$L1WY;#AK5SWJ2eX5OTs}!rkb-N9SVHEKC~f!*W9^G}b~{ zB#aHVZ(Xp$EdT~^3YD=i7l~r~zdZ!a9MwTDlEjL_KK!aSwn29ICST+NgAriHDYM!a zA1e{b_z*e6kP9!&MNC`2C6Nt3Yv`0bA-XalQFu$j{7#(O!k^nw<ugZaS>ZC;!Ax5w zCZ{pxvtcav`yR5Y1S)nBgccV2%%1uo%c*0#=$4?04IrikZ($V91VIPHWeKN>2|vKw zaHOhQU&)$Ek21H;&PhU3y`XTdhu{YH>xYL0n;vlzhfO)P%rNMqFr8Zx9t?ca9cUz8 z3n9Lvr<*fsnqeqLv5jGMO9^gjUd+G<_l>o5yA5{drZ34f?wVm(3wyRRWLt_rZ>9}D zaT2y^2hMSo`XvgLRY(Ht{eErXaW69yHuqZ;Dx;8)*Kx^__v7ypkzpBCo}-qaY>CX> zt`>>$+I>lLJBv6k+m;#<qZc&eMA_XoHn}!G^*S9Taq~-MSiD@mn^Hq*C4c=cn-L30 zzy*9H&RSh*IQclSy)sUGb0v8p^@5%Ewbt7>10Y{e!;2_YOVc2T*PC0JCP!Xem~ZkB zW~_tojgKtLyKif6KcZ5jQfuFODGcOz8yC@`1EKZ|mE@1gB+LBtmEG<buQm>bgn5g^ z4!UcC+02p)JQk-i4fsu*EiEV14GoeDr#%gapRFA7v9pLAkPgedyf%gFNRr0Ur2{b$ z057qdR;tJ=O^5=vmlYIOQByOcprwdu;av}vpbl-{@Eeb~(UfNoe6{<N_oURTCaBT2 zL)^7-;B$52Q*%j9Vgo^Ab2v6iOVeVrq=gv>HK}el!Oj4Khn0vN)WZxjg)w)q3}Vzv zH&rZ$61=#?3DSpcl;-WCmj8SnJ>Y5q%;1izGhUHEDyi#y1DneN^2kHB+e;GNLHLak zBxm=yp}BAC)K_~@_Nk^sf7Q#cLLtc5US{7KOXkm{YOaj1I@z37ji^I7y_~n2`~ITA zv!btYXT;KywRgJ)$=m8aR}INFWStT;Em&(STD`EBeEt^|{6=ChN4#LGj`5}h;4?>4 zlzm20M&&Sigt8N{)xQ@YL~FrZ!hcVl3&XT?2!BBS9fG|T2z|J&r1fEwxb>EDQIo*h zI^WijWMFH<v0A2K47TbS-<L}hJtFoR1e;F!=Sd2UXKwj0Zoo+Q1uy6>M9XwGc<3%X zO`?i(O|X`4ZQ<Y2&w&OfDKd<B;Ey7vn*VG2sm-eGqqJ#JTerVMMsui?E$=WnlVopn zo@%_w$;WN;sq$e;cPTRXS|0`Zk9<XO;lh6uQPu7u+L6x(qKR$6|5SAh)X6644&=N( z2gn$y02ySm9~DBKcy-BOYlnsDVH9rx`I_>oikgC;<@o(pOQV0d)?*dJz^=px{C_?5 zSCu?hXh~#~6Eng$SPV!6`(>uvJv&{aA76b}3*tf`g181URNX1N#*klEDk$^FSJ&@l z)Kzf~5(OCwYq3-=90VJ(t|;I)zYr4$4E4~!Op)SL_c?oTyj)U&d{E{YuSVZXD|;b4 zp|55Jib4G7Z|)<V<Uo|ALIgzMozhK=oKMD4OHW~_i0?-ZDMPY520{dvzdui!{W%z@ z-?o52x#i8W@zuE*@lj;MqxRJY9SPq1u`u8JCh{Mzx(kALpt&$L$UBL>p{=#2xp@RD zoj1;88NqBQ8jq})jbu5*n2mw%htCoNHW2louuX&cxVcS$Pz!Wfwr&PJ+^++;{(Re- zn>?=bo&bZ0vrfy~ot=7mXs4YLD#Ylz%*1U@@w1uZ_+h!pI#J{otc>kV#d7txGwYUx zAG3>M+L`R)^cKh+Y$(knFp3XEPnaLxZof5YHnvv%9U&Sv-LhkNlaQ`~RV7)`bH~v8 z*m)T_bD{^dj`@0m=Deq!vDU~6Z?>ZrzyCZHsK36A>FU1q=&qgW!tMPi<Os9x*kz9R zFd|DOcm}!!&(;waM{U`#O4|PTckEq3=zx`1i+C^?7twFMNu#=n%H^F~NcSyh@vj91 zQ;?tHCb|5EpgKyrucClVE@t{Xf`uQMPD%w`YN`ehQJV2d=cD~+yTRuT=3#C(Xwt)p zVG4|$wU_!S_bg2vgv)0HJCeT@9N0pHt@do=B786I?=uZ<8Sg6Z6023>C)x<jL;ge7 zH*r9RqZB$m!~Q%m?aJ?&%Mi)ZchrW?nKoy4`)+u3?kKYY<?h6&+Hm7;i|mW4`hY;c zt*0x{U|B)D^tmJ;ndHR5K#)c9q|sVc)!tP<`)eKj08M=4MDY`D>-1?JQfzj4Nk8g_ z#4@LkWTmyzc~b{p+n0GauUQauVlt2FM4)iw@b|60%QK(3XZjDU!H52^GyV+>6QP!f zMbC6)59ES}Ai+DHd?*yLnQv!A4a5d$9e(711FD}LQL&A2=G1_CFSAaY4gT6Tqa(|8 z_?%tq=(zg$)j<V+tMVM&*z>^Zf|EDRn%b&IIR^IMgy`ONAx!NF(tKE^E;F);DzK9# zfJi9qabzx3&P%}ml?+L+_eKr2iWjM~aE{jLS!4^nTz32L^?V}_#8X7PZJGBk3#%MJ zb^zyjq}YUKKd-h;K5U@Xd)IaKT=08MILyIhKb<<nuS>D=+6W0=djF{XSgYZ+Io>q$ z-kBtw+ie|L&14&(9Bxk4&w)KY3Y7!<VOK$ijXn&_!9CuP$y?(+j)%hgPE*T@!K<vp zPAY%FE>eS=Jk9))+CY{|Z}3(Zjsb9&r%6#-8!YTKRcSeRzpegGZ)_ztm>CA*y}_MF zSarj$JEZH_i%4GMz9#3_O)wh^`e)}TzbtQXpn<y_&8fXpxR=4_xM|f#L>PnnHRG?T zwFFl+hZqrViZ4pN(XZ}H*g_dUo)Dl({9pHIN8>!?qIM)<0*c@9cgUbj0PhL?$9VX) zW7_IA!sp09cY}Xfh4YH=Rp6wB&IS_rwN=BFrGZqwu1y-Fru0U-Z(Z8G#H`lxyj^O& z6>k`N!=Z%Zoh?X9XN<K(CM_sS)0+-I$WVvY&0!Yq7uT2IBNRp2Y}x{K1^0^`M$}u7 zTPIp<w;)V0e&8?Akk^SfU|rW!U~Lp=@Y-PcS)ZT%1B7JbrHSNK*JH}&@rigv0B&t} zpJ>iZ%yoVWUXO2JSB?n?{wVn>+8Y`W=O{^$jee32)4ZjA=-AV+`z$M*X4+j3FKiWR zh?G=#fqT_jo4;FU2|1il>%qD5Wi6xusYsT0T-m+|(sGX*(+7A0Kkxr|pE$fk^*i2T zx^_f9x+XM5XJ|R19f&b7!8iIGe*sE96=`g1vRvQ?ZK8LwZ|qh^-pqZ4AqRB@SowdS z{v%Zhe|F?J`P<ToO{W^WZu%J<P^H6U<Se}IUNu@ma)IZRcYhJ5dJ4zf&dYXwdR1_1 zp8wG{w(+~o>OeyWaOu5>$~!ua{CC_;YQ{6eN5;<OkmTxA)6%QUG@GgT89wGdE4y~V zWljQ`wxRP`in4a3fs`T)(iCXgb>`~fpRy8aD02GMId3Iq)56zjsHLsX`&;i17R5LB zwU+K1@(0xIW+bn<@gJixuL&!kww~StGJI<GyiY`+WxIqAfc<iNm^9x}*<>!?k`<P% zO9KoK1tgoD2)Hv)(o$7Z+lleZ-I38Z4eQmD!Oz&VFhPGR>i_lcM9LJws}Pe|;7JgZ z94ki!e1|k#3(}fX2KdbsnWTObHUBNMAgV3z9*0tgrE<-@_o+`b@8&m~%%e7;e9BX+ zP6~-iB(sJ!JNYpL`hOi2%L<7ZZZoPuLkY!VL6M}h=|PjE;{`$20{t2Ro=o|hpAx17 zVh(oge0WadT7=xfr`F$Y2Ns4C;xXaOpIx^H=n)pP<Ay^<O-={;W}O<BsHY%S>%#WA zg7VEs!;j|x0V;$gtz|M!r?LE8SZJiq!La4+!ChA9?idum+gI>xTd5;Zz1NKN+_1gp za5|8GKYP73HN0&EuxGq_e;>>iQXvL#6aWmL=*Rr|6=l%WNkD_kQQ{{4?BMC{x0g3> zq0<RSj$*z=SKrz=#CsKztP05=kbH|+BHz0o-7x^)g1)Fr1%qE4jr;>PbJ3rGH{!VP zWW)T(B*@tt@e{7kY~o_J!VhfZ4;!vQSgn`wZ#0_`g~vcH#$>XvEV7=LA`HQ@BO_7t zrNoeKt+0RQ-v~z>*|d$=6#dxG<F+5odpeGMgu{hPT(%#E)e6X;vy!ZQ#b{0PFA$Pb zz6sb;DUECxE$GWdn(jvjN!26f=U+Yt<91}zhu!-r`Up7QnX)8WI)2`p3H2Y_#C6(; zrv9pTfZ+m^{!ZCcz<g7DTeaSY?70dQbH7seHk&M+vLe>D3=SQ&dpUc4y^w29->2we zrJs7;om9Np9N2!?D(2Z3U)Ni3ZDQpq+$ehU(q&lpx4nSu<dJ#i)kM?pZ=?^!pN_vB zdANueho`5`XgBD0*Q|ft5O>4GlxW#~I^8;<^n5?4QgC1y-`kv-o$y*5<|Cg#rYP2- zEf`%nBfb2(Nq*6jN2cxq)3rP?e<ay5&A5sGtjMFuC2?4w<WJcMY;a<J;?V>TlZY1T zlXhX}h+j5w3d--qRz_!QT-0#a2;biL)^>@ez8a8pugw6pD38jJhquQAC!p5DOEgb2 zoDq0L^~DUmls;$hyiYwYRK6f<@i!o^sFpb8mpoo4|DY}4>)O9s1o%nj6P)hdpN=wk zy1GmB5S&C{8YVYid-G;);-55#^^XqD_qo5;3$=gkCTbpz-X2_)uD%Ctbq9d8+88w` zP9?k6!26@dg|C$^+#9~#M4mN1!UFWM<3Muuf!M|4>FP3Q`8}WG{v=B7<OJ8_^W~lS z;bmX?r0eCwp1afBvrj-~{#qPH4Qma#%xlZq2GzI2>hVcch}UJ4#&M5<A(>o9I=Drr z_-!qlRPcUiUhm%Jl5yeKgtL`-bJL)?T;Vi!ZMgt6ZOy&9813PpCVZaL$dc7&2=t61 z^h^e9e7(6v?#b=iKEK|Wx)|Seu3RZ=a(T7x@ptj?c<~Y>OwX$w<{_A9$!=Jc2G<K4 zsAaV&yiywi9c_Y)8|3$jiXgCKm%`!Rj<K>;GgB>Dzp|ssL~fJBCIV*RKy<|EVeMcx z>Git!eXFYvZ}*#e?FMPCq59!_@~A#*dP62Xx2N<L$K3M;vBMHRJyNcf*_-{Md%xw1 zw{^1hm-b1+9(N#*v?=cCPk7VI`TS@rz(<TZRQR;D&A*sIitB?{urcQF8w6r8>|yr* zTA7pL;F3xj8FK91ap$6HO)yo%|0mwXke2^vGjKsLR=AO{Tp)h-u=cD1u-+Tkg5dtn zg3SZIyKhdWvaZxVjz_;N7ksUt$Z7th{IYJ~;j+Hlg=o{TvbT5MuD$D*KXhduv=`_c zzhW-abKetV;E+}I?k*^F=|H?ij%PaA+dsWfKNjbostEI);Eh}k^r@T9`4(?7)gHDl z5wmu?#~-q;%N$mx3j3Y{`WtF2H?i8Tt=Sz_-1s3LZ<d$!`n=B^pKeiNXW#aBiZ$B+ zTVcJFDY{qGoqS91^@Q!Ei*g&$ChL2yCSq`eA+Sk0WeHw;wXMtr1bhDapTGM3d{cLD zzX;$8&;ag+a$CkV_Q4jN8FRYN4GU#HPG9)jE`j4i)*j9Snr5K(DR~m~bA8IQ_TnZa z!Z0Fa*Y6jk;pv~i3FIvP-l9d4XT5LAqZ{Pp3ixX?=I9H)*(I^Hdyn8v^2e?`I)f{| z5`Aq%^`+lrDZwF|_F7}wh!d#&i;p?~h#u)K-bvp0DfVPgmlgmwLtGYO&bnLMY~&nK z1K#Lg2fJwQg@MiKhr&DF&eBcSB38mj`#jP{SNkLgLzm&7gItOhnmEU(SVn&Xpo6X_ zKLxp(=jrv#vf~O&ots`W+>=*}3aUM4ea-*lXz}&ma6-WT>crHEw7|XBdQQxRZmLV= zd|T}z2+a6<)xBj_*rt<fk_3-mz!}n@-KNdS3hcA20J+AO+W{+QF$!7L5*JU~*JX<* zT^JYy$*3k}Z-b`gub^&$2bY_ra=`_X<Y(C(g4Da!zVxSz2dO3<M}s`SW4F6}l3L%x zMa=z5A9J@g{)$C`;dw{PbDzrf0A@~<$7}lzzkuro<;zA;>S;?za)a}3)F0pXJNdYn z!}kCt5bk^4cXY6GcfPk*GM&lQ6Qg5UIAiX~$$!+O(9OvNmhN#^`C7ZiLo7@Zz1lDP zc;-HHaUif7yYRkXZ<na`bTV;EZF=dCr207CpEtBf>_6SS+3wo#3)nXGcx*iFTAY@+ z>hc}u?2{C0fSm)@k15uKa^`d*q9rQ7=&Z#6<FgJOPjieObc@L+Me3B^R&D^KiPxWM z`Lx)d?{&UB&LA>)^T%I;kWqirtNpE)P8pM8r=FuTVGkCHiuiR_%c&4)aB-gS_+sU~ z;bg5;fKR1Ci@+@P@t1B7l%9*xd+!D(7qs&b7XDa^LVX9rKkvXB)K4#sst}iziY_d` zJH*fJF8fCLvmu4e%}iO3{F#pvXu8(Df_~S+__E|JfnxojQkdlN%}VJ}-C<u~LSz5p zoPU!AJoJil+`zP``CPnV)hPd-#e1*_SRXx?e{!53Z+NkiI8$jA40v=8&?b9NWg(4z zb=CB?zjp{ey*Mjy1vW`?b2b_=YJ36V%ILR&-Im!G_sFA*2Rcs`J|;nrsrXCVknzE* z5}&Ju?sk^<fe18FkmozP5=@0G9CdrU*INnh^`OO3fF}I*HHBLiySfB@`8s@GmMPg< z+J;_iYq$kye>-Vs_UI|{vxZc@wQ|w$Rq0eGtoit_@-sE-&X1qwpBtu6cmp-y>HJ%X zd&hN@-}=8nZdsN1j;>%wf?b@*bBxH@r-`kHZtv%?xvIj+P0KK!Cf7~@+dTn8{*Njj zV#(vDYERBDu8+!;TaUTvF$Y1WH~t)--9F{A(tJJ?sP#?Hl>xsrdtFCAEN(w4fAsWL zV{CDK^afRY>9C8HVj=vRX9y$`m%ChpZ>s?<VSa`jGVmoUOJ(f@56-x-B#magPM5;# zwylHnn)SUd!Ffk|U;5jr_3swMv5vxLNu7K&EeyHmOUknN+Kn>E#tW~2O>OC=(3+kn zKrZM3I)AY*1~qxF@mlL|2;Wm&j1y?)j>=zjYvhwQ`VLMix41)!0R@|e?C}>5*O#jW zA72Jeim_auQ?sR!uX#MW1dpKc;YAN{k;ldbM9^2utz&lvBz}5k3)=qe>)J+mUt6IW zQu#!5T^o|Uwtjj(MWVu&>*m;_hwC62zke{l3m!DL+z{gD6Yk;XJ#yo3=yPtH>#|#$ zi&wx8nFOEybWvOdK7r}tXBAElG)rBbeD1C)*SdT=FM4t(q{!TyRjauj;%a4Eb`8_d z*LKccPP*5HD!6s4Lv|0|Q3ICuC$4Vl0Y7bTFY6d`+ZID92jYtfRvmC{OB>=pMbC{a z$Jm9({aTd<cg@a#BjH7We9g^uc4vF)`Pkn4a=T=`$3`Exz-Hy=b2xNpw@f|NyO#yG zYF8^xVi16Qe*JD8nSY0v&IW081T5#OQDoJEUfj30V{g9}E~KAwL<X^*YxHPSxQ`vC z-`}H~w0J!K^3v^kUOAtWu@~)H^k%qqDNYw@Qtcwl?aXabz<)iFuhwVq^i7@_T{N-u zT4n*xo0tH`%`U#0gPO3nyxY|3290XBl^P0zPL|pG8f$mDyI0Ib&DiG=$i>?wWM85i z+|ZPPk}zS!P|6*O96SCZV!%DedT}7LTK-!I`pvQmGNlE{|J^-`0Eq!Zh)yA279jtZ z_=W>YH153pufUtI=_@ew^e!|A@Yi@P_)tRTLy5cIV@PTwUWIvX*8ltt@F5ylC<T7Q z|0o?;)%Lt=ci$|ngbm-_HhB=tNTxsDPjzeC`{^m18CT+2t4l$zxVzeSW_4xCOC>cs zieD^~&WRyWSiSp{2#FkPan~!?ByJMRA6Xba+}<*`<<N==2ZcdmMdq1+>RFuzyl>d8 z+o5G($rhd^6kz4)fj9Sa^Sc{z7xAUQaOKRq16;j+LsAL^W1i*1N#+g=(7N1C1Q(tt z7uJ7IV{v1KXN!=|(9uUxVILsP6d@+Y3JXwJt=_=_yJAc$el9f@2pxqrLLnv$dzddg zfRNu?*?hx&qPkEvC<p)+hQ5ksP(zgz1u0klzKth-6}G{%hZItiOB`CipO(TFYPcKE zs#jxG``n%bib4<xSrXa=6|qL}{m)+ms`_29N`<0gG=ev>b4mwSN_Fc?!c6#+X(JEA z7j_G@rb)|2|Hv?#<0kK0gc5qX4<WhFrvs(c{q%uGjKqj3Lm~h-aYV|HF*mVf%8)WX z@w22PdI9#`)P0g->ED?|-?GrZL5c^IA2Y@B{~AkhND5^ZqM60n%xT)77(yrgtWi;I zMM;1Ir)2f32GW!j&f&&U(>r^6J>fwWk9+kY-eEqEV?GYNO6;~bwkHS+Zaz7Ay>%Up z8`LA=h(bjGTC+eIZD?D~yzS>NdShvGDJ~A*;IecnvMAlc9&NyJVyohv2!Czx2zsra zsS|CV3^`N59JycX4z=m(a65GIe80WwbNY@>gd%{cj-YUv5r)eI487c*8@@;WE?~U1 zdy>)#Sa0XAHuNrS@);}?$oBi^Sp@FC^Z2DEmqGuw$HN6!d}_-@Z+~6fZ{fbz^}UD- zXG)Mqs`z=mZ1mO&rn(l-b8ce(O2h5ev!*B+vRe8GA-RKOTY>?knfO20NVOD4AGn>F zKHlfZN*8~ZLnbPTL2!0V;wIkAWGhEXZRwN<cJnPnWLRBb!EW^(gHHdawPz}7_qmw8 zZ~1w)bZ`Mcd}^s_O#;<PC{Rh^nKKdhN3z~v8F&&EVp2$B))UI%zeSTkO1I6rj})Xf zvEW-<0Cf-saq0n&Bjjp28~x(tha!Z$2x<lN7eRQZJI}-V77;Q(ICAN9jCsFyTB!Jm z@zsPuP4_K+HAjW&lkmnkf$XCn4%0O@otpubTL3T{_Qsx*7yt;G>G$y5-Vj^Av@mHG zFTd^v2=hXV!&Kswwl;L>u8Bv9F&AME1G-7-q$Z@zf3;C6lIZeR*O>_JV|HkmXlpeb zn>%wPC@t^!lsa@lohvup328}}jfb-osE)UdUS}AVUgl8pB;kj4SG-3oof~E%D%hYU zOyF9P26ZOCQRG-o{;?2mEK6}b3vpCulEwD-H$M~4(nepU73C<qTeK$CwnYu9>S`D% z-Qu&AF-KK<YtDUxH4~jRYN@sJvmJ-@x7ON=WC+<oRGUkU97MnAD6H`aKKUqar$DDV z$>e8yEv|R<4mI;TX#7H}$H%YcZGX^3m4Nzx?eYok{<6(6_4xnl@i!`~1{<peJwMu- z<o!tF6<Hsar%2=&hG;W2bie^pZ)zC#Ot*$lh}E!WV=@(#tePU^6~QVVc+)JoBR!nI zlU-AO^7ka@)0xcc7Yhz4*jk%+f5$s8F8|0n^$6U|Z^fGuAs_a|>`om!aU9WGH2|6P zW6#D_C{OAfhu?1deus%n3@E7<T48Ba{H^PQamhG&va5?iVYVsUgN^0mlRrL_Nry0K zT}%FU(crXt3&e^&h>tO{iqcY}Bq$p3L(%++pL+JR-vv`$B&o{&>8EsuF5d|}odTyr zve$BnN9IR4QyG#Hx(6exq)d9kf<J)e-j72GL9Uj>x4@@^P2LK1mMb_7Q5>vcl`9RY z2^@Vvb5liV`2qv@0<0k|vO4@gelMD3E8ce~P|Zo&wnTTEu{eal$}<NzJ)^5gt7#VH zcm#8t6~>bpwXOmz5+C6?LVb<`P;w{FFWP_?SGIUDpoF%2Mf!U>9%H5G_jTa(h+kR+ z)5O%zuy_U*QljxO7i)AY5O=<yMJqII50yTOJv~#kJB?P(Ceq-5tGQXoZ|YUxUb6w) zcZME{yr)s*v)Vw8xtcTPH}%2pKomJnpZ7pXHEW6Z;xP{S+^J6>mInNVFJAVlJii=o z!}67dJ}xQVl&l@en>4Nxlu`pcI6b|#(s$H?8Olw`qnsSmXrJmU#hknX`Im4Ab{O6K zQD0-6F;S-cf~ynQ`Gb9s=#MQ^P-K5v4`-fqEAdRe@RCo%CwA+|aF3Z`4B`fZsVSp( ztT4+Y6*wGD@R^Bn<1LdO8?H2xj#04Dvue(^X<#*(a?ITBa-|QZ+H3(DCQD0feJu`r zS*mE-57EJgrb)?^WYm0&O2&nT3Sa$Tp};hY4e<U3JM?m*vOj-!rs<JJ$U7pfhwaeA ziwH<3d1eK-hWQhD@wCMZtup_SAOScY#7}G4P|9JaX(9bng!EWSnIDmQh;l_Tj$!Z{ zPJ%LuN(WBE+rk(kN4bF8q%st{CYq$Y2~nJt50Ob3{Ip#(6$OT$Ba7%85<>3;3usCd zq*x<uC=1fQ|8s?=!OjA^7@rlB8x^pI{oP>&SF*!!HHFe-P+GO`$fzbulNv;ZpKyk4 z2mYjf>18N3O=DH*A^s9xVzhW78e1~o*$Hv}CHi9YshD{b2fqRkRjt5zHdQ7-apwG# z^1hH{iQ%DW&&z}zr?RVsm5?$baL6#slm|X7o{El)L+R7ll-JeCzl4rf_^H#?bk5kS z9NC-AV)O<N6>!T{K&^{in^(0i(KXEV?jS15jTdoi{>gt!g<6VQbj#tf88#?X0iQfu zrh4fk(Y_)ryGH^B%>9nFCXl+GrGET<hkx3e&(}90ZW!<sN^Sa_$Wp+!3q-OQ3W8-% z%d}?Kj$%I+J}z9~h6H83wqLY5X+@^Y-ZN6Sf_fpf?346G$=v4iA1^mLlabDz@2;SQ zh^v{yhnf3-h9u0ODuKI<N-tptfA3xwvnwLRRc`2NBoY9cwOL57YQ99cv*`%cliwO{ z?`z0~)E$vr+Ooo}NJnBK*>;&8-$>pGJ_g-GtTn=gf-BRt_rdiT{>z6PH~A5+BpvrE z14q7xXKSgG#vntU#0BX~PLi0?y_SrAvx+~OpMcU7rh}VRX~ATn@Wz$dvVnD*HJjf* z4Sv|`b?E>q1r?3T40f0Mp0Z<2xi0pdriTm{=NEXbHnJ6QWr}C}QsCR~4@ZaAmQt^K zu#eZS4<C;aj^p1q-tZ14{blxDv>6*`{F$3;4?IxX$Xc62QK(f24TY*SEZf$U!xIKB zkzphBikc(~avymw!Fd*6gyE3?m|)2nV}s$L^5KEN)C=PES_Up-wH+K#nsVeef?6?- z@v1TzpDAOhcAn$h?hUL~u{d#$9p%qyY7%V1mfaazzs#nx)v?CL08`)E?=~oi7gBiA zmW+*2oEKnUNE9xDRT1k9!yoOWaq}gez3x(}Ntai|+&`JDWv70SQ{mSsNOAre4#D3N z1So*C2a8jKsK2fe`e&B(cWh<(zy7<{34J(-r88U(@gLN3Wtelhv3-<2eGm~VmVJmT zFxrr>{%X8JT7rlWwoTa>5%<A)!;lndOj{Ym`dcVw!dPLrgpH@#5i77*xq&UcTK$Iv zr|IG0qRCsZw>l!>oaw<nD7a`$!u{<tQcV#+<z1X9j+s)7{Q2_Ltwu#0&eAWQsvA+Y zImU-6?<5${tKV0y`uUGm|FhKN684Wu98D7*bK(Rv@cs``IwfuvXTjLn@2)vWOk#Wr zkrgJ|rL}<~KY8nsJ(|pRD)4?D7Lx?I94dk;h;Y==Z>?v(ar@v8AUl|2I}>3S%Tw+E zV{m0e<y?Vj(FPr1&!(T8EjW9q4h<K|Cs^Mvdwo!Pk`iI*Pk$pI6k*ArB#;nMNsPaW zjPLV3L46T;L|tgmCw%_w;R`i#$*?*mLm)1$j#?K@ipySG+3Mz%mBqAB^SIvgQ(vTl z4JS08{etEsl0RK5ok(9^E&xeWwwNUqfNnZQlz~h3|HUWw*S{A-Os-@4dq}y+RWzLV z?2IEV>+P3E6R4Pu(c^4Q;<8*txh15{55^xY5@bwIeP@*!Ut*M#1IKPZzF4TCS)>$= z)fTv@bJFxN&XTy$s@72=eeIy@4zqlp-Z4z6WxR^6m&0YgJog8#tcIMdI!W7FK$T$< zvwNLRtjgNP>gxC<jbX@e{!7Wf`7d9)8CU-yerv$M?}O4wB#^1INN>HP-O-CA_K(Un zpJx*P7x&BBBt#Py*K=(DTr^SFHBU{7gFqkyP^?tXbI{}pLf=OeLPpCNz|vHN^&a7W z{Pra~i_y7K9z}mrjd&8(!SEVl1@P`k0F825V@Ir9oYJn$=`q|!NpPF7sQNTQ24Rg; zZ^i(T@9pY#D9cR#|6%JZqvC3UZE<%7cXxLP?l8E!yIXJucXtTx?jGD-gF}EIf#3v} z<W0W&)?M$%TWh-eoZY*6dgfT2?yf4|m(*^ipTR7zuA5N6vpKA-g20?UjOv69LIE24 zcZLR>pic>>>UXy+x-jJwBae`%a32eI27o%5nw<c5IgH-61v+?IuoKzc2G7<|Rp(!f zrOdy;J`je6a*dcWVuF^bEIvE$DECEN9agG{(5)kJ7BVmdSX&%{as+fKQQh$C3vd*I z@CZM1NI;$P<ym$4=RBObNqb*3=D(QAh%S1>or+2=)HU5!+DPm#0G>S%-gDq-oBKiz zNCFoVJI%dOggNxf2?Qy?CP^Z1Rn<2WeSY6SW$vgeHB<>zOZwAtnj1f+BtEMtf_7Y( zq<I?V)Js{#6%S}gn=?(leY*69JJQS$w-r_a#(%)^6h1%6GJMTFRoJ=w_&~`rsnR)M z6W6E?gdc>xPi#Oc&Mg6@Q#NLGgd)ZF0Wsnl_XZ^NXk44y48DJdW{%fFnuVh;85{tC zXm0OdDk@paV0ev5T85xjxUkfrh_2B$O+!AQM0tY!qM$0daOsU$0)H8?{F*oJHf+Ml zSkO8zsN#&7wyE|~QOl7!{=L#eR|zb+%dy*C!BYJ;n#WYnw>sQaJM8f_w?~}@ql^Ct z25BB!4e{ud73`;{NWTJvrcG<uv>`?V$}3HQ=(Dm-dIw2MWZX*#xDQ?0d&L|YD;M4Q zW7`_AC6{KGKt*^Put;|yzV7<gXlm8xa~@P{m*(DR(&>S-pE9{O3c8<|%608V6-cyu z78#&g6)1gvRy^{$v9Ro=Oc5m48z%UB2q+ICCA%HaH01_PeMZBkBX(jI1<$%V8stPW z)GOl{p20vCiqAjU$?$qvYi1iy&_4V4_g8YZFl?TuM9j6|eA$-aRm|m$^Wp8bhzNF^ zzxOX}%Wb<+jL`ffDaH`$-A8yb#>EZkJQxi{fFNr!vwKC=@J;a{XgYB=yy{{W7(I<P z2j2JwjIK#kO0caY5Nh8z8wDv-2s+u47-QCVd>uYzj4ZE12Jpc~HxzN!Y($Jlr<VWa z$n0XH8y<EXjcI1DB%aXip$c5p?Ma~eK}xJ@7!cnP7$S7C(bJ^lMSETos^Vl+pP#O) zFT_w^+n(0-h2v|!=5+Ms=u;)R(2~F&^5M%Ktx)xHzP`60OI4tu10AS*-0SnQy&;Wu zotvu_i9?>UunB$=GM}B{suq|~3ETV_zA0ZTgcH_$<x@kxyVQMwd>A1~yUQR1EWfI2 zFS2J`gYr|XcgL|=M6F$OH}|vAA{vSl^@SeqH=KpbJy1|kV@$jWOp8^&sZgQ#QcZ^) z2+ujsF&#PolTl%)79<?uSaHF2VnNqZ%vA{{v4Tkq3$Zy1F)AfoUt+NoeH1o<zN!v< z2AeG>wZZY9^j<=(CN)F0sO=aRb2wzr;FR8HljLne%Ji@`$y2F?U?~YS(pWF`W72up zU@7HO)yY#isLrI+iT#W47#swVq*9Mc#HcMOmjwzk<n%zTs$d~lu+Z-$A3hq>aV0h^ zk(aVgnTQ;^c5$SP&+48Zd1;jP%KSaU;wfeAF-Dk6)0{kVmlb-!ZKJq3EYc$?4tDhf z9=Xa6NT{}HktOjQc5~dcDvFLNpES7NXLHtaIh=mARZMbh^;%Z*taJ&oG)o65FWsbh z=sS$hg6iUUGuAw-RWMg+>vLqj*~PH*1Ore-|5mnJ1OEsPsM(4c#4`z^q^$v|ZrVnl zjp2IOSOjh1yLeDnsKk9uKLF{F7S|af3&3v!H6|PuHSV<p8W|?D*){lF7B%m{Fgu2_ z^6<<bXO@a2TZ5(5z$8~KOLCFKf|H*Y&Jy}RKregj;zt%u7`LK?&|(7XRT{xQ3R>aR zzI?Emxf$w^>lJtMGwstf8HS4Ay9c`~%7KIJ)d5TH^|1&v>#5#|t_^k!n66HYWNn7E zQ0i0dmbhf|JeSkZ4NAM6nGRYas_racA60G%qLnnC{=)RltM7QtgYW6hTE#uk`Z6nD zL1sy;$>qU4BYKo#uh4g<xH?g_mzf@4BLXP&mPf%)q74S2T3h;inbmH>t<PCqzeUWA zVscZZKHX4gp-?{=Qd>J(MF~45Zxr{|c+hv=N0~d9%r4=NPPs`0)61jyS)(OlLv_%T zmUO;rkKrpMjg*bd&m|VM)wGgXA}+Rvfh_bP>L<~#4Jx+{S!R!aBx(hp5a&C=+RDcZ z2Ic0T_iMV4SYcKjey(T!btG3c>!(fc+sZ>67@V&lwJiMMB6nO-NsH~2>`3;0pYtI_ zfX3%E7jCe5=8{V(9IABj%P(i1G~K^Dz2iFrptBP)>wY|R?x!cEg)(gJg6K+8C^#C- z6yz*Kj-_qR*fwkWU4+qbP^(<;CKZ9Tn|c{q4R_PRkj=^0-u!LW<Me=)rAD(tV*l9$ z9qFv2?BxSkT=-!wEk?7n0f%s887KQ&C<tUo0@`HML9}KBm@4QUWwt?aaNOz$RzR12 zn2j)`E?peTmP8#lfrInI*W9BU1d!D<0=bV8%I-ao79u2Q+RRK3ia-!C#tO+wbA?0$ zGB}}>f)&W=e1HTIT%$WMXMi#<6K5xtu+!o1lli%IWx}@E<4;<~b2OfeDGN=`uzj48 za<+FT`-iE!(JXd-Ckr5hIvAk9ix7`%S0NLE&zGY5Sc$Y^iP@lu#SO#W4hqMN;{n=H z{xQsuB1{V#T?XvRg_#(n(_%5t02M9#gz*$5ZTyMB*zYu2th&j6m{X;)e&%w~N_=?q z>ll<SAxEpOf<=l6fJ^BvV?V{V3rOWcCC7IMHz*)0y~~QjU?;Hbkem+Sl;lc_?J*iw zUv{TywUqW{=HdobgQ~X6d1zR4I2AMVCO9Qq^T3Qdjem?nI2=w%k7DqCtmg7Gt%sOQ z0d-&qm*u2be(F8`=`trDoS}_MJSGL6etP++nDR^jep8k(flPSbB!hfdNM5D@yD6JL zR6K$}ibm=ylmN?b<3Z!cGCWLo%1Y{8Sa<!Cp5VR<Pz)<&c!ow#sAYbmla@vsK^0TA z*+aH5PqX#g7s?5FwYFcRmYSUB!1fK89i}pReJ?~##!ahM@b<xU1yQov6ziAcL{d~8 z-f9yWny-$`XO&jaHtzuGT2Ho8^HkJ<_^kSLtp-`u0qBz~vnJ4n$tzDUTkC!8eV&;> zBh&=Q-pa+kKI!vEuEOhOK(Xq^3admhTd4Mu^6A)lM-w0TYGYI=F}$oc2uc(8K*K$O z)JME*wdMmJ&K+7lWGb62!Cw+qSnXKW<3ry6ZUcKQ^=3rGCfzghX1a|lQs0@(m>_d9 zdOhqf;;vR$s3@(YS}HAq-aY8MpFzy@ih2Tl4svm!>zrGy^$YB^u>!ci<h#pP$6LA` zol1DjkMRf1*%Icte419j7K@558eA?K#LItyjmWh!<KiuIdnMiwG_B9^84qLpGVQC@ z+_=u~+(+o6mU>j-4Z+38j2b&9NPDPGa$AL%N3rH|rfly(B}2T8BcPdEUN<R!`2+{@ z%OX?>lgi<gPa@{5khOS5ldFQ-6un?O+~+W!%;QTU6fliDpj>V0+Q;T^QI9cZzPL)C z?zY?`0^J#8I31@rD=u<2jW$bChheRfr&?M|3huUSN+@<<ZlmOola>+>Ja0X?r(yz& ztk~emlB`XarcN;z6D=cc<XK`wSo%R(@^v^RxfN{jmVfFFo$Gf5Fnhzk{@+(cP$T*! zI-D9csPbAAf6@j~j=dROLk#z~TpWsSt3$ar{E8-m7enS->WvcX6N_yU=Z}y9yk&z$ zFNwOSyHfP6DSGK24@s$*9;{1HA32;2d0PBVop+lyI7pzK!)tq36#@oO_@hdRJYqgP z;-z%~F!1=x?bWRj&99dG<HC@%WpFGqwxFltjQ-DmyY|!+`y!EkC+*2I)FG(rGJGB0 zD38fu1>+^GiZ*vI4*N&#rRQSR^QUCxHx5;uMV^_=^T<dx1F{<SF0id5j7xE25*(cd zGLE^Kv8;NHcnJjGyH7!>Gyx%}U~i?JL`yWauTV?t&xy`_C5pJOuqBPR`MU(tI!FAf zp>HQLwgJWZ%qN{QV?K|`e}<4)rku|Uwpx%`rX0=-zWpn0&kN@Nl~(5klfe?m8h347 zTF6HYk@d-<-6IOHEcjg*N{HHv$S0@E_l7q%o%?_LBp^X?Oqi~0S=-1FWrg|3{NjzY z$?Ie_&~f6EH6CH$?9d;aX?TLO5yywg$E_BfjJ|@4jItGsfZgblFVVyk@9uJ!8!hJq z&lk);jpvZH>FL<0xS<rTQukZiB*cVG#26^zoE6~UaBv4%@*s)tsT=-;aHSp$(w2Y@ zSFb?B=Gg#PSd-MRD?E`CO@_0xEyHI(W;_<Xf-@CGPM%s-*B(rA?~@0W)N>Vb;`+U5 zIya@VPcDpa5*QsVqA+GmZ&Kh56Bx7FR~hKfa2ZyMI&fB2i|NWr9ZZMX-g~{Xr;IZ( z@*0DgJ6D*czwjCq8a9GU6t23X)grd!#XuQ`ypYnXEKxwC&2)FXj8IXy?PYCWn!Z^` z&08B+h<codDt)G3Bw`L@-^$O>>Z_L39i?4)FbtONhW&DIw5k`2RPxnP)Qa0nz5@iA za+Qj)cz9vEGUJuZeAD`70W}R{7&wCE(WO}7ICHO>w?yY@bg0X8`5f(CyT%nNS|E_a zq783(6=6b#`F9~^dTB@UK<WeLT#d*~D9XA0OKg=zUHWKwO0E6h-7MuODaZjywCV`P z^oZ`+uR<I^&Y6(;Gs=j4k`(eNhQqiVzE<MXaC$J|4Z%Hoxm*oxY|wxKUJx?|plhr! zWu~AZGcY_IvOsc%^T**cZ!Fl1LPJpfxlRO!2#z5oRKr}itO%@pA(m^I0VONs=b3BR zaZ2nsm|JvXBMT>%YuK$hSH7v?YGor0wc+E&u!EJq2s<tOwBqVCEo#hUMj(%&K1!Tn zZpneoN*iPR%eNyOJx#Nkon`a=6%J3WfrHeeZ^J>rUy*l%Sb|{1Ems9}nVU`|p3xL? zT0;#lr9%Tp-ouz3e98#VU2KE-Dejw|)Y=qNx0*mi?HzWw2bCmWm&n@8EgzHrluql( zeq6Gyr}SR@K`GhIFYc1PHkO>kvC~j3!Dc`O)C6<Hbkc*m+zCKUee{V<g8|qcqlcs= zbyl7Xj4v*WzP6}P&z=S$wE}83))Xmn5+&rt6~lCqG^9;4B{Hn;)#YeIEY<frFSbqA zm@h~y{|ynEv+NoN{zW@+B2(>D5rrxfC4V|q<bAjAjIKS}nYwhk2DJNAe_-oo?kT^{ zJu~W~N=mewX>VU)@j1!VF{x>!MhmreW5m^vuC~yvDqqfNiGloJC`e$PIu^O@v7+7v zd5LVI@!;QDAi+8rv|gou;O%F3Pi8nN?=2XNI<)i(2s|4A1iq&`JzNn92N^;{tYf5J zCbH*FeMTG&R-rQvt*aM35R&&KMr06;z$a&+5AUzL!QCy_hujM?-qJuBnssdAT6q#r zL@o+T^W*nlsi2K~3A4tsZfahv>xhEzS@{&f!>K_L_`;m)fa>0_2KyC<X<)T0%&Z&q zYk70hotVqsrvi({@y;7Ht>QP-$4>-a%t~16xnBDQEx_0pB_2l2l)>o}>TX+Vo<;b$ z+Dhnj!X|)`-+I$fWf?!ASst4~)_0CNEAkQdhnygab`T2Tq&6YW5XGq+5E8@80u6gI z(=EXpBG^<iv{;Qk42}~V^d+kY_fsHxz4$(NsEqOU*r4LqE^YUaTH~E0y-d*1S|z3R z%TR36rp&;q;_9tKs~8hNp)9lKGh7iqVnV#%9i5m|4scxOj>?$Nf(komDvUmDb(n=l z^al?YFNpJCoi&<MkL8CtxSkDMFW4Rp0E{>p<cvC4Ia;<%3JJ?Y=Ff_@Op|_zI&y+} zk^2t8&sN^p1jnE}pQ<F0s)2-+Cc$qTs<3C9FU=n}OI-=Dq>pf21ZMQ_3&y$PGAJlB z$Y~)R6frOb?s?%JFuP~usVBDrhQ&Q}USC1y%)<w1+OIT|x%F}hmda{v)7qSjIbJ6= zRc(%oJLwg+8FiMLEi=~L)|w+3N)4(1DLj;_{}djD{}dkKa;q@f3U29IAkBXYPvXc` zhsZ4r%v;n)xyk4f-LS8JBrGvS^4Jg5gR%6+{(AI319w@f1LqN*6az*e)~rrUyb1vy zablht=i{ZB>n@){BwK~HHCG8BPu}EZ*`ZneEVbN2{darEz#`B9TetnoWj*>)qf!<l z2A%N1+;qeJLbVt0oX!4T{7wJ4E2vCBEJ1iRzcABOpki}F6j@VmHf=y57Y@O;*|ow& zvH{0W=8HGAXe8@<sU`zRQlw2vq_(X;k1W5ifXu{SHbJscL&#;kEZw$lE^vmI;4Xxw zMNseh@>o&2fjym2Q;TORl0K-29@SX5<oxh*mL$%_OVF}7ndt)e5o2PfBCa{TYrNH% zPseamY}{x1{3Mo%Xd8dQd)Ls-YJ}u)s=<ND+1(M(kXIp1!)Fs@JMRjf&UzQDA*qmY zGItqoW-SIsB3k*uuyN};OO-NjfJW!+tYGEE#Jzfg2c2u*83U=*-Zv6Vdr`UQlV;|X z>2pG>8U@Flfre_!NJ6-%Dac(^{a9v5S`gIkX7Fs@=96B-J4-V|s7op_EhdvXQf&Gs zD<Iw@-gh`Fxb+SclUQD)(F2}$PbmGy%nBS#hC9X=4pYo2A49jo6_h>aVp5*@kt3}# zrEYC^CXo}N-19mc`@7D^ddZ_MT~FUf)w;N~N~CpDkYJkGZ#kV)aR!d}k1U77dLc~? z&q65DYzsj)Iq#Vb&{f?BH{THo9=qU;U?gps-&O38oeC9HA?#UT>Q@>QdWM?D@W^G2 zWa6@EM{Hd-bRVg#2Xx`K;pj6<T?-m7J3eO-N*Iaf&i=l*nRb>?p;EyI{Ij!=EgINo zRq2+U0=GgWn8MY!1iVsFExpfV$$0adQKmX)PdG!}tz7iR5zmqkG4}&NT`i$S^q&Sl z(#f{h87qKZr0LwI8RrhtwQP|p>#VsDtZ?%A7;3ql)U}KCephAkI5X6qYD(*s=ft)k zDQTv!Ug%5Pz)v$Op<R>{XRp@f#J9wv%keTMn5PCxMwE-zSfxyf5Qb@dibv$XN-Dc2 z7>6(4gNaitRMW5}#MheRMu`6*x$F`uUA|Doz>W%vuQ}m`k-3FD;~O$xw)qCggp<)~ zWq>MucD?^%ih0ImkE8%yTJu5_qUOmif^|(-wS*}7M+e9cN6$<ewlE$HSWp#*J6aNG zNsC|#m3E+yWH3ZE&mPW*4f(~0VczsR0*V7T<cKi>n3erGIZr?VCuB+w-unf|0W4M? z(*<Sn*pecQvC}|>;a5nxdL~|cgETM8(hlF=T~=YQrb@W9({ZoL$m~Ie&Q=5Z)L`%) zRGW{7SGucX7fdrP*Ob+;>ymYIr?5he4iEv>@|yp>;aJ6#)t_CgZgVgL<A-*bmF~Z~ zy+GCMbuc%pZZY90BwI-rn}$f$coYP!gI?*|$XVBPA`@y1+&cP~chXPY9lHCmoGMWD zOmehXUu!Hr)^vW9w_IfK$$vW?nO@h4-0C||Gj_VzMWsC|1m6kHZT>R7L?My!elY~8 z4AcsaVz8bc@Qo3lCH~$%k@Tk&ulUAQ#XZFtCkJ@%CpbrrdodKL6!;BK&1+ym55n{h zTqh93{8G=89^Kb}j+!4mw??cmYP{zar95iwfKFrHc>OAwwlP&)HTEjGo#BtQTN7Cc zp}C5H6I?@B2BoTXs0s0FS^KyAmiieOT4sXKD%XLiQD_8n0AjzQ1sb5#en2?Efc=w< zkZ(2_?sx8tWUFg%U>VSVpap|!JwHJ-EdPe+Qdo!HuL)TAVap(xt-t#VxRu*=inO2o zNzhp0ei*ow+%<_fMfX}yevJK<HjS5>l~Lj@CWt}qWt4TI3^k_pNKRzw8it{8BO|+w z;<g+nRpp*ZoL8xJSvtFJ48;t8-(WJv<au&y8Y336AGPsxEV8a1<p3YFzkL&HygvcP zQ~$%Iy}-B`!9JC5dD?KT!K94A3`VNRzfLgnZmCAP-Y>B{kFuJTqFNzHlkJA8T)gst zVfO!ljsL;oWF#|mB%{=8^~L7N+A{~aJQjf1+7N1<PWyOFdAXdiC~_xq!)9v~35YK> zpaYZ%S`jEa=mS3<3lyjg&4fID-djjHA_SdU0!fU)3j5^o=9nbWEwL``Is6a^Uadp% zM5WprgUewxH<m;xO*#CQvC>6NSZx?<GX^mXxuTY_@+(+z=1;H)P>5C?&)@pUqa&&z z#L0)|aVvZ9V47WV$PuVXn#O9;VC4rIK*pUs4t4+q#41Q(oV12yrrvQ!9-P;YxUQ>+ zkC8vijkmd|Ct(rZLVh>VuK&y*zBib4X91|*rr91c3<ezLbh_L6I$JwsbJU8)3(F{{ zRJ5$FFUu=?PyTFq!t(1f;esD)Rx*3Otc@8P-a38G<sLp&#Zv_(c9HW{U7BW~HJdC( z&!2U4W<gcGxQ0-Qy9!e`E&(J)tk+kW-k5aWA>TB_s-lJ(8vPz)%lnLuxBZwNk|_x) zh@h&pX6?ReO*UjNlRd$<na6hLOE^zd>kqP8JZ8B#ztbdXBO_Mk=Q}xTIpL0}+I^fi zDdiULnjbX&<srrHf~>`ik43Zx!PT2<?{kF>6oO4&Fwf{1B+DL#;sfwird&88rZi(3 z)tRT%{I_WyVks3@mv7mC?c?QMf2(*^ulMIw`EOhm)y{){_XNp}YfKoBxm1>+Tq$>m zuv)7?(@0FC{c^r{D8FNY3nZD%NIrZasg|Qsoesv*cFNx!cDBRo7u>J~kx1?ik9=5= zq<O_gw1h3&UG3Sz#pJ{6h^}$yR`!0a*NcqpOceNx<@#55!ZN>a$C$!{fM5p(fv`=% z6jl2*p|s^v9kG=Kri`=?TG4b}SJ;27#Nv7>L0n3#-kdiGVOSpdGePUX22Ef3Kvk_} zhJN$_V8&l)nkpqFws@Hk{QEhM{>F;ex>D3yFHTGLpNpR>cek}(GRj8OtfJ_{uJ->F zuQhH@8;z5UBas=d)rv4u|IM8T*o?0JUJe}t3lruhp{*XI_9aJ?QJSB+Vma&Bm_xdB z2T*S!+Cw$*tDgCYdbM82-R^3?Say9Tyf}+5yrAn`dWd)fOZ$EqG;Ce+Gg|lbyk@Yu zv?7+6zCE~vSG34ZfPIiSxpT3t<u@#vI+I`Gi-#1mXM21iGVo>3$2@;T(spb3(qGFJ zaRG>X<oP(3<{>#_YeZzucnAgV)5A~_b%xQChn#{$>hvtmCknk}kC}WT{o**Q-#Vt8 zp)RMqC{W#l<%-E5I%8!4v~<Yx8CKmT)EUyBKKkLZwyBY4Q=N#KYOS`Z<8=(_o*YE( zT7jk5Rs8OP>+RHZ{EdBg-L^bu#&C5y!n5*b4A9mxDYBh@P=a<SmmBgLj+0uj4~(Wk z?bPtz%a+zl42UPn0gx4EWDbt)%!vi+Kl#mRk&%R=?njS6A<*CWmXaMZ9PFd@NmUlg zQ>m{Y)-=Q`>GDh!*$1S~1*cBiTJ#BRN23gFku5(px6x~l#+M5fWJhvA!RQ24@#JF$ z7sD?RE*6^itCwlMnfCl%mrs&_#>30TrL6KQM-^?N@gi8!H?o`itLz2q+^B)K(;n}P zzMjEI3<6*Od0n`5v12t%^)Gwn4P^m>fkOdtE16|Fi9*`CM&<QyEHP^8oBnq7CJ+yo zWpamz6(me+Saq2p)jJaMSl$rl@<h}8Lz$*QF>5@j5f;nHJ!Dx|EWOba$tRbcc#iTD z5pEtVz<8+xg})l8IHGWG>1;Q$OomNHuXy9AuiEdR8tXUnpd}k-`ll$karH~Au?^ML z$RMH`qByz|2y-`_S|3?eHS<5kfUSgW1c@&@GGmN`Uo>~S%q@KF_rL=WIt_!EymKw! z$F5^wihGj4HgynRHj4G`m?y3i5!;LHbi#=}_bdN4*a0Pygbz&I1!c%y`>~(5cV$<n z!Il=Nbi6QNXu}uAbWN)wVM~ke{xBxn2lg_#S18+ZcMsKeV#wG_jEPH7spVc<>e_gM zN$I9RN&U=SnBuhvyqN!iF>S0>77LSz=4Hw*oyrR8OnsEDa4Kz~RYXgp3y{|JVC%<s z;d|`EQ^9CPYliK>Q-77a0bT@H-bWlD3seB%)1J%#X-;OC8EBhx7G68_W~m)Nb98zL z3Cz#xa>yq!r?~-Lc4A@g7i<vO#+GC7B&~5-J9TF9+^rAfI=aj4Slk7h7tmF_mu^|4 zr&%TJDpeVo@D5k*>fMfba#@TJGnqRqn$ObGcSHA&o?#Ymc_z)y_?<g??ceUd)oO#x z94qIOQd>SaS6b_t38nA&?NA(wm!q~7b9By#qZ?95f|c8#M>9@o5K+GAsQOj&;6j{s zubstXLbxBU+t^#b|FkyNge!U$)BYx~hPU>`=OvGM{dJetzm6<!ii(_#^o)kL2q(GV zN;=~H9eWlc9j4Xlo1AeYW=D=(X^Jdp_&y--$xo#<=jrfxVwmcJ4K5KtMSKlcbfPH$ zTknkIx7xH#dqeDS1djnoQ{#Q{Zoj*>&N8v&dt^Ez2!n{lO3*(K){G@2yD9wTmE1uQ z4)T!#ui1bU5JnE{o&EWJCV-=IEW5D4Z{?On4c7N2N8~xp-*im^2))oGOd$?RBb*|W z?<i^IBIKaN@c7CIi9yX?hc{aXPk!=wwJuD4P_Gis>d+}k^Fjk;Sdj*uszFUm{lNCM zl3rSJR#6c1J%);B0W!E>JpY6MlAiDNf#bq0728N&aD*A97@9AanI{44QQSSSD&Cej zDf);;!af}F1oX`v2ErOr6Al)Ht!fvH2@#u?m;W~BtypFW7y*jIu`&1`OT6&(8Oige z3qEZn?v<bpC|~6^9cBsDV@)@v3DI3VOAlm~z6*By4=0-ZX5vXP*%GfmLW_-7+A4{2 zeK^ydJcnmKCLnHZW5J_1Uuixd2oQo@&x~t&INP{E>8}ZOn2|nx^>hUJ!0j0fwI5Rk z1PaO(kjX=+cX*g#$cma$3P_kA5C=j}aDNS%76<ML&)>b%U_sQR{(>*%&qOs(DP|B4 zax;h(AWE6jY>fq`$uo0umrh=T6gh0DT5{~QQ|wq{r6XynUwGUZP8_9pHRc#Om8_a4 z7XxSq5?IIb5+<!{Iw(OuKdKYy;a~UM7#1fGIg9?n=0TjzWuE}8)^a@4^;%{_?e6T- z1`$T+^wfXdw>lIl+q!3bSNZZ|);QmKEAv-4=6sQ|s0aM7a9Zaxo*stzi&eV{r)p*V z1C(F){t;sNi^}4D+b*$O{$9Cu1~u3+{9%G0q;#ruZ6hhh3VA<3|JzQ7dZp+GiMP## zP>YZSznS-VfACkXa@P7DWNcr6Q|+%o9qC1!mzv<u(h6F-<$hrB5~T*A2h#*+(OIe* z3H-)HnN*T5jw6n@UkkMbORr^?VXkzf*2YyuDYw_pSafCpCf(bDNeMb*b=ShmxLnt6 z_aN?tsF$oa%(QR;smz#e6O1$ddZSv$>f(6Hxa$=ktwrE$EmT3`N=?&rCgC)Fi=LJ^ z7e^zKkDzkxFr$Gzed(mN7VO+;FXKK~5b1vezi8obWB;xRt~_jGtZONc<czJ$U_AZ9 z`I(37s~OL8BCrpIZnozFng2in96aueW@ZJnA!+c=^9dLA6_v)7o?5*r9$)Iextgk7 zm$<W1ozcqxWYzE24V?jQd?q`a^AwM8-J6fNe09!KQ~i8$l3aL1d*rAB8!vEn<3nqF za$F@zjfda)km0>sUX*GFPN5y2wlAXi-kKjm-rsy5!x$-cEU6b74<3z?K#;%$#M!#- zguAgmL$j}C{mrSLqfS3qfv|VVPE%iHxLMeIlcFoEMiZ0CJF5-QAc~Z@Ty3`}GqSfn z4>57n<FeW7e_zaqB#iO-)NAXUi3>$A+NkK>o{2F@9)y`%I~FDecgvJ$SdR<C8EtGl zI(^2YONx-PAlrtqxaucH4@!F$D+LPbLm*gTkvXQz%QF2b0{(zb<eZ{R<U~Xh*vizJ zeTk-}ZB2Nb+R)Q0u8or|wzme4MXE}E{0vwsUFrV4KJ}yEYH|?eRb%qn=h<XBOYwM_ z)r%6=`F$#DcIigcUUxV3%YkSS1>XorOp-P7Z!kE{(O<~9Xp#QR5fBJG-9qr^{2nhb z81*mWBA23?BNq94MIn;htk-hoU>kv{RRI)zhC;-0!K<Lr)<bb61eSgBle1z00(-~6 z(HVrr+e`s~owMm%HKfP+Og=dnEnb0<>GpB#4R)qQR(1R#%h^zY0xl;w8)(t~?Q=C$ zpsPCOPH<6v0kzSagvrBnrHk}LWH(e`xz_y{NpAUND*-vdC<0)pO{~EA2c>`^cgTqv z0qRyI4p%C#V;?c23M3vu@F15J&*uK|<p}|O!5DbhuJh^UKHIA(wI@mBPrBnck+%&x zOu%Nn06qoLwk!K5-VP2EX{xdxh7tY78{J!qE}+PGQf-Qafm8dlImh86Ir4BUwe+c} z*=RKnW$VNEdW1&`nCnoFG2Extq4%J3$zPQT9r^OcjY5TKGSueqD&ITr(}s+^Ig;hC zMSZ=OTd9utbyVK(3d)a3gg^F;X6CznUx#F7ly9`v#Zc%(5nujXCVxAgVT=A)J7KbS z5&;pSWQvwyI8X^{8jfU@>cV^8^)FZTUfF)iXVbl59pF&EO*z=R+s+Y5<G8YYZF$e! z>Fwv`#n!cxt{3(ON9nrz;+uP~V@1m$?TKduN<)_iEd9K;5;bykvFyQ+q8=$IOW#@d zrlFr=kQeW6{K9f-p{o^=)0v3A_ARz0t_<|7t1OwCYcGKM!lh4on~qb(wsG8<J3d}E zU@VUM$wZIqLLu*11^&g)U~Dw4u}7<o`p9Vcrm=WEkRoF^>iPFmNuk@BfjqvbL%J&t z*5|hmJ!Yzp$38ii2Wn=VGymZy%Ae8vYSgqZcYzalsaIb9Y@G8^E@8TIub2K5?8hLX z)Vs%+{<P>(OOs9Mw(v^t<7N%c+<2qR0RJTQ$DY2o@RgRvYmNo1EyT+5z3&!|e}wZV zBvRSHj%r(nNN^~zR{r*HrXo>_%)xVYm@~adHn}?-h9g_aDZQUQ|9k=RI6FJ>o<4%T zDW<&ImN*7xX`LhI!^BXRne@BBOE`lDs2C7EI#bf`XJppvLWoPR7;)2YVgbvWLX*}^ zO4b8BS-a!i7up#!Z5MSZmq$?ZaK9(yq+X?sPh8@Ydp#vCny;%jwgH<^1nNVT$9saL zlvx-hE)2!2&nMaKmny+yg?n1h5#}#krc*981Wl-T=}I9q{gX2>sCdhT(2Ag-w4_!( z!&y_T__v;ek4VFRu9IFajbaIaVQwaSRvm$szrm8%oFbc2x<6*VwpsCGkAwDH)nExq zUwK|e#&Mg9F_>yhEhe6wXdFvI0}Ejt*$FbAOL9@R9XWnF@-p9Awa%=IVYmqbXZiyz zZ)yPUTmEu(wR5%uT*b|Zpyt!kPsL|ldQ>Y@$G?A$V3{1j{;imtM5YTTeJeofL&apl zpuq7Je5|*ixQp83!`aQJ$qbwOu5%Hg?lv>D!MAF;VLg*$h$b^I85c8`nPPx8-ai$! z^@W9IfOz(A&!%vsp|Z08{@WvI`B7Hbi^-lvK_HM7-s0b(q|FKgE&V%^!|qM{Z`O*8 z(e&UNQAhh#!s({m7M{o0J)KV4X>F_38Y`QGIyyY|ZqoQbUmFe65X-3y%8R&Os0{!G zw79kqEG{==8Mm*@ihD~?EYOOZ<?mW<{QGsMQpgMR?ep)i3U<Z1J1u}qxquN^C00c> z^f5j|IcL3CYY=n)f%)Bxx@Xue_|O<+mJlSkbY;J{0Cru$RB%rfm*OYD$LFX8@DLm@ z5&_68x0O92qN*V;?P9iSMcz=2#?fkOws`Nr;#C`BMAjwYbFlo>9WbTEuto7AqnHz* zQ%{iM5*;5x{3F<KqAySrd~)68e9Aw=*AwNbNCo922094K^mnGdNb^1x`p2zK;&yaU z9$2P1mpzOgncrLnmba+3`1bB{KR*`ql&dzt``Ox1(|mL+sYiy^(b~*t5%w9I79Owg z2?njn<s%`!h1tf4-#C@#%TUl<dRQ>%q4!Ryw-l(Y>9ABjA$(Ev(iZg6>!f9pE$wG~ zQ39>%*kv@yXlo+;^46YmaW~m@<@or{_~O($hC+k+m5JqVLl`xopTjE?i=;!DYD9EU zRK|P9W>6`{%5`(<9<RYHYksERt+s!n@Osse?q)eRBR=>30f*Oi<d2Y@SwZFX$!WsP z7XvR%mG8y(QyL8#qE3%m@a`cIX?<1${j#9uh_r310q2g55b(mZ`iw!rl*pl$ACKDJ zh|-JuNY@$|Z+WX7T_s_^?9v8GmvzG=sEm}KW9UELo`gklj?Z=**k#t~ajK<NvItV) zuT_1T&kthb16Y%@z6+qB40z$`s#`@%kNX1*{wpKnF5{@>cl06aT5U0yYn_}y?L6bt zit!mb__b{8&LGJU%nk;(wemIwd9luFiQxtDv%S4-Igw;`BNI8&UeV1l5xSQd>m>W~ z9}2O^2NT}(ZDnm3K|7Acfg#k0228<Tk0`^I?Q``VO<hJ_W+|uE+FScb$Z=N}e44mw zrC8oZ3Z<zqQa;h~GXr#TqCPGl5=VOTo}Of3->Bs{67;7PYi}X<5E`7w=ktGkwut`! z{51wP$#6P`w$%UqGsEhK-kO9Cs<ve_h@zO~AdvSpBJ~&y-P_k7`P3`7y_an$y9^3k zf@?KL`F|x5YX@5@wVMNM(|n0|Qyr+Idvy(;iH$?s*sQL1FuSq8AK^=S*Dv*4U}d3i zO{^&*{l{<ra}#JpjrNG6rzg6e#a(L9#(jeft#eNUMv#A!L}e|~L8lU4t~|B;n*N`9 zjY=|FCMTzIoUwp0Sm}}|JuCkiR=N^&GJg%IPkOT!(=dTxTQ<KiuyN+n+JxahgG}D% zW*!1&8Oa{xT0Z=QQ}l~7TOXnGdE>LEw?E13qQvNUBR_}US1K*p$GC-}q6l*@7;<Sp z_CN6dWczuC$peMot=P|5E4Z!&Oz!WFK>swN?@Xf!^I4edkl3I&`kEW;fPSD2I<W`F zZ@~2S*O$INYJK%jC3*B(f8eJgq~!ee$DRiMto(g9;r9Q?L!%1|Dls~dpZ(Mv3gzJT zF73Lz<fHIy;*&DGn55Y2`=JkeKg|z}YaC<2^dpuU%vjxUC|rsp>B%Wf2{F-?Ut+`e zRbesi@c=o~#A^<anc#_=sXaL5g46Vg+x;urs~U;iH&MBe@Q#;M<O)Sn`2Q!#7AvAf z862UgK}12M6i5a~&r^sUonQbYqJnARW3y6znPNaPHLymW;gdB{q5)T)gK@x3^1?Zq z6VwCbY>ECuv;Lu`JyP(@ogjM*a#48n<oq!B$+;nD%aeVaJ2+Rk^_X=lJF)!`M%Gp6 zGhC7iN+h|FQ*s=&Hb347TXW%DK%@&kNf8*0IlTd>i780I)9u0FLLx6D5JTL2m3uy# z#Dp412xp@@fSeD1psphl51<d`lEN`H4JN>gw&I?B5z~{1f^ruJk-{EDd_tPU^aUid z-O-bKOFdyyB26+Z1%^kLcqwTNPsA6GQozq%Gh;C&LEQ;~>E2-awGSY9@0Ol?K+?Mw zOut^-t%)wV`AUr>+5^2o%Gska3{4yn2JeFikM4?zM!HW%{Ap02iOS~!f>bCd3S|$P zUy>3kevCsB<mPsS<RRio#!wP$h_NdhOHVE<^^^i`lVmBdEE>vP;a`=l5!ffDc?HX; zn#k<+;y?UO=s%HnwEsi~B>xlnuL|4^7^KcS$bA;#Pgpw_G{jw-lKif%-md%B3;Tm} z#zP@rghQeE{Gs8UA7Q?O>fBw)fQ11+bgt|XTpQ^d1|hnMI#d80<jPT(n9?ZG=Vot` zn9x6hH@>Tm26s_(umLzc=WS0Bv5`5;$PH^xgH)x4EW`{^w-ICUF?2>ghfu<et~QYr zMMJvrI6l#t(;Kpk1}mau0az&vIhkSr-)j}0C~*F6CGKZVloZQ@(7J-5w43N*=ZVbY z;CaJw&>f@cNjETnpPGhheN4kFZU^D^euSW2jeQ}q0>pPK5oAM;>k?Tw#@BOHjO!9w z*v98`ShJdf;}~#`Pr}9@BjK;$uy-(-#_J5~HU@K&Ee8GJsSx|}JSbNBjAsw!`xp}P z>1|M~AkdV2VMHVyWCn$Jlqm+KN0Tfjawa`2hF(!5_P4x9>>8Z*z^PnawMd(RUbP+o zsL}CTXGv#{fL#tO^KO8mv1k$_#b-{2_oHB3L<0aOSzuu~6~#k(;hu7#Hc^X0h~XE- zDxhu2_b#p+$jMM}Ct<_1ZZL*no{;R#Hekio5sJs~Km*3X%|D@`YabwVDdGY6y7lmb zO`PNqku*yX_?a@$reNEKV6$p&h)8K^2o#U;!5#Wi(^=HIwLsJOc8>A!4MGe1_;QZO z@eKkCYtwd8T{Orz4$dZh^k9w(Xzg=I_Hmy<dtnHf9CTYzU^^)UbV6wL8Z`K~XM<6= zI}}jE8UuB*for=mMM%JKtrr~9y@^lzyjVy-a^o5Sy=v`^eSV0<15)E!pf0(QRQuHk zl&_fPIom%NsyjmG90f4RRHKhx3|3BS9xw<e`AYPk%t8zyY98>!+W(X^tOZ6Je1@!q z8ysLVKpOo0E>Zc~*&&X5Z#ILko16#@`j_cOBw97ms4ig-hT5k=;HGat>#AC#n~GNp z2g4pe4vr%jq+{^1nw?TQ8cJ+*MK`%&njKOOk4uLq#32yjaq!BVcK!!iY<v@kh->0- zyulizbVMOChiO6}8iB)yWF8;K&!+$*G5;a70Rg%j0V@BfAjb~6VCfBf-gRuSh~YTc zlefi2nd*P7WKKj$M@KfX4-X=|QO?FSvr4dryK!_xgi$gZ$lw>pH$x4hSY?wGVI%Cn zK;PVlSU-|O6g@>M79xkA-23@tPZQ7s4^aRWu5d^ze9#egc_G7|^I~TDHbs7YU*N5C z-3Zo+L4ApNR)o=`6zsN!IFOP60HmZQ0Fo)48Wt|0p3+oqD=wHKkpxoGPzWlL-x~(S z?Rs(tzce^f7n41fb9|>rO&bA)&{}#B-y;l8ACn!76l=r8bMnb76fJ=_IQVRPaUd;b zQ3>7t<BS{r$5GSy$5HUY_W*^-82<+~>wp^y_>UB6@*e`J`=2}luG)Au5jR3yFvkfT z>WG9Xc>c%2!ZCq^XMCAn(jt6hgTTrfhIxFlh(ZtCD*QNUks1Lk>1@Iq3BPVtA_KGL z1OT_nf-@7EF<T6(U6DMb27ImcK}CHPp;oN~4MH}eBYyiiVtRvcoIV8QYt<y?Oi&lP zhXKbcMHjs9=7N9>K=^crYK8+9)8vVQa-1~;^#UdZ{YR1!##ndeN?2gf7UgvolXj|< zx-64&Dv`P@gmKDGhh}0H54Qdt;r+&)b?&arGM2eiV=M)a!Db(wOhI<HmN#Y&5(^VC z7Yk!G7mFZ;8Qe5=p5>NTg<XY^;-kR{uA&NYaUK^mUy0YmTH~e|8w$NA84Ue~J;-=Z z6~?0^<JJ^ek`%_{c}rYZoCXfZRvi|`V~I8oK3}t~i}`g?jKUL?G*snvS5N@(aZSy3 ze`3O<H#WH6Mu*HD;};w4e#L}={sFh6LzIq=xu1WSV)F7T3A%yL+AkG({JQZ89D<VI z`rZGjcT^b8J;~+Q1-HtjM9e%qUg1lNhHGZA!i+782QG-Q|NE9m21IbEdo#1}5X?vE zY&;92a6^Pcb4O%&Y27t$a4=!>x?uJ&I|YW|h(rPi(6*n2Shn`6MI^)^e;mf(&;&rF zs$c7OwnVGmBK#uCCO!l~kpnq5UDRpPv0Cc`=kK0A__I<~@v1aC)u`p7`BHHb=`g_v zIL}iI$kc=_>_TiZtIn4rY;Z#Dd<i&o`F%!AI-OI(iepS)T99X^I<%DISG5llD2!1h zEaw55+HMRMyi6eV^s`7x%RRh`O+8QEhaf_4I8)~%gCHjuoV?xxR-6oi5-r8@I#=36 z&;7$<5<?&$rXWW`Tf|&2R@lYJEWZ5o$5uL|Mm{}8he$?YXC_BB(?3I}GoUU_|C9d~ z7ZCq@iVmSd_8OP!Z;*{Qi~SLz2eniEJt7MTKPfS5u~aYZE=Tr8Z+4t+4;}J_872T1 zBLKJMcFH?MOe7AnP#UK2o^~E3;I5A?c|m>9262e450MYLFkk}xz~i+oS|T|>W{f=K zDJ(f4SeC+xLlOV=8Q1+aM9vphmePqyk^c3Wx3|T#tT(hYr6YreI52?7SWisdcnA|D zzdenWDgvH!XQpRp+^;HgvO-iO+Z#Qv1kpfr{HWz$AZoX0RIB!T_-IuKkapeR1+7%x z3GPc?-@IR3^j?t^6p#g#w>;zd-3cCH<Yuf)o6UTE{fry-+Z^G3`yq3^=TBxAt0r_% z+ujzsE31QSJsSs>sKp?aR?XYxO6EFfQ`Cvh(~bR?GHLEboD8IFd(Q?y6z!;ZVVk9K z$<k?z<=FF{0j@VhA@J{h+Yg>-;au_rt)~V1%5@Glm&UXKo(s+G%1Yx0&%a#+agesh zGeIlcqQXLS^ck%#^$yHfPPp+NO`zxYx$zkCn+=;mdY&I9QI&{Me1nBr^Y#xQeZ{WF zwP4Th)!a3eKeJ53$5RrRVd8YL&<es=M+G9B%n>@zW#xQhey=7DE@q*+h~|{e?&D5V z>#F8B*z74Y*<|=R3}{gi0P=ohQ>A?FUo}8=eaD3V<YI`NaMQ<$Zc@u>gVO`AtMKXX zT220{b3pg}e3Geq#MPC!(Y`?`$lb3Wbd-P9$@n0ttAOZ6m~klO_pR%;Q|2<>w#1M0 zl=YjGfXTDg&KmuJ!QuPHf|v2RV(Iexk4wHU8Ij4HFQF@9MaBZOC3i5~M&hXu-@5V9 zW^M^9BD~xDpg1cc@H!cxR4clB=^it3g9?9W_0xnz@U2pMwySkR_@ahbfesL_J4-L% zKmYyQij{$F8jjSbO^W3ISye!=rpXkNDzG-Nwu$I_vE1G~8xv|{_g?jC(!o?HuXHKr zz$G4)YuSvwV(tddn&k(|25XRL7-XlraV)&IF%;_O?@cZtLTr~0jB_qOy-M~qdDq%J z*FMn-!(<V1FPhmYP7j@U34;zM^of7yuOi8ZM46bWsoQbS6P2Y2Q<MTU2Y|k>%lwV& z&Q+qFk4f0J@4EStROQr&?;j&bz2zUZgv8l6OE4iDr#CY}ea0a;C=`TKmbz^xXF-)e zNQO6swq3AD#5f+45_?}cNC?)x)Q<<D>gF%)qr=r!4GV@u)vD?Rp@C#F=M-wC?}(U{ zz#a|)sKN^?)Qb?Ln5v^!X<%YU?h>=zP%iVd)klnige3?M^DHGHrAKs6eWIrera<!d zyJE%r9B7O9Z>Zcz#8l2=-x_JD#-}AHH}}~iDBPN$VEr8YSbi;#kB!muvz0n&2Cr(z zLv`51FIQcV4fQPI{{(rG%@Ir$!po&MW*|9Q)9}XFDoVzhX=A$G3(z*jMO!+`p4iDy z*Kl)VX%Ru4x&OUE?u{GxBmoXCyod^*1AqGMixyK~uqx<Ov*l8fTFCi0$u-KisBFx> z)DVaF{=M9`yHu^VPsm@+CLW;&Do^19jlrdZv+u4w`-=dmT&y)ZhKBUZtwX{bw`1I; z!zQ5+_Rs!~p^Y}eM&j2T9Lq8U$Y1D~P~Yq%VVW9c5OgyK3mAyrhqY)YA~~5RA{jMe zQP=8-!9u3_vTPWz(&sWRh{TEVMs^v42kA)mk?Yj)JjNjQwZRV!{tuc=h+qP)=EDWV zHyeOlKokhwPWJRfoSwH1QGttMEX_akZr>Iv%k-0S)c%Q&@bD6IH(<4TymX|*=dJ^B zA)P_Wwnm;p;&*8@f^q_bl!5jTVhZJ}OUMQ%bh>()555dIWzgQJ5-R=ByF*-~`zwRm zpn{1$T^S9(S{V$#RvB$GRhb|%=3Qp)l8)V>3K~9({s?9v>0fWDpz^eO^dz35IkBb6 ze-`HFgh-91Ur?YdksuF=jtikKVX5t+SFLlAiwdbQ{f3S8F_xQ*kI)gWo?@yrnPFS4 zyNF@0nb>z?ciA*-+&&^HJ{s1O6%CIH1Bp%yPgy`yI7r|1&)JS+JM=(PWA-+~QORW; z=z{EVed4<*_g3z}JYHDyI@xTLH+JJ&Tee@4Fxv#Lc+1>pN6agap&9?LOXj9**A{HX zWbg(P8GhwzLMW%808%vLjd@NM-U#!J2Zi&tHAiVqjt(2qp1vV%YFY#5-iKzPFJ2}u z(jP;NKS8ZtFUKkdWN#yzMV&=YM|qtFpuH^)qeA7X+9<<M2EW}!Nl={&PyV`W{B<#o z`YVa2qGCcT6$5tTa~wbK!K&?DtoeQOyEYYgR=)W?-&Cw$ix-Vhs}t+O$KW$pNkU|r zDPEilMGqC!<S?Ngefmkm`i!slk!Z)?Z(v_M8xn8Vpe6Xisj!8mFw+BJRc!~aR#5Bk z@JxE<o}tT5rI1SD$<ue1K96{Xo_B4@2b~SxJcTBB_>EtLJ(&6M!U*flKK;siKAc`b z`=lUTQYz}sbw08YSp%{}UZIi5RMiQRd-?msiCuZnJQV*=j>gnaltCT04ZMWFE8M4` ze!^KfI-vBt|M#6zQ&P+wh>(=MEzm(K9V_zykEl%pqg5ob%PAI8V@GbdyvKM=nkR<9 zcTaad8qB6#nXec4Azc~zfrts=&EFiGfJ=^LEujsYJV2xn#kIuVIC9u!LYZeAnzDB1 zFs0`imxxFy#sTJXj%FEszp&j+QxRQelejbB$#LfQDwF4TpO@yFNONU91yESf^*)(E z&;9u6ncVjo&yM%Zch<E54X?8VFWEx|OlErUif}`W@10DjH>=UK=|Auc$XNTcKGgGQ zgLKawzQINuuCD6ZzjN!OkjX;3Y&_Af#PETLQ8!Nm&RD!<OV1Qblzwp;o1M+g_gH-H zc#3tWF|lDC5a=H@^z)avnF7fu+}*A`^^%p`=ON)IIRHvTBk%9qZe24z)cx2y(#Jzn z_Uu!W_Jvas;Xao{A3a@<4IKS07Zh2a45NjnTr?*v>mMk_>RR?xtz51b|MMJKqxbH% z;&m~RVEIF5VxT=zFaOt<wEnMp?hc{CAK;jB6E2?C_UDp!QP*H&dqL2)0-TnjrtAHI zg^NNkU7RoQ#lq)qVa@Gh5+o{%{+xq?TS_5Zoz#C-D%w<8dT?1M1ojnr{U&+s#{0h` zUuBu*xuJQI`X~%vz$Q!`4^ERf5(_2KEMLK<WkHpij7xuOj?h1J>?F%se;=z0O!T>D zOjmx{zD6gN2Sp@5MSt^sxfcl|ZvawMt)Fpg%r@lgie7a-E!NOqIbPpAq3YU~n0}Lj zEQ?Y9taQ{F!}$2LI&E8^WAGhsS@(l^9Bik-+`F{$qgg2Lc(sy-#VAF(lroN7I<u9j zMb|X848*IMLsQDBNNy!KZ5YBiB5GgWAj1J$YL3LD4gyj<ry>9O2#jSQ60Yb~NMayK z?-Cu*<Iqnsi{<c}t+`va2dIOG?`_qisZ^$L+%M4UV{2A-To5Th<|YK9OBQJg#4mE~ zp02K9nkAYM&DSlijpn}IQF|m*TBVICqsT@zJoV}dn;8;JrG^cu;uO9Q;`xjTfDR9T z-#@&lpmLd@D3Q<T-nX0?Pe?*SJCwTJz+q(1Qq{y%@u3B%)t!{TqP@W{?hWZ&U)|bX z)Ba$^(TW~s%yESe*JM`Nt77N+{xf2I<!=)noCq55Lc;FN<4-^|Qq3ENVQW{m*y=<y za#qr^^Vf}9og%`e3m{Kgyh*7;JGb2?Ms`O9eFB@jPU{k{we^GrIig)QyOO*U=qQT% z$ChkV5m*J($uH754s=qr-hLU;8F0y8xN7>G`PJ)hz%Cl0$?roVtLV@Pv*sbINMfR` zOU34)<49s5gYCtZLy`jFYdmP&|3lV0hF21-ZKJVmI}_WsZ5tEYTCr{0nb@{%%)~Y( z$;9)m+50``yx(<x)T*kx?|!PfuGQ7m{h+88bqMGq1bdMDy!2vCovU+a_uYZuq60>V zsVi2=D4;6&g^kSF@{wNA+``JLk6tNuw94+)lS8{e<lZ-78ieW&qu4!Wp+y08k;}Mn z?!^L!u9Iz8$Q;D8Fg!5gA&!D*Oc|Cx^*hDA-Ou5d(YI}pV1c-|0y#PMy<|2@`}<I@ z<xG`udV=9V@e?x8DEeIcAn~~sR_sV#^Bg);dH_<f(RnRv$26FxJ9OOYHRff2t3rAR z=ct&VPK&l#vs2^fGx;hW@S5l~Q8zBY4NYxr%Ol+&M&xS*NG0X0K9Typ9jOo}>*SVZ zhA3f$o7)1x>wP7}o%VA?Lp6)Z$T}VsNOjv`7y>?nb$oPnViUS}<gf?I59cu4RPSx& z08$88a=cY%t!0PN#4H{xZstXoFXe1Rkhq;JM2t@8UX|3*_f94`1I_lxcrEIvPS<Mp zEDIj>YisA4yxnGts$Rwb^!vhR?1ZdlJLD@zGNP5;#0+9RLmErZj6F;J_)(M)&m3cj zmD-5R-w(hQM2HlOvJyP%03#u3p<W;_fJLmK6W_%Gn^TqlQ6iP_7ehj2eh3~o==Oj} z{i%P7=J)0L=RLookI<HsYIc$9JBzYDLMP9;JY8Zlt1ee0atl0aB1gjB52X0R(>MqR zMRp9FgyyuqPN=UXwYKPcx!R=-s%wgLL3*Io=kZ6P4XKl0e|sLd9sC{^*s?zffJi`E zx_zZH(Z7mqo1`Rlq9c9V)LF<OM*IRN%Bj2Z1*Ai6W%1z7##_kKO`STmrqloJwrLe5 zA6cxloQiGjVixN@4mqlz|MUwkz%UK&KnSzT+A@uHVp=2duTg1mus&qiUkO_3DGg=6 z3fjFnb|gxpv_PJ&Q<|4%eNfZ~K<D|_%H+?D&*Rk&T=yjYH_Xx)QxAiyr96GvpnwY2 z4OqH8L}Q0vWHI0F_&y%9NmM0q?}Vgh#V`(u72gWJPX88H-B2yg6&6^f=aq_T3`nl^ zF>bEEp^h6uj6Y1rrWOc6_e%WrkcO+lO_)ln1R-;kBO@LU0h(LM3CC960vI>mx;hFF zPyXnQD&1Hwg}CT1&oBj9&LJvzkmT#Rf0N-|vP>=|xA-Qbd$x2NW*{yjJtC9ZBh61) zqhrC|yrm(@R$~HGDbmxGoU_VOP!L)#Tck=$>z*Yice$fbkRoEUxX?ML#B!!3%~+}7 zPW~&jG*pF_4&NmzyOjWBA_hr|tcs*$Cnd6uo2HU6@qE1&<taS3Q+_!sB@?NiWm5E# zj<Lx22v?XT<BDlZvYH`GOIsqC;>yHOrzgsvKynSHl%9R_NXFO>RZK3<nUGO+L+6%> zb~qR_nWri``({J%?1vVO#Ue)2iNo7Tz%vPjj2of_l=C<xZE1;M1nkp29eEZ@palh+ zWJ9d^7)pB549+lXGH!^r<PuplB?aL>0S>0)|CQ(RA9??O@zk@uGlWLcLn8fL8Ari( zNpvNd3@#QJ%@TF7rWrGPp!fhQ)K2T@8(uzG5h~Qfx|$ReH#DXjUS42z@t`i}UzP5L zmj_lPM!NI*nJAx#VX?>A^O<?T(|Yr5#cuoDsp)P*qei-?@pN~=CTz?BYSiqjm`ON< zF8`|GbCufaYGKxowtYAt-6}fDJhCuTI=nI}N?SZyI27Vn3AiBu2N&J}?6CqEkA|tY zRUElaUzF@O+05Ivgr_ZEbI6O^RNa~Rb8DcYB|5Yly&Zjt+LUsSlN;*_JwYBq8JrZA zSlH0wq;lpzh+JQv`(Ze_q=UNiU|dB%m0(n2QAHnv@c+sTM#ISe((p#d6a#r($$)7f z14tbFzcUT}j;3xPfjc@T_+Pf9w4<Vb1eElDXPargz;pjUj9az2q|ylkBi?jKMY<yz zMvB)11Eua@5D`~uQQ6}t&_avoYyxt6(|=(!mxMBT+fg4d1FK4gC5TS4%s0B&0S4}H zg6_}yoNS(>l)E`g^)`{Nwu<0=!*JCgc3rm1YZ;ly-iVNt{4Jf-bZLauOFug^Y?mg= zdz<HeG)#>zl-(eky!3pDmQ*2Q4Az>rb<x~u-2ma&7Ion{aN7!n3QjmH-c}W1wwgGC zUM;1?DI0Wvl;g5mOVbv~A)gy2gBFdv=u#1-J|#1(OoWGXT3<2+p#>AHOvZ_GYBvRi zLH4+y>+GB6B@4{fOz}njPlm(0MZ0uo6#3%9L9hhei!C9oQohUQ_p4l6uFxj=iHA^n zJ4VV>3)yt4R1?ck;LpoE`V{lmDXc{%$R4c4gb#qf2%$5cDwTBjp7dab)F4bceNrbr zu?EH&lceroSi`F$8cAz#pO#ZUOftQFut$oo_>h*lsVDygw$tUDo~hDXv@Vx-n$9La zk*(chZ@pcq1;K4EL68(0Y4h^=h7A$aOBBiN2;HTSydV*r(8AX@vG72cZ*zy3qLO=I zIua1eOkhqTJ0|i~WKLjC3@qFL3*3m5hXc0MxX=z_lO1<C*0+POe5vu^0Yu-I<=JEj z2%%kAc#)3Ye0e?hLsDbGua#MmQpF~@7iR68xN>=67Xy>Q5g}6vsA&q1gq42p=cE|s zEjp9nmY9)hMLNFEagVh7TGLD4A0)H`nreorj*LC%Nz9A1X-J`)%|MH;#L5DdpG7H2 zQhU{5VJw(5!9+Kw(}0j24$4$Mb1;hYNn)Mo$lz6`(u7862ONsl{XQ+fzC=XLo)ad1 zo#^o3mG<6Z{8<Ma!asSWT8!8K8aSG&BAkhb49)EXzU+B$@L{32>?H!~EXTqAjgZYh zhOm^P<v2Aa^p-O#pJWyHLQKvkizIMtoZ0xsCb%aA94yCiaG?zkEj?nBocFnaRX<*& z7iV_9lVxX;jFQbpY_4XrSm1zkWD%N~6P%50UTI5D28HL&#)qX6oJ}qQyF4Cbj{AMw zkaaHpRm)ZfV7j|yAAOg^fJ}7o^ylEVfBNc4FpoZB7<fa!YY9^|10db#Kjb8-ruUf% z@@5W40~Jq9846Q6b>Yv<8P~yhvo2dn7ztiY(uYmBdFx)BF|c)zB4m~(UAPgXfRlhZ z4?%dzAvI$Y0n+fIGbVQY$A2UdN;xNeKB)_jYXK<*cL-;|8~tiI2J|29@4`}eNXw|d zx&7~0(SMII>G|_+?zTd?0q^%`uG;?)KLu=s|EGz=e>p%0e*xJ3=5E8ATZZrfC;?#N zKfOqU=5-kaUJ`_xe$Rl*!`Ia0CEawp7N|@4un8F6@6oF@kv=o?CSQE=l<iHNtgF7U z3G+XklHa=fSy(p(;*%QxblRoNrMu4M!niu_|EbeqKu4S6|AUV@Wpfm%tjQ)7N{_%m znd<$;MV8v?7YSpbpo?1c!<vo^kjmjK5!zH<M=h?;V}4@27n#TwuOB9TlC_tpd{PCh z)}T!C;}W2{V3K83gpiBQiH@WZTjZn0!*s$W&A1Msl4_AAduwu&9np%j&cjQE{^#WV z$i!w8XUl+p$2|V$7;;1?s}jn82{Z@)6)XezAIVYV|7kVqe{c-~G?y}4Ezkp6LH)3Z znlmRXf;x<ATF%_CaB|){F!J9m&f*hUbX^alGi>9j3UUKpA@WjP#`Y$MDhXWDe z{@7Kq%FcTNq0!*$r0N7OaUohrf|$^PhkIoqN~1yj{0LA9jO@dJGwub%l0#v>*!Zvj z(T}To3m8<YTOv_jq}0o!Ycc6@(M~eLH=?1i031T-HxoC4vxvpU1*J&GKfxA)#exZA zO+4TJSXjDKGl__`q`&08yg0LxU|5F8c=B)9_`GXzIQDxS8F&G$+Wae?_TP<$>UV*A zE|9dn@DM6O`Qz#VieBHuY8Ys(zXhs7M8-{hEw*Zvbnr6HdyhdJ6=^NFWLS-4^D$K% z)~C0dHE15)`yBRpUJ3}#-uCKsmBbsL9A~m3U58EEhS9;uD~=!Dl-?>(c|x2bjhYcn zn4KM6TaNPGA@yIaU#6+eYbgQlIy(ML5m|SfDMXqGIw=<bO~rSo0b$B-%#~?gxQN%Z zGc+AlLDH!B_pCl&gs|*>rMi(sm!r_kH)^U|x^Z9$ynkPuIWjn{GnPU*h42)NdM4ct zW{IVSA<>8E&T!C%clv9oHZo6Efh$Z{SsuNmwt?9Air*5I#tb2%XVtZ(?DV@hsl#{r zo`|-=H`Hu^#1X1!2&F7gHDOe=sl{keX#yxjs=&LR^KQz(*U!+@3Rs!Jf(@CfDhxMu zkm!dh40rV@Wm-x^OyMp$l>L<6MfyfM8-<jRhk-Y^FcK76s9SWINYMe7PCNOhld7fy zoOjl?G^mC`vU7*9vzX)77LKN1g(AAv+~CkZ6&S04Iec$<4p&p<VLstLNV*_;b)+Pk zZJ1QPKE}1iZ{;jbG7My77;{`EROp(=%8lZ}9ZR!>EiIDZ)bdySn-CICm?c;&#xhxW z9NIWVy~IpHR@oex;us~h^T}}FQ2`a@#nT&PyTZ-@gzu$dxah}9-~DTUi1Okge)9}1 z+j+DGG~vuhCza5-c4!vn3dBk3hC>_=53g3lK9WX59PtyV?L7JL+{S;5r;d!BQF11U z6Z?Hb7L-En%Rq3I5q%uK1f@Y27CAF_XO3i*H-oXD_llQvqGi#dA&fpmAdg#|9fa&; z#F6M+QFn_XHN~MV#7VZ(ocMi0<Xz2GxMxTMknP%21eKaqg+acsGx>lz?XUF4^d;Oy zjAbO(#nNqd@*}l?{q~Ea2?Pok#1mX?QR9*fv(nI6EDS+izJy`&2ecgliRn;t%?7MU zo@^wS*Y2oDanJqQRqWocidG8lKj-?qlWel`F(04|f+>i5UaZxI7s$q*1Kz{SRxEx5 z07QOK-h2uUjV@6KVeTK*JS5#IgN3&Y<)Ayey5BI#Etf>Rd6cHhkT@I@bQ`1?E>s{} zLDG0G<3BIeX2tMHu?G<6+Cj}{5=3H<&~>d{5DPQml$w|CAc&wYSc&{_DyREo@>NX1 z9?A>f)VC|>(83^~=Q?GCbNQ{bz^DvR0jP8cW6<Z(FqS^e-UEh}3}B!ffkjBbO@M)( zH!f@KorpX#gmWnN(z7xkfv4sm{t8%g<OKHi{x61ao;UR+Uh#V=xG}Z5Jgjx9p#2St zVV)f;$5u5Xpu(l(p)>{FT7DNhsiS_yS}+8tL#gw@bb{`;2d099juWPWF|qT-03hJ_ zZYt;vf>PkddIiJxyX-`*-G|~NMQIj9kdFP$h2o7i^Q@-ftfnJI(4ayIUrg3rq>L85 ziji5>fVqk>Ry9hDzE!)c6s)2c-rK9c5?)%m-wFx4aZgKa@oBM$&B!jTan5fr`8tec zGnz6!Tcs9suzDR2<%bU!)JheA$U!&{e*{YWPdfGw>@MbKQ73R44di&?+KWMlLN&al z!031oo+;H15j$ZwT<5{AQ+TsK2wa7lPsaavoP~-{jY|t0vye5IjIpg?`qsM6gR&t} zF^qAHFebDPn^_&ql8db>&8S#Xvry&nR-D?Ti*`74up?bxFcB)_vVenm@;uFpK^tGR zGVvBNOa-rzFh=1ub_=5ycAXN=k*l!GeS}(iPB};kDi&B&P3_2jwXE2(aLI4OD>aJW z+~Si^O#EwK_|hgf-fhfTXJ9YQMXAtWQP)2ILnKTF63ttI#JT$nJYP4?h(615m24`N z?2oM9Jg%W|01O>BxTBdQnm)hKI&+j&xV!}<ciL+3Yx3ep_IQc&I2QUkX9zi_m1UhW zDPQ#M9Lyu{2h>9jh+J>D*Yz{PrFGxR7KPWD27|2Pl4kz2L?7_9g(FZr*dUo--&s;Z z&vKP0OL_8Tt)|X_7|r&7u(NWsrC23Ob_=gKK<EI(ZF8oKs2_w<0oFbQ&u4733D_+( z%d0W)yAMvN4=C28^yVzuq+nlI@`rYLaCWfHRmv6QEZTx#UtA%5X;oo)=E>F+TK0dG zq1-g}<!490s`<aF8_Jv)N8E5{8-1G~{EM`q+Kp^<NP~Q;z_2+2uHZCD6Z8onmP|d4 zhAdH7j#R~Y5h`891Xt{NAXg-GvI_&%c5q=wIO7oU%}_acPAmlSH&${*?&=H?X_0UY z?*)3YRA|R0U1$K5E=G(jwATK?0m8<RQj}ZY9ufk^e4lha8I>^w#t;wAB>4h+EJ|CX zk70xjb*g)1IGlO}9Q`##byGHgH+k74OY#ARJ&3|!9K1OkYSkPOv3e2@Z^dp+ID^E6 z_eWqdKbV3)4HlB<k0KEc9kCFbrf?{Z9F7J5hFl~%RLTV56pv^HRTNt*Wrekc!fYUG z))J9O&N5EvuPg{kSM&LyahumRuj5L5uVmT9>YsU9J>t_C-0k4EAXb3nJn^Hp$h$s? z?&gzF%E2@Ex^D2ss`cj-U+pTLVXKF3(GVk)XXvG9RZnv-q3J(Yd}7~_MJwAcUL%At zpn`~aN1^9t+4Mr-ITEsrKatq?3Yysa{*8Nsw{C?lZp%dY>+rYJU6X}qxiCVfp>^IG zFeV7u*yGi|eJP?t0p^w)ZyR~DUG*Ou{5Y&1IZxG(dwpL{oM#MYquZy~YwaHL>lNrW z7o^yiZ^r?=c)tW^|L!*Y-R*(;8v!-&Xd$Zp5+tZX`;}#}Exh?NjK8~8GzbUqF#6z$ z0QRb>yWyz5E!)uPzBwDoiKiHRPP!}V=I}py4#}=<6+rhX8g)%;*DwOs4=F<r*DpPz zZ15Dm*NknO%7B1P{DxHyx01Fag|7aqtm<Vy0t{srU;p1Mej`|_4ld!VX~Kz%k0-MH z$ulksV06C(=?a2mgpH5dJ-7o>CYtnqyPj05mNWM^CI7#wiH{)iX@?adFGhzlO(Riq zfC+unWwh~Zorx-pKAIZ{jl8t&Hq^U*`Ys$|lzM=UteI(C%Og|?+k5&iMc$OfW{r$r zm0k}sOZbnz5l%wy$@p@)cuhy?FW2RA4)Xk`E|arSKXEdC=@*k3`RIw#1Po%`yp?hH zUV^N>;kk?Kd%1I@s}dnMJf<Iyk1+P?0G#2!l5-9(XO6kXGcx5Im0$!nrDX&IOk+T$ zxfdmfnTgh^#lysY8h_|rX6`EWAN_C5!gV+Hre9V3JjX-fN);_LEO7Q~L(%V}_78EV z&$R|S+|?Z(((#vbP-v*=K;Y{Q^b2XOHCJvGhm;}~d@hIZYRVi3qzZ*QO17Ut|Ai#< z|4rkcgw4=A{az1BLvq1knmq7dlUW+XrBi-C)pH$6N9XojI*MZjt1JL?eAcUS|DSZ_ zs8p$f<@sC$ycvp2$-tHz5S*hz6$Hk=2berP3@JNJb;?P@dd;u`3M;EQWUa<NQ^K&V z;(^84H%Rvd!+;l^i#$?V1QJ_SJLcvEUomlMYS-ybS_&}Grp8!bL2hOoVpt3erpcm) zs3Hpb@EV-?WDd1m(uj65)FdcsT77`WR`XI`B`md>cJHROLN4l}6IC#n&f((K3#*MH zl*1^osOQ*B&=hW_T~a`WiE(g<#9i|U`a7gBj@mSL6+p;;r?32T*LS8b7c0?|hE*Bo zPURhpB(}MXFrxrO)G7FmVe?mQ|FeZD@3LW>EGd73j)GfYGR&>UiXVI+^EVy}3aPOa zRY+k1smx`?SFY}F;iB9KLUKGRxe>z+W+qIPopwLM*wWut^TN3}&=OTJK}puDvK%?; zX_RpyrU8Q4dZD4tt-s7VfjyF&=1<9q7`|&xZEp7FM&PSN02O%4ju2}M0S2tS5SvYe zfi<(PqEh(nL>-=ZRXCru#@b*xE(bvHuvknfDpI9zZWwA<S2|fPJ^VI8PD^4}<k>5V zoDiRbqEr&t5VrFLD?rEVAj<CrHiYFvOz~)S2IzpjkA;QNJ3$Nr)0-B6%^m~|)9wZ@ z5UX7xk17)Vk3ecJVlx7+ASFRL{#-5xumZnyuOqu(5Q4At_sZ)Xuzn9Z2m3Y7=r2dj z=~A_CiNZ2Fx41P$apL+@41)T{>P>X<l*0F(6V|xAV|OM@6$irnKU1{aWA~RMa-#v@ z_o<iLtdS8)VlK4O_am)Fm(E1C)Ws8oJJ3rQO*D}bb<{}gHWHS1oJwlK(PH!P+Fwys zq}@$wBD11z+Fpa1_SC-0z7z3}p^Xu9$*BToI%SjLcSN^lIt^0IcziNogG4(a{`B;| zj}*yixoCKeGI}G(d|>SG_kO2k^ud7Mj5Z5Y1Ho*>7zf1@h^9znmMJn<(<!oz7;8N& zm6MXqhO-j_MUt2^<&<6~gZV=)F&>KvEG&&g=R!fOttRL_<qT3iuh3uwRq@GiJev^? z!DO{yEM*8<WtoAXivyFEBN`V9h9VTCa>@keLu=Ts?L=Lfi6~l~N*N-Pu{8jiTlaoz zaw5J88^Ld@J(L3ew9sFXvcB$<<TIIi!46zknrN(17YBhuH-Eq18sz-!N^;-7J=LoG zFd2VM&d~3P+-kMeqUoaGHJfgu`2Ou@TC*(n)`>V7W^%O0$;#{PEm(sCNLP>pNU5}s z?>ElQNQcMeGY9qtr>eO>Vt-@-Cca*e0&)+;K!459q1Qb9gEOA!21ZP$6XQ;pmd!*= zw?qZN2JTaLp2x|ypDL!tw>ImD+|}Ze(r;*uIHmdlK4y;Mo(Ix}{Y-2o_nu*mk>;A3 zOgCoevb6zkMXy!TF75iuE}OHwn0b2>l%fm^gV;GO^K6BUE5`@yljQ7xKiZEyrdF*( z*%!!j_NDy<gdE+{F@}!;WNm4h-4e(3wRr_bx8Gh5{T`Tg6u$_V`qnvvIQjLaw}fKS zc#H@*a*6tdoV7r0kFGwhsvC1|Jh=>3$i@Kt3ST{pV+<}y#wxxnc3BC;mZQz#!>POY z&wt+Y3@h{sHt4=y9$8QU5$Aj>_a}!MLP5OYyZ!=%k9>C0I-LAx@o(frrGyUqJA-E= z%S)`vgzo*COrQOi>DaMY0=m6__v-ZAEDnlbEGvhWHiau({i1{W{5*Zq{_3lHe6<zn z&O~GN0(1opl}@&aWMgPz?S!<+lrQDy!PaWp0{WMwir!blm&?@vKh28rN5#1M{j=xO z@UbY<%~*;GAGNSv2C0>gR4^o-fwSn_&E-C9HEcf?H1+jGYh_)zvsI(qk|~+%3~vRC z;YV^bh9Z56k9ZPmw^o%v^~CaF3HvAX4q@Gozc@sXF%YClWTP#qYDqRO7de`xEI;y& z1R_L}A-gIKJ;JsD61L+JTIf;1tLN6=L%|;C$o;1l^Kn1g$$048$O+Du9sd>y{;lx6 zQ0kEPb(qKdY4xBwv2uftmc~Zl_5dN@1(WiJH^G#kGR^gD%T;v(wHmvESA{l%6W2#L zZE`Q@JUL(|%Cr4F0!0Ag#^<l!Ve&{1+a$r^Q1RXac&!pT!1aKC@GMh}G-#^G@(@m- zLX==Zx+<`zOD2p(OOj;TdsinbBZ-Gnsw%LEDG3F<dW<zmUcX&F+w)*BL<O&QXO)b7 zOhnwH32YhHFvJuxIB|I4f<`*R0!LBN(JTc8eRGo^3OzbnPFWmNm`TLR9tTO$UZ5K- z+-)odJl#nUV4(9+<x<7tqK~!A6$v`}DB0AQ6)G|Y^}<%>u23LJ=PAiX!u+B;|A?e` zm&_n-cm0-jD%;+Ndm`uXzF_Zkz_2RYHmF00UZP`|Q3D>_M!(oLOxW8=vQ>kEKO3#1 z-_Y~&2`MP;V)31kS1Mr5YQ2AEXrV5s`T^pQWjt3NAOL&Fn%}4pN6-Yq>)28>rmdJs z{tRy!S~dn1leiy^#Sp%}TH*A-IlKxZX+4Cx`}Tw;>xBzBE^hMm#evr`m@SgONMyOG zi&MT-e)!iAFQ2{(?2tuD0jg>x;eMtD$E;_P1w*|P2YEo`)hDGlE^2B0kCU>gKNKeA zUOI{_;KZfNB@WLweo4Qa^luWtTWb!MTPfz__vcfFM4$Ex_M#31=;e9fDvbzyn$K`Z zNXSiqZ<zRR84U|WQPYeOlneO=?r3HBT%M(BgZ=DEU6<6BuNCd7E-jQzGfOE<y`F*6 zb|D;u=KQwo?%G5T7{$AXAx%00i*=57rL+h^K!{@I3TqQ<HNr<0<-@PIMHW@crVEm( za>P&x;1*Uv4IHv1Lui;UiFfbzC3;7`)u?yJLJv%i*a2)=C+*9}zji&jD8YH{_4@BW zJ(D_c=m>I@v}@n|Q);TQb$xQH%EnEFP*nmoo|`eDQdhvMChWVf_Z2%oN`4Z#xdVRw z0Pyv{7N-1SkZZ^o7X?~%bT?)HIP#X@$bu>%7WA)pj*HG48e`eGz-BRpjvJ@EmfTdF zR@+l4jFsGJFa0sYU*4H}P2q8%1D&41P}X)(s~~LWnNqVA#~O<P&fnElZj^uOc`)<A z^icmf>cuFC@!9$Do&J^&<u{{?O(p$D2!Q%SOydQ**QuE}3(Ax&)d=8(gpJQsNl<9m zte+*|oaDk4u#n;Z2b1{Gq<mk``6N)6iN3CANfYh+z4H66KVh-*C;abcl`YIln&y?% zq?J@lj?;h{u(8&Qs_*AjQcG$=hDxTjr(fqVMsQbJm=5uD%4w~*5gldbDD~Bb-vH|F zy3{qz92c}HXs=D<Gm?e@y@F63>%@rR(4iIw)W$p$tf2iLU2m(PSk@Jg+Q&KFu-XLR zCZL+o!dKsnIY3&*0{CDy;<ZbiS|8e>xmP|z21($<s>iDpkkwU`pQu~UNonD#i3&Ic zxFtkZ$(PF`D9O}qG1I?y1BxMjKLQ?^`K}2q?Tn8o7QxaxgAfmc5Mx9xMJm)j`d^!M z1D|+1`tbFOHQ(<`aj<j0B5zX^;ca#iX;oqnm6RgD(qG60si3(m`mNfM$?P!G5|H#V zj!LG%&V7R1{22`rRfE~lO5;fkIxs|xR2Lo%+C`S1JCgDd-6pSL;HTqdh5(SMN3Bud zhC(R$dW>XKZ(#*u!p1+tcHD=-(tk__du5bn`tqCwJFS<Vy<xwD=edB=jncS)<|Gjy zDq(xy7)l-1U?r{9QE2lf@cJVK!NBa<JYZx(pv47MPvVHmk5J{p&kL@y_q3m6>0woF zA$1Bnw&s+~Somq4N%1)llLEH9-(eP!en~}o90-d3ARmVvW=AhiXU+?y87Yff_;fNo zUy)4*&5rq+wH(Eph^0d$Z3qeyhO~nbCYKN^GZc5JTCwE`o%*G%uGZPspUD>`&H2@} zb0>M@n6p-9PxaW!cp~k^7iP`>!`%RH{O9Cq*PO>t>S9X@U^seJu?27m<$oO-#@Lgm zuRGv=YG>do##WV=i#Kk}f%n}JGHl$?W;ah;0XhL9i|;m(cPazl335jo!J<HV%Yp_j zB@&>3I9BDC6J-2*l$45-0{+SLl5)7*(1>Kiug}&;4bAR~2=q|BuU@#}6={vjtU0ZO zTn)nVhqUSR)me-)+6=%c@5SLN$n9Vl>O7=as$TKse$lYiP(sr7nwRdIuAc^bOXk}l z3G?O2GSk*{YW72!aoZzk((*x(X?ZQEV++KOQEU}IygN!RAbh`iP()S(gyr2|wF{yA zCc_#0g)!Q^Dqn1E2k^ZOdSwf-jm2~#pk7$k4~mLwflNTI`>Z~s4G4ZZANxRj!wPVR z&r}TeQ64HhHH$=+Y}!CBm!IQvnQ!9<4Fza1Mgg)jx1Xt*{Vy~ue6Gq+!3g3wF!N!v zTgufbCJOV+8s+4IJ3<UQ0-EP>Z)4765~K#={*SyMys@4%1N^Kd-@v{tO;lQ3sK{Q+ zapkI-eTxFfBFaB4l%=od(Ny`<1gqK1kSkXOMIm>sJe;snw$|%;%Es|f&~h+Oie>1! zO_#0o)osvlU?BrZ=VZYv62e}&jHjI_oi({#e{!A^4}ann*$H3Ec=7pkA+c3C+d!5T zBN5LAg)Y0;(6UE?1v=v^>gLs57$5$AY*mI*PzC4`*DS1xE0*DsiOL=pWGWL%4jA@X zIOL`No#;O@Wm{K){nN~pqNg$At|+P_?`J`jOoAWTqedjgjprt*!)04>GfSnBWP<n` zqJjm*rqv@9zb*FadE-Y4x=k*16=e5FjJ^JjAjO%tr|-@1pEk7LK!4;xXoGpqA8h;- z8`uD)N=V*pixh{jBQDKkF<F>wIX7w8qH^zOUq;xc%)YqZ?@m38K_9<>Q?E`ueh1*5 z8PP==e3jU$f&+s^=YtCsw?aEvuSFX*d0I+nLP~VU#&@vC|Fqd_Px?)LaBVK+bo0a0 ztsgYnUFZ@qBJ?LWzI04jCb%vPb<8O8K?wlV#3a8=M%Zjo930>B(}7deQ*346#B`x# zvc7=-1ht2TfZ^VF1NWJ)a>VrUWV4?Xum;6n4r(LXc{Zi_Ej>e4e7dcGZy>D%fxu7) z=txvb5sixP=k%%)uWn$9&@mxjcD&3FE}G(XC33XmCJY4?_kK2e6)3+kuZ&GPl@0JC z7BdKq-;la|G)x?Wx&ndZz>c41WWXBlrt?H$GiMKnb3lz70*y0qE{eYB#w({sAwxTy zL)(Pw%Y*muZOC4#GM}&^eDL|hnhF_tV84R|rNdadYA*_XvoLx~*Nt%EZfoI);x{%e z*Oa~`>fB06W%e;WR2Bfk2s%MArU?LzwqY|425U;RkqTvFddPtt(3#!aw8Jf@I8n}2 zK!ibSc%HB<J~;tl7X%+9Bu7E~nF{*t<%%0O&bl|q3d{~iwxZoc!>0r)^W9KLyo|bD z+=l^?cu>AIAhz2NIR%alkVZLF<%SHwwkpMZV`74MoCfE*a^X>*D>8_DoCXY)?90oE z<*FPh?(NJHQoqXiI!B*tCY>GXa(J;AAk}VC^I`k5ksIoX8YROj&!nDn@-t$TrSdee zW5f(zKkGJ;wlw0uW!HaYs(<B_#oaN<_;;-F!boK2dy_*Z=j6-C1unqLCE{mo_J`Eh zvEl9`f4dP9j~0Me1On7HKd^mD1Rf!Ln|>N;uRY2_!&KFd@Jao-+ZsN=W>G~IT}B|y zi_f<s%70gGK|h$1BviNhd9$JW_GLE3{5V3+<O%xJ7U*rz7?A+J!mDnKau?-c>2s0} zrI{ZPZ~V8ro=$LTDYIYd{>T2zqQ*8O_Z1Prm1nqa`2}EYoRN<F((MnlzdG)93-zK# z%o~17TTcKh^sH~5d09J~DhY`?655+AT$=J88UFMEO<K%uR4e*UXAWOfqZQnAaMbM@ z_ib-)lF6QOpe;4*kTH<1Kt=|4OQ-6J3|~*md7D<%+8YH<^{8+*<pACaw92W4uW&`F z-Gfr`1yGOO2FB7Wf06%vK*EpWinO5whl)Pa9^uIyx_fR~3;-<X`}D0lM3#%X!j4_o za;#JQbVb~QfN4S6q&a|tX62AgT>;xJbgy$QA$-H<A|h)+W&Yk+oSkiwcpp%tOKjbX zY#0M3PhMMABW??!raI``p}%CG>i-SbYW%F#8&G3j54zD{594<|G&zn1Cd2G6!Ggxz zU8K>Cdr>XKU6GbZQ+Oge_xv$mk=LE32EMI7TNShu`_7{U+0|*K2HwljZckL$QnRG( zdR$)KXy4_DuJux#3Z+Di{yhcVpfzO=YVL`yPG0s7)1&&k=xTfTt1g01eZ~`S38<M6 z5denJ$f|uXW4kOUzP|nE-t_H~@OZ|y{hfuEbz!&W?lr9&#aI&9uj%cb%}XTcUQ0ox zkNse%;-BRaZC(q)`ad5Fv5@g1t#XLw4n)8k?UuoDQCnDCuX~wT7gwXNr<q5;a|*kN zZu!iUsd`d%1n_gS{NN!ZtYscv1F>)rjsk=en073lbC20riy;0gL~C8bn{{}32>b{U z&E-_bReP32<W3nu3Z+CfJ8epiY&=wv8QEA&r!c*0kmPdiXdBYNtgCRM>|_@=N?CS$ z(QM}1$=n~a%O|V$6liD>-qt@)>49-CbTMEFEOMC^7njy)g~&CyK#%XgnDA>&m<E9V zf~oqh)gbLbiEu7$TMRPj=d<MHdYm*Fbqn-xu0off#YTBZ#}U|$L{qCzp*SIto+;9j z&nSV4teOq(7<pu}cJ-zq+dN?~XUpgqBIMfoOs-sH%oLCF7^bjkq5Gy{w%r)PD{6uI z7OkuqTCQ)i^$`~D)LddOMK1L-K@t$(MjA(8FNMdmmM-{!v&T|tM$&x;hMle5l9{KR z-hqdO&?4r_5A#wTbb$|Y>cYEgdig~B-SLNKEywKTTP}!Pe4zanXNpVq4%Fe#%4>p6 zh`{qQ5b%5#G48?PO;#TVNieZFUIXKmmM+AxcFdoftf0m(eD(I<f{|gy+W!EyV4)__ z4S=6lYgL$o!QBGVh0%Px(hsb~F-8}Frh;uY)Z}t{0+dZKH4M&YgQX*=Xfnl4;y8A| zHDl=2FepS4HG!XAYsS0d#v<hcWElpbj@HO8uu1H6<rTO#V+gk*EM$wvHrgIb<k-NJ zDzIrv!s<QG0W9QWE+Ci>4wxyYP=idz<5M3*4#i4Xa8;L1Y>3?kjUq;8AgV@gCw=X5 zLZh%F*$*5+N=We5%B#|c8ol77!q8-A1<^F>F`4g*fL8^@>XW}^t_-R{swy4u522=^ z?C0_oF5%rP7N)Jboa10i#4D}qEp=FizzZ|6Xfmo~7+J?p1UhV804(*&mb;flf0Z)! z`ew&2Z((#lay1v}D6+)});m}lDM{H_{uqB?Z&tHqbd($mEidQMv@pn*2AV+Y;a=>w zr1jXh*w7hi$=lHVpyR}xTL`T3RMqRmykSM`AA0?xxdw+#BUbBVR4lB@olc!uViG?T z6x8AvKUmK(G@4ev23R?6@|NoN?DQG{s@2KTiq&_?Trmone$Z@>^MJg<N?}*y*Q$&> zl?Yb?LnrczV!>_7txz9y!IHqBserKLgcWzz>J&!87Ydt`7K0Sy!iOQqazuAjLU{3_ zLZT#*V0;Yo4>7fKcpy&$j*MKai!U*dr;ghcSnkuj1E8I(0KvZl;Sdf3PK`TuU2hVx ziU7cQKRn7_-*c^dsCJ|EjJhgnB(pw?iu*FOxc^${GPM2zA_C%0quY5s_u(o12mvYj z1kcuu!IOe<P`{wVy<I&)gz(ZpiwVmkS%y-VJTuv*L@{z-k1D#|+ZncBAS`(Eq@+%0 zLGjMZkpAI2z&*?Q{vP^X1xEY2pkbcLwoR2=6)UE^b)W3aX5o{b?~Jbwsy0X<Mr?@V z^*kfXCai?=<{7#~rM%a`T8@6{`@93<W%6QIE4#_vgDS4o=Cf-=BCW-6nR-9l2nNJR zmr8|uxU#-xB(jDX2m`i6asY61hv9<QmDz~M?1sET0OL>tQQ{bAIlU4F-c+0OYtfQ; zqn&Das0-L^JLDksgmPoZlH?J}YPWJP{QwGLVS~hy9C}!-R4kprio>qlIj9{v_g#3M zjp3$5`aoE`L&qxJd8;Ao`GOTW@_Sszs*&AM*Crgvz;f4JWFb|iLeI%cEO_Aj`WEBh zj9da3;Qk)_&xU+#kXFci#$pEq8<Qa1$hc9X85^vj(<RzW&j&RMG2ar1{GEz9gy3(m zQei*TBsr&zQj1Q`8Py?bwR&&yi%A$&%5MRow8v4bx7kwk-@GKLG1O9J*7-X!uQo3c z^}6)TTDhUzhyJsINcl2<Zv)l_P%^yif&ekLgsNfU6p?cLZ3C-KNL8X_=p&RwdV5Ve z5$dF<a0ds&V}L&d9+!o$lET1;q)We7AKierA#V*CC{u16jdxjBuhxZ;*THAm4w>cl zzA-ZnYh8fc9adu<M*T6%?M)8TwU&C?rf!P$qIwF=FGWUN&gv|W{Z?i6i{_iJ9YD=N zy}i_`8_LUwdrICu+m(BDn}Tem5clrOr(3`kH<rTJ1uteTrOWW|gS%RFd#YRanEtnT zxai{EtJ-cPZMuV_){hRA7Vh~5-sy(7kZN@_Vo0=pfzG$r?fY)7+kiI?p+4>@0FSTd zW`pRYd0s@2yX*lmc+@=!z-i(751_>y%}$wqIXIkSN@W2`NC0abBb37vT<DB_j{efT z<SNklM?>C#j#KaVO9v)>tGg0OK|WY)JY?_r<!!@`1(ZqO<eRY}`vmCeOU>0BSNCn3 zao24d4s$+1z9vZ@OULpkBq#2+u|Yp16V{JXbYL8I{W*TKvFHmC^9?cpG61)&dPgjr z!R$}sqGzNR)_x|H8JhbU>9E`XN^3iRKhI`&MQ76cPAYM?4-kKQ;&ODbs^>-pw?NAt z=Q`gvMzMU!929rR7mQHH@FMzo`T059&3-I_X!3`ST<I8*{*Vp`ZCLR(p!~s;HjSMw z5Jwk^Srvnl4&YU(3FSDod>v{!wFqk<yGI#P{cf1n;G7qWk}j}F8;WTil8b8$i@#RT z8um1wi#HVgTDim#lR&UeA1$|zk)or%&M(LpYllk8^php5atN4!p7X_Htq~|`*Xg3_ z`@mGKM^x~cDO_V$fl&+xOsaXRk$CkQZE|obfH1kKD6OVSDcF`NPw6a?6+xQ*#$T!y zBui|^QuVIc_~B7Jz<oqvXFO#!!XD))E9W@`f=zXbNU3)zH87Xx(h3E~A7P@8i^2>2 zBGfgc3)F+(el3wxr@!I_KWwXLkP4{6UbxC86l&Mm!%TTdp7oBM)QMuqjF^}o(0P4i z0s_ZXD3FDPK2X4!Rhm-*ll=#!v|RLu>QV{j52=%(3yA}~ATn{L^YJL(!7A#GI)cJg zUHB79q=^CpUWy2f(R!)XX?Pe%Rb=B&QFClS-}XJ_K+rd(L}Z`cwY!oJRSnCIyoef8 z+5!W>y43&Zo!I=9)<E!HoVl>s<~Vr-K7YMj^&-yEQ>=&y)0f}Q8ZefnA}ss_dFera zU*=m2CO}U9@K-MWm|}@Y7U%SR-Tc{eB11Q_R!eaDLdZ6^gh~243oe`~;(lNtwiYo2 zQv`=ud`x-p&H|PQC-a(%Ia2@&Je+q-Vesy07>Lldnf$2c5U<w)*4>D3w9Nrl#?0>l zFi@^MmD)a&fOeZ7Pbt7R-(PYfF<q9w`}t!;YDBHmL#j<Udg!l5(}OKPLqygp=qIZK z(;^$xA`NZqaq*?2Ichb^ycj0eTHb0{6&%RdTbgpLsn%M?im{lII2{$}h&3L50di86 z0ow1`Yr40d5=t+L09>UQ02}~wSKy<t59=??okr`dAnZNjrKm>L2AhRXUh(@4(r3lq z3W?>AEJU(LwNW8Wf#bN(oMHR&HFx|H>0o+uImp$l!Fm=%Tkbf7vE$9#97V(N3>k>8 z>$>;1AO=1!kmr-SKaYbB3D;n2zTtJL5N<IFNhs2d*05kSoS4ESqv3#Eh^4gJe29yo zM_H25|DDRwex&?txdJaEZ9}!-uuu!9k=zTOS|w^WS9k`q585IO!s6fuW3cgt&|P_i zY012XB*3E#*S^$4q_bf&8bwD?qdFxwX9~r{%yMkba%nO$famG7HI|S#fj247y=w0l zRlIr70;nYM)K8_Re1d6AOd7n>(y~@G0?E4~(Xcg73_h5x5?+O5KsohZn3(^H$cZW4 zzckb__JgANg%xjfwNz9>p=lpLcsk(?BqUIYDknN!qRp#X$I^~NinZ$2+I@N#^m$+& zueBM~$T5Z<43yQCYaO0=Nk!!8?U#U|s(lM93w3{9Jc$HnYKNCcO?nEeh_p7XUIs(3 zG@2`;r`!UHgKZ3I*1=&-O}#6=Sth>MdM0(grsD+4I*WBK<l<FNL|1O7Ho)Y8gdSA7 zkox$L#7bO1kYH$~!>=xbo6wt5ffR9f>rdnp!I&lSfx=J{OoZLQ1_?8Xa(SZi0|ru1 zStG9wI4XB4LAd?z$0>0HicvNx2XMPF2=*pnxWzqSoyO|MpuAWQP?HS6a?fZZVR9dx zB+SQ0&kZRX%50Ni-JM!*zMn7iyO!;Q=wpuuFj>}_B>(#p+diEfj(TP7{`#9gUr#Kk zBskAM{T%pkPxo@iH*08fy;CRz;NKA9Mj0Y0ouxuS^E0WtxQNj<9Zi{Y{8<+WVf%F< zd#`(PAn<r$V{PZTeHj_R!|QUF+TY}@ImZ3@z~4{Do&iV#Tr-Wi-kStu!~p8BhuG5y zZ+fezzDTCNl+!*zsp)3mFkc`uU=W~67L7-^d*e&<V~dD%JX}{@7cPkbu?v_OA{SXl z2H6hL!HT0juU=`Rbmf1y*JEol4ZjOrUQublZ<>ERKM2P4x^3Ff{IUiBDr@88Rbqu} z>iV9oCEa2+9d~0#Q!PncA?i)1atEt2IW}fq5-KJO*8fNd(Lm7J5YNXTBR3!D44d$Z zowJN$v0NB$jB9yAR%^xrW~{597(G9TSJN*D0rwP0KAmZmPj?UNze`N%pO$wnb99oR zjFkQuGMy@!r4HCY_uzm2oSb|wKQlUn)O|3yFPo6QEEWZ6q2J*xv0EWt)Uxx{8&7FJ zJN~M0_p4o=hJsbCIOzYrKL@dEo%UX^cHc5*%<e{yc+wuf>#2JT_z%r>foGz4S-R*R z(s=<c^{HhEK7K0vQ}BBYl8k@@IMkFwgAbBV@5tIAUPvZnUi9W0X=Hf19czFuEWA&8 z@1728dHRr{Pe_RWqqbAIDTMBPVJpq60)nnyH0oa-s9K-NJffBx<hS=>b(U(?TC)Y4 zN{<ZaT8C9dJpdCPxQ9RPv~r?H<B2sphVx8=yOg*k<*-XG%CszH>*9|eC`pO9<@E!f zzS3n|7`0Qx%Z(|onh1O(-3sG#>G3x{rbSA}J_ZSwS$s(-`x6YPBlA%BqfDsHQ)?{a zu!rH^NNIYLi@3xaJ!tF$!6@w;JqO2ReAX!aBM+3Ont&|b(tR|sFW<aPCMl_vhS8rf zvC=XL@}O7x*VErK!ZX_4#WGFe<jgf$-S~u3G<t5ZQxiwbF&QugNFW>J=nU`-)1pQ< z=~!?Uxi)>Fg0c^zukn_9LgK-ThHhG7(%qJe$N2>7MI~(#%g<$6VKr2K`y`Lm(_nQ; zB4}&ejshTP6Y{3rz&CNn@az0$_58ac;JP)G*i>~V3OPz>%yb1%<63C)Hl!I?j5Snh zqj8MDHy7ht2zB&ji?dSl19{%Y**bO8$B|cg60+(c;|$UrEE^6{o(!z0Tc-$lMszaf znaYwuTuClzT+gGR?I357t+vDD<>?NsDv69__5t#VuQPVkA~QWzd<9uzO~oh%uW4)g zal&o_(QA><D-#xtL(c>HOkdeM(MuzvkbPk=9d)@kQVud&BM6!qwXYfKTGr2u?u!c3 zw$E+`CO0n+U9zUSE%fO%jC#MB%IY`;Gy1IB%B<nf(sJU{(zSSge+gR#Yxpr;x4;+o zr2r_bdBuJ<;4v(^;AT&Or$S_c>nJH|oq+0z{07(cB&iuIB_6RfY;3<1V+((DT>m7= zaPzx!=qwwwqO3a*va5XQ`gQOT)bIQr!f&`2@`pKy#Jh2`Ptx!U%FYg8wW+<qsG^8( z>fX^_RBnBrj;*XqfS%?EgH!SF0t?}W*9>40exHFtPcv4~=8CtYZTGM*`Q+^a8{c53 z18O5DpCrz{TvW0)jD_-zn7+Fnd~=PJ{=jKp*3ZRKBh-L2_;aWFvsS9)b7!zpXh<eU z32x(Mzj03llhAgp%dn?-UP<D2T~R*7`#5at%;?JKlr2lG1A2YTevUrs&X`*D5h`Gd z&S!UZO%335^HA@bdj2rq10&`VS}MBiUm=YeeKYcL9C46AeBxQmQ*Dt6nfbn%F=^k7 zgbtskFu8^|Tj(o<)YTjCw@_>s)(kWWrQj52<kTDA)C5~RQeo07a(FI4%GQu^q!>H= zP9QR83D4<*A4{mETA#gPPNY7^{R1!%E$u-rYH4p}Nqn1uOA>|M-(T<qeKzEqRBb?T zik+cV=T?)a&6POHr0JVBS2-!OSJG0U(n-<RGo>Wd=bciJzckV|*9bNmkl#Hji7uWZ zfE@RIJH@{fgqpwHm5e7mPuS2ZLK^<8%pkL}o^^GILletM?s_T9TsT$o*bLCYeBs$o zuMH04Q%vn;r(yiNYJ=JAQ};RfK<iKCH0$RK|G>2QwYVlkr@jsEU%!;;WlEY~v79mL zQYULtCn}Mb?5vk=Y|TXVF5=7mxenoqs|efKNnHM36q1KfemB%XX0n7Bor~<ZCcMi1 zUG5;B*z5&^WNMl7IFcs|lV*S&N_`ISU+my(F-67=g)xlk<Po&5Bb0)ptOiYd{zSYh z3kMEcz0fHHC&v<^Ts6s0UQXI8B6X-uUQQ&Hi{y;1Is*npH4+ry)$BKAXb-1t6m_u{ zb2{WCO>fpWenmmw#~TD2Bp=IbzWIWbg3I$<2Od(xzknJe%320m3$p@9hOCv~dhgQc zu_TvgQ8@idU7iglE_19Lwaf``2);QoiSJNowS-Pi3+C!f<Q!F}Gkb5=TZQ(>)Y-wN ztpD{GP1SN!w(aaH!%|zx95TQx0O^@B37aubz;rKj(ix=^w|ppL)HR^_rFjpVl;t-Z zqp1bAuHh$Y{>|u5@oE}i$RCrqd?q0b9IlZs4SgM%J6|7N#<Q+J$$v{Ww$K)jKCPoE zo_o&F0vkM`E?^Qqh%V96SywtdPqKYw1KfImI9k;G@|2)hPiS3xJNj<pEFF)W6!AsO zveMx*SIq756t6}Pbqs%7)r_YU6kvtCT3g^6>F}v<=1$j@BiRmE5rkd}?1zW@e{eDP zpBm_<?h%!Jzu&|p)I1_C;5)f6+B4sL(fj8HXrNX9LR-yQIrvG`*xs#aWp%DJoZ z3EwfA$Tc~+zj;Gfr;Krn=2b7#t;$^g9-vELm>gO?KP9YG#5;s>uMVhFr)tdsceHGy zfY+)h@B|d{TE~*FRCTqf=B?x-_6Tn!)9dF2>;zquvYgbo24n~+X8iuR|FT}h^w-sV zwB8a^94|+m+EMToy*Z#?wN(H6SlxCqV~Ss;y~%c7UFe~dNNd$MstFlq|ASV%zPKiM zt5vwV@D)-Ilf6x(8fE&|v3=J8(3p;Id6Gim*a+|dzu8&||HF^A`4z=Tfn|M{E@%ew z`i#}U&N;8MTm$&ZZ^|%aG)LqOe@8iZ!`OHGEV;e&)iA6LmuLCpuf}4imVEptH5%<l ze}#IAF{oe}-tr@-Gh{&EHl<Fm(xOqPv}qV7P+b*scUtiK^|V)Ov|ngO2;N@nGJk*L z!U*sYyEs}Gc=L?JyV;Onlu6ko@{FbrOMEk<*^{`c@;f@Cme<CTUKLRn`KZz-tp<?$ zl&tj^f+5p+rKhY$k08>GSFnYBS_c?fayP6(w_g+^@$UiOYA|MT;79wfD$Pn1Nb~-s z^r}ZFdYPg<wNOwHwOWxPxJgfTQuAU7Ds=$q>)f|c`If0rT8iEM(8Q&~&_weLba%+t zT(U=4T&^i5$=Nez5GA6xSe<`kfq4J->e+?Gr2WOV>thQ43cr+(|LWEg`cnizfdErv zFGyn^75?cy>ZM>E{uvsritrA*T8i_GUd^K5NFMR&*Dc3(MRmqB+pw#l@)^W=1z>{v zqybMQ_|V$@r3Ui!QT`H#!%V60xX{0Q`~4IA150S}xH0030dt^tE1C+V=c(QcZ$R&F zH5GUd*bg_^0i$;tDyW_($MeTP><q<O=uFGxK+10bh!mUZe>p;m&CtIbBgJMbkg^;= zU=~e-_JEklUs$4k1j?+Y03f{+KkhC<;aC(0Q&R7vgHu6Qp!x@u0HIPK)Gs%tz+h$O z=C%-2Q6(9`2z)Aq$+JQzFhMBL|I*+6`g+9S<8NdfuorBtnIx_|?Z+O~GSCYK?P!mO zC~<TojB!_2J<7gZSa0T^a6MkdI1G0$RGF^PG@Rv}=}jd8D2t-2B}ZY`pCr$8dD*gT zRT#gN@o!nYx(gcmZTngXY+bbd-k+_<jwwb1@pzqfC@F~V*Jm0>@p+n_E6S+Qvo7E9 zX_~fj7i$M>SYKsl{S>m}cn)@8fE_V^n-Bino-Li2)+#`$*}}4|ws#gHst=GhIgvC? z><FqC?}W+(95Iw>d9VNZKZJc{R2@OHAP|Bjgy8P(8k_(L?(XjHZi583;4Z=4U4zR- zg1ft0aNC>Yd%OE~&)NM^UD`cO&Gg)+y1ECcwoifk?2wk0rNiK^@xj9cz%X2XT(~;4 zl(?E))RbP3uq-(lKYAQmIV^QgT`ez78Tn}6-lnqT9ws0Uys*h_7&Ikpbo;8k_gti^ zujF}K7eZ~Fy*PIQ^Ppy|Y_t*Z{8gpCU>}N%u(TL%uvkSgCUs)?ouoPt@G$)BbyR%( zW506l`y0ktl}O$M;Cf0>!uBZHO`$|nR9a-2p62CD+~--#2%$1{Jj;V-Gwe2o&7GZU zodmA(>~t4V<l;n8R*O_6WE#~L&h_n{x4zJ)kxfvc_ge+4A4}*F;X|GMh+-GgnWjXk z4#9G(yrHfrpQP@USG`n$!8%0@9$JyO$RUh17v!Cj>yx>}iQ&ptEYo+Z^ttG3E)yKD z$@Dni`;xS+hVJjeA>@0M)*5k(Aur6CGePwlC$KxI<>hZw^{Xg+v@VYvG!h;y)1oWS zW|@K@t?LpRPK{<C=qLm&zJdFr5AV?QmFnGwjYa$>NM)~#Jn9iRa;Br2KsWzZiyvZQ ziD<%z1F}g~a|!5UDdfbRc47K??{=Z~6-{@Y4X_kA+<(6ow?@CVgkePv1G-PYgnj&K zjS_TQ-aCW1<n`UT6uxTrN~T%&t>mb0eWyOFp5_N78Nd3Dp9j5(0ZNW~C${IDo*@0i zIK9O=`ZMsk92OWr*|RmJe&Q5Zk`d7m?LhE{e^!+FR^M@Kq^ZKH|8PqmY@t_nHFpzG zF0DuV(Q;T1x5up-ts$Z7)C5XXCaAtcFHV06(UI7+M?<%P$D7_Sc}idAqKEIRYCiIm z3vpgKM^3wuKpd*UwJ2wHbKXLBLBK`vebp=`=f)Za5W&sabG_{fx^95hfbY8GO}gvq zkXz~jNek<aYl6CVTX#IsX{@=DpMs==tU1PR9GEWN%1|`m<~HC-`2++nE=4Nnekka$ zagB!DnobNDvTY6dD>yYs_qJk$jr(&0mq1;;;M*r|$fb7g-5P`Qadb!Tva7+Q96boQ z&MS{pZqr&_l+c_go1q?qsV8_})lMMgvrtW4g`)16AMylc3b6!L16{L9T~(t%n%9Lq zN<FkoJsD;ub06?YeQ7OR+OOEp?aICIi^f|?&BRT-P+P8FH#KNpR2@4+^~Tgu)pv<K z(@FVFFk9do0ye;kwB?fWRu8k}>;QHI2$w(#cv~0r!3#Qx{z!w3czM-yeOx4KI7Kib zj_SiMlvU^<pNy*__1n}CmWK5vpl8WPVLlN=RJPOfagnWA#Gkm%L?PcN>e1OJzi~+V zy`l4ib~41&j?)po#@(XMKSlCMk8if2G4WoQ>qd7Qbgfrvp^3_AHd$WO{t0MS^I0mF zQz6!5TapIZwocP4H~C-&yUbGcHI|F0L#oS;1SxBD>U_`IIKWNf#m$p}-dJhUF_80F zvd9&b3;WzCNbR|9Ekf-nQdFrSeI~DL>a4=x{B{l|Ki64z<|XJ_S%%z7j+R6~*>vUw zX6Z1oN2y);aml5p?0fP`f+FxTEu(<cj{lsXn2o4F1tssSc4E6^%>gGhV_4s5%`sxh zOn;qTd5h;+uCT7Qkf3D2W=7qU>%0VW`DS^9L7bx~0e}8l)4595<)imwyyek^i>@=o z8+MtSpv+SetF3L9{R5XC24u*trBEWy?<!+8yAQMAW8l>{aLyE&9@ByA*`|VkL*WF* z7;`Iu9Q{I6nvV<P{P;z3)98&Ylh7;Ka^Jo-PQ70b#)r0b;nRqnIEi=bv+fbPU2^&< zkf=|A-3o_0$TC7^2-2q&6IgeFf{V(@uPtkAx!%RHlx3dH)Gy=jYI663&MiS@noXF7 zht9^Ojgey>^y#o9y+;9lWavWh9}~FLj+^r@oXXR~VTv(C1oOb*h|xy`FCl6`LP0g4 z3fTRoTQO>%Dd(teQg=0&I5e5n*uX&zKe~TsgyEd;+gy>SKm>u#G96jkNeTYOP5p-k ze=}3eUyM8<tH*A2qDw0$KYjh)_jt90SNY+A(Mpx1kifYM2^{btFQK-qx#M~lTR6~C zhHcS%%)?U4u;c<Ir5}b2$A$X`RLry2-YP1@(6=D(LfzPTzSF2qdWhQhtV8p{^M5^{ z`9V_<3aSy@OEmfn<3GI|Q1c9{zIktW0^e`&d@rm_u2(`^Jo$nD9c}n`$U&br%V*2b zU&Hjid#wQM%D&AaQbT*G-}<6^;Dr^Y;F3BREeV1<SmiQIh{v6fR#x9oZ-N&7i4v`x zz$D|koI9h4zpWz!J+KfY+|x{?OIKOgxYeFD7JaMp%7x88K9F@=u(k6nblly7zqS?* zdpY+wH2UQ6l63cSZKD9k@19IY2}EJ80c$QmLC_vJ@bDq?Qk7lyg7}s9o)^>^QHXeZ zIOOKjo<4fT-`d`$`DA-B=X76R>~Jw>z~wV|)*n8UWbX4^m%bC-=w7%BtJrAdl{pH` zLFckbvtvcIz`|PBu-AQIc1{K-Qh&gJ=+no{@_9tMzULR-e%P~O!C$LCOi&?9E070d z78FvwoSTmxgVld<n_E{vbw72j>GDSq+8}TS?%*)uJ=jHZ3PwwQw})@h^8X+uhpr?) ztDJ`rS;6A$1^EuU`=Fg%dVm{IdK3&(U|8Ue)Lb(rJfu;$MbsnCwc%UIIZ!D4@xOtf zQ}Kk^yL4)VUvN~w{wF8|r$}FaO;P~?)wkX-({#kn3HqF6%{9pdh8;brY;4k3__KNT zM@vUl<>YmLTKp^3z648u9K@bfErtm~`IPfQwyI?MYSPO7EK3kQPu$@xm?|4`K>NW{ z(kvC7r`eE}N=AlQn=wshf4H!hQ(kYThuv<_5CDM1<TWc(WW>}lC+QyOT2MCb*4qM9 z{051((KysQ!6TP%Ox*pM?dd};MbL0*K<;f}Oib$$??%{CO}z4`Hb{t5vSq&1e98D` z6v7QZgyD=5%T@CT7x&F5{`hk@nSE*j<GN$N-0t@P6IVz-WlG|O46r#5*xVItehN6D z#I97gDueA^k8Nhi1dl7EbD~e-tYxuRe(b;p<s?w5g{oxgk!ZO4dz<aR_jloUIl`VV zU+@^;=_Fo3lXR=4v!Zc`R<Lau-o{YC4?!<@=ZSw|5pp3kkNrLpvmy%q19OeQs?aGm zZ*MZw#csTvspF`>@>auJ;7^^?`9F1Pc>dP;rwduB7pqf7g0`F(W(|KV7ot6F{8-+! z#$C7R1Ns&ASpEepfCCF~zyir%Y3K|UVa)-uS1tIAf~V-CGnizKTQ{K;Z~OL}_;SPr znfYu0GX=T#-<NPx(a>18ZvrU-L0Eiz`j!AQjG9ns!U|F<nHNG>u|1DqoWFs^GQ+RD z>|~tAH*C~Y1^p*4QV6}%Gzi=V+zQ-ZxNC@nLatl7z59f<7vxvZ=dYBC(Z|#Rk&(N) zwWDnh0{Lw6F>l=Bb>_FEJoKZL!Ga*LU_Mc2-cZNGu@)zAYPkch41Kqby|9`KupTwH zkdbu6Nu3%?HyPtZ*sWtJjA4}l8K)P3IIWZHaTvGibownm8$1@%N`+eIAfVz3YZr*e ztD{p4mm#1Us|1F!$etEKVUmYVVvvXCfWaY5@>?hdT^P!VDjK;)C6z>-wtRe%5*U=h zpdue%qG~@*pHNOEx%~)GoobSk#2JUO4Aux?_Zk=Vx_lH4oeawn(ifJ`Dci{?5V8kN z3Wb8<2N;@n+UJD0jMQ_`YUoJ5Di^dV{!$mw`2d~BWZ|W94<*g3oSa;q6o|~Er*F`p zgHezVX{@jDk^bFOx0!k}h8U>3PEG@(z+G59*(AdFk1v3!<z6f8|2X5n`GUga$QZ;t z?0}Q<r$sJl(UDnDcX~f5Sgfydg}f9U2Ns7$b`OEYLMdDe9_q<dtX!IZ{198~EFVin z$)}kl9C{-;K5HzWb23@$tPXa7M*~B+!nMTstg<?Zu1G@#FpOfXXLL0F+e#aQT;iW? zS(#NkjdXl+Lb+;wr~_+_vvMNUTVhRs0&M5jP$98A2;#thJI%MC2dTYl$~@D_K~|ql z!?PYwe$YfGIccwsF~O|VAS>Z9T$8t)M<<DJY^<(e#0ZN0;;Ej9wgh4~sT0nc==c7h zD}oE$Vp}g8*4al-^z(_}-eUb@ruyGM-~6SStq-&5KxT%E>-m4t$K1aN0*uTJqsFkT zrEo*zjj^qz($3|L&9C^3)kScpOgx8cBG?N?um5Ox*Z2J<`s>7h)X?Qdn%DA{(7nOQ z4a7zqG1C>fasV`v{K6-i(i`$Ijq8qmC*a=5&@!d!3s}M)LPNQ~`0{$MC-YIg3*qVM zT0<0hXa9*;dZ&g;dJ7C2U|9PPy$8leHAR|dFMcV8S_R6K6(mV9LH<oNQaGwJfz${k z?-Z4kFh$~_F(@L-54+>5R51&%T(px7fJJBhF#EYc>RoLPjzW2{uVpPv;jD$t;<;rd zOy<eTn7eEo=Gp&Hkus*qCl1l^ya^TU<f-Mni+>1N^)JW#56Oek%rf1KeQFxpWyw27 zFuEy0h=b8})|YXN3;Ks(^!{;rp8!*^6h{9atFNs8;j@gP<6`R6bb6x6CpK8T!VLA% z5h{?m(d73sh7pSoGM?W>jC0Q2HdfBE6Y>w$;{O2oVG|Yz8C@%$%%YJ>T*+EKwn%l; zPBnq-FrIT=nLPC2$(z?FPl5)7Vw_+cIyqbu(x!~P__3Wzi~zV*F%I<|BwZ_^gBqlx z?f<FdZmgcuRzoMRqmB{NNE3k6o3SL7#u|2!)s8EtzC^9gL#>`hrT$1Mu1UGkEhdQ; zxEpTlb5-Z--29QAJZZv!F$aV)?iMORq<q;=*q7>0;LY}yuf+_3#DfW8Y~Y=3o;jv) zQ=+hzqJlB<GAi)<aJPo^W>l;m^gxkUS3~BVWGsG24I+8)8-Ae3t*gn*lcha6*sQO~ zl+mF*g2&fTm)-ugm^^{ep-xp!7tEL&>8&VtQqzGA=D_rotOocAd^E|bt4U`!*`MRM z&Py<^tG3+~rv*R7Yjtrdi4*-D;*@`l6=gI4bs1bor+%=?>7Qz-z(svc>mQv$@vlv( ze{H6)bwse=j53>ppX%c@eTyVx0mC|`TtDm+keQCm5sMu&PSRAv@0^Il>ronWW3KX_ zW-x2<3hIg6CPY?8)(DezVbR#bP^;?SYVf?JeuTAHUPlAecxi8yAqT!oV^G2DN1;T; zeO(^7TsPnwzdBl4>&l{eTP;(dk1x@~9UbJ1hIoXAGx_7IFtOS6>cjE_+{*5hH5jg! zcdv9hNB5F)N!6(I6=nii?zSW7lnF8p7?d-!&lrSCq7}3scWHBEY|jAK1L>FL*>o3K zw6Y%mAVAICT^LixTiur$0=Bay;eC?8^BCIC^hU>N{!Y+<ViJTgWC3(+7>$1u-w3hq zU5aM=Y8odEV~#H_0IuVkM-nC%n9w@pR2f3`44gfwcv)=@-J%U^Ez_$!J&Lc^?*CNm z6O-3`^<-ztNRMX1lvf;FFdke8k5fDg4skF6^3$=uj?u};w7(-8UZCAMX5L0?v=bRD zzU>g=ddyGv4ocs|Scc=ej>;n0J2qEu)w@X92@rPQ`PK*9f*FQmxGXmTyRH;PWkl|o zQ$*X3j_w^&Hi;crW@HQAM$nX-gA}98o%cQAI3}k8D@o}$ag9VFT1{-b!Zi9;V%RDr zaCG}xs;pPp9Ck&;?{Rx`(t7o@KiZnx2~Rz(oX+hLxqi7lIy9mD5`Al%w%Gy!^BTRU zdOuTnritmz9Y#o~gP+I-@bh#$G!st_JaA789c&D6sNORM+kVbuOTv3~1Nfew6?_D3 znHfUH$3{zjBd6;;tPGSsZ7R&pOi$Yb7no?j5oE@Y058W_U9=N>@yYpvjip+SPWGCo zqsjxq5B4&Dml-;^o(^jK1dDd|dO%O-qf4%DhlZAJRXZI*h3bt`36Y{-ZseoR#rkm5 zh~v`HZ=8P@7@uce+RCnGW@Kq&W6pYntUfz9*gx#9tPMe94iKv$l;n^f^Z`r8_&yB7 zIu2L>FTcqqeZ<*uvev^H=Dj|T$bC30Rc&^T!Dr9Mm(ii6xU15h(pnP#*stkqc{!Q4 zd#ad5ue9&aSNbYN$UT6PBz~TR{?shO4&&fn*R#s8)y(Il?(3TsW<*uvQ?424Ro(P9 zcUQOcPOdg?F8-Xr_^{p0ZJ<!a%_;ffF}$`hkV0lHp{10KdEex91(!Si{AF`RmS%QG zm0veq>m{8~M<-JYi&#+>o$vjatPf50;d?x7!8FL3CbGzgiw{2{8%u3qzW?f{RgHjD zHlsgH@y^d(!*rrNTMCSH9WgnW3Xek~E2SQmxXrs=a{f)4_BsHH;|4N_P}4&|>&3=v zFK!NAUL+ZTQomo0pF`WyG9-S#tgOj0T!MOZ$Hssh*mv>?=fkIR<fKkHomo15ek&8H zHCe=s;fJQ2eE|`wza}CZsifIgOKgAOR_<TRFsya*Frrxr8;VNXG6FOnaP(}P5~{`4 zF&}hZJXUKADW&x_3IR%CINk@`QArsFhE;8}jN?}Z5$ZA@^}*j)eXUouuP8TO&UcF8 zQiR5qM#41eoG%*_@a+XXK>EZx5LpWm)#CpCUeDDPsz$e540=q2q|5#8c~aa{2*WBk zhVunhDXeE}>*<M-nT5Brt%Z}9)Wo#=9@IrcTWvFO!J>qn1%P69c7|q@k=M-hC5qZZ zID#IzSl$ZCne|<&sS12#V>wMm9#9-lL$z!wtt6|WUPYhyMPv`Bf=xhLn{@Z8v;XKX z++BDVBW>^2Me#$C4L$k6S*TY;T`K1zPB9Y&BBoq%Bj`zDo>kl)5q^AF2%oaos+5?x z(e$f>y@Q+75%2{!l_S_{DD3W@G;Z6LlCmx(p+J13;rBPJ1saM-p8og~0~GfoKe|y# zviN=q6br+T&(G)krXHBDw}vVQ?_>_%C6Zy%iN{+M<@?>E6jR2g`SuPl_hKUVektDQ zWj1)15Ep{9)(JSed@EU;kYUfKU<y}IWC>Q7V+v<c(*U#^KS+4X6i?F`8i*GrUcx9! zA_gna(!(l>idWQ07ho*h4aJ0&<%yKQ7v0FA%0)Ji5G`3q|3nY9O8K>(RKb#*N<$|l ztxO>%JxeErPtK*jcl}Q2>qNzbX1ECIWP(P$8C!mA$;2mdROvZFX*P#fC21gq8bZH+ z<oJ%Ke+<C<CU$mCeT}uk8%id`_SO7zj)}v#96`p&_gJaIgYQ}y6{6hg+3^M3_T0>L z7U1=;gP2K@yda$L?>L0gUmVQp;Jylz!wLx&twIeM!_eh79B}%BfyR2Gnr*S*U3^@b z>~kcJb`}M`vP@i5iEKbrnQR<`+}KC&)HAWsRiMbw$|vuN`O#1AvqvTR$iV(^_dQv+ zalaX3)-E6C6y)MJI*<iwjaIhs;FTY{eo+xGqrfc3yv@28)=GqwC%lOf_ZKQs5UM|R zzQ4)6f51fFknH_VT5T@seM!bC0tNm|n-q5mDVCEFKhpu!4w*++Yj|xI!la^Mf>Ql& z7l5}@XKeRAYei>uv~_>Gmwz?=l{Cqc;`feU`<TycabxW;IB&SpcHs8bGrSW6bn(@P zLr1DZ>guR)hYn~P<#9mGTehOizhk8>A(#pGLqEB!9JpAOrQD;$G!-Skodab0#~pN2 z0#xE->h>sAZO0fYEqE2S@Ar<DE_4v(0ftYjp5A;}y06NN4llgOnok52_g7MQldOru zECfR{FO?l@xL%#N4>l}>YYcrSTxXwk+F$3Vi!6%R?mP?SU#{jBp6_<eF8~VHSJk=W zS5(Oo({bV2<93wJY{W~`Li2BB{PW<qc=v7`RM|~1@=m`-M;TgW{xWRip+$)a(AxH$ zhnj(L*c+|agQH0OJVSjcIDZ*Z42`$x!j|xKdQASHkhZeI;s<|bzwDM5$yYzC64}mr z!V3+0EgU`@{IhoM)zc;?Z-C!(tgzO+!>uig&T*o}T|@+>Laa&sYHXD@7hH1VsNh-2 zl@Og(ba21-nG0IehV=?;gYyU8mNH&l7*rGN`M9;{$`G89FZaXDDO}et*Q`!ExODhS zMA$KVdkiLBfhS=av(1CA-%l7^a=Q4v51(&}3%kSTy~N^N0&>DbC^+u7rZz2oNEzzc z(q`Y1svV3wV)t#^6VO&3KfLIx2z{Wv&=5Cvo@>2K-TslkBOXaxDb)|?#OzkKQ8;5n zw1heABw&xd>YvR7gU>u3=?*ljw~b{TCm^UObiKEFFs9fhCV`t>dwLMev`UkmMPdKu z_$kJMerBa?D6KT!U<ZBX%~xi{Si(&FJwlE9mWyeL1yzzsC*BTFQY}mUo#D>4Uy2fV zuV{-7Q-X$j`P|#?3k6)jxgw8%G!cQHKD9nVOrnn@94gb+6T#WrlQzOk;R*2I(G7r1 z!X9lgh-1&FlHf1?UxBZCXJ@JIRoT4(i!X}lK}`6JXOx?2q|7`t1cSO~lpI$__qv;p zbFJbVdvU=-4wrjw7tgoWZ_M0OBwzYAPoB#5$6BIjfK{)y!<HjobdrWwc9Asi+fRB1 z?g02OS)zijgXWF*TO05H>@qga*c_+gp?@ty)LBEUBt)v@c{&Ae(sit&5mTN=>u}-l z(efE>ZD#9Hy^fPzS?fhbp3u_sywDQ`*R4P{Hz6Js6GQ!UbbkI3*7N@KH_dBv(eab@ z*ZO3@TW9xH7Bp})#M>haAzo3GnO{U8E+60~e9cl!bzv$QKhqdLLrG05Pe+%a{M?n0 zh<7IdF~0Z{7Rqh+O~(gU4aE7(w+(x5(uxv{gH@lXdD^U$f2N_0fa6ULHvs`tIi0wh zAC6pId_3Y1Jpwv>9y(O<Gp8xSRfEk;(S~V&X#uvd!)qUgOg0VQIVLuVu0%pTC;Dr? z@!if`2_A%%HT(|zfgG|*_G;?lBWXH`O9{L*mNuC~3%no0zuMCUaarH6`?9#I(d1-< zxmFQ?{L~@dFxI#I>1YX-pr(-Lr_-G%QQ4CjlpsouiOQB^+Ba{At%{7I!8M+`7i&P; z!>Mqlb}>VHr%V3uJfp<>oVPqLo8#Rh-Y_En3M>g5U6iD>46B}nMI(|z+!SImcZH3` zVs(IMT!|6UdUmX@qv*V8+V}ArGcl+S`0!jyWb4@|r^U3yqSw%P+`ar?LgpgefBI?1 zM$oIS`x)>il)q4|t<#4wwx-46{iFxF*KvyVH9yuMiJ9O-Qc}-4<kc(&^e@?(|Ejji zl^!rJ!oUZyEIR$Twm&wwiYnSAP`Tqb)!+$xBl;n568mM>OeAD}K7W90me)_*%F5da zMRcaj*52u3a5@ozoy>s-68>HWd20pfaKHzS)!zZ5hGY6H<G!)0C{pw*<nbDSy9JZ8 z*2<(R_VnG$T0uR&q7Va&NuIL}kqgUWTl++712R6h^cwTKITL}%MHocBvrq6tp_Rg7 zqe9?cpF>Mzm^m?NALZ`%1SS)|Y8h1QxT?<B-hYeU>HOg(WeUG-=x$4urF5Cx0or)e zY_l28<b+bN$L_>C0RDYyEBdhoG+T!=)O{|QLJ<B{4~`T{1h;D}<w;6*%Zyg-58jwe z$}g?)29i~Mu4zwkQt~uhjl^`@5}H?LU9xxeC!<@lj)hlC+O&&OEQcF}(Lt4?FDD^N zbCUj9;b+ksOSFBMUB9wNx<7x5_T1eH9Wvt>(ytfav7WFxaunhp#h`r#G?$1xh!+nG zvx#5to9DL@IJj2eTP(_Pwm3$1Tj0=Sta95t!OK|A;D%~tEXWygmzOWkXrG_;#+G6M zpLv=&=?=~5z17CD`uCqcHb6Bk@nN|%N%}rp_Mnz~Fk-g5a;H2IcHF=PVAlLp&qfU% z=Y*{AsCP9G;NwX3;l-&2NORZJwNZ-|sVcH|n9=SR?st?|)zk2A`m$sSUu;K-YU_RD zmn21Ur!3Njh6TQ~PR-56XN+y=ySTZj31hGim#v+dq|9Ph>3QI=PE_Db_-0JN1@EoN zbR)?q&8Si8I1kf1&AhRnMc0SbX4Fy5J?nVk{Nh(3BHsR7k$L3@NYo%j&r@Jx|Nf1a z@P1;8z&!N{&Gu42DzL0x{Z-S4hnA=$K<4GE_lvAP=rvusV5?Q7{c`>dq)-%Ng39*X zm7#P;OZqXvZs70>SBLiMid5p(y%yz+hYwVoFj3qb(pGTV7e;c{3I83L=AF45>dw>a z4%u;5O~~<61UHvS;5?p^AYa)u&6vcxL7hUuB+b~DK^Y~XTat!Cylor1Dt_K1(5=6L zwwJX*pEwhe{@sPt0XYOnXz?$C&_+<<fKSicjOiA&fnW`_QVcORO8Y`bx`bwc{i^^1 z^z9VVPAYfk+Zd$X`(L^1@g$=XDaL}K{g8yI(K}RpAmI5oU0<<zsCwAfR@7Rw!Vz*4 z?1S!)ATh!qH4$#+UY4KXkclTv-}~Jc@uu!%(hPCt%pIk+xU8eh@d`(oBiG1|?;)bh z+bHzMVeQ_ZV|P*a{i5C(gva3(;%4k+ne-%1j5&Q*9r0T?#5nKGS2|KYEQA030>Hm3 zz<Yl7HN;sHpTB~{n{t!s&O};I?zaU`{vig~bmFS^-f+U)Np*?imEkR1DAS?*_IyW# z(d*98%RJ^t9A%&R&OYKwE5tB;?+e}*FXko|ct`pG=XfAz{~wc|j@D5J+&sSeDAD3` zGm!7&?j&2HKIkR)YEC*k0uVi99kCU0Z?It8hDWbY&SazgjP84`pKh5uCBu&tw_2u# zoKiqb?a59^%`IqjAyTXDgN5Mr7^u3oXsU<@u80<v+RK8%A?*l`Pf{ejMfxZkpAh39 zWSNuEKHMe?U<^?Rq{cph*XqOHIYAA9Xxox|xxY0xJ-OOqSrdhg14QUqW}3A3LLBx( zl-ZHQpPxgvg||>+YR$Yz6wo7Og@SS}LdB%mJ|o|U>|%x<S7i+{J``_@Z(}|S`AkS| zW48Zyq~sGb=;IOkl#?{b=uvD(ei=>|qiYoUL;^NdC+QqSxff0xW>l#6{0|KbGS&z^ z98g?_+mLkb|2Kq{XHf}mTzVUG<sS;2P9LO2;Swgv65B>8<|T=VLgf;o0mOPJVmRKT zV6PJ;yrp325^O^(NJd4I+B~7?OV8Fr(PJgAZpzJ+wuJj`@>#wbUKE8RixVkLR;kxd zaV8dUi(%|3qCHu##V6(MHNn`RX0L^du1*nFOCP2Cc`9def1Oj+XFTar<W^u66=piD zQVb^2!%QdHQ~xHSm@gG=r_@DCWQzY6QEeT+-`>(k+aQh7F5T$X*C4pf;}oG<@)4#= zY96H+3hO6}erq0ru61H)4kqxE#rTRWOdA3eKZ;7wyz44>#EekmE->2;r`i8w@JpgS zT6~~?zvO4*{G&jr=*qo0SJ%tBJ+=q~3L|F`Nb3(QVJWdQ*uo<b`w=8TuiUvI7oB5N z22cW~Xvo)2BFNP;U}D1wQ{3cxu@;$$gNkAN@K*&cF`8Gg9fIOEQXs)G?=n)U0{Mzv zt#Mz-OMy#d<j6cjo+d8JU9g;0!g!jwvdCFvXoTBOdyO}gjmeLXMg;!-<;}y5<@84Z z(|7^VFnK6^Y7uxRwA-8PK5{>o%~is>CbC~3Z{}{XkABc;&=;ar;vc=iL^YVuWAm4W zDn+(JNsiQu>$U+*8apYGMbE{F#<#pMKIP_Ji2F)jiu)c#FOUQxBCx~Pk<OFJMd`%} za9mv^4bg>$C#IvA6>Y~0h?5sWduT|#B;=_kd7%)+c|qAJ|Ne0nLHkgZ)DIJ8`e`*x zHP|3t&!x-=EnDroy#GRVto)N)u@PEUQGCRvVnVFESB(O|5+<uEA#yQMWrRk^B=H|V zfzNF>`0sxDkDFq^&DH&L)8F=J;$)b3R9L`nf;SnE_~)j=RD4=&a;8D0T0`<&Xl{v5 ze+;s7$!ZP#C818pQt(l=Q1;13kCTn!h+cra6#O_Vl-^9XTEkedW5ii`C>HXn(!gw( zER;LSXcYBlcsue`d{3D_8nRz9sSC_Q6n-|bqfyFWPf&r6Z||e_%lv2fU3e^tPjoD* zIBgB8*tVNpm}>K9K(Ny@U+J^!gi7UIFW!gTi_nJ3SlK%71TU!LcbfpJBWFZErAM3E zWvI-o#OH|xjUh^IZSV_W&oW<^tfnWqN1yHU-vQ4Y+*5b|w*~O%2Qy|~;K4ky!Gx3b zchDPr2%fkjy9~dZQzXw4@<Ha`P`?BlUQC7mW1H13#dk1^7x`jzd&lJx|5SbgsQ`VH zFWQzvlwe_}IsN^g`nP3&D}eh&AxqL30;p^LZO2v(Jxj<!W*a>;og_w<%pp{XAVzE; z6pti<KTkhy5clu(^J;zyQD8Z8N)1u=y(=R-tBh^yK=ATZMiIsTpm?c9x5oj$nPG%# zYaJ`yRxQqc(Ev~^^lGMoCAMHmpE&ylJ0`~g9_L<}pxU<NOlyCGR3DYcX`>0+(%`4u zd6Nq4w`^>KR30y2N!sV>2!jBXQ7U$;nFxb7s$jxth9P#CD7VnhQe=osVuxgcwF^CW zzj!@Wo(3~C^L+(&zC+CZ0hndM6gL)~VD0_{<SH?s@KI{9{;{s@{`8k+{KvBPe9ARq zh%LKUY!>@{Y&S}k5H2*1q#BV!8Dc2{9?c$MO(yZf!a0=SrQttpYE$AL6Irym2nBEr zCHp?AgbKy~HP%xc^AwzD*2H#kLhte%BKFO<Qsn^_MJeO(3DyDs2{&Ztw)}p|Kt%Y@ z)K+5W;(A}>TG4)#t;hcKKwyBEDg+ZYC~XdMS}5~U{z)IgB%wU-!Rs1QKd^@={cOmx zpciAjpnfY(40I+^CzH9~%3X+OFO%64(tL&-{&XL5`;q!L={?!6=<PTG{#aRGADV|M zOgbRkQ~%1U<h!9m)}CPSC5BPNqDGb`p|0$}+u(aQk7uUU))Ayv`^3NhRbGPg&)f>H z52tMVr(`qMbkMCY#utpZI|6#SkM>EPFW7u=_TpOowLcHFG9}hZQ7SYl#pAME)?R^1 z{qYJ<V~SWwuBV<IF5MW7Gsg<<H!pl@fZieNa^x8u6rFTDg4fh6boAd>=Bpb;vzxyH zguV8Nj}+;59&TLP)V2dvr3)Ibo{$Qw-pH1RRGHu#O?-Q|vH8s{d0o8x<;CY_nI&l{ zxRrNY3o64ocVw(i1M$NtO%U}t?^_u0*<av%pJNg2?)B*i?Dro>i9SkS*4(jS?g2&P z`PTY*EfKtWR%%~755FK}@zR->y!nOif7cC15_vGO?7}?Ab&?~1tW1O^$;|o{kyn@V zXn?wh$dsyQnv{g&%ZkDW$&6^(@XGth`FMH{t|XoCHlq=KU&4|=D!qhGI1JaVft@)o zB8AAzV;S8US$;&H(o7E)EYdn0FJvHqiKLV|LS!34QS@!$H<LBUGzgMnbI)%AMzKWb zB-74sweXv2iHS04B3-ppC>EQr<HCw-3UV?_NqU-bOF9A&s@f&EJ2vj0mgKQXGW)rO z@MJZlq}GuSq#Q)3*uNPGSVCo>rsyN~lF3&3(##xhY<PGijTh)5xTj<2-J}Cb)xU8< z9PR^th~gNf%U=pp<0}ab^(FAhbVX9xAVd|(R|~`2ljO`B)KvUVX~QK4bef>4B5ei2 z*itC4VMnj5eD;J4oX9Cql6d_&<S}L}SL0C?KG^ey3HO@U7D_+|N)(#a<zX{x*UCbd zj!*Qyr6UMXQtHca>cS%aJ_-qNd?_b2*;;pAU_wHJ(n?=VkR8}+_2QyESdJ6PREr8m zn=&OqL@f|Go`eunlKjMcI33J|-W?0dfx(THl1Ur-6vwP)@U5l9zo^?{TUByaO0$u$ zdao?43>T}7t9ZQ*mwclkMDRm?30Cn2;kUXKGUED9WD7djpyCLa2umM;`H&-Zjc1!9 z+X2n;+XoT@Rx;RTaYRl9eQiyB?Z)o+7vcr_GZOJ0KF`?|o#}TET&xDjOJDxDG9!K0 z0nYG7EToe3OUm|lagsa&LLmc1c({BgIb>yuwZU1~d@i;<_eMVmvp=4oO2|O|B4tMZ zMn0BRFl~Md|JyKfHE@#S#1CoChd|NR)s@3+?SS{Afi|`VB_{0l4vD1Yeq<?zg9uR% z=8b_rl$<+CJUa}@afu?=D(z*(OQ)+3k4;O|*|QRhF3(MY+0U|Epr%nn!l6ynr*CC& zCc(k^>yCpoC5J;yp($=N3$-c+O_JqJb4)m1AWpM~LWNF|7Ep12BErxPj(l{)mlDz> z9c9RpCVi$MAG{WH17)8lBH`KI6SeOI%xMyh-?qM!*<mqZ)tHhRJ>D`8@>Oi!)SHna zyn#73iPc{Z;CL^<oRMv(<gAb2N)4%+QJWGmfmoh`6Ii=-3X8q=*=-BA!mjX8?wu<Q zq;iuaeAA)UC@@_Vwerd9JMmor2lQ5nBUqE62w!xlWj`~r*;Hb9t3znSc+s^ax&BWl z36F^9Qh57Ak8b_F|Hdz9!&{Fe%$%how3XGJw}orqXd?dFPGIBSRlCNQ)R5}fhJu(c z%;<I;DJnQrpB<Fs(2}^RUUq1@@c6fC_m!s*0OmJ|TC~_HH21vJ;E!%eZKttu1<?;6 z&m!SDtM@9n&ni~ZSVoRyCNgW9mZjcn=k|TsrI=N;t;i7!n`hFS89A$=!>GA!%=D;* zL{#WqeOn6t=!Ze|_x76W=_N_a?<5WKYM-uJQNsg|>B|dOR}}-$KI+(s9Y>ix{Ql0k zHwR4hU7t9xq%mCbmlV+W%T~gDwu3C6c@l&(A(^B@j#$?Xr)d)$I&Li2k%^{Hgc5d( z4l%A;|KffO;ad}1jrgK$Hi)@3(#9(E75N7;hL%|fzO0-Ii&A$d0^<Fb0@bgXbed*V zB$M6#?+~kdP=_T%bnaAt5bHv-i;fWEguwyJRqIfwJd2@yzFVzrTE2xQ^=WdjPs0(8 z5WzHqko++u>C8qoPG=Xo*^AkC&{r{C@OPnTDPAh*K@s?>dY@T}Aiy?Zm7}~bP)fLH zNMH%pABiA9KKSJG^!#dpPIWhC8ohOYS=sK2Y0AHYNm+P*zp)h8J{P{DMF%W+ldn35 z_7FQ@o4kx5@ZcAZ3lF;I&&(R!M04!D4z8tVWNjCk+R8vr?%aXYmM6Kv!DL#R`{<{m z>yx_|A8=ya|CJFJ2nt|9L$aL-h$7K_x#nDbJlKBP-aIZUTffeVySU2C(n5>d{!c6% zuuxJs|4%SniM?<2=;;3Gfsr@sZQKHo#4M5C41RQ?jo+_&-d-F&4-|+ff6X6Q<B^u+ z1u|BmZ8I@mO&x9l=jRuGeT&*X^B?P~o0xU#FY)3!3urxMv`BcuaNtd0RT`vH@wnE2 zGPRCm^EZ!~8KqGZ87SnVm24BB`1`yg7dYhYrRWuxbk-UQ=U*<MG`pBpb9gwcZB<&) zA0jnysl3B3O5hgFge~4gB8fPe=wG3MV~EsOQu_60?mJ>tdZeZ(lk1so+T&S;dTyWW z;+<o!w+UEXP=rJ+Fzqx=Aq#}5b+~AnO2E{y73;s?^g~Gnx@tidKwh;ud`RHD^XULL zOGQiFa$qjZa&oS=qKT#Fo`3MRbvUK(z@cuDV<=_xxquD2>w#4@rm~59LrXeOL(=&= z1(UX-RDxPXN1pGzHcG7<sX(JzY*wcMeGb+{!2rXk@7lk3df2iYsZ!mZPlQgmVDHyL zH@(b{k*WHkkN(Qm0KsG3=3$+>zw(3l^Tzc_85OTK1I}1wY4axEh2W@K*nys%O>JtX z@>Na_mZeEOac9=@NaY>?|3UcX>hU)DUQf+4SCG=u(3)B`OK-2&&`SY-U4d5SDXNpW zmU^iV55nqbpsyA*`Hl5pFsFIEQn6D}SZ$-(8SAY)+xJvDfbJTOtCmc;37z1zAjuHE z7p3s<3x2GUaLAXU&EAK4A+#hxaCUyKaCdB9I^#LKapIm>;S@_R8FfTCLq*MDW*iy3 zD&Hsh5TR520F?=d;=#u!Xk|~cJ&aQASO(><!sx?zGCX-K;V6BRLm>|Ch-t>tcmX%~ zokm%?-{xAwfUUR)e>OoC7ifjjk6%#)1>*fZ?qYPJC_vmYpTEF`Kz-?Dj(za23!wU9 zAadS7Az`Opp2G&O>Ut=n7(^kioJj?B+3>+#`2e#vuh7*KMn8RiUs9xHeS6;&MZwd` z@e~ttlukU3b{_)y&>YKyD^)-|s{6bMftUyZ4tYTA5Ew&}kA*WInl-J6@9Ilm5(U3o z4y@d3+{h<D)zAiETc3pnB4V862MZ_JENxZXefo|ZsEatm{KImjd)|KK?Z_$aUOo{( z4sTCS_3#i@3~cW6CBg8dXS+TRD?o76<`<^B`C@XofG&-`TR;=0_M$u(q*lt;l7k|J zH~aH#EztdjAeH9srM27e<3CIa^ADdqN~3$dYHzSV6(DrH+H6yJDaVEwIW|rt8HaMZ zxLvghV}FDuCa4|`TZXWehk=$45oIfDl0L^U7Wf$CCe(xC9ef?XoTL^HDTud;j7V$4 z+9r(s3toUXxoRD~r(8S74susS9!M6iS4DeCsL`%dfF2P%dD^C#>7z|>Aa!ki6yEXd z2v=p9x7VC|&bcHF_(Nyr-%nKC$Mp1t!{e&a&mj>0tQ?t#v@3#wJx8UqfY{b2eq$Uj zl)&6N#)op*13gTII2~fWPXK@a1JXDirUVY*r)+u%#P{I9H}Nq@7GaUV;WdM;(++Ke zle}&LHF$H+y=w_(SyJuNf;Xi5gQ@(0TSXq%U}fJK-+g+OamFB}&shsE&q2bSXU|RO z2M^dc)yQv&tv*w$?bIOUtef?eYFt>*)TcONf>+bT^b7E%3M^0zOUtu04uul=mOnPU zz`+$*(B@H2p364Op4OuRPeTw23*yR;*DKn@mXAw?I;tt6vShMC)$C%G=-rPOzd@Il z6a0Lk1V#HbLRo90SHcWoJAo%|5_ZQlP3qJBL8g|aI~Ep-CvEI;tX9$tgcveQK2`NE z;hfV3mzDMba$b+|2`_b$OtzU}GWlK9ojx>g1`_)bvG)ILbx{EVhAYoyn(1LR&TT#w z-8IAoJq}n+WYcw6xoT2yW%ghW#ATvwl$KdA&M@on%l58VdRC@ZsswMK7zU&cL#x~d zoxp0olW}dpnRHw1=E(F}R2^eqB#tQQu>}IQb`!Iu>Xlda_Gq9fxw-pP)mw5Ey*`oi zaR;hkGkwz%w^jxUn+dFf8U+nMxk1C4{$Rl77<{a2#wmH~;%0!&I%3O8s?HpipSBWx z#ARRFh_whfe%qxUHC9)td4dTy=3rOg9f<mH(tl4iU~*!snPEsowezd&+PGD-2D-38 zAMkmhW1vDojUd_KAhgk51fQ;(iE=e{Z|^8J;?&lb6`}|jmTc*5SC;o^cdUlaMgG@H zS-Z=aWKZp+rMt@T#>Iv3Q60vSd9k`u$kbLiY9m_J?AX|NMXJfZkL#2mdRe1n?y*fH z&v46PHx$Jt!~5pespLKb<fDti3Jt27+~mHJe>C$S`tl#m{f7?IJOch0IkbWq6_h^} z7B#_&V5Z#-2E)~0=Cd=FUE~P&I#4o_-Uw`K1a?qcPY5gL)rbq%)yTTZm`h8>#t7@u z&IoG&>$p`C>-hUI-dgQ3-v4Rx|4<6G?BR<n1K^6Q45pcUoL9#u4!iJ_`mN~!UDWdo zrh0Zz4WN6>be|K4o41s@t?8a!#d8d%I(D1&j_z~Ogp3T85`*P*dDm{aYwWk&0Z|Pb zDdEitfpyHb4j3rk?)T64_fT6iG^06MGempdG*~w>H!e0uy5}x&VK`b6&GfeokrtZ) zBjsqVk?wJh$3!EG-ZK5QkvH2molDl04&*<)9UW|X$_Z`QR_TtMMK;_t?Mu5_hvaEd zY-vqCxp8nA2ya^VmBO4+h?X+7okhLCaN0dP<??|KFUG4PoqY^<70_7WT`}u@wgP%> zwlFqTepwK&ZWp14H=#FZgm7@#yd{1C&QB-V4<9y6mVVo@O@64}*|1J=3UJM(=l);| z%EoQOwH~*3(|ooIaE*J^$5}<0>>Jv+sJu^ss84aLIn5?yDz$gN(fyexa^;jus=$8i z`a~Z>Y7>};u_+xTULB$-SRCjUmy3dQ0Z#VO8vCL%+(W(X%?%zyh5Xa9OCf#0D$A2s zzX)<gF1q$_`We39W#tTfqi4aaOiQu0`-$=3y**0XVavGF{%BBTVA(y{St3rcgjjw& zIRb!5o_=!<KDwS`m7wOV!)4*-*Aiir2Q`}fTV5q(T!JT$c768Y{f*Ufcx1Sh)cNJ? zWEE5rftF9z&0Q?z6hfc(W_x$RX#?h;h!gdiz)}$Nq{SDyQ9DZm$0YN-U*8sg@C~u5 zAzqKm;G?bVG3mheytwM}9LhF6aR^Xete87;w0tFZbpC-<5<9g8VlzShE)V`v%5y}D zJ`T58VG4|oNd+~-K?I2XZScHTZBpa|>^JNs-KZ$MNE*Poo_g@=;YI~m=H#Y+;cw5_ z0|vd&EA#9MMzr6(*KSPjPGV`;LHimP@cd)VfljDf-A;%Ri=_1pbE$YV>}FAV8`I<f zlu{#(Mjb&ID=bxRs1EO{JTjsv)jG(vQ1yOXSbEPEH@5Hiily`4G5qZi#@F0E`b9M@ z-)mYdm1f~!kCjz|09qVn6?PmKY|{CL8W`US7)vGP!szbnl9GY5?*_*fa77kP^d$%( zKaoooVU_ATWt2B$>dZ@UAWLw{6_t@y&{0ZBl$;@o4)rohx;Gw_KM9zt{-EJHBP&>s z2*&l6n;<$mVa3o@ck2^M3v_kdn>DxyyN5zO-5vYbn}fc@2}sr9wuO?Sl+SnT=d7$F z;>DQt-5{c#z}T*a2+=m!B%5)#ZNXrc^Nw(<&MgcR6kY2Dtlc~D^t?docSH74duFna zA)Y#iaL&9>Ym%ewjM>{A=WsS4$94J8vN+MplvA<;&GH;*{cO~z^NU@8R(Cqns*3fd zW#xJ7`wO?AC_vLDFWS<4iWRKXCN~pfbN+6cDsMBeILawN_qzx&I-`(t9_|wq8$vPG z&wVit*SjymH0NxLEcR5k4X1p@0V7H9ZSzO{R=;rNbgJQ~G-DRtf2eu(e%)=ojN0*H ze`cYzxJuJWZS;7w5>QfPJ-4!X;|z;Gh9KcQC&qPJS_6#Vd^Lbtl=XF``U-n$^g=D| zwTm^A#ChC-Sy1tSUsS-jDfx>HhLHJnX^S?T=k=W#?VI}g3Us!Gjg5_>D&`AaxpA~Y zzr#;e6_AUb>q(86K6cXC^dOzQhFN3AgbXEMwhZ!@me?#y$iNzCSjy&CA8Fv6#VlSd ztC%sixG@kaRbWhC*q*zwp|NpGbjOGC#CGu(P&}!R*FnnH4lH^2Uh+VuobOO^dQgl- z_M@tuMze=jOWJg15>MK+uAXbCt(1e8{v`yMBm7=^&5rZp%+PetT=2Rpy6I+|veKxO z*mx_mqxTq~<2pZJI4NPLN*l?xe57T8W=!@(ga=g8O^M~zw28#h<Q!)aAbIc;I0aLR zJ|BOaTah?dwJc|Qs_RoR=eEMDTvG`GfB(VBcrk2xro}3MiJyy?3(utI*vyITu(J8K z7$eR6&b)L~XH{jE*Dbz<#aj5#Lc3v-pLeA9wPvD-^rcbfY34}zY6GTI{{(!Kkhgec zP=QnpZL`lS=R1KhA$^|4R|i3iOc6rwp;ooqHl17U?E=<{M7PixXGVi@pLF<eD&6XB zJ}<8~9!<FQy>t1~lwou~?JQgx5{GAu9iC3L#JpG20_fY;d6;weZqKL=6<)Av85%pG z&5eRSicYhlD>uQ~4oLlPnh?GlN)&+nn;-HnsQtsvdr#af3vSq9OzZkny`S^BuSB<l zy8IyW;|yMYp3b&m9?#0f@je!q>t*xO0}4XX!otGMYhqkJdtn4Poi;<*iSW7OHHaq$ zn9fJ(pW{q-3mlN0!ChzGsOOJZPcIyhfNvL}7wUO1*3$-<Aq?nzmHtVn51fmxK|j5D z|I)<)N%-}Z-gmqzp5u{1VfDS`)A5p-H{H%?M`%1pkHYG4nwUH5|CeQF*txEV8oDbz z%~1-@2Q6^KFyvDAG(vQMnRZ(e?Nj{&hqE-Xq-v*&v_Xt&BLIxhtChedTD1_kM6G56 zmnhX__FE3U%Dw}WZQA3P+sHTqnWULhbC7xJtmA85LeS6WPMztztI=0O+MhF|LCW}X zZF?dKCgBs*9q4-GRqQUs60>KnwIcwWtZ~lN1;BU}+>I3o%<?QR$rkf0Kf-@R>U`wJ zB7gy`wy>Rp+I`Rt2|`*Lw~8K#4qu{j34DuSd7?bvatJEmoheU=@H_fLF7Q>7m(^_E zerc{<#)WI76NmKGtd@hi?e9K;wF&$Rzl{5#k+2CJncU7+XxV37MK1nlyP*A}-&w%V zTUWw6IOxxd-s`YL=K&coI@YbTep5Hvw)}P^rG!a8U0cCLxsMjq+6lO5e6WZ2DtxrB z-X6TMuU<7v($s>&11=8LDDXK?v<kpiyKjQ-So+N1rwN9$hG@z4L@@B2Y#ES8fD7!A zsK+KKn};T-j;YTTzG+}MtBa;xF91X9$yRoF953$#JnYKW)>a%HsN{A2JXfdJ7n_G( ze+~aBu_x}y+x1-2N7mtSrOQ^PS@LNW`<AT~&^<RMc~SjNtBYD$jDUCX3x@aEO}Tx< z)55OmEAEjMhY2g$WDwU<<ih)aI^?lg1B_th&?|w_;509Y!<Vk2C8P*ls7hXl8LyTJ z*lZC}j6J_pS&j#YY3L9~cZ9n8cLxMNa(lwVbpyO`-k?qGN$_rdQ@kqXtQrh8EY*}t z2kb3Aqno0gFc{bm7vC%4N!GQ7^l=sLDpo`3B_SKyhuR5_!1R;bJCuTz1>3#VGxStr zHlMP>uHsxUt2x_eylA4N7PWgfpH!}j)#|H2A=OPnP27fWwovAMTbF#WISYnd+@#|C zq|;9va03i|t%3(2usLA{UEJPh&rS*e_G;yY3k{s<euk-F72E%`|355WeZgHZ-2!*T zPAcx?JelIn0NcWcX!CU^bJvTTpUeWb;CA`Ixe!Ym%)T1AZ~OG?Wh|FAnUdGf?0ljN zZZ%U@0*0PH?A_>DboQk1CC{JP=^zzc^8o2Hx#G_%yTA1$I(xABl7F4aeSZBL!TYZO z-f-!)QS7^yx-QGarUJxGMaEA*v)J!uL~!;U=wQKO(iXN~#$oTlR45DT12|Ri=#HT? zgO68B_6%cF>B|B$_IO|Mw+^3!(ScGM-u>g&%DZMcS}1MA6RBU{`~dBmg#Eiq#aD^n z-tjKN2%wLF#$<u{T$}d%CMPe8pHvX}r6Z9=vWBFN2QVQsh_mPFt@U4>zKP0r=CAuS zljJKGW1M>hT$P>rxF#Fh7YQf6<y~7xqkU@;qX#+8!PzR|r?IW_^9oU>tf}bL4Tpb7 z(VsThhJRj9cI=`3nE}{xIO^Nh-Fn*axbTd@QC%=R!e(}qibL(iqh8!9ElG<EO80Zt zR8}5aP?_Pr8pV=El+#dBY#*~5w99NLC^4!nFLtI##phN3T|&e2XOdDv1F|X&OVqFM z*xsE{iq10YYtkkBrIeLd%qH?FgsFs}!bC|KSwz`7-K;dM3NR*7&kLJS637c<Dk07; zCKgvTkFa<}3p`SuNhMWIK*Jtq=VHV$?y<spukzkTstT943j22pY{q#3dH@c1K7(XN zGBG^EM6oQb^By%E)4#RWtWV<|Six8ip6IA({F&(d5GK>89_tor?E=w-n1M<Y3j)<@ z!Reu2WXb_6ioCKlMuGcQ<+tX4rWJ>aTAV8zK8>we`gRENmWoe}PcACisN%m0*ZyS- zxxdm8MV)C}cle}_CP58MsOKUF;%RLcz^{CKEjj1HjxXfswUg@!#QI<%Y6KnZz$p73 zwqAhJ|7)`jThLd!H<&?xlZU$XqQ`GM)&S2tPTX%jg9{9FBE{KUn#FxoSIjwwgP@QS zQhw5$sJ4hA?!yNxdFO3op_!O0WO-2?5hZ+QFR=KM1#CASQbfu~S{UUs847Uo2Z6Nr zcPhe<P1;6Eljyus86tOYHW)gG`reg3iL9=w|B8iwGx$rBLVQ~9rBJ@%^Z(%L9mDI2 zy0+2SHX7TuZQC{)HBF-xqp{7#Z0yE%W7}xlIA`~H-uL^?IX~9i^B&_KbB>){YhCN! zQ!T=P_){d_s`iuIQd-`KVp+l-yU6RXp1&7p68o!zzcqZ|Z@*5#QD7p@UszB?<XaNM zMjQ!o8ZfIDkJK+0q8BD7$%7n`2p?syjFaO%ysDgU7osS^IU19e&q?0>v{*C@Q_QCp z$hGT}a|v3-Q{mI8#q9^$L^UA~8@+nZEusOaF^<E*TsXzk6&5jLNmlh~7$^JG6Y|H` zV|9C~c$NMp*=+CMvXui8O9AD<EGsVcAb{~GhKOmF?VO<VJHGUz7)=uuD^eEN^^XXb zf8vqZ%1gtUeX^sgOJ`C=<XEH)oFG>;PF__m2ba>3zQ^y=Pr*M}u#VEmqeI7>m%u;$ zqlyXb*4qakr;r+=zyhD<kHHKXG9KxopEdp6(Jo*uQBVe_g{uD>>CfEQRXGS3lLUmY zR)qb?RW<snmajseeo8~e_mqqN*~>q8ONkV<sQDeh9Ul456z4K?b2%oNUq?F^z<q>D z8mJK{+#@#b!+;q$1mOX>nym{2K`BG=pXKK_D2vbNZY>5})}nI~k8>C^md1)*xSc^p z$vD-$W`k?TtGoZg9eZRK)Q>X4|MYX7jY-u-%0=u*J<1%>H-$o{nDVh+vrSPu*_;l% zR{N1N^`5|jJ3BH_D3tkBG{&~zDJRqf%}1T1I)cQjez6waig>_{1_=>x^`M4{%*1l% ziXUXXm1&rb#G|xVpIFev=|9Jx;b((AG>b2jW7B!#49i&3J4=V0W0jpRO0T>m=%4u0 z@T1|sXa^>2h2v1PGy$BkCbreOjNaLEY1xsKl1@wg=paHqeY6ccB1B(NxR&JWQm_HD zC-t7S;zO{Mi8v&Xi(<51I5Y!xO866JW;c?{6*NOxQ}931jpOP#<Wva>X;4;zNnHBW z?sxnCefQ~%i5_Q&(2s+$G`Pf>TZ~@0wHWftAv7DdfiApVf23>DFfTlbP7(>h?_|Oe zWU={^=2aX~6?{Ydg9zy^yLe|4|8Sjhoi0r_?+`!GfOi&ccW4~_<vW+$&H!kS{X=XE zBeo%I3j?;2!A8bv0iE%LIxQT3dB=><JPZSyol;30eKgw0Z-}C8B#PxuGNx+8A*y5d z31Sy?biu0{>M%{EMM!okO}-pZrG-en<+Ktm^kbyir^b7x|2|?jOvli&?XMl+l%?>4 zGyt`*ZOvpjWedJ!zMH;qA8Was>ETE4v$sDrW?KoyCQp4T%=?gOuCwqRJITwwd3hLF zME4rz?xUcD4mVUHyGpM#P=e|H2Ghy(Cd2k77li2jytdl;0z+tm<LLXZ-aC{A{r>{E z>)BnVdlG5ZFLV;{!N>sZvVYJd&9Zk;Ot_7}4V9AIC`TYS)ihKr3h0)HuV_%hH>R1E zTTK>>5)wdxcskq{OpGnf42vyI2VFS6U0vZ<Z-X7I8cygpnsem)Cx`tV<D_zg{JHta zMj$oKeOJ>LJjy)%&M<Lp5F$9(2p5_81czkuidd(s7=gA9C?@uhHMeKM*9mxXka<p` zVNTbx1qbta6=Qw}zXuHgG5#%5gN?!=s(Vu6FAP&;H$OE`M90KUicW7%w;U)6ZN{G$ zlhEv{XBdMp42UOU^dJw5I5*A5M9(pyA?NQ<mgF=N8*sN4L;tP#Aa0JaaWv{%=eWjH z7s|wMfBq95h&(jIg!zrmG+szSkR~P5$PyK6YmP(2CaCW*@Mt@W4p}566CGc#k`+7r z^~p`UhBonz(%eG|BT_%h%bGV_O6ChnR=n*#H`(l%lXzjqT8N}T3w&glGbRSp1~p}7 zyOM0<7ZBl`+l-Xx%jp6&QVrYuZrN=OXvxW-nvD_Q)TbKtW2$X6qyR@I$F&I_@}%sx z`kym&RjF(xL|>_FHe^+?Y*dvArt@p`I#1ucCSgE%IX9vP<^^0UW(WcSITd-BY@`dB zI=S}mL?C!baECfRx(xIeD#J{QCh%o!XJt1(W*d!27^b9vA$GZi2>vZ_s5wru8bUHy z1mN27Ad8<F#T2mFe$0T@UY4cWt#3`|8tg&!3QQX!@~|Q(7!zpI^RRf+jD+j4p)t_l z8*RfGxGt>2w0n<KjhoA8MujUteDO*#yPOD+Nj<iwl}MZHj92-}(91}JR{E*c+GLl% zug>~2ypn@+@_N+DzSz9Xc&ZUUn|7KQIq>c9x$!%rG37<8o)_2tLXfR(8b+r26edAu z&^%K2`lja%%OKFwP+Fdyg+9Ex_Q`0KtU&#y{U<)MW8(8_laZYM_4xK~BGG!s@kPcT z>fH!_QNzzKe3mub^a$!)7MsF0h5iKIHIn^{DOM2RElh~mQTewpVH`i=tRIjARsi(f zkqYqu7BIo-TQ;n0BbZC72ZU-dTyl1FXg-mJ`(UEHsliIpG?crq5}&A4x9Ih>bir)x zuj=K>U=zGY<M(I6@ueJ5SkZjc<eVurjf;5$ydW`f2o3K~1|;R?6>`Gm-UYL^+9=r( z!sJTW%;d_*fBG=mNV_`uD{cq}HUiv%81QdU@{UO%c^E5{J4GIs->xyj@;xrSt})E< zUQoo3-l<r~!e$jB%<eGA@r+6d86ZtbK38@9aH4U#AQ8XiK7(du`vMvAy^l(nvrN1j zrHUb8)MR~RVwSCk1fMVqr&_H-fxt{WO<i8nRbLGp<@fi_7ao0v8U~zfeOJKfW_un1 zt$szn*H?5S{Cp{L8usUj4GpUSnW5q7t~pp*dlxS;E;rGizG6@p#U;gHhNl%fUWUt^ z-H5oXIzxk06p;JbHz+#aKNB3Wt*k=|D#~F)D;^Mn{d~jf0h^qIldwRE7k1@h@8e`I zv>(6@qxuS!o)Kvqa;j~gJ_8h^L(|Ap*qaFDCj?^=xNg{caJ!(jJ14o@LGe=e6rh_v zW%-QQOR@$SOS31gbF*okSCB!sxK*5{JidO6PG27ON!M=Hbx)CfyuRv9wD)4p*MQSt zFCCS>ykMk09!pap-tL##9W9~Ovtz~RaD9^Po3>XK-V!(c23=_3ZU>BjpS|n1X1k@= zAO@<%Yl&n;>W~Oi{(?`{CaZMghX@JO@y<fTUh{gkdeZ88!N=WOWT%_Lm0H&GUPY$D zrgA&3hEYN%{v~O-z$bQleV^lAKShg2-8d9Nw*%TI7_-y~A9{~6Sy2~b6Aij*Y;Yv} zCci^83muVWK~r5<J^(0Cy`T)uxT=Ek-Z)I0^BM>Ly~l^4`zhe836Hs`F#LR!aT$D4 zc3V}Lm6Ocw?Ud~a{g*V(X^@%qxz-iv{-2yOGlKs~^`jU(5&LCjfVO^YIe>1<Eq(qy zR5<V`Pfgl-vXY{QrJCZ6i@2TwIjal8QtcO*SW5SRxRha0pgoYg-z{F|hgI=Pg+qU1 zT^MLjybUry{*QsVIM6<Kt(&0i^jHS&G~l>0`z$60cT3<4%qKPcFl-O)$dv6WrYir^ zsQwBcKRoRj11jyHmgb+&UCbzzmk(yTVqa5q;V)PFPQP~WR<&=1n-LZ29<Vr9`J*Rb zs`L3V0Qo;y%U)<TpA;B1_hogIw=$7V;X>(w$k6ZEV&f+UNn%2x1!E@W1?1s}ZqJKY zs%y1~9%b`?p_R*e?u5V={#5q(gtL~JF3}fYv}=pZLw$2$FEgD6A@Bc#hCzt`zX+o2 zK<zi!yyCB)ad%oratla+iI%UrXsEB2SPaN8yNYC@1dDy61<mzaC&wm`!<UOlb3_Mw zUe@FbvGLT^0;TGj0vD+NCIV3Zzv00uwsZoE3C&c+Oj1-W{1%$DQ}-%I^MiiT7YsdD zIDBCl)=3hB_R|uNxz}3qwv4T%4$vn)K^46yPDk|I#Ot}z0?pHe{@)&qU3;z;JXFa{ zv{Whl7QWFy_Up`v{cmbi7@*W3u)i!|<z|kkVfI38aE7K)yZx4A6~k59DTw^UiLN*! zq~T>leiR)ZS<*?zz9|X+ImiQC>8pliM`YrLCM(&NV!{cvyoW|_h%bj!Y8LIN5*VQI zlWuEpRd=xVz`>%gB<=@CT<c6{BlOF0&X%4=r{H4X*PI?9T;mqWzx<}&vmB+zxmgtk zg+4j-UB^PD$3peT#xim&-dl9uTTJbBZ9SHh6|MrKQI8`%@ZZqj@z;h{Mg76I2>8iR z_ed>ZrMM$ZdZ_;9MEu=Dvyidpj#vVYMk`qQo&}%ydF1k7JGbgwlAZ<gZ=)em9=>s1 zCHon>&Grh{;s{ygr5SqsoUW8oSvjJ@B|5*gpS5@qJoivm&P)5mzI?P0{||oB_&<>1 zMexqhSGn|`_hccSLO|#a1zfo{4Wa%t=BKd=bF}R2nb>D}fN8zR81-0qFCe(f7+KJ& z_Fp$k|GGK**Ui2Ctj2@)s1&SEm;T+d@w5n>7dQ#HPZxokW9<<MbZ5ZlKu{Q8^HTpM z>GqxXDA~VO{y}A>qdV_N(zGu0-)H4i(sJHHroxMTN+<XEmmDa(^JiQ=m=E43QsD;P zUD9_UUB=$C1;(}LLT0sT#vWx$4w7-__v|0E+t9vHr}qRS30=hAwU|Ga6j1U17sBHF zf1n8-2*T*yOY7Fsm{^n?-{&s~q#-&(umGSW;{yJh)omPvaZgK+ac$VAwX>(AI+Grn z-v0xZ4#%xNhd=>M=UlE=;ru<kvjy8*5xK07rMN^0?=i>l!2l>pkck-o)#u%EnecT^ zA}k1i<YRyQp_9QL1bC0AUVSDVh5<f2E)gFov0e#B>Uy^Tk(>@;lNvM$id@S%Ur`mS zz>?^%7%{im?!J}jy9!J^%WKtz4GN4r%L~<orT;#si%$<bdrdE#!5>^B+gqWMyvuij z-V6#aC-eHNHsE`IF{v#bPMa(qblmfzoGvF$?u$7vFwG?AA|I3eP?Zo`qc6EuA4k4- ztW57$U;=pN8>-DASen*Vr&H}8n0xn)>|6g;51D%h{-?Ug+*|ZN)gk8I5F<RpM+nD- zI{fYgSjQo0fmQ^(KcQKg+XIPU5Ok@`=(2SKiK6yJ+p*Gg<)wQzuD<mOgEBP44n2+g zRFZ$+Kxa7KKhv>YJk!-($K*+4xbuln;bgrg>!SVDFz?C%y}_g0fZpJ3{Kr(q@4j^9 zv3E{^UdVMOAL)W)Q2nwFN|CsQ?}W<p*q0w?A`L{WXvz46F4NGzKwttD7ouHU3vi_R z75Ji!nhl}0<=RCxPFI`WC|a|lQ6FdP!p}7^wtmij$r-VX*&Ve;Y|Ncyvf0)1S51^L zZ5ifbUFsv9ehIz}?P|ePT?*5zm^h7ue~5&<+wh)Li8pZ|@atTj|B(M4*JEdQslOzr zm+OQnNsGdWv!Xf;%CQ69dGq`-;&AC{Bh(5dwEF|ah$BK&)zmVemmN5aRf`?k1JaGF zzwo;8MtySoYz$_!@s|Q#=<ge^-QdFV&cFuVE@N0LuEcsA8Swxbszt<KiwGIE0a!MW z?9z+=Vy(tCtXrykOr-qDBIh4wTck^U3dJ1OwZXB-VVhi!0zk5jojRL0h<m8hyozC{ z)!dO`s4+dY_{)*KjYwiKCT#)*IDGO5oez}!{x&}Z729WU+Jp{gxa5%qHjs%Lho^YG zfnYv2k-bca%1YHoek}e$vm;p?q|nf^zq9`nY<+ZF?$A5Q$zr@zR%ps{Ac@A*`@bp< z0Ff|y;bS4|^vV{G7G?O1eR9)&2>v16WL&9vr}&nAet^O8$e7_%kZz%JxX|Lwg#!^w z%t~y`6c8O@U$Lo?cYe|JJpc4}>NCw`#}R0Pr}^)jh0)Tf&zC%lhmt2&{*Q4DuUA)( zkK`ZQ*)De)i6&(bMf31h0m=M%Nb%}GX%e{lsRMjK^0PSvY4NX2Fxhez8Zh<Kvwx-_ z2vXz{%5DQ_SqgjP7KxiPtpLt-lu;I4@ZT;1l-=ggvi%qFuZh_IVOjq}tm~<LN_6Xq zeaLj{v3-*fc2evov~n5EGt^eAeX~2W(==vH&2kj>R_%&e$#z{fz_6L<R(AF<2K)US z8haDy`f_`?F}u|#`m5Uu*S48SzQkWYSrOXL>nlFBqr^yZ6B!at%1UaQ%?I}JZzg%_ zF&rxF!~2VBuTZ}K8JvYPCoje`U`gGRk&+})&<)W{rY37b<v1x5N|%$l=vL&n|6}!A z?WJZq>B)Qrpe||-k&<<m$Vyy|;0E0o3j<8z6*LAR^B&{mMfw#|vRqnG@%EKCWDB|= zN7EQD2T|Ogn}e!svP2}?`MJ_?U%u{K=9<rGNh=<`jb0(y_Q7y`3mE8b6++C^9|T9J zOHo@H`AYos`bn_}b43)WF^qkp{1thb9-2H{C#(Pq*OAQRU{uWpwT)3uYN!5m`Yf|X za8y$Np2;o8`s}&eb|&@jqN?;&$Ny@n$uMJM&7`t4+-$x1aYVit?{7OfGF;7kM$^Ml z*X&<eRyx7HtPmK(U=|8h=V9DZi%=c*fR2L21RVv-0(yjezSA&&KQ0|;R}!IG{QOJ@ z)Wy!J;LArSw>;DJpMf3|9yJRp_>R8Eik1I)T71(MvHE#k&`|a7(c%&NA73vHmZNCz z1E!;J?;@t7px|18V)ZlKH)_!g-FuC&rBQc`u_}7SlN`;^(O35hVg6kESB`%l`f#`9 ztYl{V3ko9|$Y$)=)OXXx!1J+J(6f!Yp9e_SqZpd9_uW;d#h2ps?N^FDqU#ob&XhLv zKZv-n77y9BPJ}|KTi8&H*r)@Edxw(g=&X>#SMEA0CVulh(2`f*0X<h#e6|bL(FnP4 zIcY^c$)pA$IT~_$kZkw<t}J<nloN3b&yPOKK2c6xv16doCpichcxcAe!ADkG|C8*5 z>xC4}ZBjCho>8&OHz3JQtLrgPW;%=B9|V%@h@D?iVd|R8(#<w;KzlX()8?xnZQcaZ z=Jp_Mz5vqZ6(DV{1=8kK+&dpzn}3Ceoha;pt#0uH)E4Egzt&RnsTcDH6<Bi0ce&)> zsQ9Kf0c~gb)S)RuOF8_Qi+kgZk9Q0(K1m*b&i1T%-HLr@%!GcJywX`wo&XqK+v)<- zg0`?A@wVUa-Kwgu(&d9GQxBm*>QM|iXNb_nI6C}uxCru0H6FPvSXg5zQ#b#7NvkpQ zjz!uOTm<ElvPvcM=DQB7#S0{9%uRu<9_7H~P%+{~+3^T2W$;o=sCnpWuX(6_uX!;t zdwfzPHn$9;_A)`8u9?NeXG8<}9MN)}1iOfnm#o2~U5scXDl^=k{=Ya(^Q9r<ElMjG zuO^+{T_QV~U0JN|4Di+~zz_Db_je<0ga8)XM?r|MBLiUB#o*q3=f*Qiv~UmKFbRRD zJw1ZWU4&D@R(mIY_^NRE>hSr?@oeG<4tQCi{LS#{aQ+#I>O~225ese9fpWAWV%0yB zi~Z91;mA$I82GY!LTE>d@!q1&q1EA&qNrKP#BLrDKUie>M>gtC1mTYbXJ^Qi_ef7j zc_vC4uxD0&&yb<Ok)7mU1t=Zai5>yvVJNQF@N%%oWXm48&>p#V$7sW4@g6fHVM<5e zM334)?s`O!yLH*4g7vO^WSK@Lf`25&GK7ZzDVbai34h3vuDl=JNl-l$Tuw@wQcqse zGB7lda5`^RLV;Sjt6-rF*`|Uuw|pdkx>A~)wYLx53M*_FeRQBsqLH8pm{r9NlSrW_ zu80VLLzGEY!!weh#5@w7wV#(Z)4~hWs^FctQmd$A<&Bf2M%gS}D2sWoV12FBye9d^ zYpspnc3Wd>Wot1^k3`I%btg;wAjd#4+dn%tBb}>-=dE3_oFv$ptSw!bSC~=|V^GQJ zQmvW5@C}clI$H9x1cL-2Xj56Ud4tMA<C}oxZ1#Ga;e@fC@kU>(kZZT<?`flupY5z< zUywTQCUm6n?3OBIvjlt6w8I*wd&W=xFDddrq-^pY5b1ugqAX3&l&>;+oiDnPy|K=L zXsD)%-jfP7x+I0{lA{sbmPk6ki5`LqwFhJX{y&zx|38Ixnl9MA#7+`_6?BR##f(07 zU?ObI+!)$lkiml@T9ii<o%S1?U^S9mUf^8w;}{&p46+uKJh8nBgT$)M_C%VS)iSaJ zcSC`S<Ilg|Qdmb^t&^W~V^#<)wI#BNy7p>;mkI~c8K`*BIh(a*l9(k!uSL+qiR?t* zt?0kf4PyYEUtLl+TL<cjq^YpRHLZ1Gsou$Nw;8*@!!6{Hd1zD1K^v4Qyk=aG>8`RT zc8pV>m28OEtqOTvo?Y=Nn}~MJJ)q7bjtWEC_!e!oJ3lYXk8-ITs~f5t*e!ix2;AHR z7FGsLmU63l5FAaU7vUDV&Hmu{oW-{nJ&$+SIMs-!l?+pUk-IN^qyVpLK`B?L(0M<j zedmlTpDjK~lQUBHyMLF4dWrz?-@9XXn{Lwyj55Dbs;BKwsEgGK6ftYyFLp5xM<Cz) zbJ)>>90QuzV#0;Y8g@tj9DwNg^3b_IXMwbq-|c?d0g<w59aeq2iE)Db5KTE#)RRzU z(vQY;BhRoMC>dmKr=P<rO3Ubv8a@#0k1ffMladB;d6^azSEbwtR#$7~T+sd;Jd1m! zC4+FU<;V*7uhSrohc<{aN)F;EgE&;0(EeG^OPHi{?{F}@z~|bKAUT|QS61+b;Xs5K zI+Su%?%`f#Tsq!YV$YGJEi0q?6D%P=MSq$OtMiv0MLY{VXotk^lHD}0_QNpf1-?1P zu|sY)#CP)u6Nuw{LL5z8r3Tt4VOGR<`Y@2C1Z0uPvDzU}SiyiQ=`{ha6u`Q^NCcnO zBHE7V!vMfR4-2^GDl%&Q8Z#-m!>88%BX)437BlfLo6w4u$WUKjs1#R|0-UzqCZAb0 zxGJ|Ul`)&YtQyDPIxUAj$zYVO;~tv0MZ5A4h_T>VWw-c-H$^IACJXKB7IP+;a}7m| z!#zXJI)k?GJz7ReZE6nwoX8zwgZd0X4z9-%dI;FYV0|`33RF)`OAnUXI~A)KA|U=H zM?jiuyFeepzB(XzN{hhOQ2I#i)2vyEw&QpVf*ScCIHm!D$%i0#n+}4Tph@97o%<kY z!Qz`F1U5%c$(10j$c$1DAGMO=>Y5c3<?z1m)pY23Hj?>~_^Xn_d=tm%4dAOKVuBvJ zwvw#wXLX??+3BWT<z8_yYcz&i@;HKFUI>0+`QH4A+t79()J4h0VO#yJ@}scKs9~p` z#tq4E4x6A=^G~?GbC$0t@c@x9jg`J8#tN<^HmpXqJl5AYv$}JZ%x*m*>D$gTwoH++ z4y4;5TR5#ELCxrZnlmn>ePGwQztbVWc?z2%(9s74vaR)u^$$UQrTd^P>Dp$$DD$Q8 zL<h|Ar6C)}NHZ*3d^s}|h|WBX-5+uek=&BE$(%`Bd?M36&ARiFO&w$9bUVZ|S`ypc z4jueM6E-VN9(nvmy}VArm>zrks90Ui1yrFoFD7idKJO=LdhrLipFD(GG#HIUNM3vD z;zgG;WnI!56Q&*@M_WH{cl7J_>Lcqfp|3MNPy|}8mm~G2Tv!K@S53oT;t1r6vR}r8 zZI3;uh@mg)L4S=B(jmqFY9ZlbCD5D?cXkleG04mkAN|rz)><!A$k10s*I3z><z!;x zd@aD&!8_|PZkPzziz7lBi#exI98k`za>uVBl?LmL{d6ZMhPCGo*^PJ8<3p(zB&&sM zAh>YN$N52r5?U@Us+`KOk8QSCD1<Vv&N*1XY6LejdK217J|W*Y9H<{r!i!ac1kR^7 z6|VytPkrE3t(?IM?`tF@r5Pu@`To;kh8W%rKGQr<Cou}Jg>w)0CH9j&@KWu?8l~V; zAGwJ?Kz*`mWG+Rl-B2o+d)h#(*g$5_9Xbng;W7HwCzG$@9|S(nNG-m=qEy6qKtb9! z%>pKMx8tG^@;$_k+=`9_9Q{2KBR@iT(J4~Zj9ID3F@}<~PXrkP3tXZh3=TVcC$xvP zMPXU`k%kWlAUE~ZPGptEKyOC(A0nlNfU%?E3#LRjBix}u4k9-#(oW?5uX7XR40E8$ z2<9Wtp6CsL7x{vQ1s>d-xG$C&`7`CJ0ags1)`MY;q^J#!5CP?7*-{)<#oH@0`e)tt za&5rG!QJV`?$YSfeR$<y<F_ul(s7#Tw;>?%*!+gOKI%<W+G|5nPLWr5XFxwh7E2(2 z-w6rtB`_MgVY%>D*?a6<kLF`0>VSvsHS1FnIE^iy#`R~ctdUE9)&?YkjB+f(R;om# zSylMJvE0*HF);lV(=~Lj;yRN2aP<zmy8xRU*f~hob~!^-`n`Gyw0u~5xZM-*uV_H< zNg%I2OGP+AuJGhSFn%wXkSYb93To>To+9XV$J<q?XYWUwH!CD5C<JWagoK<UbllAa z!VK?%+I%1zA~NZ57a;^z#vR)h##l6Pz9(v~?iec_PRSjCQu$950~#^@q*gxu6*#my zq_A>ak%lQb@f2M=?=?$}sO^a%G>{octxC!@v+rTW4^3@;l?{<6k2PYP3R}wwX_zl+ zA25CbX))JlMKBSY>`qTPg%e#F-?fTrY$v@a8ah9cIfrPkMSBbzi3z_)Z%Kn5ptDLQ zG(*N$baWS@PlfO-eu~NN%0$2S$~t2s`E2>MY@sk;f-}gM=~Np2L4Zp&3Yh05QxL=0 z)@cXZ@~41N&li7XgqCwOJ~6+ghnpImZm^`2E!>mGC0hIZLli;NH@lcLQiZ|D*S=c; zf=(6PJ~fC^yvhT;@GTRrNOUkl4<Qk+`uCvi10iWV1a`3%oveA0JTAow%U4ivaxy4b zjov8Qyt@UQHa>4{L@giq)r?-6kBD}z!%hlKFFK+g?{y@9lylL-L<$}Awd9v0dU3w{ z)I%^<eBcnDM&6GI_L(Q^7i{<u(Y>tl&$5#E$A%4WcaWC(u9NfOB!x&Gxhwv);OsMA z)~620YB2o6jw1D7__m)o?ju8KA#+TcO{4>mIQ=u8nZ=U>r<m4&X?#%_f)+);3DPmq zqm&CCI55Z?Oej8>d0aS9YsxGBykP7zyjG_T;A$}Dd9R24uZzwB_qa|MleuC~71h{K z<IN8!ZO(*SiH^7pkw&Asgt2k0;zZ!xpsPaM4x+qjofq8IFE=mega6{!7MQdA=^R4P zbK}>L`?iLzTcDq~L70fdmF5KQ@%{vE<aCZkRX;B_pf%0|>NR}@J>T3qQ`FwUHannI ziVwnn<Qz_wj6;dENr6jQbiI(db{aTq#(DWmc`-T?cxdSyUro2ewAxoc1tG+s**p2V z`W&&U5!gnf7MK7@&&nf<Jy5-KaE-nsqD#NKXxsbJ1w=x#oGA)k75jlI&XG8sTS>ZC z^|1qxCaZC}SG(GeFZWCj_LEGJ;N0dt@0kt-PjC0fA$ERYq04Fb+rKXGx7p{;0@L(! z!I_=8U|K(ag=w8Q_ueknwh4$bhz7s(VTLq3YylaJ$H8!0QrA{QoY0QHE+6I=b>Z>N zm`(u5W>I`AA1cmZw&f8@(?Nd;W1v|axQHKrTU+!-P5v{)f((2hLy1LigVKx%kFho6 z3lASR98N(D@-vSt;ef&_QC)MR<971otc@cL63))k8uGThpf-fnxE>Xn267_?#tL0B z#^V~6D0+z!7?r9Mc_oxNbfC64c?S@p4gnBScyp;k9AwnXlj4xsQc)&K&{AEshz5~Q zh{LEtG{q)pLDa>Hx$gW4f|5$7LhcFGpB-@{)8?dQevOIGhihmBZ&h_5)MFl4g&7R( z#>K!gOXUN@wNIfK57j-$Z@p@f05uf^yDeV*)v^ukj0g+&Jxo?LMDH2Q5GYHDW5hK- z2;S}6!hrw&^C>9+DMKW~O2Udo-2<wFoGg!3c@~;pvPk?!P5KjeDcX(N;3rLWGMq5e zb2IVC#|BZ15|QLHRs5gJMbc#wS|j3CT;@9w)EGMsfs~3pv`Yo-n{|3As^Jw8;qsLO zedtP*g<BAE=@9BQ5?0w(a{zw<idF(n%2H$~Te`=UAt`*4>e!dE=s%9ebn^xDeLpZ1 z>R6#HP?O~E!i%VrXA6{6LNiqd!!`ZsabiL9v?>!aRfkf!$Fc3jv5nO2YIJ!EBPF*a z?ZxpkRxS0);I$m(t;WsGkf=BM<3Y?O?js!Apoz9Y%K+-KA_GPwpx5^B!rn^KQR8a? zwI(`SN=H;EMJCz<W)pjAGk%XMW`%C1JopeOZ@8C8eSGvaMRQQ2l8VI`7G_A&n=12T zt&7%_5=v#e`t(%zh!U)AM6dtyET41?%T$#r)wJgT<te_ZLTjOzMTX2(@SUw3%Z~BW zY)E6C>QFj2Fh>B&+(Ny4Q5P>*?GAGcZ_e5g)SS5@y%ixMBrCOs&gOq&pZ*&=|M~yM z27dy571H5<Uj@tUpZRCr^1n7QiT>N<F{sIeznFwUc(?s8qvrmeUwk}&r5l-Sv|~mp z_31t;)FuT1pF%`et;nHTMtz|a`DuIAOED}k%#~;(<8HmQ?#Lh#?2U-UU9u*mX*Ix8 zjFZqDGy~%H@HC)3@i5n^-O<N1MX63G2e>ivq>1IAJzp`_sX6``t;VPZgOXTbG<rb? zJ#74?QtIBV;q{A5`uP_Al61~7WA_KN$O*_XRs^PMx4K0-+FK_*@o1esMU5%fe8x{d z++xqq^aT&r^r69%jOC!SG1dP(9$;Swjif%AVyfzZmp-(_o=;#1VmMIXNm3)r<4J<G zswAy>V!x#<OcheKdg8uCI)?Mk_YO+xYt%Uo#70?B;LML}m*w66VEkI7V*UgN+E2Bc z3s65RpCXDn^scJ0i5o52C0q<_$qs_O#!dLEwElH-Pb8s>t{GN3huonDtX^Ao#H|W# zoZgA<Kp=uX4(7YWvU=k-y3^=$-LXoMNq=)l)aU}zf`la#d75Ho(+-4>>^Jkm)k3vf zv<EaMFG-)DYGQXZ?D9Qd^o?0srP%_qsQ~PGJ9=(1O%fs)B(>7npzyLegU=6EZQuI3 zN8y8f`3RpMc}4<YL!&Ra6E8M4_WF<o0}wyJJOVa(6$5hJk|@2$oJ8+j-lL*6J!Zj# z7TA)G%au{`k4U&tX0lMA^Gn;&F@zSf$-E!bWWc)gbfAvQt)wvgP7zaG@6Ih^!2s_D z9Jm<`g+R*Z`4)z~;}w}t;CI$<DEXzSe`N2<Yqf`F8{&8%jwdq-MOB#E5{@;tDx-hc zkT^ljWPM=|$knH&m@WOKi7zv~d4M#N)x-oct&)RGY9LeY4A`*~yGVcv^=;CltGKY3 z=!vrgQ2Di$F>YK00uKYukQvCRQNc9jGkOQ_i5K1eGHUoO6Kwp?P25K)6vVIsb;TT% zZcQ5S$U=$sXw0sVP2F?z>-7gf7TEKaNVm!fo(g$L@`?x#MVvlSRRaIhm-S=WM3&U0 z&r+OIDc~UNDg0d=B9MgoHr)<_QDs8p1{dw;$#4KzhQA_4Ph<}icn(0*tk)kOu!_0& zY7`VOg}G*FA}e|k>ALEa8!&~whGi6CauMNL??~J|g}OGN4?^Lt-yJ{*dCfx?gu-0S z?Li1}jZzzgLS6aoKnQ+qUIT<eTrq4x2zD(*4TOSSPpv@+dW};Bgo0fAtUw47Sld?& z$Wxr6yx!v+74*-6Y^F;=>s|%l+aD72uls<Oc?rrAdcV;MdABujk!g)pb!%iX&J`38 z$=lpiwx0Yj$(g3<w!y)hO>FRcx99IlXRM)V;Yn+dGDJBu@k(da%=j#76%Ic~uYixH ztw-lt_0vsjSc|$@&&8Lmm9B0Dcz-l^k+E&a-isn5sY5)DV+n(@#2MiGg@=Lm5&&|_ zigLqje=<RWk63d7eAy^T387qKL8JjDd(T-S<MpkC#^M!dTiFIgGltbIs!TMmg7~|G zdFm74dtIIu%0@!Ao{lyg43T94%JjX>mkZEw$7_RkB`1MA?(ke?F91x?LwZCq>DVJ! z&`R=9c0QjkZN_CnTJkUUEazm*^)WFiJtj1JXCDP^_7;GdA$@J6yE_BjHx+$gBgT$@ zm#o8%=~oMTwbWtd^J3zS=E`Cs*R!DmI-&X|v0I|K&qzx{m1V4zvg*2_8+CfqM)vHm zqmH1Fh2s*cX7t5aACSE1sUar6=-EJ>-muXrw6Dloy6<?gUoA|N(NvUe+T12M3U{c; zYAv@L$zdVqKVZu!hr{xan*1H-7AB!OCtGaaBc(d06Zx=0#;}%eFJ__AOMG^|QY6Ru zG=(oCcex=o8cr=upFcNl76(-v*$KeeoUxwjrLm<k5@1(C2Apj16j$H(y9y?=+f`<i zy`krSayAY^8cVF@-SRYMaJ<8>jxr;0IU_q=v#xxM#Q`du*5|)&_O{|<3F188gYmcv zmk_YGfxY2w8nvDqLXmgm0tmdVM{v!73;(AW5-?-#?`FPxumQL&n+H7pU|xE7<BvnA zU@XqTn>_xVfB^>N`LF9eBgDztS3(hC#JbuG5QI$2Fn;3k_ubyrp7YxqhGpjHxcU70 z*fYpf@-h^MYKT*n2I>)(*_r8`$N$wQ@uB{8C=QTVHb?k%9UR{63HpH<w?w)dpHIM? zF1f*DD{teSdncy(?QdIMifB9s*TMM8b%N*=N*sW2(9=pU@R5bNZwmwW{iwAe37)U_ zJfDLmorv@Z%Ke8H<MA3@DYq|Qtg>I5qk!Zd#|(45sJlDLN?1ac!36it`b3~_J-I+b zP{+3wnhDXZ^I<5dJXVUALru+qm$94nNqFmykZ9B9xpAdJw|I6(a)ykC$j%}x$L6hZ zcx&LL3-nJ0)!d%DcD+dF0IM&V3FDsB_-&4VQchTk-B!G4DAJH&-8S486G(f_hf@-g zez7>P^6?~A!*g=!oCAfwz=Y4<F5WU8px;@?pCMLwE4QQKTg7nKoTQl@fHstn17C8Y z21)j{5x1aEse>_86vJl6<14{@P;M(jKt{rzu9EAIDX+NBSxcw}uDqx}4Fr?$ZnR;) z1gX-mp`NT0&?m?zM_dDNI`$3Ya;smnO&2@eYe*}9gAzB}mnP>skjy~^`pPR2IMy1i zth3q)tIA8PLRY_)Tz(fA-CvV({ti!MAbVH$iK(@28AHUKH0-)G%nbh(ozP+jXu0mk z5aICZdDJM7fB^=~^&T%AFF>RkoIMq#1?wvrZ!aAGEb*TM(~6`ZlEF3mBSj7&V)rDp z?yikBgz0N}wHN+tLQP`m7V{~~HAmVV+xxtBC(?Vwi{;MOqs%oWp*JBto%2}szcr(m zcrxVMosVC3TfHa|r_#p#+yQ7<*sJU((B>s`O=zyfo%#X(`P=92iX{HKQL04cf%S1L zrpQ-uD}NQha*MW)Z>wO+YIzd~XkU&Y7QsF+mHpv+aIv#}a~Htw@2S-xBTu-*AzxK^ zo~Xb+FWLs&*ytgnnK+S0Ty=Nur-7~OON6*N>X8q~q`{HDqSAm^z{et5fuLb3-1Q`7 z5Zp(HOup+5aq7BvR<XDrrT~lLml-{?iqGQ+8XMx;>9%U$%=*6H_JthmhnAyiT7{N> z<_8tiqz56RwHnr;TsYGHkZcT9b*wiEK6+*`p$S{=;|LCn(XaNp+PW5I`z_`5eFTVe z#|l4~OPaSc7Cg&<^oZ{Wl$hvNftKV1Eb)rbmI#y@HtutYk*IaJvVHxLyop!3CE{{Z z<ZhDcnt9s)xzzJkRO)bK<-M#$;0;OXb~BVD@~NaioAE~2cz!3R$#}yu4lD|>c}R+e zPK3_|LW6j>hh^5h0G5x}Q<Y6frP157tP|hsZx_d2S|2Z?*PBtJI}dR_4soAxZ&wyN znq-D>(>rg@ZqLR+KbI^FAMTv^-qhu4#<=rx{4(#~1fVw0v0ra{ccwv0Ui-U7quzpr zBuv@-c(`CCKMMl&=Ui!j+8{^)XFm;s=~Ci22Ak_A>-LAY&7IBbcjcFoVG^4;6^CfI ziQDGv{;s*;x1>2L6$PvJhjZWd<|5}x`-|%pU;l@1d{yn)#$5)lt5|<~;W$6LB#9*5 zH_6oHy&J!o|B||m$wB5c;cQEvEcN7=UY)I+ORM#Ux{S#w0Sx|}UCh-2OKEeUx6BU* z&ufvNFz-u9yEP(4Tv}HnuZdNyah_7G)m4*6)6Ka>&@bKD5bNZ67IS$uN4SGfi6y(6 zx&9laZEu(TzTTeK-(FkV;7;ZaRxZq6)4p(9J{l>0CNOYy$MU?yTYr5?GFgS+7gsci z@A6<&iDjxy+6x$c-{~R++&ulC4Cox2lm_@w8<65pbru0v5;sEp&AYcr2+scNP4;gu zCl`g+X~-S3CK3GiQD>-8O)`gDp3|B?mL|;?{Ka6->M@1=1Us?=WBFlCs`{Fbke*M} zjIH@)Zs7~AjT>M0TruewOLU^ve(et%<u^afO~oRT8&<WnX5)7QW`&sU{qNp<J{%%h z0QXY2ae9yCgMV|nU-qW|eTvDEUq*qB%UOM{g6(=>n}s9o(@n5W>6iBlSFH<@%e)7i z&Zi@jmc-_a-={-!)i#8tV1!i9o(~V+H_qN%Y+n`e@@yK4Z5kG4^jba~+dnuz*0DQ~ z=f2v&J0HUfzueXWTS5x#{CwW7{7(hn=62$`MlQb0jT`&xu4hjk658oCad+m=E;E<M z(0DsJ&I9lFgHeBst7=8|bZ#4BXjF28ug$>O&MFhDjL&n=PxQ0^e~p+yYJ9)e`5M*- zR#zD@6;9PPzu8v}o)3<Thm!~=o^qHpGi)^pqoS}t$L9{fX3I%<<JFl%4hTgSD(6pI z$5z{rPB?|B&+qE$s;GFVz&*SC(Ebs|UmTXH-Y<$X-&k;wlY_e^_gn<+wApoP=xrqV zCF`r5*xK6t!^6X7^NS5bbzj~5j8yPrcwfE?DWdnPM-NNxMafALPUE#8oj~fTVUVfh z7XzsZ!jT{#diVLmN|U#Oz}zoh3vvY(xd>)&A`8egE_>=e?EYE<)8as07*;B^$f_%$ zpMc#;Rfy&H8-e@&u99gk=hw1=`Ul1f8V@RNMRiW-c*Vuw<@F|*{mU)kuUo(7Yu__` zU4p|oZas@Sl2xdUaQQXLy&-?w1~(FVr=&o_mjWeV(66jfY1QdGocrUU;5WBiJBaD{ zk6CmFV!Hc)nC>W6=iv{cV?K&&20c!5YwR9jh}IXHZe2!kLY1F>H?TRT?urIhz%LS7 zzqZLBbD1ld-fJB0O$JNe*Ci|si)tBFuYLHiu{JS$7U=8dBvR?04@m|-4z|{}J^<(E z+&khBq8IW~B6lLiF33i?I^FJYo~r8*4TG4d5RDgKP?6;bDg+Ec#hL=B@DTtNTfDyT zR>0~C__USdZz@kqBo*tg(1wZDI;2^R?GP(Pv)CilPBo(3c5cx1a#0NNN(6?1j$@nA z3s?lYc{TCe?Ad(Z(>{Pb()L8ez{V2+e5~U#yw2}A@=Y<hPvB`j<CMYl5snpqLV4hK zMk28k%qkIMH$}zO+YlgC+ny;yDSXz)LmQ3;NM9JLzft0Ja=6h-u|!Xqz=u%Ki1$3- zo)-P2S9`7~Bz7MPT?lHjs}~ysjh<UihGR@+qEbz;<=Nh4){_B^AN<KFWB5ummgW95 z4lT(pQ_kZd8Wn{h4TK`9Uq_FN%VW8HjHxkVwKe4RG*J`WQEe5Z%+_IuZ}YC+AKsA! zQnZtj7&!jMUz*H3(S#n)T+`IyLiQpZ?;|CgEi=BGrj{u^vWXnZI7ARL(-6LsCk8qe z9i?1(C<*q?S=|9h{T$fN8iEvl@gb#WF&KhI&y%cz8WND-ZHhXuZmZk{FXF!C7Oll; z%Cji8&A`nW<Fz%2@-B_yl;e%bYo52Sg?u+6MycM#(2$S8qRT}GNOw+xs-RTTY&npo z=gU%e@gpIq1dRmKw@>QL!*((V0zsXDSEk!kIJnrcZ~`jz4kIuon)^6rtB4xcIs@n9 zp%l#nl_Y-MyJ0wy&#q6r9&gFZX7=3SyZHDo5#LJAXQ(!31m!fo2OOX;=#(M;G3T6A z8NaZ%OQcH4$b^UycAUYghv*QULvsa0VfM=9;bbIT+5YeeV}7o(x`-2FWTc8kja$5` zk|}hcYy!}AInu6SM)3xXLr2(lt`1z=Fos-qgRIvtJNH}|xpWxu8*8gx3cM>vY*7q4 z546irTF=;i#F~Du(IwJM&eMV|9?$0Ybq+lZcsb%Y6?OXM_(ql<OKZ<9Tp~`8^MjA? zQkdG?ZCwEE*;c#rMX)-;qR`(B6PK!<u2hRWD-)2VO;AFfQn1wcQHQZI4_7M5&1DZV zNqN&OTSgsjRK2k}VdtIA=rIeg23icaDmpB*ivAu_YhZFXOVHuKHvhZOLPE|3xJP6i zG3j+M<&j7DG?axa-6>oC%{?oS+ZmCZP=9oN(F3n6HUB{6w8XHl3LC)`Qs7M17c7uY z1_mitAdwY*TUr_<?zfGIg&21t$;8}KKyDf1g$fopW4a|9ySvAU)(-Bl&s54id6pWh zdNb)=XyB^^QKGa$?ie<KA%g~)GmU3FtW~<pfylR^lXdf(#W4$!8Eut&F+M7@ouBrY zZoS8at>tRyu2ZM81SX_}k(nW1oD5{n0oe8?Rj39W73947l*m<m-Ha1Y{X)HW_@i0# z2q9ejN%bxdPfBU7q!CTsdgULPN?qv{zYVd%Dml@nCMnY9={|n`wPhO!OH|BJucoRb zlIJxjEn1uEY#m{v3Q?#C1w8y3@yz=bO7!J-2cbmjwcW<ZkcD~Hj6i+pJ<pm05kg$} zlZnJUlX3G^+I+THOj@x*e@fv~Cq~oiV9(pqPa{T4HYj<HR)6rcK@R5^t<S(LNjFk! z)N8^f5`IaYx3r(Ci2D9Rym@n5fs2}T)RBz)s8BscLeHn!P2T&f6k~Gc(vSN}qVKIG zTH#6x3q`w1<wfw&quWwReXV(@z!?p*PJL=$t~H$Qu(U-C^GJcN+Sf$e1zn2J@05r8 z!GXGpM8U*V7es9nn`$sBwv8WkAN3uZA)wjMv#shOuyQ`d>7^ONvH~1Jh^R*s#AjLa zzZ<+OA4D`}J`RkR{K`0abKSF-zD$-mMK>U>r7+e8VFonN4hgC)pvxhY0A6p$zwX|= zfhCMHmOm^UUJ%YUxk|voLRMEqUbv5nT)XtOwQyILo`cA%Gs~}^w<}*LJH|Lju#PK# z8?HnYh&5iv+x~iD?KZj-yf_H1n!atgHR(cVN<*#q;yIC7--W=XgZkSA=>Bz~$Bm0x z&pc_P>YaKx=J$C?^YG0v2Z$Zd68K)JPxGZzY|m&g+R4Kf#`)_*y?{EUGfdjjQTI3W zx{hmA@nPq(9SD>U`pwTrQx_jMdaGb79gi;>!`CZ*`(BHZ-E)IlbZ)LbwgQv+_c1s1 zR;D5Y;dEtb$Tu-~r&P-@Ydc>6d=Dx<Jd^l0s@3!;0?_1`d6({Q&42~23qDE5I&N-j z!})72uosB$AixZncCGvFf7@Bt&;D^r*8Ww1{+9Xji;}0{<<K9`x{D27<KYYC@(uKc zW7YPY#yjTA(jU6-32zhH!*2_toBQ|I?<boBuU~F%Og}ts+RMXN%=y{)e<~O{#HGgh zT?jpJc~8uo#;SA5a{@xTz6D2<`-KH&Fs!%lKc!a2FlomG%CG0{Ut9K{qUpxVxm%jK zbAy@$|4e5;WYeGX*wqcqs2u3u{KBnVz@U|{F21imI#WrsQBTxw=QYTtYHWNhH&p1= zaXiq6kScF#tosGsL6%Pi*sa^{bm$Dzl+t)&F8v`XAt`ZoS^;vHZ5H;4AHAP?OeP?K zI#fKXV%&)}%+ptBM?(sQJt#^(Dn<sBWYrNN1S>bLZ|dPV5WqACsyd|M@7R@=v7<zm zrc6a=n#0IZN)s=`1$il_gZsu5ousvqG*PE_`PR@@@A~2cj~+TQV<`wGW<>TwEPM3V z>?Lzcmb4H^N5Es#%-8apYqhn9IMMG@|G4f%C!DrEw?}+vGz6<`=JxESaoSQJ%J7K7 z{V`f7Ew_EUk7x*OJu+7(n=4!r%t+#iK+<$pdGoot6BjJ(KLwBDlO|Q3lBww$ieV@O z1|@U_uur7BRBUF6Ht6}VumPid<X|;s>a-n4yQC}By8!N3zd_l;Wt-WxHKNsgGDCz^ zrxAIvS4-Rh+E7P=E}vjPXey^}B_mx~G{Y8>0^wg%r>up>4my!~>4y;XwR*5CYHEJ< z(Bock)0-68zj0S>yt22rmECl7$-UVNv(4n83rT-4iwB;tr3eLxtBY81?>}7?w*`Ht zmz@ca2Mz6+PL>wsvsb&?w+L|^Pwqb)x}|;zh})6=z*23N^E`mD8L~7^)L>9b<+OVI zL=u#r?=@-N>M@7!m+AWzLm4Q|tI6k~S6lgO=fs3;;Yn3hZ>0tXrGZ{2*S3Bs8|!9% zqpeg&@*Nuo)40-uU?Rn-gm!YM{}pi{HQmcfTn?CT9{7Y_opCVcDPL$zs-1|)vvx=5 zZlA=a@<Bb!=*JiTZ0n4bMHbK~mLXGM?^=MsrAG)cA@2kmlz*x@5WRVyW?}sx0%1MB zI7}Py`~){)f2AkO&EZ~yXeym^gaK>DE(~h}v&ghEG0{dJfd3^|{?ou5Te^@I8%Jpr zm^Cn8PVS0EEoJ6nZHk>TIq_)*JY5aeeLzeQKX}EBq~<MreBx95KbysXSo(ZrZ-!Gv zx={Z_Ze)7KKwED{T^u^pM6QMPwA6&6`tR+D<*aA$26{MgdNnNdIP}o@F<MF_2}xI3 zA?Z)*XS?zAaFX<Dl<G0)k(%wYMF`>vfIL=|ro{kx3Nuc5kZBQy6vYDGtZZ?VbOq_B za>;91E@?salidV*I9Yl%Nl;vZW_tuEE>RvU2^2<|!c1Krln)A{TEJ72D~^({AZ09< zbd}?hHUxzw@<%Axs~LjA(lpy!i%@nG^|8`GVN@y1%;mwYML2_0fJF#Zdsz`mA;^^y zjh=jL4hoX9S5st{mMx5uJtZYCmqH)K1CL}TE)VtsMNn9TP_)~E0uuDGVxrNbkIfVK zBc$xr7}=$13!@}YNioW$5=QU>BbZTE;CADY^**sfa2R3Lqf3$z7SdWqlS+OHZtdE; zAYgA@;b1TUiW`DVGp3xRkMsj1xPHQWgxYgL<D9O>Jdis>5{l`R#b>SgZu*<St|#jT zVnb<fdp5XN_Y@Gc-d-Xs*dpi#?hQ3Tz*=lyb}(oTPLjm&I<H?x1hcuET_9+=-pWv3 zz$1bhYT2w&CF5~VMYHdiWRe?q8W8Q^g2hZ})=vTmxm@7vA|eecc6M7d`imP=2$&)N z3WP<qS`je&cW@fY8x-%byNFn{Mi>-=C=wtF_CE?kSXAeMQQpVm0p;^wNhcQfRs($w zUHu;fnyIQZ)<@sI)^q|Yg_snu9_G&R{JmO^=*a6(tL)hP5IIQ)TB`!!NRT|?&58gr zOZSiZPI({t05enEwN$!&tJqsH;^sE0x?^c1yhc942#0By$)3$oY`P^BR_p#qhjEym z9;JEg^6h=X`kjF$3rN%L!;|ltE;mB8LTU0ncH1Q<-?7~4OGC*&TU^SmmLx#N%n=KZ zIpyHY_LQurmd~Ku=BMJegz6(fX1N0fC1Gh>vKwY~7{-uU9tI^5X#$0a6C*1IzEREQ zxndo+zq}sKXNoE}Ua3|Qp`+3q#yHL&1UbOFJ?>HaRBYk%tZ`jjX4kh3#7-IL8^a=; zT%?%#hkG=|TMiaG&D!Dyx<_Y2S!@<|wrCgO0yyV|F$~MZm73YWtc$C`gH(yMy(?6a zIp(Gzt&86T!Px%e56gfb1#9@7j+9nj2*Cl!C-sEdr)O}&_f&BzKm_60#uDOIm|6d( z1aTPQ;mq^-pbg8yGUSrLCgd9^Nh1QoELuTrcEmxcGHl8~m_?(9Uj0oFbvDBz|1J@> zDiegL<%$TAu<J(&Hl+F@>rz1PEJmx|83)yeM}f2RhazwtbSHX|z=yC&bM|e?01$1^ zJF?fp7;qNRULoCaP;Q72iJ)M#Av|<=fCPRYCxmkFMV2(0%{);aH)+|AGCqrz9^ak> zo>_B{2~OcVsBb*bP50_Y8IZLh1JQ~N>VkDBLg5h<)I!Qk_um}T{*$Aa;lD(B@F*B( zrKmhf;4P`Y1M)*~@*ZrFpwNs+^F%gr3?oVN|EH_3fQusf+Fq7!P)g|#q#L9`5K#na z6j%_DmhK!v1X)r_KtQ^?8>FSXySq#3o7Mjt-@Cuxow?7P^PGF`Eaxn9@0}UYrg3WE z$6#+6(lA7eaZjlp(Nhe)p#R5_?ZA+AC<**WiQs-t2xIt1k^2}dDMK0nQoP@TUGg@F z;*#lP3w#bb2_Z5>p<O0J*`-GkbT?khbGQ|MU=z@w2ncQ>zBF0Y4=JHlh?6vl@%dU# z*fzFn-uSmq!UI+tq3cHC>K~qk#Lv`_o#$aGj}?$uZDy-A7C+$3dOG{(R$r|Uc7}*h z*>a&-HNe2reHYkfyAmo#5$vOF4TiXOvehs+MKd$%>_0N?^X+l8_qWe(rWYgMIqlr5 z7=ZZA^AVScbPk)0$9%~6hdL2<d){8~vZ4-juEW88L?B#f{<!5A_x3?|ER;iKYD(t$ zQQZ)Q#C2%%S2_?!ra1fPAs8p`ni1LqF(9sa0AjL#I1<F~LHuFi01-zfayU%;H-Si8 z#RJYSUJDtxUQgV()9J-t$+>Gu|5u3L-SOWi$uRZYTHN8PFjWTy%r<@bt+t7Qf|P%2 z0cmYk(5VD)508<N#_#yO^%spfW}3!dC9n&as@;iL-^Vkd;Y{z+E>D+9@fM>y#b%{I zX@Q%-ahqk?azuEK5Hqi?9_XDMyqaFOyN?I_=7j&N0Y0U_7C+d>?CBxb-^l)+ZbIY2 z`7~U5YoYjfSMS8?h`n~9X&uJ9=URSiQS4HaDcZWer+0#so(B+keUg9YWoIeg5SKJ% zGu=kDDbPt5o?U_EP&CN{>^4@OmVbF?w6rld%-PK^-{@OFsP7o{_?!AmVJdPZ%B@(O ze7uny1IMWIJPk^-d%>T=!<>)aQ8JqT+J1`rBVSl{Q$pG?U5_VNm}4(%P)Tpq3d@a- zY4bvQzh9|ADb&86E!Xj@#3tG!cbGzt%g@j+1K-Uhk-5*^B4dsuJps&Q?lD<3gIz~5 z_7$I6Z1EKb(^jLKvE+L6_^Q4l>&%n(jf%9D+XJRfcTUED8HxP@u|`<0iRQsLRor1` zZ>>(Uo}OZVQIWU+{@abnFvk&SQUdr0*?CWt`5ofx|1%V9!0kCvoSQtReM_ZqO?_R| zxe<#R0VM;h-5xzqSk=^AT|Vh^*t>3MZCJTYJkJP|=+8_ZUw*C@Av;RqTJ7vs4A|9v zw8rdTNJ@M=5rb8tUh4#oq|QvQz^6Ri5DORz_NW^@#(HWt<O;;5T<+SEm$vTB^@cAK zx0cOMFBYYA%uDKUI;?jZT0H-p{jvMA-ns|$aR7JdzpnLE7tgZt&=%-V<cHVA3(?<v z^F=BtySmx6ZyqQgOG>J-L+9oT7kD#puH+K$NK{?9A{U>36!2>ZZ)fO}w01OpU`CI= z_VA~&9g2Z#vyw?QURKMCFrE4bFqV;T`UxM`ScXvg@clPJ-cP(A=F`Ln-(1ovB5lw; z0+RsR{_w$(Y<!l*?PXTQXBC&0Uafa{?zsr#Q4esKkY-%c_AjoT&a97=!3XYULUj{E z4~5^^bIEy{a&I&7qUe0%CB^4{emm;zUiQ%pyk?mgKCTwEcwX`RRGJ@c6fWkixT|<w zJm#QpElGOLIP7nv>>;I2VmW7(SYWs@j${bX$GA&BD3w;|*Ik&w`Xq#6$pP1!#ff3` zc|7-&odVUv{#gxwSa~f)FlTmuYRLX4JjSZsF=-si{`I@nm>L)FNNszr8lxhrML9P- zrm9(^S#|K*YEo@zHKyUHxt8)-%^JsnBVY2O>&jcJsl0$mhM`pr_gjLUk>pt((u)Lu zo-Tl?YB%J1`pAL8mxe!pdKpJov56f+r4#e3eqRd>KS`P~=yNoQCHS%}C-Aw5&quEn zMyMe(a2GXuJ-JGm!y65fJ0)D`*~g<$Hu!3f>c_zdqa!$fO?gutg{8Az2om*nVCJ`v zuK=m&FYzv&UAR@ZdFbP@j-$ISGj%{9`s<4y>Et%onn#;=Nh6<L&MqE%U1y>w*FCDQ z(&F9q3gnw<5v=wI-#h6DZ3LN9;&n@c^<Lrp=A8@k?P5${C)ryy?mHTAeS{x46IGv& z0~Zk%+t~L0Kbn5NhF8`JG_}>agezMld{~Cf9_(j4v8$p#JkQ8xb;l5!ngg6ZvTk+O zQh@)XC>aS6=qZJ~m~l=pScbK=USIzr{3gu~elZi<7R)HP9O!zpC^6?YS*jQN1wAN{ zcRbl;Y@wXl!>N{qJzPgcR38rqGrzl#UWmBJg`=qI#!*DND?U_oUHkTCUr3p2ZH-5P zicaC#T6B+K&4!Hi4Rg_(U)_LM7I+hP=_96#AGs21(TYMff((QrqHB&#vF0KVq!#0! zKzz`wv-C(m7pAp!7jJ)9d<@sNr)m5t3>T;q*l4ShLoK+7&yb+$PyaFdFq9o%bRk+= zLd{Te?p-};57O1~DA}pL(4~PGho$vw%f)e6=f*@|>%X~)xg}u>V1atSb_LeUEuuNn zzSjHho6z%)*<$dWw)4FM>&bm*MWpK<->0sp49;!cuE#XJV5de-U%<Hrqim~qz_J>M z<2BlC5wi$uF4Ak&Q%H3F8C+`R%WLLK@9H+VJmOI6EBXtZziC2>dE%%dRv;+2G?C~j zcE!YX3wK@H`^A?AG~FLt?;ikyyCVS{o(mSW1$5rlSF<bUk{SJm)33hbx|kc?>7Pou zEbF`IegtdXcFX#g4GQ4q>qBs}M*wb=@s^hq`dhuOpHP2c+Fqxg|DcYQRXY@5ae{Ae zo}fDQqjp`5KPyv+xG%><k$-{$)!sZ#wVOX{-CPkEJ44Tk7VXWck5_f)&-;V5!+8#q zT3-6~+V(`k|NC64|93+@ReCDL5BpSD;<M3g{k_jf?RdgSc))VZ9mY6`5*GipW7wdt z%zrJOCNnC|vy#9MQQ}gW^U+UCX{Qh6k)~c^msIka_}k26VG~xpCH1vR=4i7drNXiW zWbiTuBodkj#YRH+O9v*GR8BEZWn+!vUFf}H)V1eEjI_3sI7U=29H7n@L<P)(qdlVG z?8v)izeSH2HRWFRE$GUGpx&6|q(EE*Qi><bMkOJl@+f4B@97#L0}qx^U19<`n#*Kt zkdmJCQi{rxiDVlp!nn4-W8L4HJW}rkvh`(AdADPg8-k~e)Hu?ta<ZvMHLucw<1?On z9kQkU%J!xf?aAh0nMEJ4V_{de*yG*Wtn_Stvmgt+<SetFFj9YX^%>pEqF=8(425d` zdggjW(X0kJdE`W6-x=6u5tJZ%Y4!W5{|F^+qSUBZD#}_AbK4j?snZ|95InJLpcMnl zkj~Pi-7J02ua#!)ds5`q@YK_vbN14U4n{{GNVdz|tq8t*SXK&+68%0ep_QCYbs@vH z@aPg?FnylEZrX*`W-d`!#km<d=qLR1Cl{lNO4??Ne)zHD>x);1HMBORf6_J5m6BUe zUwOS}`<{U&obHL$TQ({jz^52vnF<6mRJ~a6pzBV5ekphzE%)c)tHvM6^Q)CNb#30| zvbBfAlGDu#QA-v~>V?l$&kg0gb+)R)X)tag5>mQavXkZeJuWc9S#Ng<Aw$6mFKGih z>UHeaAHD?DNJD8b?0uKXRu^sJ<*gn@&Jabo3qKHgcDM1(-M3qeFk<HMR|?=q@#43c zU#pcet4YB!c>TpT-D2&lNkLt4vkTl^mbqPGt*c32yW-R;xVu_}*f?r7zR%hd3PrvX zLK8aA%0Q}N!BA#V4ps>xO8ZGnD$u|sNBDyIOimd~i@{(7`&ZO!qAWG~c4ku;T!9lq zjYT<KC4?yFXT~#u1{$>-nUdE4ze>KZ2L9Bk?}v;BFIdOqhOo2_KZ4BY*F;4ilhrgN z24uctQEmg7CE(R~2G;YK*F<HYjLr1P4^XDXqC5)9Dt@-Rb2JDCYMK8BQb55*%yV8S z%k!&@`VLb~p895Iki1~0M`f@5o`Gi&qeWB}3=oxW(!~e|zB5;D<56i&g==op=;pjQ z`YpE<#G#erX9_Y{=}}p0-+_#1Euy?&qTEfoXyHILbLDg%m7l3_)omKZoENsg<@AF% zUQc19M&Z7X;=vPw1(y=GJta>iGfF3TL6K73_rxM>*UQwVj7hT*%M`VR=ckC$7YlS? z?(TbvBk_!Lt2)U$j86kSghS->L<S=MWT&&4lx1|gQ@#z%C8(?zpIRtoTPysnUXBd@ z4%&-aX2G8HP3r^?;Ez8$Z4;|a+X&O45Zmv{nBf<|6SI|fI=v}@r*%@+JtJ$aS}oL} zZJ6>m>)6rdO@hfy+idd^o1xx5o4NyBfl|b1upCPT^aF;vzSDjRM?uXKWm$T(a(%_d zv{9d(G0Tsm+fQ_Jb)<fh^Ojd9exGp=LSwf@H#WVRi%n_YtDi+1;x_#%!Xe#rP>I8C zm1P9MSum<m$tdsDkQz0Lb5C`e_S6_|lB!f=6DxQ61#7`Mn-r@JaqGo&z5~R*x&>1x zh1E#qqMw*K)8iLpb}^b{#!KoM=h%vrIh~w79aSTN{Na@knMtW|Z+Mx)XmxG;R`7Tb zT>fn)XwY2RN9(K8>ZCmzFs@W>o0LWKVeAXZME0E14d|10Udozwc}hFKsk1{fS$P}# z*x<1kh|dPmnh*O%qgm1cqcD6)j;`6ra#ect$GKv<aWg72eh<EktE}Fjf^HaAj8+#^ zh)w7dnH#iLj^3c{;I+pnk<VAsXK53uy88w6STm%pjWRtM&OKU7Ww3sTAH`cn?%T3k z*zn|oNgKFgfj}XMHvdV0v1!@<>uA<4i=w?w9+dJg0$YV@gascop>llpk$)ytwYVh} zbMTtjG9T#FnZ?T1QYzjlgmQQY<>B3<<wO*!Af+QYKU=aRjxX(lgtJ<r6SUc|BgN*? znoy9^Xl_?S0{@EnSKriQc15H8h%GLK$^fsPRqNmiMSe!^ie6uoBxqGgU$g^N9^lbv z#`_^l;Rv3#t(kXCQ7U@Fj_{Z;4AaT{n_4l4vHggYqj(nam~;w*bO|zv4s4)YXtPV7 zd(?-pq0!5fiUb{U5m-RL!*tTmEbSscXo4dQi0|;2<Tx=jf}Ed8k<q_ky`fYL2XqMv zL9#EGY>?(9sMbL9fq8xjML+m&Ah++^_@2se_jrr^u&H5jlNwa1Z^isY#%#U>ws*RV z$0S+g67cXdx60_Rg(Z|NRAu>pK4$;(F*OEs1kxcV1?Mm>0gVeO_wuN8rNZBVOgTlO zl3=1@U~`$ct`+m!!**7Bf7V)4M2o93L%-!(f;cq(aq@XovQyz||2R3pMA@6mME@wv z^#07XPeBTF73>odks&Ixu$@#e!pWax%rb;8pMD5<SUnZVs)xGk{LDvW*ReST@eT3+ zhGP5FBhJwwkV!MUH2Ss9lGw787F<|~TJ^Q93fz3Qz{VRpoO9JIlzrb!LLpYj4hE_7 zCJa0acU|p6E1^0R!Ash9nuS405>B?K)rO?#hL<t3(X8ncOx35(43^ajwp*Ot<JeE4 zwUGQV)%68*`D$IZ!2!ss*6xSM(_9JiPaPNC_yN-|)VQ@OYgo{^?_i*|;dn1~`V^|6 zvNv7xfPox~#C3x)7@hlb%~|^kN!17s<O}t6jS6x3O*e@&Tx84uf<w(%jlgCI+>O9g ze=#NkHy|*{Uz|e>;t~YLs|Mq~e$MYsECPQQaKZ=gh2RX#h@O^e#~fQeczk;BYP58g ze{+Fm%-6zo5$2cu&Oct#qj{Pig9~S2)~F5*iC;qY!`@>QvM<)hr7*w5@~Pg>Xva+_ zPPlG}VE@8is87z<>TawF=A-6ZT=qg`a!9M7re>~hjP(#0`9E>JmlASW)unpE+yGp5 zt5FLu8@++$JlDD_l)6t!Pvme0Z_t=zcl@u$4UPV`R%J0&C?(lvU7Qc|gR~x2vd{2u zrnk%sACN4RUpF|;^N$n<%xj~|gCSgIK0hx^-8@LlIjg<#Sz;=z=@-oCoT_QL;JfD0 zd2RLb46fb2c587c;A`T!ISezvv(!t*vo!OBmMfjLltBtI<Lg5^Q`q;MJ<5&rbV9{@ zWM<GIpaKLkNaC3&^oQ-Cr9{HoU`)R;Qu&L8fWHcFRfNhjBGn+4L!`NB13W|L_HXel zw`LzoYM+MeZkD|%zm57Ptu35^GHAs!_{${D^!k(>2&m+{Li@@7N73c<J>#@^az_e# zUnY{N{)fk+*n?Pp?*hhWJXaS<ti_=&kLKUhCTF*kSMz?AkWTROH9^vimN+>_uW)%n zg}b+mnRIsa+WDs3r9_@}{s8%q$0ObW`z@SQq+%IY6vEk>_v&I56l$~1M}Wecc!x*@ zI4K}fxy&vAO3Pk3_kmIi)_Gn8mE0``WL7OJaDlSAR~Hqa%$;?93<@$69MXbXclK0m zUEozYkb0bZ#yQvF<@UP*mj%q`o<r;50tR;7-Gv~*Z<j8Q_7&FV>I-PuwZ1wVJ`;?# zJ~?+QH+|9=vNWxh)HhQP45dc92z)VAz2dhPl!a?7PmozDtc}(05rYg(!)N@_Z%@wU zL56t9(y$s;-wXsn0H2<#dc|w~(ob5uJ_YGAz^<Iddfiglbm(>cqt>`rp5ThFJG4T@ z8Evug<wpke>jMM{7W+6QFI0{1BVM}Z-(Km%qu)!DNXDH3FR6?S&U|s<(T^<NL5wP? zU8BB^Ve_+T7^mwaMZNc09U>bqx?2?+Bob(9jO!;&o^UFXfHfo}!`@uz?d!cMA}OmX z+iKq_yBgcwp4*#V$vj;Tt9Y55RTb7OQcvf^$XN-@N?dB+pGrvR-6pxtdO9wz9Sv;_ z^$+>HXVZEEEGQg>KBckyM&Q%t({*<d@UqiA@^&tj^!xIKGgHxp^IPC4$>jW;SO*>X zU<Y#C+}?;~VQ+U{EN58g+qaBGVHa;Nf|l6ZLoX`D*gra;rRyf{QodDu%x^{ggGk=G zsnXz$7!~$s2F`Ew8zPy#TSw<h-7V)^cSB37ooTS5THss7&2YVGnPlgAO9+(gyao9K z+f`M9Ny6{Dfrdt6>zk`Sw~C}sot#Y90V18ZD;2JyC#U=K{qx)&jlabJyF=AO54?~q zigTynY4~ZGy~AV_0Hu1HySeFdf1OF(@SVcye$%YWp}CJ24lYcTugjbh6-|6AJ|3dy zX;rsz2uv{eCFA1(Nff)(`wF_d)R$-HA6Kp-Ga{?NS#>uL@rHVxx?7LB?~TbiZ>&uY z3K>nPNhTr_PP%Ka9sl?8MArMul}~?|*^Rs^R07<y(65=Y<nu}TZ}z6H94s*xYSSyg zca$!SQ|a}tkDRpc{xP?_KU~Yx1^UIRRwpNWx8{%Fdx@Eb;vTl6dB@acJyT;6jp9vS z_aQ9~PFH<3ud;44|G0TtZY)al-e1Op4*~qxL;d*zs2JQBnE{8da84^f!tX9uM{lfM zPQwP)Ps#?K-k4r2RJL^5SsV%qV9asFsTt{jOB|>E_t@4QfS!7*_i&7lPzL!T;_At( z;}ti_{5OYHxt-i~m!0=h**F+#glk;&!}Y@2)8~RA!}Cp5W5)EM6?+pMov|eM+9Az_ zdChJaAxKWhz6HtusXp}a%!KYl3!qIhVeG0Bzbh)|ZnmN=)@CWzH8b?~3~Clv_Bne^ zj=akYpg5_bj<Z_g6b}$i;{MarNOCSUwIJ4Ns#ad4W71$vob1xge{PjOUc>$O&`XUi zia5R5r#2C+c@BlWoK{5DU2*EdChMevSURiyEQul~aB@@*JJaa?l&kg-C}~ak>y6=? zWmzBi53LM)b1z%N=7I>#JO|xAP5?p9Qx`7!M;>EI)ci|6HW$uXj}^euS{-!|@8h&2 zD(}kL(uvoberg&aKjO;QRIWTo7nW&n>SdPp^97#5#=y<6tQ7nvu0QcABgDrE8Gl&K z^cHcbAr5b^tN#<S5N{&qi99))<-Mj;;q(vs(jU2%oe4noS94wwkEV?rM-G2$F?9EU zjEt4{<{1)?h*_|F9r{00BisH=#gN;0b%{iP&VmIvSbe6xwfVU`Wk#&#wb|+u6mZOv zeN!EPO#DJj_@D*f?O5r$skE^*39Z<HYX66?Q`|}3^}d*casLGs<560<%dWVCQ@=Ac zTYeX?iIWH%NMPjq$LxnH>&Cmeca)W#T{oo`l~Feq02jZF4WpvNLSQD69)?-XXe6JJ zcYCi~jEw!JN|`Ar_R>|IHB6&?wwFT&5<Tii_1xLYEIif+hk4iw!<h)$Lf(@8IU--^ zKkvt{p(2b5BTw#UJtn8dXVdjp^I5BVO!bcM-H;<-anpi@T3Kv4|D6{zR$)ZvmJs?{ zJM&dCJ3E!&o5X?>az^Z(AZbacMM}m%)<G~Wr{x^%ekmY*+^hcL_D<P~087_<4>loi zb>1&iXYjTS+-S<AvVTfhU+gnFDc|t98xjET?k{@Dl#x+Xt8e$Suv#6ld9|Lhd_GwL z)P$MDmcfTBzibtA-<5k7HzhFOCVU#iGvhhgnrb&m?gbYJ6Ha}{^##b26w31)+$)AU z4kgaBy4-puj77}MwyLY@SN51i^Rw&fSLP7=ruvmWaGy7q@T)b5^xlDWY<5h7YJ6<} z&5}NBuY(9tl?SV5l|+nMfaprP18n6_p}O^J{nYlM#(vL|i*&9bI&`NAZI$n)n)USl zU!B^?!K&>8cz;OAMH<&op^FIC!@VHqw8OzdH(soV?20UdS3KvspuKvp)DxrKf~RlF zyJEL=9=S7NJ!G>p5`Nn;ANUKUZrbzdo8r8=FNiAaZx#5AsEa)nEoPnf{e=Se{EoL< z&GD(7T<K}?tzJ#srnbEkooLok*b5OqUrQ0Dtj>k#n9!^g$B=K9LTuSCWJSKeLp|Op zY1W#)lxp8Av9ymhse%v3eCf6!r1}(Qi=i#Q%0Wuq33IQX$><b;xjIlk_;h`Je*L9{ z?GM`$Cs5Hk;ixc+cVD;C5E%>p?c?c9@Y{-bV6g}!j*I$|BvxR(34q&<GTt(p4%442 zF3c&wozRV(vtWNTb3XZgy7kN{_|rd(xf?fYvD#>*Bx*I`9P^e2T9^A7N;s)*L=@Ou z$dVz6;-oHwN>`lsnuWjzrmo?Gv70-LCG$Zu7SN>et1E4TKGG4TPO&!(?nTs?QtUql zk3t{KMz4`{Ef%uXNTN9#O`-Bsv&wUZx4_<e`QYsTet;umqZzAD;|FKbanKEkFhCfh zKz=l<{^U{DU?EG7<QFG(Gc=y^yx+XxDKPa^ADq2h9^8+nn$hMo&+AHckRNI7_5lv2 zFP)58djy`!%-lyO=2v#Y+(?fc{H}$R3vvk?uU5mtkuz4z%wesoGkEAMR5N)$kNs%y zMv2$RqomkBh414?-LrUk_cna`CM>cc_-eUW1Uv_k!qOX6uShgTpE}0$TSuXcKUcNJ zCq#(_(j@{z5{19xM}-x@Or$(4vVdli_;4YSLAYM;D_?*teAIikB+#h6Xxa-*3+p3E z=@=aMf!^(CGKneZzdK3N;kcJ1ZOC=YPrsu(wcB!mk!w3YnMQP*CqbugJHpU^gWSFn zlf&!e)$>swX3OyA13RCiyGnxdQ2_n}a<{v54kh7AdsxEij1c;E&@DbXupQL!mWstH z9F|s>HoJ|tD%U+mnNZhVxZgEv<mTwE22x+zRn*s|pR@T6+d8{;){KF%rBJZ0|AKOz z(nRB=xKN-ZKEScU_3!b#b$ytn20#9ed1S8ZJIzGZJ{kDS^4Ammg$G)WviuL(@h%+q z;fYG%VTwuEhAO~2RQN109t^Rm(KqF+ikZf#Fk23OFuGK8Tun6-UxA96^A3%{iE?0V z!^9D+z3h{zo8~iTe7E}BAt^ZVMIRVJ4CaiJW9v%1ErkO9@t95(k4C{jQ~0^=1GBy3 zd&qXKFI>D*TTE>7R`tVmlbz~N)p@?a!E%@pP)C9~;}Td_E4k%Dr8U7amD%bW)Oo~` zy*n6y>X^~vM5H!LGb0;-Z_y*b-}?pI_Q%My7Nc|R-Lw7UmBd2v`K@I`cdZ0x!U2K4 zqgxdb57<Q8-eJzeLCLM^f032!8^oSf5&BbVBN$q?^qitXEWo59WJ(a%>)p(881O%X z?XovcwoZ=Xu<JV;=e?uOsq(G*`9hciX)N!``~Ixh*!3^BXLjetUIO=@3W0|23Rdf7 z7iI0cE2rmqMIPmzaT0sGAAgrOmG<xfr~dKVW@=^C;!TY|_)f(IgyZ{}sCQoRyIQew z-c-D_xxRAZ_LQjkKHm?_!xZ|(!J7{SHzLnIQ>f>@TmzT633@LSwNR?|JuGv#J$3^w zP6Hb81kInXUygO_(x?+YFG793fcddE912$oK6frbIePFFO;NYO!_~pVjfa~(FII~) zs?=I^>i*8=dhs*o?H`x>QHx9zH7i)d<elyPqO~Xf$-sbS6H^@!n)ph3d9!*eT9o}G zR@LoL8-okg9t9=Q*U1w`db&rJiB#6qNfe)w_o*ory+o(a>dK=Ad%C+@-nw^oiXHqJ zu+BYWIGXFQ|L=dv`6w56_ddBD{rTiI)-`|b?~ydm2zN0Hv?SVW7O#HaJS*-338t5> z_H;53NIC-o1@CT*#age|K5lFlE04ZPn@O$O-QPnoFW_lEpx$rJny`OMUN$+yO$__l z8I|l_sVk9J>A`$3&>0f>{!9M7f$+vm`=5_{Lkqx_;KfB#!n5_JA`{IK?1PH&DQ!WM z`4tL<oij-Nn!RQGQavt?$D<Qx#m&Cm)FZAparjk0$Tf4U+l|+sJB2h>Cb6nVe&l1C zkB_vN8w(#4CGE8JeV3%YQ)6n(cnG9E6tuU+vMn2vp|$Gpw>!eknA!}k9BzP_lnF<U zz0dFe;CP<PtC?7_9b7T4Ufr+Zn5ngsUoal0T~Jn~Wnxp&zigh-9X+GN+7U!O$hvo$ zI60UPIMk$6sPs-|M4Ow0{r)KYW8d_)tVYaRm*5cMD%FO(*27O6P1+`^l(dkUBHE-Z z?dp~H<@m-JckOEL@$VCIfhbPvDP>owUF3zLay_Y2<kjf)ymH-)BNj_dv)b1^>W>SD ztx)v|%0&{Zhs{wV2%<C}C()4j9={%WC?l<;3s`vA?bv5Acke7D`qOnDtgc-q*3aG~ zdI;SsDiSF<{aU}2sp%#+t7!pC4OmLRl0#-zlLD4FGPA~r?~xfq#&(9GJQ2K=%XYst z>VNQ(2nMKj?M%z{#F3i`+0wIbh$T1j!sqO^Es^Zb!Gc<j+U5A+ZCcdBVqn?sQlp;2 zgQ<hU&e<TJ+nM$B>zbG_EurMRIXp6=nN?;3yhrenwoh(7adyx<A2-Z}KO_B$6y_od z%g6Vn&mue4mY)ni;}sp~=LC8pY@1Bv(FbB0ox~dw!FLB+?^7{*)VS9l-YQ=7NXrgC z9rQDL=S}cXdB)dFCO;GJ^E)80wwD=8>4E}1EOM!ZdGzfC1vZ|Zh~+tlf2L<Ja!1f% zG0}KbTMTNZj(PV3Y2zu|jS{)DpXfahBw9u~73obWD!Rtd%}TuFl|}uEGjJM1qP}v! zr+6{kl2Wt-snu+!Kt^BT)u;C#erLq6aes`VT#?4y`>gh`cIu?!CczWHxFF8sdZ_m6 zfNo~?3g?8w7&#n;Rr{T8O2k)86Qr-NWl}xfMShzI&*uKB_53;4M93OHg8<i8Ttdvd zD8ZPC@X0m?ffo#yk>T%jrI#@ok7Q(gB}junrAn@^><A?`P{}3el_+t<;sB1JEACg5 z?`^!GpqCOM0774hl141PZPW)PEH+coT_)J2uZrt~WH-xS=xT?L`mU+-L91Y2Zz^f3 z(0cMrQ9|n;xLQdC;m2qWVdywNIiB-<L(f)}O8D&H^=bnu=G%9=FX(L+qPqa><3fa; z#b(wB^r9%L`eD~Y7MrE$t^n-P!M}nw)(BF7l%W-DIz;$zxuOe>ZMXeb(ryt!N}7JI z?@Aof44<ImEOb~Frq)FluP3>($-tg)Jrq7%tmyJ%rL67;CVcJ-U)*VLH9F4M;nh2{ zAJ4zuC^(sOT&%N*IMArIO57+!9)Q6`tA=fNZk#AD_q0~4qOG(yDg>cL4Ya_?wD1Gr z<OagaUEGKPjoMEoolfuRz<CHMiACeLsUE~_SiVLC7elI64J7M@;m40Ny#2$^XA0;Z zALs6^`t#{@6u)0O-X5Qi8U>#z<G3Tv)Lo!$MkNq4@f3BmAgu)i!q31{bx3dd(vRFs zzHGRpKIjXzg~;RCcQY;FRY19-u3RevEX`?r_FH+WEKYgJdi>n4kKH>;T7?*cl{g+& zJ>QH5q|H!0niL*g&XPQlUkDh+y7bcKIjmvthJ;tqGqL+yi#vrpC$xV%8aj=O+rZIV zRW`-mO{1cg`A{<(S%C5S${G{(g}<;ZEovQaT8{DwOdA~LlMSKBRtD_-U}?V+S>$w5 z@RZVGpjEQ|bw=UUUwju{XkpEYt5h4Jf5m404&&{558jo|I2DKl=hLfPdz}91f-owE z75XmCXMkUJ>YV9mf%k!SDhOnz?pP5(!~!{aCm$E$%4;|L{L<;*!S-~Y*eekpyPvdi z<hwBp;H^S!#M=NQq+4aNl5xq4hoLZKQShm}&rIrf_vr`AbXfbB<^+<{+8&YR21ze! z%Zgpmwg%-`%OYb~f0cEdKea~kFni%NOn13Y-o?c`GryvlPJF^L?5P~58G#r2jMbf) zW5QoEIuugGqKz_Ks}sbEaXJ@7-@Kzv0ksu4R{<w$E=Ga9mo-mN(%~)gwx~W{%#(zR z>?l<j>Dd49BLs$m7~cF>j)}k!g!~`AngH=#B`9zDE8jxk8xZ?dWK{b~Htp_U9Fll~ ztrNI17t$=QX^WusI_!}6^^?j+7HDJn@WucxhPIh!Dmv0gb%w_A6|FS=rMf{D;JA73 zn-VMTP0>=mmf8$&7!PklZTruY?%~z#7^u_l-qCH)2&??VJZ-E=cHWU(Z5-hyHicX( z<`12{Z*mYs`;6mWU2#WwspSOlUpskQT-4nkaXF*xXo=1-j4C2nx>OZu&2Q2GP`AE} z?<?3y123;XqLKb#6tI!={@zow0I7{1>Wx!9iB_0$9%cRfd7@>pf^!eTU5pbAHLi>} zO=_Re9Q6oS<sbjnZFG>AT#j~Be7_diptBX@-Nv+|Q~I0U-F289>FdjJp^woL=XUAZ z&<8-ieJ6|c?%GQcXzwYDDZ12FusTXHr*WpN2!^mG+gV%H`pg84eDnPF5^0en88?9X zOUGW5hAq}?7|H{@xttR+bh3Y5^=)Hem5)KMzmnlz)>ai7j*{mJW=+DGB*@ocG*qcW zR^lEDx$hdNa!~@Tkmtp@0boviGXrIKO-_o^LY@D?6&I6N2Z`Zz04o6s(CiCJd&5z~ zpHh}e65L2(gSnBbl|(YtVG=5Jh|U2f*Izg=&@zyM4YKS1vON%NP68B(&0oil9~fgG z%SGYo(_naQ`h&)H<EcL=*KeZhKlKy<rtpU`5-Ynbur==f=C?{X={*FeWt$q2L@At) zpfsOTPG@hPNXpUM%(cV43BC+FpTr{3hBUj9a-uvZdwtNShxM5cdI1CK$uRVv-U<Rj z1j85<E=Rry=3;ce-weN$ob+ldYM$@k1iaji?FU+cpTwde;noRYm=bZ~fp$3i^{7BQ zoVxXrpqYkez*o>ry~`0Dt#=9{$68Hb(qcy7Yu4H%qE}h?hkyQ0lASqwF86%3DS98! z-e}m1r)8l1Pnva_Blt#eWtFir?rK%%gz+lyU!JXmNqlCI@zUO^bkv<Gq=i0chX+FZ z$IW)+P{iw8XbFERAu=tR37b!X*w<tA@VnqA;JQvcIx$1c9*Za_$#WMIHYu{>T;ik> zaB|4caN6I%MpdP1{~18hWHo2|Y_`@hdv(r)sYn?sTl=M-b`{>2dgQ%Tb-o+9nW075 zX%J>O9<x05%HK1!F|<UNo*x!=rNy-`e>2jb<hHl_&AH<n6Wa85A#l;&FPz7&UHj>4 zK@iKH=8Z}=WJ#)gk^j!OMv$&n2PQC|RXssYp7{pgKwQ1|(}@pn3G;jgD2pCL>=u?0 zup}3RIUr2_3zZQt4TO4s;ZY6<6G2G5oStzwbJ9NUN%~7vuQ%3DF@4p8n+=wY%D|X@ zK;5yn5ILwv1@36JR;G!lIuJP$;uYmcM%qkc9a`E7R6|e~VoUK>pk%8GDo$jYOqTsL z&(pFNTmx)f4VvltTwm+>@tLkB^}XsLM#>**-gumbL}RU}sD-ppC4-!75s2np&nyIX zPa>E1utqr%6v`@UVF2Quf_1u7QrHKtr@uv_^mWe}RArOI)hn}OE9Ic^6~tgwIabK6 z;sT%Edxr)s^lGup#*EWN2v!;)3A1=bTIASh!{9RWhcT!aIhW{5SKyP)tXv6_z*VB_ zpV60SZT*EMEet6?qjPojsX7r*{amc#8JBW__|38zp)U!U*$^Z-!>zyFO1XfuNHiJf zOHyVvbfOlkl%F?{!!^<mX+)WJD~nt9cmZ${iEb{mzL9S3i>U4k;b+SQyz;g+9QC;l zC@$(#bm8M`h{S%NL4l-Ov`i3K)yvjSjN%r%hjDo02$zg6DI?M(*ff!#M4I0<flCUX zH6ic@0^cC;)n9BP31S>m5a0fjBQOPsYXL|xf^cFF+ILa}6*9FEzbiFDp%6~qtQR!6 z5wXiy(D_WVPVX9~OfZ|a4^wip`!_$5uP<>C>HU}J*LBGPr0R){nF~|ADS7Ov-@v6d zA~NbeXkkr0;k{@sCvy;gUyGcX;ho51e=iRTqciICKp{Kt1<(jez24WJWMp_#^4c#T zq;ag#Cg%bT<wOjP@9Wo$5LOd;?VAvy#Ed!^1*&x!Jgs?lXV_rS)nrRC_HT0c<oNqj zuy1F^{NbQ?c4e7s^GDflL3eT;E$D-VeEU9@fn7^0GfX+rvVQKoo6@S13`DL6{wDkR z%os4iv+n#T{mtY~t_3oZ^X<D?!gei<5scD)+Ps^Rst5$5Q`kBGxMQA?<fV5_K)aNZ zupu$hE<Em9lL94?HO9@r{f<<Qt+>kVvYnOSoQ=1LW$(RlZ+y9Fn`KTk_(QJ;6d%T& znIZ*htFVGWhzh8Yi1Q|uLfZDSqcxf@elcSwKT>!PS=JbRt$Nt>C-Op4b@Jwqv9O+p z?zL;Fy+gg=l!S+E`FS<7h0~eUUIg>x>HzG&7v+X2A{ONunhxw)wCdfTWleRK!FMX$ z8m9l$dZ9pE4`#0Ptv4hX(XU0^1DM<+N17Ud*&RLi|G9ks-&gNINK?4?iNt+E#|JW? z$+_CO-m?~P2<*a#FH=WO_4QnxwFjKES4m3Wn|ocExjEX3-Jk!@M2GbORqx*geNBmT zlRd2+^Nk8o2Uu|n{e?x11x<y!XZ>d$ZlbrqvogWtx(5S=^PbP#1#i!@sGab46C<at z*ZQb0fccr*iNtRB9`yzO-T5A3#%o>&v4q7HI6l7IJT=|eT%5_RafDhI2v(gpNtB<L zSx|FH92_X>9vmcrKTRbKb?&qC)7dlbbu(Erm-GMsJXg$e&kWG%K2vv|xVWDe*|5_6 z3fb^_@#EFbxrICV(zDRnU@<cHeG!L)Q<v+*)#j;zu$Oi23*%<)GYxkRXI5TL+;cz; zz}=wLJKvv4S~Re(8PYI!{^i2eErD%$!>=_tLBqaU(6t08HmMD2Kb;$;SUo%K9=BsN zx;MN#8%w4V>;O(1T_>i~R<>p`Uz*iMSB&gbjOb-qh>Y~~RHRi|Nc7I%3OB&=Dc?va za#By>Eti!2sgNNrws!TH?@9=LdNeq_B5%^P9`|<QWI@Y8ERGsKlu=@jGMQ|SvhmMR zUBiklW})qyN?`>WV!q0Yd&au!q-H&@1}}-*p1x1hd;!W$yN_8W1ixJBJ#M*AO5(J- zKQ;g^RyjE<-<~_^U~73a#(imD_|Zq|nREXP_%m=8a#m%%U@12Dr^(X!d@Q-~&&WNx zk~}gB5d;FkfM{!fi&YqdRv?>#71VL4G$vS)AHog1<&ISzhf2^OpwV3>{1G|?BEkfL z(1J9?2MH|cK4vPhOB2vXvEAcPlE11+zXRo2p%4fb=Kob~dH+v!@jVh2zLGo=lnD8s z3y7AvC!pj|Rvm=K<5<%PD8XNU+!04B{~hJ9`>6kaSn{}Y5flWVBNccM2>D;H{>v|> z*u@Dbi#o#jh{D2{98hT&1p)#4@b~l2c^h3M$VWpP4j0Q$|JSlc-oA_>*o{vhgX;ey ziGTR-ZlK2klTe($-44|=A{(THK&rwa5ODY3pLCx&gMXcBpM(<rJ-6c0dP~f)3zJY{ z=%)8S8Nn13pBDTk<VV71jU7O81y~sWW8|N&ug9RVCF9_kem@0$OpE9jLJWHN_rvg? bJz|&c10Da5F2^_&C$?q^N`NLij!^x7&&tRz delta 180020 zcmV(!K;^&LvkT9&3mZ^N0|XQR000O8HBd}Tk|*Rb8&UxPN?`#28kcd_0v&(EyJuKa z+u8-Hq9P()rGqq)t{}Zc=^g2vfHdj7hXe&A2uKI%q7>-@(n~0zSLsLzgx-_TLTDHF zX?x$Z&-dg0xjbX7`MzV0`OeIm%(Wg29DVf!gyp0kUH#cuJbn5*6?iRd?fWak6dyEK zjTXwXj{Q<%kQrXNX%pS~phtf==f`Dr^)jnHy?A-XW4pBG-ni{=)og1SbgXj$(LdCV zRpWP?eA0Fkcjr9!nvT!*T|s*-crWUdz!Rsa>#WzwCFpkXdituJVB51h4K=3xwXBye zhpC<-c227Ag&%WV(5nkgR9c?yi3S`lADk(j``LJCr!v4!d)sOEz`lRZOP!6c_Gh^z zg&iClT|vk4{eYUwRLL+~5>=pkn0Zg!)<iS*naUCyIy_m;F>MNL7;ro|FyoU^W4RWZ z=A*GaH9uePv=wSxvp4^M59aP)9cb>Y5nyA%X%-q@cTy*lF&$h<SgAks0(4(Xz8<o+ zN?3W&CX$|JDDsq$5M6&*cM=s~OVaNcA|s$(8DMLhw{(G~Put5dc?oOB`KZLsYvpu( zI53E1;2T-`^ie1}dT$oA=TBlSnQ-)KL}res`k;DhWahPsO9W5TZ-;8j>Dk20>*AUY z1DA;M`G-qQJe>i?k;m0kdrr^PcT%4Ww#&LPJpQo%R5Lv+94UXHdVkI0iwFsY|7o*I zSM?lAscF5SS!$NjS>>(E$i*zQZ;G?zxnp+LGaU60p{n@_0XN|73VY{L^I5Oc$6$K2 z)~v%*GQOEQKhWCKbJm0S5e<33q3?9A&axJ#JI8~%gbyZ#5h1lRN4{T<VRGy>p7EAq zq7!PXUc(JrqXB<gqvBhmr<+?l_H=~DTX{s3usKhoGn)>V3~<f;mFhpC^MkvkTwVE> zSp!Yy;wQpp30o7EjlWacvaZ0B4f+WP#aV;g5)VzZokSkGwsQ)5h9TO5(QIMFJx}QC z&xlRIVZ1QBK9>e7AAwS}dux|*XTa3UJ`CjW49H^UKU9BSE>f$A_^@PHs3LrTsJ}?t zfWg_(?)4Tkl#%%ZBB`@@gc&^rp|yLBXj9{*1*8a7goC9hO?0qn_ijI0y=l+1c5lCv zueSjqC)#juw&RzwAKTlvmhps7u-b2Juy5a)zZ!4v_L<TKdp6@XT&zfA?O-EPYGAVS zF=mG^Yf68rT-;1ZD!XO~qJ>jh$FD);{tI$5dr*fZ3O7fpd?`W1G-DRtWLsN*>(Rlz z{@pYO4F<DME{v)6BsJorP6nS$MDFc0;XgipN&7j&3N0o)2Mg@-qnN4g45VE8nWRoX z#TXX$%P!yoCEZvp;P+k912x=MwbK;vt8!<szxjX2wegqD()ytGzQ^~NSvIMHFM0vv z^P%2xo#k&&t2ZZr#TudW4viKF2?jgdLf%H>L(h;|28%lDlOzETx9@^xd%X9{IPKpz z3>AeX((-?sKD(5CYG5<aT(GF7y&tyr@YkqPGoz_0!`D89Ga@@ZEVw7Hpgn_l=eEX< zs#$-B++Eg)`@;j>v___n0Qwlt{^~8XeS;X<d;^paSJ{s4iO~5WnG8f}7jC{|`&BRP zAu!1e4KBM+3+Ix{#y$u7Xl5K`PS+ic%}VEIu%b1YGkiYj_@t>`4)8U)-Kv94Ss|IV zDO2jY&t7!xru7<D!tPJ90(7@9+KHbe`G0?oyZ#JMQ=ju(&D-Bhm#oq7$`k+o-7f25 z^j!+^yR(oL0FK;ZQAeK@Rz(r$z}Hu~Piv<r^wi9WkxgnzktBAq+|#-KI5>wwIHP`} zUyV|D>Dg{ytnd*PA{4WdGoRj{rd>lbC)pUGKI^%eCm?L<Gj|>ytU_<{uuQ~r;pu<2 z$e1&UB~O%&mU3>cj-K+0pn(gc8ZBYk&~w1>jF{AnxNLt*zjVOR+w&a|dw}inV2uJ& zkQXC@5bSz*BCvm(cR<_`l#~xx3nn~TQySI-x_F0JYnvV&uy8~qZ$j@P8HT>`Dh4X- zxufIez<wcY`&*FIGS-J_om!qBAM$@C^BNI5^~7#R_vR)}=6zRD@TBjvE-JGua2)sI zeo$Qh(eWi^!^gG>q-+$Zbg{uV?Ar9+L6mP8%Z^t4!L))eC#R27l~%Hug&v<7hqIJY z4%+?eOiDO&{`w0W<|zG7hmrapJ~D-m?KQ35BI(~t%di(-nraCQAYl|)s1$!W<n)j& zg#rXhdix9cJ(eV(@>E3=nW=zUc800^YeF0bL7-VcLcl9DJ!og_wl|`GR@K(sqIuNp z6Cfd)$|1w?@?bkXb8yK;>nyLsMel4ik>F>_@L<?&CCVJr7_XORzIM%;vtb3b5TNZ| z-r#(#Ux-(eSPv#M@yFt?x=??v{qjAy97cb;Z!fE;oRop>gfTh}QAm4c$n}_nE`3P+ zq1u}yN{%_h33W4pnau4U`RtUBXH5BeIv%SFc~zvu4-BnontBgyOM?ZDlm`aqAU5`` z1JbRf+*2n-wqgM!{YAdIFX=Y>_qbBM)8(otOZ&sNxx6NiZ652U*y(@T%#Sb$XlIRD zvRUf1r6ubu=RWZBBl^gTamUkXj@*reC&%LW8@rzJ+8y(IX>={tZ6L+GgmvjP4t2V; zCCAY^8eS6P+7(@zK&`=xlJs+9(bF3DBbOBkYUKl$m2E`tG%WGzzKbX<gcKECloaA> z3O5nGn~1_MkfIM4C7gd({yL&}5|&s7;R0UjHD8o~&W*z_N{at5PH;7tLzk7U-w_<1 zT1Ja}S9{FM?-iR80e{)}C}Q+g0*B8w`Lv{k0@?J|giL~v|6zGt?73ZZgGRvq$cM(p zK&~i>xoqJ(Z6eKlTxi?ei{i6|Esnm;K6NQHsvzumC#wwD^J{<mnZH|k#&~!J2)U?D zlI4eW#`nfCuCNd{eE4T|o(#>4%xSz8E6fTe%WvE+#8*!9m+B#6rKkBUyICK-E<HJS zv(!7zN3X_Nf+1SC%q({DTepMWaup{={t6MNjVycnA1|f$uzw^z;?~W@^?;(qA$we< z(M2&CWa5pR0<M2izR!;C$T7wjJJxQ?(7d}y%h0^BXv_eHx9^u2@<-9z(F9%;tu$l8 z-DmngcG-AK2#qd|iJiO;bMeO}g)yR0UqdZCa3j5TMTvcST*)PRyv8La)kbxWRqoUF zD;49t)<ua$GT$oxl|f)!!fjl_=~z|xeY~(uujuaII?8{)>{n{WdtHhW5qey(5<ReS z$yv40iBlD3=ARjX0*_WI$9pY{61()cqD%A`j7ub{jr<&|FjMv`<-ap@r}en(iUK{J z{hzw(@gfffx_#*w_7=`hBDG_!*2JZ|3pB)~8w*Cn&hXZiDC3tVKMB6cPD`Yj)KuQH zFSSkA-^_opNE5yz{fW-i*aoP#In;Y*=mpVh&l*3ltvWMgxhU4W3ktG`iRQ?UieKtc zY7{LHX5U{OYZ4`LQ6Ehk#jHm9dSE;%)YnATb{C$ul_LMS8E-k)FXp8=*RSL$%zEyX z3Ix(W<>qr*j${mK->v_^?kwgtnvz!9u<`y%vyp$4#^5%3Qn!H+5UsjIW&6f}XYkp@ zPi@`fwWX+oS2~H4XhjX2XFuOrNT8+g=9x2}MiC`BnTd$k40l?4p5xENW5r*<YG-Y% ziM^&k?*8?`<FuS);RCD><Be2po_R&s8K{oFHhL)V`q{cj615>~6;13f>tgvFJr=DZ zvVwnc=$O5%y&&@yYZUdpFy1h#o4ii*ad<r|P23yhFKpptN|7`Vrafx$2y>lfBK^Sm zkMm2@ITD#VdE<@l&EGJ!@isz8$@6otkGk_Cj=ni#S|T65SJ{xw(T+`;nUt$%8c~ZC z8d`pPQgH5nViEq7&s=JZJ3;wP8>fXHrqF-yiQwJQaK%2%D#1>_sydLi47uDq?H8EJ znmDCnuWhpzJdNL<VDvRymb~C4-TM^vjT=BoKXdQ;<g%Q%T1o#WMqY6A{hzS=oM8P2 zp1)G2dBR4N5O-Ty2`@M2?~4{xyw$SC%k90boJf>Fep{IUFE`|^R@(~>i_Zy9Unzf6 zJz=}|mjHJEp~?R!Q}HMKS;+s2>q%W$X>+Wya>0*;UJ<z{iNfS}GM%DdpJ!D{&MAA= z<cZy{qxU3<($f?xhhFsrE5Fhh+s_zTZE_x^NX6F&AKVA5o3sq~$2gfBGJ8|=D^?4N z{W$+^))mV?cF62WwVilev6@os_YZ&PpC)g#QL<oJU+Sdwz}AG6)xcK8s+Zw@eX*bE zL?f>QFUd%Zpi6hEu+;!<#VV1*RXifY{rAOwG82tV4!p<H34$)YslwI+X(>~-+BwF| zlFTDtC22=E3`^B}+`W{Oicp2glm&S4--r7+Q_4R+wWPP`6l;t0Qnpbg5XgVaq1GOW z<gn{Zcy6hj`iyPhQ=E;e(!Xenzo-AL?*DuGU+R#*r~j>f`uFs|)a3uA{`9-bD>||N z6KBdZU4F|#tIh<wPMw}e4ukdWXZ=*U6tU`ZViX(oio&w>93%4ap(#462~@ubO~plZ zn90+WxF1)2>VLiYaP<49ypDe#+&1vn{*^rpED^ugVngI#mZD<GKUb)p7k?31$~d%t zzSmyXMv%FZCiZHL7Ry`dv0P<Lv~KCKZ2kjB;9~i)lE1(p%-$gC&A%}fP)+mm`+s1u zQ)?&q<sV4tp%-TR83%{5Dkr90XCWPCBUj3aTOZi=XuFsA>W}rU<!FD*$4<LqRhj<W zxCUag`l?_zRgpDT`HL`ch(OZpfcOQTe3_>Y{0T)ktCrFy$^wVOK3V2)9r9JBFR{#< z1asxtZe?D*GY%QOM@ISInkEWt%e<7Qy9^xz$T6FnQp-cCh5Vz1{l%Q4rrC8VfgPvU z&r+0hZHy9Abgfq((3pR}4UAGT1}?>oPuAW)6y$w&<thO5;yUHft85Sa?BvY!V%LfZ zfg~<wTCvG`%hp%FNlbC#qeh(c6*n)KRN5IUmQR^f>KH3zuadI8{59pJm5%+~0OIy4 zH^6-(o`WAoA-L)NI$t)$>4)6^CvqGKlm1U=Ro_zi0~|B&5}bct_EtZ#Hjb&_QT!i8 zt*0OMR`4WP8$ao*eq?1#TETN?`eBG8p>*{vrkQs!PA|Lv5<u%uwAJr2=6}MUh1UP0 zzQ6sb{|i@5VEY6A)sVj{8d~wE=KO6#to{;!<3BX%A7#G(34ap)j>|UvQ29!8)#RS) zS8;zT^~e3sA^(5tqe}lh{crVub?{%+cmAIK^Kkqf{crVO+x+Lj`=ce<tTWO|)wi^( zL*xF_S6Ae3+5ZmuNB{mE{r^v^@BC+^uNw2aCI1+&PyZUAKMDRa=6`gK!T$-x|5}3o zpI7An!oR2a|3ZROYutbE-@WliCuMWbNE`lj5nQd$S4n?=(f^*re^d4!lB*eEaop2n z?fS??eir`;2@%R8sqdQ!Z1V?u{?QhY$H-8_jM$JwpV)9nm)I~`huBa<`^wik8jbk9 zp%JQ7y{YQ;U-}A;nK+q;&!6IQXeI-WEtQ^ZmFK1&n8n!AYx+fh11abXvV2(EY}n%! z`1DnBk9vQrd9PP&mZs~pu0ChUoPNuk9?>e68SG_(zg0_|R~*cNXiZo%@AZ1>N2C8i z0T&S#vLjRCAr)>aF$<I$wm+?#6%j(+-4~Sy537bHi59TH-TF1iz4h<f2SR<`W$US1 z+)pGl6C^pCrSEuF_vHN((NLk!$y&Lo1?~enbvA#!-%|J;@{|R&b5l7gZIs7U6YcZn z49<(6$^jZqhg%2Cq$!P@i@EolEz)a0*2#6vN~ai85smPA@mZvQd2J=2bwZ_^PA=)} zO8oI!`iQuRMQL)l{&dC;6{3wypSXku8dluw_rtb4nC0tKmw6paS&)`OsQYi+`ug?V zv}S+y*l)CMe|sdxnecCj{{|KPgZ__qbN_&i!mXeG)V>wx{Pai86}S7%+*{}AA4Ki% zXjgGwZT^P2r_K|v-x!sF;`cpva`h$#&Y3iBic0-F&RpLJ78R#C_JOd+<$=Y8E*^Kd z{C=9q*Gzx<Wv9Vsv&PaP#`EQ`TZWVizjA+(;?LXfQM~79k7NROZ@m(?+ZX~DSHkAL z0#4)gAMdt*T|S&l>yQx_1}ZY1+1V;x<U2#Ct)|dbF~5&Pyk)weCkQ9BJ&Hm7UOJ74 zfU7B_OLnPtrGair=0JB$e|Pgo#t#L5eKJ@}rNLV%oKVVzmSNV#(be_PDC<JV2+@DB zp2Rbg%Qv@ax-*ch_Cr`BvW9OrGv)ABjnmfAyZ%xXCOk|-64co>Tj#ZpUD+WxPkdV? zG|Avyp#*AUYpAZS`O(EY)fjj>;gps~tiHBOdU$VEH_ME;3XFNNdu=Hn^UHO;Wm}py zqG}ZT4H>%H@vx5T1#sh&P_v(<+f;v516N@ZZC6Ct6Ss9&=j`J*ELD2^_38>t4hcr4 z>RbF=`Ku%h>FH_CLqj`hSs62wWn>||g0(&mo7RKt$Wr!HyMjfGIgCDKrC!e%6IEEd z?TKhhw7P2}wliO2JxlFJAzaUo%0<=b3nC2P0LffLe6cNU+u3*mJJWVcW1D}!h6ego zYxa3n$8^!R9nI7qL|t1FU>__l=KtdX0r$P}!)Epuz`nM!XFu7#q|AL_Rx9{bnt`TK zs2OM)c29;*)~o9^&d<FO-m86pY8R$8oeS_^9d1jUizd-cP^9FE<uUpKwqoOS_+{Y9 z7{xN9py$=F#I#MT4$)McHSd2mJf?^XmTU+QW`poavmfTabr-jq<8K;zk;vHRqTz_B zqJ`z^g2fO@`OacnKOIz}qkX0YS1F_<M<ShldUQS6Q==rU%j4C6!q3#vt{`!k?x#K% zC`iO;xzf*V>Q<5e@$0><fYqIF${E~!V{lQ1F4~#`ZP?;l6<i5`jPHLSyY&5J-Lfvy z0>n$&Xzd@&zbZn#HwqOV8`<042gzDDt(|ggNoJ`V?w#28`VZl2s~atQ1cb(qaYER? zZ}r?`T&$6)XZ&8+)rIvq8sIUR8|0|<c2?dqA8p2KPs5b2Q?2E<m@tYh?O&a>n?zHD z@qzejrD>b+t!=u%>3x4qZ<6M_4r{&vYo&8&%$de%qe``tLza&j=v`Uv$$9)eecQFB zQfBdzetPuEY7;|^Wc_hfx_A=cYvmVLu?9x<t=u>*PM+KfElxmqpNFl)2CgYxv0v8y zF~5N3_9H=`m{f7a0s5R(;*nkA16#?%<4gC`bRXUGXDm%x3^0G9u}+dIwmCuU?RW!K z`)4T~=AjXZNB;BV+R4MV{-1qbAKVXZR;BVPD$L(#%oo|*eJr&P1w2YNR*Aq2E?^kM z5p_bld|>?J#V`N~(lqt579din79Xr30oq*L->=?)2r#4l8TYWo*)t(|3>2xoB(E`R zWRu-{55=S^QtE%DDwIx(>v`fg#hY=N&U*8shV!@fdCOq*X+Bw%AJ5wB(DwK~7&1_$ zj>2X@IMbF#^a_(W*@jLIE%RIsiMYGpj(bAg`(Ouq=h4ff0<NNbuai;EK^90+Rpw+< zy40y6K=)fz%;B@2Ok3h#WG2{U!-~tohz%KuO_y*s>ok9pYtoao&G=$gfhdn|+{9YU zq2v(8tJnt+QNSX0OO-0`p1}LLJqX76z<MWtyVfmDni)+nJ}ncktu1iP>HH(2KwV0h z{sl?04JugDK(%dv)qgSf#QPW|ItA~^Qy226!18OY-4vGs@1k0dq;1`aALl=`SzG%Y znE1@Lv|E3T(_^%EwlVIfZkR2xr71GebW9Sj=;m7rVx^URmek;7AJW@D#C;#2xEXhH z+$bju!-ahv);~$I6Z3kwdVQEpuo=Jo&&MGgHb3He`la&n({1?Mg_85r!zBsTetEk0 zIzD7vN@W?T36&Hr;DZL!`c-P}UTY#@nVB3`$!~u$`0Pe-kO9-n<R0j35=amqofq5q zLYv+-YIrz<Iqbk<duLZKt+L(YZXaOba+p)k0}GGwdCkMC-9{lAyt|n~JlJvQEI)I1 zUtplimcj3*V3S9g%eVJJk2Qr|$z4!4N%sW;8ree>M<YI%&>1XiO5%?&vLzpDy++(D z2&{jxa+-0aJdUC7;i#|7h{f?&^hS=wY92fNL|H%Q5-{m{b5i}ruX_EX#%^SPvgWb% za}c!}@OCpC;aj6F>{>Oy>Nz@lHPHkjb*3a=7{6bVXljX1(X+ORu*u8p_a9G+D(qkV zlG1EhU0CgiPU3G=^QjK*P*|UvRN3pwP|$w^Csx(W*k5Chp1qbTH+kQk`F+2CJhF84 zhaU*U?(llcQ3yT!HxIuKzE$q*PUP%X=Vy*w-Tn>@MHzK7A5|dqr`_p}b3H=oj-x%* z>D$nF`jxvbbvKtj>D36?Ezx{*VrVcgGX0e!nX4@v<w9M)TxCI-Qrn%7R^!w)CFy^A z${X-=kiLOy`f4_TzDM|MR}^(vA(=DMXeq&y@TTftRs2^I?X^Rdc`&7IvYx{a3bWi4 zBEGx^FNbo)aI2r>8(-Ke<W$D4c3}j0A`U2(;buMRXT-&R@w~3WAl;_4)k}E?gc2QV zzN;qQVW@2NOvA(}jtGC!)0GEb7`cBSzk_#tn>K9>2qd>^Zxy6B>1&M=snz5FlcY(3 z_$qXdHp6%Th@&&@h#v0Sl<(3iSH{?otE_(h9irTYKdNOPKXBxnh8qgiwYh!&@+L*q z)M}M^i??EtIn7K<uV969+C7oZ?GJceA>lq5|Ibi*ZX=yEuh@c!eiN%XIU#=&t5LaX zlVxZG+$G<RMa$@%oYB%FG{umwS?g!UJ{=E0Z?Q)aL|wqgvTr>WNKFKkA5H#=v5v&@ z%a@T>HqAF^&(h{J3&i=?pfX~|eB`wd)JlQ2tcQPc;FFR4KJpdt%KZNJNl8$9yRamv zuRYq=S0N%|GQrc&MS?$Kax;IF`DC`)2lz&O*L==;OTBv0DlN`QBznS?p=43QsNL_! z`^z^x?BYl(1vc@`;=ON`&0hy`AL}>MY)a3`(?qB1<ExHl+;?kMW?lN~5J?WOl*(kQ zL9;l~042SHI6>=bDtsq?k616tjaggm7@o<uMx}UFCiwQE#ACE&Jp6xdm9@{iI^w#l z)^Y}$tkkP_t!M{Xgc=nb#g0eZD_GRM$Nb=>Bvxy+-f?0Yz)jvQ2_-5<(asKknoKbb z#!fZ5;(b<&v1KaCtBr8~z~Xs_l+xg1o5A=5(NlvY>>!}CkUl5hb56J6eS~9uchZ(Q z5297xq@jB#T4S8<$d-TYqIk^AVm9NJ;Xhsq=%Nb(tUZv1=*Vb;1q#uf;P~%Zw)Ski zZmtEveRL*st%7tWqpSYWp^8_O2t};PD(5HB+WKGzk=oS<?gV`5kMvC6gd9KqkteA0 z0c+>h+|NqPSza)f$DdX>qtl+3Dl}eEK$g~)d`i&Eneb~zi9UaK`zXgdK&wHrU@mja zS;M}Dy=o@@*G_p+;`Gi>zBtl^jxnA*i)U&Pc82}X4)fuz$mY$iag{%w)L<9wxeOxO zG>nZ!^{puM`>ZeiUvC?}33JgO*`7Fj!a01ZE}Yn={j$=NXfY<;Y~1+Doi%iXg(HDp zDH|7izxVVYe4c-bkEgbDP=m5(=wVM8UmU`K6;`Ksq38v!S3DiDiUonzOYUPn;s?Am zlN9=-WI{UFBhN9;ookdkrpS>S+_@4|{|LpSvhu=TbngB}l43N}6AWLOds<~?I<K<e zk#ZJE+7W;3$wIJtgLTQ9lP`+HnK4b(#A=gSfYQ(>wl;sVjcjRRT&(cUi;*R;9p7A2 zOz!h$w+_Y0z8-neX>NAom1RZIaD9E**`=@aOunXTOw_J>rF~Dr7EG-viX7Xl^*=mq z{Pwa<(17Fh{uirFu9Tj*lrk&R%$WDAsqR2Epo&q2RiD1QY!V$hkuH%<p>A1Bp_nFl zVbQ2ie1v~y`g+u%4|~$FdBbZvhLSx4!@E+SS#>^gOh=|4C-T;E{o`fAk9re2(W=cj zL)E$0G<t!w^Ejc#Lnq&@nFU<Ed+L08lCUj2O<+@uPpxQxCxW{Cljc@qr2kOgx8pyO zCt1nv>?&5eT1D}>-qc%2<Je^9Z=;$i%(EK<1p$8^CiKs$#8SXnrYYsTA0E_fynkid z<?OdLgPEvVDJk<p9|r9AMsmj)Qg(d6dRkU}?6sN~2kg(E7$Ucx@q1hGPJ38cHAmL- zwR;WMOUMx^J!fNTS6}_U(A^E9_5!{y%4Df{_!SEeV#gNMl=c4Od%Bpv#w=y#TfdXu zYPEk!T~zOp5a-OyH%FJR6-|F}z9#O_mpJadu?|q+nh`gep8wf<^ii+c=SN|ao!+?F z;D1FEo0%zebSbK6D(O9{=KQl$_$y7<D5rRY**ftdN6`;O!(6#uP97~AgPbJ^Bm9!h zBinzxw$w{BEbDdDu@)-gH{Eg6t*X==AJl)-6*t!XlM}^IKd9$*U~i0{QM)mwwuR5< z)_P7SnWOa7;uHT^R)dE!;NVlD%pgY_b8|5~D-l<TdO6DM;4Hsb<cEA9X&o}3IH$sv zt7D{WovYK7V4t9cJD+9KEKFCIHG(CN-~VFuRyAjfd5(0W@doWIi7YaOk=yPC+MIvm z6=T^`ncWEPybinb7koAk`GnhS-NuNL!ykMSyWf%>>>Elg57qxPCuZj4(^{KVpR7$h zcDRuN^{sPLciswq<ma$9PPhB5aw_<d%}?Y8oJYK7xBgp`pQCCcK}rAD5i3c<u|U3| zObnN=m_V4#V@ebnT{>Z>6|R;ml<0r=Z7jz>dgbmPdkgAiBnJP3|K4LxNWNmD>l=*? z9$5u(#r>4odAsLk5?yq?`Ss$7gDC@by}881zyXgZS&vpSTr#{i*QxU{hU-#GR+ZuL zY8j3b@vZ)9T&u54^fDohWP_rZWV&o*`Jh_#Y;~9R{*sHy4|w$q)-g#yxD<bpSMsqd zUt+(p9{j;Udry<s-*3`9I|?Y44GgL+M<$F!Sbkv;D?o;l*2_w@b=@|rDvNjQy<Bv7 zBjj~3Q*!&r>zdGt_jRN-J?KOQG&uw6{D42E4j6g&tVTlW0sdPRANB3|dV-&&5!Gtj z&cJ#lFPlU0>;=+{Vj`2siUWVwg~5??Y1_F$Hl6vO&<9!Tb3Fb^9FhZzeJ;PIn`9i= z9jeerQjNhsLSsyj3tISLOP<kRLuX{<QZrSzo2ll^$s?3_ZmR`}B!LCEDA7J@{%<vQ z@5@Vi{>U%BwC3$pQ8}QE^bf~yNf;qb>Fz(Dubs;HjIfb?li@`<+!ufAc{~{)zI7+7 z75E8ozpT2>=(#&`A?^(3g<ZRO=D~R(ihub$X&Ayz+{~cD9kEZ2T^kd&2%oVeIIBEJ z5MgL!?N>1|G_GLnnzY<Fr2s!co{L^cyY{6@G&vb&g8Rucd>D{n6!RajWFcK-fH)Kt zQh{v#9kx6~m<9ZNQJjB8FJvzSOVhT-+?<4Zg2X2+WdT206d(gYQQ=TVRbP6fQkw%Q zAOvL(*ACHV%6u#l;`<(@2|XrbkOi?Vu0Z!RMC2Q@P}h)nAuMnbHacc0#ac!*-J&&g zjo_F`O4gSHS#)QT)aMR9^eu`UP8f1Ilf2X(av6-ZZ@ZK~d)j~IB7YY0ZisKG9!LRZ zz$BAq8l*0{kb;FU;&(3b`Yu19JYr$&i-h0LNC*IgCp;mSA6FnUSPdvZ7E1y}C}NMH z2wAKT6k$R~d3@s029v#L4}rYJo`+<;w+72%*SaA=D6j6zPpF|7SPDuz_L5*Ri}~_p zo5stF{1C{yvw(j)0O}JU>BTe59@`n~GDIG$&<%@5k&wZnP$Z<V3{(vnED811b;gC_ z;vuGt1;mA%q_}vD6ehj6i}WGA;79t9Uyvhx$S#<XJ`@)>kTs+i637~IFbPth0xXPN zBL%Y~*T}#uW({3r&X$q@Wh}kH>PZM9$eKX|+ib9U8iIfLXl?caduXs49#YoA&&UE| z!6cK-ho7wxz#h~Dk}U?XToSbLbzqVynyF6sNWp|jkY|elOqWV+l*|`*7bzF3k2!D> zNf3g?zViP358l~44T57>=4F`T8By&{(;XO5O(4_asd-8?1(*>t#C*mJA7TRmxcAB0 zhPuwTAA^5<mSO7HEQ5>W5Pjr%L(Nn*po^AZuggihjj<CmNp^7)$xa4-mQ*LaxKn${ zN70OrEF%M7PpWfq3v`>AByGOmH!JNcj2t2ZQzs$heQ!-TC86Fw>O$mr9FlW;0FXWl z)j;M(BD6jMjI__>r7zE}Ey*Ia<I)ZRV;jE@%h`Y9;u)e4U??aJWnDX@LD75@ZA$>7 z>zfTm1-Q-FQh)(STk?x*$SndyB$he^0L5;E079^KA+~Op2$nOl<t%0FS7??r))ESo z!!ALyRI%|;pb}Oa3Vewjg#y*F58-?)XVh?EGP9N?a5Bm^78Z+IBZVcPxV|^}u!A(X zFR6dq8b~jLP-+INT5Tk97vdp|QCQ;;#$c>oTeRHS0J46eI*njYZkD-`#4R3`2&L_) zlQv-oLEzMjcu<Nqd@>S>Oc{_u+dfp7tobflG(;S__kxNv%QT%4Zc8Q=iB)aWXORm2 zE)5b}bRf-=#~Q)&$-&o<+IIk?Xj1~f9dv(B2xBCcwT<K@C>aT2z5uk5$bf9yNEATq zZP714yo(CV3_Olf$XKM&qj@tELOA8a$s1_iwrH8gc+?UZP!&r6<>Tz+hf{R;hGE~m zR+R-wE#|XGMWX~rUD!a9NVm2jSqVK14wv+OQs21E4576n_PVsWKz&_djbC<>Y-WE} zq-M)xlbnPnxkcb76;E9jfUaBR?9JybFjCZIA?w#Oky+x;eb%*Em7-uTR8693@;)-i zbdV6wTeJp$+kN*=?bi9EE8Y-6ZPD%P4Iw@xQwI|_yh-+`T!n!&--|@(P$k2Z-)BDE z+?Fz7{BDe{4Vnwf$KTeIkTUn#p1yzHU^H}h>Vdy}3-3t#4ezo{8SD_$=hn8pq@cO} z7SmNW3DHz;{YTW2>!k+aRFnVf7T)pr8{S_t>Ar6rBm~W6vEKwW@DWU%`&qTvSQ@s- z%(_Hn^ASzS`M+p+oDVRc6epZA^*3*M%z1B$tqgx#Uh;`~d^M+e@@8uY>;r!m6Es(( zaBJI4Qq25#^V#(V{b-`AN_<<|2US~k#|5u9Xg1(^R~_Bn7BeOPKI3J5MLM=PkDcRr zOCI5GGt=Mk^_37e*IzepaqeyoGX36n!&^9$`a72!BFHp6;MO*c3GH`6S5OP@3l?+A zh0N<3Y8QM9i-FoL?WcQ@i-CVnu577=?9<vH)5^M_uv$wz@3K6+%nB146iVs=3f0Vh zCE#CJJO^JV+1m*UJK(y(FtSI1iYfh_(xYO)UM(`X#jA|apfJxnzKVM{B_e?jP*1^6 z%#+W^u9E~`K6~E^p>1D)MOvV;I-g=mEUC^Kp540`pt^l&uL@zm!9ah0`TAZsMCd&n zKrMsp4GMz;Xk?I#kxb}sS9a^w_24>5cpn}E`BG5+D&<WH3F50u{yIrC`)vt{Oi~oe z*S)2EN$HBHA@TK{Rm6rvAujh4X>TxaMicmclMq|Tb`NaH4&A@OAT)W44m?GJBCQu% zAnmy7<&D&!b}Gy38WDf`_!7CzrY)oEqc<|&WznF<788QnS;g_Z*M{zjB)Ds~>>j0D z*9aWE<NLwYzs32K{su9DBcX`SceVx6w$&gRLLWkrW!K3d88RON5fitytFS(IMH=0l zTP&h~2DLLC-w{#eCwIl>Ja9G0rFMO@cGhxmH;Ldl$CVu%ybFJK3D~_^?-3Q>03Kj; zYo~T+2LoCJiU5zK0Bc$FCJ>$D`;tQ<UJmvk<;e-GPFWFp#Q?a}cko~dLo|-9C@n;< zn3*m)e{bxZTQZiX#8v+sXtwn1*rS;{43HPTX#Uv*A$6^}%ytt$>#tSQ8^az^7o(lL z?l0nJQ$tL<YvzA@X%KOEg3Y>1G>EoKLFXMd!RGT|nzT@(sDX|VnzYzvnzUqVK_PJf z$&}SOr#Z!OT96vT1y3j(MmVK&A!Sa13ky=)V#E`wgYc`Ovxt1qeD78E_1jI)(iZPt zw7Xtj*wu<%^1n|UqHQ0TiL_YX=|ml<TTb~WKTB_#p;~{Px4Z}06EuO6(D1^;?olG! z-xH$A+MPt|J9ps<QHzIto!?KTEbn0D+)BR#8Z&Y2090n3N>o^*2JP<fDIS9|uArZ3 z_K1k7!H@5`NvsbQ?=gai5}p5k%iSbuu8{Vg&lcfz5(^l2DT{M}bJSc1B{lB~j7WGp z_kF<8b<2Nsh{K|^B`uJ?LgnOj`_7q0%dVP%WzCwx`+$A5_MMZE#kljFPs9rsK=WEB zVcPbCvWVueQ;=o1^L!U{ax{xb(0klj(4>}+rgTjB9_7|j2w&I9oWq+eNT*n%kd;1) zM`x9<8&S~7JG=(H<ifbzou<ceqaiXE&Vt@iQrds28Zj`3sJR^D4-OyBF2|?TaQD%d z(iek*-YHUs_jJ2qoL0vh4?4bwo^i=|_W{lBS#-mgttQU}J6s=Hro_yh>eD)37DaBf za7A&nt3(#a>MRuuOh#=CZAIDrOpDqWNsF`_qm9}ar;W6m+>hFrdM22eH)o}aaDJZW zH6(wS2L|%zh42aFeE<sN#dJ;j+Mifj_MV7Ya^iF?Kj4fkH~k$4=p-Y2V;Ce)qkir` zVR(lKlR=#Z$UK!dqQTl8PU1CA;L%y1psp#nNj+~NOC}+9^+e&}(NW`OuPHdwFexax zP%m^358%;B;<~RX1WSpv{QMkE<obMl={J7`zot+kCEB7{q(S65wmFJNSB2|;L6#x5 zXk1ll_shU?-~E&ZfypOt*4_Jn5<X<Q1Ce1&MNN|0hQJC*6tG;-tq@pb?Mti*G%W;H zI+se5HXI+N0=c`$XP%<jb`0ldANRdeOK;)%Ya&AqyKex_>0Di>Yg<Q^h=1)gMazG- zi7=V?_(wG$=<j^3tlfRyw*IJ8ys~o@7ebSUt0aJE`qUZ~tlguLWasx+w>n7gUKh>& z>7hp@Y1^_c$`zSOag`(FKj{8-t@x?Gy5m9m@E-!{uWKRlLxzKH75Pa^R#W*jw#m$P z(VWRGnX@7#I!N>i`4o&cKS^2Qi^+eDy-%Hnx#ZE@$t?kuZZ-vQ!4-1fwdaFR5`8`E zZq7rZMpd4{x>l*#C3v@u?lc<HV%Mmv7@b~BK1Z7GFR>7h0C<rL=a$UGD1aDpA@Dt= zPot{>%R^fR>T(ej6pB|v65+dw9fsOkkc9hspDaLatw=uj${)2rZNHL4`wD*vM|w?3 zd({ddr_q9B_-?xJmxv-3;&q0PBI~D9(9j5*?p8~v$kc4O$P`Ey-nuG77U8~67J=tM zMp%i@^3ah)mix<Yw1G$y1pa!%5sqw0B#X#BWhR!`i!%6@^3mW?#s`DqDT?wS0cy9; zI4~LEs~u=)>#009&XlbDg-?GL6mRDEqd`!;KAd@Kg)HK2vK+UnDNXrz&=52jnJmkl zy7SSXc;-PlRkOhB)@39#c%4#?yKoz7;5f}tJ{4dAf7f6A?N0qy1Ilu&$1(KQw{U33 z8X@yX&U8k%<;6zmR|;d6kLHmI+!xVubmP<HZnDlE@Si^$<mfVL9pHb+9aH9qw3mFZ z%eMx}2w(er@FnZ2BO~noN=DehLdGZdMy`=6hZ_AlMjlT1Vv~%IvxnL2MXY>d3{$vo zd5<eRLT#4{jf_<z<D(K~N6In#Kz%K`r^)!9igF?4*le$a%aKsZ$aIGCZvjSdvK(!e zkK7sLZt#s|=+{)>9m9VgmyX?JX+t4&<tLzS&9bng$~%54-LdIIp>((W6#)@FS;V6L zM+GroEeOdXRM^9|lOX(7i@Ov|3V{HIiinHym|Kg{?~8LlB36gXGE7{L8Sfdg`MrQ^ zzN9a!3pzT9jY+)Gf{P}DcyufG;!8j5ooB?{$2Ku3d_qvWj~#zVGAW$xMxV~Ao`Mv* zH79Dq=r{uPuMDp8Ge4aRCng0w1dTiVkcUaUb&pt-^RzkUK@?tXR!OMF1I%mcbU`o5 zUkj?Wv~C^LUc%(!6y=Y~R;mepkqi#klbNQ+dVj4LA~1Xk@$QyJS4uyO$)d(ECv3?) zOb0&1NT=C`a4UZUB(2JEc1#gdlcC(s070wkX9P@q>UA0b4ZLUWV^Km&_o^H2e487} z#!LU{McF7Jx*STNanDb)KVI5QWIrK>^1Foa@-6xyLceF-`!Z&Vn0GOh&W%r(J2?36 z`aSE5kTE;M#KlnV&5~l?eN2AeXt3HDLpe5kA0thhuIqnI`E0SjvY~ck1pKhaE$^4< zSg-)fS@wMKoKExdbvGf1;pdR%0bgk2`bHlUp9oI5J0h-`2Gf>X7TWk|eT#{Y;Y7YW zqRy2^L6{%n(EStZ5=s|!>D|pa<0GxNQhLYm=T?NTTbGZFSpvE#=GNw3SmW>#K9hn! zgv$LUR)K$sOASCQdgClUCiFP#J@>CmZY#HoHKw9+*d0UqQ|s>Du`+2rcL=>Z>zObU zR~wATu<6{idvkeDMvv)|wBi@GD~6KdoMSoE214xj>=#hl?DN5745jcn&vJ(>gv2j) z3?OahdpPu4#kDNwdU>UqgGifoA0c8AtJui+ChmVQn-%Lv_@W0#pp<gF5xz`T5I7-I zJCssdo{UeA2I{M6MMoXM%wqQZ2Q%^(M=%**k_0ERBaVy3j9c_R`bLi~8DESE{gom3 z+n`0?P)a}ZqEsx6_I<nj^J?{oKfY4aGMjPxIl>7CK3-Kdi6-OwF2;nsWz8<%Si9kU z<^6x6Lbv74C^UY?<a{$|++WNU&ImmsV}A?e*cL~oJ>fp2f!lLT`(GX9fuUH=wlY}> z1?&ST;|uHx^q2xnjpQOggkWbpi)qPVvDoBISB6De(yNoV3MniU6+s3|L`9HZ9jyt; zAo-n(-L&@zmhD-N&}TGZnZQ>|!^;8=bew;INo2hs@2K2l<9iz`0`CtnhBE#qCQ&O% z8Z_>G`S<EpM&Hk3SY@33+^@L&F@x82rJdw`qxRh85=~czz?ESFv<zPf7fdpcvRCA0 z6ktt?o;1)q31KP%O~vR+z3%4RD=aU@ILqkyMKcvOPR8iY+g@?PR*5836}?e{#Attn z&Qi?zfFN-JthjTeug`%l6D${H{Yihq;Ju&jZ)5VxP`ffFe>0}PjY*N5i&h#HewT0M ztoxn6jlwHql}6=`OTm><{hKK(zlZur6NW(;T8gffgzSAgXRl`j2A!Wd$T7-*0GpRX zG9X~vkR0gN;@YETl0{pV=5&+*Sq*;yFxvOZdz8t1<_SkU!O<dJ9-I@QOXMIjXKHO4 zFVD)pxIDV>`YB9$S-4IMg%}<X!C_Buw~<+tfifzeb;9s}p9F)iPJ(nBIPR}#v%PpM zn?E4rvS{rn<g#FGG2}Aal2oqv)lg6PWm@Jj`K7)jfc=6`%=^XFF$^G$)$o6pQpH9? z0rJ>m|9N$+4-}w;tr25*i4_*R{SvD$miGdi{98;31t??Jpa6BOtv@#_C@(YqRmRY8 z^Zmt9GN3G#C2-4|9L%4Y1)+I1{94`pE~aZlDk}ubD1Y_?%9s&(cAIlw77h`9UDg5G zU|r5qx6Ta0y2wXXK|>`OPGEmD<#VsW6=Wy*!_^L?fDfo8(#z1^&u<VJm2<==?3yX# z&}33DHxi&t?YUCFpF|PM_=UfS^s?r=7ZjpEHP_;Gb=JRu;VL*IMP8CJvRp_VHYu=N z@SxWjN*nMOm#Ro#&XmfY?X`iKFNiEWc_y6%UL)2hq}1_~?PjtzeII`=lAiEsr@Vxd zuw2b^4N@tBvcoxoOWCf&JC{^wp*DbYV=#(@%!U07kN%Y9ERdd&^-OZdgY=@bneQ91 z3c5Cn`9d5g^~FO=<|07n@e2@P;)zg_AN`^W6IgO_^!p$s<<g_1EY=H9;Le?_uWS@; zmp{=WZI=_zMA~YY!NPxwGnOY?NfkG9?`GijH6~-bmfQfqHw@jdENmG|bIR4vFbEW- zPX^0C>660ZQORVmFjO)rtPB<F3Ch2^soHYzW&7Gktqc(oz>K<r?gErg--Yhw1P-+M zNT1QP2{VIr7sc(e5>f7sr;>M;bc$&eYOnV-enAzG!Xi;YyZV2gJFXw9o%I*@%~NOz zfrMzH5MU5CG$bn;d(uG_g01VI%CVfix-BhrR1G)#E;8C*enK&Np4G&dlfjC<%l(3} zgDQ`J1i*YtQ0QJ5wj<=UoCjd~E8r9I^15eCK%l|j{8#RCVL?IPUppB-=?^+8k9<hh z`nebH+)#OiPx^n--KNJd?%N}1&^K14cQ>#1Fn_xf7thUUKKS87&|RqLTKa<r{i3#r zz{0%zOv875<ELQoUf>?!@WfdyYdU3Pb5mz7BhVo`GLl(t1ZZV#Wd$fYqr<*j30+7_ z+`E3PYj!X5YvWB&CPoWova+&3n5Z8_$ys)jPtWX@Ss{Oj%(o6>k5XPqYgv1OxChog zaRo$YY1~2FIHxT{Tmze)=%E~XYX}^0Gp%JgA;Rwv6VH8ufV$_8QO1Q=dIdj1tm%q) zt9atx4Ol*?=8UgtNY9U9#R-$%JJWhDcuwrfxDwFXl(>g~tOdRXdWQ*K=mRZ=wd@sL zSfKEGql15ZH~@F&OU|gUGAmsxrU!nR*MOzRAaYPBMtgxPG3z$$GXmw~2VAjj_1Ton z!bg;y-N2fyREO@@I(?$_1N3F)z;<q)cUd&Q04Uc994rZ*%dczb2U4!HzdyNkt~l-! z3a;1`ehH91RFDDi^ee1~6*bcyAfC9q1%JXk8-{-rf!GgZ5~D*e#8(<V1Jq7L)?xb1 zv^b{Tx$LZhOCPR-U|j?r&ae=1`&@RMkt8s0vqlD>cqo~z5n@e>dt117D=>Q){F(7H zj^eY%`|6wL1%-P=fy#%+FCiZ`HA3bIcZhKDx(IxKzz@mw)1qd+1H){3X-M4W=}XA> zO~!wB%~_io@0zXNWDOtd213?VLaXnd-yF}n7q~I(oW1uIr~P?|*og{9p$p+Wu$B&Z zf1x@~64YG0nH2<X+{Bj#svl}d1Jn<LKa=QO-aoL^1yJGc7D8xn&kBKSD`>-BnV7sU zd49L;249`q4eM*2R}M$(oxfTqAvxzcuq}TAQynC>yWc#&3L16+{jT~Fpm50Yx$Fy$ zGCQjThe>&I+RGA~_dd^$z;00Ud}DZ0=e%!tNbCH`x<kQ5;Cg-``0hc8PPDOYRvFH2 z*yq)`^ZJnXx#xPc)_K@)RuPVR*hlAFVR%Si(&WXF#EM1><rB!=%%U@D-we#;0(F1y zlg{bUL`~1ie2%XMHphAwcpx`yM!erykh|I#%j7o*SFo+|=OMj&5~?SUP<6ezt&~q} zgV(~mUNd2pnrsc%35%NPYN76au9_<{EgcE?tx3FN2))pYSK}n_!TGo~mTZ9Pq0HyK zd=nxZS?_pjQG!E|J{O4$PH^#58YX{#*q#kh*aH&cRCEA0aKgGkT3lfvfF5_O3#7!^ z7nb3dmP*jrNew?g%mx<WZZ916TE?up2WGw>2A1N=hxbMhLhmk=$D@NU$kh;3xZ8z{ zB<I$JLvNe2H-|#NO`B;i5Q>Lttx<_-Z_D&DLk{@tajU~uksdB+_VTn&a@Bv_Kzy5} znKh~-s*S?jo85N`O!gkG(LPsQr(D0ZCpo7Y_qn#GcdofWk|_QTpv6E`TCy>8ZIS@S zUh9k{YCUY*yIsl%=5kfX2DYdrum`1aYTOhigb~!%VGI`@_QtpJB<8=HSwr{2OEG>B zz88U6`U$Q((#R7yCFu~G^D=*JmIiJgI>eSqq|TLvH>j0)OG@X&abzgxKtK@?Rx2gh z{u~F?Zk0>S9}avx>N3Q9E`f8Rs9%#E(Rd^k?<;{8L6$E(?zL2e+(y4aYQpnJT@>QL zH#frK!B5b3a2Y86s0)59_!0UVQafBD8<DAsyMVKk9kcAJ%!ciHFmHbZP-MNBji_Zm zKk^+pezdC&qC=M-%vdTSqOfm}rB(n_s5pfW^R8mG=l$AG?)b<f_zraDUBr_bCTF$T z54CJ=gveF+05pwUm}!v7S#=g#%jAZK#K8}tTjY~WrD`S-wU6D1kel%Fb#X=|lf>G) zXfpVawjsE)rsDEB+5>;S=9_fEF#=GLh!~Mj!m&fyV>QHY;M#hlNB1RG(*`8&mKL5t zU8>Pfb0IO<>&Vma{CJ|W-WLGb6Q(1(gR|q<3o}HfAAW5u##`Bg5-o((^c)gbf`ww8 zk+BJ2fem3Y#LLD+ECW(tU5Zu-B91PB(?Y|3)`;OF3S%$>39EndNI`fVyeOQH*-Q>Z zgjPmAfcJ$blku^cy#U>9I_1fMMfrZVt@gp&prqhqHIr=&!M;YSK(F#u8bA6<qOIY{ z6u_4tHnc8Wp8}`?qIU!09#6(!JVuHptlk>QQo&IwU6P~w;ANyR<ue-emQLRMqw_Sl z3mHu9j2K-2SHgcp?ZuT%DP1f}B0vkLIhR2rd(7v~Baro(a{%*sSHcB_@;*oINhD}t zEn$^#1fsM7`w{?F!?`G4@@&Aq?x7^nBya%vrR<pinhy>mzmz`{M>E19<d<?oNiQ#0 z<1tTOnm|S5w#iQ6hVanv2vSNmUitLLQqWovHv(jExC(!HGBdAQx|+%RT5dN{BnBQv z%BT#Ya(iL&wwBqA4cT5mq&Ho)&y^$GQk4~x%=dCnLi6yb5wJDZM<Gg@(lJab_jo=d zCHCizEs0b0{mpkd)wHb&oGvX?vK%Y4Ekp_VZ7yT4=A$5b&+QH!Lvx}e+2ST9C7JEc z7de%-1d@MMjbQcJ7>(LBjWLq4Lfp<Ug!aht8Tdf-P(Q*hbz&Iu@_fc|yyo47%D6^Y zbKIt}^kw2^{!5_gA<ySaqeJb_Wd%5!VF=}sKz3O!E^ipZcqErSq!UQ74tW*GSdgXz zVXiI4$Tv<}DAAJO3`es<o*%{ud26fH2_L{5Qo(-<xI)17GX)XnhyaE{oXMF`fy6aC z_c%SD`<h_V4V5RyAs1!RLYWV~UHGmGBm}&@yw~*+Jp)YC2yL#-+aM_|0`b?Xk8GlK zMmDz)Zz4Ik?3R=t#0&9yyN+}wDs#aiF9V<$yJ2BH@GTssS0>vf=Zy1UB~EDG^VLY_ zr(S<nClij0A3`&HI7h+QXv8qp=%NyBazROY@N>Y!ilgl0ALzVZC3_fK-J_)MS~HS+ z_QUNSxz$q2JhCTg6mP;7YHF<PPj7<KFf^#0-ns>%-lEpDM7|p?T4uMJW&GHDzhds9 zN_zKOCb@vun$`Vyu|_NVt-{tuGXX^y^7Vf`9VwDVIX@|^%?e?wJ{R9L#OFp*&{qsI zO0YMgRiA_J79zJ%wR18dIk>EUX=wsCSVFJh>?BcFrQK69@Tf*8cJ^2J@`QYxv3Sz# zFDiEj%bt=9X=kU$byY`79u7<0B~{9)#tw1MYbL^GjYf0ossJTG+P~f|Po%O9lteMt zOi0*&IXm5`t9p1PjK319ry7e#%o?R#i7c<&T;``KA6|&_A7<*@98^rDm3TY5VD28= z-ZPh>v|H*iGW+YPyTja7bu!K+J|iaDT{(4m@o}pY@-fC+^1MG(MohL2a@6!CviK(_ zbq^g{`EvS0kJu^we_(R?J=6G)=b7ww18M4idJC30^J9v(UzS#AkC<5VxhLkw7fGa; ztXy+Xl<CnOWY+h)5>@u-5;N&fN1LoXa!(BG(Pc<{u0PFhSL*ILVuD2Xd=e%!=0gs& zO<-t7BChPTe{3m@alW_E60gxV7KF-;hEonAS($GY$dg{B?ypPjhhJ?dFOGqVIJq2u zpz5)SvK>5)KHXxT+sR8Nzj27hbI{XE25*drG_=sXRw<97`vEZ1Ztjc=Q@iYtGFUP& zx{Zoe^Z52DOG7T86hp5FNHghW?nSccEc3mZe|xZ>xObC}{n$Oz-2+v({PAHtm$Blm z^YsT!xyuZEtTlDqJ&d21GwUd$JVJnf<#^3V&7b;?(6XuFst9r9(H2HF{FHwV(w%$R z_%73#P4bYl@OkOyvH*^z6?>dC<!Ew4dh<FBZiG^k*hCuWM!zy*oe;3Ku~n*uAxx~O zaS5q$p=%0cX$)jJKH6EyN7ukU&R;0|pBAm}l;zdHGUhKH`=2^&?6`ccfkpiRKa<Bn zQ31P?9zte+bl+)-`n8S6nB^k=ncPt4&3*3X(^7E(Tj;vh9~nj$>pw@4ot-E5x(*yG ztS;wZM4#EsLOHZ6wSIB-%S{}VHoKl`R<0$`Vzyj1*O7g=F6ixJ>KTz<R@G(21Hles zDHm%~)q~CsTG>c$W5ol%Ls0pMqe#_*$4)kML|(Li@&Rk7A3Bn7^yWTes!%fr>ZX6M zH$|&|-!PT(CRFR@%g}ffC0o}M*Uxths0;$QYB$6imB-Qb%r)H#tvcNj!-&5Jhfksh z=a{cyTl?jf@mYCHfzsB`VlUHzWArj<?YG1C8uHX&8O#!f%JwpVa*0O?*?Ce^c%fEq zrc}{?9o|*U#1Wi)88^>b;Feb8$Im1774C*({a6d#WvtNTTu)xkF6>Z9t~0()#s#}0 zO=qzwZOfU>Y`#ivKX^qU*S<2;|4j?&RM*#F&MBEMchXamCBgVtScrMm$E*_<)yEgt zwT78{bfbAgO^l;GYMhp}>@!Naq60FWCBfK#Q!+KC_zvcVoj?Yn^!$i88zYRY!EZQR zZU*j#kQawz?grt;T~wgrt)3K_2&S*Xd$gEMZ$ohwwXSvCu@Nez62-w?-o{{Bj3)ii zCyTMUuc!sTVlB#D)4QKmye62w0cq?BBDQ{7OmE$rUK<~0e?~(KQ<^)o+|IX=1=R<C zk>xD*|Hipa*4Uo@<{s5WuXh(c;^w#g>Zb*hwS|B){ZKYnwxxxD&2_rv@F9UZuSLCc zY{($rC>l(X^^^{Zx)CbFBjVfbUYsl_gjyf}&=TAbjfNUgYfloh=e81C2{tkGx}=SM zS9}Rl6wfv+U<+#7@c5GHMJn@#Csf;i;!foTE;CK`$Ub<<-F6vkY>8t;8jrzcvKB#C zAJ$*a8y%5ObEAmmu8q(*Mh;;{-E!E6r|5M)i}}*#iM8Fv{)iqDhJa7dehmgRS9$Uu z*9|!w^A9n1hk%D8gV@6ogCG^A6gPTcU_=mS7^rEtyj=~IMZHoVmo{#}xhj=^tns7_ z_NHs#U_T8B+uh=R8Bz(p-Y%`jyr*YBopC^%Ok`{391W}HbaAE&&=#l~cV88>CUkLR z_k;{VN4D(BM#f1sbF_KE_3{X4HRlawj^-fv`lhSm_8L29f_5lw^)X8;=aIWQnC)!t z_b)Z*$fKPOu=3N1rK$ys?Zb?JcFv=en5~Zy7J_LiTiaO5&{Q1#-jclv8(+PbOJx5q z^9N6kj5Djc&+7w4LWXY)>z-?J#P`PTB<kHneW<T|rTG);pj*jwUt52+;I;#?s<XW2 zQreMW!fcA4ve(NMNLC>8mRm&sqZCj>=_U7PlDv|@NBJG2lG9cs1%n}fi<9MtCp77- zcp+?SFMm$5d-bsDKHXODn}p!B3X-4k{~mE65o!B;q&d3iY6CMH04+q11|B2X1t+X4 z9YRfKtFr@M2@GY~fDeATJ~`_ckPS-JY1A|>srH$Td2*@$psxI}65v}b?@vr}lB_?# z&*n(mEFT-P`qOjMOo-EePkD4mWFSYRri2OM{cUpuAwZ=_((5oZGXSuqvB&i!_azL0 zg`R!B)w4T;ru<>G%^a*ei_rR<66%>y$S#CRM_ZITSXTUp68R>wiz&Wwn-j{bsD~=0 zPu_@hg{!cKVbRpyq5CV&R%CS#f2-q;0uL32Ya2cgOA1U2xaFgN=6Q8PE8+donOIji zh@+qO>|ywj(mOQ&;**qBtQ?Wq;Ifl(fvfC(k}mD$aj{(KbMhhDfvzG?;RxpsY;$vb zh$ch>+am@ftv<mj)sv;(hb1$IUmpv{;DK6F$~HNS&KBt~6c%2dI;T<W8B}&sxLT=? zr(NzTW`50kEW{Civ|jNM_stk!Mgif%b)B7N(?>L@@{#UQAo_0XSbwBgzW)&$nZ04! zTP$z?DE<RSrX;J>NPl}3Wn(^)ENwZ#-9TN;56KkPD;W=%aN77VhKD&Rd!wwE2#-`Q z_>isynE6GQXe=p{#cyI6WqQe!K6c(0i76jr;*^v(HGVOFpg{;oImuJT*I&~1d|ZH! zFMgZBgOFY9Gp9@L-vjl1#pIuK3Q;LNsl`>H___B%|2<w3RX(r;du#Fq>{>{jzff6# zr*two#xe-^vWv~OgfUT`4FBGd!sk;#J#s9u=az0%7lE<*R{uHA8`l^2>J;(D0OfLp zF8LOI|9~rhoK;)Pz+*yzotCvW*)FkO@>hKUsu%|IUis%<3CuUqS9<VqsyG8Z%ykc+ z5@hqmx7>>{$JT+>+|4^32)EJoi>`bHQtwVrl~QrT79#&fPkZtWdA;?o1CAnTe@wpy zbNN@D@C$TREaC<?olFX3s~I#xs`mxz>xT!2YUntB+jLv1MpDwz%@o9aIgO`(fP0#B z@GMjee5H)%l<Vs$2XaL+gqJk_dP^8jzqQHqOa0?aSJe_zy~$D!rqs)Kuyh5MQ9~?q z8nf9(lfj)t9`+5y4jN_fq(LG}cED7-7=2HcJax9^aAB+6EMaGfp!3|@CLEKYKMXxt zP1G5GmcXycqc5_psjpw%SooCIf}x{qJ4bAVEFt7(If9BeDnn7w$6`s<)}A3Ws6@4- zZ0pESxq8#PYvLUP&O)vzvY~t1Zwl9n<e%Oga!0WYh1g6ew$$R}vR!7#ks>l|Ruuv& z&W^xwYBIn8u`6u^!D<&+C2~bqn)<HsgpGWEL6?S`TNNSrQzElJd_|82JJce8>Iw~k z6abY3m*K$Jv{U715%B`4rIKnGf#C6UIV!}Uz(k3p5=LIINEV~Oh><+0b!EO~AEBox zoD|j%pxqPu{#V??NlAI*EGcQ6E2Uhv5pfppll-#Vnz>|bQb+Zv&W+P|=Apqm6S2F0 zClE3`%5bmDMAeW|QzN?~aD$DnT1&;q>oma*CaR0nK<?`L&lXg85vunjcp0kHWO#)G zAC1Id41!i`sUJs{ViZ_bZGRg!3sF%-ga(M&Ff=%?xr2V&1{9A3iyb;%oj&z>{kHp( z)9|TGN$~S42gK0o)<E|X5Dz){?Usjsi0rrLLRqlb*|;vJw}j@&A{mb_nv7NfxEzeO zO^Xv}Y2&GI4LG)5b4R~Qb7y;Y7ww6DtT05<ox)-GOeQ}85jyLcv50RHZmVh$eU^Uv z*?yFPM}23GldNPVRO2g52rBcxPa*9NZMVk}p;wa7_y)oCjvtp3%po$n>As480o$(< z?VWQj&$tiOTYlz5+jk3C#GdDTBn)WG+B2jG;HbI5n0QRD|6w2#bI#CQYX4LiA&E`F zabzqzN)JJwr21k?)^*RgA074hQet-%XZ)toqMU1Wy9xaDo@?EBb!3Zu!DN+hJd#&H z3cF%Zw<by`ES<buYOja{$<@4nNx2IqIp+dwE`ZVV?zDPKKiSLitdw)TK=gyrf8WV= z3#>r7_{zcd5ghE}&)z;8e;pnpg)PaxXS_PtJ(P(wR~zrcmDV)=riL{hnXVr6m*$4S z)=95Zx39w2mtIp3{pKYEos)WF?)xwM&kJ(7+Pb8Qn@+impC66R|NNwXg>qf(*&}u* z!amhM;nxl`LAzOE7ujGO-Q~1;)2=JTwv1(fuj5N%3g=f{l63wZo)?Qt>z?S&`^>$; zz3Z9#y}LG=I~pQub=Oh>Ymv!vl1+t8G^*2M_QSIowNEP_NNRvF(kd<N&7VCv-Ek3r zS96D4e*OS2L^^RcWz+(HYGlx7-X1=wAwwymFJ}rPs}LS-a}dsluacZ6QWXQD7tUps zt@gc-9}uygCZ6cHl3wV-QeNo&>73h2HLK4((K`l7@>Oe!D(!2ET2NbzeXmS^13awV z-fnuV#N9#QbHY%6<jjp-LR`k4*p%SRWSprA(36^az(?qG#K)U|er7VwRE2wdQQm$$ z;o1Yz5*bLp4%rL#5-u3{^ZTOWbT4nOLZPOt#uI<hzQfJ6l)ELZb3-|qL3Ao(aeyDv z@`Gile+`m-W1!EOR^@<-Ot7yhqio4N+;IF7ut~Vb>DM2^gB(Twb3B6ngN5vOis>6e zPr0{JUUDk_o00Q>8Q5R;dnFab%zr1bP_<6k$dgU^u^cKcrn9_EE(=PqugJ25&}*#x zS_#52^J>-B%Psn#G(MesI2}9H#2g}_z5-zpef=i4M0I5{#ZIfY@aDMOI8EjNCv0Av zRKYN3@m*%Lu;CXLD}L`NNhiR?Wwm|i7kjDGrx0Oj?GtBzDu-7&(=P<_A~td{g8qJ^ zLd=1FvO|(6^7rTbf4h(ORmTR3Wcg5#RHZ?ZgmLMoBe@qaD;_TSy`_*OK}r^N*qv6{ zMmS4r@kYdR{x{<#J3C`V<unQhMGj{^tWze*CA>lfos0T6#r9L#G(d5_h)%dM7^uf~ z$ud2oRM|*>_QldI4AA#FQN_AoTWr%isdwUSLf5@>;4S&th2YM?IBFJ_y8Iv+c<Z%& zKsK>3Ufxuw;3ku}{9qb*+j4esx_!V~)FiXAPWHvY?Ge3izw=|?{>0lc@z<mZWK3Mq zmF~afKl$q7EV%`khS<|z_L1#{W%;*`b#~mVkHdF=Lf<}2V$=TWHNV%&Y9DFvZ|d51 zF_>Ji*qDR$yFq%peURLEhh#U!!%~S+!^a1SHAPfL>&E-bGiN?tQi(^m37uz-<5o|) z^dHpb6NY4f3ntfO4hy$-=s>B&klT3Apm_T_H<@%>?hCGq1I+qPB}i{)h&E~KM8j;; zkt8aAWE!Q&3=s0_JiNUjfb?<{Q}CAzd~R-xC7v-zgwOBhWRl2{b5dmT<NVt2PVD{B z0rYT5(KTHl3J9L{sz94onqa?l4z&Mjucwg0My8M(CzV&zlA9|i$`;04(gqpjHdjIH z29B47*XTSbZxTsT>UHJk>WBu4WO{!+nGr~T-=AwArG{w!FjM*)QfWWkMk$q-+cwqk zvw~AHZ?~hsbBA=itk<oC(7nN4Z>lLBzjd&|VH=kw?5dSG#UKwpxodQ|W*a%oKpXM7 zo(g0&EMVr|gGQWkLDyR%Rm$aMd#UR@FGZZ!SYS0xQ#SkkmQCa!l)^snBg*_d`<*&} zAoy4>=a9(dl52C%e5iBOhR{SRkvb^6-iPw-41QYWqEeZss4aqJ96m!+y5IKiY*9mc z`<Kc;=8tt2j$F|QxIGt{CgW*+6#HS}pp5Jiw{wZ|`q6!qrulwAXlvG}eQq6WtKI4^ zc%6_kNhzalaprNetF7z$bLQTqJqMG2Y+Z#1!@64>0QI$P#hNE$tJ(KSpbZkCQjIzF zkGC3JE;g#SXUp1mNMH_vSPeo-jOu4lrV`4`M0r2&+?At>kR)&hMZt&6%bM$(*lYGj zC2NG*QWLcvXB)Q9@H4U3|418VrFPj5Oh7K2bA!ojNB1DTr6H?1y&b?#7gpPUm0O4p zdLgsSjQ~mKC7Vg%B^QRX=ygMrWa86XQ1F7&#=<hw%EcFZ{`ZBcg+Wolr=0rRg(Wv@ z%;WGCX~wWSyz6~3sl*?*;5+2PMgswdR#s!SKVR}KA&~Q81%PH?^T_{RMo;XQ3<xvh z<bnXhT_zdBy{)9(Cq*gfUVYMkyzNA>WteDeL$}k`>6hp<$DxVp##(1gRQ5UdaD8x4 zKcO_P)y>}lPDR|d;?A5Qxy~4;>{AE2KTU5=1UhXyYVQhgyl+UQAqOUZgN9ayBbirz z^#eH{>Cg%~bF2tf5)`JItRlmWZ~bxE(yKZ{e7@60Rxvg$pjmXNaHa2mp(Sht7MdQr zq69DLpwBC*iO*+i&u)t1-o$2Jj%pefr<kNke?WhvRrLHUPH{)xv4Qj-TrX)6z^cCc zSlrZEzWp_YJ#ddPVfiMtxJeqi{Z*T7{|ehS{^etSacgG@AA*<t*Pe+j7S4S_aYyIp z54E-I^Lu0o7dJV@9ihd4A8Kh)W}Kund{>_5uBpV0{)@^rY2SRes9|Wdn~si4NMf<$ zfr-9h(9lq>{)OY4xvKqz;%qy8JW3tknNycE4KEJEq>#j8N43r0u%_*AzBU}1Atw6M zkwcaWE2OjEi|c2?hs;Shf_#EaqNihrEJHZrepFH!ur`c;_B2X=x&v~bNT{UqRsf}+ zDfrvuC_OH*ebeyV(|+^k*Q6y?@<^IhjOt^pN>Yq13GT<$l8WjJMdJhVMB>{5hTdR` zB+m42vL!NXx?GHw5z1jYrL%>oO*vi}$|apPQi7lJ#zJ5zw6picIh~i(V3YLOWM}Uq zj*fCa`>oANA5HRqB{$Eu5Q5S+>d8tUQ{W9LqmagRpt0}vg3&Lm&hyE(8<8)fpAt0u zR{GGAk=z#}on&z0YZ;7ulK+=#8fb1~RgxUiW%?5`QE^r4>8B7NcXn;j|%!Z&^l zs7E<oO=5K!S#_Bqmo8V@x1y2;LGEofB~ozaw<1PfOF5N)!Dw`967^<P{`eOXGfkjx zbDc`jqeyNBXM^p0Cg-$j=V!)qiuLtsHn#R@nFVqNA~~McVu(KC6^4N^dQM7(zd~7_ z{#3?8b2jWHgY|uSH4wGGqEXeJ#Ksfe_0`jZ#pP+xl+r1_O!dJRVHRmtNsk4pbpfF2 z99pHnXA6RVy3PVh2?a`NR)Xxh&J;=sn&ql><izYEF$}$BeT==yrK)v~#O%KQSxO0S zhw4@95{yaN4d{m%dLy|Ki6yvD3f?FRE7Wu8RtKYkGKW!??!O=bluzB>xYWq^A09;E z`hQp)g_8$R7RNtJ7-bRuQ`k^SI!ehxHNww}GVV}+^$-7R@z*~$6N)6*p(?9GVIZp3 zS-F2$@?RS^#-*=B&~Lj4*jYkxm$u&fZOk0=dTD`F0x~s>^0g<Ud#|Z-i^K~5$@Fhx zg(p~vr{W)}EMriB7-*C~-i-q6X($gIE8$cPRip*w0jr}Fhg`9$PbkO!KY;R#%f%ak zXP&Kp6u0x7aSu8DaMLj-yCy~ah^1PP^z`QpNjzx{4-DNxp-dq6@TtSDWhW|RxDu^l zsEMsMrc)_k^c+<#2L*w_i6`&YOu#b?sM@k_P?gL+J_-#1+b?tdO_}l?v<i$&nR1=v zRz4gO@Ni?w_usJsKg7QKYus6I%-7vC&Ge>!w}puH&3TI+`x}>5BJMW@t<!uggSu1E zF4x2m2)|BN6pQn1`SJH8`p$wk{IgBdtQdn+dbaw*hhUs*t+jYsMy5r^MegOM3@PQI z^qFJt7b{w=Vxvvd91{b>hcplxI!oTQK^#<Jk5;a?xY|0$2hlHuFzdD7NcZ4tGfiNB zy`B@_3tjQG4U{FvD7;T~vz}i7mk+*R7gjtv=f;NMD0te!rke)9ZNDaLwrTa1t2l0% zSA2iXYv3LQ1rK+YXHM!4ht4()B)4Uj536zt8~CyD{%Ui^>M2Rv)Iv1aJ~tre&fYf+ zp<G<nN?N-O7A$84+Yb!5U5%`gfydK-(kxbdALfZ;y%oCN1~h)$h_zf<l^ED-ulZ<T ze=%(NNzA{*ZS&@no84Wro81LiAm99gamd|!ZZ;xEU31o6GBK8bb)vJ-o-l=#1}GWp zPq=id;aIC#s+xo>rIp{ooEF3>K3l)*II@t8oZ6UJo@U&EpgFuc6H=D}PvJ0s-n&l~ zYTf9-O4vuqYmOExROjNSS+pob>{cf%iL`0?eOFf-Sdu8k9==DM14#=5-%anFQ~sfq z4pz)4)7%x}%C7ibif5qu`^`}k$Km}1Xdq%ccWy=70*uK_XgTBsXU}7_^~&~aEDdJy zdgKx2b@+3pnf~3>j=45axyL4d%a9?BHG_eO;k0Ix*~vK7CiLbdQxB18<s0FUh9~!Y zdZC}#Wk$fX40Gwvm?X3;>>ciW_`>q_hC8mG1&m1=Z9{C*qI&VNu%i9i_(DbZRUmrj zvWI2b%kGar2*Q<nX{l^b``xbgW?xuj>X&@S+bcC14YKWuE-9G@ljx*>O{#0ZuF)v+ zJM^){mFFHj;=(V3GbBH;PpBOEy56~hC<iM8R{e&f8qhIzUCR615&_Ws-N)6&06yUR zkj)1}XV{}0=jHleym_$?dqo9N7kID5#D?TlFbk->eJ6V5s3Qa~yG)rL{Fj#8g2cp@ z<+L$7sP?aUZfO08=N}V)s@lVhrOjrpqoTovr%w^n=NBQB51qWivop|lJF#P;HiJ+u zBxaBw(~qenJSLBIG_`!aV;Evu)70wnwQuDPDs<rzx_R5WF-(VsSjmSy%ozBN4g`@u zzaHB1<;|E)G3;U#@a0wLLKgvW9LG5wQOm-8(X~z0?f95HVwuK&*3%xY<n=mq8+n9e z&y8gojFs6<6e#jv?Gy`_$bD5M`^w8~_8kKDZmjw3J(>GQRRx<5yD-8|{+B#gj1$2O zj%YFX!KGQL>x{L><u2Zys8B(2*u%r*4|#Zd&7mvy&j0y?<uqJS;?p2UNyfrvXc?g- zjNdS1tFKNnJOwR(mFqAP_*g<btUloQrzmt^*R}-=j;WN3nuYct(pmT3N5$3wGdFaz zxC(G7dU@W0RUB!9n5sYEQnVGZs2Sug*GYQB9P88_3lGHKml88`s!&DOhWj+iWz1@; z>(<x9;$muJnSaPl&uZh9+1P^caV^L>-J<O33c*D-bFjF7f%4RP%8&%gjShk9#J9K> z*MR{Kvrvw;Dl^t`@-}_$;20-FfK_%f4lPMye$-|iP<SKlHOzBMU2Ri9hs#&)O4Uf6 z`n!Af<}9?dx*6|XE3n}pofW$w%HVKH+?O5r*A4UQ64dxmck;|*lJVNORo}#J9^pVb zEvlr_Gagib&&|`Df5%?Wq@<gb3QU;0)+5d&hH!~x6K`#3>nQ5l44!za@pFbHe3$$o z2K(4vJ_d_}F<d?YGBTyA(}WO^+$-syk?BlYC@Rsmzpi`arYV*07V$){exnXOTIz9o zlrb8<f;vCG{9;>t&;3ll@m#Pd@uHz9mtlS;(tGlMfwVO7qL<F>d!h2fJB&PKP+?cN zV``4R#0VWkOESsdv6EsW(g;@|!!ep1HXUD1pv^3;-MILj!p6KBBdzMHs)9n*rhhh_ z;U_V47O7D``9D!U`CV4;sH;A0bglDV<s@2>WSD+Asw^}nK_Oi<?fa1-qh6+Liojia z0~iN?OUv(pg$Ap4ha4=xzhc3qwl&Y{f#Pe7+&&~pW{!l?Q-p?}XQ5>%;7bx`=D2xa zEXS4b7H|_Lc%DYu$MNF6E9XWP+lnOmVMQaP6x;fLl<T^e@;qy`#k*$3Dz7aZpJqF= z7y`D`xL0{bS6{+SE72FBJEn3YY43iT<@S+(z?}JY{2ac#1yEa&z+P{CvQM}EOLqJ{ z(r8b2WT3D(rkn%@<>@`UV}BR;PR<mrt1(<yoQZ-I*;bAZ#9uq4I6<a{a<i9x7X1fd z*n?e^6oW}AX;e**+??2w6ZN{Q7qAD>MDWlDxxS}ouDkZJk3p?x@h71VlM#2p+0rY2 z=A1v?5sK;kOz6v|W552Rjd@Zfv4~bGcv(?i-%qA2MRH(C{yS+m^#kv4(K}S9b>Jj_ z^q%beB$uR0cJP_}H_BMflbc2vX=<$>!ZfCof~?bd=E*X=Cqr}P8c;k(ZKpUyN{#HG z92G#THKlYLJ9VoZ^bz9^quKXzwvecQ543M?T)rE!?-X}vl-!auCGkPOa0`$$oap;p z&`YN^iz2aL<$yws#lkBlcxZCs!bMIu4_QH?-#CPHEIO(jIP`&Ws;Kic)sqBG_q%P` zB3!Js7n#L2KlA%x{Op)YA4u#{?+|YnjamAx_(Q**+HW(s*{^9`vtoE-?+3nrrFBef zx0xkf<-8b-wxucQ>wZDO3+E#Lnuy}I=a<mGDztE-_<t`E1;0cN!tUd>84gu~^Q8*? ze^&g0Emw9clX3sa{t|1=ZkYTzWhL|K!;S0`NP8`T%mjw9V9HpBf1hZ*ZQ;!<o%^69 zdbx4<)eM?sW)+ofHhrYn>e6(7r!5iXDv=?bTeVT=;c}di7<{PN^g0*vg>r6GZ4vO( z{CjtmEBZXvb)gM~ZJY?8Gs*U8BMjHEMR%%4N>ZBIcL~?A4Y;(lbbK?rC{jVxCd3#_ zz3)KzJ89{7|5zu)VYZKFG*#t>{X%sXA<{hj=Rs=8uZPicdSUf&7P_;4#z;bD;+Gvb z$E@AhiQCxAGm1lt)rOC`AjPBFu#qO6AVny`i?{4S+kzP~3jz0r%pHI0azC!QRnxvv z^Q%vlcGjPr7n|J)o#K4dw$pX+>5E!Qin_Ft$&nkgB_(mR=bJSW_m{cg5gfcP(6qI{ zRo*=sD1WcLC2PQ-_*Ra8rvu0%Wy5oSY1-<-LsDVNLC|8e++x$zqF-Y;#7NJ!LeYZA z_mX1n!gL@{js*L#YmFT}c^6wk2Q^E002fz5k8OzT)jV_`80H12(An2@&xS2trderj zK3u}ao#<}}Yu_snU^xoO1*|~SE%L^MsLag^_LuuNL|Y8@@FLQGl={hXaye`z5SXJS zeX`$sq=g-em(D9E0SDFEJH^x&>}KDAGAQM~(vs}p3WbQCR>%<-ypnC*R*cvV{XW{+ zynCU~>qF<NvY2J`cXqr{4s(Brmzy5>_n;Cw712!XVdB)S$olm8g4xnicc$R{rG;|^ zF3HG67TaqhS#`I6Ac60AFqi6Uf`T`6elEcHcSgnAs%VuUle8@d&Ba(ML!hTHHz;q~ zy2&TSY;o-E3z3#a6R%b;?8_>+FNMOl-jI^Ne{bT)EMNFGzwXd{xYa0OT`R|JA(3)% zs)~Yhvr;wCakB=5Zyr8PXyq|ghCWXZ*`?r1uVyxUTi6_b8}~|G;>6k|enFyeQ{c`0 z!s%qs&#sU)fimU}bIw30DeP<f!p8U~avR9*;!$FUh*s^3!}yMr&I{~1%$xZfJqdww z10J8k`@(g)P}dBvcX<U039X6P7gT>WK5A=?a@k@^r)DSMMT6S$sZZ&~XXDkqvV)=5 zDu%g;5D8;{wIr=nK+umW$I(e+qVCPvKfQJgldSjbb_@lkG>_@CkK*FU+V5SCpR>Hx zuqFFCW?nNr8Y$>kQLPp!JS;A{nSJkJUPknhJ+!GXE0YdY9p35sZoZjdqQFiWqj~vG zxph0gxae&Kr)wNW{1S*vdI*=6akubFpVA;X`Hp{ooVRP<Rmy~Fgi*?*g`;c9w(&}K z#Baz=bTVwwrt@^?(-9bKGU90Q(fI3~Z^L;`;m7kF1o^R{hs2@kO}Q^g7)eu49E@|} zamiB3fQr{f%HaCou;XeeE`R06%%VE2*PeOsF=tKqoVLi6_Jn?nOz7OTwc6jD^g->$ z!2;2LUgZuC99?(deu!x7GIjZDbV{r2#+a}ERI9{`6m4gov+w$iHM?EvfVI(IJ*g&% z7irqgcFkLz#Mp;gozklf#CDSuK3BJ~WIM){EQ?{Ipl78P3NjY`gS)XPcs=+Jnr}ju zgsEQIE(xpo8j6XAdo}(GIK<n+SO$9fX$p#e=2On*Y#io>F1Wj{E;0GdY)tvOjXUng z8ws;q2aTHt`iO~W1p4?k`Te@<jklNzY5*R^UMDL2D$Tab_C;x@9i^gn*~{3cWGVq_ zwZo{vukLn5Pqdbl&8?&_NSQUHr4}gUvNL?VH!!sh)Y1gkcX&HE^39)S?f&_|Yo5D* zoOrQ|O8T;Sac7i+E_GAr=9{un<H&_>>xUzAlyZd{P=>R;Cn24v0q6o1S<Bm@^1X`} zHLis2<dlSb+zFkO?g#VCW9Fbn7ZN=a=J_+jxNTCMC`WpvIvffEg2;$0<WH-~CaHEE z;RqNfLnC)oqT7&nm$_mWUH~qV0UiT?<BT`__e!L4d%i!q2M>}E-V4j#bedn<i>=0Q zl@3gbIitYd5hk5-;wqgnQmw5^HMgek=#&4yIOv^&_<`I%Vm;5~_r5CS2?6)4L%r#P zqcYDhel=z^EDC2IwuURWFI`M{&IEYRFniDR`p$gN{;;!V3Vt;12^|WC3;#`jNfJrR zdTf0Cm{Ojxvx{F`P==u1)GPk;moKHU8&CGclyhh8Kf7zkOXBj4`_b<014G3);lZj) z3u=Bqq+}8bEVjMI{>GAU@uDez&3;O8vJ8GAKYVI$apkc^ur;4jvh)+7P&@FrzGG8= z6ZJvDE1)z0{2|7Na$S?pS>82&BwtAqr<I#Tq-|qLzO5&_PU3ylDIH>-0(gZt3za-x zg!Ue$CqB&0SgK7$Bn0wf@|^~Tn?XJ(9=Kq(U2e|=`_tX$_FoEm0GBpWF85iosVrXc zmrZtS2~|;0A1l7q_kKl;+o=?%G`VWx{fT&#O*u~UpXyG$Q;b%sN=D6p)Z0*dD9b*j zgbCCkp`hkd+9{4ILvb;s*6r1Q_|0USQk>&twWIg8!9&iZT3ya0a^p`wK?*ZX&*v(b zJ%Kg@L{&24N`vw%Xsqq!vM;ks1?3^TE2|xPN?TRQ;)bk;g#GXhL}5u1rF|XhlZ#cR z=^iT~G~@?UO|VrN7DqLIGEK6n3(fbP7wgqjZ@m^;$=}+Z&EJ{`84b|#_JU;5C$cG! z>cnyt;}|QF*MkIX2y6!!hEkQl|8X4H=AM2h*7izfB@2EXl9aaL5J!Mt9??bsv#-mb zN{2g)d7SYoPj$Ugmdt<XeL?ZP04As_hB;a$-aqA{vJo~<?!AzIbM|>rgCyCk!C{Qw zyK3!BpYg*>$7kd3);5ImHYFJ!Gc-!LCT<WtMx?8BjjO`;k|hDTL|e7!wf#H=)Sc4F z@3EIbW}egeVgcO#f(8(~=#+%0jmRde?6GCwkymM+9@vvVciBpEYyX94%7;O_G^w_H zio;Dj?l}zspKR=Zp2gtLBz-&jFBfNMb~j(3C<on5j7)N-1l2fMFo4sq<t)GDjIZU4 z)@!G+#U`S~M!v-czXd$mq7U$1luR2ujTFqE7Uv90^E!>3HcL>u27b&V%SWZLrNlk{ z=I&pB{PbO#Z2{d5JiR&BqonL(%a4OuQ^|7(j7TTD!yr0;F{-}%yzaA#2mt}{<sOi% z_iL8(hY~%3$`(LDh1wr`Z@67)i5EY#6psN@{L|I<;H4iAH8h#{!l2!jZi8_p6%Pzy zvmp0x!Ve`q;@r|7=*X|=faKJ-?A8Srv}Ds^rq06S>~*>JMVyt_PLNP`h#<u$w=p(# zU(bYoPRuladVJq@`npH250{QaI!=DH4bN8|nuH@x^US*Kin@ko%pZ7#GgMek=G!ZE zeF1J0EEF9nc)PgseJV$7z0NK#l4i9V32wJ>%o~K&jP?3@N{C#eYE5H@-Bub&XX5Kf zvrR)5_rKeYXvH;8hGwTLKcpo7=w#WVJaD6S64Y#eoP5~kvZ5TPwOYTPjPJCXxxi`L zA-@ojUSa87KUMe;9D35(x0RCQgBN$qcEevc_IYtFszZOd!#cBxDTuqh2di$#gr|e% zFQ~&Rv&l4&u^K(7kHf9y%+BbPnzpJPF=Y}&9w);=Dk7X?@kN2l*-=0n_j^i=rsig4 zgm2w{x5`0D;Rz9xcdiTreh^>7PBRJ_DVa0VmCTjOQE8AU_ZJEhNU`%%M}D}lp&32= z1fSd=bQKB$zJf<4RYq}75^8hVk2>607^M+u2B&8%q`4(b;=0q$wo-k04zyB!(-=(q z#3GI88h`F4<fZpl#sX&|8M0R2G_A(8u2-#pCGuv?#$jfLNBHi_sr_Us)or(h>x7!0 z+1b^=LmwUczt&Y`Jl&`(1B^3wimfcJ7u2=%KNY!T3q7o1x@}<}8z)hdp({Uy(I7eR zG0_&!EYi-RJU7+3CfC6q65F{%Xvb>+w+tpbb%@e@A(8g+8aUx7R1s~FNh|G)v0lZ0 zZhyt)vtk}If$#cQ4aKeBx!^$}RuyXvE%1Aybk(=lYjjy3h?oigMxl=-OA&RMQRcC9 zNQh{@{#mAGyYFctK-C7WN{{c9{E{!r&v}7ATO@h9d&xRig-=&2-P;}*%sm>SW)2k0 zo|#(A+xQ{m6~H~3%v1}_8Fq3wR6BWpm)p{wWfzn_Gc~d_^DdVg70NjHE;{AA_@I-+ zhVJljnh0|Mcao_iiS!ipKWFy4xRmewD5p@*M%r@HS7;@5!|-u)&C{{*VhJ72EQUDU zc1mAX$39)5&%_cvhl)u?31wh-$2SQbsjOmhsK3RDul;_dmnksVwT#+d_@^d+l|+@h z+k{wFt2DGmvvO%cC;{p!Te<hf@zM_0x{^|Nw-r3!VH;(%s|;?Xf&LW@<-O?Rwt_MR z4?lG^r*NB-%qkXvHcObE{^rUm#)HmM)C4$E0Clo;ov~*mh2x<WD(v24H}|u8v;?Po zYn89`OieJg&BU{;(4hWOBPl(9*6glOVz=mkH|$w(5p|^9)e>i)?xGg^ck1Z-Q*Od) zb1vZ-bIMAoi5HpH>lL(79ekb?_x6pL0zdS)JOZ2$b?YH<l-2~+PN#qBXL=pyeq1}w z?OFfWf48Tp+Uu@RZDo{KYt_tdz+de{qOg7HmZCIMy_8+p#l5*TQ(xGBCHx<;0ysT& znj2ps{I8%wiA%&*ZPNAikNvIM`2ULJ|B8hFijOEUHZH-L`D(L-fwT95M;!OD<g0#U z#>`qBW$)Ls^iP>J!}ib?eonLOarGHkwYQlxXNQ;_bnnD?2l`)H3o_nm4Kdz9pv-=Q zK;sehnYzrH6yu%OjZ;2<|6#2nr~((Z&?;+#*5t2e#i&ZHIn78KrhJ^Cod03oN~lue zocGX%ca^YK-{flITOx#zyS4nRr0%|rtQGCWW_cZd+)oV5JZi93tow(PF4ug!gCA32 zrFid8qh#=zkQSKfV(YRO?*}APVOU2`I>%MB*?Xuzy1OfI+*x6NZrC$iPW^e>7)5YZ z^F~?P;&(5!We(b+_F3)*p?{^FI3UB#@MsnG*echW>i1Ko(x*rUIX!4|Rk6}G)3Pje z_br<8+&j0&6h6IVh?_5E=*>4|_9YcZ^cmL<$$Q41<$}|_X00OWHA$vU%=Iw?b_{tS zXRi9s12+tN3Dw+x^<@LQ3@OCMN;bA>nTiI0fKLMoidjU)$~F#Z%t~2+fYbpihD6X} zA$Oxzwr8zZYWrBkeY;g_{%wG6Xy=x)HFJB2E4e|SMfR66d>&OheGU7g$1X$7Y~;)D zZPs&<Oq`iKM+dfq2n>7O?5er9nua_BOQdA#w1-op>D~>08}k}z(@I(-;>H_HX+(<2 zWa0)J<P0kDRP3J31D_e<KvYbg;RAY#7i4qVHneFSN*9Cy?E{AlDI{~6Hil^hO1{K% zayAlakG0v+j1OvR$I}OTYx*Xc9ma!oHI4_VFTZX8YM)4CWogsy9x&E7>EH0V@#=+9 z7Mw1uQZ3Yf=s$hXfmjEPL%ou@>F07%_cc^~S(qe<(hIN#$D(cQ<?99i-{2EQ`s*~d zH<^>KI~F>UhsV&a1A5QO54XmCpk{xUdbc0@9^C((C>geYCo1gw<D<Foy~h{X1$d}# zstt6i5PD&yw$hex-k|tFZG~*ZH6ZywB701{F<R_@|HBXLF+BaaM}{4%(^-MX;ADNd znrTLO;M-}d(co6)GyO2cv_<KK&TY1OSk8PR=6%nP*-*7;n%}(d6S=Qts(Q1|$KN^c zbUD>QFP5x0+mA@|48M_@q@e%_w^8C(qwaUkm<L~ZXm;N@v$m0%px3@n=01Lysk`qN zYdqP1Nm!OPl)4Aw6c|7|gwsR)l1dBB7<bN}iKLrf7yeNVTrU((U%qcso~pWaW$I`- zQl4@`cVg-|M+G)v|5Xhvf1gxZ5LETx>XTIJgetkG-yIlc0ext?yrk8u%F+(Yn71!| z7bkByez?%_1K3LJnYY!JHQ`fJ+hl$l7?5Lsb{^Jt^C+HUCTv#nKI!8wy4q&>j6-OX zmf<Zccf*$*M(hiHVbr$r+UrgcS7`iY*v(4lT$mQZMEKsM`%Afn9{TI~P3zI4zdIHW zY6YnN^y-G}UPem&5ffby5)rJX^(%d8gSaGSGX8pY@%!m>!4*Hc_#;i~pU+2}Mau<$ z`nvrwy{I&N-OHkCm-?^O*S62555EG3WbSKkn@gs(?@gL^(?X|05~e(>!W^%qrp6x@ zM1wb1IzAWjAm)86T1RgB<Q)8V@XN3Nb`xfQPAvE#W5nkaR`mXF=wrmI;~vcPtIue4 z7sHFUJf7cvcO&pnVx${+xPInNyLA|U8Is@j^1|a#GWBxFmoC1EW}u&Zf4QkG3W66a zw!K%B9VM~YKeuh#ZT$%0^gmniLQlC{V7`nGyNykGT&P(k$yRBSnB7=?i+L$NyI+({ zSyNr`Lhvjmj8~!X@lxQdCG7UL>&$KCxHn8fA;k}`Ju9jeFYxt;wIayR0r~ZRhGH`> zo|WMmaYNY5=pSY9Mc_6?>{DaPp<Pm5Ai*ETpqWAG&3ccPeSIF2f?=BN`j)2sMeYU9 zVF;qWvUy*YC6UNR4N~2mq2yP;s+M&)Na$-k%$s;=`=gWVGCSmn4S!p;c{cM!{e?N{ z(eN&t_`ZVH({AKhL_Q&Nzsg{Li>~J?IA4)DE^c7%ZT%DZF|sr>Hyab$IW$#~;k2co ze`=zN2thh^oJ}G<))(+6;cKl5y=T|;C+xM+%`f4OASb$-m}We9Ac&IAHM$uKZU-`< z6Omnf=|TBC!6lr5vIvZ!EiZ|V6oLr?#^oh3k&-ZM0Iz)ebELrYcG>WM6Pk7m<ZBou zz)ij#9Vr5n036BhNBiT$LqPa+zH<AqR{%I8D1}}+;))n92pXsF441uSlwDwy^<o_O zg?t}FpCG&1eDGw;8dL1O#837=Pm_P)xr_LQ_tc~1k5Lzi6BVryt~w*0u$o|su}J*9 zo_&h9hUd=V7tYv*mfu5v{Tv_`Bk>bCE5{7#q32Mbda8q7%mGUS{Gt1z@H@N$D}s=; z$QzyQjT|dz3}8mrDo=$Qp%90buTQo5VdIHCIi?t!*iWqPr!Squi^*5tuRbY%g4uz{ z7kWWOMYS5e_C$ppQ*2o5=UUHGEipXzQqOR&k7!i+RI9L!C;g0nm}1!CKi7JnGJql{ z0iIX$uRwKxb-F%<d@TN_RtzvEPy-!{f=0xrm;AU^uVFZ#9Du#TNTe91l?aRq<V8Og zB}Qw-149R#(rqf3zNmX*MGs>D^#Xu&S@K*_MtF74te9aOphP+Yg$?=Yh~`&tLJ*Mt zuY7fs5xxgG3;{5Ilr_cjAc6e>%*dKzdPu==0iyDY&piZT+yF{CJ|2oi3=avIGDw0> zM9w*O_L&DO%nabC;2blHQAZ7@0Z{`k<rgtMWMF6je0j;|NIn=NAVpph11Sb00{lO9 zI*b!gEC2tZ&%Hprbe+*xFX2ugH@eQ4E4*h!Cr|BU&~Su*G0f<bW4AFpaA0+SemU>B z?WZ1+irOG^0ITd-tQbKtzM=&v6u>HX7AHmyCkE-!W60t}zk1I9%IXEo0iZx1D~A*J z>M1`V+#93=D4`z<e}%?RYDER}2MN-%$ZAA?dhS6AvjMRK^ytgvG~zxz<tKtWgNy-N zvLmsd&^&m5U;%(Fg^`%%Css7DU=TJvsVrCYPgB2d&#l;D0w4r{lg>cCI<onNmGJY; zt|(yunS$vv4|do)kPl#wo+qlA3jPwrNnaD$OaZ3=InmcdHPgT`K$P^Zk<H|AQV=en zN&f%O4tVh~2E9-|7y~H|BLi5-2csi}VWNOnY`6e_7D~EiA=wItR9qd5VlG8-&<P+; zmhPv}&kYYc`rUeB#lN-#?=X$A>4({Da$>j!Q!{PrPc#h528V===U9gMZT~>4F~R2r z^%vS%{evgs#^$WUM>YWvuDuMi_qLSAb8K%#a5FWPY^QbR!1^NGnYetmf`)SqZyTdC zso89Q<J9N6b$y$`)O@x;qq#|g3)Ref{eJ;z2EI=-&E###bmnaJed#l)&1@C4=Dz8P zoPlv=Y!%+jAxwQIv!tN53T|@}W*5C#Aq}<??sLPGl%|-op^`t95l<Vl@xBoRh9`}o z?Jv;`n2*#W>i6fk+Bk+M$vlH&sru<vLI!q!oy4VdlH<WqoQR)5aW=iNHL%xf1eLb< zmfqOYOhzmMH7>c!?6xJMsy$cIVGnz88I{JA^aG~Jb!@(8#6?wfGHnbi5Yh(gD!#v4 zCNXtfjW4Z3I^VtmTKyOB%lr@U`d@$-1@1cyEKLEZaEPJhNYd9|M&ng{V=4b56aPnl z{^MP>Z(k+;tNWDWqf*`5S9<{@eZOWoKAyL~w3c%w=?gTE@v!_Sn_jM8f@^+lCzBjX z6_Xr_$-XXs2y^)QhPa0oW7qIc@YOB-MWN~YFye2bQwuAbd}vGjR*8am@7s>WgAz+V zt@>gety&$GxPQ}IgzDgpx$_NRZ|RMHFPnI4S@1qy1PB(`MMqiUY55!%0jXl*lf1G; zn%xWOwFG;*-D5Bswc}0Fk1vs(g)L!1lS?k&qIU4K{P^avMHO=n4!m*$J_4zFU#igi zY>_$-xuuhp6~6s;rn7xxbm_mfe~ZKzfTkh(XY$_(QN5U>pnV~ZA4OvVzeN;(;4f(+ zJJ7e}{ak|Gy0MGecsd`KCAVu*R@|h$Mf-iohAhWDmY!|9f>zw<y+!+`xg!sA{abq{ z%t*pInJ1RWXSibyYp(9I<XElAaf?IfvlRSngNdLd6H6422kP4*eLhu1ZHzT-FIqJ{ zmK?W5`h8j^TtIt&W&MKgjCo>z4;%ey%$_ZA=kqKUzfwqP8GMU-n!jc+Z}O^^i?vT& z8glg<z6@g}yre%=2`2OigJ;C|6Q(diWF^!536aJyJy1EJHX}r<{gXcy(i2YdpRbc^ zS;m;n6@vMZ%5Vu#6JSLxm<+iDGl_2^aAWO^^T$MXz%GeoWbf#Z3NU<sA{qHRHl#Hy zg-Ay3js!UaTOkP3zC%N%z+?y>HSVyHwXiONN3A<nqy>x|Yh45W+=Cv@<dHDTcoFYJ zG(%sby%^q1@4*P~gNeg5|E*WR=&H4t-b~`*1Q&t1#4ivuu^!3>6MLw@_2MxB_^dQ? zlId68a2?nTVVLs&(GVwp(hb%{^eFe=hGGV?Kt!+@PzH?MDrJ^+jDbhPG`gA1gC8!0 zl!Nug0|B%ers>VZ9u9D4xB$#HewZMeRXY0W4ZH`027d`7iRUDs)iTYwdI9Hvy^T*{ zs!=vAXvXl+gujFRh~ERuFxRM<7B)ZiP=G7LlH$EUc>qynSLMZj{AP3yAl%^J?@xdx zW>=L(NHZF0&$VC$@ofNJmWSx8|M{O(&J`KlB0h}qq2LN1E))OAFs7;-z^VlxW6&Z9 zf=PRjdRW34U`p|c1m*Gg3_Mz<kyr2G=`bh=NJOhn1u&$mQ8kUfa)%GWQ~-wbHR{1k z9>VZlm@vpm`7Z2#321S@;{SHB9ezu`|17o>OrkW??<G383~2uD&c3UD)Zs^5%0Vrs zBrCh~RyKy|y&Kc)8|&Ke_i}QwI^B^Kvq8M!Mmjr@FHSc7eC;m%c;tFYj&1{%w@&Z8 zq*sDA_Ye0E7jwMqeC^WVK6>ftQ`6RdD(UI)bI=}<D4nZ+>LRF_--8HV_HRWBq9!_5 zwZ(*HVGl}p<G)oai2UeKL$4w{8CC$&W;oPXjA`cd5QQJYq(Is%(lO1f9=xcFI0SS{ zc*%IEEg62L508LFfwUQ=3$AeB-7p1E93eiVbfUi)@&!B%_Mew)68wdbui$mCbx=Q% zHXTG>vW*07(q5gl+xjdY6p4l7yee^U^`oBooCl#1h5w{6&ydaO`+qhR#n{gm7Ry zFJosuDh=R_uVv`W_2==x^WZ^BqOyWo=Fa4+KsY66i)ckPm<Txv3xJ_+67^t8<N?g@ zf88yYgexk+GRSA}7+4~Rmd;$GJ=&igDGiT;g#l=P8MM@K#pU+_tVHF2a>6#44qOP; z**pK~?tV}rQ8pcqnrT9_kOzhbIb6)c814x}#B%~@6@s~t9xxgt@;}$7Axn+CX=XEp zhYy?rCLHfXFvC)#V4B@b;}HVKfZ@hd5{R<6$}fVO$vwQ_q%iJy6M`ldSB1r_W@?Wh zI3A3D6;P<Un0CbkAA^~J+6es^549Gfu593Xpdi9ahC|K8xMp4t8TbPX4W!LXqbdoy z5`s_1kJHg;1hXIk@XxRw&^QB)mSm(q6LJo=1xR6_(Ugq!=R%6XkuV|<E1@<6L_;#h zp99$fn+BB=jnhe|Tm{3iLH2~>3}Z}V3}Y;R5KYOrD|vV#h?fPGbLql|LA<P;kyq;Q zDo`y81emXo50p%~qJTTa*D`iOt}x)&Fj2x4#b7}X8YDNYiEu?ZSOQ56^CMi*495TW zSRz=_ZV&gTM>4=MLD>Kq)poGIt#mf1U^5rcji!`<{=?~crGhC@u)l)yE=Q$xM(XQ- z-@^2fn4Uumzeku_hVFGKdObfmQR%vUk{Zv@b+sgKqpm!H=IU{!MeY^CyN|8xTUZ`W z#_G$BUX|hbX+Gb;HEcpQmnOSwnyw~&s|30z17XUoES^Z^FM{@JyKFNs#bvgj3~i?6 zQaAB=;k0DYcLgek%)XeqE2CY5zkRQNvGCFT3TaGi3iYIAWJSA-9)3v6P%ZDintAR_ zGjISYY?a-`Sn;Gs-lL99Mnm-Y{S{qbE6dq=-#lfrO#7kO%yXS(v2(f+@$C8hY*3P) z=BM4HrmX-5$?@ki&)+XU4}!4nXl1|SA;{a#1<d4Cs3(O%?9(-lk0R2m5am37CAWbe zA>W8&>^CZW)L6jhvYzMYHrMX{=V~=r&pOmDc2i<6jrx5R{OQEUr(m3a(;g?5nWiUK zBQ_*2WBmB%gxB&#jql?$0{jKq;lwk~mzRm1uKA34UT`0IYc@rSY9bc9;0FEA$7xi@ zPG3khvHl7>aP9X_^e<u{Iv}xs*BRu$dx3oRdf^uUML@d0tV^9GQ@PWMv?D~O5Yyva z(BnJ`udC8IQ19V>3@BpRf|-6B!o~F`V6aTEcetT)_*a#NpXOzRsM%ZG1}2|ar$}FX z@D!VjkNCX;Evva)yTFJ^nNur$yM|BbBNn4;#?NK6nDeSIA4Sip4tT^bQHav`e;jXB zfv)CO+RUIqNy-#u09U((fA&<t+WGgaVM6ZvD*Ki)qW<A}#DQGv&97sgdb8<*4cn?A zzptgC88)vW?QZE}BwY!8n~33b2Sf%3loWV+JJ8;F!Gu5OOa}BS<s99!&mfIaW1m{z zJa1CNk5;Sk9tl8mo`UnSYu+5Sf9ttS5$5R&U2xTd!G+Kl_0fkiN9=j0=%43IKS8(h zTKE*k!A+9!RhJrHmIW&V$BTER@*dP1Mhl92hJ)S}IFc4{Bx?1>*-tyz=dnhG3LT*Z zeNpoMg2`r>xbq!Is4dYispdT%Ks%p%@$~f{tJi-BgE%BD&kh4<=Nx_cf2r$XG`d{e zX0M-jMC>Y!q_avcAjl%5HQiD<-cP<5+59;&DhiAsZyjl${yKMZOiHz5d^+|`EhP?h zJWsc98`U_k`X;rv3LG*F=1Ys~uszIJA6xNi5^F?{oc|Q(*w-hVhA+Q9qOui99I+eD z(FPq;f9{!gay7|8G(N}hfAE6I2;MYzkpA6ADmIX!GK5L)!yn)6I0;AJDc`jzYq!n} z<$l!=a$?qgfW3GOn3OyE5$}HA^VO0vL;Gwe$R%@*O2EnKB;N8#ty#2%pG1b}M^gWT zx4O3=iw(YtRi8I%)J#=mmDw?&=5AjcsRT!{<^8_-)u<0;Wqa0fe<W>pUygZ=u2_;R z?Pr|f2QpRj@aRB^3w|wMf6*K)6=BYp2WvR$IkQZ5?VA<h6)MGz7x&mtt~F>ls2Khb z)uV=JqR#u;oVS1DwMx;<LLL+k70|GK%=MZom`=En_@FzSjbkNPC&c(+{08?_$?Jb( z?yZC3c)o^ToZt%te|IOi6WnFd;K73j56R;0OM(Qq;O@c6qJd4Y;O?*q0kYT<Wbq5% z=llHLTlGG5>%M>7s$11nefG@hp6N5)Gu6GHGYvijM#8VYjXYzhN;BrJ0&19<0XeK8 z%m&Jm%zxdn)V>juhJ|Jl&E19)5&CW)v(R;j57R!`QGHdLf0q1}Dg-Y~n01)yX14ie z77~(b)Uoe?EMrF)&RD!tX_-fRqm2=>Sc)KLH<PzF5K0NvsiI&3jjM<JaSm}YTs9AP ztD&;9NsBHbpEtEbzC<%{BMBl5Pc}|<uxTMmxD^9P05d<8X2uVp$NeW^T&}wCi>&3l zM@4Jl{p8U)e=dr+zxWnS`vp+&CPg$xi3NpUfT8;O{>zi}sEB5f0nzh!xK6!L+3aDl zKni+^zyVfgH+z?{Y!zW!^uHg1g1kdeTMAgaJRw<r1aipO))I6GKOe$}{N*}TCt zQJZXTPw2}Dy(2$&e!=Zz^Uk7Cp6%s$r_5XB^hH5Af3KX`1xR;@MeMCYrl<Mmi8#1& zv=VHluLe<2wp>-6wfVy-4lW+8=6q0fDjd@^fA!3g{7!(jxRD|emOk6)0q8SsLv_oN z94Ck{Ho>e*kz6N;nCB9EO<=&$p-@O|6kc7b1B#UQc51>g95BRH*IW)0(Xyn#Dw;C_ za8;$Qe=<H<OLmKx6i7##=pLr^)A+M4aO`G_J|W=UM1zrA=Kh(gT*oUCWkwIRyj17i zgV2oXnDyS8y>d0vEn-B#yPXsxxXf*mqMRNSA>UArd&)}It5uj;j3xsd(<DUe{%KUm zQ8ly1I9cO_-YwuALxbU!ZV2G0%Ju+2MU53!e_f<FogzB$X`s<nng$Vlyh~UfHZB#j zj4x|!B3lJoom>Eg-`vB<%N@TcyfD_nbV$iLz)~>PBTK83)qjyu8X+V&z>j2#O_AVC zEe)wZvb#zCp^(jb<{Ny!^-2iaAw{I`?6w_!m^KYa62gY%aGff_N!nb2`(z}sS;6#m zf7`0xi@{|^Zmoc2KefTd!e?4neSWfJZh)uk!LK9oPQ(^*Qe}lubtK3()u-hzj!`PW zxNo3t9|QfuX4EmC+xkHK=?IL%Sb$Dh3sdr#&r?JeyArRs`jSh9*9Oo(Ig0h+jE##e zxVuE=2<*{b9LxV+uqPdgauoAhN_C6Ke-s$T;^|D)?jvDeVstQR!qM+9jX+C17-kp1 z8FLe8;$6aEu}LA24*~v0u@}W=l;e1Z(v*Y#u^>eP#Wc7Sr8Eau9_m)@pJil(!VKJj z#9}iN(}YDi?tF>GruKF(B+kk6<TD(^BDR^vEN_`@LsiTYnMunqo4+JVJ%-y3fBNoI zY&Tzoxzb(Vz1hDuAM8mDe42Rsb6)gdGtkugcKT`2K+?X`d_vuuq<$o#pT-F)#>xiS zuq!c0b%Ibe(jYLVY172~e3OlVRE?=Gh$dnN#RVq4$*s|SywTTupNkp6A$@!*aEM}N zt@m1~jH6{hZ3KuzS-bbZjq3Iye^PJ@0`(43{r*$@GXBT6hQAW&&!eAbFE&~zuA2v; z!K6OM>kciw-F1@ywb4qN6Xx;8zI~}XzN(-~_~tiZ+YQhqxaw+~4(tAsCyUqE(MWr) zAg+{+tLlB#l@rsRLb~CS;jM7;=|_!f`|3NqkEfqCswvNZVwg&bkIWThe;J9J=1_0{ z(M_q&=FyIOd&U72)y`m7`*M|9O3kjB`f=8bZ7zeyTVL0?D{JY~sB4aElL#Tu-A&&m zD~*KVLus4XGd8WaKkYuwj<d7H0-X_4>MowCw7G_}_Z3*Ck_?VXi-}~z{#0BzWZ)!m zQyHRI9-~<MkEZ^%6RZ9Bf3zo+lBFWq=`6D2tIHtTlPt;7r`hRjvh%AaBD76fbowbj zV9>##lEuQVPj0k5tD@cQCq8fYbkj6Li!H85x2Fp@O>|bc{rabFW~?YhgFWAV_fLys zzN8%sEqqt2;!>=V^Rg7m-$wo{j$Gwie}c6=Zwe{z^fzYSkhs=2e<ZxNmqE?WFM3oW zPb?=3Pn9C4kF0l}33W@xt+C&4m}OTwJ4}0X|Ls;ToIDf1#ieRwDAb(h{gyOI_nvdj zc=v7+Qcx&W={>Op!Dfza7h)+c&)2OWXN@z9RZ9MN&nT-N#8_<palIN7SWK=ko}uJ4 zTGYHfxrG0-F^dSbe-v}C#0&sDcp)D@#qDB?e|f<+C8sI$QIl$@4F8ut)?2*SSus^H z!1|uW=k`iQ1FS&JcqK7qQLU8ILa(85LxNOR8T;a@uhljwrLk)RH01^lHjeZB429I} zz@`xY&^ZBM%Gv1kLlr4zRQDYXE?KNq-l%+m6P%s<z*T`fe-ejG%k^mYES<!r(L1#$ z>T-HLpCuyIN}E6Q4w<*|tgo{qt6bit)zzm|LQXvU&T7Wq)kfRD=UwgyY4vYwMY2p4 z*TGG4S?a!hRsP^pZ|zRlPL55&yWANoUg5O(TO?>bDGTNUaTgmXEi3QHbIctS*wZsu z#aeO{&<gnRf3!Wk?F(LN#+O)eSEnUMUXg)@%kqBTzbn}MIUd|MR+Yzrmt-L#Z6iL* z4W~uL42TjO_2dAd#^na?MDL==#KONpAEL>C<(7-thP%sB)PdjVdt`<|%lD@6RN@aR zgf$1k<>e)9{4w-DeP6)Ep18m$L7qw`!jojNhXlJWe;5#w$!;9W19=k?NPA%o>8^&t z1rCT8-O_nib{>*fDJwS(!Cwrn^yZ8}5Vh3f@ApL28x?*~x`=L*in5bifHZx+)`It_ zm|hyf&lN5pJ?$HXffNw4@Os+ui~KgtQGPGP#nQNla<1hJplsp?e|^D=ffGT58kB(~ zRQ`qye?b8)APrT$soEydkB8ADryjI@*jqdPOO)1zG*J&$DZ45tEaV)NQ~g7pdli73 zqaN|SAuX+cQ6+Rtp^4_vI55{R69((t5@$mieBbDMW?W@}tU^|N-%$QD!YZ3|Y5cqU z)oTf3jD}5)z{ZPR+oRZu)cnyTi^DHLYlI2xe_~s?7Bf+?4SbnamVDh1ivaI0r+?n= z&23NDjFy>XYW{P;W05U8rJ&5-evfVe1XR^+H5D>KN`ZPkNqZF{`#ec{Resi3t5${w zt%zFY!X$#VGW}Q(Btcv~%H(TR?iL$wFU?buc3V8ze~W$4$<-zr@ig|WUf<^TT86Zp ze+3uFMO~r+&)lX1Z)`?))T<j(nzS_UsZyvHkMP#Z%md|Up*kNzahqf2_k)E3#cBWE z>o}Y#(VjSvFxd83AfpQIu?4QV;y!qZrAuB*Z^SOCI%EJbrzQE0zPhDCUX!OA!J%Dv z&0`~O<YadD!<yWrH&Q*{nN&({j)qEte}3yZp6tH&2Q5o;armDW|J`LsR=WpFbJhQ4 z(;QS=8eDd{zp-Y&6I{-jJXLc=V48N(nUz9&8_5eeGH%+~MQ+E<f-+u$R~`;yyCR;M zc9DhrXk5BzT-6{Pzo;XalGAGW4S7p$$&s5sVexM4=m49HVbXM6`$lT4ydsX}e<%TI zHDl?Q?Tc-5^6WTAr_n0)QUvydL9Di^YJw~+AnEp|56MN=uW&zr-MQe>;Oy2I#K11f z;=}Y7iMu>MuMl`G4T87I9ixFJTtij9t65L`@GG_(uhhjJO4J47K%821PlZ6PEqN^k z{4UmLvaUgS^9QkqroladTMb=(e><8<GE6(oWwtV{c>Kh@>RpLQvg~wX)&80~bmlTU z8Hp$VX!$_2_QzCrFP|fCQh22<c{%j0KS$sCbLGI5O2mHw7p-c~3?;3ZLuB0sH&PZ- zL*<v><i5haH-kBW7XWf<qFZ>-iD_$_ys<ol_590wm8Oo2LpuTl-WEp<f2^ripKr9e zC@EZsA(W)*Vo_i_TEM$5jjOc2^#J)dZK4(GO7gb=c#*I2Wu!Q8g0C;tbnhf=XF00j zMWjtxg(dxQ5Z}%3(KtDWZnS4A{QB-)iGJO6*#|3Uu6w=_KMFHK=6jZ%_FB6?B3*>5 zEbOOBw#>(h2h&ux7d`9qe+`m0aaQ}Ny*=+({KWk*hF0ITiS(*R|E2O!%x@=$57{QP zQvO<~=>6$}9dXsd@n<H#P1e$;WHNBST}^>e{NmU{%E@Kq2e=BudM3T_=>UPnm+X<B zqPc?vhJsxgF9h8_lSN7=6KoY&-I4Nh7lbGwlai-z-*c_e?57G~e{%WlHdLdve$4=v zu^a2E)mn*w=XAdtNJ<pV;ZSG2Cy~1Y)>^%~Hhk3#O4Ga5Yqg2>MXkNfgrM9Eg}R;0 z7E@BMnqf3xcwVIyMxJx*T1D486<$+88VccLL5%GDfsMgS`ro?UIK;RA)<CVJQ}auI z_gc=~@W&R=0p%|{e{eas7Z3C!DU?{-)-x1<e&2e-KG_;kn#k6|G#Xc#D?SP#Q${Z> z75+)Y#>2jqT&DUaG12F!Mz6O&U34g<-+=2<24-7R(kTyrdwYx5aB^QnSwp2uVIvLw z{I($XprpEl?I`}03Eh1rU9uO#a<A{zx0puv03BPEWtod+e`Y_d*qSpBIJi`9d-VW% z{d<4gzI<}JPX6#bdc`Q^b_lcJjlY!PG&ZKP)2I=M-O*cn;aG?)52!CQBYKndew?+c zXOB8$R@KQyAALr&YgX0XMt@*v>!7c@n(pIZQ+e1c_hf?k{^nq3`cXxi!f2p%_Fz*V zmV?c-Dk*r)e-ph?z&RDC=dk&!Nmi6~>aE7x4^OKLpHYQrybZGk8b9gecUQ4@t;21! zPE1oSG1l7?r!{wU*~?C(qLQ>q!Ur}ROzDm3<iwZ$NiJd*!maY&6QbOy4EbIx#Qujf zv@5H2uJFKCXu0a({b&GznTg_e^Va%O{1q3=XH@fZe~@V*$y#qJp{DAil6d?{7fXxz z<Vk-%?#TA5pzU8rRR<%ZevNI{^b=pwN^;&((d8E0FYpDJvi818D-Dk%fo_9^!J4F* z%(D40OI(uzD}qojSQF~?__u^h39d$F+obWb5&{M_i<AzGe?BTp1$6-bggV3}vGHkJ zyr<mGf0QZUKG=MP`1aNP*W~}J^kgtGnMp?~=pAkMR2C_Q?%;^UMWwU&2Z=2x&6mha z5O#m#Py41$8F(XT9=2sF<3)I2`eE8sbH-FN+DXRhX1o%If{XR{-1Dn%;G}mE;Kt$~ zQ|%^F?1)V*F325fI`qEf;zfLXq8D1PC|3>6f96;utMxYL-|aYI@Mx5{kts7>VD?E| zsDd27k^OXUfMyWpMrCy^{i}o#*1PcF5|^ghumIst92=F8+7GW7K+=e_>{#<O<l^xr zb<=Km@T3DN(Re8{oZ*E*KT2*{vAv<#4Wn8hU#5x#2IsI+W2WjQ%QDFpRfwKb2byD5 zf13^em{SKWuwI+XA@z3-62_P_E*DYW%%3yfBi8f!=@jCd9u{T;?eCqV(~>Xmg|OH{ zdJ+tnF$bTho!t&v6QGg<Br*`OD4~5NNIfFdyC-Wz0Z-o41o4-2R)j<xO0BJ(34=D^ zTl`&(NRPF(Rsx8n8Hd+^PTdOXT4Gd@f8uVN>l)u~$c&|bXVFT<!TAsrv+kM<V%@64 z{(OH5Vp4PsB)VX;>F}%x!6M!bnV;wjKcsNa#9tGe&t|VwNsGnwH8@(~gXKY`!0n{> zs$EHb{D=l<V86UImOY%AL#mFuS9JeZipSWp9zFRg_4tR(<2s$Rf%dws&xOi%e?}#= z3Aayu!bMY(*prtz`v<QQv~lxIIn0OM$`XqTlu8UK^XwBs*h{PxStwNV(vz#|+t}it zh{eDCb>7^a3>~WTPgBG2HP{*X-!Fkg6(f?TwdKq;t4w-CpWfkFQd63m=}3v&FFmmn zP8$ET1Zhb0C1z0Ml2))tQ-V{zf0z+Nf*YRNtUgS8R*j`MO6?2c`=63zYd_lh<g3@e zc0Qw@etiFyrq3;NRAq{gbY5-RBi+8)kO&xPsPBl{Xj{igw12CN9@y#ss=+Z0`#hvr zXVg;qvkA)xdZ3)%@cJ-%;9Lo<?&0RwE*HH-j`moY;@nvZU|mT$gO9kkfBl{M!gaVG z4Wj*2?J5x&2r1j>Z+ynF&gCKr@tsQV(e5HCh@Qw-kr*m2mRyKmY;D{iIxKAt&3wu7 zX1#e=W65xHCx^JlM^E^?DRLYc45NBfo|-hWyrru(X_G<lG*?OHYKQXN^GXnhXwtc# zoteYTo9L!F%svF$BhZtaf7@Eg14#>d>1NzKc|){=VtlmzVz@SPAUs|PuK0G8rrIfN zzBvmYm>ZO|Fn5cs&wdvig-}L}-u1WQ1XVUZGq40%s*8UUhxpRoiXgO?2a`kk#vo&v zd;ZqV;~0?iTBojTE?7fcFK}1y!mWgl$VFHbVjY`M{{te;KGMwBe@hs+P-a^9D%VJ2 zg8fR#jgYsDSY}+Tc#CXjifkvE*Ft5k-yJt|c#?OuQIG!Fa+-kt(=0lM?Yp6|S*{@W zOUa*6p(d;wr`ODFTBoYnyTNG=Fza_yN!6Wq=_TGjlfDNsz_qjo)P3ysHdXcDq@X47 za1S|9uy<y#o>5NQe+%*3yU)2+8U{^V+UETdU9in`L&AL8&KUyQE|QV2E1uVXw1FT) z;3~=K1kEUKQFCVXr|w$?{x8-#+-jFkUznDtCKrOq+f_tbZesY1Uzh}Fq;*cWzc9JF zWAQE<G?a%#OLcvy3Ip|OYn*zHaNn!`*jH=ZP77rLo|h~(e~t7evtH}DSzL;z*vq}= zExDlqp2)qQyVH(2@r1f%4(mH_Z>Uduq?YMFSeD8hZry1Iq+R!4ytDR8=DdhL+UYrN z`8TgTu{?ig-BA;idE3SxlzyqR?Ia@5i$S18WXLXaR~9Xo53KYjgp<iZzR((`*0%B* z0OMC}Rwa6fe?yN_BYSVCO(jtw>*0h9($Eiu=pELD1M2>(kse`FWrO*tD!BWvXH}&* zQzryYC1M2s_=#T^T<3ichjNONh?t63HYkbdv;CFwBIdB4n6isns1oc?*rF^l)L!Vc z5WV%NDGyQ`5N#0t!R*2oh}(O(h*uIkGKA0S(W2yef9a9rnn=bn{Kvq)MRh!igFH$3 zveCWb`jo%@8{t~4s`=0mL-uNt@WBgJ-6;bzKL0EAj9f$hums7dfyDMIo56&wey3?` zi4D=)^c1cnS*|3a4Vmwq)p&0N-wc?Y8E3lAB^cJ+(a%>0s1EY-P3&^^3rn6@<WK2E zIe}L9e^25QnWam9vS&Jho^Dami9@7IN`{ghK^E|nctXME)XxoG{;IL8OTfjy4`rBu zJ|sT%qr#G`!#e%rk4NtNSv9Szkj@Qsd3S4VDDzjuTtn8>{I@0!-D$O%DQ70%?KVnk z8Q9=z!vQ6Tbzg4y;Lw#|)4IL%rR7Kfl_@_9e|$`zPpn!>r-{&uSn6oVY#||vZDbw6 zlv$Vg(Oage&G#m@Ddb}<Jhip^XXMBgQ<I1M{COe~Cp>l-!er`6*gKZyL+0CMc{|bm zrRa=L*wrbwX;Fu~bF4PcI_(=_(=p9TgXNv1OK;O}vp|W~$Zv>kt+>t6?8DdCo%exl zf04v;if~SY{HctyNsmLeKf<LNICY~c)!xa5E|z@8bstKv5*rNb-WkntRT;KgRaehz zw7H13{+|0|KnUcmEbr2oOH1!4@6!LTO!2=mi~q{B9<oG31FK4x5e*B2aXnE7w)$sI zH91Wr3u#N$<+V^zhm7iH+)Fvr8T#2ne+zOi%j;+~oZCa;`pc#fWNFifkTe~GvX-}s z&+@7@oVTO&1M0cT(n&R(%m2ytKt>we9BI?0)oI4E`p@#JG@Kpy$|x%J&9XK3E+3S? z^#k%BEWf0UzbI=tN!HkV`cUBAv%K1W3{wrC)%_>~75`(Q^I*}$Th{A+rdg%Tf8wn0 z?BKor@|@2L{8soF!MhZahc4`{HW%r$-BCtvY{qG~5FjPC&A?f98Oxw0^PcKwC~X3w zs6<dlGA9n6Tq5YRTH+^bcc4k-ZWABPR`omX)^$|Dr~MdgY3F6~R$tL_c5GSN&7J<F z$ZJ2+@SR>~Q_)|FOb=R3pQNjge-O1mb&(j%@f!#3g9DznYz7C4CJP7ALDQdJ=T!l1 z(k3ke>+Ey|wiyaMmRSyf4KP&WWhbHSg2ME2oEuA1`VcvDZk!u)Q}PfNGd!-Ar72^G znz<&fmbocq=%)%j#|dTfwn_%szsNW_g{jsr>jteQ*$f-cijLLsDLN}bf8)D}KpJkS z2EB9g5N@N8nnpD}w^3H6o*?=C-x`yoblxBS)q^8s-+5S!iwfD)BfSNWfP$Kh#?O8% z{bn2FGVheI6<3>XQgM9$>Dft8vaqrasf5ul`(#p;e~9td$vfbGh;f@oVw~p$lpLv? z&(+jB)WaP3VgK2Qee#-$e-GJ`sXa$B$NQW6JKf4F-#eVCmxG4FhX!?p`XoFvF66y+ z^itn>p%L9=wu2BgB)u4mkA*_bK3{wKhQ4{DJCGL|eZt+QJwI;jme{4EW>vw`Fq6En zHv|X$@|HZ2r1Q`FKT<O!b`e#)&{n-(6hHUbhfC)SaDNZcx}7nle<8EYHAq4d{B3`9 z(=|kZzr=RGQ?57QZ1EymLicQuC|Yn7?5@pQ$co9WocrxV&7cp(Z?{Xb6+TxdZZS2k z{}0oS+-AY&oueDl;>J~b;qRBO3T3P>2c%wxURBI0iiDEc%2m)8olSsmQ_SQEsB7nL zbM0IvUo*+HrQP!+fAJn1`MWjto-FDo?KhH1G!6bHAGg!I=T547y(Qgd8~u0jJ;f|} zft^g7aNVF!mwCtgv3K|8jkha?Ze+G(Yb@hr%el5(bsCrwK<wJomI+B<!P9Br)v??t z@aptP0%(1ko_ac!oL4%LxX&7F@tkAtZ|~cb9?nmxrw&`6e@YWDMMIO!IGmHcQ`#!X z`L0$nt~Pd$Hp-3a04vD>(4;y^w%j2PCxsATyZubgCwrM9Y`0q9Oa}ON@BW{Ag0uTz zE1$EM2mKOMt+?&NBYAh%9t<^?zS7Nq8mXg4jq>`pT`G7J<&c;ny-yEsJuS|UW{}27 zw^kj$okLxUe|&td)<ZWA?0RqW#JU6Athe5J7ryzDW2=_SuBLU}A=dh$EJ)kt$Di-{ zK;BaU;M(FlHM<+PKYI9m7WRXN?50^RTef&R=Fb=UeXifMT~4x`$8^J|-%f>gC&GyX zZwn-i3Km_@_0p0JZr4&aIL_zgg8Rkqmq=9|6l?nUf6pBbKEL?RPS=u?M_%8^56b5~ zfa97hTXR}Chu*!$J-#Xt3_-lsxL!LCdB<zvx<!vCZrU-fXnp<WYB9DM@`h+<xL@WI zN8<000$J*x6^XyM;y+)ktSHLivG9I!EDAlg1P4DKbvHU5kuxFdx|uM-<iDM{Up2Yk zBlSR5f7C<}9Y~ou+C)bu@kl$_lto{)bvEVOS?zY-ui^#o6a*G|-p@?j--cdA9o^3G zw=~rC!OdR3Dk_K;Bd5lV*Urx&uN5_@3u|?(hPOKY86Nka^cH5Y=jGkukB_jtln#p6 z+A=3-hz+vk=e8eCdD(=O5oAjgo-?52utg9~e~BxI590xE0V+MD4SCj=06X&W5tIai zShhUS=U@bYl#yMr&lIs)>36K%#RGPGvh>A?5E>0Q_K-#juCoC$6)mv5B%ovf6GaP5 zFHxuknD&VXF?|j;5pRSq4fFuuE5C~4MS-#Wl&CJ&mmi}|<KnX~BzCMqX20{mhQo;{ ze^4NB(P(KPf0*9K_58DWORRRkjGGMHrpAjXa4}$Uab;j*e!zM@HCo6)P|}poe4ZdP zy5GU!jj5T%JZ)xlvqPDiDYg0hX~wr62eLP&^cIf>9S+eRrjUQN&xEsOm`E?}f9Gq> zr2-9wwsehoKC<E3YonRY${S04e0D9Ae`(GBXY@yqsiVAD`N!Z4`=03iXeQ0mA!_BO zSIM>eESdzv528R)?IMfjli?hNCiRcCxU8c@!vRW7oGG;ttfMHyl4?SsO2$Sh4r#wg zUtadV(C{{Ta>=0nwSkEnQ(-oul0Z+*m_Fh)<2?nK4`8|N$;7}t;@b+~Q2K;6K}A|j zq3j8k1q3^U#O?oOYSv)XiKcua_yi^bo_S=C@x9og0B|#7j8c3UC61RER04pE7|KNv zB(^2_mqH$o&cc%jp(TI*kB~Q>7ajBrATIwSwu2bP4$fsdVe|;^AcKj4SC|gNI!IxB z;7+E)@D2)?Ecp5#pxF<_RuD=8#%7|A@S}tggUbNB6le62FR>bFVP0S|05_Adf;1|E z73u=6V9by4!?GoSnt`2|@+1A|VVGcV0KZ~wtRD`{0c^r3Cf|RBi=c+W0iN<*I0$m+ z3gAe-3lBjLy#_GI2R%U$Lk9u>d>@4hx&yG555hr^K~=%pfLx}Ym_;-M!6VkC5JZGP zhb95!6mIYlcu+C$0YHk;M{)n{kNF~cRXG`uXuuj%fcz(XFWi4v@NT9n`P1|RE(be} zr3iho+^GNE=S6>j0sB#lhw;I}sH*shz>5&d37!Jj*^8Tjy#PP|MZpEBY;mE6;CKI` z;9m65O8|xZkEkrnWSnHoWCB4N+s83Op<sdUg##@EWGYw?dSOD-0VWC-1cDUI*l2cZ z>!N@K#eYHjzfAu`OZ>KPhFAOILF)kR3af-(*w8$Hufl&Sffo_98*rhpO7t(&kIhJ~ z#1rlZfH8q%ndrk`;vzVqc7RdF3?=FRru;b=^P^t!uEZ)z^RApI)`q{tL-0V~0Vb5X zP#OtgD&R8!zd{#20vCz}Hes@g^rM3jfW?{CBm8J!bYNem^+-Pk7&@4UNj3sW2_psT zGRa0FX<>hOV1A~ah{Y$3IJUyj1%NqYPdJhsCiUbr+Fwr5E&AiZGly%9rFH$b-n0Wj z2MU{|(wAa$Y1-lr{FY0vjL+>d+_fBX4VJKs+JNbF#)5wPTe)&a3gB2q`WQb9m>zhL zF=N=L7LcS=8}<^X5f_F7=3p{bl4hf6QItk+#Ik?og*F2w6r_m|5pH?-2xe#iIFr#T z#t+jL9a;luQ0O8=U_o;Mo(f$A2tsHl;7Fm1|GIA^v7xD*`~RskF|Qmb2H_#-pceo+ z`5R6ecBLB(gfNsJu)^4;v>&#J(}-s)4y6ZMGYO2tZ?PH~V7_2<A150kbOHLFr{~9U z&9Q$(`7%LN2Qs(_%70-0e_G&hL09j%KwG=bUl-=yC<EQ6zdDu%x%+{U(xx=kMQdGO z0{Z)dohnT!jYu4A;tiSws#$;ZQ<gZ|7y`4R1r^8oD^mpU>^|p2>#^C8e}5y2S2B|9 zX!EJ;!C=dja$uGxo=Y0fuJYk{w37|K-a~)+W1e{7xd*jcPPCxeL;3z@0oAI9`u)hi zOSq7$lI2Hxwf&cQyy1iSqA6uV8M0ZxKKQ-HSDtvjtmvt4<7E91O|^T1(9fP<-P*&v zi*&(H1#r9PLsqOsT?+MPd1M5SUT5S}+GNIixJ?Kzx#YamHo%*4pMJA&x?|)0SuuZJ zE1?x0>tw#`7}#5_oJ!Jjo@49&<DxcUz(H{TG`#mt%r`;?SRU;~u4?}JHGgo<+>g(b zKiKCQ1xD6S*rI9TYut!vohMASMeIhk>xlO~7IiMTU&Yn>k*e{A#j?f0#%Nn7X~>n| zi~G)^dAzjXwA#@70JD+E^W$}oWs84=g>;bbey-H%O6<WR$9%sqH)!je=O^PHs}c+o zJ-z(=^hH;=l_q)f(N7X(AA$^mzGY`b%vI1!F5Y!Rh7ajeFi~$S0VkRDmkb}Ae<M`Q zV!vU+>RJe;w!W?GO56SH^258m`8;9ORVGWma`Mf*InVU|eEue?D~%@i*8+dl!Rsxv z)wf-`tiO8x7nlix34^go3xLwuez*t`*dA0EJi*cv=EsiUfoZ|~A4Zb3ZV);m2u2g< z$v)1~6OA;6Z9|ht8<;~PkU-cRRF_yz`{4mc4Qqu?kjUxYpdln-6mbiry~;O22yQ41 z*qUu!wJXn$0^tFZf%cLdv8{ir-OwOHU>k8nfO~}?Fr~ScSt39RIt+aVt%CA-rTv4U zKC;pOI~h&V&f=lF8ji$(k;X}p_%h4JbqFI~z-*u;af5&)HhMMbd_Q7@@qbR#Re<z` zp+m9b?ipp3Kc#6o#4R%Eu<J1Cu=&K5K%Jo~;F-7&Bor)(#1tHS3jcp%-S{w}$7OHm zHh7fOgi$sI`4aXMECc%)$4z3wT(4yj-NE(W#5BnQbG^1jYzLp04D1R@0Hz@kXZFxq zjp}&jB@Fuw<prCQv@?5Xuf}xndP%{~p>$wfM%m;J7B7zfptJdEH|Pj@n04F*$q~z4 zf}b4X2#O6RB6)Pp^Jjn2cSle{FCj0kMon5a9Tq;tPdXN99h6@2|9qqWzo>2}KqixH z#v+Ss{y#grjX;gSH6$i1^*R=j9qeAbuw|(C<Ia67|2JO#KeT*A2b&i+Y#!<k{vUno zMFFdau7NvAFBoOBkxyay&~9)b=>^lF=4w)h+&?=IVv`Ut%O-#P+mDEt=ryF{kvy<1 zC<|DPq(D1}5WxwXg4%%}V+ZJUrNjN05v;J`f1(EpG^G>#BoX+qzu*au|8zMXm>X;f z`VP#`+5`S~SH7Nj<XadwxPi6jJ<<jC1u6mk0{shaVCu<0O2XiAo{agrK`aPus5t2k z@rGg$H^Lp-PWpfF{^dYeLVZa$G=mrrw@^GV1qnZMNSL1>f&^9uz5b7j)0aJLW1nX~ zkA9xM$d*V_K}^A<!@;Lv5&vA(;!_8P7Z9coEqnaC05BLgN|MRKr)v@Z@ZzzHOJX?( zCviN|^c_N8WUy-J9Jq#bfw3NF@u5S^iw*{P+%fV0gkgW@AogWHR9sE(pz-pB(L*^% z3lvvVJ1D$7VY1NRxNG7I4tn_@E`&Tx0a`&^pc90Jcm)%Viv<v|(W?fLBi_J>p)7F| z?6R?bPZ6(Sf>67-LE<Eie<L>RVb7ojaT9F$s?vFWSO|aU4ym=gbgCb@7rPg^ml(ng zCIO`&u~vT$5=5{;GfAvfgCri_Lnb8FDnX(M3m7fT;=i5-nn84kGpIP=;g%&M*<rbH zf5DziJ?Tg>*h<_HV^1p50~QEAV(UplhQKhPcyScOa`IhKNQDQVzqGB7!f*T*Xk&jS z(s>_<w+ydoBt0~k8p64#dQJMKmIKS`@G~Bccu9X`uGCk02}<t|MEwr(LSft)?^wwO zG7#kIbRG*N4b+5VcAO&XPpVk<g=*bj|NI=2R;Ri}CfG$WDC5s^5K&pT>u4tV)mVx0 zB)+|!0ey7NHL8lzI{rfG4`<*RJ1D8{)0^guj4e7F9^nw&L~3^i*Ai%n<BVSOp4YWg z-=u$A7w@=$u?(qcfW$oB#9yE5N%%BNC50>+{?>@bloeU$p$ALA8&MK|9$I)piAS?} z@oa^Y@drMG$PTf*N<x_=EF0tMkzMvCebJGLMcr>t+-^Xn#ve{PN6I;Vc|ME&3PTQm zrgbXN7&y+hc_Xn-S4C?0r}mgM>CZVS(HDOW?EnrVQrU}2N4X2YXw2zmbxc9Xs~58C z7#XSV&s;S!#`*)HyZ$%IFLfIIYp61sB4KfiXN3b*cgCia`-vCnH;X$nS#Q_bgZzVE z`DX@z-F`V?7T`g1e}ZP*jm@H~C|#2-RR7?cZ|1VkKgmAz&E-|I`60V*;4_)<Hhh1g zxQ50<VfA@XYl8h}8mHIxzSmW13+^BN_+!jT7Ye&t)oYn=I$e1NW;!(Nt_xo0y&k^D zxvyjwLMD{tEW%cpwbE~`0~kdO$fWM)MivxW_ZJjS?9Hag%t;nXg9U41tN~2LAAF%y z@-|z?@Qt3KmAv!xcC8?h5c1rS1>Aq<Dh7e*yaR?oM#M*vvySJ|b)?)O_Y5&d%CLi1 z+3t911Q1&pNH&(v=ao6>RvKj`+Vut5sw*)Xq+=y&%GFY-nT@_iS5MHfL#j(G(1X@M zx(ijTN+&Cgd=M>jy-KXAzQnf#I5Cm(fycYK({8j}`)rTTg?ORdNuQ%}WK4goeRA%w zkS_lpKALd2c*gM&kTySzFbIByr_C#A<UV4Q2b~!gODYp4yq~1E$|Y=2ie1o_4odU~ zacmtYxoMlm5;njw%xlU9rM|iq2K3*x$v0cBJ7Eg{=~ie<bW3?TS(3c@u6^@k&iT9c z&t}_7HxpC82I>o6+ib}<1f+khXkJTDXGEO3RI)w@#-XP!)sMn_EyAVhQOM{*xYV$Q zCWl_0kBm*|<2KEz`Utd#*8GkmNW2YeXx(?L|Isw`Bjm?|hL4!ZIC<_8(Y4tK-_8h6 z?hiAd>~>@oV}W1v`sKNdnD6TenVZlqJj0Z=O~QLg&+<{eytQ{k_eOu785)YiEd_A* z{(7C<NXtCQpYOWI?{^B|4qxI5;K8Y<?XnB5pv9elg!}6+>-XPx0+OrJkH4(<RbB=S zz)5P``2@9N5}Zqst=?K9uN0Gi8gepMAsrNnYbyaU%qfzq1d7BwJsd^qS(5z(ii8s} zv^Ia##h(bL7-cb4tucQqWa*8^P(5O~PdGG|-Y{#?9bgqHDo5j{NX`=!hdrgijH)s! z4!29h{HQo+p<knlK6v8?&x);@D-IX7(wF#|A~{a*2>~0S%ACdV*o?Pp96b(%x8V>z zpTEWLZTHCC1lf7vdqD<fhdnl5caBVD%szV%D!9}->uyuNJzsxzs4LN4NWcma&cMaF zbp+-eVuie;f{Vvi39t6a@wwmr6lk>(ISy`Qk4N^{xcq#**=);8j4&OTWuNN1<|*pZ z1&)ExHw3GL2HSkn`Z}Ut&ar~<*jk-M-r)V5=fyY31#(r7KcA01ZJPC7h)FEAqx&Wx zx<b$sFnD6IWpaO%^1f3SMA7KzxXbt6)Vf)i%2<J5O$GSJ$%lQQzoMv<6L>r3==@TO z3q--@9Ja(mps5>uSj?LDhyIZ7yTv+cecgam-<K|Q3-itr@+QMs$UB>9?D9IT_Ik6d z(;}~d_b<hHw62Catei*AQcA-Qx9Ta3QOOp#MQDD}A9{b-kWIevQ80&pVuieXIVc8d zgyQ$UIeLjB4r*krQl0Jftu3a3yi73^{<9&Ku}fgd1FR-HJEFtGj^)c>olB%Yv}H4; z;1;QIZHkh?f!5)s{>kRC@kIA3>o2`x*IZ;#SgjDKQaF8+x%YBgC~oPp+@8L~f*lCy zOZ$O4;*ft*nq6+6VZxWny0tL2*E0%Yb9`<=_Tx<@c4pQ3#u7o9yl$1p?lZFAhE9un z1ZrE&bT+9v%|8&K%V>*Jiz4u2?<N|SUrXshy)r@126~%eXZMJv`EWd%j#8?vLxQd8 zAgV1a^rDl{z=6oVg&Wd>taE$;O;;1J<u7`#%ddaH^W#?p{>`%|q05d>Lz_&Y_lQIQ zRik&T`?|DGkFd9cy(59JX+>v#tuTcZ-tQ*?-sqNuprqH9d>|s%HR)aYX^3lK;0t(t zv`5^$CH9(aT_-&RyX6pTm)v<RXqVh&En$*(5|Uf&SOc71!(Y2K%fwy_HuJ>;UAWNw z{tkaVnvw+F4j#=zR?5sx;fEhX)ap77zDKki@=;Y!LOLDd72t>A9%b`ucx!cLz6_xD z*K4<vb(0WGJ>dvtNVMM1>SPaM&}hpc>Ms2Z<lCf7wYO%Wd9^o@V!I}sKEk77UWP}u zcU}f<t--AQDMZHXf)mu)s#Cn~Xm&xlA2NRhahSZV^&Ty{2Esotm<QF&%kYslw0J~A z0cP#`%;a_Em}|0ifoKr9mP6n!q05@fF5&AngUQ=^ZzT(1rbv+PfJbawAiGPOC)*lz zTvV%v`>dA833W{1AH`UAUuU7scO-UdvyBr<nYG^h@;k5BBzKw3E|@_;vvzujl4O4< z|8S*dO3ss5(|#e-Z#DG0R*5;oJXSlKvi6Oo^Z|{fCZ(RrM}EBu-(^p|t)uJpm9<9# zwXbkn4!yG(E4@93eyP<38pL3?9@bUjAb}TRp#1r6^fi~fUf-fXefau^oXs@Qk=oc@ zPw6$I3xrAi!e$ogReH_oa{h~LZE%0mvch{&M=+#r9+;$UVyPpStUzg5FB{EgnHf1z z$X)DRpp;qeZLO@`E4kMbpHmkM6c=$31F^4}Oy(7jrk_5|D;y<r+!`(Akn~~M|E$81 zUKH1q+t{{((Mg&*`)g`UZzfKAFoaH2W<D*GSC2F=WldafMpwsYL*t9CKB<33Z|e2r z#d&vp8eIAwAeuWm(<rApX50$epRZgJ$r$;itJf^bLwEgd*3ClGr&oFGyam+bE~Hp> z<ld<zKkp;;E41psXGEZ&Z3T0!26A%{b0|@_g1**lcEJszY4Px!bt~3}1W$I?diN?^ zq|6`0dUVg<60UtP^F@JpoB4kNAQUZ!M7#M55T!}FngRuV>X8p^ALPZ=GYPOETV|Q~ zYftJl!#zsp%M^Xv=D$qlm3ZszsybUzgGyTKpMdOI_~;;wW+qP|US=lvkeL=ftTn$n zZo)N;I&Rc8<vMPhHP$+A%r*NuZi2O^b)#V()${4$hZc1ol*F~+BZ`0Gmhce;U&wrV ztVhheQP`n$ofH;CxaIKWE|%+>*JNImH$6zH<<M_8#>G-)H^$kLZFhVcGBQap>#ak! ztD~du9d#;MPzoQf{w&2_{Ab){(r6O$XEJ>OLOq#21Id}ptA@kOoE-(SPAsIx;%}4d zb#kaqSu8Dg9bGKDMTCFR)FD^Sjwq=hg6SWCXM5C&zTxwt5r-souJ~);%obS3cu<uf z<&&0`-eZNGitrpI-!Jp6VTVF>t~hIDW(&BGFJ=qWkeb$d0+5NbrTK3CEW~Hhvc&tK zD7V6!u5fe?LRvUF1tBfsu7eLJPNq*obSBehA*qGjMc#QuQr3T&JA9Mra}IUfAg?+& zmL*jkoX|36{w(ZJ%`&OrlVh7V$J#SzM?1giv#3MX-9Ok6D`&?}|0X!W8im;cHe{l; zo*z`;Y$>-Z33ok>Jv49^M}g?J92!0{$KlT9oduxCLU?h57d1%kb?3~?4^FhbadqKH z!crRiwQDn9cF=zj8`OBxdv1Xacdc{TgA#P~dd+mQyUu%{C{PowD!-s@ZO01obm?p^ z3RHrRhaU#k(O|B@&BUo83oRb_ptUpaLB)%_HX3Y8HFt4(h-d3sl*r#Q_C0pRb`?0g z!bR)6c1(S0&-bUhVy>N4lkU@ycSWvs-ZO=+CEgF$Gbn#j>dF+-Ha`}&hC-M63EMLC zR!)uq(gRt8pg^paJ=j6FAgOna@uYC19r?bkStgF9VBLV9R#)Zx@JkntH7m!h#p>mY z@tFFY^8zO&6U&vc@OlkpnMk~%nxX@~i25ekjc=1ivp$*f3p#Q;nnj~CkkP_UQ#fDj zVL)9c^B8}RixPyps8bJKAK}q6Z%MF5V8&tBpLg~*7q64t&53;BYfer&pN5WZ(v~&T z+K0<{Gh+zD-!K1bj_k{NnC6$S0{ZV{-}`Z&BIQ=G$y)s!Zzu$HiJ$Qr(>_#gX+!t? zBDLGWEcQt^=Cs4di3Jj0Z^c9PAQG>guv-<xXA*yh{Y3SUk+?)Jw39FBjTXF_^%<Hb z!a-<WYB0XXSt9eHR{UG8aiHwQXZUg1;M*4&pQFly7;&(nA@5(l?_l-9v3*W?=M>gB zSo#(8L(Sv3^dQrFx9I5tw@jOs*;hk5)^_Kn(-uo~X_+>QoE!VUbAnfheHm6#DJU_F z6{df5s<n-#3*@8E^jZB~tYphKo?=l8;9yyzq|?w4kTi-nem`iN@N3#lL_Xd)?%FPa zIZpNV+b#|ww>CNT^{j4uewylcYBmn)GqRGo6XyN3yevOoCFt%mFaKJ-LFE#J?P&{A zW4=<ecuH9?Kow0ax8muD!O`b8wUJY653YYA-PJlc*wNl=q$o3Ob<#*+rM%P)>Ta2u zAJP^%DL`sYcbJ#FE>6COT9Y^QQJ1k%U+UhFd2!V0B`dSs$9aCFa4SfariqH1uS{ND zs}X<<%0}qKB^5SOc4L(U*6Hmimz3L{O2!{Ht8%bW50}|_g85(HCu&zAi<OqT$S;49 zgmCHhV8OY&+%Y*COTlyQx&LuBLpH%r8i4_egQkKxNOhU=HG=s5SwQ<YT=_A)+==8r z3nCKGC1^HOIL?XGiFks!Ck#mf`|ubs{t<}_8-0uzPxIqL%t1|vt${%V2x-{kjJsT+ z#P+>RumIPGfk=<{wBWMb`R9Y}!BKxm8(1uufz?W}D+`GVQ+b?Z0~(ln3Xrm}z_=r( zo?Ij!OzLqmp7$^-Pk#({R_uyEdcn4#qhJP>kTkz%h(~@dFfNpBU8yU#g9^b9l_R}Z z?n>`qdt8_UUl89b1bsxJAdaBZ<J9X4R|n7)a9Pn0rgrw&)g0Qlu5X9xhW~&0F-&`< zOKGKj!-_D2i9#m;a@sdf5#G>;9$h9~Y9RXoP(iE~X9+Wek?ww?i|YjRYWVvlB28fg z&;~%S)(r_F;Bm2#xL5v0+Up%Gm9s!DC4>cG0<|UyRk)ErI6(_YLKSZwV;kfEq3SoN zh->H(aj)hLAz}sUN!$y(!9ssrKpRMVRd47J?a&Q?C(~VypD=>`zn8R_`E<4gR4w8= zIK4Om77li${h{Kd*1AEYhy>{0I8Vkytp%5__e<bxa+?(;o6z@A)$N=_Mi!gUatxm@ zAE}~bQv0hc-}b3X$}bj3PYuw&5&Du)G5Pg?^tZu~D)-lx11nIPh2wuyOVz~!r*Q4c zZIr6L-_xiidnj9rnbSosM{V7C-Zn&w+&cW^iAka5wl8GiloB&0B$Z|tUsUuXk`lfi z-rP6EYc6dv=0!xx+U&BB%IX+TaDAwL?qhQ!!HA3!=cBp(M7(`*PGEX9Gw$$x(D6D* zl6`&nd4O#H9qY%215|&@8}vJDw4j0M|M9yG;sTC-%Ll>OLA2l2&JXqs-FV#W`6>Iu z1wAP33UV6by=;NL)xJ$SCLp!sGO0%HJ;RUoroJiK{9m!s<P~wF*3pVC)}>1INHi3m z$k3^KZrnNfh)jIqIlk!by%@#gzq@@-KX$*gwzQO&op*nqO%;D@_xneGr)aFw(eOKf z?CP^OTT+<hwPRk-KmFxZ${V<X3&h%;gq844@An6CE;-TtVlN#dF}`y3v1#}=#m~&m z<b2>j;g`wxv4!u2Uyq~dInyX*Z~uDO4*ELYaekP0-(QpoObcZ{?!iEmd~`pt&B<SM z6e02ww`DVY?<;?X-mr5715;#~r?2M^e(^Nn$4+MpeQF_j+o+l=y{QZ8>x6~9ef|oQ z_OluNF@@yj4l|9eq8MT35|So)9Z<><cB%rr!cGohQcps6?q-#6e0eN`K>M7l{%)Ep zn}Z|S%6D1(YogA8_97DCANGL|nce}n?QkV}8WJbXm_&c*r$+k%7n@egk7m%}BrHgB z)8Fge_M3OsA4}1gyK`Jq7hOY%y4Hw<r}2H*6>MX+b80!L&Z#F@r}@)_msovJIL`F6 z{R|`w7-l<UjkB-fPI;^OqF9?5vTo!3Q3b_G-@T}M8TsRio7qa<SaDh-bd2u?T+7rH zQu<QPc0PYJ<t*3!wu1Dpd}y5XO{(BT3w>M&jv&tP-%0Ccya^UKc~gGH%e{u|=+VEt ze*Qhd;ark?RD}MhLK8fz3Ak+vU7=@_D1y_>s?_Bv$i}nwQ9G)B!b_je*r8a`Gd7&6 zF?dcBpO0cJZ5Xtziry3Uq;zAv1E2CMEKB8r$XI_46iP$!rf=M)=i&{OSz|kjx|@I- z4yD~-5v7mS!*8B(xThMulTCKyDjj|9Y>{%#KJ9_K_f}^_eOgvIr|e_>W~m<V3N0BI z)0ta3&c#&cn#9>xfqIl3@%AmLa~oq>GqCH^Im<My!g7i>Y#YRwd`@0U8vZNY-%PnQ z7-N4g%8g`V#+Er);bY<iJ3^Y5X}Tu@WFZ!}<BXY1jyGK;e=;mhr&!7s0n&I>vL$EW zP7g1!=2sXgo3X2NIHdaJkAMw%)SXbORDV}}QfG@e-F~dng*hE}dhgLtDbA2xz2ec3 zkYjo)0!04N#UX#5)VZ56FIyYtf5n{K!>NBR)dZB*wPv2q#BdILI8RlIx@R1<1xEV2 z$R7q|$?N2$GLHsxwF4xj5)YMf@F%a6l`cJ2AHaO8@bMf&zwCzrda^8lRVEkucUHZW z2-Df>p`u~AfkP}xXOG8gXGs<*``STC-RLQ#FP!|Tc*`lqQ&8z6zQp^jl^>IeSebve z&kLv&?T5$~t|d-?$AeH=qT|vy)Ek^qdj`~rnYZz#`I~?gLi%aym=UL{VtdT0E^O2E z5x=5^Dl;4aEc(eEB`&5|UP3>N@VoF683&}l^^I<-3+=)J8sy8X9jItkN@Xzj=G;j5 zBTM31G8wxwv|$dDs->3^r_GN_ZRLNrcqv39ecYpkdFt13QYRxqyNm;O@53_{BJlB^ zqVq?xQV{|c0&#@4(;X&(rO^?msgLFCz@S3LTajGoXdmM=vqFHxqr^I`5MhY!u$k&G zsZzQPOAKnlhYY{@Y`f_&sT4!@Sm%5ESTOvSQfT|ZM6)zK;xtGsE>nRa*%*Ik8gGf! z3hP$+<N!NB#J|b(herurnTw*-j5Ctj6l;*gxwWI@L5Q?}ZYX#xM9Dlr{m{%;S@>kI zfQ?wKxgnuNt<u(r)5ymwBW9*!SC{+2ju2W@EcK2!EqPSxF}nk@>(bjZ*_Fy{H`_Cr zl+qtEan%?XukMPifYy`q?{UA#N}Za2fH&uDB*Pr)6OO6K19ukv1V))mZCJZ6EzOhn zAw?wg2&_wR636tlt#YG6##bES{i0ZrW1;8C_`SvtH$aDZz!Q459<Js7(cz6yatOEj zkz=YK)hET$4V>w~$7WTK>|I2hhCH<TtF!V|38Rq$mEnlc^E~xX5?{k-qno3D_Q>W3 zf4#w?x+|viqjKXIu>N)yf|FgH=I}XEJ(RRm{9$b2kF!p1VD8mDwyYU^N~91V_2B+Z zY36UWnQXp!4#xw&vxld30$;tDHci8PbD_~YGjUc;^=-f6X!U+cJ%@Yu$zk6J_cfq~ zkR5Y}S!3N8^vR*#kZfL{^gcm<V)jj--wAFqpx!ZUGN9baZtkGS;UO*iCQw4CkEOyk zux`jV#Zm7`j%mU|QL|*a>5fl*`es&8btk^pY`qj~TK}OsQ}g;oXcgtGi6haUVd>6e z7m9A!FA7Fh%MZ@a=}a9dtkiyZlKz#X2w>jj_Zo*&HVZ98us~T^g9%Q57xw#Ao2<0v zRlhwvGI_c(tiFvZm)A54TYmq9zriw%H^1R}G}Ow&@^K5S1o<O|>VdtA`W*E+P6z3+ zU>HFk`zBwXUf)_+AW8+w1==C{Dv=+`abp-ZDiNv&rZm2v@FwNv^Gy~M1{@@3pBlOc zdKba*^G*Csy*_i)Bvc)L^mR0(avukZEd8-pm@Z}z?q(`#0R|Gk&l>CD4KagKfQi)V zlS1*t?h2U3Gk&gG-?Z?Q;TL5G^n2FPn@{RaFWi}+7WHRKXYXhenY+xlZZo_S?RxCO z#cKMB+9$VsO@V6C`mYckvA<z~VGWo;1e-@_J=DiWVGS5Tn46=2sMc6WvA#^S9*pB} zVf<)8Oq)k2Jt)VQVZ8)M^Ds}$8|}Uglpws#T+|H=q-viyN)P?9cbFW;4eF*G`W@+} z9NOKJH&mM&Xm<pg*64R+n@Xtn1jp8>_n61MDEFktawzw7#|$X<^v6di4`(4grVBel z58~ep{5*;tM6p?afg*>34C&KF3qd>n8ODHq!?~%3B8QJ;>LWtq!?r;6<J}xUX+Z5F zJoXRkB}8(E^<p6{`gTz6xL(P%JoDacxla)ubq;;%YTfqR=2~CrKohF5T%`Tf42pxa za7Y-#5D_DtT-1`c6Gr|2>yJK7NmPOf3=wJ4$>YUE%#uQX+CH5=>Q~sCp#%OJs2ey) z!oCaC9*Sd`up_Jok7d7EqYA@A*+Cyi|Ae=QxBd6&r+d`C3-l0@W704N>>J8WHB>n? zq)i_YY6#$s#O4L+gWq<-La~sdeGO<Kn8%}Gp}0tnFl)3M`b`SdUKHg2#mZX+#n}e! zx*-G!9zt+`ch|u+0fIY$;4XtZ1SeSV;O_43Hn>AzaEBnl-E9r<{k8VNTKizvu9~Xu zzMt#4yZfEY<RDY|0TP70Cj&v=Q)ti%+&%uP2~<1cH4aD)+7s@0!F%QHJ>{y-TTkd? zrZ=i@v=OhFLFR8%JKbtgCdQ!e9YH!!_w1nUw*qi~$Er}}uq|n2ZgC7*Z-#ja;N6kL zzMD9vva6?5FYlIMZfK-Vv3zM{))>NNxAG?pX==HDo4-skYwt|}b7>iMFroCb6|O?V z$D`PAd*|V&*1LV|3hT)Iw;u9bFn>V}YOKb)$uNK6br;ETs?QZVxX`gVC3_EV6Lj%m zLh;Cd4Z_>LF^9wUUPLM65K&=Uf*NF5w|1!Ddnt9@<ybE#<<LW&bT#R4&MuVfEW$p+ zF@J@!hH?+%vm<%{*c!gY#sL9AE3smS#a?RoiSK&3R#`x*Lu6Or`VcZ+pGxFo6_Dxx z85Z*mteaFgRIjafdCb25?c7hxH-B9~s^XV_qz`mIE#Llq2a1=vM1R2VIDFe1<joYl zZ*)8Jt{D%T3aU-HDxM7o!Qkr6Uqev5_$9(a^3T+_y)@oTar?2i^)Ss?*!geU#H;vk zVSX;bg@WJcP|s1^`u|))4FyAaiB%1qhrqbK!G?S*_!yQ?Gkw}O@UewmJ)>|7^K8_A zMSW|)yJ{_Uss13@LHM>81+)^i?|3`)lOHLR4a!TYYG!W+{?b@C1?C#>XRF5A#U#hJ znq_s!{FbUn>62xhN&$OBeIFBIuXPnpCEqgalQOes>d3F{6Q?VxpC`o@y&p>28+=Xy z;6WwAO&VG=t2Y7SVJL+T20GC$amo9CZDgc_nI8`MsggbFmz@1DF0tJsN$+5qkKpO{ zZLf_*FGHMGJ}A!uuZu)4rJMvOvMSh)mqPZL>5B0ROD<Cc?LP|;+*DA{-RZt-Q2vKm z0Ou;td<fIaIxwr%uETr?)%!V$MXX(w`4G0(Zh(5?2s5<Vdo3>X$8G9QTPkLMO!3~d z+k>CBaLkt<LzfV&6%X$-@nh@^R~K~7TTwSMNXene-i}`_$NP3hA%%VvS#gWV`Zfgm z_xZflc{5{!0onioavJG7*oYLW4LQ|7K{#e*&RcW5G;Xrb|0bBCELir@dT35w_T!wj zRA*WH%ExU1-sDKbBQS&Hk~yY-WBL2#1=s>Nw%U?w?*cbG(K6hO!;yaDkR~koSp(+5 zFLecOG6-(?y?Xr+RzWXcFDJl4;p!fN7utZ^@T}=dl<tSD&o`CE4L=|`6;e=~k%<3e zlF_HH(1|K^c~iq16MF*=1^PTOiKpj0tfdOl<_U#D<rGT(#wNA&cM4yB*FN$MR^3ui z6axqy%fF4bOpnsSxGx6M<aO<rMA1&Q%CeMJS4%>FxLOO|vEePo>#xyf8P{p9>?m~5 zd+;{Z8Fl75HS002h1O0YIF{V^hHHZ++(vQv&ih@IW~{FnWr6~8dx2ZeU)XD0i!&M+ zq^}+|cH~Ny7)P6zdvjlZmfT+>&B8FW?My%n{u~_iIA40KvTXK=o;t(%tS^g00M>Da zOrs<578T~Nf-Zh2!|fH!-w(Pa-Ixz*9WL0|bQPjr>db_1zp~W*lSAnB1yT24KG=4+ zyul9C4|y!mT|^DFo6B119qO&r#jNFL+RVVT!E=%8o;~itkHN5if?f&2Ms54;JJ@Zx zs_?-8v~4fH+JJmdufKSejX(Jm=6fX?dgqyO&i{8c`h&-qz2piTN*eC?6<_wV)DDr9 zo+WJph?My(?Lj1i7l|4oWj#yD>mFk#KeCQ8X&p&gx1fX9(;l;EF9=u(iW9<(WIl`_ ztuDXe>0%zYLgNX4K_;#bhv$Y#wftr3Ojk41fdv9Gdi*wxps5)Gy$1n*+*XRXDQ0RR zWP8cd{ek;+8Iv%m@cu_KB8qPVpJCpzTp(X5z8&{)r-ZP3JQ1tZQMPcJ0!kwi<w*_+ z(lF|r)={o-+BcL&jIB4hB<jPciP`!8es6b^e6wM4>mU(-8xjsaC*;|<8zhPELdpSj zr`?d8om=s2eBJy#r2AG0*AVLdH~$4A&j#ft+MV{LkMR5^$(ds`N~4;oA5RXDXo(oi z&>8bP&*Q?N-=Ub9A{Qvw8ZBM_UMU~I@F&`^Nh={dGSA=jr?fMCG>J^eIST6%jdUJ> zVSGW2{(C}yQw}h(El39MW1baIy{tZRM_X?<GVjyvxM7oAg5pGtqF}J}6{1o(;Kw=H zz_~Z`g@jU&=etBgj66>uE%>jYt9Sxz4zQMx4BXY}Py#3&*BChe#f&ToR_B+@6Y~d( zCr$5sVC83&?wY94MvdNiuQ$J+u>X0vzh@dqH||M)Y)TaTHFQ4Omi0kCLE!hn0+W4@ zOTwtl+i_2FQ?Fh&e7QU#L~we}KrWG3-nRcv#6(QKH5nMO^E|TUaG~*<9Uxi5`Hq3! z`j{ZAkzO5TT$XY^`R66)@Un!Vt5m{c@EmWXv^64F$B=OO+u?&lRx6q5Q)hJg*F0zc zophIfQ>B6PH0B5BqX!ID83fWN#`3#1J0$~=yVi^csPbeIFp=NRmCF(!Q2;G(WgvQ` zj4PeDXWQc)EOd2du^W*fGg3$RTQ`)7m9B^|s}3ueOG1z*mLQ)i3@_|HscyS35eang z88@;X+{p*l9IkXQS|}P33E8tmf^hO7N+o4~3<$6JE8@3p&rM2zO~5t$T;4Oa0ipWn zMz;Ze$=zc8LC^eW>NR%(HCuuFj++$NZF}4=DxEj(MeFXezK}x*`L4n5?8c$z_3+s? z@cs4~&ht&k;j<^-$I)$q|7yP(2u%MU{MM%PhA$I>th&nz|B~fOZ!h|<K$GK2ANvM> zF|!fKC#j)I8PieyuegR3EAsypeAJLa@xQ_wQfxnu{@ha^V|4q7`oF>tQiNdqH=Po~ z_8G;0)4XH#Kf7W5Z<;TukiGo>+p9x<&Am<Xb?E@KLK^`u)&B}&TI=K^$N)?ssHX^G zam|~n?#qPjq}b-wICQ5!@a~Ir@#98+xX9D%n*~3Gvd@LaT6lZrN9FsO1|^Ws5?0l5 zQNq>AwhA*N_<V>#ieIM0uJ*1}U_Cu|>X=2w9;upXml^;^Vm9kW_lx0{B?Q>#>rHsV zj&i}Go1Ei>d5@WPJ7E$UY0(IhkN#901jQcj<Dkj>ovR1U)zA9;%(l0%ma}(%TJ9r0 z`b*r}%NE{=BX$7lq$KG<?NCcvqi;4!r$9E5s9xLI=fh8%s4r^<8+oZLGTvjJvz!v+ z-64Q2CpIQ_n&<f`rRyb?(qwi19$I!fgaAJMJ4ZeekWH|P%S+_(xM_~S%1h1x#>xJL zxq9E}kPSE}1G~(5WHB!h%h)@A;CeU6q37-87K-QVh1?nHHOVGz?Eq$NiO8f^qVxD2 zaY-AI^_o<Yw)OxOcFCO%VSs~du+8uih4e~no`)lDcVn~vju~)XE<ZE9SuCL}Di4MS znS6;xuSqcpbC<Kqh|_Ne0jQo6{nW8UB^@sXMt^XvyY*()qLdjr-%AL86i#4_&D*@a znw5Ol=@0}c{Zmc>{>`jKFGF`>-VdOc#*&B@dtyxFKm_|wC}-)&<bBY)4F|;Ts73-q zn6-#yiZAE}0JPHEQefN%1lC;torQ@SmG%^A9KG8(Kq;8X03E1zn+GVJVWI%5=-mb| zAE<DN*;0<fk9!0U9SR74ydzp`TYZ21^H-2OLC#6uow98|+#H=o&e}0j^E%-VuI@~d zoI3y(%8|%Ho=^Ur!%OBF+E}E;)$*}6`D@i7n<iJwUuX54f9&-iEt@l9CFV7=4y?uz zemOCYkJg!1QvyCjixS!5wshcp_k>YZ!STJ2@70})X8GRm4od}pC-6d|SC1mn_IrR~ zvDVjZ`A16A%Yg!T_-tPu<oF>FMeizl!jx_QE|(!HQ<&PknP5b@B1hYL6>pD@3Da>@ zfRy2?P0L=XO=IbAeoc3y<cHAqFY$LpLx;_tF!375@zeutynGCd<5eS84^;nZwi}K= z!s~3>HdApWn{GFM9rMBi4V=ntFvr`rIwMGOENk6<u?1Z^VtIZbvBYzu*`_%<M5*%& za&bnDJt|8J%yv2TX=>m3GUHdEzM<ggHRNCRUZJ+1YVWZQQS0OVh8yigTuRj8nbyA~ zG%6~r{JXbUO_bdO1A4oU;SeBeIqGi8Bl8(;I*U!_i7<_S*QjY7bwpTs7w`v+hERW^ z54!8PtHe0|?7cJ>%MD%ob_Qk;M3?O2jJP7`zGUf6yh`DH1=sRsdC9l7B)|ZFdB^g2 z*}9qtG*cK_RxxOLWS-h7;k)KR9|BnP!ac+(w!kfm45$Ld#@i_5zDR<|V*_ntqTX20 z0>pRRVIB5=1isq<*f9g7LFbnj){q1KpmL3&PIguE1Z^`D-#UgiJ%(-0+B^`xB9|m4 zE?`v)x6=XGuY-HI(u)Yi1m*r_^#O{>n^hgfrIpM)kx8?I4tmTJDXkB?1<v4@uXi;S zJFu!ZO`k4iM}=Bx*=b3JEMHCKVI)5iJk~vHO%Stx?}Hn<W%Y@y^31zZ+;@DD;pd5? zF8#DFz`0u29T^*uB3r0kf_W6C7ai$tnHqch&EGeWgcw@~ruUIG!8PL84f9WGJ0+%H zFz751am<h-=8EUsCn*>e04?x`1q~08$TVUddc+&m0coSZ6K+2LT8M2GngBYo>ez>{ z7*Y^_Yheof(d&Urg8E#2>|>?io`Lh58}UiBS3l;yn_@8<=a-<7?xh*5ak=sTIrKt8 z$^UzZgM>n3;_w8YH+rz7UN|<!#vqC$s6I2;#cCWI7h{lR64bUitY!m_4Zs*Anh3RR z47-?xV{>c-Vo!j|*N0t<#IY$c0#U?6nP|g*E_&nGm>PjT#X(W2!!BCm$S;FM(`K%J z-!9`|)K+_9f`32!7M+&kewgH0zp+?q=9WeL;|hngxS_9m)X8*A5?u#s99CGTwHdWl zZ#PUD+V%s+4RlCj)}btRqcaE<H?asQbu-v7&%FE4?tOx|Wjbw5c;{HDLv=@Sy6oS7 zK2STb-c&b0kDI`fBv^m!S%)6t2z}^VN)%Do%Tj*~Rc8^BbV;mH8!!<kofj!BrXvIl zp#l@?BhD1G1kUZUn$440XfaD_2qa!oYsiOApd?AVg_eqZ1rAYy2~CFl3;P5*RN$1( z?#VSSMP=NAOR=)^_(w346u@bEAxi~+GahlJ_SsuU+;y<1xeTe&cLExAa1p5^Y5AVS z9#m-hqujKP9?eT5Z?)evU{}B$(}L)zSakBw(e`NB6epRwLRv76(Lo_^h>)O%js7?@ z1w6WPufk;6&C&@p^#n%@$Jf7c6KWXz0)jK%-e7j(C=$5!bf{|&?qB@^G@|2wWkjdr zt@8Z$=DLax?YMJ*m)1R!-!Pwlp6^|rP1&24H#RJ~sH@++Ro@B-m9br;Yv{>tSh2+H zp;ONoou<v=eh7(WC&ldaz$YGMPOhcCeUx@5>E(x$jHu>mcgRf8p<Ste-?06@VeEgu zsI#kQH?_ZEl$v*&%-i+fl3Xi)D3Szk=<3o3uB(ywA{Cw6HvSf<>Wc4hvq!^kn`GhM zaN31?FF`&8R%}>jy?4=}+=vXVduJK~lb5-rC`#Kn3&rS7@r9Pg+7jQ&60c?De(#bq zcu^0A;F0qri^<tLeP5SVhVh3z^Wue_ZR`?)j#3s<Ac7OiAc*C(Qk|K9k4v_rU#wBL zr1MBK)IH{XujGAF+|k-t3|}nQ0moX#e&FwbVrzf9d4%#jmmk4B^~V$YPG(5q_<>kz z?n|!Sks;L@pZV;NwB1f}n<ZN+LaJ6mYzwgO{U3g=f8zHhT)N0&CHfichSATU%fs_o z!>5hw^Ih%3&WFk-4QGIVj=QUTNe*APApa#JACFhkQHc9A4Kp+28`1o^{62+s>XV(5 zffce7cf8-AG@n53@AQJwr?Wo1Pviq8d$Y_!Y&F=wD(#c*P=<*{l84&i5_gOBtE4Qm z<&g9Aqn7q5B@K8i=0xk2aH9bOa$zWv2I~czyZMOVUjuUS?{Y<dr_Ly77%~VKB!Dus zN7#}f1$@2}97TN56G`8+v2tO)X>;cKPt_1gnkz)eY=*G^-lTd@!`;{~<2m}<FS>Za zP@Rcjp)Bu0VV;t`G<{-zvIa_$muC+*#wjL=LmVhp1Qd>$&RAYx&b^s(TaX5p?pEVx z)_mQV8*feDS~#?Sa4pCZlYMjeW)a2Z<0=P83|au;IMREEVk*L9iS@3nnQi5<%^+Qe zo@#;Q|MHrfDtx<x3Z63f(oE<)FO%9@_po$xIe%F~QsVrxXo@DeI?TI}wj#iV0}HSs zY(m`a+inv;yow3BkeyqEeWSFgr<K`S^~i8@Ie6>FmmP$E7y@jy`OdcbjFfugrBr>h zeuwX!Z1EN!3jeLUpVFnc9>^1fms*uCCtLQQ(BMxvEZ1G6Ic!6j663`Xj=n?jS@I1H ztpt$NL+AIr5615tq)1O<@nr8watQ+wS4N%TQQuChpPh)pKB5~Pe;tk^x<AdYBH&Q~ z=^{Pp#l@h13)Q0PKJ5JX%!45QS%N2ATyPlc)O+6<Wo5q92FCsSy+|K8NE<_y7rktn zRDud^2j;WcfG5FqK98gKt=4&Ouc+1@T7L3Klga}})nS5z+2=C6jUL&&GrlyYJO(4x zWXt356KL5?Xu=P0lU-*(Z)jX2*}2Y3)XfFNh3f2oaPMr6x8cEVweg8=%q!Z)vV^fd z*{n7gL~#|Hbyg$w@eAvRZTlMYb7-64?ry(2lZM~XhyH<TAULK3S$apnx?`-8K~bef z3u9gGuqB2q(BPFyF8oNq_m_sPAnfl`5T{$z#R#TY_mjK6JF~@@fDaT&VMb_VS|RkW zki>z1R_D6AzzH;KpCU|MOty}Yy}k$U*J18;ac~nEUfnPzG5woJM`Nc?S#med!0Fwx zM<NWp{_d$*Ln8x%7mmXtVM<O}CH4F&O}qfliT88wZY^}grk6OSa^yYZrb!P0dsie0 z=yAW=!gofzAvGP{d1K7#M{mZ-ECN&N?+pQehTTHJ=4@QwnJ85JeQRg8RU0<`L9%Xt zGMlyOeS~IY0Y<;+TS}gLaoY=VCoC?M*btqENq1i5!QSHt+JhmkmiHPYAN4b?6LdL^ zW-dyPm(lKuaNN<(G)~hWttPxA%R)|}{h!Xs);L=GjZozU2A2ljY+kYDkux&7OELF< ztac5+63H4dpR;(*J~XDJN;4WKx$fgNg7KRZ<OHvCijT(fp`^WndNc>0B({I3{Ee>s zRiAb;&9=aE*&O#!rq^CPXN_~mpw~`#*&OvyrrSO=cf@+x?DxQ^-;OwU^!Bou=x!<g z;jqhdyLyFx?uhSFquX=4Vr6OWi2jm)H~ImyVkLEDOxf#)fCj>+zM>loGR({Cm9$&g z{W0iA+?W!cUA`14hhG)EWc#Di)w4&^mv^?F=l7kXJNGBi!hahz>2pw0O2ybUa)QNj zEC2s^J?LO{FKgb_MtlEsd^*cpe$p>nyd}>EJ!<;1KAdR`$C9V-Yc{C!#p(oqZO0r~ zT_+@nkAm*UZ|o7DKpY=Pp7?)w@S)(kc!H*}@_n|02Wn7h!bUzL&H>pF1+!|7g5~;g zXTuBa(Xk#Q#b*%E+5Xh$aOwUo{c=xLSJZqg7|XSRF2gPx#7kwnmcHYS7h7G|sn(t% z$Svz3R^3;4I+?us@|x8zO0}4Oq2ei08b&f2mUYtOxNZ5`xc$A252njK!`b)CuK(U~ z>g0E9z0zZbs?ZDJ-rLPG`LkH9%!E%){<nDn&0kpPRz3xbVtSV78Tx2#mfMXL)B_gT zg4GWAv&}Zr6!zJkL`NQnx52212E-<(U2a5`*)c78w^Gb{&V})SMXg|emN5gBUDylj z$O}>|^&>m!GNIP^?>_44dQ&^~?4i^ZZk4L3Ws$|Q)xSE=aKB<;pHVhaULYp5Qr5cH z5<2ytfo><=LM{q7wW==)53;Y-r=E1(K2VFcXh-Ms{%U2m5IN!B^v4Or3Mii$5`dv> zUmwf2Xyb=Q%>JTeA_(Sx9K9HnfLqNGoBfDcri9)1_HX}vp?#)T&YXSbrqK@5E$&^W z@t9X!UUTYw$P-)=|F&8Zq5Wz3&5`*fp9#=)V!!}-40yR{40IhFpa>W<yTpg2^8-fy zW2TpyMnKozfm}a1^UD-NpzHKN&z2m7H2}JfKBo}Y0C?LL9Ws)C{%60jd%__bk`m4A zb9YTRL_eos8!KkErTq>4GcooQykY5juEyE>56IiFY~WH^Mh>s<U5y>X4$05x#0z=| zLE&Cd=Vuh^1x<yZ?K%*&C71^y3P8lOM-XIyD>E+6gv3KfyUH7W+)-rXyt@=l3t2GH z#-grq(+UJ|20jCSgf<W$^#y=S)wJ*o46yf2+##XDN?s{jgP^Xn5VZakwS}OiFR0iv z8uEhLKBFbiXjXgGHmpt|B>j5lqcv0Z=XvUfKirO1$L!CyYKZ1cuFGC7^*LF#sz2{{ z-lC;Z{<2;xsO&DzZYku~W!0CDd&8RId8!!E-%Yl74PC!~u>?69GZKT%gMncjj<Tn6 zfRyNAQ){mLQHeI$F7AQQ%RDXm6|jvgD_g4()=(|(sDyD|eX7zn`AW`Ois4tcK7pIC z7t#43dL;uQ8M}@#p6#(iY^0BPpKUZ>Y~1de;(=ULiHVQ8I#-Iw+vIFuxY0t}1&`PU zhP|Fg<MexfL{cj{87~u)Yw;g|l|01MGKYKjFak$bB_GzrATO83YyZ>O0qGV&`;+E= zB}z6Ha6(ADb{XU>v98hOX<hMIvSnOu-=L08OxSV3I?_oQG^8}<>FBw`l1UuymZoZg zVDitH1O&U+=o*k7cBl%uVtSgeVg1NP@OS-TNRT~$1wsZqi+`TQ#Aj0LnVj5&9IpVL zkC&dsZ!hBW9i11E2Ga1cWX+KB@zXv;On(tQo=F7=d9O6va~B`+EDEY>ws_shLqvDO zH3$iZD{HKuNAi3<19IIM*XiVO^Xf$$dA=_D+z7A^A+2AA(|Eof764fiI0^C8G3SCj zf{AB;Qu3LMv4vdsK&E0lvHlA2Tn0a{F|IQgooF09ARXlttTki&OG0YGp~!r9BWG@K zgZwM+h(OmNBr)b$Dl7GeBm%nC=6m!;cIa%WYe_uZJ6gz8R4nsOGm`@n?UVZh5>ZZd zsjZv<H|^g5nc2BJf8(*K$h`8|IXA89?J048u<AkY#&!}v=hvjsO1$WF;H5h@kY~n9 zS{uC2%{+0=-pumjTlIFUICw@&X}f7ZZ`g4Sns#Qgkx_I|6YJ|;o)(51wY^rtj)s%M zu)<aoGr0bRD;##zt2sM}-h)uaO(20AKBF>3Hjh5W?Zy}754&U85M2`gjOYYoefeE~ zm9gB(?>xHwy+`G06J~L6Y*}GeoD@J~lJu^2?;u*IWbO+lu*oO&b8?Vk1`|zoTP^dh zhDAhcTLCi)bT7JF)wQdCB?`9G!N7VTU1*n2WoXz+iey@u%2zk1G#;2dKCRqmP!D$u z9E)H;z&s7)lT)RJfS<3!JQ}_3<2Q(ZyzD0gWl2G&{+)sXG@U#5IvaNPOifmT<Lvk8 z_Q1nhy6rj9vF*jqR%gnEB!@uRugaK*=V9Ed)#ttRjC?jTDLr_02WB;<CPth)Ty+K? z>=Ca9lF@%$e>Zw+Q@TN0uYiWPM?7=B71vBJmDD`eDem@9R}QkzFYjh^QVBYLb1Fq2 zyU&s2GoPV7v1(Qca$cDWNx+D`hF1u>O1{-svkv7wV#B~#Y_@r70aBEDVZv1Eny~K^ zWd%HlPF8T*JF94?7ZUF>eg!?z=^eHj{kv#qdi%IsmSTbhy=jl=726zrWuR!R+XwGV z%T}=*fhwqR)JNo;+b@Lwn4cPdyYzz;-&#y*8}pIJSu&d4<JZ*s6NfXE%%Y+4lFHj* zhWi~^qJfa~QnAz1vTsT0UW&0Ez+2k8!SipIRLxEos)B`sj}|jU`{vCmMmj5V=FY7J zRjR*e@9q{;Jpd1kS8Nn@*If=%{kPPgE{=Rtr}A-GYO{i;RKeWLficK`7Srq+jm`%- zIgbnoy;T>^s5Re^W*V-m+COZq8`7~=vM0=%Nm+ywo$*u~#mxScumF<R!J}&&{$jA` zj$_sj`BZ5XH2YR$m~3PkPoEBvg$Z!R$u`D%M#5&za)wyFS(3mRezTOI8g?_5kRN)} zJ!{8z-i67j7m`&0qdcpBPiLWrS@#c7Bh9DOx&!m=4Xk}Tvlya%;h!<l^R7#kHb2kV zK`{#>@;W<o4Tm6x!EQ`T{Scl?(e&9Yd5dxCx&jOhhu!#d;pUEJ;I=d8!Tw=h>cSGy z1S&r&XKC`kya?{AuycF1hs7^~^%G;3=k~l0i=PFZC&skS?KvNR7KH_`CdNF@?FAqB zgalD1#)Qw$m>>AQ2u4hd8JwSSJ@9=Nl$#h+IzIzE@W}}Z=BHonY&)Nyx!vACKe!7C zLi%{vH9koSdS$1#gU1B@n)5@rcQ!=e)uDXfOJAc8seM6L*APLFKq4Wpj3xMpOUoNd zZ`3yzT-DXD=;SVctl-2<N6kC>aS#Ov!``R*i9IkfcZ*B4dJ2C60U_KT0|rvBe$S-l zO1L1M$@%H40{V@BLMGKQPKyB{ioy!A=5<x%E#I*(N-Xv(ngPrkq8msiapu+oYDle^ z<rkTYe&Hcua9SvIBf|#ceZ{c#^;r)X32x{RvhAvPC30SW2qfBdSm++)zSjW#LI}6N z5P$Mti1XJY8qr1FjD}3H51iQ4R{vC9e4Ac;VU=Dq=GP_$uR-<&Cn<cfAb-_RUM;j< z@Y&b?H8d~oVsx+Jzv{K681+lAg<O-Svf@&BxySVx62L)tvPv%_$bNebuZzk;<_f^z zY$+6}G7xcpQ^<@&q0@k_{5CGcJU`@g+4~9k9b2ouiM2FD02e!5vIfKcOw_iQof1mP zF(nS&0ic;s<HAF;qv@ys&|uAoWmN%ay$-m)sxgrLd~koUeR>H5!LXe|$lXq&(0bDO zK3v-4Lf8d9T(U1=HqVq8snF;8!m#5|FSW(r)XR{6NVF_f+VZI>0R6oaCQ0OV5+pI6 zPZU|F3l{k~{;%93sGAU1k{l^U{7;gYBB@@6$WKhUDD?Nx6zDahWijH|)R7sYcDW** zLP76Jo#-ggVI|2;-)qoBGRu>GZl;t7^7BD|KQAKjVu3;Za`7hk85c<-eTE{7#h;HF zFrLYOAbip17M+DJn&}JYCmi|GrS&}Lr3(Wk^=lWF&#zrV-b=o8QJ0o~9kl4z>!2#4 zF|UKtX1xyjN&3G*b!lHMV3b}h=o0?3NdNX~!BYaUkfw^vFj{@>T53Z@f!^x?L7HS< z5c)~^mnLxv(XUO4QXx&i66B_9Vi423Xz~4j(w8lURmq=wT`Il?<(J^_vZwy*BVbW| z*^nASKsp3Hu>WUd%lkT=`S$B$RCke+BoEyoN3VIzcwLAB=D&sb{(B~sqW|@NeX8!C zUz}&fB3~RkpWjnI5z-uS@@x6v)HUycw$R(juUS)3A*<<=`G=qJJ}9DFGVL<<8%Z#K zwx&gy(l}jdrp4sXRH`IJUH+ATab-}lSD5(B2h7v%YGRV4{VP%JK(r@GUHFsgpv+B; zB0r~=4;ZdJLd!`(&>J0mPOB1010;}3%2!oXB%pW*+4hw4fToyInrQzq;hvf|)r3bq z(1E%r_C$&op%~R0eQBgG4*9bD=X9EX^N^s@DYGEkWbZQZma@VIyc}hPC8yr6jAJ!F zIFULOjmbh2n0(peuziw4VPWN+T<7AreU@V+Ewq<MV6?>MGGRwq?+C7|JWS*R!hGCr z0>-OfS@8iWqEDNELmF4~X&d9f8%1Y2ichCG=&35_4t>->=QQO!@X!21E{c(VEs0`B zb?8ZtcN8OQ66Ox-0$>?D_=c-h33EsF&r>pV56vMi6FjMyhYsL6n8P1@z*bNA<XvG2 zSH?RbUYja}iRQY<;hKkE&9pO~GfCg~#3ZKHwGb;*BBEN>wa%)i!=v0|9E?fg%Qr@I zSkq}X=xVIK-9Ui{R0ULv?yZ%7i=;p0-doS4OUEX;hZY$Yd2gJFUp@_sUp6;mT91T( zeX>U7lM7?<lIHp$xiLG8LyfyM6z<?y9LZQ$@jarc;(NkYSydPfmh9-7QAc?^gYeM} z8&FH<!(v9Q*Bn-+(z@W=N4Qlls7Fp+vG8tOU8+@l5F@D3`|Qm&G~*k8KImg<P%~5- zOat2Sp|=Ti8ng>4NYs1#Z5rHnxE8ACe{+G;M0x!gA+$T!>V<bPlpECDo0&J-t5Mf) zK!{L8@Gc)#d%WY{Xd}4btopuTf^%nH{p5`b)q;LZ3nB#dcuT#Bf6E9BabaH-hAM~p zpI;@u+O@;GK(9)IzWtAXFSOO0Mfh1%t~U+ukH3OOynVfey}P{0ytBPEp!(kQLH+Na zfxfrlT}1)C2T6bypmboanXdQkGWq62tm@8}>GVG&5LV(ZZ*=)eUQo;!I=J|t6L3^# zb-_`O>#@=CNP|a>9A<}uQNVTctUMORqJcm%LYx<(1~ohsC0T8MTyGv)`D@@v$v@@; z0ov>?V-<%4C%o9#+e1@B|0)tc5#;sE|7Wx7^`DIg5yZyGA)$@eQC)kfl?1C4&yLEV zug0_~lptBH(m*{=t2caPN58MeBmtse^XBn)8Sc+^&$1lgP#W}6_J#w;m~nVHSe&HY z0tRL)gDMT?*`#}a!(~DVz-fA{P8Rz6371IGl?ERT{_hq{cDnzcEwL?<!u}t?<;VYk zq~9;V`G1x>A#$&P3i^M*?^mGavi)_a&5f6#PUcWvYya)nAsGm%UxzAHfDDx%LI95Q zd$p(|kEt}UZ<=K(r6qkqjvQY0I3f7YV)^s)v!m<<GX}7KQd{WDC%8+#Mkkh-&w3wy zJ8MERkr0yAKCca+XO|Kg$<JbZ)@x$4eCYSnoH!p!?G8C|)NPsVc41*SC;Qt&*&A}? z1gTRPweK^aUuq(om-CYclu)c>Y^Js`pA&uiCKr|iMVgoMfqbf$2#lA+VdBV7W|M1f z8St(Mg3_CRkCcJ+lfglIl;2S@)iB>8hzHz;jOaMdYTE(L1}eA9c`U3O0R*WAW6zpB zaCh)UJ8A?VZ_l2o64YU7C4~>Ya!r8LlvmCX$U_l$MN{JeI4hF^7jn1AWwvG|#%m?X z4y}10SR#$I984(3cimyk!zBG!$}JRlhBw*H+N6|!gi(%^v>j%(UH;A4yQF_f=4P{Q z0o**Nyqs+1EdUrhNV))EJ8A*2ZypQyUm6-~y8`DEp3S@yf9&NJazC3bu%69JDKS5% zwXA2$QcBd%X$0%px|9;va|&cV+mccue@=y2&vvDh0M97_>)D}{k~w6CG`m@CPhc>l z#im4m;K4zBp3AdnGpp?d<ROKi5xf>h?Er$ruDh5%d?yT{r0~HtkHKsdUR+`sOUS}M zy7k0hRuNMQX&(y!pKD_ILr}!$)tt1l`S;aggpx+<_HZq=$Fg810D3d*^R;QB$T>T7 zybAUfHW^7>BJ6MnG?Y{LlttX8cg%%b%nBoapPQ2<*aL&i!n+6xUg|sGOO2vFp;*K% zt!)3hUE(z^yv7pS|BR-nUyM|E<wx|glCl?l%uqf~;TxeS+b0xkQ9Hg;8ffKWEbrOj z7M!Va6a12VE!)AxkZ2H__1vsdQQ;Zp5_t*VGYg&@;Fr7vdezBidlbc&5J&UkF7@eu zWmbydXY)RN(dW(vkuP4h8LyV|5HH9djkm9J96wJdo4;lHKT~rvzOL_P{kpzekQ93$ z%@lr-boQtZEAmGp+**_vU;;I`z&1m=OC&L1>{Zo<8w5zl0F`;=^YpT$vj<<**k=|z z07$~81~cbJ1OFU)D?Xo-?F%Ia1hFE2tJf+H0)}@Caci7YUR*O6wsM|rVJ#=AjBqIj z0jBYSG+^djX#=LsrW&n`JAHqrN)N4h=RY1n`c2(!jF!6p+LT$e%yi<NzBuUQB`_`o z@#T#BoT7c)Btb@r2~40yEp*P{>rRm+{E|E=@#9y}>2ELrH7biUC90mQY+xyW5|m)9 z2P}dOv;Qx^xQ_JLPDL!~1b{Oh3z1=J7=vu0Mu*YsppT%=P9zCsaI>7Bfp!Fgd_p;H zNXJe6Ac;mIr;s$h{jx>`pcEqxp4VLFp5XA6RwI=O+&mqPbiy!1_y|;wAL8x%5LgO` z%=4N{B|Gr%$Do=xw{Eu2VfdJTcq)>F-`HZGllm#~t!PrsvjJ?VbP%b`=yNI%CLKvC z!~F~#;3u+*CTTvWT11IoM3e07e{72<3$x}112&(VUH&!<{sbHx+e{_1WFLs3n*fl- zJBQbhQ7Bc2gNL8pdSj&rNM)K|;CjPgOkh&%5U<!gY&4BVP9gI%tU;-N)F2K%d~PDc zo;V?zWW4<hFB=A90h6Mi;jCyHog84qvz=_3G!&VP=rfEgn27#0Y3s!q#wZvYIAQ<o z2htS#yi*j70eLBHJmkaXA4OxBQ#fB{Ub91?G$<ZD1Igehm4?MXKCo|x=4F}JBsR7+ zeJ#)br*9cMx3bV^mQief-(YX^&2d}Yq{u6yzS`Pl3Z(1hY@+XC8e3l0WE5*H98zC2 zB8hiMB#Y``{M2e^%FI$@R^WA}n`K{6>hev@Zc5(Ow4u75VUBy!=)80nSN`MtJSXZ^ zJu>+`pNi{B1$V0BruaD1{vsw;>-iH?y|D3}u8G~0scKQNwS!TAR=e}JJ5ww{;Vi+a zxPM1@JNPxM;~a|msUYb*6*VvC+}p5-zqJR8#!G+CF8Sjy_%Co8`V`}gm!?B5En_kH z&w%Zv;dO>jac!ApNN(Eey@LV|oYQ4|m4W+sbWBZu1w9M~F4r#W44T4sR&E{&jGKbq z-GQ5e;0}_%YdPG1<?m5qv+~@PxHXKl1qz3hJ;*Kl_A6}b-r2NY^kT%%J6E!G4Srx# zJNHgKVV`DjS7%-3&{uDjUsz=k-p3R<D7!wNIb@JLSavqHnpD~W(kC@nR-Ue|hlMTs zhVTc*`R|~Ljo(>Wp?qpR44+cT<CXL+{0^-Cuore-D>f8=Au%}}L2gdEH0{Oztu<By zz4cR?3t+XdI4mFC!^bB)iq*hiC_te{<>MSaT`7~?By2>GXmnwCoAJ7XXe*7ER0E%i z2@-bnc4?8c<2md^YH4iRG`fxspSsn9U@iA@a{!T2G;Y<Yg35L(A62t)PM&^Fwwds} z&cTIYsx|X}auQEoE4kvbLee6>b*aHNkn!Z=`}SdYy|U}yQXiiN$8Z}UtpNdBig>&u z`?_sw+nP(i!Q=(3D|*kT9g(1j+!;qpmVZyB6g`E8Vch8D^YRL+->m0awUiFqbF%sd zoprQo`%HB=KhVof`u)&$b<fnL-pV|4ocw-;TZmnMbvhki!qTseTQOnaK_k~PCFd3Y zuE-Vlc<z{Q64f#09c*z)3ZP!4_wBe)Q8wlBr$d56v(d>JiYB@dp*?R-?Oi;0Wh%Z( zQ;%=Ve7hG{DxuwOWTioe;vUTEMAkbep#Z~svd1<dwf?_MK_crcdsnkI06Pm4OG9!6 zrS!vp5?KI1G~|v)F}8ARI<3mES4p83(TFhkD+iT1?S$j9A<wk>+zKJG;ckmhq=?R@ zc-G7|Fha#5&WI;vH#9DpV4OJ?Nc`^vRm_!?fnjadW;PlD@O4*sgduJG=?@$Bg)<)X z?oH|aSK1~XGuozwdZbA|_l~CDRCDP_Gj|Vv?9l9~twv;(%P#g(l!r(E&P1bIyOuHK z$p2{K_jhMs<PxwC^!BcUdPT?;R~FecC(tkR$W+47Jp>{IW9Db)qKnK9gTeqYz5LV7 zyo=f&fhD_PVX*EeyLl=r@~q$HuxZNZfZ^oX1d08-@^a+M6YQD<NLTS<cj#o}zmH~r zFO}T^D)>V+&NHfkMbXo2C3J_TrAIPfm0D9<3x!PNhCl8X(@VINu3wbVggM~#_?W8s zu4w3BUb*nA@c*+$n6V6U>&ktc{&hk41J_9r_IzI~iej@+1j`MRWRE+X+zfg%$YBZ1 zhNCa5hlcj9BEN+@g)y81>n^aXP{_!Cpr2Rmi6`rWoSTd&Dt~oT+pTm-uI!JiLKNqi z@0LQ=KhvZHA5u$XEQ9JR%vIUN-nI!jv!zDNhbfy66il^|^B<h2DN{+7oR^JG>(WN% zwYTo%MHFkacHu3U2h`QLktNDk3P%6xZ5`?9=!h$0Pb*;JTEt;Ilojcsc$`;%mdGNX zO$bG?mT(!7Zpd$3T#2`+TbA?6;7pSh32Z&lw&zMKgNJqf8WA>wgbd4P%!9#5Xd3K_ zi@wBv?im|M+G|>|Fq8T(%rJ|@nrE4J5ewcD8!6X4_C&cgu|x(_%-mO4WywnBQuHfN z*TDLvN_IoFNlj68UIY2u!9rAjfvgji8yzff(cgpArL}c&xy4Y?R*J_hpF_-I+#WYR zibwH^vcldO+(W4gKPlQ>PL8Xi9HasPl^Cb<uM_)f=hp%2Dq87zZ7KmRpLOheH5QZR zDtcB}Zx#dpmUcXbqc1;dJoq<8*Lji4UPPpc#Y%X&m9!D}l&k6BT>6!NUPg>^n(?3Y zvk<88hsVR-4G{Ksmz>|)?P=oNF02qR0!`EA8GBsC#PlhIk=N!8>o1m(C`$x-cU3uh zn>{Y{#)L|8nT!HjHZ$eBk=_MEKTO+))QUw-bx2px{5v3$zD!zTSiZKAa+n0;hdWTX z4nM|;7qwAfoOipz7JbZr6ltn9Ybc*{l^@vhsj{h`TUOH$L_0xw_tV38(v_)YP1?vZ zW5!j?y-i4}ri}xAju~BA70}~4r~$pKEK|UP_G|K*<F?C3o{LW&ou20K06w0R-5Cx# z(x#F#uCV0l3Ra`^R-2F-B~Ilcsn5yjn;);z$yT_uher!J>#SmbGQy2gp{X0~w?z1< znK~C}j{*7C@&R*L-=&VV@F<4O$8(#8R<0@@?D^k7`k;82tgpa7yLbi^X;mV<NOx?X zt?A7;-f7&yJ!IMllSl7czV9I;Sib$$&h;Jh6d9?9bYL(%?Y2pN%7z(30=ds^=x(8_ zZ6tC+T&HAg28*_Tay~N#$PsgCU8!Equx9#X+8r;c0h3aB*w|P-hU7G@!ohx1(xj-5 zBF2FvlEvTVbE*C$Zjx>-l`*S>f1Fq=Q>t<obJEip`KVYtX8J28G--N8n1SMgy?Gvv zUF;`Ahs}?MMx$-Z4|8~AKJ&fuOPG6}2bcX%L}n4Y!O&WNL<=+Jb!p~CEmUe)CsS8- zG0NT0{5^%?`Z~@PT#38q;c<b%WDF$bkwKpVhtOLGSc}Ild(}B(C=?5)RFYS~i}9hN ze4ji9LYa1%WRca!a>>=JjcRCir5tktORT-q0)#9*oatMQE4cNOf|NZB_>^&^9PR?5 z`JwUfLJW+5ROY6-`7gA+287&{!qjGP2o8hqXxY}LzpE+9xBwQ}m?zeNggZ#N!*SEM zYr1l_mL?-Ywo;YOfSp3qqhGi6*I_<~89h74?*ei89DT!7w0^k;)^*>KYVc@8w`MXH zBcI*0s4U>_!QV)Ak>~)X2?)dLkbZpOA7~R99IkPHD>YHYom>2O66@sh7BAUtsHBOY zau-OvtY%Q>FsZ&$QrKVl80F2RSZ&Pw9ltOvr^GsIQb<P0oOn??x(Fq%dqLyYRWjME zr2Xzziga1Lbc!BJY~s`SubG)ig?T=+R-JD$Q=jE(<~0Z}F;Hu3zQSf_#!O-6z$U4e zT9aFU+Y1{|s(mUbqd!rl8rdpfT8gUNwR0XcD^|)BA^!tj#VHH&i_YAtsLZYANVKAt zQQlW<PG*Jscb8LbwtZxs|KPEhlzGMJ8QRWsFL<_e5Y#u{Ob0g~($zz-EG{f1Qz3xC zE*8aa{KMWnhv|@I@2yAdng}qbiQjM<w#2%B+NH+DQOa75GG>PcxI+{1?#qSN=P@BA zse;A^8lK-Mce{H@odZ^0V>WJX)@C`}8`h>fIHnO|fo{>IZPTOE-Yc%{w0{Z7mc|L{ z+fsHHc+HZfcod;G8A_omw@eMGHMLeIC)L$(f<$0>%?F3(vpZZenmilW(50MRWtvof z)UvEI1kr;dzLLg<J*JJHIvEhh4PV2R9%XDbjA4b&bPw{lie>AKRkRmQAq5tDFl5Rb z$w-B%9YypuXN?=mOfFPYB;Xe871Yk>l?7*R$!BDCfekLek<wC>*k-}uakYM-6W`_R z$p2{JSYMsTt2ib<5G)6$xp2+l2CIC3w^s0wnf+*e<z|p}sjedv!t`gm9G4Iw=3QVi zzfWfGgo_e2{;#^L8&~xS;hxH<w9u)moG-PNcEu=@0m1`uLbQs@80;e%7mJ;1X%i^v z<9`SX=@2zc<V0L0(bK9JQrmu&bAL-e3ET~gZgbIU$Z6ppk1w*O4s#Ig+C&b2s&F1` z#w$Php|AGPI;9$LpV?H^Ym-sL{jhR#*z<3XzWL}Vs1|l);A)KP{y0mcHnFULYW1n6 zQPnh5TT^ZEJHXlwUZ<&7sSdaAIPT$$<$l}XdaUm_Jz`CesLM+r;K?27=?44p|8S5l z7;qJLE6hLb<*2su?=zd)-{k#&r;x*Z7WYc_fTtQ-<T}h@dNuV`TG~@ij#|-@t@1LO zKbY`$bgdm8_#sc04~UpCF2Snh^gs=*s&P`xIu2O%1rFHc-G!n(O77yPmBYu?w=zWN zdvP%hhzP-&jy_Glx%NsXqH^>49>p~4Hari?Z0F1#9GiQMLT+b{aN`eu;QmyYYt~r` z{e>KaLqBT$tqOauE|939DG%DlB8W1vy~^&J!6+#PBD#85`XGCjbM?p81X!EPdOhqW zx?yablC>EUWae2UM13iqEu@K6;sTsZ%G#d9B<JUpV2R0WeCO=D)G5e9Vyd-bjIb&z zRo`$}-evvawoZgrERc48{c(iW_lKu-L0w@}VPzrZv<g9qS;!=N?fx0Cv?Jt+XKW2# zU(a%Os<Y_+`+5?XsmXe4FX=*LR&i<STqJEA!Fcv)A-}FArRke?*?KO)G=aeJn|9&4 zb*_;&_ruF18aNAgYMucWKGrKHHz;#Fo9yZ1y!5rVv>a>fCV7s3zKD4T#iu1@MPAIU zTjzgu3|f(Rw>+owbrFu*nT@()FqXY&#AGBIWzyz)l&sRCs<hM8{tg|r4=JTBrt)*v zEk3!W$8I}?YY5cOtZX><J2ALCRl5gTocZf`#2x*%^gP=eJ0+;%cPG4_ygGe?qp^w{ z_&@zOImAZ#1}^h|2dU;3_*0+yocF`3W#J`|@QUPx(Q*9)Fv@38rJ-$m*{UyBJ#3u` z`p#J#*Ds(g%IB8I{p4;GBb!jwO=)7)X~ac$1A`H`a~^(E2E6<E-sjkgqNqtxI_e8v z(!_*H>_2{ICnsYMODTrkK>L(W!K-8YJnauF_J@gVSK3#9+ty3Gv-KzA>gf?Tdz=Rd zwfHFl&W=*VXf0jaXHzOn7dM@F(2q%<-W%6x4FCFW%Whn9VR`S@K6|Q}UTbbTInS@& zUyIMj+-T;g8}!io_ddeTNK=tfYOpWLPFqohnxe;=?ql2BAh*POi6hqvvpUwlE#~U) zsXM5>#16iHfu5?+;U}+08WOeLL<;eS!wq+P16ci~%Vfv)N03bVzOrWfH&tq0E)O4m zX=BviEo}Og#0m&8_qWh{`fhybwURV;FgmkY?Pk}^_M^DXN>xY8)2r<_PUS7@O{ULy zQ)cD8t~DcA^$PUo_|HG+`oq!i$qUpAlTx2yQ_2~CjsC5Y)(hnOR9}xS`$tssBVEs@ zg4n%!<VPSH5{fl$$+jO>JVE(}U#d{UPfWePc^zBF%?L!5LrOv7^Si&Ca{sbyDAS$J zJ^o~G$!DJCjWNfBmHp{Mpu0MyyNW{#%LtqUxM&RN+Z?~+GX3dG*U?d}jE^+Qd4{e0 zEw(OyNT#z}Nq1dKcb%5`M2419dkU>iMj0z8Qh)FWmP{3ks@rV;tChylv_o|HyyyrD zaY?51ER0`#G5p6opJUuX!aVO|W&l+{s=p)Db|k+U#gmFrk_}EQaK2?H6O`U>e7$~( z7C3mpLQ?5jXg_mmw}y}KD`(^6d~kj&#OLG9UGTL9e?<k00`CUN<!Oa(7(We~C*yG< z7g-kFnbD!^yjkZ$6I2jA%p>d8sXz02SBTw#BwkMD5`iBCXpV7)HiFTU{E+`Xqa+6_ zUkHb$O|m=8N2me^cc}g6d*g=|t@GSQfnqNmFCVG{FSyLHrG2MAt%D6Y(oMlDDwO1# z1v&U}e=%fHcEbv?Ujl_;7SeKAWjH)KXjHqryhnR467Q~%4h{&myzJ@Xaj@3~dHbG> z$cNZBVm@Wh#rXz~mV6lLwOn1d7qFwDoIpbvKU823b|?K(i7d_cnF0e3OO%=;?#l@% zkzLLwq~14#s-p*MQU0DASK#y1j{Vz;%ECgLfBPm3Z|om~os5g8e;mTZQ#Cruw|8<! z<;AJec<>hca-F?{n63->8NY?~Fy92#+=$v7V<gt#1dH_N$taXqz_n}VD3+uwuGh>^ zW6}MbU{p!dCeyTl!OAad_%$uca<}xJu}#G460w#(;5L^X4c^MXZI}rJVmPadGPCng ze`))pF&kZf8|Vgisv<CU^rWMMOpsk_g(^Yqsgd|QrYq_+H2askE_F}bhamUkd6|$k zOlA4`rHlv_&Xe)UcWv4wTa;D_&H$KeQ`}J$q=PL3n_XjUVVShrRzk>!4@GrEg;8q8 zot`E-%5M4FeH|+ajTpsd%Ijl44b8z&e?@kSfh#tq>&tN-X$VH2B>ui!4r_F}Ip&KF zwF#XmEl3|z?tMy?iQnLI#&H-9o31pldCYLuRLv7VV1h7Rwsu#dc?2H{^+?t`)2W)@ znCB8J*!=S58Wk!kcb|<DfmY}<(B5B|M&G%ggYY=k41~VR_}k>iA0O0OL#=Uof7{@o z2?XBdy~lJ8aYNKw{Oett5^U?t-Y8{CsZ$@uOYKA~&ng>(x}q4|%q5P^`KJbZ5biV0 zVBpS5*(XL%rG5T}by9UKox*iS0oqF<>PwkSMWxa_*LB=-!2AcM`i<Wt{=I|a6BdL5 z$k`JsKx~N(uGl-aAJ`U;Nfcx^e?I%vPR<C8{5aod%=^GsJt}C5CzFy?Y3jfpCAv}< zvx{!914|kqCa;}%j}k?yOHs}zx!9WZ!U;UNXeT}o)%_>ZPja3-CmZ>YpnkG()eZJB zIXGOq>el9?b?zwUU+NO3vg{P=mSv~)tJUsQa!R(;+*c->A$rK1Z4vEhe~3+c{CEC- zp&_ul7tQWr{OHZJNdb1fk%Gf?T?~&oob1BGxV3Kxmb<EE_wM?okm&Ig#bWmbTCh2{ zgCkSB3+FLUgsvz%n)Y8zPHh5#ReE<&fZPd`$ttwfEe6psf;(vYu@BYT9;Cijc#PNP zKL)iBf0hExadRo@vaz^ZfA;B^_HWc_T24xQ3}V@Oee8RQo75a>3CNc;H$9CQxlyk| z_b|`9OpkG~Yr~4q#P``pg9Ew+aly3>QcG<5Q$f!qHUS2&>}?M%$x3W=$Dc%(WH;7X z@9(pl&33HV`eZ#Zf_WJ6W03`0>22d+m>UqIS(T7W5bGK>nx}Z8f6Y7ir!R>%-)YLo zDWw|V;GZP@kAv`*>XtGQIrO051xdxQ?cSdWU2_ilaTDM38g<^quA{&N`>nq#6d=Bb zYh9mQE&pwdeOM@b^>#<lV?&kz;gb%7-kn_hwE*!W7XSJ}&zkOKv4bSGMfd`&Wz&i( zQwz71j_fi%=YtCae*mZcN4VxG_21Xk=SqfEMkQwaa?FiW%th)dLFH7%1?-ERhmGty z(4E4{vO}8njw}|Wm@$`Uzp>2yLs}(mQSsknaWW!camH!#0lpz>2p;*}+gDc|Ps^pz zg=h#;t>~cM9LtXV#gAZRq&bopG?L&xqJ92wWAK){#!5}Fe=9}V$tt2q4Ss<9wJ2!b z+xqqW;ZHpsYaM$BXll35?-vF+IZW?0EHO01NdRBYJd4eZ=f2I?|M+K;yw?07eVkTc zs@TH$Q+p`}r=?p=c2t#`>kP|IQtkvh+abH;ak0>iRORNypF$0xIk>@c%T0nzcY+v$ z%evWozf&^#fAEDtvU<?|;+yov40=A^v0E#IIhIE@#=>I;fJ+-zMAZ`HOGEKqn{QA- zVvfFbFTr6%aVH7q3YX&AfLPh`D&2yOTMxU;r+Th)<P6=@X;ioLf6?XEL2-0X+%JI* zEY1ek;KAM9U4pxNu*KaLhsA<>aCZn0ba8jLED#_Be|HFk+&sUx>Q>#V_x>|8HGO90 zbf1~-Z_lUQw~+w($?pAXD9fqE9mA%{zp^i@o8+#o$)|iFL~qif{mu42FJA%rUI8?> zbbp$v(A12u^<bO`e~0zi7oyCx5%GET95XUvhTpj<$J4i}L2JAX@dP3&iw~Kmm54Q@ zm5qc`e;KeZ9InzOT4nS^oXY|}BWosSzA2S!@#L)|aQLPwx*hhe0?lRW4==M|B1ogF z*ugQH<V?x|(`bipOW5D6I+>J&94L;>#(Jc0OV%W+JfLNct#0FA%<SBhc8O9ZtW=qX z2tc>h%6l4)sd3gAv<wVZ+*O@?%?Y)YUCJUpe}i?l-ogHqJVcwlQLjIsG?}l&kF7pq zoZ8*Xwi8-PE0eQA#j+aud`wX)Q1Qmjix^8kvODKZ4xi4+sS@>DKU4|bbxIf6aJ)mj z{@O+h71K<Ic{N1Xdv41)q!wyhJjdx%m8{UzHH$_Cp7{j41B@@mRwW$dAydBG#7Dax zfApHkxCoji07<2y2zA6^u3YNKRVZV>zJrzLu-6jkVoM1To&AGqzC@`HxAL+OYN<Jv z6w_M|if)a+OrZeYNhn>3+*C}(a%*;smi>J!A~YZsT0y)Qpj07oNM{4NgY%XbFMWk( zgEWXXXW!1Xv*s^lD3niU`6ZEyH$^1&e_Z;+1*5wX!+AJ9PsPw{Z^u<T(A3{i@{bf* z$OWJ|j0X~E!k8pDtwacA-r3)f)O@PO7N46Vd&TVVK%%7EIIAyAKR1`F9@Nxg4BvHj z{7onkPkJU&I#<kkWep_6bUnVonp+}pkkQK@!RdAj=K4W%8Kud;Djns6AmoE<e`CV8 zG`o?1h=oI}SW0xFl+Tw4vKZM`m_)9S9cCOdA-~o^gp@6Pk~X#zPIu6oV5sw#*!hf% zC%u^bAOVoAou2cO-eSk;SIkkQ`80w#B%n4*nf;S5D_zqk>&knI9H~JR%&qs`so_w< zbO@Mtu0RsdZpbK#1xk{_ndMenf87qogAff7TiPT^DJ+dk$b3;dBm(@hJ~~D@6k5k+ zdWjOxj=8CYb|#(J?(0{Jg5)<lKPvTb+bKFTIm0@4$E-$TZsJ%hO9NQya8FdH49pD% z4TzLECZXSL?AHo^2{}euM0hpIY;~h39kp&G;#M{<J#g<k1pjULgly}Rf46S7?Vw3y zZ3*L+-}OjbDH_?AO9SF8rXcz0m6aF&!Wvw_Ks-6K{1j*RrkiC(K+9`8u6-~O=F2p# z&0Ro0hs5BbDBBC%s6rKyS=00LPs!^^W~9mI+qVx;R|^iecK#LPy7f|qi1N|bv_FvW zTRn!Hr_<$t6Z^CX0xmCyf9qGwG-4z>WXh;21|uCOI|M|dC7BnW8?tF2-lx6#P#k4a zO9F>vPN@-dTekv~Z{?IT6H0<={QhJW&PGZ$*7h3;^Kk4u15_cKvLa9VIlpF$N^LuM ze3&;Z10&q`kVV){x}QUOIbdukM4OIhM$=(M4q5I+&EodJ)-6tQe>?xmlV^xF{F^BE zB&pc+mve`VeM<H@+kFW!ng}FfOy0y6wMwI9Ar5gJcDJ800z{Zta@NM2)cX@^M!teO zfW$Tr3OZ_cqJ^%kw@sco`cPVe5<wvGv?TBthjGO*)Qf!f=eYIK5<{uvcSIE-NqV`Y zSP)qN6uu^%`w+O>e-76#gr8ak&Dr)Wtc%^a9m{kQsj|s=l5rL9<2cf@xcSWvbMRn# zJbfto>G_d}7*EbFlv4-^!$($6!{g7Eup(zgOJt{Ku`!gMkVXiLc-}2W;Jr{hw^CmT zmg_rXenN~DP<s#?QSEWPB^HsKLl&pfAjXvk+N_}D-W+}Rf4Eb+vkEc#iljFsM>Ho! z9p^iA8lEwtJxO}lmuYLV5y7zH(=qPurz!FGI4f6Qox~{Rrl{nc$2dPpV>#y-=?Yzy zzUcLpbNK8X$}SvJak?u&clxbvktz0~ay@VeDcAd`WnT(>hfrO`sPoA{C2~V!2|{u% zOQ12YgFdu4f2sOh)zVZN%cU5iPL0vAgl~V1>YuS~`-QaruRX0Tr={=4L4JTI-ZJ^9 z&mg^lB!>(&D@iL;*>DD}@=#fbHa&MsZpwPJ4?VQ$CvP$)D*bv#*N@P+kvRLh${_uX z0$stN%Ex{tF{A3rwz|6FM_n0>_`Q-iiFm`5nfz@7fA2oplo0>Z*Jg_G@ejhefrkM{ zy@xX@Is8l0t_{lUs&?;0yG2vZ4cClTmov|ul<783GCaL}?%1+>Dc7}D=a*A{pyT$$ zY8%mK@BP8#K4lf&>_YhiyM3LxXY>%EP@ltH+q=uudCz<!5FhDRDZARaKBZlftsGUv zl{%a5f9ay=ep71H?Ug@XAY)>tN1dv$%ozJB<I~*mVLrF&M466|j=*}si%OOAm(=H? zi7ne~Yg-31#J<7;HCBbyvebAj^moU9n|699!f&)<o;f#yp}tSnLz5MrIksul-%|%J zV)6<}Vsq=ZoDyovjO_fQbTxl6a=I%)O<`8Ie`u!Tx_$-y%u>f4mUKcUBeP7{njeeU z#$wR9e#xe3uou%A#cn{<fiRM6Zr&+OlT;mwSVp>SXR-+eD!ZXdVovw6#1v+)vP~-t z({F9xCd^D1%|rA8)H^GPw&LMpfIj(46u=4S1qAy8q>yNQ0}BUINL_M3#HoA%utLzw ze_NOtNF)yK3Vcn3a{`iR)?(nO0CnoM2sk4^oyHd#)&f+!N6boq3vT`J1F%#2f?&!( zJ{n(Q7y+Px(ib153uvJ7C5Mp$U&G8vA^f23NONIGJE%JxZUVTVdi(%+00oAcqd>4h zr!tM`Fc-jIsY_Qt08U^8+zL=g`G^fse+Qk)UJ^rsfFk$^-M|SPs1#iKW8xP?NjgMv zh%^pVjsqE11RS9ziiUFlbbuo?m2zMK2rBRs4q2EvHH4k&kqe>-Oq2oRK@0(@AhHBF z5x`6m%mUfRAxknxgEUZQ#lancy@}=|5Zvqj%(oWop|$`G04Kl=P(f`DWC1t>e-CMX zO3uYo#(u$u2?BqEFep2KFnpjcb;moH4zOMhj0rixsg(l@Lxgc^rNJK{T{un>mt>G5 zP<Ij>2_TFU7-Rkc(gVa|N8)$`Lk0GR!E=G-G?mhqtp9t601_-4xZ;OEdr5wBMZO3Q z@r2W(gFLB!N;LvucR&~EOE$<he^5-Wkx+7x0f7s`0b$0em1#tSc>`Q&)?&>G|5*dY zSR#SvqdyXde8?idylbf&_EC`o^FS^r?d2OuU?c!X&{mi^HY5orFiwJL^cM6J7#s^X z1n^UKfc{zesXB;ZtUwppMgkZg#xq;s=(Zro&P8443Nurg6VeNM!{Ni~f0FQ7jVj`Y zr=VpkD;0E)@+e>JxXM%!t^0L$-OaD1@$Kz48y(#K7uc7`6hF=t#5yeD9P=H*g{_(| zf|2kyfJ_>F-8XPV03kJ44iah32YIvggBtLC8p(`6A9zUhzc2_uT5wvavXUsnz97M* z0QtagI4n|NK8Oq8L==HSe{L>3SLFtAEj;&jk6sbL2v7?$1A5b}#rId`pu?B|4lk_4 zfFo)!Q;k$3L6}wp9wIr+@3H8JW-VjWih1I6@Xp1p!;}SUCf~HLZ=*t%*JHuT>_>M& zC2F3!e)8!d`sbcMv1xzg1#}?XJ$51Am-CGUww`@S+e3r~ix!_Nf1oXcR=Bo&YG)!< zO-&jv@0-o`9Klaf@jVTGXMeygYuJ;+!D}5M;#)Ve7twnpR|r9s9NWJZbPMe4rY6F* zgzaXUO9~#x7>us`i+p20Zpp~af9F{APAfIo!^>~*hl0?vyA519OHwrTNHf*Et$iQ% zRLqR@s*6mA9xG$6e~>}@XrTQTYfBMNx&By<ZaKL$VVN?Il9+2v&;bFLhR~f8o6-X> zvuj{HM=$h?8|6dwY|u36Upb<Xq%Uq(T2}MQM6QG*juBS}5zLi=U-?lNFL0;6ihaE3 zmYS*AVVv%g*}pNe2#n+ij&|~Ca!Vuit!%2Q8sEVzcEw<Qe<yp?6gj<A3%?E<?Gcvw z*+)>+fLGL~$aiaF<Ko)fYg&hWT1?TgZ?whk0Aw=@%74JsXxgTE*Z0|bPx;{kMOygR z=QdM2nZO2cU)+6+uT!uL8SvnjFWqxt&wIA}-gbjipqqR7{ih}xQ#{8|`Y~foJPOQ7 z<3w4^R7Jzqe>9`6{hpcM<(ZM2GMlUvtGotWZThX|sv;($b}8f*qLHlyvcjVAzWz|i z&W%)qp*NF~3)5#tH)XA<&-}Rww$&C395=BbKLf9H$8tuV?w8~>GMxl1#oD#0b8p^x z9!E}Xx#6kKDR-!&?doHh**c*%nI(|~ZM78|I#ni7f4<aDR=yU7Y|#%>BX-j{46*nW z)@i@B)X^K<4A_~1u*~4Z7GC-*N_r*Q-!vm5KPHWP*!!mAFO!rq`5&S6%o}i$<R=VN zDzq89$TEylr|73m?maRE)u;~q`e?EIjyD!hvi;!)&!7S4JDW!8%W8o(8-AlWlFx>o zM=Nhxf6FL{NEes3R|3AKD0<zoO=0)pB`A~_7r3U~DAB5^`=VSGgH89cVBJ6!hgpiD zN$Q+TSzdO;BZ`TkwaE`cno709Kc>KjO`5KQ-FHs~X(h6y$L`PFpR_+M=**moHAvdU z>=~}h&e<nSlzpe}Y9aZQMyjd6Yt$(c-OrUYf88nkz8X4Av|3zI>HZq%biC<|?=6qz zB5zGK*%-$|(1OuWnXK3Pv%)?h6i<=GWt~<iD>ys4G^V^g!+&+b=X_zu<9bpc|G6OA zlhFz1Scq_Hl~)-#^HyQ%-u--0v7*AjoF1{XrL-S3*+4~eTt));Hg_)J(wABOQAN3y zf1>1PnKGV|g@)HpUrrrO!()}m3qsuZKMVviZgQD<dM<ZFlI-zOnZiv6{=D;Z?iElI zRy5~k;g4?lSpx^Qj3hc<{=>-%bzvz6P#0^0o}3cJ&qu8|CwJ#&-=vRjWt%c3tzAMG zgh>{EOK&iyov|#e8??62a7O-3Yb4EWe_Jf3&%6_C{Y?!T=g{dYEL+IwO{xUB&0<n4 zc&4w^Iylu&Ncm6wTgps#^|$YI*X@plDpKt|<)e~I=1GZ_)TmhOG}LDPX|33TF?6Z( z%UUum1xyw64<ILAxm~<Pxm{0%nX22!ZrLCl>CD4w+TYM~ucSo$Scu+Qr)w;ef3{Hk z`JKL$V|}TXfV77GnE_P!n#`~+?M$XEy?I$do4_SWF@DI4w^&X!b`El{7r9j`c@Sg# zB#=iHnR0kU#I%ITdQEJ2%Wch7L@FtmTJ3#8n3Mw@DRzBuY9Qb}>usj3kuem1n39!A z7}94(t!jP=`ao?smc216_BUbgf8Vj(TD>HY%wp#yi;{r9Eymmbs|$1pPwIp1Rw`HC zkp3nn3q_0e2&O|l0VXc$l*tphT{T52UcGWTNxIQ-nv5Q_$^sE7Z@Rd*;hnDGQ2nJe zC)ycNjX)DsqxFc28)~UKv^V~Y0jeslp3)D$^}xr!jwASkR|iU_E-878f3ko3VRF5t zZ>Ftl%gMHSeu{4JBXR6WT2NSf<bm6u(dVq)m)dK%G2@gMMQaV0yKx;Tt%moryA7>z zw2O1`r!@+FO?&4F^r)$4R>i60Z_KNb(6u`bd-)UpETmJs32%bqQ<jbO6#dBG-x_PC z*99L!srh?_gc?m0D1>Wie`Dn=%?>21H0?RDbajY1t5?Ppmq{e6xZYJu^KpA3>!#i? ztE15>LTk2U%Z5#d>Q9ga4Oj1q@}%@<iu3Yhg#_QxF!{hTD<xxi5d7#Op`VQa@%7!M z*F5YG)B;o$Ba9*`t3AIL_|Q1-w1w1{8YA<{R%HrKK8x2baqxSpf9mGjL=An5qm-|y ziE&4>5R7+sCpi95DWX2miMagHONZ~t;R=`^5FCeM#@nK=Eo{Of$X^B?_aTu#AU%7r zdCXf1$~DAT4gzxK=W2FVT%i2`Zp#+U%>;Qj6y=l?V3K;)hk;MsvAs$?J`h`!O>os^ zIZ;vf1hp2=PmA{}e-+u=q)bf|XXD4#cL#{}Sm8Tp;>&u~ub0@+vvE0OW%ppduM2h^ zL(7ghclp5@$67zEV-jD@gH{^AH_+)w^uddpCw@neM!BeBXpEtg`{VlN@_fz=!#5N1 zHmv}u#F45VJCD>)^`O|@{ZMgngRF;Qj?h{m4mLEJG1y+SfBlG!Mnb$s%w#@kYny$Y z2iTQU-a0=#AfrYhUS^WlAIOhZm+8vDkLb1cvC|;JqlMvUgyDxS2Z2>JC`lGGeS&o( zkMZmL^8Qfju3t+z*SK2kQe75%s$m|mo@kB_dL7^xY*VuFUfWmXUquTJX;a@~{ThLJ z<QHMFzce$_e^20cQOYUDVtsAfGYpkeGI4YgT2I;Cj^_??HmNf3hT!`6o&j8OQC~mO zc0{T`aBVk0QEF+w@ab>_IJMbh8~pZ#Bd(GdNG?LE?(UggyVZ#P`qC1AXM{EoD~|Y} z?iWI7_uB@1@inZBmYTd0-s9Dsoeexz+!}65!0|uTf1Py<tbBzz1X8>My{gGPa)Wl| zxIh^w72Yc-@-k5~7QQuOmq)i)($^rq7@+#qv*WMR!ex?lZ-}IkuM^b4BH)pDLd>|I z+otf3Wh%<kBW3$8A_{<=xU%{>(@y+T*&lU~F9PfUn4yEvfdGsAKT6&lfxi<7^SSva zgb5&if4~_DFg;=-{Qrnx1<IcijX07YAZ&nmoV<iDq%eQ%&}h&toPMzz;T}%k)1mlI z5)Ia6gYcguGe2NJsKF_{YPZJ8i#Jce?l%Oe9w2xEj&`F9lFW^Qll&adahDyH%MeKE z`PSr_aW6Vdm)cXKMGS+^L}Lr!Hb$#Z3Rglef4g|Ey-YmN5dssnDoXd!4ANLhfymW? z*b~CFvrkQpEK-vS(byh#!KZd?{23Zgya#r#Z@zbzOui)|FQjHTI=2xqpZG@8vMA8o z-6O{X>j}8&xBtklEW1qSDzw>rX59JCL8E7ceUG&BJ==6+Qj7ff_)q?smRNzg-g2a{ zf3nb8{xZ)gX?SK`Z^*4Kr*36qQo5lm?RC~_h(i51+xj0JT^hsFsHz+1K}^!-sDpt^ z*4e*ByCH87W*qtce_CeF|1hu#7<UzawC!uM&5eaqvSPkKU?~P;Q4@=keD(~WL9grH z(xI%@n(WAC)ZC)0iIp1l-Sv=Bm6_0@f7+smSDpj+VzinaSNZMm8(f7@1k@+BjZ!Z| zVToSKAI~+n1p#dvZS|^lIhi|FSt=t>c62w3f44kl3j!XP^3Nvinpb+u>vXmD&HsY; z&x^K+9Vta~y#HW3em8gdTbaI{-{tY${O?F}=%|%{F5ekO6t<eGj;eAnBb@cdf0snN za1>XF`H9)F=UY+5FKp$6@az=N{emJ-v3zG}=|fpGcYxeN$<v7F`jq-&*LA0yY7#9e zuV57u!Tfdh%d6<|!rxx*%<Xi?DVuv+pE8dh7o9DAobBS7>Ph;4j<@Fe?f2&jw#?1= zw!c4K{s(dgea<JKQ|Q-0z{#+We}gLe$PwfUsO`W1gawEKE=>@Oj~-Uol5+i{ZK`u@ z#yHz{TCPZ*tbWTa&}5&!Uc!)E^_Cwq8&}Eb{^r!EJSwF-YgR3cFh+Oi<$Ld}8~NI) zb&mR_<5eQ0vT+^UqW#K6DOJ`FeAWry-@L`3>6Q~4k9y$1eI*5cA60oIf5!<9&Av3E z%)5ruVx+zhhdceKp$;V_oGMgq`Li-pq~W+~FQ%-yw4|iq#i{qsi_t32Jza|pJmFqd z>6^{9%cxTio?ukV-c6IvbI;OxonmbHDiscw1ww4_S%5FaD6orTT9OT(H5nkgj%qsY zz>P!)8KK2ZIc#Na^Ihz(f3oq8jAo&dZAKb;f#o=3Tb~`0o!sw>{AteL;)Q%M$V5=E z>Jd<8E!@D0zKd{^U0I7=)W%D{#zqnrk)&a%5H04bkLV~VU294vB5&Dx5!mVhgl4e4 zz2-V9um+77GGTP8=SB!_UTu|x=JR&Tj@QKtBD2#D!hqpaL<7>Uf6jZ$fz?njzAd&{ zI+`;<YeWdjz_PxGQ!DB?=EyCv+#??j@*D`6e4st_G6$P;_j_m~R!elq+W{X@0aSLx zT?5LOh46ZzWgfv&=XB{-|1QK*_BY3R62A!u7MAO#bCEBwkjKSm-Yco}1QExq!n<zl z^~UR>SL%g!dJ#)sf0;aYzJ4;S(S1Y`*V?n~6Q6slI{tm&M{_WY%~|&A_l-Yu=OV<X z-;uwxY#eynZ%+_as0hYoGUDcOjWb3I9B@=XpOw;S?s;1et}uxFFq8+JpPEb_BX+`a zf8;J=pObH_@~gZa>hg(l$OQXjyl{M`%7LfZlw@DRt~|WBe>upK!s68f=6LH{GY-Ej z76cG5Bw58SAIgGirib-K!S#vXd;!KHVeGo$u$ZWB-u7kUcrExI_oK0s3l<-ZPt&?V zOAdgr@Xp+*<+IKYg0BKdSB#C9w7p?OXrDIr+^!<D*HpubTLqhj&jZZaoEhktoeQkX zrroL<pDv@~e=WZ$d>Af)@2&o%m%UwfXh~HoL`HxAu-o;11+pvamee$Tfh|*HbcdPM zVFSxBa{l^#;^6r@z+>fnw>K;=eKHa|u}>Q*zpZl7vE+Y2agWi`UxhO8<fth}u8_pF zzV1247hOm&8YG=A<`BG7-Gr?&{nU5W=g4`X&57bhf0FKkbB1nGMSbnMU;CEEsn+>x zAMZSeQLPisU|OyMo}jHT-c2J`6P#k)@6|j2`b^iBD1@bYJ_OP+XxW7TCOkab1$D|p zB-2Aeuc>sfjKBS%xEB0y{2fK^j2u09hIieQEx+9xOWfS%S*~C8nt9~cu9c$D`v#+@ zF^z7Oe{6UfTGf}i^r83tH>AO+<6jcK3NXi_nA%3%N$AH$UW)PWI^H!P?z&UfA`VpK zJG>+f;ApbxdlVTJN$(b_u4?YPys0hIhi>ldIq_xt#}c<=05dJP5(yBQC(+cEzHqpF zvEjr+O#4iLxahi~MOXtH#^*Q2TNyqI8XD$>e_OT<oiCJaC<(4RGL$a-#PPMF4#Q9q zxYsAJyO|@7QF6_!rU#5NelMJnZ1i)?g#JM0Dk<(tojq3_#M0+0aDiO4zFYR)h_VxL z9^@_fx=W(IX}s_7jiW#p=36bvTQG42t#CKquOeIZW%5a?VB{;%9rUf1<t^y;9Z4lz zfAziIBT+Y9^%Vj`y|9t~U1iaUUhn3GJ*v(zAVg`L5ZMS*_5Iwou8UxSU=ng`+h09s zY1~#LE)<kU+>Qra{c@7Dg1Dmk&;4cwAxERQ{5B*z{gBouim1cR-Qr5NuTPVReN~k7 z_0dK8>R*_j$A-H?S4|;h%Mz6{j4oS3f88*vOJvgCQPLNZ9r)LaE99gB(wEDSKqLVJ z<~KD1-=FG3npdO^R>uvs8gvFfdkh%d`7m4M4J1-{GOr38KyH}Wwde&7svEQghfTN# z8KVX17}A+q?s+|JgRnDB*!{o-C9<gO^U|22KWFCM?@#-b+iiSZ^0?{NM!t6Cf1kw? z{uCpbP4Flm^58tp<Mv8954zqGuv4=Ba9ny-rM+euRlFDEURR@a`6l;K{LR2*7HWf1 zWPXZ0syOmM5+|-{3fi;n{JY?{5ryVdfq`|48zg;Wz&^l$`uu<Ciaqp_dn%$3Y4<1# zRUB!6J^9@NuD=+<z!A8x7a+dKf0Rf8xfa6-xXj<FiA-<w7+H#zVB8h9Vw##+KobfM z29(-7zOcx#p1n0jo^a1gi!UxgN@5x*TK?Vj_quXV+Tc}V_;{4$iw2nwIo_e>2-)yt z8mihlOF9$x7O&)aj=?jD5NR(G=VJu6(IU?eXmf~C<Olf2sfUx`W}<?re~L6G)e~(b zuPZb79*w^7RtJOemcFOkizkvujN_ba)5M8*jIz7UuH;tL!>Y6xkFl~ln+;S8?ceTz zq{0|%dSEjFsA%l|rCa}7DP3!;#`eElI3*N^mlc-mV%g{Dj>KRV{?2k@mY?AV?&7~J zFSUk3_%ifMsg^-ZPxv)@f4aX;_<Q-G?#6!;AK1TQv-zyW=g}ZEV4?*x;XW~@d0QTK z&3?-Hoaz&Ljme<SdEiy8Js=lBbJ}zuu2J?TtwiPNfSlvTdqB9M^4sf_(e?Td?trn2 z4wk`+x#Qo)#!9&*zS)|O#>W4Wh|{5|S43Aiq|0`tQx2^bNh-VZf3HlJ8c-OX6Hp}K zWRiTD;fG?GDvN9xoZS7O@peqexR$MK`d^A*Z2Xp{sJ1@U^9$&s`u1P`@kqea$F2q4 z4{K%8Bo(mHw2}mo&lP-rv1wz*Q;r?AbQ{zxb}LgJ64kU|yk+^qqE%Z;qLZHHWkyX; z8&d%+9_mU+OaG->e+hx)y-hY8jvHg_lvpg0*UXpNd;EeAudAaMsHXRk*IL06++Z!` zJzTio?VU&Y{B%0^Q{pEv*nttYfEjjX@J<NxK3SU})~AAnTk#KQft*oa<xQHbwbtpA zEbou|%1_zW!HRLY89i;m!wWyMD9-RbXs&3U<=<n7Vi(lXe^YMHSC+{hB$kd14)e}> zPADoTj_d*C66iF0AbWXl@^S<P%+uk^7;~+YN_sw0ACr#|tm%;4m`Efo)DWlKkxNp) zsl<9y5xsEoB5yM(FP0RqOx;JI3S5y_SIO>+LW=W{(sVF}p)umNTiMXdWI?Tu$@>VJ z1_+=uDYSfqe>f(&6uedFVyMP6$G3!-Ihvi`bO3>-+Ak1*rf0O(x(r<sjh?bnlVca; zv($$``DS>*Dz=hDD<Lg!VIMil_C0HV3_mK34O*bO1L0U{DKxidk3>t?$!NqgfndZ* zRr>L3S^maeY#PPlh28!Kf}|MJ$4%KjIu~MdevWtLe<TT$AZDftZRunDEu!2JZQ;+5 zjHx3k{8|8>sGNHk0U=*Qc5g=c+@O(>v}>qvJECTHxYwUr?TRXTVOGIxZB@6;oSl;| z#Gg=zH3y1W-E4p;s#^<3USAv-=H}{!5^;>XII`g&U&p506KY(3gtM+#K@+~B)s3@5 zESxG-e@V_2d|_vRH1~4ATUOFj0{o&cK3<TEcVAeBb#wc@zM5ove^}nDM}ZkFgcdW! z!9{VDK#qDep!6Mf%6`S4sJzvr)%^nAqm-Zv4OM2wi@5}>6k%kS0Jv>^Rr=W>PIpX^ zfT*F;txKD>F;<Z>72+(n_i8?CBoM<gdM&REe+SQt3x}#Q6%`pGv~Kzbr&G-v6W@KX zFou+tIeu%@tJ#fZ9mF4TW~?y0ligFsm)#6c^M;K=KPAVhXleCiEYST@o$@oUqG2f~ z=4ahrt7j^1__YxbNi`re-BI)%yUauB90#|ud+lK{0k89KCccif&*{2ZulgvCwdWwW ze<YPh;sPUS6p1XNwHyG&{X$7q?tr|ytP*&?IsAim9C5FC&5d53I%EEgItIyj8smu^ znk4p@ONDB9?w7Niep%YzZ0h`<|FBqVs+M_5ukEDff2TA!0fo74bq^z=d{i*Sd1CY2 zCL`Kt0uh$i3EUv4XyZRw82u%)f=?lYe_2c%o-)}IBfw}rdA>X(lQ~l}Ed4ZjL!IFr zX3#;&4I-xDitnNLnMDoO%{bh<1twXwJI7aHV5$l;kzApuDMHi?85#0Ho&`i08NV<B zH6phghX;Wo9y5Y&;R9LKWXH1HuO(c?0?Or6-aH()q<N9-{ODTHxou)S`EWHZf2npm zrEaQ4=$z<urvQY|zDyu_7H3yN4wqzf=fe^Wb3S!LHK8E2f`AGc8U5!Du9P8sWura! zZbY)X8wxrpkE|~~oU6le@F6Y@%WQ%0xgp|+WURNt{-DN{WN3kcjMrOfl3U|wMo9Ab z(5N*vR20|Z6gBrjq)2a9zfj`1e@Se>wr6i$4BbsO**#mJQ>E0+o;pEVgWDoyGKejz znt?hqgiHy59T$r{JcMgbUx0wyRm@z|<M;YOQC7`v^(c<=fXmH2TVa1rh9nyCNIJkn z?n?OAkSgTlFdW3YxAEV0JAE3-$`XwmS1Sv(TWwe9Lkz_RtqYgYI5c~ZfBI80`Q@w? zl(CkREIyWDOeHKF8V=%11ra?1;soUjVsmG^(ci?9xay$4$sQx)YKqK@W&IJM>Y+1? zt9}@K=cxHZZ406R3RIvMqQy0XXFnpU!=rM8a0n@z8Wf7Y<Np1dcKM2gaUm}9e{Ga) zc)0(^mcgXM<fC-*iL#~uf810)ID(%!(3y5JHsv@x??U(5;+Xb+Mo`6pa5RMmca-bv z9%^g8BC(Pk(Zcn=PTKP~yy0tWnp#nsm?oODgYqPEHx*#2=0H_p0g;tzTl5L<m{i!h zJcQ%70r}6%tL^EaTcfjAZ&#m&27My@{W|Aj-p}6!@Q8`X7-CdAe|NX+&7QDpMsG;< zyipLtd@1iGIE+`<O5@q42@DDp<7AYSHwJib>*@I4(mV}h7+YI&=uIWaLAQKeDmj|z z_>G}ZC;{8_9pNZ7>S7}e>a^{*<XU|OQ?bv3e@#XCHpB^tnVrbbW)sGrHySy)yr1+r z+hv`m5-?pz5-}2?e+SQU9;7sz$kE8r?RDquPTAKZf7xl&rehp`K*!RB&ZHLW-AX>I zsx#5$?XcjwoMj5}aE%eWeUi&FuG;^hWP-Ws6_7UH%v8cQbIMk<&bOba-%$3ceNT7^ z>=cabCa$)bgv(x-=lfK<{!8n(XyuDq&aKsP?V{1m_+G0Lf3hf@np*54vu~a$tY#N_ zMO@a}Z5XRI@hCaSiAJ*YR?tmSttZC)mu#yovj*eOBwBC=p7+F3wt^kTJ1eNYbu#zf z5>%j5b96lY8_5h&$?nJf7YzQ?1x6|s*69p@F2(2f!3)|IaVw9j^dy8)C%NygUk*1< z4rG~N4LC0(e=t{^7Xp|Xpidf%0{KqUfeSMMzJ|h+fUgm77eI*IB_SY07K{z)qk0sE z1c0`p;lTiGN?#lp8vvWimk34!q>u%h;`9pvl6D!BXnYZ2slWoMMpD=!@SgI2D4QNf zBpUwzV(iy2cp=bK?h*~62kMT3djVW2eQ{w%z<X+6e^Qtj;2A_Famfz&D|d+n@d0&5 z!^MHG@$eu33MeoXjsxj;LXZS_0d1*(RF(1^q>@Mw4#+;vlq3fsOayQPVvzugKq7%^ z5_2(d89+P^50wBa%o6Zk`qB-cjFSh2;{m3D8Po#!FbANTd?N<T9q=FX1tUWU0Cv;@ z|FKTHe@w|rz-LJW6CewK7+?S(q=W;F0YN}M$~A~Nk>nc~5Hg7arCCdaGXv{o8<AkE zpg<&;7qDLbG6=v=`6vi6!r6+069QfSL)N1>B8hNSfGgEnJlq-Zj6)^^wj>Xg1<uG_ zq5=wK8c8GpuufnzkWJ#!4`3z%c0`cCN?MDRf3F?5utHE@kDyF4$AOgrgG1qVKvQWj z0xSTCMg24Ht%Q8C444%{4(yGD+W;CU*P_kEAxJ<es{e3x|5!d$J`eKcjnyVpo<$bS z1ev8;i-98p_^JPgqjw}P*&q)f`#Yi_03+bL?4>9`T;`JIpZSp!Qb^f>3R4GdLE&^5 zfA`M5L+l@5sJrHi%|bei=_K$Az%;lc*00G42(Ua-+0=7A-{fQ9yT^ZySao=xG+y9u z{7C-zxV)L9m(b-?M*hp23C0WT6(J2>t*Zezk|MOybTkJhd_?U4s<|S7Q7Pu5&5<D1 zIRBg`l1ToyAQx7G5PTr=6-h4W6azs&f6_+?T7ie-t7Ey$dnq<@nMMr(7QB<4i=&K! zqjmozXE<6$P5*+~(1Z-jq-PfbrP+v;PrL|LM|>y5h$fXTw-Hiy`O6T!nD`>-7w)j% zIS(ruC}(^%eyyvcF!O7|`%$E?+^a*vwo`+#$}rf$wIw|Q)_Bgz2oxbmFZ5;Me|*vy zVQ1QJo9K`xzM!@ub8&VZ_mL?fpSTvZsro#8=#hT#$C~A2pY_RG{p#F6^Gc=ckr5K+ zwAzYw$HKiNusTCaHlO~>t=6-yhLwmJ18;b4Wl!Nd+@7U+z&8nP-+&2PsB00Jrb2Yo zHr&loJv#*~Tg|G~EPBC!jaYM~f4x?eY-LrN2He=iu1FqqR2Rh@-T+6ICqX~#xa4CP z_mQeKg`|lav_={z_<A2o`0nfH%!uCoiL|I<a<%+)=a7gSB=y=hU2^TXW_+}&O-_!Q zEz&A)^_)~$#UP}oXI6JrL*Gl@tt@MTS;^}-v=F2-RmCr98gh8I?Zp)}f2o7fkec7I zmikF)h}y(HimJAh?G|byY|LF}n0Orbg<o*b;oz(OH=7nltyMB^D;*V3dCk$ZAa$)> z@`{Ip{45=XsG8)3TiI%50Dc)5V|qTqkwk4ew@#YdR+wi>>XZ4Gdbc81TN0k`0h}h6 zk!EdbU1$2mdbJ!|QNDfcf2nRAJC7i4gZ<TD8#4{JN*9EbkNLU=z{rtfyaDsJY~}G& zP8)Bj@!HhS`Ugo+O|iSiYr~J%#h*PQERz^&_LX%RZ8a3jn9wblN_-YCt81!x6?ATQ zAZ3DdoFwCNHvci>VA)E$g<~k4=iPI4P>spNr-J5RO=Q%vbF&5Af3_X8a>W=kiJ~<W z=3};#=BRZa4(fiKSKWnPb>>S44d$Nh{e)kZyFW~F#PUqs9msXo%IsaqfYI2|X6e*& zC*u2)@4qp28y8=>SB=E?w?qpqKcH2~OpM(MKsHU8bx)l2ISgk?MOdj-GYfCHluuMR zVKy_4i^s)}y3N3if1V7}XVzx%Y8Z=!BkJKTT2F32PttZODU5T5+c<0GNrhcJsV=As z)yC&~$y<iLnM@~T#5$vdndAq)$!`r$I|)2R6_@q0cT<gQ?+iCo?pFLi5r5me-kqFS z6zFmO^5J`c6t!19QG=GN<h<rF^!EBIYiX*3ZdMh-LbfJ6e>VLnIpx-pFAQ(vVvPKr z3o~wBvt^XQzl;a1tg%|?wp(BkYAPhX8$G;aEgtt)Lv)_*h*vNG`Xy6&aPs}yb&rsP z{gd=aFF_>V`bFiV+7^y~rmX>sYy;7|Dqaq2whB_NOw;N4s^e|Zq2`@IEuWtvy_NUP zvCuPhJ9#Vpe-K0A@aBAFA#b*`wG^U(5QX(=_Vw%xnp<uDI%C?pb*xlBf)d`qLR*J6 zlNg08MmfhNo|b|u8K(V}-eoO$zhe;{L6Y?`Pj_Ss!A$ob#tSJlDX}6&Kh#*p-NLm; zTitOatKHC&vd;C?MpcEDdp|ZZp)_f2_{&k--L8uIf9uksncBF2w<6a5EE^)4v0&Ix z#voEuS$l8H#?zCl%S@MF`BhUhLB?j+PQ_Lz*V4gOkfaFpY?i5$PHO^W@Z`%QjV3yc z&B;?q`t66+&xItaRa9rd0cQ2Y3cI6V-bktIUxx+<K`y-&Pr1^&n?mDK1EIkHMTO<` zhkS)xe^gidzFT+ZxM#J}v(j2g;L8ByKunVUB+KEZSaZ8_CtB?Y2L1a{F8Qb9^P5Rq z<B`a^I{rG^l+Y*czLvZ)t{f`OoI#eJOf|*ZVFBfLKjEjkcYma8Y|3pS3&%d%k3OEZ z)ipUgpM65LR=De}Ib*n06<0}-IJ%X_$GnbXfBVDmjhiwqFL4jO8sE4&v*6X=(I;|o zID4CpXVW%sNa*0>DZWkT%g+p2IRSBd0X{u6tNhW^Pg7)iKFGt%`HF4_w8j;#&MX;e z<jQDuszI`uPmOf3AkC0Np%{=6cDQ%z${?AA-N&yUilZ}S<ihV-J{IbEPp5kCP<;IH ze_O9mh5WFnJdaf-kaSfv`z5Nf5vYYVLw_9*@}^QF@LUG*X#x2KS<@+7x96RqNH z&5L5$vgy#uT^L!NR}h08PKF1g{0tqr;5$*Kkb%tV{}8baT{!f!8K6ejRMRgvvk#~? z-oz5gfBn0Ly-Wkrg8s8xLmJIbt0A^~f3@tT#Zu9tLM7jC5hJ!Nj#8dkM@BHbF;7|0 zo$Yu`!>=%+9dX%~v0Qj>z$s^@pzznQo{5N6HenUxQDR2zQ>mM7=R6zxAYtjf^RK4t zzwa!Cay6flE|`7*&x$`f9QAdr+9p~VD(23R0B2pU%Mv}kKWpf!tU5$0qJhTmf9K=m zazY}~CFdvTh?z5OYZXebU;X`OnYPC<!u=fY?X#<7>FdtQoFDBEdQkayaW=LY^zpye zX4^0>TJoTk{^c3i<W))Dz?d$3m>T2#$>_$V^a|8==n^s<B-Ytn)(B{=_+c-y5#Z_m zahjicK4^=A4VxgNhryGQkb;;Ee@%3(YT#f2BU72zI8UnMrAe|%*0CVGZ<s8_59?K{ zo{9Ax>uR3E7DKCP(eV~=DRyyqnvRS=(|t=IvP0+J%hu9DJZY=_)&3@G?U0A<qiYnQ z3H^Q!RKL#X>Z6;dBU|vDw}&eSnN!@-Tg2&n%rFTjbq+EYjH+!*$F<A7e^4IdrQUM7 z_jlr)7a<xB-M&)9?Tl6?#NXvd1ZX3zCN5=d)`~GOZ93wi79DLpbba)OaV2{0M(K1k z`tGZh>O6+86;5P2BdEoF%Q7Mbm#=2QX;;f$3j+1f)Cu437W)C>pR6v>{zOvEn-OJH zU(=WC79I-BfB0dxDZ<o;e_U~Xx%$O{Q+4qrhdFrp{v;g5cKl-3_)gTb>S9-C<NILu zSAAtu{4jeFii9)0&F|A~+^F)NW&UK$stbNFeTy#)QC|t<e<RCVakBq%t*mUXsmx{i z#h#q-t*$rhx36+9x0?9YecEopqwQSH>5Rs%SfRJgsz=(ydDKbxe?_Hjy>t-vc58f4 zlG&+EOU2MEc62VrIt8D&Pu^|f<agMAn!Z^%oxwTly}qA9@Z$nw&QixW_db+8v+Z86 zMMtk^75TN94x9vFR)7ibrVMSvMA!fs8}!Om0*nC_{0JR@q@U7_ZzQ>Zl+p4Slw&md zQ4dXIFf>58Z^AUte;XO0c0ibXL<<dwwX*yF6<hzL*2pv!g0t_$znkZgKfbRUzcK&6 zwU(URme&6<SX5u_<);yDi_a?7=wS#D4II$Cg6+A=XDYs5`IvIef~Wu-GAS<Fa7B8x zf$}dFi<ZM1x{i)U2_{ZwkT_@7wvCPw$ja9^QW6OQ$z+`}fBdtcSz7*7Y<Fz+zkk1C zA6Z}jK<&QC6}~CB5I)*z+2Tf>S!Q)`An=I#Kt)C6;ovSM#cyFJRbf8S!J>g%UM^uz z%C~GeK8EO4L(kw6FW3{J*e}jVbom=H{3*ppW?-$MRo*=CU=Q5LN#c;GE&B_ilM)qK zWbeU1Vq6Jue}=`vCcfNv=O54LI3q2xC^nV65?_$AvnbOK;L}qZD@NKKbGmEB%t>K? zV~quFRyBsBbyYUzq6JhoW}+b-A1Ra!P%@QjS#aP-eWVIUldj@0CY<85z`~c(&PtJr z5U0Z_D(Iz^ie$jQr+c@{fUow^!udV*mULwFl#IUoe_OqGAhfE+*8SAP#p=O*92e<( zJAKW?=~v4TKf8ro=lw&&{2D`1cremAY2f)P+~vrl&(iy8iygKNJEA~*P!)SX2m4%i z7KT3)6b9gIwLfjm_6J4J{=wTa2|Ry*pC5XNzj-hbd$7uI4m^JY{=V-~2k)IdI!Ezg zFYLnJf5Lv;O6vcUJo^V{E9r3yyMKH1==`mZc;Pd7hpyN|5E%Qi^CIk-v+$X<qwunG zwEs`qY#qu2x7Y(i2g=hH4Lo?}hywWmU93HkHzV*I*kMNE#%c<VhW&|~4MIL&l`|&Y z7km3aD&_-iy9zvK=!kyGLo$|aPQlh?L+KZ_fAkd@IYW71D10W`@)v8z-clVmX2agH zin_81{`=;<UCvl|KQa87yzrT9>*8rE6HdWDMfko07yjCR)Qiv_Hv0#6>wQ9JJkPn3 zG4d=I%TYQ#*(DCvV?>b!L2~$MbL?yo;<=)gOY(?lkqOXF48M!b$n_JygC=KJ`G_)q ze=bW#;;NX-M18_ibTjE7)mfa#Caxh-bv(~XG)YcN8~XiIQT1WDQ{i{5j5Dpt?(;R- zz?;t_IDh^|l-uiQd23lqwlXc@wc5<q&A1pYERj`X>qh4c@M5m-j+Adq*jEY#xOK2? zHTp{Fl!}pVLw-#hPQbe_yMZT|)~mX!e>RRi;b4=}Lp=4-R~_6>S&iURmT9&AT4+t1 zpsNFM)R(N?&S{P+{#=CnH;=atp|J>sf|zrF%k@PBTm&&661ZN>$_?2i<(?t%`fajN zWb8?@@o0yk<Eh2TqvIL$=AbeVM!{UDT2q><S_ycIU<TEJB$Qh*)Ovh;CDqX1f9yhY zT>Sg3(f=oDq4p=yyP|#nIYZRLoR8eCh}t~gW$k%S6;d)WQXN)CgLuGraYr_x!V#<# z7$kttd4|D)=`{5JzBN~a*ai`SPVgr`x0GaC6du__UX&989)8`Z67M`3CH>Y}*;X@< z@WxaAytm<SlJ~3Pyl$Q$>Bp&Je^IRY9a`mWZv}&PXaDV9;QY=OsdGw6-OqW7B>TRN zfSnAQ4MLiYhPxH#u#$uuZ~0bk&{^N@%D*xB;r1mJU*Cwftzx2lu?>Gl>gc=ftmyxf zFe{3Bei4Yp9gyvM+4-TrXZ+|D=z?C@g}Y@Gm4|ko3wPlo`=Y}c1s|M~f2aek`iD5J z)dJ)Ljo=n7EpxryRssT-c%+||-aei?gc5{a<RfPxf=?Vm#}U4ZV{)Lvov_BDrpqbo z-z)GqdB4>RR*8c(8a(&ZV(5h4V4jQwHGSKaC;p1A%il^pb;82U9#=fm$e^2}o6AYl zpON^50m}=2ID`9j$ZO)Lf3^4<nXFCIL9=HIqgjFH48fNF!(#hjXSXw4%((WT=+8Ra z{o^%${{E!<{UBL~u2FvF+s#<>3yDVTcycFiQw?n$uf4zpKhycRu!BIXxZS~>JVJq^ zo7=q6ADV}12JXGwt95RI|LWc|;@4B$VX#WhVvu;(f}2;imB($te|4MIYknn2ukmf4 zLL}}&sZ^jm5_aKseoe7VMv1%ejIKzD%)PP}wNtOjwUR|U&D%tHPJi6uki0@zk7Xgf zWkT`vk#w}tPLr~?GZ+=ptC!)3RI^-gvPki`>F3;!eEQ)F(Y+#<`NiiBTwg>h^Yxhf z(S=q|KS6J!`VvPre=hX<hX?wuv2mAGqeo@X8PY=QrJtC3;x_Mm#)+3O-f~rv@7hO< z!rMe&VBSrq{|qzmUedsQ=kT)Hlvi(DXG^Vpah`X=PM(wO*R&s_+!Gn_-r}FVs2VL8 zuVQf`Sct%?8|nt7iAo)Ja+4zM63w37jk=$*3OuI+7hZQJf564|J=h`7d9ytT=K_TR z6kFntTORP&@gs0lePvi3!O|wdCAfQV4Fn6WL4pT&cRjenBuH@A;O-LKA-KD{ySpCF za_{c9&+fmPuIlOTYI|m?`+co1DU3FGs~;EvBd8(ueZ)W4@?qWbJu%CXmyJQ^7SwNy z8M$Z=(f!4+G|eXs7I9u~)L2d6SIngYH$iG#CM2PC=A(UUDKJ_ef%Rx>g*1V+6pR}D zATHk~$?|2NB6KMs1e^{`*TAC~l~p?-%?5q8#y+U{NJ8v@WQfL>f_ZRs&mV$)Fec90 z;e>Q+SdtUbrGq`echrLq?leCzDvqtJesV;j=F9}w!-FE$ZVJ1iF4E!m44>LD)i6J% zRj2ObVo#74GF-b|bXE&<WzgHBt?lIFKb1+aYC9nDtk~Zx{u2Z?c5(myX2l8!%8y}c zau<|kn<eVT6D;p?#MNE>YDl46zvQy$NW9ny_3bkWb3SY(4{Ap_G>Y915cpSKY;z#` z{6AJ0IB3_9+`9$9)8j%nEBdc~u_V$8h!=(CD|XQ59c^Fg!>})ycK)BAaN&#R4$=u& zLAMKd#+ew5Jg`W>ENubn>;B}$%b+{E4VSutTLV=#xpCay+U8>fe7KuSr;5T*A7N<Y z`J+{cdNl~<UTT=b49S25{$;?p*sPm`{mZN_6<(s<zYQpB^8U@tY=IT&rh^rM9>8L7 zHXZUrSV$AD+a;`N=C3=oZa@>Mt5ln5^*Z7F+6FKz#<Kty4kUgfbene<1RRQI1|K!v z3CiD4NqHKc@Lj3vJAf;D7-Hq$toG;YE!YQe!3a+-|K{e|ETU>t`S6NCY!=@;*A@(( z!%~K=5rZ7y|7|lnY*Wv8hvEqt|0NyJ`O)8{Daa#%Mc_?&wh?w-UHLOH)$gE~(QsrM zAz`m?;<p!oTUa6f04X#p?}=(527M(cus}`W_EFe$cCZxD>f^J(^7feN;xU$s!zAl( zqy9&=+xilL{cyM(0vgpK_-PlRO(0@9`iRevy%G3Iaq3Ng)`s4Il;yF??yo3dhxxNU zbG<63mB2oy4Z2|ct#9?i<-x>#o1-X;58nA8nt(eHv~Ur(9=Nx?lJRwOaos1_`<F%) z|BKjE6~D$yk^8sJFo#0l>Jg&!o|B9HRZ=<QGy49vpfsq49I6@aSLsRxT~c0Xbv;$t z1@lCV+bOayM!hrP&l;<rtNuA#{nf}ukqL${g}JAgj5<ST6GuBeO^9Z2_Ms=hvBwVq z_J%cpqR!XL^ntcns|#gHcRD%Hw%^KQ`Gr@Wm@No4f<a#D=++*4DhqYx)B&E8>YqeM zyfEmRGdRxE)6~SRq^dN0vU)x|+S;v4KIaOK`<FGB#}%A?uxXC*%Q;Q{Z{n!G{0a9t zzXCRl^o(lVK`RJk0ju!XlTVGe*lm9@UTA}XbME!vnbXC9SK>F=w;}L$oBR9n++3KV z?QB2dbdKnyvRW%HNK`*GFxbh(u|a3i2YvJ>mu%uxNZBV&t+)N8IU^C|>br1PvS;JJ zpk6XlJm6z2>xzL(T^yt?rRkTu+zi&F`&s0XrtRgqo1?mvJ+{-p7qZ>^L$vmc_Ob=Y zw(Ge3BaSAWe0Z<bh>J3X&`KpZT%Oz6Xj_F+={<KuWX|d95_Ni0<&p!MgUdwe@wSNa zV$;Lo$qP79dLwW@YiI@WqPJZ+oNNRdS2#ASqXTZ<GgqSF?uC`3`xgh381iV3Yb%dt z)(cd(nA2N^vVUdsemI+9nq+hKu<ihN=^u6ac$}(EaSu?uOc0KLX=qy?#{Ds)ER~{B znEIFa(RDrf1BLx$7<x>O*q>vGzh{GM4((7usB1jQ&I!?31UJ{3-WubQMWX?IWL2_F zJ_+S&KQ3$zh?X|7#VCq@b!jdX_WKDxPP`SG*jJ60gL(_P5);7kkj(VE>YskVr!)ok zU7R$%uFdKf^jUxHqC{`2<@muAa&9&6(l%00O`orsJhpi-2#1>rq`7iM34C{Zo1fQM z)~C`hg@92q!(2jrli3TA>b=?*#>#@|et#Pt6C|fVB3D~Oy)Q3nMc66eLTp_7Vb9`D za3rDvWS_c+{-1lRm+OnQy|WBJc)o<ZSdPbYz-H^wIBGm6Xp@cHx&vPug+4S=frD zjb%{A%3p~2t%dr?t-j|{_@7Cm*GBp@0jX~Z+j8+<StZ~>S4l$WDgF(;9-pWw#4g7_ z%tMQS7_jiu%4*8G)TJ&Dfw(SLs}~bR6|X;aP&0!Fn#pO<?WF1P!6h3oB2DZksEMx_ z6@SR&ql!OD9Jtg_d9&ZgU(fe|vh|8}-tWWC*jZ5wvaHk5Ep0Iqs>a<;>$^`tr&Ab* z#QhN+B(zHX;yF`1D;)bX&EU0Y@!FCnUotUXu8LCfr{xAXIGYV`hxNl=hC8Ni-TuOx zU}5u2u$^MP{DlOV(NF_e^DxSb_-0)=#dpk~iaF*47Itwlb#)>74(+G<VvuGW)j%=b zisvDvhZKefSRyjA9Qt8*^%?ugXV^|l<?K-TXL$sk8>lk2?CqMq>h3HT1qrl-SsPB9 zNw*BW=%0x@htz%7Df+>xfW}q)Q@YTKj3s$cN8@DpTazokCS)9m2k{Jo6oBG$+qf_J zArb|K>xW#UoAL)Wg#Sw8S|2Q+|05;y=za)6e-~s!k(qaRprD{+p`emK{Q5wee5(6F z;=dZywOA624^U9`X#ZQ&sHgXV^aJppO#aP92Bq(+Q&=b{g!jy*CJrnfcD9KLdZ4r~ z1VL5F21br^`UzN?A{EoBK4xZ=7?|;e8h9U6$}t1}nVNR`)nT|!=ccYdlL#N&O)*W7 zuY5y`YATf$B@Zm2M~V&~S{-$GEn`MBGxVM}ht|Z@Rz>%xW~SZPmplZT@UFWPjS&8Y zqcyb(&2SzmVA*fnnjN+ru5lKpSr~FsEB-TtfrI;E^Kare^rwIlud2a^;g99ah^AJh z_bINMIyp!P{YfNw`A`GgdI?>L&tP-K#XG}D#;=ddnlDrg%BdZtsa{kMe9Xh5m5N+F z2nn01ZOS-Y<sxPgzq>vI%2F>RCu=1;#PX?bsOjX3{I9>CO0<6bUUA;cW|ofnAdt8= zG|nDdfp8vv=}F(Ml$h1~HMG?~95${)T6*T(JS<;#mye9y7k!z3FaSGj+i!9NsfI7% zMzMQe+F*s`ucn1kDC9sdb+I9x20b|5g~ZkdA?gGoLQFtJLSL$-R*N4^)vTEG0%w`D zyVJxU4TGb@7q#nQ{?7!HNMpzHSHX>;8djv~l8wf}Ij@#Q(K73w!R^>JL7mn1C-Bhv zC`kCNy3h0)|A#CLEY5r1AwvCUjK~^(NQcJ!Pv3qwv`Jbeg@WRbhJqqZp3?n5oIJ4z z4F|00A^E8F%}umXv&JU}%Gqn8RXPrQ9`e?Lda#YL{Qd>SX2#-EG={X895kY}yhMep zj#3wC_@+#sBqj!IM6Q}B4t{9^n7Klx0z6wcAKmZ_?f{Md`Lnx@LOZ8x`^_&d{b_>( z!fRoEKFZ6%dKH&nTs+aBeIRFLX=~ZRSphKDe5!DAvy-K+_}kF#CTXX$TUY1b{24`u z_}HScD2P2^$;vY_(n{4<)+Vw}Li<4Kcse>FG%Soy^evoEP}>j1&x3DRNy$V-iizT4 zG%exB=&R30k<L90Nf%_ohmEQEOBExluBH-uNilP}0jE%?l-3olCw4c7#llxklyRWE z*P3y@VXV9&oZ2x9*Fck3Nl;;bN?lCa)2}JN?QbzB=oo{{1g==F&y=i;Tmw%H2TzUG zxij%crKuK;aN>a0kf7NeN1`*6)=0aiPgUmIpJ5nfMJ}6L=1fCWCHV)jP%L)qg1sGC zWMz-IMAJG%>Ai1W&77Tm@f8#b^oamUTJ!OrK9&4bHu-N!fgy{Q1(&K!(%+DIBhc%A z<L|2B?c(C$9-kk3a*o~)rYHz2KRH+(_6lfGb$aO<?LC%mGlcpC_8}1)(4ZJPK5^)> zeCU$W4t<us3YtSCF{iQq!>RnZRl`(w7gwDx5K3;;w-ckp5Jb+9WrK}~`AQEA9YIAk zV4V?t^GL-#bQBi;LmuEP;;ob^97xVYz7jTYMEH%1*-rpX!_Pz8(bI~;>Vt3>QE6sU z1hIv8%&_8V21Cse5-yy?&?`09j?2<^o|k#xZ{??<7W4rPc_!pUeAT_g!fTcC-F3=4 zc`RXEZ)jXP^(eAo#ai*IjG!Fg`n3dG>T;$+bwEz}(IL}b3vJJFhgu-cLbGS}_|_D5 zqE6Xc5QW%>09~6wA^q@M+`1@6lAg&G^O4OTmw0n2Q8kGphfF)IpzA-_3j4gXve@0n zTI>@AP6aF|LfU9Gp8EQFG>-((3I4F#Pnt~X&~rPImmKA)IHld#*NkOA7$fI^gI}Q; zLt70eNICYm%A=N(<k3)CC^kn>)>=3$u2WPZHSoKd@2KF?Iph16#H?T{brKf(aV91v zM${2UnLW?;i=wZy+fRb5Cea*lyK+38`K2{=`Pttu#h9jW9F)=aB$5t4xYSelq^CF0 zn8go<l;Q}jABFe~g=a(o-}7O|GOgWreds7jo1sYmSi752zaM{GswT7RqSFlR3u>=g zz|c2`V=Pivpl4Ol`*E&26+Vo-^CZsHP}gF;+T`I!A#H{YTlE)98d*bKEdfWB=0=7o z=TlX#KhbA~@B=mV(}e3V|B|b=<*<w&f@Z5J9zxR6c{@+0G?9@zfJ*t{aM(1g&|DZ3 zeMLXvr$<i80XwSBI)N(2D8<Iyy~5bRP7B9Sc;_NmCTvf6#hfp(zy^-kwe%uRjoB8Z z3IP>Lz3Lz`W>-X}KXr-~^7kJW$lo#8g4hy22#s8;7>Q9<Ei~;a?ADgVq{;W93c^YI zR5Jvc-tnpma(jzG1E9L?1RmN@?x{?|kCCx+@gB|~X%;Hy5}aYtqIeHkkhD;1pbW3* zo?@A8iSC^2uAS<qKGSu3n&KfIimL2ff!K+7S-)n+{Oc#D`59OweD#q&*{}~C|E|ll zV!K(-G7|e9y&`u}_So}_egvg2No<uGKRNqcA$EN47%`Xl418v7f_f;tel>b!XOgHl z{1&~NtV31c6l&`X;Y&9#>Qf!8*%XceCEv1_7fA_pPKS*pujptoUKq~Dv<;V-!Qo2m zsC~zr00zrbLg8p6zCEf%v`D#uQ-+5^eb{QZOGjAfnX+{EVfJ_a`1#!okG){|2+;Pn zs&_yru&Mgy0J(DWN3bky61(FckGRm_6dq+;^KFt?YI`$dL2L`rge(L18};(j9RV3} zQC02ty;oaR?jOGEKadbvVpppWMC7a5=X1kcU0v!*<85v^64vEDLQ4E6kfAXgcBi>< zNbyl#2EBD~HF(8ny15wRqKRB@d8n63&Zn=pRnFEJFy_Uk3k%vNqb<y_x!e9gE7R+8 z1zpS4_h1u7m@^P|NCt|`c1@WwvYPq@rO{=gI?Ijen@%(QDIVzw-HP3FV553MsGvH9 z+U<)HGad(pczIKFs7Y_0oarDMbN9GU&$;wb=XvM^VzngiJpBOCo@PPMM+)Tp0O+Ia zY*$VSfFqFJ(o8-C`snfhNzR$T$jwgVdbh*2Lsa@iit`--uA(O*icA2f4vmAaWy*4f z9$8z9I}G}$H%0P)4Dygn-ru3Eg`F$HSN$6UZd&x0%a2LE)Gzb@^R^xCK>8T8_tO36 zrvm-Hh4`xj^Y1AN=@jDcrtjs{MbSq5pBjm|Xl2i~ebD!j=A-S*y<GVf1e0e#<pIhd zCK^m0aB=#O=pC4Kq2CUd96PGHe)atU$<OaVySW_JW5Ks<m+^CQlgE1XUZ(jIrL0w- zI>Xa<ulrO+pWtoJhk=v*p(o}7y<&V>E}&&sMOaXxou?T;(zr%n`Co_h3oJ2(B@%+F ztSosS`%+5EjZdG_bjqAy|M&e)8N8Z;8&+jXog^38P}`-u(CPx1yTK&E#{}D>x7o$% zUtZu9e`_m3G##>9SGj{!`Eb_(my0D%MZ%ftLT54}?9EpD)lcM7@l9Y}hW_kDM*v@) z(%a3h$vL*hV&b!M7*$o0Y-pbG0jj28CXf3_6zZp@?EysEPm6ayZRs()X!yBhzJ#`H zyJ`6%TD|RSH5bsu@5etdWBHST;-XasH($)`PzrGA3*rRJ@;MWh-$wY|IoKk4$1I;6 zYV6u~2}SFLldyhdjKw)p6E<%}NdVhl%BSzPso2YTNczrK))U30e(;w;Ch4Q{Sr*%r zQr&QrgqXYXhV-Z9@(%RYR(2(&wreKxJ(vldW^Sfqc8cd;YMypVO8Qo)J)pT<al`21 zlyvUDhQ%Ycp`;N>sJ1Ez5cUaz07flEQO5;VOu<AKAo9>^cAjg{mCtn@ADFIivA)AT zvWCB^idCj%Yrich#};=)3Z<yA-kDBL8Kj)<%t@(Oeb!{${Q)_PMcXYEN><G)$sr&5 z-H&kuaugU~{MHfYI{rzvsxL=4o^g%ophpYVe&82h8j&yNm@q;gZsQgSfAS>oX~ENU zQ{RPAu{q3Ah^tiv&FjP5GVn_`$aDi-^NW&bZfv^Lv9}IM%1prXnnj(JwlK16Pcu1Y z$>5WhQ0N-HzM*%Q?NOXh*Kg!7$K6857N1s+iIq7k@0nIVmIVy2<`%xW(!9ZKXvWV} zL}8{hWAp>E&rOl$Lr&jnP0czv>3A(O|5ovjcv+HNB=3tOjA`?aNdfLQspF82R^e1^ z5>CZst91@eCcg#Nu`9Gx*RQF9om`x)>+jY)2xDF=e2d_tGtxS=?SFZT+*8$Pxu{=r z1%Y!4?dyrR&?rX?L9<5738#9qA?`z8oEKUdXAHYK%(G`b^r<lP7dpOW(YhhYI%8RM zCGJpPY|_0?Ud#4Vz`p)M%QGF{v}oN@M+NAV#;XO$r6Lj6v8}c2gRrEPnk#Y3_|A}= zXD?aj9lfniy7(=zj(tZM<GAMq2jv_szSq?VEtREJMR1;3w7bLVpXg1wucUC3U8E=1 zeTT0*kRi}5dYkSm2|=>Ko~l$ET;iT`K$!a4DzW0GTNDcL>5Ei@a*fb(56(EAm&kG} z@n7hOjz4*IoiA_LH^~GmWvoEH6p?4DB22K_36N$zT)LbdCJ#7WwpjvfEnLyJSG@me zt0P9c>BX^dm4R))EusCoFE$dw)QDTS(7d>l{(3R=n2<*tslbX|yw?J@la2;ypz;Ri zHxf?%Qvh_V{jC<dpu;MTgtKbVl8^iy$#dk{m2pb6c1Cihx?i*Qplkfi66;;N{IYLk zB9HLy4%qjnrQfB_9R1&{w=@?(2fh3rZcAfFSEbIrot&$pH!Z%%ZYFX?^I01^)W>P` z<D$2}!Fw%MH_A84w!IPAAj-~wL;06tfEs|&cPf7gKqr3533Z)pGc(}Szo@ntnge(0 zOrhnj-MgRkWCpnoUA!3N{0TVJ_&U&HA6pV}e8l>E5c=)|yNm3H^BlA|frTC2<21Vd zuA3;|!>WECX>?x*)xI-EyA6ls5V0WJN!mTV60GjP`$$0dq1muP>xi;ZICZ_812Q*V z7dpq?O9MdFkyS4+wQ3hjHXEfc`}|8??)8zN1u4O+(X2Pu4i&E_>hlAptc^BSiqtBL zYEBNH^pYnrbgSR*lsv)6%M}!<(F!5(YN8hehzJWvQzJRqjUVwlsNLw3Xj8@3zpC<V zo&I2MuSE7#p?X|i#_ZH4#<~6d8?cOY5UaysIJ_g9Ss?xl*Eii#6r<Pgf2Yt}HLnTR zUYO`m;Y!(*$+W8$x!H0q=0&tpg1IV|j(Dz&>?uLjq{EB3ih*0xb9frOxn34?R_Kbq zt?|<4um4q?JGCEYTSF<xUw;}y0$(A-p8&4Cm;%{69V18%`MIE4=C^`dFHp730oQKG zXV$8u9AY35fb6xN@>3}XJ9kq>bR`0bAdrtD%oX=G{R+cGpH4id-anHI<M-lwqqaSQ zT&!SsJn8)Z1dBBgK{{NhQq<{p31^hviHvE*ke7^8^g;~G^pKa!DTX`JF=#xIy_PMF zY7PSFi&Q6RQI+hE0_lU)fJsReOcgCUal%^vO!m*l`=^2Qq8gL%s7kr#frkPjq9^ha z0{DNwRl7W9$G8%8eM7{*&J@j@Ma*>P!4yzd8q|*5oC?G4njsDn$iWoobEFtSy>1d! zZ^0CZSN>ik%D0ASFNGYNmT>J-McnmSl0w4<wvmX=e<fdxkJEpp0Ko35(4x2?+SqWR z^mCP|$R3CY^&ZVN#t^7)pz?F|I`hB8SwWhzYKTWxtj|EqwCBeZ*mJ|0vTA(4oM`UL zDdX~drrNFIP=uB7n?LbVb+s?BXosbcZp>{_#V&ruUVzJ;(!=Zg8c1BB<db(7^(Z|* zj=wv<ze-r^YhSX%1TtIrOO9^Wkfs>gM$YGGY1w347%$k5j2f{rrdyA<tlCk!RlJjX zJiIQ9fsLa~gN*%Fxd9bl4LaMUlbSGvCq3Ftt4{1(6@X5>2*SeB2IsI8)%Zby_)`7f z-Wc6^PL~dJGMtCRX5iuczc~~S?@e}O5T-8Lww-^iXq%b?@aONa9nLy?(|ha&zOl!k zIWl(z0spD}{2|_+N_Tv-A`m-w1t}SCUAc)59>k>E{<9H}#oevN;A=~t!`%p2;hV{k zdXL$Mb$cF)mOTfppN*snA@+j080&sbhgWE7qR|Az4JjEtpFE0=#WLFqheJ0>%dopz z)$yGd{Oa#_fG%&<pz?Xd^&&pZHVs!wkIrN9%gnjZP2YDbZw-yl_~>r~d9OYfOfE2_ zxMSR(VnixMKAMjp%@RK5_$zh5Iw8KwNV12huoEHbo(!5(=+8-Le9AWE#K203Q23S` zH--CMncWTjqY}IQVGQ%$A?)(7C_N#!<M;Q3jdx}-!fy)S6P@IsgOa|e4p$@LcyxbZ zc)_Mm58Of?5W$k9CxKCfQp4|&CScfWf<>m@`VwSvi5h&;!mb@mm4H_G$00w?aqo8? z4js##LtPx3X8@-f{(=&Z<gmame^egz71o}QJPJbuhX1Z)`X`|kez3!X9CEjNKcOlp zrxRp$0rZh04rmJMUrl1*i!4`6J>ZM1NeNTBtYrG3J}NBKd~E-u=V&|$M~FP&fWnT8 z{@VdVmAyj_Lq^3U*eNOQD$(CIawL+_sV>85<+m=)P9a*Js}6hmPd1r;U5tLl7dDhY zj(n0V5l`y$lI1>T?D6TZg9OOqNlu@B&C~EmEddcH)ahlWx?!6FG$!{*o?+xO`hyDs z?5u&%W6@4Iaco6_lr$#&NIMA;&8!g`?6f04$~{eAVQH*}rJ8O3Jz141n#v&I7rU_~ z2PxB-s3Kz5ft}KR)^Mg06Bvs$C+&43VJCu{5W0hq2QbhX6C$SYR2d0j1dEl~?InLy zm;ue)-PE5)eqz$TyL8u|fhf5PO>RzrT-sBc=*ge|vz!JGyxpZ>1r-c^@zk}q@|$1k zF;nwrG0h$J{h#3p5nH&kx#`7N#3{dUvDDt6IjZZ$6Pracm>;p#YG5$$0<W!73Xl^( z-`W{}XrtDu{hGG0{4wjY{Q;lptIUH@lpo-4CCE7}CYQ@s@r(8@utDEa-IZS9cXL>( zv5RGFkVr0a)D{*PRuMK^t=35Vqg_o*OtBl6r6N_Ml~j;tsmL#=(FM5G9>ZTOn`8*d zrO;<{e}CXwvIa|(iVQ*N79uCO{o7mqDuCwCl<>Y!ccUlpCaC;N)cme2r8U_#8B7h} zK6jy4_r|N*B5E9A1)2WUOr}@=S>_r#KDbH5{1mUciL_uW-5@f6b4kVAM^mzc*rd=X zMThCoUMW?C?*Nlz9H+XB#(nISP~8*lDkw9*Mb{!O&HJiqqb!8RePof)(}Sggj_usE zWN8eI)<_^f+P<Z3hq8C_cXrXg8kQO8!ID+3W?e1hVh9OdaSiR(Y3dQ^i6J=Wq!FkK zXmtry__$@i25lzgfS&Z%{fYo9$wr>9DA3zAR6%#s;x`<+y<yOO2paE}(3o^JML8## zQ38C~M-(#DZg2}(f$Swz(qCb=ou3HuD5_qAi~c2O0=Al$w^(FTXG+EJW%cNQiz%Y; z!skgVEP+z4qfbclhxhcAzX$#%{QNw4iR+gmn;<GuR1L=g=b<Z{myVG6CCP{f$!3g0 zE_PEI93keXX;EG{?WLDHNc@%H{cQav!webihrh8h9dd-KLO@1D(yPzi`JDl^(&O!| z5X07=n{ejKk2aR}HWS6soWVA%fZbp}NbBhFvw*Yx&FdGz@=9i8#Y*}TMj3<2<w-K6 zh55Pqd!eDK%Hr};xp&qL{0>unQ+?`y%u(wUF7AkO)ozdxjM*#|SJJeOh1qQ5crN+a z*-ekLPLCZ*nnj$smr6cEUPmQEdnFRn5IN!FuZQ{XzC0Z1xCt9InDiKIz@^rx8=i-K z48Jk~%f~RyN6vi=f&{UxU`1nnqhALI=OIr)q}OKsodan+kCgjdcpg_Vm+%LK!H{=` z%p#HAo{n$k9>@+J(3cPI!nFkS>rM<|rVmM`_~QWuhkz#~Qip))Z#wi~3q&8>8%~sR z(xz{jwz(B{XB<Qarko1^t7S#Jlb4_`@fx0$gy<UztEGgL|D!y0kNi}?<Rb<ltKrZl zdq;g`<AKWNe3xb0u_sEBqybjbBmWn{RSdMCo<Eeh>SS$rbI{Z5Rd#M3k~^z^oK5pY z*>Kb)Sdr^U)A7*jeoCZjFXJoE^lbh*WP-lwY%M&Y{Crkh6l`4#+-+TMcIMqN&pji0 z(6{jZ035VIHdeNl=o5C8Su2yeien$jL+z0`N%Hq&q*=6Lms^6i;%bH&d1Ix-4!Ugq zN^|jaHdN<~b9xX6kb&7z1YXE%CQmyT=DE!a8|Q}(M4OLcX`*#O=ATpMGIR71arS;} z8Iq#u`0{HSu53C31@#Tmog200F&s<Vh>P20sB#e6%4}8M>biVJ0j<_KA}brE_gI?+ z&;D|(!)gA%UeL6EYi%l3azk($5#k@R(Jl>6DH6D3FGYTB64Yc*8DjBe-B@_F`1ZWM zGxX1R1OGsp_$UHgm(7QIBr6bF`)F`fkd6s_83N-+UbG|tO$_~1Y4ghACHqb!vJ1&U zYIODAX@I3LbbIww=%t~Yjp4Yc{RAdP1}r*YA%9G7i6d}LdT*V?Lr4~WMcMZDeE<C; z_pJPcDp@A<i3tlz9eFQa+CEnUcO9p3-qwEem=W&lkdIh8a++}=9Al^FifjE@{js!3 zeshO!gK7q_uu5iyiZG_ji>iN@o_ar!%|ojHknY8)94yY)w#Umgwi`3})1XfdRrO<_ zwp4v&z0;9WEGnBtH$U5kwZ(;*8*}6%rC}*ypgVdHF&Q8K$1a0+A=4)o4&cRs4;o*o z&3RdoUxf+I=bIkG;&mvR@Lso#MR6yAT_<59vIidIkrTZ8%kXR29MY=zetgAPZul(` z>!4z{l72}uGqjjZfb!ryRQmA5VOWq{?gbLWR!6Nfk+!ypt}{fpT&^|j6R{%Qk?@V7 z8ULLon4z!b<&^=$&CcrijkB|+x7ne+sBL(i*^%ru(31z>Wi|%k0o7%a#96cx?aARn zgbt|8NNV{?kGzS=s^yGpLm_ZVQ+B>c>0y~&e!n%WUcR#_%Btn6%11^<Kws95&`&>a ztSLBIQV)5~_$*^sa)A+|b=~`P`6Tl2q=lLSYMtLgG3*g}S?ekbuEilEr$2ie@oq?g zl5NvnKZ0VxDaACqOiCX4%eRO!AL8_wkqoqXd7_7gUaGKqCbs9<^afqB+&C<%Lgqt| z9|xV6Pl=R}yakKKN-@<gr6%~fsp-CPo!+N&^E61x`8Nt)z+0W0KE@x^IfcppdT750 z8YN=Gz5mOwv2UB5jL&LE<*Hm?Qqs^|IhM{R7l0zj?I9PLf_CAt-r3Bx?S1*{pbnT` zCVC85SS#BJz336IYUQRr_(tHj2NsC8S(3QSJODEpY->NZOJ!NTJ%1bhCB@`H*PP8| zA}Z_bT~^M{!O^b&mgmgJk<MWVy4`dWFPh=liVj%C%z&TmlA3~8J-mYh`D?7!?sI+p zedWckA--9A=&otF@K2EEyVC2z`W&#(IQY<7!H^>4tZ!5H{3xp9ZRg#a4m;*AF9Z^b ze#-DRFVt#cu{75fw7vDb@f^KaO>1^a?@kFG%UB?@|AIF5yT~_qv3JJP0LGTg(tm&O z#U)tpV@Brmu}U)UWlB+<39cPg`CWo~mH<~*p1yg>^WQHzoIS4kzsZJIN!S2<ds0hQ z9!uB7Rp>?!nKDH=)?a2YXSxTXA^M>@Mj4>v#^wfrzs-DW6vtl&$+P=j*n&;JucK9Y zk!=l^(C&BHtltc`c?q>0@q&`%RPq0zU3i+oJ2JFw^MdG$B$)#j+7HGmF~c`eS(6dA z6W1IFeNJgAw8@-$_Z;G@mTiD#T}QalEWG0DFf}t@ub{^dI3DdHhpIa=gT#jD{7Ibc zeePd)Kw$$n%=8CK=!CCHo(jzPA!P)w$q&8FT*hnx2HpxJalINGi(He*J<Y@=Ijz6D zmS);B`=2Y1wBdtvv<%k(FS(Uc743zm?r4IxUE+xQ6L&BlJS)1)iz^Ta2nL)5O#6YY z*Zvs>UkKtY3Oc-?-#ZRA%ADGp{(XmvzEzCgh$gfR^$UR0Co6v=-CTW#a%_<79EWB_ zRnEG9iA-R=Qh}ckzcBx$0be3(;QYx2JD~C~Ba>tith4GwQf?kf^D#4gy&pOaK8XJu zS5FF-n`4pJBV*F&J?{BYpR`TkuyIEnz6w>J?iRjQwf}aCA09FgaD<M`Y;xK7%g=r= z)*RvJkW*^4e^W-$lYxH-w~?c%yGKrbg&50y(?I<ulSgMY6c|6#0e5_3t$r>DT!s@T zAyP5%JGf?nuh2J7_Nr)3>*9?^kZd(@`=dg2Zgfe}O8@09?Gnhnb?ppVCb9~hZ3wnV zm0+h%;vjH!Aey{*YyYTlZ4T!9UM0k$2iARfi|8Wv4VXu2FRF@h9^&q4-LO>e6cp~c z8aaJn;~5{p71f&w?3H=V3)9CcQv{oZt3Bcq(s@2i>G-0!2?y*6v7V_R6jEG41+>C@ zX*mCsr_>P`Gz4NF+Dv-CeZ=#c;8zzIHSB79Zm-wZf9IOI^}j<5Kt>N%AhnIEz$`P9 zdtY|UA*W3p17O~OEY$US>4&Ghk3vN6-XkzX4QTH;A|q!D=6o{w*zY-B!Kwmu8MXy; z=npt*<zhoHsum=fuCqPTMPnaOWWNh^Z6fHel)I&61DYe*i{5Fqz40Ge9-N@&@<;Ga zE-$FO71OEace0G`6EuNKW0<!LbH&g*P?um3K(g$;9kV#NshvcynW)@oZ6quHSLB}} z#Nr9bp9TSoh}axQoQU{H$=^pDqIhZ>`wxa~2bm5p)Dnv^3^rNJ%2n-0FB1i|aY78u zc0$Z&Cga2ys#lpo+z-+W94p=B7+lPKG7Qge<o{nBSvCZ(KY~8_<>!ZKU{$?C1TwzY zC?g?x<M_2b&2>PBoUiA=9(Xo7F&P{{@UH<kUv6OsoX8LCbeUG)ZEafdXxqjf0CneC z4GNjGI)OW{_nqNE0RD)Mh1BQe*?V$wQ_aRI9pJTvhWzFBY2eCm3S6&Nk)iIS69`^% zaB95Uns_<#xIEZ#_)7qcz6s=R%ab!i3L_N>BeeIuPFSB6KUuhTYVqC<g2Ios?;Jn* zK?Jhy_JQ#t#oBel^F2u08DyvgGO<Mk`DTlL{bK4^<@NmZhmpF=>y7)bQ0K)sJXjb& zmFgL)nh+lX+yGQx<o2V%6Z!YslYvMV%_4}a4^lwfMbFf&0)TKZlvM!B(nj|y{IoG4 zqR*Xbr(z+iLvFu^JCsKDLUOpWKWVQ%wq`@mrJcNoT&3CJ)r3(g+E-q>u002<TG2f~ zS|&TA9)sQ#^yP%5u($fUPlS3YU}ECIS2S#GEWX>jgRVV;`-Lreu(lEJ+Ok_{4}{Ef zArVW);*8Kd185F|iVz@lkh8jL;JP_OIn58#P>1dGE;(Rf#n{9}udS(-%$;^^<T`pi zIsV|y@qq5>V#~qja+>&J&z|L^c{w>v38W0PeNH&<;CcWYC!+Wj7y9CzE@XEG?#uue z{^sm2JtM=1<x4CE3+H4Plr6ysc`J@!R|nUa)^{ihI__k%>x;u9^R|;6lIZ=wXypp5 zDN{SQsE14t`h&ql6eI7i_0xUp+dQ4a1W-7*D6Nbr&snKh2xD0ol!}m;R`$Gunlr@` zwT?KR`=CvfNqf~l*C)^9u0gy&?)B8Zpr14BSA}YDe%PrI^jZw~Kzy8=IyLwOC?=SC z5?+Czc7*PSe7A(wm*Lwf`iH0$Ay)J`^*q;%yj%O|(DICS-YvUn;f}nCaM$aXJJW>L zX1=@)l)IkbD(RI+f%H)j%H0yh*6#N4W{aN|`5M{A$CaJF%k8uL`4>+IgHEsVRh+Wu zyFC5#=j+|SQ*Ua##211a5&RnMSsC&DdqaTy4aLLj-P!4rtukx9lSPoi!G7v1*!}$i zz{95OL9@HhH6PEhuVCk>qP-Nt0=@=%!JCf#1xEJGyxBns(DtBRc56^og;uyW@~l&# z44liOWqR*eS9&);;GDRy5$PsffLK>li|!=PZKpgBIn&NgB>1^Yv)mN4@yAxHHUQHA z0}J5`GSBD0jq&x%dyfl?hrO#NHM?2u=C`^HAzprdKLN4`*@=anwDA3vv8A(ouO)I; z#xdO@zu2nIHQh`cQ1nYt(bIFIevz}&)n9tfmBa<Ktzzzy{c-I)P(bk1R$tHE&BC^P z)Z=-s_bRU-i2<Zk0~9r0ReJMw2yE8HSK+eofk##v$K6hHoM%W_qKM9{o}8w=J8ab; z=TY{4dIwb-Ey9XX+ETv8J=$;g$EK^DB#{U^O1_s488&ig$nD5VAhX8BC(q<6gQSj3 zH3b>&W|^qouG=;}@8*)aa0?C6ZK>ueEYcq<!Vf5WN}xg8DM~k=bKjC=z`Ls66MFyX znO!)y1#~csf41<tC-G)GMK*`7pp!`dX3xsse15eKQ?F&`bnUiQ?%1Jd^4K8a^sh<k zjJ;sv#XypkTYmn2OQJ}>0@9X!Yq?IKhwB&nIz8c9l0|Zdy!)`VS;}_YK7En6;H+mG ziNDb@aFc9ba5F!g)qckUfEe+g`pbD&$Mn>R!$tWaweCwS>Ar-xUP1j<5T~o@venLd za+YC>_&2z#iQ4DK{d)K2;?;-?eC{gm$mgD_PEgcAt=IWm{oJ^4ron#4y`Rtz2xB9k zdnb`_nXvcH_=;KCwHJrJ+;Ih1%SKhOi7;dJq4V}8*?`CyYefS9OCNYno*%SoyaS!~ zRP}T@c1%LFe2+-)fcpXO+LgSt^Gyr>4bP_L&W>`NaTYS*t2Duyz%H^yhUD36lh<5k z1le*>dprnVB^1q4;2ak;kfC879(?@zySIf>A6+|9@@~5#uG4D;;I)x-Gg#H-Dr|%k zhQz;Z>&LxhfdI=_C2x}TnG4=&{D{}DifGHtUx$E%bynk>@n{{a0q(y31fi-<z4#5q z;lO!fu-|>H-lJFSxa`7?!Nfw))53l7o8mTiE!mOD_s;9HeT%#N4DU931<8jBndZ6U z)rFI%=Y++xmX#xNu63e=NaT!+Cdg9xDsyUbrxX6!IPmwuLD<esl5R|$${l>^F>7<D zj}RO_1jnWd*{+^_^V}fy;q^RKB|C{60@GZD%e<Uz%KFOr<ZD-OvnqDD@V!h#F0|jA z1YfJQv-8=L&YfiJ95>dwwa?iL;}HeDJ~rqHbv`Z?yDvWt->q~FEj2kxY`5!9aEAr& zymTV;0PXjNF3yfGZca`rhoh+mk`=X6hc)~}q_^612C0e9`5SyCnHuNBky(*_c3TBL z_brz0HyLM=Ht!3zgG#BvIxcZOsEuV;roHjyen}_K$=4H7@5q&rQNL`!4+1;Zy3RCL zq`Qn?M=F;+^K_oyqT7=zSXcB&<joO&w(s8qtQz%vk8$|pPo!O^8Dj)ETRI`y=^Zhr zcheo|Z^x=qRpMPB@%`|w-43=#CkH=$nrh`H1DAVp{$%vIfp;*RgRcB%<%z7llerhx z0}X27cD1UN46E2%LIeIFgNNOhHZ30B-}j>U8IrYAERC$jS-Vb{zJALk0I%Jgen=Z2 zD#Z69|5Th*h0gV4IlDpYCfMY8xWG3T?^yfST?H77w!fLniu3_Ei(eTVuQSZcoF3dV zK*?S^exbKZi6>=XWf12wEiYutc)Ig^_nsEqc)X|O$4TYJpi9>I%GX&QWp8kh5AX4) z4RN}@d3JSon`-X7h*VGjX(KGOX905(kgcl~tG5<$7k8g{{*rWDvetuvhx)EPV-FQU z4;ur$<nid0&SmuIiN@ye15q27jW#|lPO@tQ_<`sr^N#DXtd)~>{ml0CHNiJqjFc5! zlQ%Anw2U~-rt(pPO1g~XE;V44D2_zELjAjadxu>H?n=e+-rdA~)yTfU0^oJ1=#}@< zXdkp#x#-C^rWW4V{M;Ds7~1O=+-n6kcktP01B+SDD;-?ainTBEu4iyvXRu~qmV`=1 z4;*ORxjlHe%+jyAq{RnsKe0Ut{lVi)9LJAKy2)5*A5kdq^3!R1lz2V4d@YV`6(~(u zX?|_<ocp8KAW4lMK{iqK9f(wIe)M{t7cM6!#Tk!!e!x$jTi7QK-OHkiE0e0wFM7M= zYUDYud#MP&UmMw!h1}IGuE6ZAO@XN@6ycc>3c>CevjZBSSpejhPFlY(<4$g0*(!`q z*81f3)-e6FEMT8r$8wSNg8r}<UN*i<>uyl?KFQmS4~kb>Aj)(={nD73Un{SlhfTX6 zPAdAI!aKT(?Jn6wT1EKl)839vtCuoA=mE$SWNulzI(*7?y{~_|Tx@UFjd;<RE9+7N zM?5Zc$)BI!-5;Qqq${*E8n7TY<_$RA9A7<e*KmNyGt#pRNJ(7yGL|-*bdUAF+8;~N zg^M0MyZ&e{vP%TK;s>mXTyM%|czFc9AJxv+1@#{`#?AB5TAE7KiMa<B3RbSFM%?Tj z-TYiP?8(*AD(1T!Zyw)vPp{2AJePz!$_3guC8O(CSgE;DANKJpo)=bDVUCLUL1T@Q z?-0!zB}*+#`ogzaC&K9VEzMR}mj~e6hMEV5nRA1BmIojNKZB&vnR~5v*tPPdz-zU9 zp3WjT+_QaBQ+N0HW@dZLvrMn^RG}1oY{B!b<?>SUIaBFm#Pv__zht+vjq+%|y_=Dj z7x-$OR`8Fuign*JH|v70IqN3^I9|=uBiYL(`Vk82<JxrLes_iQED5dcLx;OI)f{cM z$({Ghi3z~PI*Eq!f*7FqJUp*erp{-#fX=F)eB`}Q$A|s$7JO3H@4E}QLmnVbR2$Dr z+H!D%=3f$2h`R&(&E7t-5+CEcI~SbIYGy$}IOZW1<&X)@4buRi{}~c-2O&K9zllKT z)8H5V=l>c&vZ~*NO~CI)#-xCTfQ?`b#9AzJz3GBnBkwJX`01TmO1M43=wzmI5xRF~ z>#UsLd#&YSX6{4Jl>?g(+2~OG?X^scuG3^|mYmc~>q13ze9_gJp&RETTRKMmk!iSc zfh-Y1-qgG!cn~7Kx58IB%M`hsqiA}vbK`JX!$rs}+4Iy5>CZk+oCmBbmXNa%R~^J= zpYv9TZG(jEe0|c#@pRrlLtc6ar&#Uo+t!}v?VloNgu4*#75($Zx3;i&pUu0J?uwPP zC}r{alAu$1sO2hH;7U@AqDDWVqK1eG7oDj)hCcHm92O<b{3Y$){qO}2HA3w5Sm_3c z_U7dJgbc=bpl4MQwgGm?DC97qRHpwG&uKnOMZS^Ohc!TyFwygF>M#n+e<_u<{5>jF z4^bC<zVD>V0`dwXvA&m3EI)fsPHvS{u74<2%Avp_d!n(XclMxPv_H+lMX``IeKm3a zdJ@MrWc%eP3PDHe;6;ag&|u>RG7i4mno-}v7Ws=42_v)fi5Q6dCbRQ}IFd?chaM#o zoR<ebh4^ydJyfK!e>f<pDz^WR&N(J_G)Hm&3)LU0tWhO+b}6o7Ca$0=DCr*>B@DW7 z-~GL0<hK|^ux6F4k)>ken*;>F$Umpe+BRWcg28*iuUme3j(Xa9gXEbYUmgL7{;e6S z7Az9Q&mQ4&t62c6TX*5OK8cXUOx9Y4m-`d+SOvN~MoYI(z1Kj_8Kpjqd3_j)VV!U6 zAQzZ5b=d3AIN9P2Gqz^fT1A*(_8UuT`>wfIz%zym6f$0b$!rFzKnqwaw^wrt$Lp&v z_2*7|y^Q&)wi)gA?WqiTp(FljFC*{PAB?o4*uZrf5eJaCIu5_~c5<zg_EI6}F4coO zNDHer`DptESbdIpq?~BdP9no1ZZSA7%Ii9td4-_9K*nmHg#!<zwh;pt&~K5_ni6BZ z#|!e6DGLKXsz?SjaZ3|NUdW}W1`g}!Q;D{;Pr)SE*(4*b3hw=swPL<zqi9H)PT4#K zAI%t_zyM$!4c#G6O{yY{{ID8a)U958PsFO$h^gS{d<3nJv?!3iNXU!>yMYT`J}3lw zb)~b^6CqOOCan?hI2?m__v<YJ(3PRiJk0~h9Ssy)IiCX{$Hu$vO}D|`=XX<di3xse zIHjK<19l1}7>VQ;d*KB>LZOL|h}e8p7<`>afEa{e{ClS`Sxw~zv6p8g=i5qR`Sj_V zV<&m~Ps(3vp(?9O>z~gl`zY|HLv}hp4>6*#q3P^1!>LKfPn?}6hI>mo#wEsSlyU3m zD^SI7ypR;HRe0*#c^Z6|9dcj3If@SA_R<z`3+8BJ|5=FI<NVcw#06g;RP(7M#Pc-x z5ul3;I#gWFb}1};okBJnD>54kF#T+iT(9{_sJc~US&;sWUgE3Q@8yzJo3faB^$+<K z%5HXhjU^47sZOl+Y@h8hsn6Zq92;eURgH%s2%2-BF0V!*q*D7<O#9Yf+C|DysX|?v z?Z=u%Sev>dCZ5BqqK$5AY-Ww_HarEr7GSkTJJ`}eqa&$>Vx@(0p*C-<J-^)=%f+TB zE}NcxzEc?e{m%}hJaI|SUzi$T41U3VW(5b#e_%JGQHx0~`-HA0%Fv2znXIsDkh(a; zEAu16V2}m7`nXb=%%rHkj@=*^`R4CzZ1TaY@RRlBKy^gmjbZ=QfeR1eEq2|~D1f~O z{-O>CX8hy)>3MUpJA2=#xI~E)kx9*b(eMMWoU89wO$j)>dZp`M{psn2E^Y-Q08aU1 zo+d975hoxLB6;%;oWUu<%ou~BY$_DQ^dnrx4ScQtOMN=8gaCIkf31S#4jP+|s8PDj zR;6_mvyiO-RR!DU3+K=%_D7{{4#26`nJP1N<vSqadjlewW5oA>W}-j}F=6mv$~O;X z$*id^`bn!)1+9c=QjVsHB0?$<XUmy>m|w(n5VtzW$7Lo3^H0r<8=B?Iqd2E!a<NnZ zJJK1>on@)MQV420xpf2!;nb7#dfFtG@EdQ+K#uSXmcbcy?nqdI8b$88E8vompN&w> z)=@Qm_zO@ei&Y3Qbr1=&p3-3!lf4eY?n@aNWiS}QqOBKay&=-kA@4YN%XleU3TZ#Y zhKe>=&c-V>8fPzULdY&PHV}cMB@#^1(<$Z58&Ed;Q#fvs=$WjAazfbFP?9#u{oFl4 zpM`l!=c!_UOUt%=7OoOY41^kN)>C_9l8qKG51A4XTEgQl%~9|Oh>+TbqFmv$bOibJ zHz5RB2z99s5|D}t2FBlV$OO?$*6ql-8Wa;-_>rI=`hPe0OhatO9&C)<<%Jm|;aG<- zOGQV>?TV5VF+RXC^s42-G~^N#0xz#|?-2K^3|E1j?`53&=3td84oIx64Pjv>3@?c3 ziwl+Lx?vlVjzNn}$0`0h#acwx@!_Xe+{u!^5bG5-Z4S+(roJpoR8Jvy;JMgUynl8E z^$@?j=xTSz$F?+G$sId9<Ge^=!q?n84T7NA4~KEUmPG_MV(}<u;6}vwr%{&=;aYbh za?>+gu05+_3B9{SUVyYJT!S{2^n^JD(pjjuv|L7<K9<^4Rg(C0yw-OyZ!#q;6}tRn zaV`ucS<0hks5rziujViJ%IIIFlEuuglAq@Yex+)QVaZ`|>Nysa3{j(1iGOoV?RF6P zf$3+MFwHiCUt$nR_)X=njcbnNjJ5tcr0EBR-<L$?BvT|5wH$y!Efic`j1t5{gew!5 zh&7gj98_*y(0w~hP%5Spg#$xKlW?di0zPr2*fsmff>p1oxk&5RZK~G6h^?`H$i;B` zwZ;m;P}D?;m{#rQdCnudx~^@!mbKwk0DYmEavIaNB18ttw$FxdoVebkN~y%_4qPkK z#u6Ck7kJtt&I;gdHEL7?iw3qv;ZEE~<riR&CsDp&fAr#2mQ>h$;ZF|%X#LUQr>z{a zE6r=lLdoewVZu+SLFXDqIqK4OG_wt@y`R-EPh?aRsohnMFMN#!_^{bb*9~%;Jx{(p z9y-4sdLLDxCV9@2dXK8LkvDb-81k||Mg-WU{ZtQ({0ZRekh9EN&ycTc-v;q#a6-Qy zhjOQW`A9orOZS|;HaHmNpjt^{s_2S>fc@If3FBVWocJhk<6(yKhNRF!yGtZp!KYr; zNb(Biq;_5YSC#htB;P$1wO{30N6v^{ZJQ~vQ)L*}`RR;0Q=0sn_L<e}mOYn!z2*<r z-mjJVpBVrpwPkvohKK7`n!RO(=CAA3&NvTl56HUpG-^__boWmAUS5<ww>Nbh`F_{G zULSd1V_gDnrQUzMAv+%k6*x7Q<18Nv;jL&m^9QY>>FS8VVKPLplB<{1>Ymeo8{B#b z{Pm4xdYNi!+$a6POR@G3xj(Ei8)D(#kZ0(h6VU$v8TZI@jfB)MjE<q6BgMTAFr~_& zf6t1e(yJ3@m?yZ89iKjwPg6!3e8u=-85@Zhv2t~Y)iJ6)YiFjd-C5nPLCF;a<DMUu zUyGwHLG<w44oD!wa84&%;%qYy?XlZ_cG^&(wrG9}P}m;DpLOkk+-1ETK&BP#Ls86C zV4$J^14N>-k4BoyP(Q|~b3=-0_dBjQ2Mt35W)6uO2ark?Xp$7t5C!QIL$h<HX?&!V zzFZW}XMV9mn}G@FcEDKvHW12l!HNdES6=*-tV!O#|E0)8B_(a18}Z+Cu~y2+Jfk>O zYW2;H4I9waRtod+nC<4;Q&ib3j}L+et1%q|v`vrZA`DLlCO!D^X_Qd<b94+~Yy>dq zNWMc?xppB7YzY?5`x-NE`R4naBKE3<GF7LsqkjMW>?BTsO;4Q6li6>T%c~HWm_t$| zu2x+>qw(+450WL=R&932IpoQk=}3|0n<CG-kD;aT&vllchy}y9U>j>fnj%7`X)zql z0O;9>St5}UiK?}3Fk2!IN9sn*4eQD5KK=__F%rFR{wMq`SqMtRCw#dWl)O(RgHn&Z z1Dk?(aBmr}a3@PF5n#MlL8x8#1Us{W@Z8)|xJ3!Hu#^QgT|NQ%F<hI4*K>o(%1>$p zNZk_z?pSx?8M5Ug5tX#G!hvN4%E`k&f#q8m8vmh=NfO$9A;VybLOsjeuGvqI68^bI zhmCRg&wqT_p!(N#Z^xKWXUFMiZ38bt|6+1{FQ~q2KPtxY>o1lTF?+`J<6wm6kWt># zP-<o*irY*$LT!#?(8LJL)WhEBu6>{0{fRQF=|#;CCbR8n-Z#z3tf}~)ha8ya0aocG zKFtbA2K$S%vpx5?s;(VHKb527KV%yTc1JMTS_t8WP!)qHG@7-tx-VFcmEw^?ed9I9 ziIi3YlbqFEWgo)$_paS?1}k_K8L9t-la6*4%`qxAmZkptef_`K`pURCn&3@b7IzO$ zaQEQO;<9*f_n-;3cyM=jcPF?z!6gKD3+|HJy#KrV-G}?o)6-8sHPbsYJ5w!H1)B?p zFliE2SsEZ@p6!#Dt~8a@wn!JkYQ>0l2;W-!2<9dQyA+D*(J@=xrV?iq38W~<kg&@K zUJQq@Vw2ZO{IdF6*ApfDeC{lfdi1l?XY6;fYcIZUn>}>NttGO<&+bXXumu_W=qzlW zcid%wXpW2<!_y-^bKqQ@$%{Wi(7V+W3qXq+<R~IQgJk&YFcCKK)hN?Z`-iSLJ)e8B z8vY#+T)JgERg*j}C3+ms@rlo|bVK6dYW`zxq8)tt&m>%tMvm*ANmAzcQ2r>bp8(n( z6toUPO6XgXY0lqWlh3zp1)uJhr+wH9$&cElZ^;X+v{oMHxhOBK0IvdY?~|~JD;vF+ zF(TF@`t_eea|o;FP_r@Hn7ML&7c?Jt4TWvh!R?_oi}5lG)+`n@jP_UNlpSToqJLVG z3y7C3%hgiziZ8KabrkA83H@d^SsSYhb||(5u>B2NgzI=IO!}<kM*HXf-7j>4ZK3iq zHLrZ38+HrEOIABXRcTff7{9EbFAhI!NEbG=d|{Mdh25s}i^b^z8h@A(-Vzp9ZELe9 z0sQqF%)ADHIt)oI`l@ljF%dFDK=i|R`?_AgfLvm+F3Es-B9x?>6p_0ce0Qr_1J;#@ z@xuyt70q<1{l<kKrDci**H1R<i5hfjPm@i5Y>??~O{bHWGHp$MgSOj0D}64<Mlv9E zfq_2^FN1S&Bl!6)uF5hmipYe+s$-vrJq@0f^WWfXl6~)?$_IMoleTYpH2)a<!uC=1 zsSCNOnqX8-Q7xdqqdJA^H{9DFAy7rdl5+Z`W~G|a*NQ}D$i|gg=_@UBPO^Fxw`nmt z)8`}H09{(FPFD@@%(j%2i_tyQQLUQcu6ELo#_*$Um)JT}-R7UZhvUTXrjVE5wScP? z2a>c(0X1%c{y!-WU%06=1-Td%Yt3+cI)yhih*p!%?ne79S0knzs55DmGNpwwf7eTh z@mf81spu)`Jr+wa=1}J0_H`Jd-Rux$K=Bz%1)v5O*6uq#U}>Kedx=`eT1seoSkg&Z zeE+v&OD6>yDUoTKkIL3Nb2BXkV`lR<q1T3Kt$j9e!y8avf{)0Ak<wNr0-Z^iNsZ0@ zB~<vGieqBJyECYhrV(StydK|e%e+2}^#uc+o>fF<t-F`Z)&BEJiD05TsIRo8W=R>y zT%Db?wD?Q@_f*=tGNZ+y$IgpJT=r4cMab2wQ)0H}v2yjNZ2Se0n&w1x@UGw|8=e|$ zrX~Kih8P~@(j2y^k&sO8niEC{VLnjDyZ@|cF*~A9$5RLt_vgsqKIE#OGVxCmS&6#m zlAbCLo*i^ou~vHo*HI|QPJpAUu_AhFD7O>Z%!t(zcFLKym>y5|v0Gl6;p=>9+|)v1 zcsDH3A)$rjp(|eT)?yA=f>-07;HDmDbtYW{LP~{@lB|X%4+lTarYlK@(+U;amk5<L zXI9(m-hjkpa;kj#IL#}>91^(kjwaIz-Gb=+4n~R^#pbGz88PJ(vSH$*%H>l%s-?y= zQeomD@x3v}(&F8y7-EEHB9nN?;Hl(Y)F=`-u#QQ80*k72!SoQJK#0(jY-c7m`)&yV za<0#ueqsM);xCF2;3tM3e$(<;JReCvZ|BL){Ti$Zv$jYlF7lXX7Sl70oJ=X$hIDe4 zq6Cymc|s7H<R#UH)0!-a6H94Z<Z>8@{SFlErqX!b^)&Vf9Sj>5GHeX8Q&y-%ORXQr z1gd$=ESJF2nLxWA3#DPUiA##qCryL+pT#0VbN|lkanXUZH|e?aScdV)LgnpBu)gY< z`mn*Sr{a?}!T!z&J&w;4s`CSk``14JbFJu3j23ItI6&=-QRU-omWrULbPkYTNnaE0 zoVtX<m0LqGy2IA2W{GSYBPS-gtjdk62OlNN)hpO3jpCX^C+v|t8agiv5+G7csF+bA zp)(UkVG3C8Z>RB8w6C|H0IZ?CFxWDfSEt+hrP*!Wt|c9uU^mtQ$G_Z^gw)2HjG<Qp zf-c|F<BY^O%<$NUUM~5gKhexcPT!s~nLE)ooI8)50}eE_V)!HY@14F<p}G#uQh2;x z2bLumRTo>|X2zm;gZ{=ISvj!iG10y*(H+NZvjh1Ooh4<1xN*G-PYlo;Iuw3?Bd@QK zQj(|o@EFI4kl@XV&DGK{@PSwEOx18vAW8pOl7mm}z#4UDkDpR3i3UNK3vmP)S|1l< zR^PAE6t#H7WX|N!QdU)OaTTKh?&wz^2bjfQ%lvzI^}It3{(;Mv5k@iBnCTXnCK?$s z(Wz;7ql(s;2Bd{A9a{MAE;O2!!c0k>tHd!Py~?yy$2sqOMK1FiiQ&9*Ef{{!lYRxL z-!oe*`>W4x`IO>6^wD{^cPUz7jCUCT^)GNE*4F$Lyv+IzTm|DfN@1oBbB|@k<G}5E zP?r9RoK2HE4g|ob{u-AaU7C%vc+^II26aRb@yg*A*UjgRX@^u7VE6ISa-B+y@WF6p zfr5+T@g+<o>~=b1a^2V_Pd|JiZ}V4Z{}6vvoKXbh?>cZW3($_G1X~oFNP`jt0E6nj z#vG`sL}>&EO0A42p4R8jN-kf6Il&fin4NT?j$U*){$is0b#=@EsM*1ToFSYQ$1&wl zy~DGZ1aw5L&hSuTpsLLS76hxpA?^Yh$2DOgg^tx@v!)e+zhgk3K@{q`lmtbS<{`R| zlO6hLe(de62jNR=_z^&CF#s(IoJ=IQMxj_#rX2l?Mu<%Vk~SkUF-)3XKR9fNxP%)E zTmz(v9uqgUiEu3Ht7QS=Ag5TA6mxXtL>A{a3Zp}?CwUxXE%Sd^i^fM51)CWg840O3 zQ)uSsG+pN6`cbxsbh;<tr5v|GNqj3-5y>~$t*pWV$JB_VCemXbIUpcCKV4pWoz;ZF zraeKop+G2wD5FPn0en7}k&wcaPOC6&mY%Px3}HO|W2~wKK_uE$A!TVs8;e8%3Tl;v z?p`8J^E_pFFH|?1bQ0K_#*f2caVRX~>ZTN>79yxC6FI2V63Tl?MSWt*6QqQ7slw1Q z!C9jW^1cCCDc{(P*}Uf>kp$v368^vlu(*EiHN2Ms6JYg^Gkg|rm|kwFVEBc)ki1am zrC6Jx)A*(ozgtAF=Z?Y2nC@PpXAkoSPaU`V2OLrIS%dB$yg6r!d9=|o^VBI9+1OB~ z%muc>gwMPwxAP4FTz(M+pdYDf9AlwI!xLKLjLXzzo6t8C>?@*YY;VLHsk+Y~uj#rh z?Etf8a06fSl5B^c678Euw<`Ua4MF}4fq13$$J-ru{S^|(zYUL8t>%7#rDrTp01@Q| zsyg^yw~?ge>flR*mqKaXQIH4PJf~xWRut6pH$4LMw@=Bz$gvl79m$W<stk^M<GZmf ztZ!e8R5LV-t>)F1;*Zp30{%c;rSHQ{{21{51ny~VO<X%T0d<LNG;#vkUzOX7G-Vq2 zUEJ~o4oyjWEV<F9`94^bd*(?>sT$j;ZbeSN21-md)De-)@%y6NlhrLL3hjy~EA4ld ztt{J-wi=>!(#yNdfAS~7OF<a2Buo5K9`khpZW{Bn)$L<*GZuBsc?86Lt-97;(Y-fp z7g!;>RJ=ejy+{_FXugoc2a!fI;Een}^~)t8oB4F6Y&2=zkaN7Pj=?1|scyLx8@`7p zaNnTeB3ksRdc5UYu9eoBNM~n-GC@4t7E_+HkuuwH)jpqgEzBWQ5i?dT#>nT~OJEF% zZl)nMC{~uM?#TQW$y%0kps^@LDi?oq0!*_6P*a*Gkl_R`eQDMDlZCX=xBkDoiapEU z?-7IO6ME(!$Wiwi;b_uUzB5onek+njs9J5F>j<)84E}*T;Vb`0m->R=BujMFyNPnl zawJH(B>6Opcy69Vb@ePe7ABBt9q_J5q&>};)uR7&)(8j@{d48n99SBKD*=A_I9n7v z9Te=rrBc#;J@xhBu?E{+_xbu~ul#W()Eq(2%M8${1N{4<tk_U8<Z0xh2v-Y^p(^RK z$=TeXBEjzqdbQMIuBTTX=j&gy?s=M4x3TJvJPZ1&f(rPD@F9(xg$&KDfvYCTM?<Fh zX=^Ohyh;kvsa4C7!>AVqZew8VZn0aQ?!>j|qXdv|z>%GgY%9quEy^3r?DFIEpQr*R z7gG8@Usr1GZdDfio3(vYA#b_A#vv)@t?nugt05_S&F(6;kleh<UB&8Oxxrn<Tr59? z;hWy?3V0Xo0j<&8-zLR@_^1Y9C2&kf@UCt)UaXIe`j>wV^Zkdw$w+Of6Xzikb3doy zv+}fYMo%#o1BNKf7u)+Fo2xF!hT#WfW76Vf?(MKzIc}(O51g|>>UNZxRg#J^^AjlY zfLIQr`go+c)*iq!B_*a}5ku2@%3td0QRd~a<t4>Q^U=Zv0Z_K^r=ifju(kj85{)?< z<IMJ4*?O9QITRxT1KGybkBJA&bXYAdb+_H<QX*5Bf8LhTre}cY`PY%+KHWTj9J~97 z8MEZHpV&sHp2L^yONpevN*-93>`MjNVGFDS`4&Qd3L57;VhU>Dv<8;attEe{>~J!) zbW7eJEMhQLaC`_;yF<~Y)wUN?qkS+KER#5=$peB}GyMxrQY1@i?1Al(z&`RI2b;>y z1j7TUibpPf|D^~o&1$?m^t@)%lR3BVTADTtEmUp9Vb#{(Ou8l`Dm1NUN|hNx%VzY{ zoB-K)Qzfe5#F;P#3d1FJonwZU0MN2&7$94HPz||1!qT_mG5T&S5#cy-I!*7lBinpw zMGu(gh$~ZFGkO@vaY2TkM8z8?lHiO##UQBw9dCJgAO6vr8F!E-p7HYOcZz!GD15gp z4g(xp5}bhITQ(tG`o7qqTfD?&j9lD6($&yprY^dh1TF(ytuKUdJV*cCbgMcEHakoT zz|2;>$6m}(Xk@bAdl+*I_{;N&M0#?V0Zhi=qm>(h7L+8{$H2j?t_o4Ug6AsOODN}Q ztnfi;fY~NOd|hVgfuCkJAVRKmn-ls-M#T_gJ=934G>G!o-^3U-HnT9sn1CdQS3=Cn z5-QEAG<(@-qlY)_V|yLJT)_@<wBfisCj3#c=`8PJYa&YL4skt-Og8S%F;(gTRvM4a z1Fnd<ff8T~DzRZPKjSHixh2D9%rqk9NS`oMo$e+7F3ai%Jt6nE$LEt6ke}{9W+r#- zRqDE4O3N|-C~z9SoW=O+PCV=MD@Ad{)UA&(TL+*KV3s1WKjOte;~GKFu=A2yj$86; zkU500;8tCZZdg@)@PR{vLD3=vtP23ypBBd{%H|j46^k>6lvA-Q<d1VgBooCr87}qj z&)Mwo@6j9n4H4F-IM#N95pP;B%GOHBq36isyl-hq{F?O#K`xNB2Q8ivzl0Osk(no) zKE7G{;8llG=Ko@^bnpz%<fUFpE@O}%%w9DJlLp1wt|r=+-XAbh2!jLTVgh;ft%-TU z2Yih)qqznngM3&2>0|*_^IhFvKM4rp!xnsUfI-qi1Zwz4asi-F1qE<nXJXPYf+eJR z2bd?|1^+CK4`GL^v;uJ21aV8CNN%LajlZLIP9APVg4<c19@G7bUPB^}jMNdiq-9U% zMlvLnLQ{0So!sTmz{>e`+H(fjB;gMdDiQ}pa@kkr#<@X1Cq270H`ZC4=da`;YFA&~ zZ&CMSdvk#pA|4@)aBSYEj!^meZvpSFaG7EAFpZXmJj;v`J5wdZcolNS7On{f+zG@- zK|_o4&_Fbu2-YsUl`Rc*(rEVS)M|wb!X?f)llEuDo;W=OFq%12bi@{>8%+sRSRKcA zWOInN{0T~|j<RotK1m!lJz8d3;WJ83_xB|VXJT>c+H=#b;?D+5?Y$tjKO@W&J;tXR zSdFj7LnHQun<vF5kIlMycmP^;h0mX0RilDsWQ3n^C<+wmcA1{AYBTHOk$d*Ulg4bf zQxeFpGKfgh!IyRfQt4IrUl<_usgU|?Jt;uMc1yM5PBSMjr|w>MPLaRz0lBV4?3Cm+ zZ=es26I52}oVHF7?nkRJI?6Ey_;7hjQf~2Lr>1GDENROG4FG471iy6&(8xJknm-bk zwiLIBF~oT$DY^SXFwz;n9jMGOstef8YiII(bBBySU&=GRVVh5B70Gax((73;5hYIw z-f(H^@mf0qHJX50oQ$g$jRss5+v9ZFWB(~USY;4}N0atHg(qjOK^#XTqX1Nr@Snmn zV&bWv`-mO*Ht;^jwsVBD4GCEf6N5QwdKG$UI<CFBg!tF!Nug}>PU1)0CTl8qVl511 zp0tx9h8Wa(eYEds(5V8?O{-_ePgz2&yyr1@Ri|Z%UG%Kw#M2@&)3cds(ENJ8gm}GN zqJS5cm~&}qq5XWgAXsuo!1J8v%i?1oI!Br}oO3d*qEJUVZ-15xU%`AZW<;w53)iH> zKi^8Z3=l4y`jkK}N${>#kwgh5*Q3e>=^0JOPOJQkt?eot&R;Ii<}*_VaxWcpTV$d> z^<wL0S3TTc7gK2y0?{feGR!4$MOBj!YCp{0U3nbD47Cc9HK>l_d7yZQnK{l6=>QFO zb!#)3YV0Y@g!bKChmxZmq7FM8YuXyNW3J4XoALMrT2NR?ipLl_?YY5LZT8%xeq@X2 z8il;|e7icSs{Fucn=9P2ulxt`a)&J6arpR(8-Czr*xp1!D>bhT`j`CLFcHJK*Rbv! zs}ocZa>Xf=gv|hcN8nCIN3&)mBc9RpR%gXf>G{>h;=`dQ1O$;BBv_y^V^CU9P>*e^ zvj0^O85S58+E(b*eUbu?sLwF0L+0Iw)ok$z=#1rpT%vONiYMoGzH_2v7v81gm0Da) z7L=MZG&Z^APfC3J>}xsnO-rcpNI+|xnMH`caYk1&chx={)dFAG2AE!K0Za0?Fs=FY zXToHLl{mcRDykx8<}DW;KZ8)DGH*Z-^3S)ZZFw6~{=6?~@d)$a4}vP}U9(bsx1nRW zFGO4+wXJ8|=v;HgUXrEEC43o;X&dbFcA{o;*LNw|!zMDsicUvoW4AK%ALmKvz<;bJ zbGtVh=J_Y(f{xL$N7FZ~=^j3osd-JLjQe(3l*YTK4c~_T(Kzae$Wx#s=MV-!KUja4 zFme-nNi5XUVk>?H7bNmqBp*1BGjfM$Xf+ZAH$+TlCV?_|87gP0zG+Snw<dwyDhjIR z6s2`T=orUsJ*o>DVJ(vB5bxE|CvBG$WpsxSX-JY~IL5m3`{&3P8%E8^qx%>>48xH= z$f`f1?gq^{1r8PeEMwqCi>k0BhLgFXJm%{wn6p~IO+%0YgIq~q3LpJ%GmSZpxoiOn zu!R+?xB+TD{Le~tVYfs)@WlaYi?7kW^n!%s;SV?qN5PbTndnGiaq(mOtjxqBtjZ{0 zua8Jq$NKU5AmK~~aBC1a6t{sxV(xfI94k)}0fZ&SykRDQgF;vH!zf7L1a@3V$vud$ zM77kEc>+w#jS*~`h8-Y|szJpsDC=<o#WAPA)PL@H{4s4Ie)ztLNnin}upR<ENlzyP zLdv|<<S^^k5GY4h*~ha1se=fsVhu9n2%vX1(ExVS|MhShq~?~~?oZAM@#%(*9aHF@ z*V}xc7oGG|bm-5MQD{dS3RLUFs1^lOdhC3`xO$ibr%VGfi`D%4MmCN>xAtZOqj28& z(5l)=Mgrf(dcRYqD|Oxb@k|=qTa*1$Cdu=SJNa7P`#%Uc*FPa|g1g4Q$*+<j7@0~n zJ*Ar<GE=0~yGw`*L${G9hnsxicnXaZBFzl*bZHuZ-)7WZ$dC_f?tIo0EOur!M^YHL ztNRo+J8Wu+7&vISc^9fYXlaK|Yg&8pL-M0(WAk_H`eo0zeEG9I)dkbH{PS_)a7WO9 z22_P@FhC51rVd?J@r4n}-KO%1#-aQc0v+S|SIjX%fr_;u8~}y;AgOi${TF}Uz^Xry zCUN#Ute5(KOccw@3By^E{1AIVV8IVi+Q;9Z42n;ook87JUz%{q6ssNG=^T^{+~A!R zKajCedYRBU$QkSf@8P^@#a)MgPLwCXCcvY7lIp=N@-a;{n+qM(b)m^U@(fH;IRh&G zihERt8T<I0Op#fldrYOcWE#qjddadk$mV12V1YCQwHc~?Ybv><73z$733m7@&30)9 z!N>l?3j`r}9qMI#=bZfZB8z!pwFQzq`M*ZskvPyx@;@KpLrz&Y8_F465bN0Y(c_I6 z0u%lR6V(2L^I~K66VXkvPnT3V<SO@_r!qJILyKYw8TvfKVKhaPJCbp{m^C_F2$cRx zix5}*W;o;lO##kb85{`E_7yV9q?wOUMQFj5i&2zF#u_|wyDNhu<PH=Dm6lOrP?;51 z6eA^|$7+x5vNn8KDn=UA)g3kK3K%As#yVUIBpTJ#9rcGm3j7=xxPV|zeV-FU%)x$5 ze~LI`t4NJ?lt7&}047`l`pORIdti}~b}e`<qnR5}47cE)6CtlXif3rnk^y*omo|9l ze>VXiFMpjvxasTfAQS#7)%MT)!D+efw?ag`?|$m@c=cxUL8Za3jkVRa!by77T^05C zz0!(?hx<7VLsziF4;a!ayhzArjkEAVD0LZl)=_ELIwv5sFk`MV%Pnc8X;8s_3T{-u z`{BBj$S$gBh{76B>{x96$k!din(FB}(Gix|A$Gz*u`pn~tS#(4G`CT6?a-C%B^Qr6 zj|RQKc+j-YXs)ez9Qy*J$1(I<nX+|Plf^id<7I-6?>#}bG9-9@dYZSjf;ZB%tm)l- zg>GubvHo)TFL5mLZ~sLknISlyAg~q((`9<!rpmzKpRn7+B+9vGV;PaC(!JKSe)Eb! zZHAP+vaa(4FX{MV+v7)q620BIA7D+AGEZkKdeX0L#bxe2-#&}d?3V9V$F^#yBY1w) zCnsnu4Zx<fKy>GOZdQN759&rg0FGY0#%LB{(OZZiSGG($nzS~d7?IgG#enl2x3}Nx zW5xuBBv!?bxgVUkg9eph{*a#%R%vXkFEL9F>5q_pLT&?BnKeusI@ZRuN1-~xz@h2U z)*Xdqsln1Zk!>Gq@1kl#mRpoS<&elI14O39%X>pK<!fhA9cSNlkoh2BK8!dnu zfGmSX5&K<a`|+c4L#j4tLEt6AYw%DWs4Wh}WxY?id6(y2YVd2-Be_sw(H5aP(021C z4^;b0erG6u2%UO+v7(=~{2Q@yg#DoA#azH3Okf{BB@;tW*_0w<G8HMd#_@zpZCZhv zW5iM|`FgzBoff`J!pRa@JGbZMOyQ|T|I7r5gv#%`0%LT0WF{{g6EN4Ts}%FCJ7{Y~ zO+y+zNl#2IlYFL$l+YM`RNq=}^$7;C98Y-URe%crhn~y`e95bmQ2q2aCAY)&eHUX} zuoWGOi{Q)7SbM%jQw<tN@|S;Ydft*v7_WH|prM8M(mMd;#4(Sy?@yyu&kQv@F|iZ% z@wt&81Q=}1(T?JP*-A+f$Nldt2&#@kEn;1TSo*2C<p*x}*5E42bh+itmT-YT($Lx~ zx@VC!Nd>Y`^%8KhmJOc_nljwjV3#UC92C_hL30(37U~N_XLE;ooE0(f?o|YO;j#DV zB?Nu4;cPrgf^+@Y4K;ro%hRHVFRN1SO3IN4ACfKUWqREUhCa!(9&H}y;gMpAEj?71 z$M%FeEzg_BQLdv+Gb(V;Hh0t0WkKWKkz{Rx=giU3!(}oZo+DFH93X-YWD;G-m`3WI z39?2zQsFRSP_OW1Uw=9+lFbi@L{UV+TKF*+O1_ftBg&?_rU?=u^#@GrayiN$J(<?; zr$D@z9wTjKumOtJkm+Lb((8q~wz^1mw{iE7jlvw$2pLmV&D_#k${>CDSy$5%oBy+i zdeIf!d<>o)verberei)$_$U9nA~cIEV+HmesZ-*yevV`K^;m@me8WLAxtsenl$N61 z{<d~}I++6J;=kpg5#&L>;{=a|c&sa|Zz#J6zD#}~aHB6r&k+}X(u*XlS-D5JsePHP zWDnY6^bn33RDD|nsFS*kp5w17;C$EtE?>#9&=hY=slw5qzFw%=Nq@DLNqY+^({dFu zu-MWq*FKWvfZao)h|u`QR4}q7I@O1JU&fV(*f-0eRX`Y?QYcW`Bfj_ImrGYihsN(U zmh%cV@UBX?@tF_|`zhnR%y|XL^OclhXP}4N2AN{Rp9_ShUE^B8ykw$mI$bZO0?*pr zWV)}*bmeaCIhejPe{N&FlE-#dsl&sf6JGbY$KyEz+!%Hef9{PP>gHB37(~eb_Tug$ zx$ku8B-Th~Ppk`X&d~ByI)f|%oHIo4rlzAs1>@XUlwjXjq~Ip5Oj`M_F<WBucBHMf zXOmi4R;3lmri}5I@Hq~_JRdd&r<xuQMpAO2q^>a?pa?WN)2#iTYr+@EUOD_;+Hv%l zLS;da-=smGoE&*&^R&c&O+1xS1E+w$R=;v9Kkg{*?BWA+^hmr{=SB)yEZFSpbponn z2e)V(%F3_$r5~?q;YU+_%i<1gRy`g#FP^M)LzmJXLl^t_3wj55n*fJ!!bIP-K#T%) zYjEl|j0Dwwb;`xV_3p~W#t5tGL&0=HdWv$Y)aj#~V)y2lD7+Lqw1*W(5POSFG6RrP zc_@(ZdPlZ|0tT*f+S1f6BdsYG&5lx=fW1Vfy}L?RCtt3v&9<@b31DR-uwp!6Rb4Bx z0=4+yyKGk+aK6UyT*D$ojL~PlcIZ8MHcqfLkh~<{Mu|g7hs#vEi!n+^V?3(d3CgWu z_KONp32k@zXXS*57#_G@Sahe&n@*~zbR9pYlm!YsDw4mB3A5W#mIPR><izF4gQNFi zY4m4TiJ}Ry!rDJ4Lm^=kE}>X1!D700*e><aY*lFlHC(mGGTxVqvd)h|k1=4QCp@RF zYa|s=9?)hZy${A`972h0<|*GqK_!vAJrmyZkA>IJWSiiJ&IFJsrDO~*4v@EtXv%QM z$Vy#fQ}Xn~-H6%>z(6%ZF$M)9gUh&kk)Vd;r>EZzI%w57BTPytf>M*ZT=HKtHfm@{ zfZ5&-BTloSMjt(uR<0(pwUGCh$FgxeUJEuS)cmr43!9A8J|$<2vYqE?xgbgp(mBjZ zw>`Tt@7=+sT%*S5{7Q+8yE|UFMD5NFw40D#d3Lk^ieLolYu=}F^A+S30ta1GZ~bz? z>J}lR`58+C#jw`S9#%-s9#2YH?;O(|V3x5iwog%V@xkibJ2o;DNd7KJjdTK`esm^@ zqNj~zC@Xr@vO-t7WQ+!%C_`=Up{F>l5o=YEr*f2=V2DZ*C*hU29oLnYDzn@YFTJEe z$J|;ZaZ@JMbXvxo3#1=^1Af<(O@e*9Xv00)g(I5z3#06RYpLj3!g;DFIL@qV*~k6V zBySwNoyg4K{=CYg`jNXGcu}hK#j;kJ%R#}tAIVC!G`AhrT>_`|mUtc4>i&d#zD4t6 zlrw_+bC*P(vUMp%*E!!%dY9l-6U!npb(VOxUo1`;&aZ7bHd^H`mf-($9R2e7-1nFc zu6wf8Y>uqDPi98F>*?yLTI(U<LJ=(>_pM5*qX-|6SO--xW0iUr1oS`v!L5hY0<8H8 zG(#Xgrg+)e>AZ+MluHowIZRST9k8D6n*JA&CRUM?5#G#gHH75F4IvFznl3$j_!yb~ z!0(j-J{)+Rcng#7C#9Mg{LL2TmbFv^YFSW~i645f>14E~I{-nejM#v5EELcza967; z^3v0^;f2UbK_<y8JV|QijTM2GriOTzZdqC(|06h60mumd2I`&vqKBuosxC<+y#&N_ z0~2**q_5Wz`^c6UhT>R$zK73p&aX@ZD={j}L$hLtIr`iXVMBs|K2()y)kTuX|Le&t z{AnG!on_kb=!U1i@LbmKZp&o*V}FS09rWAYJCa1Jb<cd0q$oc<GTJFFl=RFeh<n%g zl%$Agc4Ya>MP^9Qr`6YzMWb8jmKTTnfy}p+XR-G;p%?LFOh?Xy!)0f0wh$<A$uOMd z(z(pjj$uvRXZ1}T`3}ijKY|jNZ>24JpQ$raQafd(<~dDe<>J;BSP-FRN{INI9QJ^U z4jo&`X<Cs4JS<K}K*?w+p$~@Kd<rN5s5{HF?RpAG=9oQ5V~acD6hV)8vNc*LFho*p zY^M9S#LO7MqleUbNJqB96iM=6pFA}>G8U*{&TtIg@uEUJuqxdZv~0RLmTWrQcT$H5 z#seQIoWxa`7xS~Ks+q;1LRFrB>zT^(-(9<pW{-bAotl4v#9=f>cw^Y}6nbUbpD1>* zNfnHT;d|dxIIw;s=c#fWo4O>QiObv;&7&k30E=<4hW_=plp;5p*cJstrdv?|x<5yX z^oIV0J4!F6=S9Z!n^%FSIS@S7F#d&F$EgCH1T2=YQSr@YJ%66jfI{XO^=_qAfg@Zq zx_0xgf3r{l5EAK}EcmzI?JHvvu5HfPz!Vw?@fwQpCBoD5UQrWiYal>!Ga_vqL`Ysp zq&*MGr*?_rmGr<#wS6=&20-$42}NxUWPYtH6FFUX?tFhUJaWhqVcB^A1ls-wwfmbb zk|wN{5Vpnta1qL5)d(TZh758wWY}L`d)%Pn{xpRQ+pT$j^_mn^m0y=72LpL`qdOWA zVY<(jNTcGS9ry`9kgTQE7GSA=sgW>ZJ2N;h=92`=U{uBp8@V;#TJoB>&|pf0(~s|& zTMm{9d~EzOtOfUi8R*&9ZW{TrYV6l@M|n3dvo;O+d}RNWr^c=i`go!LJhoNSK{#6U zklXp`cooYftMa4Yx1V#@F*$yhH5P}zh2D${Ek1r_VxS03%nkPW>mhe?y-zUcXLp0w z%u9|2MwlR14{OFEW31VpP^*F!{AOgMY3RwFGflw!HFcA){BiWs>FHcCcZ|@J+gI05 z!O_r&Bon!+sbDFmqtFEWG$o|;T*;Z3M)f1Irf2LsO~ld7LqjfW3m@laB&q}x6?NdB z(+=z;$fRuE@8v1{TaK!V-bwutL_1sQRcUn}FmoxTyi#*k=qnLR?ALL?0>Rm}zLJ^Q zoKbBaLQZWJkq7Pcb!m`KSGDQRnD#oO9`-e>&ExXSX?GlOTk`eEd-iABZ8c3)E)TE` zfZXx+&YTkO_hMMo>V=&WaVxy-9q%fYMUS26^VGeIBLDECi-72GpjDii=Iy=<GwqrT zEdT9AYExdyw4wHlO0R#u<9daGNNJc=p}R|#!Fzqfx8G)U`60~;`8;@j&gp`K_ixU$ z8Rb~&gZ0?zRtx|u{207xFAtBEdk{pVU!VL+sng#4r9XtTh|bUL^~R@!n6H(W#O;NN za6rzNTdk#gqT((@IZz6Fj%~9Qma=sd9G?{2z9t8JwkWh)5{psu#6tmol?EK2k(oCp z(=~2pOgQcucvOyC=y@!SdbmJa1wEP3P`y#H-SElC846O~uRLg;IYih8pq6jVUptXu z#48}pwkD|(__$8~y8qa_^Yeo7RigftsBVvM5p^XLWxS*p+h}ipFcjtZX8>&vcx+^q zgw>KAdDwUT(od4LOcW*M^`3PIwWP1D_JVUwq~TlLUaKcju00j=)&A#SoLR`bJx*<9 zfl+F28LuX0C@ZRAc%Zs^v)2}EUbVjNpD?^>s0u!6N}&!dX|B8^DUS`S`*svz{A48- zn<AWFK>&es;i9HR%cjlrnU!E2@U2?TjN9+3_~X6nryG-Sw(CH!hWTCyjzp}lO2|fN z7=Bn>gb>-wQa$F=z(r=npK0ugKFbTHdx`S4edDuCTTc7NeMMHpLZiK*LCc9z7DThn zJ<0Q_lmsL61MvFU2T9hCt)x-D-m&V}6Me6>7aTuD=u(5~|J};OiM}H+<W9<)2<Tk; zHqzor;X-Q2d7Ev~EOeAsiM@v|D)n(JDjRGU8yaPn5>e6&FowH38yV`v8uox#_tJ*Y z_7QOb6qVU9D8zW`8A63N0=lBoC7c;4U^^w5_lLET-q(PyuTP(gn^c({bt61zy0-)A zQj5#J?~t(4v@%O`;e$7wIi4&Se)K&;ZVijVY}7EHfuf&ogd;zM3TbJv_3$p_{<!Ey zc!_myO8_R+o2aj&u|RQZ1}P1z@b>hB5sWJ<9De%9nPtYw@KrIHJe)ZO-Fp?d=W#xT z#fsgPOHreIQo~~CU&Ly5H$)gA57(1o&a_)pRW7l{m?C(jyZHn4rvBI~70Jubt|41< z6n*`8=LUJcIWJQej>r3MbzS;Zp$$i3A8(a)b^2vk;U=zx1iv+F21#+P#KwKbXhXKT zpV!AEWTJLO$|1pT;!T4Tk1eyxg>eb@?e$4jh#Te%x<BbpD^upZgQtoGnX-RW=_e)^ zsu?A}mYjeqn}9mhOpI`MpDOqG+G`!#()^r~Ut3m9LleT*lT*OU;@D_iJa5S<`8?`n z5>h<_K)*Hn9<{LJ2c0peOcu*jWreP<mBJ%AcA73#XX?awsKl3-X+In!uf!ZJ$x7|a zEuzm}s|71QUZ_6rF)Wwo^1f8Sw)ggrZ8R8d7OsH%$Ics!`1H@jsN}DgfX3N!1ID^v zyem6`sjQtt9vH%Wr)~8OX2tKC#x~V#s>Ji-SwFr?CB47B<m5(N-#Tg&7TP66EEJSZ zppFH3(s!=hIZMlk5R58ZkRpU`{y<?a=NzQk6^>x}mzxa?-AgCXI95X~>2f2bEb_Mg z0u!6<(+-a_MJ*B#w)W)rBCRFypQ`^#qRCs+q})EtyS=z@pdpt_t6`(T*vLII%}so@ zXq0VM|3W(ya=H5nS5I9JNz}1rrduo_xEill&>O*|;n#tdzRvHOA9ZqWTuctmAtBP9 z_auywWoqFati@{bFjPWP!~2^!MdY2W=wM!4j?bS*b2=rDhho0J*fe&Kwf80fBwz3T zwV7k^|8r@ox#WVFSh*AayD}!_SDzT8dFZ+4_Jec7=6ZTM9^ev80~6mq_t3~cv|YS9 z1T>9fMn*l*w-o)$(FoQE<P+MOVRWo!DRi{fz`t$nqLNbynQGa!42>tXjf{eofClai zN+t6@g+S7Ea7ph^U3aws!R5asu3uhoJ|8(Ih}wH;Q{(V`)<){-lFgq7g<P(cpiI#u z(Acs3T`Hf)NK9^NnTs&(-U+0d9jI#PvPi{&n6q^;K<6+Ya99M2Ky2B%`XI)c))0G4 zu=6D+$?Hl6Dt;m5ddMP4l*}#Sy&HFDHe|8w?Tas1E{#0qd*t?w@%ym`XPRk7_JAas z(mk$HqTi(-Dp;|rqmQQK!#ii1JHdSVLl&FYx9gsN8qo#bj?7{0&5-fg9pcUQ`rxSu zOUZ`W&k1Q<&?B;lQAs0Wj6m2o!2UUS{dL0-n}y+nnsO53-=IASDjMO-ofo6O$KZFi zj4nUr?}_4~v#d8XmN`1&0nPmHRBeB(g=kOAK9s`dQBwH6e-R?w#QqZY0AM2nUgIw! zNi$18N5+j%?d>5^=H=SBQ<!)y^hpWG0BG1p9@5y!Zur@GAV~?5EN=L{z`lrYW#}{~ z<V9i<Yd&M}^R%(B|4%BMq5VF<;u1>lFX=D$lTu9T5R<~i6$y|pDV7L3G$H5C9_Ejy zO)%gVg`tCmSYqST8^Q18O9IPVAEgDLX^Q?2RrrUpe=dMc(dR*m%MC&to$iC(JJ^Ee zbiD)vzQOVboerKha8q20;iaAgxJ9CCV8IuexWz@#>j`H{aMyoO0u1m)p{qhrDYvf? z^c1uOL5WT<k^UivGU!l`pJ{wt_K*TLW%^U&FQKgZy|9;}DMWC4i3$Mh?7dMagPg<% zK6%XflF;oGu`t&XAK>@GgaD%i-*DqT6@Xumu;BNSjzlD+W`C40Y?}?M?!>_!c;bgA z%R)bqLHM5_d`}4f;wx_4W_||<Liap!1WBnqe#Q<VUx&8GAX;bGhK}j)gY66sLTp1q z#JI#j|FA{6f+geu6-(A58F~SoMTrGHypxvS#_tj$K>i~ZS51sH><_gxE;x?5;6)B{ z%$Oq)btz~8+JALTH8v;I9P{`)^yCMg|KU$$|H=4$|0e@!#(yIJRY95o6QchFb%~Gu z0om~$8~yhgC28C0Cw}KfE9WiC+O6KH4}AfdT>-Fs-Y`yD0&N&2Dk4`*o;+}E+PK=r zVE^A}Yab&BAt7t;!>AAj@9N(2!=S&2**npjiv7l1BZwdrwBo)E4u>aF$E*RliP9Hr zaZuERp2JC_hE<w$h+)C**sh?f4*Itdx4htR;MU-q_R@wb08%ko)03qD7mLL&aS>n# zS?39}*%=x{12JeOog|n;wE7`flKu!pFs~FYhCLG9hm|dmP+edBSK}b3t6qeMovCO} z!0_)9)JbTws%Q@0;Uz*1Gv;Ux?%`=dE&_JIunv*g9?Y-{eAF?3a1D=rn8~<GTPz*J zTJ$fmMxK(7ah}>M3QvI0ORrdtuQA0>5%#!46Y_EP05}%~ihwF?If~p{Dw8}!u!d^h zUv<^I2S~brBy|RQ)k<94GA#gLk*VtxADGe)b1X9VNjo5cLx%zb6@L`A3lq;85dhdr z34}>6&J!~X@>U8sLzoeZfwiWXhvtrR@_68h!$3gU3+!Y3iewV#4d2Xu7D&MmJhKyN z#MZlV6A-ZI1yzM913;}>0>c{X5Me?MBv?bCDilJqGsh$J)@c$$4HQs8g$kG%J0_{I zA0TL*im(s=A~Z9zkLKVRo+FerJB#Ars?)<@{_Z~n>HJh9_7cuRSKdJpnh6;<e}F2S zL~J52(Zlc$km=Jrg=UYlQiPze-w?D<aj{2P+Vo1}#6-3i1!3XA&upD~R(WEZA=;;? zxMiTP&C_D}UXX$aa~x}d-h)Yi5Jklu!9O_QcYmcj0$>jhRQ(<DYt<ILkkIx>)T&?V z#8{ygpHcGkz-kb=L6#1G4cM*CWES|XZ+`h2Z~AK#D9?2ksLXOB(En1E#0cjKcG(fK zv3RpQ7zzb=2F*<0h*+1m@S!)*#oO2{m<5PLlFIIe#mh=*Zy>eO7FPEK%Vd|Te^@#= zI+Bot`d0rxki-6j!e4rYkoPOHF&1wq8V8I{aI^_*6coOhS=2NpZ)mjCe+Z6<2(x|n z$N#8nIK5Q%sF|n#Bv{RE{lRq{_UiQiRZZ*;P)SMZ5SkbV`(hmo=@3v7^tTbN^^0Sr zuuw;-4(mXhgmO-z&mhBHzCwR}7US~9gqralDE<jA;p!zUq_~fYTk-_~purCta-12^ z&pj<>B50MzUd^T2wJ`<DHPsen7h@<p&50(pgGtC;6X8z@i0}tfGDi4AEDvFVhPANR zY0jm4F-KzjDQn1}C9^)kgtmE_J4UIANmd~dN)wqm&d@W#A)qx<8Ar8?!&V~^LJ(CZ z7@2gELaP~TCYa$g67G!%e~tr$=(hhjW;OqD%KqaN3qqu*YyU%5{vD?q!U4C75Bv`V z#r&T<TqM2OBpPwBbT6T6P^bwdJ7hMfo}*<rjo8d4DW9X?<SdGl3kKiJd?t<=a%NaF zjG3}17>tAAH*`{F%@JJu3Nrx80te9qG@c?wz%SZ3u|;gTJi~y2&*a!;8e9I$-{DSf zOxV8$yNnwLbaH}qC|Xgq`katpNeXbpF5CEm;Q??To<i#Y0a7}G2%*;##sROKIut$s zkqSSA*|nw*AL3S$lknvgv}hD~s2A|66+rwVv?z}U;H6NAH9qxs_-0JF3zVjqPFRE3 z3Sh$sc%(+-FuvJMn{wodlS2{#N1g-*%$7YnpCvehrYz7Fsvt7~Ahr<S%g1L%R&OK2 zh-{Y;w__~+jBYG`f^3ZU9N(8gSIDm;IXluv{NpS7(#)7^UFbqzA2BDQ736tUY*Zy> z4rT2hE@3F6sj@+c2$Eh|;r=_=M`drH;k#qZ!7FpL%zgJi<*z%CXOCCu)0a9Vl1wmN zHb3O4Rnkx<WgZ?T%%+40IQ|cTm)1ndRf&`ta@2G>bbJ%Dc_AFc$hvx)l<>lTSxoqU zXBkKemJSQ8WAE=pdZC((tY?*A4gSK>5)wqkY?lFooLfsQt&h7Hw^w2W)!(6ugF><L zghLX_I7=F7Ys(A_AlKZi_@<b@%6tTC9cqv2k2=GHnUN@YJ91sN>XrU&eIw{rt&Cz} zjJr(IM)hzuFQz~|TLM<lEevW*<~;mocx=O(&viH?g|7K@U$s8Dp=0bTs-*(hlGIeD z*~_+$6ooaN1G_&UEqo|y-#gb9%f6Sv5bUZSk)QhFDGeTTmvi=yMqG9)&%}U=Lp9!e zaH7+2-4d1Mq?lf_`IURu)h9I~2Iar!Zz8Un#}{Tpm={|=b<-7I@+ATO_8JjN4uKFq zE`+`M_In~rf*KIwVnSME_-PLZZobenG9KL1*n!V;9W0R0qV*h%A0<1Ya5P&b|1?Sc z$ZWZrcme+I?}HB`f`TN1vii8!Ax4oq<o}Z@P{j+!3PI#)gJ9H(VXHBCD{&(@GeD(# z_xq)Qubvd8oJgT*T<?pxoJenBTuT})s;>`<z?)c6XCQTaOEN94uMdf#F1xy6->lr) zIChLkH(D(-1w-vtSdoJTvOGCt0v<mpN!wX-t_9o>Tpa7Pb2s0E4W)kt$~uhxRli)O z+4cOcOWRGwZ=cz7tnrQPGT-y7pNEIx1G>sjj2?B}R}|rIWG+Zk<2L(s`=5P3MfQE; zfO|gony~u}Rxlu_fENAbbdJcM+FZDlN*JEYu@%p__;znIae9{9g7br)(ETHZ(!F${ zf1w`uvwZ|P;`PkodCIbNL(5Kzi{LXZ?1orzH^g)D74hH)rMTgW7pvy^)3nsq<*`j- zkBakojao=pliyK8P)*ksJ;&#{IoUW#<EyPpJow?fD8m9PqOZR!ccn1Do^cr`5nt5~ z%m)vUZ2e@kYWAw8HSo3*6THwQUYx&CV3*hhkILW&N;4xPs`T6LWcBlM1h3su7j+Ik z*~%IpO@y{WJbqX@qgY@wmrXV|I+Z3j0=t?wE8<ZB%3mhq<vwkmR3q@ehr#CX)r81A z8m51*1*JCv)?t}xbHH|s)3$uw23Ci%?Clbs4|%MY)oKF5o1)js5BkZ^l+4A!{L$m! zFI2*|zaRArJ!H7mgfZL*Yzwm3UKt(jk}j*i_?;aJ*4`DX+4x=EXHEen<<fonY$#M~ zNpaM^!DQ4>$U~hNpnl)~iZ<W>NlzG>XudyE9}k*--e3dg1*p`ca>clr(A%GBi}mAI znSp<2Xm7)1@WYzgJ!}WKLpOXJnO!0TY{-NWBK)b0lnkU(=O5p_*bQ1iyHG81I!sLt zUH*Nsa58dfE}mJSmcDr(iN!x>(M+p!Mr_yMhhR_8liTO7FTgew^ocD1;gi!!Yj1Yy zy;t%<>y4nAGb4$eo}k@_#1DZAXv9Z#O|<*QH$QSN%~;UCRNICW^$yh5(U)@*gAdW> z#&Y7+02M|hLcTUx%XWMVx%w|7$lQKa)A{l032^W4Ch!|`?;tev$q4H}F?+X1iyp(; zUO*^jv^giU-VG#J^A-B`S@t<!IC>u8i>$2STUre2>6DgP4?@+n^(A5uNYf;{cK}qz zEQ&}yVOhLL^@NsEQua%}8>?RhtihlPSCFhZc!CWxWb#dRl0Vc#nW=o6wdVt6^cUrZ zeE$LyW;Y=zi=TTvG|!HCs+WX_)=_T=#PBg#d=w|ziCAV9l=1e?Y5j5hIsq_UJYD#A zR&hI~xLK*Qyo|lJOft}GTrxJA_p!#Gt)pCj#2!TL{e-0%Uk1YSHezQ=ft!jchuAB_ z_@7B}R-~mkTc~fisj)%C#Nica0dDQ!NBE5)qYwFcB!ou@5s3&9I7U3G)?+@%^EmX1 zMWeF1aU?`><?840jde;Obcv0>_vcL>Wtz>y$~KBICb)E^=BRYFCb%U$t(}fMX|Gx8 zdAfsx*s)WO&BK<&EkpOsVEgFoa95)>V|%@5ZS=QE0H=B|)ZKR^=#wT&m=$emIA#Uo zpGjzb+l+~3lIh82lF1B12zFXA5TUy1LV~cs0{6mJIP{UCnkL|uGZ3C<zz%zuxK@<V zZtI;P>m6GGsy8Zq<?1l_jSE22J@CDW+U<q{mt+a9I6ZD>OjsQJ&6ztuf&D|Nq_b=1 zhi&T=gNPH&-B}Ygp>J!!R^zx-+>1q+`A=$6aHYez1uT_Y!E#t{tz!1+(7<weA=E;7 zp(r5ESNdqWE9NJ-(?oC~!g6UC;=aaU(1}Jb?5W0J<KDbX?#?ImdcJXFefn*;LBHM< z^i4b6d8{9t-hwgUJ#5#ec;(j*q(o5iQb|^{SZb7UVuM3s*t01h16=wgzM=s!4fYe{ z@OM)sIaD}(iE_C*x;<c%CbKmX595g8O_PU?N$s!ge2N|Mb%ntogg}497+9>I2`a|8 z1`yXfLM^`nj8?QK&v9L5J+$sIUQW%N*ohscj1D9FR!%X%2Lm19%f5PwT_ZWEW|<VL zmY-UX9@@9|yVk8a>QW!-32I}5s&|21b7f=*x1u5#h-~&&>G+uv9A{p|?s|4DROy6k zjpXYa#!T3WEPNZ!E7?;&YF`W9jgxPBR)4%+msZEVZQIZ2&v?5`uT?vpr`4!@Tv(K3 z&8hy@o*RPzZdJMY%XjvduXf;Xeq?DW8&1I>#%;KZ%%K25CB#qAg}qZH7G#cI=Uthd zVv~^sF}gw@*`t%CgJ@P}K)x<=s1<V^3)<Wyni&`9x_r9d`RST`4SWLe%wwPb_Rw<_ z^mDK8@JPJw@&kQk^N&?slWhy&gi{UQpZV-kl^gF1aLR^&4DDyX%KT?0dlF^Zl^|Gq zceM4e>7Wn6r#eDLrOZO<f}+l`qA0Og32UdBvD<~!7_yn!CX(`HyXem}UL(7IibSoT zvu@I~<v(DFu6@-eL8J4G^cFQjvn;|Xp*j?H`lH4ki{$9vJL+`qJcdJ%vYC;~rJc+< z5W?q%3=YxOSL!bkFk6%t3?p?CY|l(U(orh>>=7mQ8(7^PEYq)J%d1mj&8w~}zI;YZ z7t%{>C%YjX2V;Xq{ThKir`Os?_qyLIAxV{N1aWJmL(S6F_s5={oH?a-$c^sCMZsx- z&Bw3vRp+ZDM=5i$c#r30Ea_)2vs*Ws3kyMgKd^!y!RcoCkK5rNg;z;P_(|0YPOL~5 zYsm<Ywo*92tH^2$g3Sp(24x)4sym*O!2YWfTV@_VVwTX@!T`QA@5JN5OwpK7d$;b} zl#asLTiRK=JEGdUTT82Tj!$de((MVgT&bI+My9M?!jzBZ)U;2J$KD$lYF^6lQ6oHn zSunYzm)EbaZR36n!i4%jv%XO$o-x_W4`Xn!pVXwTUmkXhuAkJ&a_#oS6QQxJIndNM zH&u}hYy`_Rj+ZFFK9Y;f?`_9|_q#HjSCzY)dIMF;cT@74>&)#ueL3%s!-czif?Uma zlurW>uSO)<4zxiPw2b`Em-T$Ky@^9YCE!;Mp(m@;Hov`|+(N`xMTE%NxSR!9qla1Y zmHF9AkELvg=g^0@{0DxL|2qz*uE3!rK26CInxq6VXj*&b-2>!XsST#ALk!J&G#HbQ zz@Y2z@0CsY>ZwmI<ypIi?ZEx{sq+WK*dmEhZ>f_`AFo_-OzjfPg;O_h27~4D<R9{f zHE(MWu4jwq(+dJKj~cse0o0rnLkHdUniSs4i>(Fs&q|gH6q_nPe6y)iQT&Y~o2wPF z>FbmEgp``m0=0PQII4+NJY8mX={Zr7ipd1EblNyhGK(6q^lkEHb?w5mKsAmKJcbg? z*D?6N?;=A<(H}Gni${{c=)m8(n^lC>BkV(j!j_<KHaq|Z$Y4G<!(e>r9zfv!yO#8# z?Vr}*IMMprsNAD^dD<9=^G!j1ehNmlivAT(-8Sv|&W?eLnD~m>Wx1UY*2N4hhT=0| zezF>?_E=tA=X0KNvJ7CYy-Nt38LCd*BT3%zz2j^8xOYf4nIjgs%7AVg{)Za9>{#BG zRe<fE(ZA$|y*>ik<LT@CdT;XJaOuR{tMLOO2@{ZlCQljiR42rw73fR)>%*2=&!%7q zvY~R;a4;*qvPod7UMa`<S}<#CNkx-z)&Jt^ox>}Ox%T1Owr#gl+qP}DQ(LFDr?zd| zwrx!{?bMjI^E>lA@AX~p`~8tCS$FQN?45IxoxNAGGJJW07=tdDC}sUBtr_9o@;x51 z6N<=e(9(hd$xe4yM2IuuS-S&(^c7oOnlB)sV!sm0Cb&j%j^4JvowWHndsw~SK4;~r z%{RT<1?Y2tj;nvWi(?ZXJZ{)HU=xc+{PkSAao{2rpIiIgdh-rxKJO(4H0H-S15%n8 zu_3fz52Iuwx5nJrZ(bm1%@r-u$P=@8q%QM;o5cOi2aCFijh)>njT$g^q~7+?jaM^Y z@Y*|W5s2;ux7a0Ny;T-@j?bcO^}!aKx&!<P*#h~L#RkOQB+-z~Dj<laz9oCL{WAG5 z{k$O=%#-|_FQvqDn#@dY^Ahc`nV}KGiZ>A^b4>~q$C_&sCbP25gcm1ln#E+rf?lkD z)WqGf0Ilu{8M}Orb_eu#Qca6wACcxW=+-pqbZnUZNwSRt-V;_ejQtZRb7L!qnm8|* zY1wKXN-<?iK*WAe`;Tz*bxIq{!(@m89le2|^<FZvj^7HTqVy`LsQT|^D7AW_Sp5Nm z3<9+bV^X_0rSQhf&Q@@|m9MR(<WMn`x$2KwOU|PSINdnBO@IY=zh&Hn;Mg4;Wb6)D zeszpduZ{+VgUvQ*MBOTwj_1l(9P4f^yDK;P+}%cNTK<OqC@<wdG2=5jZP3qLsK}R& z6VnNd^%%|Fa!%iv#!Vv!yA@c#F4x3nyx)K`h(U6Qit;cR<Meox1xDfA4APAq#Lgyo zd|IM+vMHpSG=P-)yhvP7pu<7I`a_>gb@PRmuT!6LfasQtMpl8xYm@3eQU~8p#o7c` z_FbNcBsN5hg!XvD0O;|%2T3q4YCO2u@lDx%eQ>}9t={Nssiw{K4-YgsVl3bqP?rGd zRuu01{p~r>Hb^J<pbJ5i1R{zOy-WRxzLiXeL={<ceZaIsV+V1&1etrRc!z<yAIJ{n zrM0tHYfnBOFCE6z>W<*2=cX;pd<3cL@(*0QC(D@Ev51lReOCaaP`xbVT|V3{d$TO& z$tm5$UuIPik-Ffazhsygr*t%ZYM4$|coE6<vI7LW4;UXhb%8K%J8po>lkeAG_qIE+ zUD8CKaDXKV)@~+`3q__<;eIvT>rl+!P%K;yNfPL<gaJMZD73{0uf!#1q|tZC)SpU! z9{`K$FF&lU7L?eh6;%uAjLGec(y#44Bh8qD&E6~|r{?oR_KE;}6k)5eQm3-&AW5B+ zNeRb;z{OXTB$6wh*!KPgJM&Nve`=4YU)V21cmQ=aXX(P<euh)=q0HCv{-7YV`6jcJ z#P)-N(e2`8h^dHz;)p`lkRmU6wT3NA=NDaZ=4u057FJs7q8lzHT5^2L1)D4dkSrs! z%L|j77#@Sunc5*EnLQ<K*-{y6{x6}sp)R^);w4SfwOBHIkf_|UKwf%MG~=RUF$o8V z6X>y~O76aqx#^&mL8fz)Pu@d0&Y%z|SZ1A!C1oJbWDU2V_y*M&TOxrWCr$MboP8jp z>W0oI6YU^MEwiX_LP6UFn?oVN<$T=m^M|q<ItP-kAgn}8Mq!FRLau&%j(JE#>>xc* zj}K5!czvw-*lTLi49);sGA_BcB!JBfW?I@Z)&zGlR)m4v26YrI`9BJ{8vjQh&ws`L zzk1S?GsClZW{Sgt{cJfGk+z9cl^Lu~w&+bVElF0rlPMa@7=7GP`y~3}3`Q3VjAn_t zSkr%`mdG7;3D4Zz(1IV4&g-iH85rIuY)`^}vbFR2>^~9HGdCx+K%!*F!xvd8A<cTP zgU=V|pu5H1-?r_>ja$d-x=Mp$Z{xwyx>eMSE98h3u!vnSh^geU@nws_?s0A@n7VBu zB*#7~&L*xbPa(E4BF;c2K_Uuvvk1x@8w<$=`o0WwS(Cn}TLcZzVj@lQMz#FBDe7*` z-4yZTwa{{7`=cvN-5dqZi^Z9?NNYi3$jysuixsaJt{PVSqfqql+?+-p2wkEwF%i6( zOseRo=-(aFFv-Rr6`j*CMgQNZ?r13KF9zYL#G;BmhQZ`14HF!YaPU8o;Qz#QO!}L< zKmx(2#NfZ^g8G(*=|295?EgeX*Hec72TPYf10?{^@VN{$(IdN^1fO0Do|`HrqD|g# zF`YrsqQd9HCSK$oS?dRZay>)|;`+)o%~H=@pP~DkPgmN8^S@wuY!p5(ITC&om(J1- zmzF`FMq#xv#pP#Gm>IfF8R@ync|97cBoxhJnnhi5vq?=XlRFM&&)u?S>$qZqa`=w1 z;ARUb4lGl{hXkTD1(~ao@q5+f))uW%#qAfgx;ozz?+Cl1)2cD3NG}%9>d-PmOT@Z6 zWQ}B!<D1e$OXME7rwq`NnP$!MJ1zaIpKu_)TdKIv|4MUywdS1WhM`hfFb*0=aQ~H0 zzm)6#$KPYF6<<_`%G_HNi!&W<ij8s_eG0(xZ3ume<>M!;1<v1xP&Rpx-=S>g0(r{e z`s3(RiHEN!&S!~rq7>673{n#sp}-voT6c$Z{Q43R)dx<P_;sS>)7!>-#e|E_m^eCy zN)Ms>oo;E^EBvHdin*tl97+?JdVIgHwyAZYcz;XfCxS;@yZgRmhJ)~vLi66k@+<(7 zlqQ1Wn|cMLm7NQ6?QfCM)CtZl#3gZ%*ia~sN&YIcAvGZb6EDC-PI$8O0Y^$KczdC_ z{_AYZ%Rwli>^P`ULbFX7E@gZ?cqa}aw9BVJZuf5y*|8uG8eHh9LUV$1OU@2_#oSP9 z;mM$IFj=G&3}u&s^0(i!GWB!k0Pe)t#l}SXk#>J8f}`zTcB~SwyK%kE)kAa_7CtPL zCgqxx#E{L#K;`E`Rbd+6LNt^q!zzf-CTx1p!s}C6AjF9PW1)m263Oi<twnld>@h=q zLMN&Z8Cm`6gh^CaG_2v&5sj!-cxddw@Y`C-O&>D+pT0!;bPr$!02G(g6(q}XFwwak z;LBcj79l(gkCU`kvl%F`g<Lp*m*7%BPTg#l5)J-~JCm>&*gT`N*Er<Bw&}<uG&3hS zA>wK_i;V@ZcmBpV2J}*d4-^Fnp+C5@2p=xE6Q>uiH)66kStWrDvNIFU%7)~2Z12HP zaw04?XE`nkkVSetvG$lLKNfC^Z8l@ZwHp7ZZe?h6{KYBpI*|sAeE05q_p)#C?31sU zHf+MpoB2s!@ME(dj2G*RviQfT6HdJ1*`28{wQD2R!lZsJq#Ikh9cb>%6L4!({0GbQ zAqxSa)(3ZV3`4{)#f3Q!L3lB+w>J?W4KF%lVCx_OA`Z_xqT!{!{-Y|sxMSkWhpyls zMac`w4cMcfFGd6Z!GfJQ3(lC>b=Nn*ym{sS=B3vP=3d|Gg7X49_Mhyv{}8|OIQ~-} zSjE4ArK!IL@f=wHwWGE_Z~V$N4IKewSaF6E23j52P*OaA5Hhsd%SmiZh5f%5Hw8}E zX$-tmFkU7@V;*n7>B&>->2@^;&+;J)Xxy8r#}&ywE8AvoT+-x?eXP8Pk(qguFFyH? z-F=+QYohUqjX(NrGFH<(R*IpW?Z183Ytmq0E%N@v!B}v(h|^SOl8a)6r=d;p|HVh0 z(iId3gf>ytMk=qhV4_ZCb&!ebsA*x4)e*2gusexQ;7S2|-h;f8G|huLP}GPt&qIiZ z>4Zy~Ssp|swIV&5N?@CZkqXrhlRo1)j7+RXndGM@Ky|?+%lsKeF8Z(V46vL|B=@)e ze|dcWlNTW-nn@k`9|GV3!}-6ODgH<E2<m@o75^WY4hgn%skIu!8I!nfXjsFI8y-n3 z+5;1RPIMTRP%9|OdyAXwWCqjV&GanOOxBuZ-mQmHYCH^Cg_p@Ma>@BXY`8B*U9y7v zH<9RQ&=m?D(#O~!J#>CFc)s)RrNMH*(SQ*VREQLImWdm|dHAAp0ioo$s1Rwu^Ez8- z<PT3|QbOn{_xoqk60<`6BqV?2Ls6mFc<@gaUZlt2bM15Lk#^rfHe!{0DYH$2AAi`I zc~jC0NOk4>6#YK9aZ%u!2TS;f>^XWrtFzjT`0kl{fGgknmfwGWG9RwrcJ>PbQ1m`> zkf=lYVrv)tJ_}c|QrXdkX~9M$E&iTwRjcR|rvKzO1G`_Kx9*f<H=WH*U%u0t-e%RN zzxV2O-tT@Q%0GMAW877dVtH_p$BlR%I&B@ogrF)vcJ^F)Df__}>>6>zihRQAcJI-A zMCcV^^nCR+Rr#~JT*ym*$JZhOz^?yDHNry7T`><^aeLY?O5=~SBHI%i?tyuRv9lsv z5*g=(EATfS0#8ttQ9R-42ps2ymiBwCWRO%Y;G-i;F1tm}Ml_o^u8eulocHc5q4+Qu z_7KwzA<E=-e+}JU{=pVF?}RALVYJaRmK<MxBU5ik6Crh5)l|hxb3~W|n0VE7hjWN{ zrr=5+p-Tjp&jivIz`&kbkAhGjg@mIEd+j~$q78fmh)yksmK-Qum#nD6@zQ}vys1a? z(pk`?CWph3>{3Slp4_ue+rVrsn-uXj_74+9fx!%UiJ}lEJ;>1KEc1C#-&BhA$K93% z*H%t)YZrYSz1Q8s(-|xSETrlxiVXcxi?;n4$6uDk!&-4jNU|TCDvU)JF_Gc`F-2&E zeWi`QjMGtqg^CLIGrQpjY_&^;HW`Wj#Tk;WZZRkZl}Da^Fj+^mN(@dziF_O$L+rv{ zLOL<~ES@}B^x~S8OjwY3zna>jg?*A^L5EQIx23|^sQdEfK21$h0AU=|556H)$9Hxe z1j~|%#Z(@BdgZx1NpeQ9;QPbFOEt-N6bUdFqIlX{pWYl#sV_5GQ?p03Z0RCo!5`4T z?+*KN;hhwu?}tx;DX;}3ZcV&6;<#n3p=?<EQsmsJ8PzFBqt4+;W9MfF!TQ*-Mf$dM zT_Y&02$;$UGMw}$0B_d>{#ATsr+N%Z1E=!9VoTakX!kajfRG2Hm7eI{_**E+bW}$8 zS{?4b#3l&z8$2D55QM<)pvv<q_ar#=`i??TD7v!6H1joZP9zl8!%dCr&;muu5&WLp zBNCN8FFOxOr<-M6w46U~Ot~kxlw}fMfmr#IP)_`~8q817fCj#Uo<o}!9Km=fB2q%7 z<SaS^QZ5n#%~gWL-AUtx7p!HFTSvwp(Wu`p3VL$NPgNtb+NBsbim{$5f^`F@a@@zi zo+{4@;}YQypnh(Hu$_$;NJPNavUfr$%ZHV3+IWE>gS+D*3nHvo>{HCsu!4H4Df?I5 zEMrEA27~|H0Z@=A61C6={iutK#DqEyag%`f*1O4X%)EjX6o@yV9C62Re8MivbG5w_ zo>PKk70Fq0MgcP5$`;s11^*K@zO7BrkNK1DWnG0|>i1;qsAeqzu2yBB(Z=}@pZ=vw zi^dTM$r9>N%6t#Kpu6Lgso)|#L_Cbil+_?+ewU{+D<J=X%bYb|V$5n02(<gN=JSI@ zD)Hiagyz1fK2+)npgl~MTY}_c;`#HS`D4mIYG^-d>`3I-EtkiUmUb1Wrbek_<5V(b zsAEf3j*zD5G8m`^sV#=__ZY1}Qj{36N5p9VuC6?PKVQgXZky7!>NB2v9?GyEMU$GX zSPL`;tlA;MX!hcRU#cT>70e-;f*1Kt!>_>`;P{eufVNgaOBHB78FMYrBH9Q`NCgsD z(C(0Ml61v(81FuWHTs6*D_8q8{Nv#(m%nS<oM)W{ufk!E>4Mg=*LE0}4vt8qOJawz zV76b&>*ExkZ&hr<#1vbCt4Xor*CbkV#sX3yObq~`!xd(fcR!O9>7R^S1)@~Rbd#c~ z_>V-fN$j$knEkS9RParoMQ5DCHL<cwgNuG-gu>7>jO<s+kEx20|1i1Kpho8%myT@c z)BMPtGQalcV8cBNb!RI?j|zpk3iuBZNd`!4(ghNKzRJZ3@Men{eOsoP1^l2<_?mgk z;Sq(5X5fW%Ih(*R5){>9i?j_>w2t7#Tn&0gRq??aFM1rq!CL1IF2%mQs97rRjk=kI zyXSw4yu$;V;}83=dqldp5>VeN^RwKjlV4oa$&;1t4U)CK2Z;+EptxzykP&s8D@R)* zP@-f%bpv84|Ha$@YOpqA<!D)KJ(8lv!7n;96r_T|<w`M5!8rkvqbxx$AsK!wz^_3F zqP-w7<|WsbQRc+^qA~#tY9b1Q49_yp!IrSr#QT!USWD{4YVxi?8>zy7Y@|6UT54`C zK#}dA$O~iDlQnjzuZN|V59voyPwPoJDxys_l?O0k3rEPeK-mO$l|-!?!$6fTC{3*B zum+#5Xow~CHJ&3GGB=2hY&EuaESSE7@@J-z`B^v^><vGuHfL*@kf>ZBLHGnaNiMo` zjVUSw&KNCG8dB}#><ndpST4?M<OB^BZDmBEl!VTL26sphdxC0>Ckdk`E>JJVficCa zE(U<C5`#?hK-17sAe_8tkSF(s$P!MjI}Xwj3$bkqhgvm9M6~6!E09CsA=DI>Aqpz% z!+?h-U0W!~swouV0J5@X@y-Rc6(dq3lcfq|_(rK{BRSYBsO+qj6@V<uW~c<p=CN`B zB?!#!=A$!<UXMdAm+iP=vFg+1AFEVAZNkH7tb@p>FmCZrWS^P>e<qaL>-T&a=RZMq zj3U>UEdi&wYnPbyx_q_DCfFf-L+*v@dz*VmjlfMV^$ER$)-4=>TxKY<dZ7&DTPnjB z8`dWx-;rQdqKO27=a7URFMk4iLaobG$zLoafA74xy*AlM)kwni>su7<W;H1QsAfLT z{|>K^5M}#j?|(gKxvLdmPlSN`HS43{<75Qj#(%_mJi2-CxYFY*yIO|jcuI_M|8x-| zM0ChM`|G&z*U>QKuNb)TI~yt8hj2a(=HHnnhl1;0qItWzg~G8yZ>Dd2P(gn5jMrRM z4wM@^UDuZ4_=wblkBN??yj-Aw|C&F@cW)|oU13vJb$3mm;Md5RyLtZBGS3E0=6*~& zaHtFQUn6c@;&rL$-BaxvJ<G4!2qMAJboTbW;Ru?-({k|)U&@k9So^qA63JNdSO;M` z<fqOLP>`_P>+uov%b0K0zVWzf(5ht^>B#(l28*wOBnvLUB{4sCyJ|IaVG>K)h`U6C z<vI&31QRT8AO=-Qt3!xC)3jq)xHw%uLn&*cq^?iMVy3^G19k4?`DT@zgF3&r<ptt< zuUJRE|70AcBBG9q+=s_9B^OnmYv;+?$oE(|2PTyy=3d4^jDBNy&rj8yJ$Dd0|G2IK zr=Bi6IobpOw6@Rmy_sqHUQGuiU~=}({p>#bSZ=1Yof;zFzJ!8Us1Z05$vH1V#!0Zl zC>kpK&hl03K66`c<nq7l4I$6FG4_4x<3I7dl`qvXGa%~N8TDY8j8ggrd9mi$gYFz_ zO%7}NDBGzv)bzu14Tbv#H#ONRbc=!k#mL0IYGC|2GiFo7!(7FBk0F19<NMxH`X*x1 zHcvm-12IsZ@K|Mx|GTU<1?s|;NU-v;Cb_*sZw@p0o~mW0AAEequVUX<u0njOc>cml z5em@~O`cqMO92GIWq}4N9dH*-u$Ly|sH0Ueaag+<nn!hcsgR`0B4AMv3eY7JR#EVb zc%3)-V0fBC!GucWpykZaIqxMUqe$sI)yG5&0@>6a6CftQiA{iji%vgL-WX9v!y49z zwVKSTyiFY5Zj6)$$H1%;;`^m@p|}E(!dkO;-9j}NY2AS?5>#_%?cs;ZK@Hx1gj~pH zb|!p^Am1k4zs$liI7s%Y12~HM1S5&9w8&Y>7j!gQ{<;;gG*X10=*GaML3pk32Spj% zT#BDo0wLuVc}=swSu^^>#+qwWFIkF0v{6IFD=ZWGLTB3tDU9=j04<sPSf&z;B%XZ6 zru-w@09}lfAUwY`hh}crWTUYGdqtmfZ3tKH^HOm*8xMA(A{r#|3a~QMp0$oa6FY1{ zJj*CL)S-LRxC6YPQj5IF*<q7covF=Deu8j9_3%I<|JmWfjX@ka{ii}p>Cn)|mX#l6 z-rN{s(jE&x<?V2_n~zI{pt_sRCl{1ylex7`Hm;~2Y?hqSk5DmD+7`ME3nQe)6(Y-3 zgf~PT|Ayva5^|9e0r){p;CqqLeAwM~LcPWyLKz>zg@bBO^B`sq0!3?fgXRl0FOo)- zN&hL-PRUMSjn5vS&Zi`r!{-W85|rb2;dB7Y_e=Atu-kzUd}Fx#z1jimcds*Dtm!XZ zKymvLySgAr#&n8BT<1c!i8+o|@XB}6f<S2Y+K{g1Op*uqHCfF&_WMFiaWu$l>d66j zWVoD^2eZWMXsiB-JAng3<pk*#+y-tFV}wi#0~)WD?3-71IW5U(;ZI10zauM&2Ah=y zmZbg+J;pPfDFPJ&=2AXG8)8;6Q$&w7OXgx;2``Nd8^t<txfDUh$hU)nSZM-2Xp^#v zuyGqDj7JdwLeQ)*uf7LWtie6G?WRa3;@NNsE^1dWoskF}izFUa3)Jh;7RDHwhedmB zH&-O;L<zTw$-VTtpLf}XIc(<eF?18$%Ea*BH^H4MrV|<YMMa=!i_FCkIgap%Cuv3C zX}~ZmC=P_(?^ty2F?f>GlwqKklch55S|D~Eq-!YvC*r9LD-;PVW_GY$yT5g1CgLh^ z<GtCPB9-!FMIFRTd%I3hEoT@-+VMT;VKc?uorO)f`1f!BHow%(e&3zw`u*}ium06= z>^CXLWGMb?tF;=#04=xCLNEE-k6%+drSRWf$&%n?B=}q}KVCdRwYflcg}FdfDS8Fa zv-QQf0Pi+#TzJ|Y1-_*X0{riJly3<i)Bd7|ABs%Qj|39h>2Tbx4f+sU_7iZt6J<iz zvG2Qzd=CzSmC<zQ+bv>qwu>sN=uz4Ts!T$?ja)^1&J+v#>6s0$-9y^qZ1mOGFO9IJ znnIroAL<mHdUZCP*Os}_icTkJg;?hYF$!Bh0k{j=moCm(C#YGz3_gaeExINOPtZR( zmyF`$vkXckn0<s$w`Hq$i|*Ig=H}}?e|$I#dS@_D1LCpw?XrdO^J*=A<&RF~FvsI5 zChX^TR0ndncm#N?ZYaETXEWKN9t-7B0SvK^u{xz2Dtd9+X2y}5k93Ajrfw1c{P|R@ z2Q1erU1I|L-ZLVH9|<qL9`360g$ajm`|^<92{}s`@QK`{K2edEklLMYjolJ$Y;Y^$ zyNs&SzxLgy;U!~;YWDz6>x^A&cghgo)J-nz3zm5VB}9z+_<E-ROmuvJZDmGFiI_bh zM&f$v2M6TRQ4Gm8Vg}R-_cANcD-FGV!2U(?!l&)9&2r_hM&<dV!t8y)*`HI0F=*3` z8OqA<^za|X7&P}Z(PX~BQPltC={Lk`s8I}fipP_#y4Esx%Lb(lYZ8xT?lKN@fQ$qj zb()Mok#y#6^$L;3$;F*Y)))9KlGYjk0r_1bI8i#uRClUUnuF&_fqn^RP4PYtAVxX~ zW}wd8J#<sldOTbmB|doh*z!#j^er_>@YH%9;Y%+m2eS(`{_&#yuQJfLvcMCyewjeK zRor)WZ(4KP7sRM3TqIs^Fe-!4N#9&4R-~0F9=BgSv?nmDF>1LqsdCu}0tHj%zX#l8 zgl<Q=w?D-qiNHL20e#LgrhA#@fOuyU6{okLwelz)=R9M#naV`rQ{`sousk(VB<m7& zVZ+^$p$vNR#M7SJnwjZ|oaAElfrWJGNKg&4Olhh*J*rv0yMsZRIL%vIRJ>ynGCrN4 z3xs-+Mlg{H6YD38l3_Lk>hktRnaHSXd!i6niBU?LBDjKdk`7J;=<>EafB~!+@9`+8 z9Cv;l!<TyJ3IPvO%mw~Pps5e}=7#(bi5d7u=2BOgQgJF@F)j*@U#*o7G_}_x7Db!K z=ag%y-af(uWxM}5C-+^NE#>w>O?=cML;dtBsEA&g_4Z->o<7PijmS95Q3fV$!;dd8 zVv5eDZ`iryLUt`zMwTYlfOTOF@8G)(GsUvJP-oovjWS6j9gtkEEoCzX>KW8Okl%(> z%|aw5oFrnfhOaKwxV^IvZ6S);4<j8vePAhjVuQ_!SO9<V5O<7cN#?DQo9!79)NGdT z0L+L=SO!6N8O2l~>X+kQXBx4rdnY(>Rr;~e2L*n;lY3+07gxUA0GdX@kZ3d~IT%V; z9)nKFH~}dersc%H6a78(KOu6;CBELi-lxfo7(Qao8G-|!+y*XF3c_T24+jTDJo|e^ zi{47AnxIG<<xFARsk8|sDj??wY*y=>6x11cWOV_y3>Lfek=Lxv#nFt0#!K782;n+P zdb7Kl(tHrqUfw3v0L=KNtE|uRDY1MJYI$2+9b65luNgG&o3V48N;DmJ6pLl3Au`}G zSHc^3r79*-aDLLSpKXhb_X4XhulK}n>Fx0Sneq?Y7cMuq-Py^Yxox%kuV3BL`mvbt zv(z=3pM8@WtMH5hb1O?`jrox@19X2j;lO1tgVayjcAuTf0s3Ey-;ukz`oFK`?)xps z+@w)%Oq&%7k(V0iC}`gI6lKYWEF$Oksr`{0RWv!qv44ueX$%=VPWC9aFF&RIO`|MH zeygXdX-TxKKj(qQcUJ>GJ)Neiceh4G($+nx@k<g{G8&Y~z+jnq{(;Zg@(cTI{mYae zn>hMQ|La@Y3xF`v8=Hqi9qUU3<ExPFBgC*{GeI7_5qGLyh&v)C4qF{bxn8GkCa*)f z6JO|jy6;ak@;l4&?^<qG;aY60b!8j+sAjK<&)YsEm5Q%0?>{uZ;8s#}Y^S7cWt+2H zg)Bjjb)Qz6A6LjPDuF{#<C^PVw@_xt=V~~vam<RTEkLZ;jw)NEmIgC=6)$7T>L#9h zs!WvMrkN!<bH5=m_|8=V)G+uEn=?iOfq7=2QGmhcB}h!mGFZ+1>@EaDd{6^OH8_a} zdIKJau30}JguN7lYKQLIHaNlM*PwA?nCPn6Y8iADO@&X&)*}igq(*{LHc?Jd!DW)o z@-P}|6`&Pvx_MVfCD_}?CnNV6=^H1DOR_cS^v*!|-9Y$g!BfF9?brT?4iq5eK1 zlS=icmuf7$B4Ff2rW~^M7Am!3G`zfA3{>s|HUCFQc9Rjio(yVR^psRYt=#>hMUY#s zaF<{@lQgXe9;~WVB9ne}0W+=jd);<{jqi^1JOHZe#3MA!Vw~a-O!j_j1hk$QW}Xon z)#7t#o|L5Fm*{Pm38>tfxk!(k>P#<zn@ES9s@rGGXOLoNVCoS@XW*=Ke0X^bzh`~% zokonbofaBHu2imIgfIkz6NfjPJXqA2@TxfiDb*4BT$ok9E#98q!we(5`u7O^g7&Rh z6~GyrApK)8VS56~uO9zUYv>ztaXx2a(zT@HP?IcJHMtDMA&jFX$@2j2MmJl^X^>fo zzn0CWh~{Id;V2se!UbTQ5Cus^g{sVCo$8mZ1fmuW3{{o-+eS0F;uQD*14l3Nmv)7# zr8e|;U2Ip1p4=gJqO~rjxZ__Zwgy&wCV(mHt;v3qQCsRQ_mDo1AyMo-MJC3BF4wlY z&ce(UMa4L?2E4fD_TV8i=GI%qnz9h7;F(-cX~NTK#11e=itr{SieF6_VKO8AWl+cJ zeY3(1Z%2vf_{m{DjZeuYYW2)0_JaEyy|l2L9tnZYbo*K)8-CDN*^Qf1i%GO#-vF8v zjTRn{quj8T9g2Qj&%(TSCLxa^i^W>hAFtN+y7gqmtsc3U=!|`Ic)IeR&L|n~4;R?K zEMyhlR9W=i11D_mlv$V80=aa-){bIm1QB18@qzHG?VWPcDiAK~@?%g2?=v4x6C{Dt z<5&M^<v57zsWYTpfNv<Q5d#N&WZ2y)FRlTpb6u8oU>t#n(}Z}&Qou>cgRN|I*HI37 zTqWBCjsoTYe&;VUEBQ||=MAN(QDz~^vzGwb8KaMsj9eZXa1kgngwUTumfvYsCmYJF zGU%33iEs0<Zi%WNCH;@NlZt>las+({K?ujXF^q{aRnS4vZOm8Lo&YqZ&!zZ^m8?I+ zOQFhq&Q+(c=2BMrFa~Kk&QL2>21cL_Y`tAGlegAu`AWwK&{FX-P7381yG~VYjkK;) z@M55WBsWx%OEMCE#q<aL$Q=#E-9HMx6L;U^lsOBYDS8M63?edDxLbo&RU#73gokW+ zI54xsK?gbzscRLr0PYQU-tW3JAyl-r2^#0NWaUc;sHCNLO7ay*<i^bVZ0(9ufD<E^ z#>}gV&_A2$leKhbywrsZRDEp7GKq-dd$b9p1c<!FHQB9dFK0ihCK|%MgO_n&T6Ot` z5cMQIeC^jJVL232R)P(VMmd=riP0W=x&>Yief6TefqRw@03mf&_-nXCXxA~+D`14P zO)~AG_xSXaq?8b|l)NRO%FFzt0_mYX^7~_Z-?$Cag#&JYLodTP;!eL;1{6<8&?R!q zGG25B!&i1>tQx~4qizk%<Y_VS2|1}_Yp?NM--8an6NP`3vE9$X2m3F+u6>ZvuKcHP zv7zrdh$W+<fEiFmh?LPI=)1+h2A26Hih@S#q9DZP051H(Zc<xgSJv~zlXWGc2bevK z_%tt;YlJ_9>ZYtd57+yNLU!Op%OD(u`fe8V=+knPMHbuhga=b9P)PL*C?LwJXrqyc zf*l_;QdLZ>Q2OR&OZPT;poKF0&m=C6yd>ZuWB!kp01siRYpaSF6bpsEghD1!sq12Q zA7*JYaF4*?EckJ&bgY=;-Bi9vTsAy0$o5Fd!@#kI4rPfa14K0}7}O|dt0;TO{W-{P z0d0i~bvE->B=25d+$k{eJ5ERF5C-g}OU^=Y&-0^~R0F7ouGS_l7{TLHN*%fHg&kYT zKUlvk0EenV5a}T1XlB(Q60Nu_BA`0bt>i<Q*l)8C2Q`+z?b{I4&|GO|%Ag=%wSCRM zskpj=;N=G$#G^)rf1d(D_w&e!9c$SWW(Va&C|%xepzBoupYg9JE>ca=BI`{HPcSai z6&f?>i;xM+?4LqARPTZg&b%bYd1+_}zn2Z;0ob~CYt0oLL;!_N0Ydy39vbnYo{o&c zb=zz|E37$I3I)+l=O^p_;_U{Fuhw7M1R*{ck#a3_4O-bJU&BW@E3U%&M~#qmGoI3z zOJe^`cm7MG|4UDvJDQP?`^T6mk3@95Hr%CiPr8erXA6Big@0)(e3AbJxYRrP<ZUxY z<k07m1P5{`4d|T~Ktc^Wq**}!-X3Y9W2J42_o4f<+X5-ndQMXrRgx#$lPj<@(sxT~ z%`}LHBFd=o$9`ki^W#jW>3+Dh;XUMm<Ad&IV|X0YmXMAG=2e{Un}DkvIK7gPRKwrh zbxdLlo0<J$uQjK$^C|~y0D%WG(lehJqni7bS$b-cd)J>3!Kzpf-IVhxiBCjbJwu_) zkh5OJrloCMS`-vIXjp&BFj*R>q&SPal&R6%kuBKUo!Q(GZT2vW!4XfpoR_`52^J@s z0ge<16Bat?C@NIcuoukA&WNyel<b$Om94#zAoO=CH;eWlU0=Z{z*^WcPo$<(NQD5# zv8S*k7R}!zfOkaP2)=l0GAOu&8^aNSqKTL9rgguNHIu-;9hbOTVJC#yQ)`x8@~=)P zCol-zh-(bzFz`$~N?F?=hXvmC&PAlpIDBN(EtrgEZ54%CmI<$Z<=TXnJ?MG~(6Xep zC5<AEVA{$90ez+m0Oyq84}=!8$E_ZXrgaee4NizYkHZr)_#ldmz9JmhjNOH*T?F@4 z;+$pK2@GXdQY+uDpUZQ*Q<Xsub!RKWj$@xW)nL2&EtNrgS=*e*%3B&XHJvVM%Nv}# z-BI*@8nPkODN)Tpw^my+PT)V^F}0{DU*Y&xzZG8U4f`=d0eaV`eR3BA8;Oxa<C$Bw z52kOHgvZynzyCh<v?(~AzTtdj>tSCosJ`{U<V8D{2E93TxV?6d2r>MYPyOX20>1ct zSxk@LnxyW#k8%t&qIin}vejKdkapWeP%O+=M(6V$cILV5=(9z}(Kmb&9@6i<R;ZQT z==wrLIXG)M@ql>E3=_LRHm(A3F(lTVb2kFB)|LV&2jwVTn>b4@KX2hL5mH6`s#sdz zQm7mmQ|O`O$VOKknURgV3JTL}YdJJl5AEV?ZhgJOD!6sEj%0njqWVc2Ucc&1LdO{= zGfpMc)ovnf-2#WYM;XIVUggd@oB`!d3o^2j8eOnCCcqQyxRJYg-`4mks6*&VGrcxN zH(Iz`35QCcv7mrWPv`x_$%v;*Sm#O<St>k?w_I$VgLqWsx(u2t3dPw1ec7}M_{fT- zh`#B2dP`>yMw0ylR#T>&{$V`+uK<}9Yi#)<$!-%gjxEd|6^%C=VuXcFF#ZxW^rC7^ z9N)i0r2rk9i*3b8#lNJ;rM43#<J-vN2<+sDy%B!ntgt2;cm=^LFzC)G(#Yu}!b5Ep z@(@AztqMOO2D<X(IyOGNCp2@db+2Wex%(>yQc4YQe$STSQE&{o`@Z54Zx1%`s2T{W z)Jd9iaA=P!z(pQJYL&~xVyn9gb-W$@%RUo?<pYqr&WSz<4RO5f`wJrEB#H_6d3B8j zXAqQENR9*+cu>n7J6W{pIWo1s9!E-2X>A^wPUvbH_oK1u5lj??3O5--+XyJL=<^{+ zurvlzU+vcPC)f2k+Ig^QbbJlHsYB2Syc~@!q#g@cmvT%5n~xr*VKcPkz@&Q6X)=<= zZ$5x=OvF7t5S<6LR7<V|lZzv)I)E09kviw0qmb4fy9pdgh{8f%jo41~GvJ0r<4klC zHjNk`@2Q?sX9_WW%0-8x$HN7wX5MSC+8u_h1c5gy^Tb&h(1=i1J?IljNlD(%7AR05 zbW$NnU2*@Jg)5Dyx^Af2ZUYuK)WEjM3|PTBx<Zr&?&ETAu3fs=wIOv-P2Uqx7_<2v zZSbDGxxi4KDUq+m)m&dr&hc%{%sXqdk|Ujq+)Pw$nV`CfNr@smyuTLV$!SY=k5jib zwVs};6>|+U8_vplV1=);b_dQgGu-I#!<XJJEGDH;vx8ZMgqA=KV`h;>>Tp1K3&1sg zu#R_lB&%k3>9W~Vyx*<QZ)`z>nIx-H<(R|+w}8Eta&t@&_z_wRzZ$njbM&r4vI2Ck zNJt7Fc1>xE;k*lh0ue<Mm@zB5xU<HfJOZgq(uAS{vKSjC3RQ|Hp|1|cpBo7lDUAa6 zWkPhAzKz!%aVm7r+{wP^9u0l!0@yCgc%9<!2j^xV^cIE;ckXv(*}3KMl#W^G2afy0 zz>_Zdt_%(}uXWv0R>Vzb)@RUj+(j4npYz>^wA_Qmz+S6%JM0wSeB@l9pe0=6IJ(mK z(9(_Tly<uGs>TcApX#cy;rS*@&}vcTWm;9JM}F6$k8byLgzo2w4%$Dc0M?1EslE7_ zvEG`yW?EifLtbehYMzxgex`Hi(Gt+cNGxwTp}KLL2c!``5^9C44djiI9%g+!PRq9r zEuwk;30b6B-m7b&#JXs<Y7cjxwBFUtV)62>L};=9(<vsM+H|N|wU28G2WGlkvCJz* z!$dz4QN<dV7DFb}4?F<k5TGP^c_t>Vu%W1oZK91VeGH<OMh%B(vEA{ZY*VDoNh>DG z6Lhv6Y>Z)Eu_0nZ?h<)vP+^E=90{eoL3U9ZHMB-Pmda$?ep~to(gsW5C8oszbjBoi zAS%VRbD8?6%be+E-I5gXDY<jW%;~ag4~cSMW8fvefIdTI=xRF#3K;ll_dVVCtW+xK z^)<%Vo@#8MdhqA8wSI6WHZkPUas5VPCIoYbJFKN)07hg2p$!PtD-9c1zBi~UNnhjy zCAa-jlRmyBtw~DdIzQQyIYcEIx{zq<y?CbQEIAfBKRHG;?No^!k-q%%^;0<GZf&D3 zK?twOe`XLefF^%{9#Axnl;dw37;QzW6eU9&p(N5fu+@oBCryGqLQ7zD+N=?)N`!%Q zzB4)Q_eJV<U+^O*0X`%<jrjL55BQmLHIf3c=f+ZcRCo92pP2dYzEmAin{S+0a1d~{ z`YF9)w6&tw?=w7KX49YPtETL0CtIwmCNUhS(-H7j<pJHlb!qUPbUXpK8h7h$#kRaK zen(xCbH8z)c{R4FC|8Jc96thhg**sir~vM{(Q9a(hu+SwnpJH-Ub;q&{G}iz77d@* z44`W;o$qx6c4%~Sth942v_C~O=%Nz9qW1IjKR<3>ce6c(KC_682u}NRdb{nl3(cG6 zh6i{l?*IuwBVLI@+~?1}x@@qVG-);>!+57O)*<;sF=lW>S=~XzZg^H{?oBEl1KgS$ ziU$lGd(H0c*i7uND&+aOq404LJwGoTnDwnAPXuH<%?{hfLr&eRFK0Wu99oY%9a{04 z2=fVb$OW3Ymc^mD3G|MQ1tVB+1D2wq=kS@V@&K%65>F&-_DTH_2znZ~q{C^Az7yws z;`}jAGe20Pcpno@{&%pu{TuLj=16KOXXLsP>u?wT)*Xwdk4rZvF0ut)>N3~yx-o+D zm%>>^zf8$AMIskUkYBKmyUjAd285nS)bzQg9z~NvNL2f>rw(l`XX+FlFr7DsITXDj z0V9`Np)r)@+U#et`OqY)odk6MQ2DK1cB4a45@tHj8c1ppnL}s@MZB8d8hW=<L^K)o zQ@_X>m5R5+8ZEVpo@A)9Bg!X~Y>P}x|CJ%Ceh3V}{q!owTVjz@9&)5rPI9DltBeWr z0rCYJZEA2TVZcxcP^1-)Tc_Km467KTJRPCeSt*9lJ>@HzA+gO*+1GZcWJ9^gyf0qo znME8PA*hwCggE0XtrC4NMO*oqMI_Q#vw)Ivn_LBVkt(a4Z{`Crdao>|+$UB=SE58G z;_q;QiZShnDDrkwQI$wk3Gu{JDy7V@&Iw_`NB(GN=Bh;sh^9DVVY|cR50D=?rb&h_ zAP$HG&8XOv5uWTjD5mFOGSQNa|LKe|3BG_V#1AGPYoQdE>>s42<+39zM$3~ou0nw< zEcCH}#1dtQQI$fFendk#{t&6q3iA28n=&x!x|oFW4=;nkq%&>3stbR@hK!!D5U4@b zA4b<!KnYzqpvT(Mz4Zpq_1*V-PiO7$W0Xuw0@AdNzngaSMe#73ATeQD;G6qAd;WN^ z`I;b&O2BD`m<(BV@5l9b!-o<~(<{w**N=EytBdHwH+jh6^kFY!<FU2y!Dtc$95S;C z<JTq#WQ3V#e4H7g7*H|7<1*vdcM~9jxy_=vidO_!uQq=5;YCJZU}I;=@E3vz=_F8R z=soGz<5+v21ity+lom)BG`|_;0Ub>jvQLYwHsBeiJ)6pjG=B|}T&bm*YYfPWZ_tV} zx3<M1QjBJ;RjKx*ncL}pYGhKiC)w$4&bFZ2>6)p;q)TVFm!&4qdEfLa$W{o|_$%1e zzH|eMsQo7TW6MW{!lG}90+fwn01&TLTbB8uui@^6RqEH7Y`uz${+<zEYEHH&-%KdM zWcXH@7gFZi%>=CKw=X;hq%IPTr*+gop3my8<iYgj%)lDBJpHZEHe4)G1A9BK_<szf z5%Pohx~}`a8@G>pfL;lRX~}|hjh0EnlxzT6Ac8Qm;YboqhaJH#rZknn+)aEa6HWhj zP=j5Y{I2^Pw1l!9*_72pJB&f@Bywt-pwmR<8`LKJD_%GTk0=PMl^=}J)(1j&<{1nr z4o$4)sWu$5HM9N*HoWr318NiYP;~T6`=&h4W_?{)&JIUI5!pi+3qVcrdE1DT+Vict zVj@S&VrnuVi0<U1&JPnab9n<uz9ktAU46&ujou>TS55-z(dvng{+EQFm^8Sdql^A6 zEI~v<?O9u0K{Eu7=^dD}6INGL1cR)8qR%7Iw4!@FbuY3=uXUx@YiM0Z0P*g@kY0%j zXY$5GLsy~M4)DoMB%w;<v@yLGP*zv2{r>b$A|lTqwmfpeS5Q%)yLss@5}cvURuMJ% zBBUbHTDN)?1i{+aqlW%%;&ZKgV#iMoLAbP|P~Tb+QNvtf#X(j(R1rkfS-lfQKmcWo z%pD9RntnR$@*;=@s}Vg=Imdv>TnRa}aUvHa6b<P_6z~!%T!3Ae-3>!@FbRVz?s12w z?x+ru)AxFe7E7cOd5v}uYXFDz+Z=*`40vRunU)ziKki-R1QV#D8>TpfqE81|)A5m8 zJ=(?+$7CpPhwe-BqfK6?>a9p!j9EYSw{@2P{E1tA9_~zgW*lz-^FP;-^QrT#@+^FX z-(G>1uh(2l=2j2K1!AIWVgeWwl%+Ft7}!1*E%zr0hQ=eApY7kZgo8N%?xkLgu6IN} z?yc-?-8b&y{RD(OUQ<S!{Pboy0p5B0m|4^P6aCNF$DOY%{nMjD>+mL7Q%Em{8WsQ) z3qZxx7f1@GWf<H?up9(b$f7liX^x?ks?r!hIf0?O^OEQM30d+SE}G;?{+>yJOG2dF zbkDD6>IifB?~UD<rhI*KzWei!sxW)D0AKI?F~ctVR*ajLexVgjsc{;~0u3!A;OVnn zqu1?@W2Um-5_y8PSS{ub)MxPQEkDMWPv)=w6y;-pVYVjx9F2(3xvM#3$t`@$Fpa?h zxU*azSNDKv&`X}Null6(eI?k+J;nEXp+WcV$gcQ!y<NSju%^A++&<0LNQcyy`_`vF zl(Whjw}S7*ef>H)@mG7SzXM}@Yj{;PFL75P1<}QF%vIsMOgN`+>#aSW)O&RKyUg3S zc3}|$QK@#f-|S=+eA_bhsdVL~YsG>G;7x;i&>O$ytNkyH=bXTN(WYeKHJIBPa>_^d z0#eFiI3T}SBcg<;9TePxOIrZC*U<FJIZk9ISaH<;6GdE1juW@PHxyD}+VD36u9}<) zbMN4wpbrDL8Y@_>)xuWF2NhH;<7nhxf)LH#6Gdd*7wFGVlPVmI$~9JN0Ec?_bcklV zZFwzr9B6l6tObp9xAp@&UNpDmMi)6*bFvAKVx&cB@|LxxW~B54!m`%Emq3Z?_h`-2 zgo}+yKl*UO#N9G8D>*4ofyRY$m)^SZr<q*oNT-uDm?Nu@xTEw)9gC}s<51^e{)nmC zlk-?)dqY?}W06Qbd&4^yKvFJyq><?x8f&#Y<C0Sp>EGVD>-1uB+l`}N5|Sk)Qe_|> zb<d~Ga>LWxU8FM&W0Y+4xLvvVlXQkJ@UqgTP0?x5M2TP<rKwDa^;089*Qq$M=h@c+ zA;PoHqaJZKdm`gO$|jy$5^`NOi^qidTBPJ0(#ww}TcK4I-U2emfOQOb19GUEsuxqR z)bTlsuApm#V??dKvs%Gjv9R5$a$H(Q^M$O%6xQ0jNXe}fMf;L8oQA3jO^Mk0pzCwV zt#}3|%EcKOr2&G^<4k?V>EnpYobj3UV4xdPu5TO8k?&0GDY~ce1V=T~KhsrZ0(laj zQaT?;z}te)pj&Q40aRtF&g|+5^(9VJ6MmMQDI}JAE4lMCq&g~*bsw^Jb&@1qL=tx5 zzP2Y#+9tlnb=Uv}M+uvwBd~o@2z@QZ7xMNJdQ+(C>9r5Js%m!M^se)=Qx4y*Iu=hq zZv#qJMqR9Fjr7{LbX6_<d^scb?WGpT$0>zz+3D&WZve?Rz#v^;y2loz%Dzl8dv4)( z?YK1SP6UNhP+72<pc?9O`iH>Uf;Z4wzC;bPC1lfY^&5Jxg}7qx?N<Sba=e0G?K?}x zEofSI#GLAW1`f_&1N$AHB1Fu;2YoYy6MHu7jmVh+;GAtjE%prd=``htjl4VC%F8WY z)9^Hm@ljJ;0Ek#M?+*wt-ndPi0)NYpDA@+88hk0vRK4!D#Q;KsAT#@{RG>BT<ekh7 z&{-jG-#|QHpWe*l{DVi5A|Q6#hDkA|GQKLf&eyB**IMynz^(BL@nOj<HKhH=Z|&a& z(eWI12F!+wKg)}}*OirmKaWFo&rB~(Pg^rI+oRS;0lyXMU>uKWHSS@4VfNnIUR4Tl zd%mp;Ou4;T8HSSbh^iJ|^r@A=NO+ol-HY8#BR}vh60EY#fX#efPoK2uL_~#6m6_Yc zSuXSvL+={)0~QKzLmLC7Bj;Tajvjgt8yn!sM#{|jM@+2xi#eFFO&8(CUWrB&Y!JEK ziDHO#0UAtr+CK}{XL$pRB`CU4Nt-#@n-gB95fVpY_V?%C!QBpfrB|8aA7ZBIwYpXn zYw#zIu&aAztyWGbeyeOPSLmY|8J?CC@Aph9DcP9n{nQRJ6`J2QEru<c%!?RnzM1UP z2f-j(=1C$LRwQ9=5i5awTw;=6(aN+v#G{Ar0F-)M$g`2i7T>e#<2-d6Vby>_2&iE2 zx6w7csoQ5X1~B@{+*SLoSY!rR5*=7Hd63Zu>oalW0{SLTFOo4FB(o)|$X&0<A81D0 z@iN`JGIbKPc#1BJ6uHF8ZNnXAC$a_k(TbhH1wB&+SWA(jcdWBsSO_bOc6kH4;j`wA z0ZFtoRtV&-=O?VUXmwej4q2dAqslDW3li8>Nn)vfM`-z`nM|9xg2;rn*3MkN_CjQk z9`4Bsvo$7t__-M_N!DR>__<M5&Qa4l84l_eR>_h<HSk_kVc%T!(6q+be%7F(=zOw! z_ALxFn`skkllv@d{15<H0xiq&7;sL31bl!rKv8}hU?;&vIc%X0GklfBf-k<Xguxe7 z<@v*O@}$txPTz#&iS(l#o6IqpUU%rkf_RSMM8QQvE~DpKi(Pb&LaQxw@(R#xIz`W0 z(W<|z8bfO<e^?i-1Y&sFAZpn(9^IwdQFDY&_U%m-yS5I)q53s+LcZ@%qMAPJ3P2}F z%J!q)ckxp8urC@}?QDDqG;AYxD(VWFK&dXOgl~O+Lhw7K<N^m`nykK#6oFNJQ%sPQ zR{u%Z0H$;^HzUQcVzHj>brAajvP5CmlPl6<ZN6QNgM^p8n_OH{BGd=zH}&>_IZ|L& z+-fb%ahyYCBf(OjP)o$++B}aqpgo|ju?uro0jMM&+-6`OGVDJ%5H9TcRR!DFC8oab z{aIK<+b#AKwu2w7C;!<SWn^`b0$%wSoZYIqJv($PLomj=Qtc_Ql(&+Q#4EjlQm2FW zvj==t#*Cm)arGkgvgFzOAay*={P6Pe0ZE-Y&N-A<LrAOY$JQ($i0hkPa#;29JfCtd z`xxq_x`AG$qU}7CA<1_ctU5)ZTVeMloQGAO{%PX!Y40zuzb)s{f{gU<?7v9M&6Z&- zZp#EnJ)P5Rzf}QzH1u388{^b#t~1><l)I^=G1>P`tAoclH8G2{7BxhE>5^zHd<56R z;cb&>L|WY3a~e1UT`oQ4UYIAB-7~+1UF)tuY6_-q`bE~4WnA5+3ZDggxMec6b<F86 z(*a-k8?g?VtrB`5Uz3eJvG+ZFiya&R8zu~4ip}qRl{odZllQ)|60mFgYE?1~Ao;3s zHa^)MU_t{o$qa+#=k@v&jYH8ubCtyF!~EOF!(R2#KJghKKdi0Vecs9bi8)~G+*C{0 z(-%6|eq)?@25pzb7q%`G+0&BVaN@GUdvscpkmDOxB~&f6i+b<Ws?eOzWHlfdYlho) zZ)uegNt~CESU2mGK^*k$c1W$(h!k!DaF=U42&W?arFWxFuO123WMng~?gO4yqWqh7 z2qds_tw0$NcEXp1!nRn1UX|!)g)T~^Yaxo6eCs4Cec>!B-83E573`;o`W_mKe~Mjf z>4p<Xogg{J@UJbxUjZJzof!>!9~=e&r-;vpON2zvFWn(u1wv?0p$nY(7)|2CUtOj> zW$eQNq7t;>pP{y@2!CK#Gs@bNh6DO`OL3pk9|7r>8@E*zU&8p$*kNBOpt49`)s8o` zfj$9hACd?e$y7e)`?oL6U!Vao`RDf=Vt>=%4h+AKqJ!+cYw;r<)B;w2fEt2o@=_ku z`?sQl@4dIX`3%I!m7jsjf0G$N`ymu8!=dpn4wvCD`4>maaC{#?TNa9E9mRlsg96AC zEvV4lgJe{ep?DSD?<z-TS(61()9qu1RYaAc|ASP3kZKTh)js8M8CDA`FYo!lvO2j? zI`F9^N`)I-h8;|W<xuAc0Qkfb=4oReaOQ8Vo*=J3?8h8c*D(%)?C41aFSfVGkMh#d zy3D$tU+EB?bl%(kKf1m$ERLXAHv|h1+@0VS+%*s&IKdr)ySs!zgS)#1cXxMpcMq^= zaJ!r2JNG%~KIi_Zsj9cCdz#+u*`cPoCd96XXR;93Q>Lph#^2YGM4`?OrWxuDRdtXs z)^4rC0Vy&)DG-6GpLmEF+fUo7iL9Jf?$7rZLPh1_-n2X!HB8A3=$0oMylZ<fI+z@p zDPvQ#;a@VUZO&d1JYjPl8wC;9<9P@*BtYr2JezdAoEj_a?v=;|DO-iI&(${)N2&_u zF*yKa%;Kw^sySMa(w7O-C9TJgflK-{=`Pptn7F#kPnzHPYXn1?PoGcRuGxROnSsjk zEq}7l-5gv$?^<8a@yVRc&q*5xHR|Y;fcQkS3IzeYLR;q5VOzaV^gsBm;+7ZE-)i*6 zaeM_GJ41dit){I$?|S)AqM_&r5feG51hoq&l@y7OneGMrEEW2`=hc3#bo2H1g2kW@ zwnd3p#&E~OFOr`PPSSidb7i@dWT#k|ueanKUJNV&s$&Oo{FruqkK!qO_!zdR{tGTH zS1`GEH$_zCsB|Jn!JPgrzE|x`Ie5kwdo%5CY3e6I@cn(ex;rp=ca#&XC^Ai-sckZV zUfy85{3lwQb~?jln$UF2+@XHMP@9&Z%bSNAixJbk1?{jJ=(B{W5Sy06RA3Yfad3Nt zp?=r%Nz}VgulQ^weAfG}7H48lqwL1der$f;r-VrjU6A44iMwq0xo&J=!Nmfn$D3nW zQqzUS;yYF>3A-?OJe5$~s~_S5Y&ijT$qS<rhk3Mt=W8CUxWf>(?j<CVnmU*o>~JGa z8Tytk{ba>7L~~x8P0v=>(hnhwwkaL#Bu<V$&W6qs&a4pX(fsy2W$*o-vpL{;9#yuF zepm?%HqSw+UAYykR`~uj?p)re#I5`YOIy&Xp}Xm*KO$7jU3tUln%1_*G$H{ofsPYy z!R0#G5$0d&W0^+Gz<{jS$`@|rKl%#|Rkwz&bM?oPWTxlGgwO0u^Y<2yUGtQcm_h7D zmEn4=YVa$=-&|OIK2r5)=vEF@f_^kb)##NmuB5jod>Z}5RB*SIkzFz!WY&T*J&!-7 z!;&=wSM@+fFsU_d`E{lXxSRD<J4Q%5w?PmiOxtq5Y}s?yd|T%K%`HRf>6>mLXlpM& zr{bUtTmfzEvJF1Io<Q2Ghq9+0-^7DE>FzBR`bxOGCK6>w*Jlt&tcVd-QMaLGz}wDz zq-(c9TjVd`!aOcepXfLA=K}=oef#tA<y8@sKDDojBL)Hc_DuKRG;8MJd)%kz`)PF; zY^;8kna&?!nD}WR!z}6`Q+AvzVyFd|wke2s`LKFhL8!k~Kf_Q{FG8K(4BC$~yuvUO zWh;2<N+|`M_2qZYermmMRs2xq`}3oeT|{pKfvJZ&ya2|AebjO_#(<jVh~CkNf|lRK zN7!PpLm1HCK#<=~-^Wg{VV@NVGNOsNxJ&4<WR&WH-PJ^zR!i6>h_*pgg3N8AH)=s& zgzrsZS?p)hp%1V!sx*Cj9AoT<hupuQ6TeLmW5Ygt(_%gl<SE6Jz%>fdB=ulhF^Or` z%gUA31hvG+uF*A0;Z;dwv7$Nhltb{yc)90ZsSMPW!4a_iQkh*((W37FsZf_heM|x6 z?=@&2CD3Yhgns6=h}&LK$u0FRr84fJEz@NjoH+j-E|r0(K;?UGt;NVp-C<QXMM5o_ zxsnyff6kE`$4@q^T9$uXSX{$Hm)PuU;Ws_iTwxCMJkS=PaTH>rW);_%1AbOcBYMT_ z#lW*wi=K-3=;<&GU`|yOxE}E`Ofv~XgW*%iQ+m??s>g@QKdM^ZsK?*0OG<DbU+{=% zQ=zdfJ&~kk$E%IonrgO!yoazhPRzrf9Y#8NDC1dV<PB>7K3sQg+ET&e4S`SP@mbCV zwcgI2_qSt<USg2<CP)N@K%CA*-QoLj3*etqY8!q_lk|Pu-9l?d=sIUOagdFJWU6uo z4m0TFAQMT6`Y1$G+sxPcD|L7FiW$s#50Wou3(?1+Lubj>?RveOM=PFhBqL0*VcPzP zhPcKtReP0~<RrGd5Kv#Hrq$;)*W4Y#>I!fyCYa_kzpb`@@|B;1!#S2bf_gg{9uJ5Y z;us2U)J1y+PmVKs6FVcfEFIKlTHY37`6G~H_xBJ&A?9KA_kdu^-atUCz>#pdCs<dn zAI+z#t(NjunL4prl-Y&{_gz0hvxGJ)bZV(8(4ct3;~w?TZJ>j<2x-7-3f0yi93~Qq z*f{eo=Yme1zjc24p(n5=y7(zVPXJi6UZfx;apgsYf}I{#pV!)OzY5FgW~agf37E98 zmov|~21^@2q9O98fAtykYIit;^EMRjX`f+~I3aQw*ue5u|B-BXLTdSU20jLskwEzT z8D&Vq_}@_)l=AnfdHkrlfikFidCDn9W15RsG7HQMh!-7vV<@-|)V<V+nC@Y9Uak3A zJ92_xSJvk~*@9ZTUF$=$K&4;?$^ws1jdu?jDScRGUSmarHKZ>EJwye$X8b`VU3QjO zXJb61duA_ZODl>oVPR(FVqNZFz@y?dEiCh3XToFV;?j%MS#K@k?5q#+{`h&<*o*&F z%JbEolg2-j50FlX6&wgX>#*Tf?70F59$@9}=~4^sqJRv~j6L1{nI9h4hI}15<NNoS zYdY&6UmET#nxB@Hn(i#B2n2UscKUWl*gCv4#xDm}S{1MSiZodSCiXileC1Op@!x-0 z^Yi0S$JfYC;*oyQM1@80-mVOl>v#@)c*-QTe|F$V1|rTht|jSWWM-%fENNugn^&)& zdrH5i*K(*r=)G*7RS@?hb3&(e+lON#eQy*?%Nn2<Y=qKg5Q3#FgfFH(rd0?KQ%&Y+ z_YQc!^?N-g-yr=*zA>20z+{=;Uv^fF?1WkL2vZp)-;iNF<ybT``QM178JIP#g&xO% zHig3YjRyhUEcU6Z43#9S#8&{)JRz!in2BIsOI><~c|%VIFD3sT$zr<k8sxM%kEQ|c zk@GLg@CTiA9CB8(>LY>ZP|5JtrjzR|i>LZFKTPI2Vox+<HgCusGD$e6mH%b><BE(7 zTFj3nS@RgK>k|iHYF>k_GE9egMF<R|EuEUhM8yp{%eKKYKs2;+*ptK&GmkWU36Hz{ zY<v$<!`GG6n9$2k7J?YR$EPETgJatdZHy<wDxeT&8yzM6mFk0fwyZFVNV>maC=c8a z!P0ks31JpVXJWk=E}0qZb8Z7_he4s57VpmD*r*d(st>?Yx~y<DFWBD&?0>qrRxANl zog2(!NSqfar@pxO*B6AHPF_NNo*G6k6`_-3LM`L#5~#g|+S!cQY=U$>y-R2DWE^pO zi>gOIjvJCnrkZk5^(Y?eZ|Hj>Firjem$W64O+tKs@RSVv2g+B2O}%C*?E*2ZR(cVa zW`Og+U&GqJHZFhLED`=~^Uu_KszJV74C`BGx|iJdtdfIys~M!SYD1`D*I{G|2C~6` zKNvs+0~Cj2@Yt$-t6c?dYY~Ygw;}sS2r*4|k0P<Y`i`qaQ+ZiQL=A#$G}JJIAVeAX z5L|oUQ8>2SC@dqRvJMB5K4)(D6jlaJ0J?9sF+EE{h?(6Q+f?DJbodHH3I>Majx#_B zt#6JAO~_10L->JE9fh>lU3;s2JE#1F+Q#+7t#}sRq_jII=-XELK*R5DqK0V%4?ao8 z6FbVb%7J1q&;tf6r5I0`8QYrF!@JF_0bQthcn6KtnZ>mFeKz)jpWKLJW+t+%CIrw9 z8p$(*xCMJGvNJ|$^&?#2iFO){2JFOD&$F2My&UoZ!QnX!Gvvdi@aRS=0l_RK-6F|U zE=oS3F(PJQk_QuaA4Rta0+wj-4P6LYnJNawayhl6QZcpUESQu^s3qrslE#CCVU;+F z%kLPsWoXeu)grit>N)SXS(Nm7gK|X7MCEfTbExI#FJ%>T8jZ|ydciaarq#=JiyVS# zdU<$ttmtf7#dVrPdNM}Xw^BIl0wtb4lo_<rqw^!(?{F%am^B#@W~RNXnLY+31eod7 z(n}-c8R=<Ie?*vR1#;-6S^KO1O@@Ab`TsT-=rz~XdpJhi;eUHf%O^8FHqw*bK?TE1 zCHFy~fg$jTdd0R3!EnxKnq?ckbX;;;*`IkBT56`2h>OiA8_5xQ{AqGrO+DrOYpI!D za+a1~Gf}k29RP#H^wErDnyN;@EKJqcChqkNp=dw<`pT=Q)a%4kN{$ZCmrRQ^;Vw1P zO2zp`l?kGQ{rEIhDXe$*QX}3TWmr~vGhEdq9c|#pXnc()v>lFd)JmZE)kq(Dh(o(V zMZs^Ru3)W@KmmPIO;1yuXe5!{MlTftGQwvCmUAXdcLV|%bHg9QI8K?D8wX5xh;qX{ z{-c-~{P6lGCviA^O)#ouwmMh%%Yx+pGH>~Wy;|lkCSe@;;UdG;U?y`{SY3OcQB9v4 zZdL<6vl#x&zWW~wk?rqJQ-kHauj&a?{k7^u$_WtRz*Kh_jBzbvt{ZpRNN1m^mh8%O zD2s-3r>Q?g0Vd8EQ&t_M(_0@t;8TWx?I=+kbZ`3B<{3<GKqFp8zP)-}z8#os!DRCv z*#XR*)Mv|FUOPvb8D@&nRij75KMQGLQihitbz?x!1<=qIBalhHMTqTJanb`M7fTQn z-?uPGGlQC$`WlbBF|Nu}Q)j62KB#CX^(D`&=E<ulC$WtdBRHg_vQ7SDv&9HCDXF<8 z)21a1qh{9AuK!rjKbG{56@r<$b;1$Xm<*oVTmUzic_;@+_*FDB6*Nio{9^!Q<zMx4 zWeO`8_4}vwR2DPA5*Ji)TaB5S%SzSYr9ei^jF%c4$L+DPn2D=k8?(a}@QdfN$h)?l zpSnyAD>%{rB{V0ku)QF721&F!%{cPndTNPTI=jXaNi-)(g7e}Sk?$`8M1l$w@kYVb z!_^{YM(TjbdhvU!ARP?yNRN_gkx#qPHp*BKh6$Jk--=tot4l{cfx3b|bWk(C3wC?l zDt`>O?`~opv9J^rSDGGIDic@w9Gh1bYpa(>5h8rm$I{`Z(b=pOln^swT7^Aj6l~He zLJ>vlwiBr@BNQo+;!C8>293&(1AVX)z&TwTN(yL=ke1UFRlhrhb9ZuBLVYyOTQ>TR zuAreF(>_v7ejR6o`uxf0d#t>My4-X@{I%l+SfHwizxGEeE2XkGwHh@=*r1P7N$5$O z>TjnhbXMPZ57xl)JyjX_8~D9OPD5Q5pY``~s{8aX%km=UMP9s7ZI#Wk1l@?K!3K~Q z``4QW>|GGf&0_#IHUBdiDz=o`l>d{Z|9QDl{_9g3Pge~8aX+aR{H?wjW2zfr$zs+> zn(7R@F=AsZaKdfG2_G@jbe9(!c`%Nztxi+<#^z@uSwt^I$cj>b%>iwu(Kqnk2;z)h z7*LN8$p0$3R`)P~5i#al>}@beiGTs=_yulY=)<Xjn{8Fb!TmMpY*Q}YSB+|>66vR2 z`j;M_kRML)h`%PYb5PjKZN6K7_qV?KRSzb2>npd4js2^UDOCD6rlKQZTu*zk1lq`P z$3$AWNf&I=xi3ZK->)#`3mR@aT7FXkfVG8e3l;plp3vvg*47+^igx-=3~xW(HOU`R zSzada-^N$Ck1{v*c8a9DtKTTTl@P@gS|!>?`SdhergJ7k5RWb28QuYI^GN|!w6J@4 z&9GS&{EDXz7cV*ij*DFncq4{WEV`Fx@-ubE%_9B0!m?L>{3O}&FG-<=G`lA(!0t)k zP|@vY5$+m=@vsjjSX2e;&}e%n@%A@3_92>FWV^E-x;bgj3gZHwW$h5G{nOkB<f0vJ zYD={%??x}Xa$4=Xw;|UMM8lb_3lAY}X}@4p$MDO~V(x^52#Bcs3KLeT<_u}pUzMK< z9Hb>w7?ivbmsbswqJ2P7|EUPC4h-qg9EI3PiJrw?Kfcb<RxW7uxy2E;c|6{%xq(w% z)}}W6F^*_u3ERYM-7fcc4{2Wq9vfurwBPu>4qTNy9WC6Jg$fY%MIu)qNu_jT66!v$ zqWm)Wo_@Id`+O(0<SDU-lUOcADgtQj$awLh>Bwrp!R|FVFjjteC(HPJ3hXF*+0h)E zn;$jaA;7ysQyl~fwsQ|LhTJrgo1OeV2Q8)Q;;X-0FZ@Oh<ofrRVT0hsajBDJ_VQ}W z$m?z&)Z)9l3wkWkZ4$}RU>S=H`~o~ue{s#*4j+q>7>9r4ai3vvmAh}CvY8m4C=Wxh z;1@ab;%?&lesy|g=nI7IpwL4rPsRM+22~>oVjD4XhY4m7vtD5JyEscTJl}>sRTAbt z^+Cp|f0bv>Z2SJYzY7|=pWB*KM->|SAd9CUKk;}~f>8a633GS4t(uG0CO9{enJ#HC zHi5iR{dv3PR@KC2;zer9{qZ#EM+wVqn)%x^M%ndNUcT`S0v#Ydjd)5}Qq;ljQjw02 zXY}s5Z)rssz2Ho8O*sX}vF7y@kx<eVxHzT4JiaW+WRzt9#*rBr<ru=EiV8xAU=FAR zF~2&&M3iTZd7Ep+i1oV$PWG=Tb3%%n?!?ped(+lp-Hx_TPn{t&$KHav`+1FPe*fwl zf{mHOF#72+{Rn_~9-_xyclIRGWuxF^dyUR#t8D;2ZUKSlabZe#;O0eSeN2|Z{q^*W z3j2N!9DhC#obn4Olyo(6DU3nonc9%6=<GZ-m06mIS`ocwP1_dgr#n3C*NmgYx0!30 z3|IZ_PL+7Jk&X>tpHr2a-;gMX$?uPaNAR;`wq6{T5dipYma1a&naXBjNuh|I!}lqu zu(8h=LS%0M>|6|$4tm3f`|Y*+B>>K&o#&}YG(WPMy_z(hp5XQT&|ha}OK|)!zz9tn z1;fhm_tn<@J={mV!od*neu@@Phr1C8FA?lB(3Vj)ByHc;g`L++EDmmlu8uZA25JrK z*3;fMAU<BL;m{SgIBa5X@bYr^s5r=`mWg6krx(BHnIPGhgt}JWt(1=B9UiXBXwXj4 z!B{Z+>YOUtBF0&Wsc94!RLT3u=q0HqfbsYDF48T<r@?%#)^D+sG%4`X&pf31x%8Cs z@4}UEVt*hM&aN1FQJf^_HU987G0KTR*RP+80-{!GJ~VN0^W{5bBaWqht~V5YdWx2~ zY=}i)9+jLSH`a6)4zt9J?I$!Cc4iK4z5bN2Pk|<BfDTv39Q5Ml`kS>a^!1Us<TrG| zZ)m9)gamR)_Sxx9&)`|~A(>CxJ2=~+@AR{0ZMSi%0){1e1J5=(xOs(@L8erx3g}6q zMF36m=OT+FQC#}6a!uHu0tHIu3CvY_ic)TVML&OhE{ad^E6OETU8YnFwS3wY7gbRp zn}bsFD1<8%(?pH3s-xTt5vi9pJ(p6&m6pN8%Fm}o&!e=!%8!UiE4_Mv&dD}iI4l#* zh5IF0roon?ATf8Ck{6tR97&1S1Qh3Yi~<<mOa{izPlN^s2*P<TcX94v))^vbq$$~K z#PY07Ce)F##^MtBGmhfrvI}JC^j{?v)49@du-Ic85`T-Ep(=b17ZneWr2N3mrs1ER z69bt;qGaQ<%L198u;NG{1g7}<Lp40B8R%h&`GOa*@N&7>2-*UPFLMP#U*-!W0BmZL zAb~NLJY$<#W)8vW`=sYj)WmHI@cRaiMq8d}TPy~(iE>v&X}{iB2`3oU!KpJ$lJ4Gr zN@Y?~%D^T$#<%3OB@eR}%Ws2XWg+|!7rh796{a9m<Jr}9xV>BObUC&(73?`D>jE7c zVXi|-IEVV4r<yp)(Z?RGZF8+}36O*SRa7Netl`d^q04A;^)zA4c($+YXunNtGyWPq z!jArM*{Ne9cs#qJ4$d2{SZLUJd~EB}4c)u@(1FiLshfX)J!79BxV}%=1gBtKAFJ?` zjHw)X)Ylo}e7~^cdR7p76&qTU8}oQ9NVQ|qR52<<H!Qw<6<fk-lBmi~1khYQU0s7* zD}GQX;+?g%XG~PQ7GrY*89^VtkYk_RD3N~QN*Uos?wY@<Zk!>uZ+Lv?Opdfk+`dV3 zA+A^lEX|eJmGGY0W~g89k59ZjuWIiEV_RNJEN)(dDduVpa@22hf^{ch?rUb)gy)G* zz*lj-D+vgd$DSa2gO9o@K*)l^sh_43yK;@T&R{x5BKDpDynY-0EdAYhDX2%`^bI*Y z(du0TvWxja;qRGvRn@vlV!53HJAvrzPaJav8_SWdWmpX1L=6$QI)pYZTFvbpnfwPc zOKiG)>v9QArfYm;WN@nFtEFxxXYo_uBOxLk5(l+%l#8k^AxsBg(Q{S~*)FUD)#W>v zc<)-J7U>|!x5h{nd5dOk;Ac_vpkb|UW6sU1D?}mIm?XfzJ92;RX!r40YZn-F#^#;c z%oMoxdYo0<615b_lV}l|5*3L}eY!BaVDCUp)JTyz_C+oIeb5x9f5{aYuj>5$m5Git zFy6H+ue$kI+f4?zoSeSQ>yKBZG^h~2Qq_s&K@igxZMqzOfACs)d(;E2glxPeT)WIU zlx%{GyfD+^$??pBeu;t#b!_AHOd?l5Mr8qq-`$iVUYBrWJ-<7qELn9Ka^#bpL^Fgu z5pjiF=DFr-{_}(m)r^N|V{b$~S>vhd@}X0@7`#_hn=uKHENh)Ve!Jyb6wafn5Q!=k zkcl;>Ax8dFKUx%GqJcl4xxEcjjE&|+ur0l!%X=iuSi4FhRaWh1=6?%(JvH-C>YWu_ z8L(&5$bL?WNW4Y2D~(Pf#6;e$xQ$J{zkXu8cs^dsJHHz0G335?XuW%RtcPIZE1`G= z^e>#fR2)sTzhK%3=s2x8b;6^r0Qk9M?T>g&Rjq>HVzMYRo4;3We_Yu90Pix6sFuAj ziAzra=3y$%ek?==E)aU%f;Z`Mt6}ERTEgqL;0rQz?5}C1Y?V9=6`a~^2M3ulRJOTR z=7M)9F9fmGL>ZIk1*bT<x(4~RdAhg*@vmA+&M)=Z8lwV?SC0jIJJ*I8TU6fUs!9_I zN|AYmLajOO$x3l|H59`~n!`u2aq-kx3B$!No03vUo+RETR-2Fzd{+><fxKlfmJ@GR zu6$%>hpT(&Ug8LK>S;G+;P=7tCho_sF0-i(M7zLiUS7erA(%EHUBT}gB}j6Bd2C<F z=UP^HBTRD=Jke`+L2Nm^kDV4cct5|5ATu>5JY<+$ZOH#53^+YQ+K4cak5NrsjGMit z#G-Jch>*e6p>kr6_-b^hGoBTm41xbCnU@|@eiE!{=XRjEa1S#}bn3iZgCLQYW(dFW zJdvTOc(M7Az{#_gTr|ma3wQ%|3$jYPXM`HB^_2Y0Ge_#6@$$<}YNtEdIRV!U6@|&v zfbU3qKQd1tQSq<_eo_|TGO^dKz!nYtf{`Xv<zxpfcI8Sav!K|1mFR3DJE@TwH1S{~ zhX{=DPqRX^{R($G8y}VH{uYUFoA^VoML*wWCxb+P0^Rv1=8Rz#AkGFj$LdR5laWB$ z#L}}3pRN4(ZV8sWCc--w!-88u9VD~^YG6B5tDnl>VN(i?Fv7KJo_yePu5$aL<bX`~ zl*w8~*ylqQDE#X^;J`*EVtF!cfM$`=NzPF(z!IEmKHtE_6ZBaY1(~bBu`Dp+YCJ}J z0d-F(5Z~sZYoGamDcJ=0Bw+)WpKyvMS;ohn#8YnltK_}8=c~=kas&-2HYDpb4<`yQ zuGRYbq4r7;QhNSbQh)_(mY9{_kBr+C|J~jN(mdlF@UPEx-8(;vJjxDQJs9K~(?fM^ zx<-OU7d)8mfy<4r0{JyimsPEtadNb7(i?l{K3bd?qBuNoGY<FwPsR@T2TEsl@?y1K z6kCIM&MbiRa2Xsa{Mn;ZW;OkjLa)GB&C$?<^;aRtCPNrajd*EYny0vp`K%wI)sFO} zI2qK)D}<JC#xVp{DrLttP^1E72w4GFn4p3hCDTm#ZQ&EUz66TB)8z8$6{_QjM~K&! z_S@bgZV>|}N!@cm(q`XDMCeOm`K^{R*>m2kfl*4H`|sLmZR9521tfMWYJ#;UF+Fy~ zcv%}l&KIbHx}$KB@^MRQmh^d*(<6pE7yTjSFu}}pEds3T+5`dm133dnuXmadt01EH zysH$QFLhhNDs73O>%8gGFUYzc{#^*_oAi^xJtk>yRNIyTUgoR}d>KKa@Wtq<v(ob5 zSsILmiA$W2r<12k+OyIzq{nT!0>#$`<ESN#Ps1RJ+$poVF+(Gg?6qGO7Q?a!=S;kO ze5Ira_(t<*E;Z7}@70+2!;?)_!%aKKP5pbWY^b(isfx`@(JOfNu$qs2xQ;_A{mQW` zN~T<N0fKDclWK0>x|iz2{U?g0z%Q1uq3_+@BP4$u+C{R-c!hAfk>m@{FPFZ4>`2E% znG>RV%@%kqsN4g_DQ7OUOLW{$eBO`_Budg<9^Y3{>T1h6BVP#{y%KCNoLN(jTzHnp zn)3_#43S0+9S2_Y$YdwRB%5~G7pz`dOvUNmx^EN!2gzmLCN9u?yk@S$=#kUKHDfH% z94qwbG+^OVB5knb76oQ3xz6Q#HA%}FVLpQu%x&D2N|XujgaFt4-)P=Oq*i~KHv@D@ zDwM3kh4>a3r+2bQJxwo5wakZvXe;=t_oj;8M#8smxbmkAg%93v!5qrlN=6(19Ieg* zM0UK9#|G@<GWNXO6Sik-d+GbK*MikU6!+0;!W{L2jPj5_)03s+=;Lnoy%}-V65rvo ziZJsm7-J4UuI0|ZNaGk_i<sF@>bF6=@q9DDrW0Fv0LcY&7q%Ive;8-K3x!BTnvSiH z`>PFQMEo{DP3(cOmu1=qJTRj7Rbh_6|9b|1e&SB%@H6t0`!^A0d{lZ9u(r}WoNyij z-UfIT5{p+51dyKjo8(9;5hr)FSa1(*&?pGoeAwGK2TdpgToVCY{ca4s%;OFpBJ45{ z?83l1(hbrMgb8;3xNJ6Y3^=9}b}|JI<Q*Tq`62N{0cd}LWs=_h_^X-QTMtcFVqpm4 zJtW`W{=>~}!N8~Lr!DuF$E1y)eb+^HYrhPeNB2NF(#?Td+VEH+lxI4-iZ2bW5E|=W zFvOk-#I%#u-DYNX8TwQ4C{c-KnS#$#en@y1;7G#<dPtLm8lscPNW6fb)%QX3`0Vas z>PYJob^}^kFD;y594LJzQ6X}*W$~Ulsg1knll>@}ULuz{7r}$-Yyzl7A^lXOpQr9b z$SLtMgFHp9LPeYx<#w^3l`hFIL%m2l4k<1}b^a%#?GQH<Y!mUClG?>?Q))!J7fl#s ztQPT#2D{Qn>ly|>kxK1hvllJ%|3}%yRwsRSM86m1jMn|1?7c#rD!6mX%TVioEK%e0 zTLJ(k_`Pk^@LTlcO_FsO#ZfqT$_wXMec7)}aFxk1YOC@S`Rq{QteJ(2{$#<aa%q8M zRJ7ZjMdlK%gNb^x@i!+85vWA^tfA)^sp|d%i=(9U<HlIuT&QcF+~pUyS<HBq_!K$5 z^syS1{1IgPSk3Td{$!l&)LJQBN-v866cc&>B#Mbe4$0p-YulJBl)>xynyvaPB$tFd z{dCIjAk`=>gOwoOe@m{^RtTuz7!jF5_?BGlhSta6Z8W?O7pZmCl68#(y~IaytmFT2 z=d0m|5ia;)VWAz0%<AcD;rxMxtK$}4w`B)BF=q5?o?LGZSlmA8p`$QTz*x*t47CSH zm+!sPHNjwp$YKo%a_7knQY!!pw#}jBtb<AnXsp~N%#wQ_iU`Q#fjs+2rS<4xZiRa> z+JzuD{8CLvQh_1@vi<AYaq4)9f!3^f+@CB*Nvg9vxrWB+o#i$eB6vxiM3}iyFm4{- zAKA^{WwA<Tk@ZpgL|_29P&^?X9+TTKpLp%gkTtZ>4)@w|^vHug`O5g-ku8!334nz~ zu)u`ZMd>s5jstE~tWrXcvu0%@Hi+zwJnFzsAT&jO`i{Jl;-0+odcZQe8wNTRY8llM zjrvQaL{{qk-H2gAuc(wP9GjB8WL92`;#>ZYl&_N0B~k)#K$L_4L?i9Pq)R{M_eCiK z$i5mp8}Q8xvm_I*3Jd&K`a$Zh6SW~~uR<l35IH4Dv5O+fA!-428r;4DI*QyoQ#F<l zWSpP>rYYu|v-JNyP2%9*H2zJ~-|pfisFDcjz|#aALDd*g{F^7mv55FEG#ta?rK%YD zw}d}az#bHn@-a)yT@*gfF{2T13~;|=jGd*cB~XCr(Fh3+IDNU4CFUXUyyPVl##$;Y z%7E2ADj&XJ;{l9Js7AE02>yaU7Bo|;v6Cdj*iW28#sjqAnTWG=F5Qm%BnSNS93(Xv z$RINrm>sk6ndQ_=CB?AY?E2jNk}>zvVp^i=sgD#0Ubri&LsZM{k^&Gn&=<kn1Y$er z{5tgaB2*R>nTM8TyXfiV;FW(>H_eE)q&K?7gqQhuWheFME&uBPyv)H0n*ey#?%U#o z%Yj!nU?|8O*kkGmUfEB_MKN-u9YLAr^!H%TyI+0(R4w}ZyvtA}P{ttRwh6V=pVlu% zCEMt)<#oHUU~Ho{;cs(~`M>_)am7+a>-Kh(e*D`FXK9EWDLd6=h=^?TAQf7Z2yLWz z-i`=T^f2*sr3A2x`1kw@>fcDw!?+3ZcVoAqRbp;cC3JM5+uLY^bCCjR+~^aoQlTzn ztAiUjhA7t;$x~f5V=cGKWWWF?7}zFHwM`98bwo;Ym?xpX{CT8ppqa0a!GEjC8e+AZ zB5%pM5cU=i&k%$E6^zIbn~PNml^A2-*PDw0RX%^DW^-%_qo}EwPWD_ww4e6Thsm2E z^1qdA$Ef2&MdV->!W5dse>;NJ<j}n8+(X>06nR?g;0*L~+&|9h|5363smWlK1zTeN zsYr|5-MP^?Zdf$uAdpUM3cZ&-7rdDHMYUKZ_eiH=!7A`am(h~?<3kl<A@-+9aie~m z<idYj>!aKXG(7P(<PPB?o(kMzziaKl1EI;U?mJ92=rU~$;#pVNiS7OYm5ISYen(!J zJ)|A-RmE1~8$Wa+RK6lu;DpoRre=V%r0kM{?W6E%djdbe$e#?`jr|00#>l+|i3>nH z6dms9j$lZ~w0acYk$<(0X+Xxze6yG0+3OL6@eu8acKGE|B8zy4>XRUNp&}&owN<)t zC=W6>O<ZMtc0*thvnrb?i>xTv@$K>CYxBZ6)7}q!<r?|>@8dl<(X4gG{rVT@&aZ-T zn~IS7y8}xW!VMw)bim0m`o$GbF#KvryNe-nR~u(UJs-VDlXe&p_kQVqPgy8J@m72m zH~Qh17q?q$B8!~KjOT@`p!)W1{d|x)BOIeFQY0`o5d!~k&vs_3WMOyMMcRH9bzPKT z|J{REhyJp=gmPxZ?Ilog(WgK~M6Cv?+O#{s)=rpD`aDks002P`>*Ntok9LL$gU@)g zys?Q!84RooW)FsI##=vfi$ic?k8>`Ao~?QRdzc_&lpsnsb<dPghuMSadL{*JF(M`o z`fPru4*_yS32bu^he$%6dQj2*zfG-MaCXz2<+FllQ9^zuC1=BAFs5Da#OXz`#_1iQ zM&oB&(**t;2L=lI7M{c`hq2nyq$)&pSoVoKk>`XlDu;EULR&6&E-eI5ip1oc3o4EZ zGGRKD<@j;KP&E+SgM@IR=P<;`?7fR}eHDdk&b(#bM9<dp3zM)&M8TsTHNP!KT3tdx zk&EwdDVIjKS`CxvQ&LxypHPn8T18ae5Q1LRp&;Bi2RvUuh3`@23<yahs6J9so(DNn za+6`;3$tXg`-}&VR>J7V6sUfRH@`Wz<!>7?nPCjzlSN?ikS$hoM-*v#>Y5}&WErQv z&xu2#&Dq@^CMwtz!{CJeGF!Nq<IjaEZ^^8#`XId?9wW%8^){}blSDK{IyTI2-;JZg zft0x!4L}c;BI-(|4n1PG8HS??<Ra=L-L73yr0^B~smP`=9hOwSMCChoV7mPlD^iHI zcw4slTNujVzBhjMJZg>Id9!5_EIb5*?AdU^&V_b+TD;?_1eqNDz~_)(tkFN<6v>>; z-jEmnOu=zF>Pdsw8#0pjLzJK_7~4%5!l7pt)&`V?l=RpyODHVz%T^*69p+``5#O(; zDcLTE$JlE2k_0L!hs~ZN3ol#8L@jT;V^4tWQ4)jfXYatd#veN)yu|;?9l|aQi&}*n z<F}dzLr_#%URGJIsrTcKe5TTzLXyA3>+6E9tmktYZdH&~Hh3nFWMv(={h2+;dlyi% zr2+dva#V##WYVf4D1QZ>!XVmM8^dG2X@Zoi)|F3h{>;SNxZ&}4A1G@Re5i+#vt&*# zkc9Vw7M<mrGrenxpwZVizfC1^a7TPy!IV%B7Vq<Tf<;~P+y@QfN5L@pK`;wJ6!O7I z;zOc3&lM4zVY;clZt!*xa%vCUdeJ6V0E8ZjY~SXm1eY{FQgE-6b!=Pj9!WMeXWKXB z$Kp2)&eVi!CCAYr#E-B)t`3Su2#0I&)2K2kF)TbelO^haLmaxpbI9={*W(4zQpE9S zyALF-Mqgb=U?Unlqt-9Gjz2~nJgz|(*yGmVmYL-n-(0YL?kv)>t2EC?_JDL|1%{Z; zyYOMMl4QSf6*n^lw8VLrj4w&|AO4t^4)0#FyY&mRA#S}uRBcprDh%L__oiL_38m#! zZfveJaEd1|i1O5h?`t>59q(N{UIeA&RNiQAY^OC><xzoLop`qiqOkpE`{@sZ)H3t< zl?lrhrpwmUx5}e!1Yru~1cX?hUHZ<Pf#Ze|XP4b8SNA#}Kgjf|NodZ9XD7iorb^Qx zVi0rFQin(pV!YbNe~ZYxQ2$+Q7LWuXRURKE9q*ZeF+4#`c`1`Z(37z9?ie<rnO?t$ z@3=@czD~?oZX~IURb}j{d`ka=1Nw0hr|J}ms3lIN`M!%f7Q)h_CLq_g<PAfkf99*q z+Cf*PHmI}Yegyi-E{?iFU7B*g7ThO%lQ6Gnb5<k-|BjK1-1!UJclW`>tK(nW56;}l zGT8UT%8Hm>1*%ZQjo$O-FC<W`sAdW8#Lg>3G1s#UZ&p+o3kI;Hd`Mfr^s=bgX7f3} z`J_Iy2=hwIwi{|^ybj>zWCu;YLttR*ji92Y!=>HQ4fx^tVW#ART*5~-3{*3{u7Dql zTX04cWQ<QGlTnOsQ_1#G5JjP^)ogu&2|>O3ofq0V44sNK%QA(KUPk@g-k&jdy)(s8 z$8lKHn{V$Jzgm6u^t~V4gnAMQkrpU{|J)xz$3%>)^v9=f0Wc;4Ac_$~V8PIPFch8D zfoA9>`0@g-sfXctP&07hdB3pU5?Yga85%qD^mGmyT0icyXGl0{M>}io-9l-4&k7g^ zq({siknXlln_DnH4y0ZIyEfzFa+fnToK$ar**_W4TbmJrqsEx=PhVcHADlgb4sZtC z-_$qYzvsSn?+M|C1U4KAeTim#btgD`cC`1hw{uofIe(ZKx^tV5V+fJB{I94tEzRA( zpf?O{s{YyawWrrJcE*J7&`HM#4#l`uW9sMt3(Q&DqwDpHfnsiP_VmtKdOk%)$N1?0 zr(EbOYtv&#v)3z-$yB#=Rr~dv?gC+%9vCLCunf_gkB5yQ^#^bNQY%BH91gGVD8{Jx zsU_TYWL|8{O63!DZT+=IN&KWK4IJBUr)eJ=xm^>1>QW)0wE)SfJ2~w!)GMnRh~yjG z7u%<jA-8@>3M1Ew{t06yC8YW>yed$CZtlb8R6tZo0<0GBrRKqW3)9)6NP|#ta@O9t z-B&W~n-4OIwx15BR__V2%raug$Knn%=lbNoVQ9zi$_pWMGZScPc1BK-&6g>^!{zA8 zOY3$l`q+ORtE*}yZ#@z3K5FlcZaZ>ofKqoy_q`PHe7`*>mkg?ErQ4QMDwO?fei#kK zTu}arK?hV665XkPq2Gd4EK?)5U|b0~hGeB-MyTF)?@}_~tD6s8C~YJnOCX(bIJDft zD)_qpOGD{hS20KAcMUtIW%@4K=e(Em2WJ(G3<hk(gM~S*i;TN0WBNWvCawl`nTcXI zdHFE*n&qesIqUs}s}86@(#QK}k5Nyi(zdCr*gBx9KCVQr(qWrfpa{vf2$RZdU?XZN z4y2Ftrv7?od&$VGJK522YV}~DXrqX<^n8^k%$FKZTm~z_-9Jq|hISP`5||mOg3=FG zbjn7OC?*y8pk$~2tU($wk_Aq~pCZ*8(jUjZ^Jf`x$eS_4)sMmGSHV_Qcbbrh@h{0p zp$0@qZzF_COudzMzkB%>^Vd3r&M`<N7H8+c8zB`CDkO^r>;EzoX=?p3#$p~J^cbOA zJt5zHtlf7ZAtsbULdW~INIB?3FbRpI5dV`n<Cj<?LMq}9P$Cc?ws9oB3oQ#_d|)PX z)kLRgELD+D@h{<ZDxmosn@1~$0pX?@*jfzy4ppC?Y2o){lC}IzL8fhM|C<%Ah`*lu zHZ;^YA#VuN(fi#s+xs>GnJn@zdag<VC@9eWK?dYb2Z7WQ{<Vh3tg6GC`r{xn@U_LU zo=?3s=5SB#Epwk8agIU1cr*2`qFG8EX9-`&wo?;^5`n0Y=v4le?*}5?DJ8vA=d2*0 zPe)dUzb&VzdsY7vYG@l)io2_*Vn9=QCMlN32iEJ8kbHOtMNCopS6ai*rE^5u@^F+$ z7Ms56TM)=Hn4YiNTg>nNaa72E{N_0yzWue_7T-$|dIR9JM{lQ<5UFljIUK1LA>eJj zU(0#_&KD(8%}CVRyMY>j^rh}4!&6zMbPZ)8dH0zwM=!X&$6fMNihkIe1nDB^2WA8A zdd~YpC=w!Rweygzc?S8$Hx9Yfqj}48@UN0f3>q|V_p_Y6?BkEN<0YG-TUMWQF8Me5 z=x|RO%Z|O|@1wiGvgO3|lj-8q;MR7ZKVoTuMF8@qs`1GiE+xPR@-7&i{mo@%ln;w! z&Tx|U0TJB2-dm$M81r5Z$4LLDNpBWONM$JKO)6QDH-kOh5W|D8?0sU_?uneumzbK( zg^V+C;9WdVymJWiBTJx@c5lAtnnD9U)oFs=h3(r6Puaz4*<JJw7wwGn-;z&ldXFNo zZGJwBLB1&9?M*<b{SvIaZS9tFnJatz@@NwR__<jg(+m=&B71PvoXXdaPNXA5*6%a{ zC@94`a6vk=J1R|!+hw>H!#71IdBx8Ur{z&~&mhH$lF?kM7^)mK{JaJDt!LK<qx-tU z%nT7^B}es~iL?ECQtZCVZf8CUE@#YDdUK(knz}iA_Bx=*StoS}x1KT)8KJ;Ra8ct` zl)#+%exXr_8l3+w2`p12amtAnOmD{N3dZ{wNbSJ9|NUoEONr2)s%yb&0^~>620SIt z6^Wi_gL>1iSi1EsA7cq7wnBF#<U-DsRq7Ita4IsXwomKYRL4|HdTfKMgk+4~mU#5s z_?1By@B*5MW_(s#_;P|EIum>lirCy%Lr0gLgVfjj<>J#T2TWgd!u0JD`n4&V_LLZ+ zbm5ZsIKt(5^~Ez<$Q(T@Sygy^zJ4`d6T|R#zb|VcD!+UqO!S*@N|_i@liQ;<ug`r( zOa<9W)Ct}AZqk1-0aw7hL4Y#h=9+2mhI@A2@dV-wYHk|J#+gy#>`mt%s@FcM`zo$f z3JL;P*cicZV$qkW$PEl2a2;K_;QL=sjojqMJUZ)gy+zD(c1+-M;#&;5XT8V$|Mf^r zhxg#;min(cKDxd0ySp4GjnM1bS$f*uUl)DBn(%5CCI=>}GOUgrEx)qh=U0BpI|B_X zghtv{dJyP#*gsa+%trOAg0AlrRX<`Z$&c#K{gumb{>b<LaKb-wU)f)urZuok7yL&C zeFWp5ajt9=na_HXZe4J%-u3e>8;!y;?fYr>zyG|h*NdCxX50cMTUHa^wQ<}1WRU%S zGSHQ5Qa_Sx0%MY~1g@m>e|`R0|5qdF+7%MnDcEF_$T~rk=62)EedQlpsXgAciD8+@ z%ESe+viF1_+u_Xp=o?$1J>IrCYmvyx$aS&Y)OtJ+iJhoQv8#eL?cO?Nlj;Ga3w>ER zAMINm7G6%`<VJw&_H=apeHFYmUN(@rHlDi$u~NU1qzPK>Z=C`Wgf_89nH#L{Mk}%H ztAwoew+?YTqZoq(sCJgbJnS1bK=rCkF_Z1w+zngv$eegK3D-QiwykB2bDL|sg_yw& zm^FB<sRUJ|7xml9elD@O$~eHf%NGEWpwX*&ifHv18EFyq__>dlq|7?Qnr+*wUQoZm z4#AGjv<}6_el|pO6<+gWZz@59FACu5VutVZY+GaH&V^?dxOjP9FWubLGKEDL*kCk? zxE@}Az|~sj)!5ZC^vr~41NUot_xx_*N!oi^nor$j5;<wEk?)c5n*xyQ);xtugMY*O zg4K)KAgq9JK{=48)?1b}E8IFH9~^iG&gx+>`M|ihg>fm64qikv#76~Iz4|t|UIGTW z?-r&)7#<RiGCrRck0VvTWXVmildnG=9`syY#d7Y|Nx19`>@5h-d&am(g)33yDGZ|p zbcCYGdi<$Tk8gv#1i0w7Sb7|SkiWEHgcQCdDh@(K_G(*a!Z-SDdp7U?&fi+b()z1( z2^=cPTFT<;w`3J`(o6>neTUeV+7uKird2SwhhxV6A;Gwjy{c)1O~F(cG?}rBTl(X{ zqyQ=8)B&f$Pw%y*g7CUP^94UE_U^Q{34bj+rm1-nR(a?baAAa3^KOtD{7w|F{!u1G z!rB>WNI}*Gj6}zNqg3C|mhDR;V$YD>VIamYUn0cfJDQg<cHO>v&{-3tW4vark6#HI zwuh)Ha4GJWL;on(l--iTU9k_z9_o6TwBg1g-J<8p!G0gT=94s+Hvnm;B&&n-%K)NS z6Y--4a$hoJ955xqNOV>h^n(oJe6MAu<mn(M|Ed9TNdLwydE;NfeI4&=_w+3$C3S6a z^)+@{3xCKPTDl(0a9TQi#Fj8rOHFmiPpW?G#l<sUw%nEHc3i}no!Oz3*jKTXp+z>| zDT5%3mvsw@ZK;;ol*8XBhtC%kzbk<cRz@x6d7}g_ohz%nW&2!=msMNxH9pM+P0_Z$ zCtRS=H0t^WH?)GjRX=B}yQRs&qRO?;(+AGQ!Q`F(REQM;<uc-WFKV!g<rdSF^*Kz0 zc&+~9k6>p%P8*RNOifPdTKrZ!zfct-<MfhaOC#i2_sXs_&*pT!S8t}RH`{Uia`*=@ zw>%<1n)weIt-|<?L5Hh@RGz9BL|#~Jkf}b7{G9#U<d^PxuWC(3Q~a!WMsqoiMO=@y zQ!f*7AU%r=bG?vkP0J<hGp$bHkvQiav^j>tMYqzI<}OC@+^7)j9G+=JF9^H<rT5<) z^HO;|vq>>sQ?Qe{;y5*LGpdF5N1$|0oD4XCLqzHdHK@3<K}#6erI&W#O51I~ULe&g z8ME$QhH*@@?K=laaS^U7M}tqZACd|5&t{7}f?MS!lgAIt5Fn~f-Z*e=T9(&1N&$zk zBPjys4FpBiXC$Q=><bE0Jio}KSI|X#U-~O_ZM@I2#sYlG$@#gh(qhsbW1&gN%%^Jr zPi^51i2r<K1wpWpax%e)Q9<*#I&oOGSkPkrjVS}U1#a)onUN7C=izpAw*|We(hzQ5 zby!}t2<1$5!p!>Axoz3G2g(x>oL9=7Z%5IMhGa$Hbh)tdcX8$KF~thps<*$D-p71h z)QK<K!Yt3PF*l3IXI)-SGhCm;&%gqJ-i~AB!8vz)#8)2d)hC=8;EK$ywR-ealXhgq zqrkrMS*U{dWQW;|qOl}i4DZy5fjxu;%?l+$HNlKrL0yMT0#p7*E)uql7`eG8HrLBJ z=-8U#uB1){&udw~gpH6RV!?*)bDXnNGmDkzk-2vLG$@lGg8->U&zX$}?|K1PeN+k^ zV|%KdGiJ1*vmoM=)K0D^b*f{~{6$P;ybUaw&Z53*GQJ#L7vJ0ZZB#mgKcpZ#q5?RX zG6uFx>$}Tt@!svW>U+l?Y#cFC7>F|loeQ2d&&DpB+1!he#H0NlM6U{>aMe}~PGalx zm21=1ZRnOiJym_#!L;xn0|Haq#JP|v)F><kR!@w&?OgkK^scsy88ISy=v3fSkvTnz znBXc_i+Bkm&o1}q|0V<>@xcwed&qp_*`pI^|D!Ejjy)Z6FSK66h3VVL6cFXHTamek zb|agSnGP;%0lsE}4)k&T+)r!g9AhJMXJ!;H9-_iC8cCs{7}tR+zr#L@8=E&?*nS(& zl$$0vPbaC~8$Fx1?QnPB-+R5LdJA@LB7>FB?_bVSy*nbiHeM+=Bbn}UZQi~>z`Smz zdPiozVs)CR4X1ubr?L6L?sa2TE0Az$ydg52sz+mUBa_#P`~Ove|1#qzUb?Fe7(OYx z$QSoa@13NICr-s}X};M2E9;!m47ZhzO}8?6BWlfeGKQgQ)ps(xA!@~7IYcc7EC;Kl zfaPGdm_|G9ZQ4!)v-NTlx65~kBon9;zmDHbmnI+B2$1@Exi;&{5}gg)?=obL=kFCq z021pCxso+~hZr01m1t`CElL!}w=L@Xg8l_8Q@`#y67N6jg>?%a6P|(!W(iN9p@IT8 zz7xJjhOEB`<LS{6gm*#~SzEE2{f^=U49FvYn)M_6!Ve{nyx`xA?h=KhYtVa#L?>-c z-|#kjRs9svUo#=^O=p=JwU39v)(vDsH;@f=iWs<pyc!aO*2qa}Eoe(eExEa#)%e_b zE42C8g6s+PmKY>(4vBKtB@0<muYKHU_E_FP+&C90wb`-;KBx#X_?$U|51P-7Q0+6% zjcWFWkBw?JwL1dk-l<?ZtBs`F%m))MXa~OW*AD!h^`om3eJoO%Gs6rSco3diZ#S%n z6FWbG$z^4L!ggeU;ynGvt_rOme0Xo1NT>yVP--{XCqa~P=<j!Wad9D$U^E9<-pMoS zcZQ`WRGuMujciH0wDaD5?4W9TKHcOjSf%hf3-d^sW4vQQeWe?WuLxdHf{t)Ch0O43 zE!NrRXXjeX4tJ`@ufat#>q!IkTmBGQerICA9NJUd>z1|OBO~y}>Gj(z2)3WmN0rFi z91vJLg#4O|8hZ6<tN{P}8}qllO?=SHPXUgRlT%xLeHB6i;SeFez%MVe>n^%iB2e4y zt(yBtJ<i3R8NwRkj9taGH|t3oL9wjeyPc+ZRip+{ITBRWDAGt`47n4-)imcxi==43 zy?BI$VbYKy*LN?`5~`NAZZ3fNg%ZS|ZwGjl+$)u8EE@HyX_0EBSNYh%S$3{jb-X8- zG34dTAL^tWcWw&rV*XU$W8nRs01^zse6=vk;wqh=vD73O?_`z%TT=e7`~P%220Fn* zsj-9HLF39Fa(0!D^)X1X3>;vyZLV!`_1MJ80vf>m5`nWGK<7B0%BFm`D>Vx0tn6^4 z&t14WzRWz9jh=SxdIdUoFx72rjS@+pxNud#&O8u~A5G<X(K)y?{oL3JBa%LJq0R*U zM*wec&Yfil8d%<Bm(rSnxhP7++myq9GABcH<W7JD!>HPLhb*En09X`BhI<Al1v+^q zZj2KVl^3`m*wJR6B!wMpD+%j%{NCSD<|J~wSyO$|D#Y|D|KUt&+TF>pB<bjRUj$G* z2uQY&1{ei(v&3{y6I?VB*Ej<pypkW8`Tg&5Ck!cXc0#?!Q6`U<>nj1C?%cu+1=|j- z=;>l51lRU1Hx<C8gLkx=YnF86ZHA3)Nc`J6@k;Ll{50*KpFY~tZQjFBsc6jteBqh9 zG+ptZ8;C6{sZ5^Ny%O!3uJtb~>|Si!?%Kq|OHQh;!;-l3hv4)hFhCc|b7Nwk$2*(L zifIolOU%>njl=R`s6Q4L>6maCa>;2bDpxPf&+?#;A!Pvc?#l6me{NEg<BjT-MMs!a z`N3~DC?1fj)nBbZHZ7K$R>I506TwkNR%I<NewSO!AzP~~y2uhuuh3^oOQIl(Glw!c z8<khYCRPW8bYB;nk3|<thJ=}<reTLy>v6n?p@ZS1Ttp;VeDA*IC+j*BA`}k3pMhnI zHr+GFK?hDL8Gi)#A#|;&*XoxAfGvp{;5!|<kALoTCP~rC)J*hp);5i>L~8exrDlXn zm%xdj9|}}B&=uy-u(O`lihbGs+*LSPF{GW^cC4&TFmVMG*3rCZdhyat29qDo*!)Wi zY6Y-R23*SAbp^-wrF?b{OQ(W_kr?cO8Gq{_K>051{qPLFK3CzE@O#)gG8W&@O^H-L z{4}!AyRx+y@p?Wa_#pKBO%u|q95C@{JLC6EL+sYuwZz8CRhmpIo7IoI|36&41ymeS zw=9giyE_DT4;I`#xH|-QAKW3hySux)yIXMg;Lbn!?!E8*_p#P=ch#=FPY-M8)y4EV z8%N3Iz*KZ8E(cXfLQh<k(8VXJl=qf3|7>(7tdyLIygY_i07!e_0(Iu1i*YG&DiVT# z(?P*3;(<fPd&d<qS2n$)B^fLMxfF4yH~W<BgT3INzT|e-^cG`5pe82_2^3b$UklXQ zIoiZ|@1h7c74L=T6AC6&i=z%{#Gm{0f}OyJ)jv4|8-j#R1@y8H1dk*HiL-NZI>(Z( zMv#9`^qkO3hU`-G(+^POXF!RL1&MJ0zD3G+8QXlDWfv|cO+OfxQN)Ye_Wm_*95|m@ z+KczMOa2KsUuTJbix#+8lR)?AOhnkS1J9tQdW}S6xRnb6eNIj-wsdLN+93*(LBE>- zuZ{h&vJIxkc<tYR^HXk->j_Ktrt0x%`UI2jhGUXXa9k1fh7ic#a}(Cla6;q&e$Psx zt^eF(3Tr0~SK85^t*wfq65{)uO%OzdTnWn3!fALkPRtzvB#msh2yo7V5EEl(ynp^j zy)+!G&0sfZgi@xzC?!Zn5H<z0|4@{>YRX)!nZ4IWDw{lVBYu|;MMFX2k^;1~MiNp) z2l7_*k{a`Qqk{-ZqX1NL2cVk!<S={tIWC&ZqHZaaF(4|rqnazhZQ<c&N;a#J2&C?y zV|&Ylh<9=iyOP3%j6vH$ZRF{HfPDkYa#5Vs{6=a~Y4=O$X$1!F_aQD*vdT!VYukhW zP(ibX?=%RVWEsykys0-XQPog20+PmADi(DsS!=FSnOGfU_jp_dKpD+jvs{OYPP#79 zPo2&iZ^AR01%Fy}EL#}cC0D$0_I<jaDU81?O>GdFPxE{wj1}3a4Lu~7n-@J$R4$?o zZ`3IDrC{wu6akHc=E#aZ((n<%A}baAj#+ZCFtx%LZ(#Dg(q+=$5{JS{dGe=QwBgay z+MMQp(2_m)0N?`GDR*HM1WR2)hfNietK*k7CrW%GZP}fpDCOkACWxpo169t-?~e06 z2JG(%4s29!efdmzpbDXkwo1kpAP<Nd@D_gu(_4X$BGYF7$GYLVI}1NhL4_C<=3$YN zKd@Ws-oEO)oYc_c^=HpH9?C#Sop^-r6kdv>x*3N78gTaX5F7+U+)+gMqDZ%XlM)S~ z5D%hA%$l(*r->~Q7-tzl%5pnIx%|UJ8YLQ)=<+~PGs<O{-HB<KcPPEkeh+3H=PshI z8fQPEt_o+tNGo|ctKx8Ul@?x*vU##!A-t;LMuiNX0VZ*<6Eb%bxp=Xeyp|eSnELP) zFq-?AnE2z8h9+=bMLDFgM5`M&Kt(BJM>Ua@8`Bg;-lfKY`QL8Dme~|WzUi3*q9h-o zuZ&v6o>m&7q>f;mz+-oSzoBsY*!bP=;nQuU_FA&0#(Vc0_O1U!>q*cbdui+L8HMnv zm{*#*HWNWg&bzCU!7Y_%n$W()w?05(fx&p7!MI{S{pxf54R2sH6Fg`4zhi^_iGcqf zfVZ92tNoiN)9{g)7t{xZVJ+Bqgl^5>H$2cl_!3`Sc#tNP5pNDA5h@{=1F^JLj8Kzs zOkpFPJ(Nud?eFd6NF+6)A}2DUA`5)McW-TpJb&)#rj`MkVLZw$QE#2^cK?{h79tWV z%!V)m?KHlp`fi^|iuo7j(KCZ^wvkql=#)1^2-9b<20fK1j4hSa4vO}lsi0NDjx>}G zv#@aUwbZ^og3fu^AwN&xS3t>L^QD1ekuj_9RD?<*RJbfIEMsBeky7Ha+On)h^THbl zXSt;1dTRhoQ&2{sQ6wy0R1r~E=GpMD=^Av@EZuKp>D45AJPo-pmQ-G(Ea6voCfyp% zm&vRBScDv|t3jf7wXoo)VacX*sL>Po<m)M766`FIF*rmFyhh%QCt;xT`Q+k~YbA0c z`yJjqbm|yVo@gxXWZ|M!a(rx=1NjBKp>mS!jv0Vhi$hM*xk(%0{H%>2QIQtd@MK$b zG`T-i6zlCkg=+?LYz*&%6*|-kj+Mirhk6F{;$CS(HK$?ekkYY^O}}Df!F20-kiYZd zi`u`K@FmrvwQv>HqJ^*x)uKr$7Wme8@hf~ot8&ENMb(VpvT#?3O;}+_#5gomkwQ^G zD+&!No#j~1594fmbV>0=;IC*gTuK~K081AKm*qayWN_3t9u*w9)x-bCDWOyOA+k;X zZ=?Ch#tf*uhw9;3oJN2JaFV6!1pC#s`An5Vz)qHVbud0oFeMWrT~Y>i7y7|KB~DmU zLKLHYP*tmyEx0bf$+Br3dHK))r9UsAbDY`Dte0HInIkc8!c1?pq$9~789ht|NvDCy zfpAx&p(Uu8gG>5q$oiJ#tiW`FF$<?+f+UX5$wx~Jiz(i9lfD!0*6Ig)qYSJZ%`q&Z z-Vdt~eOtTlFDZLH8*2-y(^Fx_mo_nsm$8a89=fYhP@Gaem+RFORnMmO4r54wE8Y9Y zNjG!{Q9_dHmJb3}b$m?7vUC=^95y9EM1J*r!}D=gf4`07;0Uo<5AcDsISIBmsNM@0 z2a}(qz1SeY8K^jL(~RIxXkNj~x$vlIVF8&rXRm!R3degtV`ZRUJ4j>DO6`&=Y3V`O zIo#JM76T=_Oe7so2BOF~p>e_hU8NLUXtXuT1VWvlu#t$>ug*sK6lX=#0~CPlscRiH zT*v{6h16OKRn%4fWVV7<PQhZ^93ia?q3|GIFv?~r;MK)C-d>sasnZ=+M3L7GxMPX( zfzEUKicdiqIH4S5_Jl=^s$Pgr0<HbcwY)XgoY4G;oad480G68C4hj$!+eQ0^r<$h^ zy___9z+`K3bb_<<J1SZ(a+!LGGMYJ0hK4eqyQ&lf+EQ%m1HTG$1ruJrs+G~h-U<?C z&AQ4!Aooh-@qF+YeD%HoJ+%sjk<rD0#ZTss9>H(;j2O3FW#9<P3(6pjE=%@&jMiJ* z!SJbc`+M<mppNn$p#ZueuIRf|>nl*A;=%~9;#)+JRbMy*zaz__JQirloK`$sLp)q1 zjy<@6`0rqolcMaxE_58SC-Y$e=tZbaHAJ(cKj5NUZ8>=HxnXo$#@g7y2+((CVQSx} z_)R#-aD<r1aiwfCQfXb6Vgs~!em+Qhe|{a9yEz#as9&$@9|K|mzMu4Fe|BK4R{Rno z&hHjJd|+j~oeScFJscMs@6Mq$aU+H+@Q^5W%{i!Z>_}@n!RG4P*bn?%01P_vJhCgn zz0{-SIg^7G$i!&d5Hc09KY0j2`+MoSrh+4E_&)02%eOwFA{~CEVH`)2UC{GihQvpp z@!YEg7Q-OxlL6|_GIL*^U#EFA%`p(rwT$}{Z-6TThc7fo1vsEdQ_;iPg@LIZ8Xbsu z%<AS&g#{;A(bCXU_9~XT#`nv*tATadI*nO&9)g_yC4i+z>V42cz*e3Uc{IVg@H3;Z zrzXZpk8SjQNPUOVCx>_GV`h7#b%)ptH#@GahFFcCn+Jf5MSNHrVQ89K_a@r$$Xa~~ z=k(sqP?I+tEurqDEXRG~A+N=SOzDBvQ|tTXj_VuckulB-aVXsClP(It{rp6O$Mj&E z6XHO=0TQ79O{4%7Gne{^sxJ5B5H3RZKc^ptrz5Ykc)?>z5eDFRD+Z<Qm$B4(7KQ+Z zOI-p8bSG74bbZ>|8XtPtp+DW;m@9KTq$?rZYz!YdbP3e{To2SDDOKKLbE)-&jlz~? zc4N*e;Vk-Kl)qDA(K;5=mDtHaxdaI(^$ZG&P3IooSB>Qo<tiRB)hWh_IPv)Wf-AjT zf#g*^djtEXYVb<rclPvir%MD!we}YvNfB1Jg4im6j~iFD)*OiV{|_<-BEtVdpo_QE zmLOJC`l}MJ<#&ZvAvLrDG`Ygv<+<WOY+(~pQyd8QQXYVJS~1<wi`$)!M0-#HXH{6k zi_B#HY=u^OS%e21Uked9{wV~=(sf1ed^B@uZVf=Xw66P1i*DlYl8NFE{Uk+WpQWbu zl>Lga+<<P{yy4gKU;L~i>gYlYzwe=QAC)H|zuO@gJeTh!fc?)1HQWZzR=lOLHMFI1 z-DjN%{<{^Y|L4@uU_)xC{3F_};1uKzO2Z8TY}5N?(0MG$Qgb7VZ)QaSNa-+CC)qTe zY;jJ*1EMNh7`S%%L0muD{fawi>i>w2+0v%Q+7*vFqf@q*83=c$kxj@Y9#HwwtR`L? z*;MYW+%~ZoE{x2jN$6PUtq1trPhVHk=n<XwcF6B!!`Eo%dl52sousKa&&Vw?%yr2p z>D_0m+!JX!*Ax_DcU@xux~{SQtm+uBqAj&%9f*0G>;&<J1x48y+mQ75*&$}dhC0O7 z1(M+pGVR2_$q&9cgw>IA;18bPnJi%&`eb`&WfabUZ(VD&O8F4YdJKa?zjfldj|(uh zofzb(M`qX0$T0G*9xvsWT-?P+B0YLEoz(ddx$=H1nUO)ly|}aD8vTc3X#NL}#`zF= zG76L|{0rY(jmBjWd4__NtjIuWK8S17e8UzmJbB3FDoVMw1!Dq*NFlZZ!v+|-q1bzN z9mMwO)r1gioEw%DJ9&1A#!hU(oIWg~6;N~$F%$pVExz|ue8Pn$ID5d`f%WRT≪^ zBlqmrW9m9ltXT=mu3eE~;$1xF$d`EZOaoxtfC+#-cp@H*>LGWngwLH9g(Cb91dse* z5Wo~QcTO2t=}BI>l2F5<a_>2NMhMSUwgTQh!@XlrqYkdHc20>+c=2F0r}F4ICI;=* zrFEa!rRO*YJYy{W=9RnOV0yh0z5jLkFA|_kJKDfBk(kSe_q$c5orM1je%&3`a|s9Z zXg#KLKZ_6=WS%JA0PI8+aNZXpks!as?}PdTf$!(WPV_gzCqIDo0`c>dRHU!Rp8)rL zu0j^KPmte)`k5=%IIsu6`vmEY2KR}0u)1@dGp(D$q#l-ss!(q!kXuqOq%!<HeAr`} zr~9Yu-=bvvi%Ye+HA>+AOl@xA-~L$H#c9i+*0lxX4bUp8s}msAwP@e>$)NOfG-J4Y z6=blTT>9(onCblXk0$}Ni}je<ZEjN%mWA{T)P1Z{X<oJs=7M|KVTfn*&&gfj0B37z zEdD84wx#AW99}5~w~QP*{|=o}4EFx}@K=h#{C^)Brx^4zA~1S`HlM9VZ39NZIgJYl zbs(dj`sHZtjif;QF=SF;3N?(RNH~=3B?=N37ydSI3#=9G6`=p-FwnY1%YX9)HfQww zNKAG8NL+m$RtRs)%t4Ek^OdF#d!wn_npOyxgMI<tu(Ju8Qj@;6Zz<sFnFDXXE6m;# z`-Vfir*2iC@QGiu7Zq@=y#XhKRXMDQC|N{LKvusN5)xmr_5&hAq4og+A9=|&cPrfr z0)=4-fkdl(O}J5&PI-mX-Ht!B{N!Nh%f*)1XGOeGphaL@Rsw7K&q_58ww@}*w3^kk zR{Zd$Y+bG%jmcKGWVhy@(!ThuBJ)&O`1R<g7@{tnC~s<HJ9z&nfCl%68|vvHJuWV{ zrW<M!g&z3Agjo1UJ(^43G&>-3rh9S(9us4bdXBVWx0eK2RJgRXbQ0{M374sKo<mni zwq}`^fG1N7sf~-tulnjOE-3rWH?wii>cW1{7Qq2aWHXZVY6Jz@C@hp^@Qr1#0B0`{ z2k5!zhj|-H(~T<uD)jeA-(u>f{}DLn7!&XP;l`D0k#*ClW>^0#8!phY{lGWYV_C*D z)?sPJG}e-xm~VG#Y9Jn)hfN%f^MjB+NaP2jbhIb*k56p@NgUPX0#au<KnguFL-|S* z(MmxIcQHGjwUnRIRMM+lSNfkjgOL~eXVw5vyNTCr0rt}4B$@H7FeQaRf0k_UKNb!s z3g3Yk@n5A^J$E`UAgJM=nNZ4hL$OP?R{x6QlYev!$MsI0>{6Vp`*wGx-Gv7aDuIlH zT!SSfF3R!qx=i8mQP1b>-Rrm|;popD@aaw#%a^kQm1C|a{9jJ__pE~NfDuh!cXw~^ zIDox8_bbg9lPak4SrDs`bd~~?WZA+P2+czigpjlk3uvsez8py5VhTEtdiY2E0F8xG ziQldQD@1Jy*&uy+pcTTi4L!iF1hH%-jMuLNE8KOJ^c{oyr_yiuhj46Vc8f7=rFKIw zY$bNh1{=xJ0M3bpvf362tTwwRHz&slwOSj%a;&<=a^sC#4g1WwcJkB4uxYQBFlp<+ zSG&jE)rp-U$+mtcJo^R~rHUYfba8+{X9og$GpWh=Ito<exb?(D!xx<MDHbKVX=FUy z-Rq-TXMg5@e=`fg5~mzhg+1}NfGqzvC2*Y>TIqz?_0TluMWV^Vf=7KS!lozI(^8+6 z<1z0#YjkDpelm)dJUJ;F(G1qaSgSoO(xn6jVXJmiRAq)GvcmLoG0CQ%k+D{lz<`!% zW?IaM+l!;xG>Q}y``PJ&NOz{b0`^MF#F%xvffk>zeAADhOsjAho+Tpe1VHp%=s95M zT6UI-5VJ~3BG__1E>s-Lkc4naL5{aPBi%VQCH=18Xisd#Hld+fUPiCVe9|JNtZ!&+ z_ixP$?v;frkG&karLvl=P4j<@)S{UP6H~d=;spFO{n=q~rg&H9&2$0YMrQT#{*ZfG zM~bzcU%NFTQy}bI_Oe2_M?wyotdjw-<7+su<104sg2MGm!veThG5S-SgLcmKk*G0p zT7p0+TB7}txa$JEXz;F_l^}2mI2SMdyLO!LRphX)KF?~Z{kzccj+~|J+Jm73vM&{j z)jksW&~s%gUug!;4|rfr)i8_i<;(j`K6!o;6Dn|g;{Mw)1ih%H#xiG}Q67|qFsH$x zzaA_5IP?AZU@H^g1-f`>MrK^Y*Cm-z6$HcEC6afTN=0C=XvQALL02|XVS6?yu+Y^r zTdKjURiKyWSTG-!6L#{=0IbGi5!U^c<)szat85L8N_7SL(82)<@?wJgv9;_%bkroE zOXnB+M&=nJFM1D=6=s2Zq>8?DQ$=H#zsJB^KC&7#sMhe$p(EPPp>AyElXG^6NmzRT z9XbX*uaRo)Ntj_@KKU@@RtI#ThPLV?vt4A2)fUi+%l>oXfOVi1Zvt9zE1(r$0$TBM zpcPlkh_$Ht0(fn|5wt`Xe)xRBB?)fwK5S)R(r7lTq*N$V@XUEd93&lZdug}PXySc$ zila1TZ(i|o`FfK9IY9aIJa=NNY+M%KAt#E2@0~3U{s#olfLg<%IxknT+PR+z1EWXD z%Au&iCl8RjxF>!5D*U5tC~5jWI6yg)F6$T`GT5=G!8;EaD|7|k1eGmGMvGgqDJRf0 zr9P*-T0V>v$=HxE>RL58oC<%Z<-LU*+i@|@)5vqJ)yUJT)vydiI505^o<fbpY!;_i zTgAEC=ucMurg-+#1kdR8)9i0sD+IZS)GFl74WLU5{()xq)<&fn;tTuQ?pmSM?3!wF zQ#wHNal3S~y$6tz1hxZ@C-A$Mkd+0*+|n<bxdYo79WKCUYf${tJvpSMHQ*JhS}Q{y z>^x8ToV4kUwFG)k7EBqr^vUo%59wa9;vsf*CUptiffk|+deN)lp%(STP^2nU6iQhQ z88FC$UPu(HZFp|THakF76iPt2cLE=e<mhJ2s{qEU!0sHjz%9u;ir8>!4e8trgy6!G zywrRK3m$m09_4u=nVQ1$KSW?Sv&=!W%<*^!S!gK;+3O7#Jb*We)xhutFbvMfnMI4> zL(BR&hMJG$NRGQ3E-z3di6Iig0??E`vlqqxt!gNw9EU2EjE1UdV7N1UXMR5$AFg6& z!C^I!MjK^L3tTX`JPt;-)?O4hc-KLc)!_oAO5BEBHF#ICC?b@WjHJIG)f7W~1$At& zO`#F5Nl61U#4w2#iOoCFiXLH_JU%?I1z4=0hZf<B_UF3<QB2xT@vBZcfEMohY8_nQ zh%_dDe5lX-xa7TkBWq)vIc7+9673@eGE-4f+)0Ursm1;>ErJf+&jV?qVQD(@xsBQJ zS@A9xoQLJ|(iA=t&XTB$HHSjh8&(E=T*FSCiapcYUTrPhc>!=H{<|bp@%1L!c`D?a zq9oEwwi`#@{}BOhg^d2ADuKBHs=AtJ&nS^KrfJFDkjSeLY%a7y>wZ;5=#GIGSek~t zA=m)>tfE>}MF@?7*Z#jm*Z)h5JB{yTTH+~%aDr70z%;6f<sSH-H?j`;0Es^^<g<uB zA*2CBU<!01>*hO+4Bf2#FwP>F{_&yf3*)hmxZHO|I=HR_=~LDx==c|1dZ<Glh_-hb z=EjduX-g>P64%e?-Dh|(?4T5%)8}-wb3tV+f|h-Cg|eYWY2&)%b%Vs$gqcNLj1Kf= zO0Yw$Noz^Q;XR{#+%k5(yBf*^h!Li=dGvr0G=P~GOmcJ7!BzcOyXBKYx+`+_8?SfF z0=D9H;|KVIaQ#d#F7atgb%rm8i{lh}J4VW)+B(OGbZ(2Ai|gN&rf+n$U>hlM9KsKF zs~tmIyoHQqpSLx?GAd?^PFbXMmAj}vC50}kM9$*RlD>E&1gDIxoGo8Xl~YvpyLteq zKLqj_%eud63fwRGip5jRh{6{sc^Xt_&ymU|R6|^9CRmID{}aHA00vloipBmeolsr( z00ziVzBjXU5X+S+{oLkzG4%*VSEUcGyh>3ojQ=ZTHDTmCb9O+@V3v|)$la$DD2IRX zR|VY_;&U<E58;!6(wA*+c^^=6v<mZH7A=hX?rJ6HzdafK@LXoe0tT=eP=@+q1B$Yi z_Djs_|7lc!8rYxy+r^&`5TlKP0ie?xba@8xkS+wWy%!F-!p0FIw24y=wF_c!NIjv1 zw+C*TDb~DV3d9N9W45?&0?g>c8fty%2Q_8Slz!E1hCf_@_l%MCZE}+VJve(QAiO6b zkV|3<^yB%+Kqg{01*H8H$S9d>whk*dL-<?XtPsDW3EBOb&3!!w!>dp3)91O7@yl`@ z2)t;94;#gUzPf3X6<V=LRm^e&Mb`xH`{N6n@JL~>;Ra~<lyRFnYw1`BvdL=3KJdnO zHT;Ylq<I1azoZZtZ$^vH!i(b`Gi)2$<S#&&BJ-%x$Q5ctv+S`3d<r#Y><>Nha0Z%I zUG8KQBJQpQcKb;REz9KbXps-$V~gY_9#S3|%(Z&Pme+-Z+QmbKebZ`!%ZuV<iQ|nB zp1c4);GfN~L@UaT{|{nN1L5r=5Ek)706Ty4Q2}wwKg<NgldJICCdj>wa8h!Gs0ooG z)CWecraGHu`+MnvJbG0go4oZ$J%!h2VW}-*+JNa_5;Fb{-ndHV%m_N;63<K#&2pSN zI91sF9DmyUWgd1uBl8(T5wY<cNOcz0@LE;B&U&b=R;*hu!U@1voP(hl0ZVUr$s1I} zvCs~oGg4_-NurOCN<)3B6=*}c01f)L$Rj(o&^f+q�r{w~PawEO^40G{~6bx#ymU z;BBoN%-49i8BRlzBpcZSLX20ulO0eeXF4osqs>puv5_6fch#Wn-_@fa>MwsFn3ThV z0_77<L(HTdAcvPFEx>M)(w47rS8TG+0wq$$nj4*e<?74!Yi#>=sF(VVij)WHJ5{YN z;!~s{pFPS{F)~x6gCjIf#clZB>?JO{bL0ecXD%^hR%W0D?dd5{nyJt>DQyZHK7?4W z@Sm@k)oRrOmt7#-B0nTaaNTG{>`gn;a$(M$0y>6}sAHqN3P@W4^&cvVK`v^2e~e}@ zC5Qj4qUfx}Fi`h<w(Z!~O~6y^f7-!RTmL?ZVEzSnX?10`v680!E<epEf4|O}QkXv5 z@24KCeJIHT+t`eFz&UVgkj#3K88+gdj+n<?sG}AWNUtzT*Iy~_MNm3q5g}q&juM-2 z2<IV|+GOz?ya_`N;6N>l4dz(yO<DuffOhGSi<M7<6ig!`B%jQ7fN7YV$B(o~%RZFH zYy&eob{o=3c7fL@5ug)Ltg(}HK!(@9Ntz=;tjt5C$}Dy(8I4iTXYwNAi(55u+W*T< z<;2<dDZt7tFskHlJISV;diD+KNkWbR2uEbN;)4u2jm!%SAnfK2UI^8PdlM~>%rIS2 zb6i3w2#^m!o&VcKn@lwosM02f4ZBeY1QF|<tt1tOG<PRup1eeBM*WmR%7wwnNuofA z7Y(ur%3*<t@cW@9HBBNVx+?xG6$lKLB^4t6SC9)B+|`mgGKhis=EQ8!uRsVP)|*>J z0q}@5BeSM_zxbgD0rw~37TS`rKO|s4fHQ5jFM%kVirku>`;m^siKol6<=Ms2_hZ+J z#YWF={PY&qxW|F4J+(9X!bmU{RgX&v4LwGIyAF#KZ3rn>9v^(zm&CY_zWJmxA)D1} zc}(!$=tU0Ui)zHA7Zz_=^&NkRs=kAG5<nj)Zbmx<WgAW*!mcWuTVLYojN+%px#|j` zXL$jAlDBA!$G@X_+;=aOX^XZJINo}J0&(Jx7vS#MOE_rYNfNUhd6pMaqTuvkAkHj= zya+SA0Di>*wE*r=uBU^1^K4N~GzkI&%m8tC4IP!;ttZG7!V&KX$6+ZE+N0<Ow1VyS z&FMg<`(rDTaNO)U)AK@72`^-Vg)hAA!39Z(J7HQtc?<b3`u$!YKgCE53w45{kbjS) zL($?@_czOh#gIf~=h01*_YcS6E9oskgRD<E?4z3k!KRMI+i`sDU2U{agK8i@%!CVX zfZV4wsd)@kPmkkJB;}|-x)0C-V8Xrr*c0t<g<F$?*hzAgM0S=$I_2TdQwtC1j(rW1 z)rlPE7DTwmjT2ZSv}&S0L5U{Ok8D>8_>f0jB;$}DRgQ&tPGanB*$(r^C`A#J`GJ<9 z-oDxi7vOYzN3RJNf6_WHHuAVZ9Sep@Y)&J&w;+w3vwj^f1g9F5UPKZOK%vtOvgn}# zqfulrN)Dh9uW)8b|A2xi6cV!pX5*C24@o{w5CXF)O=%>7)2guxR<CV9;0}&C9WhF4 zYzApgz#!g|5G4KKQ0g%#w%X=B%-?L_C4cN^l`(0H(wt;Ae2_qz<T^|*9#iKdICro4 zih;4tKAWB4qbWi0t^lkBKR|FTbl4ttL#e^D%$v7=`5~}pr@d;4B>GRYZ2YDdg2Ee- z^)VtH7dlS4OhF|9kJc~ljzlrdb((l|f{OJYW>6t!3uB%2FnvP=>-Bqw_z?s802u%* zrqWD|1S}?>_G&GZ=)d}{=Y_ea3E$vDOxvr$T(R5g7i3>OpsGuxdSkeSVq?hKNS$># zWS_iRQKGj-QNpiWF<pq3j!RxhC+FDwxJSO@n#(UE{<Se6SKyM+(C5nf`n`6fQei}5 zQ;HYN*M}FZ{`DR<ak+$?<cgdSRM5;7qBJ#)Ds~+Y?;DA&Qj8xl>vu5KQCW1!OXX;E zl$O$o^SkYKK-JJEzmylNV=hk`zR53X_sHhj$_AksxS#fHJ<S67kE#Y&QmO_AesGhB z%8(Af+&#PpACQwHS~;|CeC>?-CV0`2y)E^P`XaUSA+Mh(usSQr|MsRll4EtYVt)Gi zM0RO8$r$-X<J9&XX<7IJ_<0SratuiyO`%%tyr5bq0r#FeMakxVk=oIqR~uQNjBFi5 z?qy53J9}8hes9?mASo|ZKw^cpcc|*n^-%#I;+3$u?VBZ&I1)RKlgO%({Nq;wK8O~r z(L%${hq!UygjO_kcjKDIjnN&D2>D0E0EttL8*B9YmFSeU-cPw0!~ii1<G@eEc__f& zcvp!^1_pd?Q>S~}4cI_2_fJ>wR<z$qLTip{u!E}LRY9Vza77TGoREq^mgqvTsu`oS z{u)1WlVV31^AdZvhr{%u6NNmAE78KlV6<Sv4^X6G-x*?aVH#pV6NRdbO_GEvjTLpC zJ4k+@nn{7%=c%#R>x5w<M!*BeDX0Pc^tK?3i|gXa@O8~U9CojRA;eNmk$Qu*4o4kH z)qs=!-!K2w+5v4<JSWs-9Q1@93hp6A!!S%10Kv98B%cV@c*$+OX%P(|v;L$Y5jj#Y zTT@(%#31aemK^;SrQ+-lhgufvoroGbMHA$$$^xCF8Wv27<CUsN_DLTb1UN-L<W+^D z=PW}#Q^c%S)Qr~P&JP!T)*^vLXC8McjdHVAqg>3R-OHP&t+N+J4?k%ULM!%5JXgdu zUu%>v75P~xcIaYch(JZihb`Gpk?2TByZ=2y9nPgR;-+*^nI55Ub)XRKgR~6R)Y)P| zC67w=FKJRvL@;@}<h@lP6(F&$Xv#4-T~RDWHJuJC#)52)D=uA8yqHrH%SsqCLwT)U z11C`GiMfy}(V=Rp4Kkg?$(98-7nb%E3togd-J?Sb7j<J%w-olLsO;gD(QX)3(1&PM zRFMr7^I{Nfa<Q`Cztisb%~um2<V?T;)<jh)8wA#jdqQtwtp+sVjwzy-w<b$V4fmtS z+CmHdJ5ejP4B&~*(Il-$0Z%mc5q%tI!Aw#_uGC1DRtyhbf}Qm8TOv#GRAWfG;!vt; zIS1CT0merV>u@9P2Cy1Vg7n&OKS<QrV$#!pQhom`^#T9?rjkIXldz4l0RFv|V1j@A z#W&7>HAO@JQ<Ih#So7dxFob0I{l>RGHAnYPb{3P_ih9eHs8Iql*5~|rAqg~TtZN!f zpA9Q9XabUS?PA$rYLEuH^xi(79;Q#2P@z^zD9R>P1M0Y{P|*s-ARgw<KKHPyKjAP$ z%a~3OE2g3pfETtddaxu;LfN0N2gu6)W78a^>UJoi`c&-~8s(pSq!wyg>E!kID6GGB zKPlc2oY&u`LO1kFuoLdipRQ-B8EqQ~p``Ig^6L}MwV{e%S`bR9^m|E`wqT*+_GQO$ zQ<pbbbG58N167?UaTf8QivKntltQTk73(kns5q%WG$>S%tA&GRT`_=ZQ-cL;^&c?+ z*#;?+i#<!+vMN1(-M-OU8014e=9&2i^#tK*f`&kU&g`&V^rC0E(@1_BQN{V1F>4vH zSMd%*$<B{=u>&9Ur>v)E1rxi7OMj)3Q9Ne9N=&qR0=mI^X>I8jr2O#$|2>pu3<h_A zsCK<C{VV$EN-e{#kt2u51BzzkC}o0~O=~Zaa&~HIv&AA`5Wgrq(HxPrD#}NA6q<cj z@(O&l5(0_26r@XfgdEJ0L@21pqGjU_>Dm2qhL5Ig_Klrm0Ezx|h`=Wz>&_2S<Bn(( zFSn;wdvN5PenAkzTrMQ^+>%X-@N7o_J_>*4*IquCF1wI|%Or5^kOc6Pm(XbN`(hE$ zlQP>NQ3Ve3uxy`2R3W=%Oi)iN%{bAV*8o!j{<l<ezpYA0u=_=2l5l~C%W-bcM^p)r zF3leBld{9_Xg+5ZNe=fH`_Ukt4M$M3Dl)bduZvH#`zLD>_@MV@SqQl$$zzg$`!c4V z!*dO8JmB|cHt;~MS@OQjP8CRfV+Zlfm_*)57<;Gi&5Tt*QEcCs-995^oY=qp4H5zY zmLgMpz5t;ip8^13ra*DmWmV6n>b>oF-v^NT_x_C!KVt_?K0hS>golObPMJh2fvxRi z`a02<B(d)?iQts;JM?>j27C#F`9o8&Rak=26Hf|0Vxz%iGN+-)p&PUre&+SW3hjiB z@tLKAOrYQWeuspxeq|vMDke^VuqR{wA#@yWv&>A9`#8uANFm9+8{}NWMpN`M%5=^6 zmg_XgDTckL{@o~(K4YZjZh*56Yft^nFmo?`q{eB0lMskH3^P*!KzP^B8IHN9?q!%M z|1a3j`G5gTG|Ytf7wh9R1fn;C%=R>3tdDaF9hhj4X%9qqy_`JgJKqx6q~5vZSbysu z``JiS4%Pr$)VHzx*1rJs&2{gX{qFI`%oAM4-bAe?Pb9dEO!)>}fV!lmB|-D<Oa1r` zFm{~=Dc*Qsozs&p&qNh@K6W{EXpNdO@QO`Dh4AwTUvbT_zj0g{D(I)0Gyz?u&XPk? zxD6J8K7y^Zb+ceM&L-+Q{qeKWsKf=>>wY9DXd0wL3{wcipg=A#h!z_S$~}TD0=CDw zNvw|mI|>Dw`A_5!nXc;`iv8q90kE-j1;v}c5MGUVbPK0~(4(gC;c1d;SKwcsVt~CA zN4T-A4jx2)M1nnPee(Uz_POuM(q-wnGo>Spc-HNo%TGl{8OAE$XTVv+^34K?Si0Ej zfU>A&vKapmqQy~BNew<IePb&=Y4S2>0`7?O_S()2BF7ZM!Ob{5#(mUQ3lMo-CHpJx zrM$bTknd-6lS#C|iq>FAVoPXd;VRMXB|Tl5aT+=XS4t+VnGKiuJ<A5B!V1pD130E} zM?G^<%OBO)_@+OVut(NhcE~*FlQcZq-#sd3q|6v-zNOkQDzFCdphs!OyYEeE#1pgN z$;1yuc2O4P4|V=9`Sr~lg$JN(`s<rrVA~n$%CBj6;|JPoMfQg?g^~<UQ~Ba=kCl|< z!iz=8F~`P@LxGAS8#%#TjcHCdu<KGQF|sNm_;^qW&4M0w7HlO~=#2?_pd9pwHh=wA zW*?8=5p9m500FM`@FLOLBHDbik3F~h+vw4_9X0RIT!jV01zBGtA<=-7CSl0u?dQMO zQW&-G!@mI{r69vxz95(m9s0ie(0(b=MmXEgpgTiVZZFZqAbMECtlfXXdm}e;pQ4Gc zlLtXM?B3m1gzxCShJVipU;F~X=U&rd_0MQx;?~wBXJBRjiOK4Bd_e(whse|Jzx&`R zkmra0=t%9zuV?`AS9W0+eb3+hZItHJ0K4~{-5OosKbV8d=Iq3$V>I9n>Hj>LxAe%l z7ubCH*jiJf9LUPOIJ$aM#5N2R2=g#kk57LcLGYx52JG=%U6=^VGk-ssgf)X6<>}kB zz=VHw9WO)Fk10^mXWT%Vdq!xCq@Uf)6lpd*IKpa><K_Z5k>pg4PFL>O>OI1;&2qi5 z{FwVTIoi#GiMi8V-)ZX<u*OJAo_cxjm{3Ykh>$TVePm6>L<5;|`Q#X|=F9Xg_1{6J zZw)um=)JyXmf1mlmXtW-hL`E9OqmYn*4U`&fWOXDrVE8+?W%+6LE-fTp6qnA$uBUu zDa^N=QUH_Rj3>YgQ0(I`I9cB<#5qm-Oh#clJLH-XH?gi?4Q|MjBRN7JH_2I_NfK)6 zU_3VJfb;73Xn^Fe)9he&z^$gTS<1FWZpV(>XFYYi_f{$H6hIJ>$D{yj#`mLJ(*&YH zlFgAIcZiW^rNEp{@LMX!btc_tIXm#viPIJ*36SSeIv&WeKP&H_<g`g!E53sIRc@)7 z=bByCu_w>^;u@D>Bs_wJ=0h(5Z%f}iI71L-_+DnH0R<Qw)_AsguN|C0AY%ShJ&Elj zuG7Hs{jS|HIFng1^sJ)Ng!>*xlpVZXj6(mH4IPI0b5X4m;ZyQ>xZlnRH2FC#4Fm^Z z1)$S|0u)x5?6yR{%Dhif`a}sfVKM~@?g?l<|7kmNRZ##aEMs;QOm_XM|2`ZC!_DNI z#mz`G7I1gyxUJLI2g+wIK>obq%pjTxU4xZNOwIj9un?N_Or|Pfm3y%duL1sU{P=<9 z_l<`8m9GNyj847~YFwb*kE8c1C_x|W1|S9rYy;0B<kJ<tC}iXrJqz-+Am<C)o56vX z`>v@5KB%4nL-x+Z^g;>JvW${Ppq&i!caAau=4(I-sOsSmrAAJn6!vBdC+YvXLbcFo z4W(?^GAmQu9-M|(A#_$tJ=>`7S8a*Kq|#E=ORe4OWH#jSFgyeQscCqYA+Ko&IAfFK zF}yub)HI9^j@uTRg!@Gw^**nsreSP&@U&Uqj|WfQgO`lCtby}lQ(jp++|T|xUm97) z;BMALNK2KU4>l$$s!5U-HVjXJPM!ufwz#(0XtF1A0U3W|PZmSLmt3Y8-w;L+hp|+W z^zW6pX#S{D2^o);y`}($EeVKlH(NllkU~|UquEQ#${&?76AV@-q1jFQpfL6~2{_iz zBSCupJIA@TJt5fhn(!o~a{K;j#;fnG_nmj^%h$2<$;h$wV}EOXe`Cno^}+Ts?k^-& z&HL;7tD~c@&qJMuJ8zD6<+q>v1k+J9tF=$W{#;&=U*7i5cLxSv_I7BuMqdUqC>pZc za#Nsl_%k{5UMbQgV8no&O6~2$;k&Yt{c%n2?zC#39=BGv&Yp$t)4wBY_X~Ih1b3Yb z$M)Cv244rxV++b@J^bHusu{{Q%C)?_IdgJ+^pGkTOVqEidN_xCn8Bv-HxFh^d@hwP zN_y0LQtjrvjm^QQu;m!d?#lj5Hn`rO-5*vE0Vj2poNh0_dA<Se&mRu&fw!4o>ALU8 zmHuBGfvx8X>T;NTtUVNTR|UGLwsgPlUK*;tS@wN0m4LKJF0bB~oWF>%_!pM7wv^~U zS=#ozG3R9KdJ}!wFbcmqd$@Mse3@n;;`(AQWDKiq5(H;`hvNGBJV<c`YeQMUHen*1 zNHCr_Kj1c~2e<>6!ZNe;Kh@y0ZP4qc2k5~IUy~m6H$ykYL%4hZ4IoqYoh`CkzMdTx z@1_H`_t^RJAA7F@Mwf9fEq~aSPFxx^n)GA)+Ao3>h-Vnha|@>Xuq$dWc>;Ys6I0Tr z=e&h2I<XnP+&4wxB2Sn0nwef4uuH2x8XNWlV6e{_7|j90cBv<Wo3+56Z(EZyM>$gu zHP}?lX<a!CX2c~e`aXv%9{Oe{n49gD$7pzN23I)v(%tVnOO{yxFP)!np>HK!kanLh zD^-Oy#f|NMozK^xaC*hVyR*8wvO6>M<R%HxhmY5+PuHwDoR=>JGp+=u1l@*g2k!r9 z2H<-J%zOgg&)sI?qvT`sGUa_Oww#}hnH;_T+}EcNV{w@`yohV5RUtB2us6$C?T72( z<3Hp8eE#lrV4t7QJTJXl?~f%M8+dC7O}>^>RA6^}^YT<%%Gp*mu9FfcW^AI1;+Nf2 znng!kbk%47TAccl?eO6>+K?;nGu04VRKzYbB*_4LUah%hC~);`$j<8<iYSy%Q@V+% zwkQ?0`_o(4+0~SncbyHjzx6DY=E;}k6)!T!LUPzxc$ps$xyB1v{>X5v;Z4!QR{C?K zt2O)R+6DY+x%^y{uCS-)aGoRi%wwh|7!AngB)o|<@34F=gtXyP7>_C9O(DTi`lA+i z1_h`mDQNu!;9|y<hiDL+ED1gS059v~zB|e(#<u#bdAZK2=(|i0E8Vq><3L!BRWpIK z4F`6gYz&a&|FD{HE@66%U+fta7@Qgiw)$nhTW{r6=heyOxBmGaa_yaC!?*1Tl^pWY zD7UO}5z6`FDQ}*0thJ7Ky>c^Ddc$OA*cV`-;y_Gmli-T~mN(VoQ`#sOZwpjv|5MU* z0hOCuKxL)~?pq#z>@Cs4BbNQfeKSJVZh+?ZBptgI;~Cn}duxP@B5uW8tAK|PT)>r* zNVEpJ#z*3d`yGjrkM+R=i{el9^T#he1lh~+jhGuIxse5C4${MIzzf$C;Hf90`&$>Z z;YjzW<%kZn$~B0MGX3)PF5)k~-9@#1vfV|2ZQzD#1Gqt20d5}Wft#&q;D!rHUwAFY z)wS0NH^Vuc5O*M9O&2t!a1C>ms;XLu)6yBTUMhnwRxVw~-+F!z&Lja!og|~4%a|h= zYPp22FdD%;W)E=q#T{0CAWUNODh~z8OAA$FRHAHCVD8&{xWzh_3rCi5=Cvy)%<V`v zq`Z0-P{0)%t6JR;qFOB=wL41m<hXmm!!r6Q{fj6Z@;Zt@dJeweP91D_G#sV&vy+#m zQaZ7_ymTbf!LZ4|Cfz!gb!?|e^DZx_;YbA5Y4RIhS24gE=CTLLw8&9>0amkodu*M7 zGP7ZWbvLn`diP=&c~O_u)3Ur^Dmx`Ss9-5k8EZ-8U=w^@SqZ1bf8_mJXHS>lfPB%? z!NK?>f8iUp^QROkuk*Xq#gGs)I4_UL0WW8yU@D~*y6@!gmpQ!r(222-o-h*<{8JA~ zK3#N$8)pD6|G<rG@CL?=Br(PAsb>L%LW(aN!p!2V-yZHM;}G9Dfx??{_P5hV@}zlq z6-M^{Mr`pbdX#CGdNJCNdNj<AE6zNllu(h1*1<$&a<K^WL1Ibwb`rY21<G}V0XB~E zB6sn8Ay@@$WGeUe%2Y%5V(}f|V7;_QX=ivk>j3zvgbTd-K5Wc3vDC+Y)ptymH^<#D zhQDVL+cm9uV)?#00!X@ol&-1iQ+e*<!vaRxG~b=Wx!4uv6UhubL?1BE`a5vs?iL(7 zw9-waOpA+!3Xt*HgDZO(XW2zJiDUcR%hCE#m2@V(VrB;Me`&rMqrl6A?++Ak@SVq< z-~ps;AeJXhJAbf>*Qt{_%5r;q=u`)>WVYtwwtT<lCXkuJl!3aWJNGT!qGe4Vz_M$@ zEC;{xoGc|z&8Jg?#ym7%`f<uyf|R43$Gh|S62+^S!K4j<DJG9dkN#a2D}HPtAJg$W zJe%MNDM%n4^IAZGZZEYoXLC?2+<M$HX%tXQg(hvH5_pHUwrEi)%E{4B6DJpq2c+V* zU^&<FJ-B`e%4!cC^ExL-{&wA21vXjJ;$VzzbXc^WSob_wk_}$<dAJOfoZ2SXJMxfO zww)+}+1sK&Geqw~U+X&dv{2?|MQy<F-Sk!KJu6%N0!K^>!mA*?6`estEW%PK1)!R; zNIeP-#IxhBB~y4XI-=xZGYu#ie~k9FV2hI~lx_qO6s>G-lF$BfXhpcUQttj!hMlM< zqxPmcoInx|ekH`M&LAZ6Y8qdR&7QTkV0Wf()Z@V0lG@pHyNcYnq~fC}FQvg<xgMm$ z+)iz4r7D`);Mq8gJu040WvIKc3_yMJw`IWSs~l1pD6uxpZ^lwHYtKryNCxzKG~yV| zh>16-GG!5lD@{?bVkTEEl$xn96_>MP2k+7%207i0Qo4)_Uhr!n)a6mAl&Jn1vkFC< zUZy#1W@BP>l!e`mx7sDvC1wa&y@@YNj(2D2`(2ro=_naEGv%5B93$Bm031X?PQ0OT zMDC89qv9tLo|sIM@ywfL0HxEd=>@14V==E$y(QP!RNLc;+*L0NH4Rr|UlVH8SR(zB z|ABZGB}ZC%!&&}bX>1kOvvM@7EUR|dR9mU)q2h9ORc8E_E-HM{(SyZ@RVZ1t<&xoo zGDn%a3azE^4_5cNLgp*L@xW{NL{eo@Z3!;ea^0Lp0lXu%+F}-k7RRz=9$7fgivbB1 za0Z@)l$Qi!gIi)BI^HGVqUWNTYgcJrhP@hN_s6^*&5`91%lx$LO#c8+!^kUD(|zSu z`Ns^#J)mvHg_ssG=9u8vMVHMAfB&N2m2#qD2V#dlf<-~qMKm4|K%*zv&g9dxwcRxM zI`KAvknOjTX1<{B@?gfacf7l$5?`&<nWkW8x4e$rvk}R3;q6K|R((4O4f$HmuFh#> zfnvi)k!~k2X@||P;Ppaseg|AjU%f{7@-WaUi?E^Cx<+_vDUf}0yT;Bdd1@%IQsxdf zF}tYEaeIR!$wLsJU9x|B6th>pEcM57)?J;&7@siRe<Q2wA_gNoqwnzH#ZFK3!j>;_ zwhrH_)o-9D;%TGJ>8-~*nN#SI@$+-h!P}LXC!1sL+S%b}gw7_>x;&eOPeG?O?ke(M zL%1{3KyUI8PuS)@SNA{qP`Xk`!`LQ(aL%KA;KGKO9GiB)=h9Xob%sY6pMt+#(SPqb z-gY+_UXPjRHRVh0+2*smsGaQb47>UhGu|2LrJF$byW?+%uC*6e%!)&Yij~_SJ#V7z zH-@grw^Qn5;1bCVOAY{+dM*#2PCz>s3m~hLZ&fg>)Ar1;IaNLi=>lzKz2MMT-|M$e zG!a{4djUo?08I`VOaH`!t<AzB6?C#w&|0ba79!$S-s;_%|BJyxz<AuTe5$G{y2OBC z=8dg{zXa}0POFmTUZpL$^Logt2_i!Im!;47%WJ|xT4G@`<205zECU08c18IHQ@br$ zNXd%9rqZs@7RF*sACF7x`D*F!*lGBm!H2id)6g<)fVOMNgeFHDbhn<s4fb8H{sp+W z<|*XeV-PO@6n|xOo*Pt39(E!EoD#$Fw{V({T=rsKaPRirWZ)u5N~7^()ot5>Ochna zM!1I&>likXdXut}wg<n>;nD%;Eu~^c51K7$b+)x7523wun0lO0<U~LePp}q*;Bgbq z+63h;00ckF4To4$Pp1MmOEI*ueS5#gBtxvamcUmWG)Ne?B$Cnml6Cx)XpA(Ux{Vd? zM-qX@x?q9dPc=!M4czyk5z%~TClVN{sQ6W9YhD7eAusAr$2;r_!Z^w!jD)<v;bcqc zlOF<M?ozU;2bvHkeV_)8F))6=QZdG_+PEc~0pZWVw>MZ;O<uMdoom9l9EWg5sCFrm zG+UR(JVAeLDb$dV4e}@vmuY3-X!pmt2IhzPH8#vRE^WtuSH|%h4mQ(+bcTn=sFHfx zgQR*>&VP`5Ga}8u51rXb!<jOhyEIlw8a^DniPAXuip>qjNYK$tit$5xTUO`h!=bU~ z0_GptH123<N@ag#uG=Ueda|{3wPl(T?ijbu2|^~?#Ot>8rLm-?94tyFP|D#7jD(U< zQ|mS0GX8NE&!O7R3R>7cEulM!mR5cA(bAR~NY#a{u-3!?=~ET8R%UGZ9$%1|+WAxE zBGf2&C}@Q?3(CnfgGRdHFY^~z9VL}s8bA@PiGf8z^p{y%eO7!ac39Fu{}kuPaOS$n z<U-FFi;O-}p+GwRkQoe#jpC6~sg_Quu-tM8NQ3f*KS?PsCQE_a$6;#P$8SH}rUw?d zd|v^u20U-9_^HXvbFfsU<F>&csZle1Y5p!UoNP|ja0cR8C1}upoe|6weojuFk^%g1 zUCJd1f~1siGI2G;Oxf6=ok7eN`v@FR6ow6&H$#7Y?Xt2#oBZQ&98jnptnAG)Xw7Dr z8_dbfs2ptV%`S`~KpT!z*PKw<5)#?D*&d61dn@4rVx=*H7mY<pn^@ss2T+s<fZc{d z7u&fD;lSdgF~U`iMahyF(aHjTDi)#*kuBlWNft$lm6PC<iOxxKh_fr7=Eu>(NYE<r zDMzFJR&93&=EX~6!~ydtk{HR#0%Cz_6iYZPQbmzc<s{T)qDoR6;;O*3cv=`4+LX&2 zFe1Pbjsq|(b4J2gCgw1PV;IgzTNYSah$Tj83_;nRT8Ly6uZ58ig&KcC7S9_dX{98} zDlU{CDRD+ZR3`R!6lXV#5w9%J0+>K<3_;$m0ZfR~!ibDQjW{8T;|&wFQlelLC&`Z# z;SAt55y1+L>XpR~wuVp|4WWj^C5Hi^j*!@1yLW^j*gj5<tDjbqXcRkT%(Y?dC?Z;e z>E_|d`3JYV7IcMe^oq}7(jFXf=CtUqf^t!+89@4Dw*I-$p|dZAy6Wr@=12!q+i7*E z>g~g6eY=AD>2Nnf9)s`3w`YK`>DeLtbMqZl%Bw#BSg5LnPIYuDlQL-C)(Idp?}*Pb zYdh5^T2!@sAdW~NjAyi$Y}NXFxoA&gQxr1M`w_ECW}6lgxy^`}GOuRYim*9@!?>4h z0hG)FCBgqB5xZn|9xKN^E<E9%{7M@W1Xsz+2+7N(P)U@F;cFgSS#;Oi2`7W2pjoN- zh4S{8+M?iG{>`$%F<e5&CLT$T@*o4fQ+W(30~6P!^fmL@a0WQ5L(Qge9%=jDv7t7$ zQuOVp;7~TxP<!hQKiF#B98(&Kz(@h!Grwkv(CqPAE!)Y0GcPRjMmJKu;+riGg!UUl ze>-ZIh_Tnww3yO5L*SJj4%y^k4fGyuCYS9Vaw)rMR0gf|QvFG$**lCm0b_G~#M;iU zN;RLo>SW61|IU7D#RUj|6z<zQOut3w;GdE0Wzzi!yg}FMFIj^m&|&9#R*MC^M!;2( z8sY0GP28|BC(YWlaoA~Gk^i-Gbl#oNbb02A-~b~*Z2Ap>U9Om5gW#uW`OA+aF)5o? zbzt)T4hRL2g%JJ|HZ&7v?X6^|3M7G&PUsD`N=;*j1!5Vn032#LFzj+evv~@ThIx8e z7^7TvSe??4G^qrT$&}uFFf2g&{_y`wu#gA+-wC@ojKBe}$Uzq)p5laXfPZiAJ`}Oq zI3Zzsv3ZYiJHXFIfKZ`3F{~fUq5zssX@oXP1jaSFKl5;y*z=ntNec-M&6Wh1VH9fg z4X}Xi4{qho-w^#c<V3qb6<{;K?_Y8di@0e2wggxkB&pP20W=unsMNywAqrPw*aNBv z>5z4a0QjE{@cr?7J`^a!I1c|`TVDZJMewzKxpX59QUcQ5DIg$73W{_{cc%zTNK1); zbcu*`ceiw2x+J8#q`tlQzW?|8-ronm**njidCobzd*{sEnb}=*fEs<-{2~@&-vyfZ z#J!4t_YA+6Jyh|ZkDX5+e8fux9YNsgMpJZE3tNzbCWU2x<_^HuS26}2G0*;L`Y8sG zA&H#^_a}*omW+;Ow2Kb3Xvz>S*NrCUIvV<q6U!a&NT2N=N6YlnD;bioe-wN&I=s=Y zCm_Y>Ex1paq))L%xiPb2Kqnzxq*9?mC<xc`t%K>>S1kH1(Ma>}O2)D4;pcOdQ0vF< zJ@6Qz00PjJXP;H(pO)7oIWK?j#LydRc0lH^$gn}inQTq-(ERb@bt?viU@?&pDWb=c zein=UooA=h?<hHnPyV_Nnm@u*Bxs%HYw7S&O_rzTz&1XWmvZVszb9~}zf$R*`R&Ei zO!_RU*;~Gii1|hKFoybDzD53gOuc-R!B#{uP2iiJXy(%DZov@(mRO~WEQ`?9Es+Hf ziEFehmBy;x)_0JH#c0oI2Eh_beUQ2^1QU34cS1;oh#ldzE1rV57>-dM@CiML!N~;D z>5B$hqSPBQ{EjeD31bM>?umY^y2|1m|9yHd%<S1%`V=16#DRx4-U1A^Fpl;)-zNis z6X?aOPcBNbc!)%xQV^Sa@>VWKg2X*T#Ks#*zbXLRh_p7T|GdO)%sc{{JpO)Yu4N+( zAyB|OwD#O~1Z&q{Kh}8<Vj_@QTWt%$nwQa!#ZYN}@>oSrQ~-ivpI$7F%qWq~pIZB< z2!YFS+!c_;5Wc#G-GJ@BZoXw>$*5-Nzl~#md9XH}YIY5SaJIyjpO=8I@=2+*y-$uq zxrR0Beu&t=DDi?Rc~jZlld~BVGY^+~UBf;=wzjE1QqSQhWay=+I()YfNEIkUtsg8y zqqi=CF0fW(HI%T67d?5aed$$m3Me`cel9Vxk<p^e4K|&Ojp{*pBlq_yVnK)NPq66r zr-t0@J@${NQ$>`wA?V4aA_|C%1yPUw4!@$@O2o>EQoLs7n;@x62}tin|9czL!25>t z%Wn$K2y6XS+<K{Q1I=+BsvkKkyx+-3BtJDRelrt$W!P?^R2Az_>P`8g-xDu$KVVph za@LUyIAc8U->^&k83PX4GTd-iaKJWUiQv3^LG)n9_|=-pLZ#m(gV1cL2etpj`>wnH zjQc(e`^JF7zWjyT7H4n42O!<`-0d7)ogO>youBL(|Mhj|Zc1xvNKW<9(o?k`N-+qQ zA-I|(s=NHatAFi#;CSE(<oRs<j;`3stE$D*0B-{VWU2PksCF|_Ic9VNPHHSR$E$Lm z+r}5Cf#ayv=a<F;8R_u#3pUpwoQ7x4`@-rrssiZ}M;4xcTV@mad@bs0$#2Cy@e*}* zIRcO7-a9yE_%=1CHeWej8&*Wz`ybxH2t9ANezslo-h8gCi{3$q`(`A$Yx_*%n&Obx zt|||(D4v(X!v2OmULj7HZcd3@yeIUT!R98*v9jYm-`vRCbwuMW=jjB#xm}T-6JH%R zfyn`dxm!At5}Cwbm8R1S+I0$iQYX?YUM5p+34DW-(zc%m4~Js3j$L86WzPnUA$pm$ z!xWF|aBE(BdVa2RG89dZ>gcKHpOIzu4dwL&gm0^_PIp%;?yGY&^XM9JrYNw~sdYa6 z?ZZMyTpQ?;{`5+Me|eEjJ2}F8p{i1hV>tO$5#H^qNQ5(E+)RRo@n^RD`qPg`f||ly z-)R#XzKWOCt<kmEnXYqdoeo`JjQP0xDy{-{Q4Q6_kxJ=bB@qnFeVO?}Pytg8VTnS; z9vGx6;z0e4`Ln_@M>Hj{kKOwWPdcQcPNL3?gKBwgibBbLrT|swb9zS~y9b#E5qM=K zputyX<{(yTkE&u{*Y8a^$mqFv8K--`{Y2m;U)`jEMCadA#+rey+l|oP6;R>tW_K~l z5E}Wxl@Ou8#Bm4AfC;DP##%01@{wIX0JzV&vbqcN84Kkk`FLl(<EN;t=d@Il^CX|y zABnZZ2T8mMloZ!)r0b`>IwmA@HPyZ`R_53{;E<bJ&@^cc5y{S{*B%3vWHBsqJ9pUK zZ~LE_QSyr}o^!1FkBKvGq0HZDh}E}j^36RGtZiIsW|o?;M>!~(!fK$V9R%DDu{o$s zuN%v99PYQJs)y|_u}i2;BWI6lJe-DK(z^GTPPRBXNM}ZMg5Qm%vn8Lk<iG8DPd34O z6SMb<2RV;8s7xnj8NPawTr_xFh0X7wHTp1b|Dt-X>Rv-Rqwu$kqsP>X=#4f`C&?R= z(es7xV9le$yJp9aYp)_IV8BJyUmXmo!2UK8LH}Iw`K^g2?;W1DZ!K8cY!TpTskBVl z;ZeFNKqV8aWM)|Gy2YWwr*HeB$<VWwKK}8wK^=XZw+f+>SDjK^h&+Lc*ZQ&YzVPT{ z;1_G0qw}y;Hgkz>cb|CPwyIa|Yn6TMmz_AOUJD1gvr#g5wdUxOnLyjz77RIShslYj zP=vI$dv%H;l=H_>_F(a#@DYc))J~K67H%jnGvmcalYYcukM$AUNzzw>#0Oo@lFefL zC_Y*^&05ilbB{d^n{Iv|UAdhc{+pTbs2!TU2x-{&BykxSnsjv%=1nk-is2UAElI2p zJgK;{aJk(H5~c>s&wZ=E`lK%Up2ZuI_#~xefin$cKT|dgAMYD(D3N(P4$smZ?!XQ; zUvE+}<rJnYD<v<>r&Cp4@}(8iL?42)Hx7PgFVo(r?hGnlx>*>i-k~eq8yk2va1H)B zXt_zbzFxw<KFv~Gx=6M!KwH**c2O(-D*xoGySg!Ax=s=Rkow@Idy=M;B!Ri|R8LZ0 zhd6Mv`VriS!Z%+6Jw4-2N|${5LRP}+uR;X1;@k7>PgiDdI#Oph-U#Fs3u_GKTdByp zY$v@Q<d<tp)!BICJeXgX{Mzld&FU$x3roqygu&p?=Jvl4KAJI}wWaFwH>yv}FC3q2 zOariq&=7*J4Iu%z9#129d53~h2XcZwf$76Q4!S^Fj(K)jrPhh<yE=wYW;62)_D&;s z`fiD_(<Y`9DsZDNc|Q8QaBo2S$^7}&^Ul5Tpv<{EOsBb^kmnS!(px9P3|y|ml{pQ& zyzaGxKG3F7vm}Pa&<wN5w->HS3H3l;|LKHyTI+(itj#a%Gag*ie#mtpk7{Ed(U{sv z4T+iw!o9XN6C_=kiS3+?9}hB&kAUKt5X#wFA>)aV{xlo&knNG4p9Q)L>M(vc&i2>W zB%egSw*&XuFNk=pzpAx;`fK)vGsm4QzW;|V%Qotn#vN0Rd43GFSpN?$mSt36nCIsw zjElC4R0YKeoogkLdOK>a@tV=4(f-|eH*Jj<&sO}Gl21s$pHLwecjtGNuXXlYH%}Ym zuNN}ve+}Yx$ENTothmZaTj04xGfCAMV>q3)1*P37=Sbsja|N`2m7mjgBDcC;=8_lw zzG+(TDu7{mF^?ytuPyd9=<jYi@ED1~FvSjsKd9Wt7A>ZliK@GuoVpwvJ^7UtCV4qR z*=+RA9_C;A(@Y#DrcYsCCYatkl79P;Hs*u>!5%HO@L4_)^TZ~X&u2qoO!Ity9IFiI z30u|BlgK!;6ehYpyHUXmd7gZ{yFuTlpYLQob8hAd*0JAnaMKjUa(qCJ5daPa%`RtO z#utoyxh2J?(frF!j|K(S%$c0Iwl_cXE{Q|e6ePTnB>7Cn)q1nIITKT_Iv;`6g6PoN zQ!|B7goRQ!KF@Wo3COOcN6Fws-Pf(98#$}WtX03DMP+2GU90A*V}De~NEaK(%cke7 z%3YU7>&$TBf#saS;ylb%2Uvhm!w$>Y5hp@%Uzp)SPiBbzZIo2ht(BEzF~;*R3WPZm z;7j8yyMmNCvD9VM6vWlP1Q3T!p9XN{;&(Am$~$4`z>LQ+q45fY`ETfCSuB~OlsVBf zSkwyDBMA#KC#40NXtcm9aRq+$$^c@qnbQDAkjOeIe}tivV+MfC1O>t}kjWO$VGLf2 zgF?1Rd2UP{bc=D!08my1%GfQB(iKZ^vJ-UvL8cHtWzJ9?Yqbf`NL6MfDrm$yUskPO zUMhmaJU{SjE^!wdT@YJ+Xf6&dNGkh8So&u*UL;i0TCI^sy*4}I?KX{0zU(OQOTI0f z<86MR7RX?s3u3942O04?g!!KcfBaefA`<%AS}m1F{cCoFx<CMvnKW_kCutRu99tyr zU%^Z-$e3jw$uWV?Sed13peCQ+?Tasg>PVGh{lWRNGAmUhVQ#74b-v&jk4y}{-q%^? zG*~cbTIC6fc#Tz0A)0r!kprM$+lB$vbqpDGw9A?s<*O_=7R{~w1+8BG;{+B2^5cj* zMeqN)q9c)u7IofokBpR7o&QWJ4(zV}aq8i~={{tyW7U**aDSJ4R1f=PLGoE3^{<kw zj+BH-HL#dr3l0VIe^w}hkUrP=n&$mO(Z>Gem(frJyRUHvOm55X-GIkrRqw+RSv2wf zbYrpGGj0gzM@cEp`;+PTUYJ=riE`FRxnk+8M)i*zH?T`b%vq5^Iy|(C-!QXRXyCbN zZ>0Z7bI*uBb%<dnQp7DvbJXOL7I%-f&!KW@PXko5spwEAOzdN}+dj-L^hy^nm-i** zGmIo^Th9*_E{KU^@B{J4es=@vzuhhO3=Y(Ogv~Y2j7N&Og3G^W!R6n!E}=BA(gos+ zEPg`!)J<kIt*Wdpe(M@a0Q70f+VYCn&e92cmXeYSh22S!zw!evh{Y=rxIXZ@<ziUP z{6>ty<hh{Vo9OiqwvN`^sGc~_{FqfmC=B?6ZfLRd+XFUs!)~NO$<w9m{4H(u(q&I4 zf@}7Aw}`tY7ME9!ANN@bpS=vLwEj@X)Hm0OxIVBOY{b=I<A6R*#+{KNE)!>rX498N zHe1-BzK(G`Yja(Og;12GT+gZ@!}=A1KY0J`+4+~ZSTV1Ec5p`IBf;!vb7Sp8;()ju zOv9m{9W8uP*53-=K&)CRRciHuzT5Ib))eLtkht{}Ti307$$F4`<3%_i#t&j_VFCxJ zb1nj7;#@hDF0rW`$_)@O*Xm&l$9+WXjo(<7#OuHocd8?Ib`K#i(roNNZzpAbv2x%T zaN15IOPGsQ+ku`~kWKQDxl1$^@(IC1XvJCMG(a-fGlbwHxB9pCr4^(t<Sd0F97Z&g zZ(2bu9q4120r9JpDtO)UqZmL4!8ekRtRR=>Q|v0y9S);BCxE6E?ja;a`mgntfg*9W z0iI<XM!n*21H4K?&^FhLaGgugo_IqC`Uvad3evkzgjL|<Q>ETZO{o9#(fYy1fFE#2 z{J7OevLm#2LG3Dp6`u&p|IiYH%+Ca}Y;?$M^`2e(jsa^jN5AA(!Z~!x0MMeaS|yKq zX?BFhKTg3X!k>R=iT-g|=#W|Jh5m7ze#yTJ=THEhgw%oi1nJU}3Xjsm%K@E)GQGf1 z>wJVUy@F(azV=HK;hIuq8ONK_@elm8M3%Qcr*VKEqef;l4<WZzdtKQSTQqPjWgB2e zdt}#UN4qi`AkF{5V==x!jkpw9F4_hYY?+krHH*X3C7hj3Yw1W(O)eAW;|sDkqxC7o z_outsNSmK}tYd7Qp>y0)zI<%|TJNu7`PuWAxo;)kfo(OCB$6ZjeSPiPp5t++pTNKd zz5->f0e13=Tww8=x&TQ*bFgu8BLYU3PMNG4QvC<bVC&rkFWx1fuDL9hR|^SQU3-;S zek(n`#cz<=f%2}ARh24INJj|z15SqHayUkPzyWYv0>{V?*cy%t;TRF#*c~o10o66c zh6VWj517VQx$du=o>yHq04TTK_*HBk*nb7(tCn@BJYh5}Z?hQis7u|kp;#S)9y7&K zl7rEYv>H$lf>%-+ZDB@?b^^Rv{x=7W`hn?S3$J(=VKa>~c$hvD4+f!9Yv~A^36$2u z!O8fand`chU~{)bG`jr0t)MY-oMRvz@%W4!(joX-^Jh##i_Hko!f2J(uMc|Vu1NV` z#z(ZYAFS=^RNLUaI(f`y1EXo>YP9Qj#}=_Ftr=?Q65R83Nb?xv+s(R|YJ<1i!sMEo zAyh8cF3gK5;T6^M-zAQkNliT^o~#=hjZGZIm+pb7*Hc`DIm_DA^{$CurRQLI(z-es z5P<!S&mo&+K|VvtZzoH|Yf5Un8Ir`DVV==*1V3qAatNeS*3csAS-;HOu(@z$ZiP0) zZuBDSp+ejn9J?)gB1zrTpzS{uN|m?saniaX7f2(-K6Ijg*$C_;a@R%@RG1MeQ?M!z zwHaog-F9cOD>oxpMl=&p`6Pr@59a}((F!Yw4(V5vVQ6JL%~aMIID=N(l@bjx2o{Xn zXS6;-*;iT}9{HZJtnH_{KHPXK#cmKLC*9x~VBym^Mk&E=usarhaSdt2%3xS%A7AZK zDe^?bZS6m_9upS^g;U`kYoM@&VZjs>MiqHRV1q*2F@0W8I17Yd41m(5;Pmy?uAw4N z0xVEyKjy;@3KzpYszBL2!@`f%!}r&3C>B@kSRkAoEiXOND?Q5q)<qBKA<s>UE9=V$ zlIxn)Ncv|6!QQXE1RZSTBi^nqkPa!Y&owqtvFn66nA8fyTbu|uR9Zewk6f94<2yJP zIhq}R3GfH&zV_mG@RkJ`lcXxj>*I~L_#i{oq?RvU?nFQmWKcz}jJ&xUm>XRlA$G8Y z++|S|{YvrDCDf_Jcj$IBS2uKT_}b!MFn<0vy2>+nI`oRSb0b+)+IIBI46vp~Wdrz5 z8=FnVAipxdjg?E%YBK6DHtQxQmQ31{1E|c5&z@sP#FJTRLCg}Uz4(I93M9O6`GN*Z z9KN4)ZB{LS3(yWWEJ((06R%0e3%YjqY~LUKwe{t1c<<40Ud!BcWwJNAxwS`kh*J`p z))%oyeSv#7C2>1Etl45g<zbix-aO^{zS70jh&Y0H+~aj49Y$y>y$p>LP5J%p3NU)K zXbRN)LH>SuyU@3DyD&~2j$G~G5na}cQh6k4?s4s!!s>Foz22Ymdpxdh=lAV+ld-2_ z+3zMhRZOxpgnUg#@1D507m;(Qj9p`MB}JsKqkEuF`XcBLuA-P--Z%PqI+yy?1Fk|> zN0zXHVpH}OKF(RTkuo3097wRwApjv&yxZHD`CfkSQsU6{81StyC}NyD>hHT-8FIS! zw4)jpYig*e@W9F_aURZ~xFHnFkTwwsX`;+Ir@h^!pcGio@au_V5doJaHe1PZGK9=Y zyeH?ObUYbY1y>`E6<Z17s&2+byZzS8nA;b=;qP1C4D85YM7<92jw887MfYtIpYgmi z-C9dTkA>0z`@Z`B_qxMh5_du=z6y#-hD8%Ai(74XeOveiLA-#s`!jvr_8JbWJ@8fW zHB~(%6<4PpxEq>v<|IB`{Y-QjW)~I^aH;pWG4{^e`ig5varX13XV=;NEV^X4WC<|g zO4Ijsu^+Q)$!|6=oD;spJ&|bM5J-Op%p9Yx+#0f<UY)vwBU6Y?>@(RC&xHB!A5-1_ zuDH6{<;9Sgy{)l3ESo34iNt;`sKlT_23wl)cK?5ett{_hGW^O&qpd!szAr!D)KcNG z65JGRI}LE$9RUI?h)@j~VXP9qlA(m^TjiX}ivzo=nNSnEYoO}rD7WkWEnVpjOR0gC ziQj^s(az?7Wo3*q@>}2MMk1V}L$BW;PqErzmFlAHCSVk5&XO8s(3YycF=`s?hFoL$ zFTC+T179Aw>w@Gi{jXyDW*Xb9PS6ymJz;Hc*rWoZKsiZ4%;N$#`+<j|SPc=m4H7^Q zLvQUDOB(A*#A9R*XY=@>q^qt-C`ny~!sgJ;>I?q}3Y}aROJAp)R#}dsu{yq%TD4`` zytiAa$%gZ!jy7wPENPk#++*wbp@IjlSCzu%<O8=cc_{xXH!^@&m_JSRMDZ~)`>~Zs z;zptXhR)iUE7c&U9Y9#wo3llcY&gqg87n=0#oJP;HbM)0cd_ubGRa(M4df%zffpG& ze3uq2=be)rQrhgl84H%0yN^#R5ZDZ5%lo-^#9*I2JAkuv;ANerxS~7Uw)oC!%QHb| zOZ7!icmPcr?@Es(#SbRvm>0e;q|-mVojUFDikt++4^MYM2RWYluVdzFRwD+B#Qut; ztXlMt_hDeV*u-5);X!F00$W;$XkMoN#?5xKH*Q+eNmIzn8zy$D2Hdo?lLb^v)?;cB z>CJ0gs7+RGgUOe~?~Cb2ySBG?C4Y8yLmYONn#yNL&DKp!U}Shp)6Av*$SV+0muCh^ zGe*_67^rf9=+;CU5xH4rz2|QiMrzJ87*+o+d4_OhrBVdz8ak5G@9`kto9>#5rW5I6 zIi&U9-FYYBH{Csm5t>gljIN4<QHinNx-O6drO*A@ZBqm{l-kY4`80u#eq#w%V-|`L zJ=m`;c5Z_E+Ur;GVp?@9w@$W1O?nk|Jz&7)ll&@x`oO0J`11H;0Ev+|tV+4LiFdSk znt&VJ5XD+z>i!V+beR#`^U4G&m9^(?c1B-XZ57ymrTD>W9}nMr_WDsP?ryjLduDw% zyRzt=i0vl{JL=s7hL;@-k1M5<2R^)aKbm25Io)=Z5ik#2UJAA#*kf5Ru&d<0iN0kn z2NsX3W>j=^ME2PaJiRe+S+_Y3JU!r_oClsR7`Qvu7vB#{SGyz@6!<;PqC?!OL|=Pq zX*O^Nf!S+yFx$i)6h=HO#H<giZMK<AQU7Y`R9WI;xGT=ARN3plaF#&GFiUhG|1Mkq zD438zC$%%%#bo!ENvV=`F{)h#Xjolf600m&{M0TJ5r^U0{Hciq)K`-6=L4BHD+U4k zv6+Zw_hMK(QiNX8P+XZ{HdkA*J2eKuu60coJWB%43O;0UF>rUoYcjdojH$mPiWXz) z9-$Co*xqZ}GyI)OO+y>Dx-l6Y$~2lA>f1W<$j$w2WvQP7nCjwSvQTvwWR}xW6Hml* z%&NU|^0u9uU!U2_uFQSMqxTVY&V-_U3cs$utH%jOb>O~rq@qc+5P~#!*L>UjjxFMu z;-%u=p_@KIO~?Jd=fRqp)mCi>%uRV7CnF$SIgdX&Q`VvgI=*5|QT4I~J^3`WY23qO zJbOWEX2B{ExYJ-sq0t2ltqYnfT%q0!7vyy%j|rpa&eGNiLR*VjvLun*HOP=@OA8d3 z@%;5QRQ%C)e}2Z0G183Jpn*30tb{(Ao?IvDT`p!TlSCmImiseLN>>5Tz5GK#Mpq?? z;$c>Y%u~y%$Pv?~ukG%Swf}1j)6`Kj!H_1fp&UR$d^A64M%uf)icXTIY4Q!s6|?n# zERB~aJe38%cw(~jHIn_Y_I@5?nObVbThd53{8U0Do7KoA4;?9HgGmMxd-GDx#-`2u zD#ykcJqOGf3-+867iGm3mVH&;6j*<Bcy>j%Cb{LAtOMh^s_yU)?>T4raYGY|=q7sw zpqRbFeEdyRdZZ<sn#P4Q<=fEEmGWnyT^#Tjd>b0MvilrF#IKN+d-C|Hf7QsuoHSC~ zI`tN=u}L1z2I9Jj4NMzvX_mP(h{1FS=X90C<?}K%3OzrK2b;0>Q9(5|7#6N78JPq6 zC1{Px5Y#OG_#c-cZy6&tBOkRE3t?;k{`yW7N?_AX6+CB>z2A<O*P7=G<Gq(Wsx{mG zl(xj9t))qUPN0RUypTSzp?|Xy*cqHIk&pQ$YEKWwaOFPaUvGTh0d5ZBLc$-L!v5?t z)zl*jt2?{(Y}l6<i1K(O87xpug3MSw_5+T5@rX><dHqEvdw#HKy)N(qwA4bpdXgr^ z3Ja7AH{R*n8NdbOKfSaxQ#1xU>Sw=MQ%z}XzTq8ReN;5&7P-^#Hcf3%CZfY9?b?_T zET%<uhh0s8tw|-%JX_yMfS+J5JT5=UQZrR?P^Mw^jWyM*hJ`vvj~7hiG+~72uC&42 zrWzP%1R{ZCbmBUmG)5B(HQu&jfv{wB_bTBDrP2cBEb{<;I~0DfbrJmVGSW<y7(|Nj z`HHh!%VFC!Gfp^tS2F+Rv`D;Qu;J2VrdYO3fNHgn<CREy$W)a=^;T)-suLK|MNi*J z>2H-&A`=PrR*$Z9%$6%-SFAjz*sQEh=u0b>hyogJJo5^oJ?bx~)2BA{J1dl3KD12W z7{d6SL_cgJ@x2n+|JStgGL8GKis&pygmYSLYw_glhF7%hOwN@9si&&{uVM7MM=koP z`e~}LW{G)y?;9rpJCTwa8_n^q^#RX>ldbjKx_3ZRYEx3iphU`!A*VSImvXgxYs-Bt z)O5SeN^NJjp(-kx#Iskj%QHyrllA>Q`6OHMa0w?Pc2jg@)c2FVp7-j?>2tp@fxSob zzRmhdOR5~-!Cz~daTU1WU4?^Jrs7ZJ;<weVz~yV$%NI6|J#S7rcMVb-7nj6uvr0N5 zlVgN^-O5SiH9n5m_>Xu1P%_?ct+a$WxB6^SjWXH(ahjZlRFoO%N=p6Pxl@?AyN^}R zTO{V639Tr$JX@4FOJ+uh*VW{#2IeI$b(cDBPEIBd`ie~~#$tp-?B73C#Y}3q1NgYn z)8$0vP-SV)8~Z}#U+Cub%!M$b&gEUpg~U-uyWeuKKRtDJc0L>j7LS~U8}3e0ti@k` zs5#R6&kt{9R3UHC6obZRDTe&zH9k)=@@o2M4akijBa4*c+7olt;8H<;_u|=Sq<xLF z5MPayXwe=%^c9{F7O^FC8~aoJhrPjD)L*AS>F7E{^l<BJe!QFQ++9hQ<PZ1yW_l59 z_$RyJuj>=Q>9=XZlbx2YLs#x)&$PWyFAVPnZ*LD6qOJ~hW+qSDy^Gw=rv{TQgyhEG zi$ff)^is@)91sx=yKmA6-t#tCiebIp=&<|k`zx2KC8HS_|MTnRuwD1^i?jF_jc>zC z3OWlmMZlLFyb6_=40G7(%=ptesF(VD-j-i2G{q@P5BMN$B_NVh<mv#MDI79&BQD_8 zN^9C4(6A^HF)4B*Dz4B-%byalDRA3(yR%y~MW$C&QLAI+ye<F>FIz=DRS@-I6yRgk zx3?@J<aM{oot??yNy5n!)w#E|$IT=`5|cE*JCeL|R+e2y=EnW9S!2aC>@e{ved%YG zSc|r_7vS3ve0&p(z22|u{>A|By7hu}PZRGJ;+1>FQlx3ss4%CITs-C+A6!tWD@BU5 zr@~lVsUt;?v>qSK7#ft>IChFOiuVOZo-zM--b&&4uZKle?~$mNurDL0Ai!ui)DlO4 z{3Wea_?eph@e3XMLon@wX%|c^U}6Hm4a19M2l@?D=AfkcuQ^I<pNeWz%ZWHQKXna) z?=q|x7<1lup`$V{-|sb?cQ9aFllorQFV#oaPY=Nk!I^S;oM|1Yu$H2kh!b@wj5}mL zjnrmf0mH}GD_TV!DW+z2)s+4aW7G@0`LFwJCW`~|PujPc2JJO>l@$abvnoJb?M(~Y z&^M<#&oI6rtl^52{v~JA`Gc9Uwu;=6G*ySZh=Sde200AFeJaimE#tSxF|m9Yr$%JN zG@UXN)kfrx3i1)35NC6^ghMC9d)j`RdOBj9jf?jLbih<nniR9FsDJyF(TcGJ@jopy z^Jh-fDesKYcAxiRQy2f!#v=(xhK7Ys;JuFt2`!<kFY4G7^3<|x0%s_2ONy>}*n~`V zQKOw&9cSOOs%gE(*Cqbyoc~l2E6J?q^3`+ECzBuT&p)u=n^U*@bg>K|#UD2G3V1y0 zXpESF(6{{}PSCV8HE!3I%*KypqMrJ;#!qjpl${WRH{fRCg&l^iWGpQM1W2q$uJh9i zaEB>BLcgP@Pk_aE2D}t|6uUeeWDM3~S29+V36OXi0ai*k##fc4zvS)EGLT*+V>nU* z1uh|QfeHRFD2Q_a1=$#S?oW?r0&MZwO0pk`*u}z#>8p&qh$36<G-+GddyCqRS;+l= z7t5sU#;gVc>l%Den10xY8k%vm{sK#+p$2>}2dQ>~1kF*jd5@>ZO973na799g3Tthw zxu_+sRE-#Vo==Zg0@(N-1V;*MUC4copy?c(Mo;$R)qqqHxWMyB2NdM{Xc@@T9j;dO zf{$FR9SVW@<Ce%i)7xryqiVzv^90zBmlaTpPnO)4TWn(Q-_|>%T@)i8=tnfxjCt*R z@Swch*I8?bFV@|x+i7)y(GC~6&Wp6@qxv=wU)kl(O?mA_w%R!KHdaS{9I|jgdgd*? zRuRvkyIZE8&^t@#J%U?16UStTTozzN*6DNlMYklVj`dsP3udDy-)`LtLW6-uYf-N! z*ooY>Z)+Rts|26A*&s_T)DORO-g%oG0-hNK1h*YaM-bpdfrsiw9vl;SF*{c13hv=b z&ukQm;FLSp8hcS3$r#s5*pD>+8v3HbM~HTXb>=l(+Q%Ya5?G;??1&k2<)$+yuXGTW z9A2Y5tC8&+yU419HZLUrSRd>ac_3?hy)PA4Qlj;9UUkkBROPr!OP64t?Af|2@`Mt` zZ*>xF`$hKo-swJ<Qp-}&lW*G}u(Y1|0Gn!I%vYmBwVs$ag8gvJ!@$@4fjIFax3x%Z z#h#45IBVG>HUU}_O}IZTwfY>x9ERl#-fkZDQoy*Cb}-Sd4uyFR@IlI54~I-yF1tjk zRo|j3ur6OGfB;*q`W^!ghGttgMQjiy7eA#|;x#Wce5`kOQ5}o=L}4kvfzt34{lfW) zQ;>+HNjYI{(aYKltS}p<OYC3j%hgO9>D3==Ljy#c$&q5fC4ltc62RDfHrsS55x%is zyWiHs4-3o0fnT~1L!H>PO0?!{6rO>B>WfPvtFFdySHm|O8s7rxhJG1@_q(lV<kGbk z{37<R<Vak_fcn&|q8+{`3Xx2WY$62bVZ`08)$Gv`wu}$e^`tTlG2D?nG27UoThISt z6c9(Wf|&LnhQj4=3_Vl_;DkFjutE!1uzK*I;4g?V;n>KIxtL~z^I8PjD7!@L&nIIL z&Wh%FH5G-xyHMYidD1mzx|8sH`P0)ytyHTfLfEU;{jW*pdt9Lvg^8==7}HO9Ul;0P ziA1w0m)bKMB@QT+*mM8+P|jCZpX-;#!&_C~1!SK58tdFnfV%e&RBjUo4sj~R{nW*n z=H`9<6J!qS<%?A$sxGL<T$(!xoT=+cBZyj<v$#b_ZKmahO+*DG%Iz_6jsF0v9<V8A zgGLSpl(Il0jTL<UpphnCUZ2@_Bf=K(4(HB!x={S3LYG07;oWuR8YKF3?ai-%hVM*& zl0CZoP5BUeL1TF$=K_9=r&-$Tw^yc|n)Od<j`~Cr3y*sZ(p?oLS7Y69wAZ7XD7F&( zI;nT&%YHrfz8Z~!@QTk$y%I4YLfDN+${)oE7$Q;>KPtL9=kLWYt$Bah#ftZ0z|fxy zHcx~W@nSwf$EuF@<_r}xLpz!n@T+}VHHL9%AZfP3`<oe4az0AO3i$(PYWZQ(=oe%f zd)XpB0$-1zuM4RoMQc}r!q|O@73_U2YV%*f5Nk~ZhS<((M#=0-DJi@gg|1_A{O=kF z__>1^et3o3@4T?l$EzV>W8KJ7hp4Pzglfmq1mOw>QX6%t5h&#aOcCf6LfG(-fRO+& zkPeh0$1qV=OXA)5V}g;GOPWM7+w~6=WOF{S4dHA|q&D38(#GJAWbF|ATxn9Y0P6Q} zQw<xaT7;Z`rAQSr1`W>x`JU&oAI}EFOTdF+?H+gy4UsPW8v+lwJ^GChw0BJ6f&hSy zH-@I?aYRe=G3#{U#!OP4&T+8|(;qK*%=;t}`XsW|hlCU5IjO?^pdrpjJ}9a|BMqGC znR~MAu8cQ^cjegjlLj8FQ$3H`;YwC-a-}3Yim{>OT@itxnuwD`R8UO>2`3(?hI7b} z7F5G&&?t{)#FSPG428_OJ(qvJ))CL4x7oI*^MwA%e??^XCcMvhR}Q(?Q(g`Y=4dZN z|ApileZi0PGR?YM^=|2N#gxzS|0^cj!Em3T$1c6Cw@ZUn+l2bb9MRHBX1UFZ;QJ4A z7|0_reSwGNHZsL!bJgq*MBgM#(7{EYK%o4vR{Jq|u3r$|BAFsyaHDmQ1)JOI{_<D% zEYkTe6GfspJtevx6gV~Zlq_BsGkrE+pxaZU%Tq;xPYxtSy@;?S#@zX8W2*z7I9R1M zh6hvIh?381&9%kURH_)rcTlj8S61X1h&HUHm92&O3z$dstyFUbzMv`>1;2)pz#PwE z9eY0{26ac}Khc>Ca?G{x5)6KJeXX0-^oWvFnWEsA66myEcIhcV@tdy^g2O@(%KZdk z-UIvsm;L}@;sg8um*#;mM97Ny=KMpM+foqMFv-b!CIPdsA(kSEH9{Er7H}Qnbag?0 znh1Uf%Kxnu2nun62UI_5Gs<pcuHKZKT`msEwu{`tj#>zcSKJrM?#Y)ayrCn1wmcT! z>4Eb>@-FH4FdA&~It!OEXIY31-(g+1C8RecF79pX1^a00CV4gjje`Pw@1nQC-sX)3 zD2$CGZqHC%8Y~79<wn{YK3A{NOSw4LOtb%#WpH@Y4}J3!LAfBe-A<n!-wRQfI5THe zup$AYCbvp{Ez(ZgFDiU#O^0RvEsQo+u+a?RIm?}tC-{7`23@L;o8U50;T0)QdA%&0 zky$O&6$C8jlP{DXQJF4_KDpshwbBSG&PaKxkW+lzTv$y3OaZ)faAHY*OUm<=PBGdr zxfkln733Qcvl!YidIP(y<Mk(ST1mc!t_0jXiPnt8iSpbTP}eKQEMcKUFwm$*`{q^$ z?*tl%<osX-LP)FZyoyf9{)=X!P%&VEHpYCHt&0fh?~JOPpWyWjZH&q-CmdhF@%;<{ z7Ti4)kb%#F6GWT^@%;l9hGSF^b3h&x+)&8*C8mwj#zbu;!22NkD7x1E0jo#y(rdZ3 z0e&^i-P}g|VOC&#FLRYsQhegrjqkv=h*R;eSEwWDeuw7}q#bU<WE3(mk2-EV;$^zU z@f83gf8T&O7duLtYQnys6|+&^!2JCfC^g&KPkiVWnm9qBVZ#0gP}nmte*qV6D6A(n zDRZ(ThdB^Ccs*#{9GE|Vi!8S6N#xq*c2}9WF6t{uT^F_M$8+I5flG$sL$}eOr-Lyq z%6m~Oge9^G6dn&Qq9lUxTyRIP;uo)Ximnb6f4I<PfTd;E&erNb9YaliF4!6T63Ht( z?pq8_011pcdgZ_9yi>G6LUf@^FAK11X9_2j4N(>}mequHJu=#V=Y;oVNJ|!SZM<<u zgetWeo|`_Mevgcgxm!f#dxY^3Q@nqmK*2kKtb&0((QE+90}$Sn+^va^5DK(3Ck>Hg zz<kreNsj~Nb8t?fNZkHcvVN1Uw<(6emCOGu!OeMCf=fE<ay@$p18%L(k9Jqz7a5dP zHy2YQNG@Kx`%ceYMT4&zA6i`LTU<MJ;Jo+?Tm1iCz25?czd7lbZ-(!`bCY&29Z>F5 zI%MoqQ_>!HWMB4}x}ShgdpKJ8aKt_`(vocGn{1%3H{5djKQkS34X6)`^)?+W1kaC4 z%W515)*GI0)Ku&gIP8?LdN;X<XHcn14DAkX7I#(@cu)^5D!1Hjg)AU?Z<?O_dYu40 zw;31i_ih%)#@9W!fh|7Q@Odpk&3~&?8pWQ8h|da(Tvl7#r?u+t3>MuU;1=EP&Y}(s zUpK_Tt{d_s*c@yR_Ni)W1h&r?_s_QknmzvC*{s~l<MX4%^I{*y+Usr815f9%*6C+L z+IRK0vx_f;fG9da6Ws3fYn%m9@x^Ci!j9)Bzeo2MYusiR-Ag3&n^H3Ll`ahT4V6lW zD{3mXx^^nAG)x?7npS+$7a1Zhua*xtzfV%^D;w-m?<N%+bNdMLqk4(^lvhzG&fI?m ze9rQEBDtF!7OT$xc>b;4QcJcs+dF-~cM98@9Rim{1ABk=W^pS#T2vfJY*5Fe$NS}~ z13h;Oec4A*c6F&T1dqSdDTq~`UyjX`cx{ZI-P924t8VlJ=Jct0_b|Tj2r2Qsu|KHQ z46vbyD^eklM~>XPZRy)@W;{$ZELl>$cjiqI7+m3O2>x{z`Q$F~#bHa{X2e`e<MfjF zRe!`@oM00rEPyz};rqKIL5mYv!)=M7j5`+}liR&H4_(!oJBPV6a2HDMPVW(3DIy{f zLLd+{$dgw}iJFtpDnx!TgF6M4Mh7#BpBdM<A1CTgK_wo_)25)0p|8~wW}sw=>FNj= zi3?LutOv<1TvDL@Afbgpi606@VbI42M2T782d9<2iSsK(1SlcmKYMWPVHj+`g+S|y zV0sKD_#Xn8zWHauNh}XahCnv-kv^!IJP~;siYo+X2Gr#l{sgP;B0(Ui54`_o>KGtE zOidg)Jnd}%m$^ixX;2fh(Swfx(@+8$xF&fcn}m5v2t+g*0s%k%^Y_ia*Z9Ha@HF%h zHN0jharY;tHwchtk$C^h+oi<&Y0z8vA!M6@K1P(BgeD@)KuHsUNhmJv|8~O<Ugh$? cs|Zd(v58+PBBQ`9V}buZh!BX_6cj}N2k!fjSO5S3 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml index 73a6460a..5989da07 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1223,7 +1223,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:44 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:17 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v index 550b25f7..88f38509 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:43 2017 +// Date : Mon Dec 18 11:27:17 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl index c5b76975..96ce46af 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:44 2017 +-- Date : Mon Dec 18 11:27:17 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v index f6e950d5..f4bb835d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:43 2017 +// Date : Mon Dec 18 11:27:17 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl index 8a3acb1c..96ee68ee 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:43 2017 +-- Date : Mon Dec 18 11:27:17 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp index 762aafdcf1506b0734b5d987ef42e6697bfbbe76..b5184ac2a7ae1ecd00a9bafe6ecc038f38fbb519 100644 GIT binary patch delta 242754 zcmV(#K;*xHrx2y65F1cS0|XQR000O8V_T9-<z%tq(%1n2Bl7_O8kYgk0~>#MZj`zO zSX|4pHjKN31b2rCK{f=JK>`Fwa0yO=LvVKs5+pc;kU@ewL4tb-GZ5T@&JYH-VbFmA zKK4HMoV(Bc&i$VMpXZ&b>Z<Owy4Ta)Rn=>~dh2f}BCf2+djGR~EhO|O7nYP1^<Qib z2})lP^WE})G9t!h`YkW?`&WPA+?hF7nY;w-a5!l3WoFC9PpQ2#8#I_(w4{A@*LBH! z&IRyn>El9OOTJal`0ZEDKKwD_6&J2b-IL#b7>LhG4`eXN$5C73J(pY1(Brc$Xy<Xl zE%ZRT{*O^5Z1R`a6CYzIWR{;EnctO7=aVJp-|PlzRRi%Z6Y6^Ij@N&HX#aD>Ys<RZ znrNuW9U@Hb>S|5??%)h4i#k5tITmN>I!&-dL;Y^Y?}FN{lzrB(*QZyer+GTkPl|RA z3f<55_XGUefwI7x9Zr2WGHt_9R6ZG5rO~+NSbkp2$?id;J$a98NFY*31}G_YbAAeM z4cuX=GU~ZPo?sK_8w!8k6b7Qm=k(|0P(?v9=;n1x+PhE3N1GmJBT-sa+2nF}EVCNP zmDzF?wIR2o^FC)&M^%=g-*)bDZ-J+GE_cwgyPH>iNnr<<G(UPu15tjKr%dSD_VbJ6 z?%nYa?XFV;pDz2snS8?@bn($%dUu`HRkz$%C6M5?l;ww)AwGXMuyny5G>uW0C*nF{ zXboe>YO2uwWbt{sm~L&-JLev){jj-~yX^7q%l*CGOx66PgKO;KD7Dza8zbxMx*zA3 zNe4aZ2SGY4A%+GyS#^W<E9A39h%NWf1}IbhyXm7b-&kNCa7h-pn6t8$ALESNM=wk^ zmUR@_+XF$~TReX&hdkSkODm5EI({+9L75Jc{k~mot2ft$9bfm{?Vo5>Ip=?nVPTfN zDQpk9EE`x~cl8VOojGVvKf)s#NDPk%Ka*-5`dX+#C?-rI{5JYT67vMhkoeU}YhYM^ zUdXkJgd}vdY|#bpgU=TLMd7o3TYpuyPrGxWo#(MD@+^N6{=}Fu9I_m(6xiWdSy&&i z@~}j4>4};sf63Jz?)#0C-=R+z){YOKLbkc;-2(F?Yw2QtViSr#?sLGIATZ>RNP>wf z)=<zV6%e{oGEy!-Zq;+IgEdEr6V)C=EwB7=WLETu2Foe60R#F<0H04zIKRL`;QFbS z^w)v|Xa0X3x89eh-grT)hj}3MPVfa9zJGbT-{F07B*wltj=u)qIb50+_^dTcU{xuu z2=&-@Yd?M>dxJ)xZ9dh<Hoh*H?=zL0V97IbOHPhOqi69sC^fIjAjgM05V{;14I4df zrJT3-Os)lxzmzfVGQ1UoY%2_Qr5(GODx2j;;^=>};O6ey$>k!A8+))XQyAP5cEwoU z&oVgB8j7DNXm^!areKXyI%uzC<Lnvm{#NNz=o4YEwkkYo$sXAc^gY_DpLEyjuZe8I z{-D|68)cR9x}&#+?k(<5!jCld^!Yy^_7}otBkmjnH6(7zkWIeO*f%OTu^znPA>*v) zpBI0Mi1D!Ik~94zOMUm=33P_fV$BhhIi2b?E7C0Khz@jld;4}LI)fEBB3L7QF`*{C zsLBW5g>Y!oev;VaAIe?-uBr=KVAd8fVEY*Do=;mNOh)8NwG3!ALYVY1;JQ%-cfc;d zUcsH0+AEvM7q^oj;7D27P`W)ZkBP-MZ1sO7hjos`U4y(B&180Lx}sou;frs!4SeY# zIcC|AmpRK>ked}>@tUMqw!G0Tx4P}>Fd{lK%-EROJaoo#Ic1Tqe97rnz(LRHD+wri z1wDdh`t)J041W05zkWnZJ;F}l%K}yn$nhv%OH&gIWJ9qd7Z(4I86pa7o^C@*Rm^{> zC22bOOi`!a>V{dIdwXFr2^1_5Zy#c{_k>$m%DYvP&upFZhNiw9w&6{8$=M&avE-h& zZD94&%jy7(tYmDs4tt8*w&gY~zcfC?gWQCt;5+)QKLb2W)T9%Ow24>2NU{=*oF=f~ zn4vG6B&bpO^#R9@=fnDXgcYAImIHrI0>MQJZmSvjU#n-?`ON4lDnN2FthM|@n?9G< z`$qFpGP5sZwwzws<?DhvW!=v}n-6^!HD(sZzTtn(oD!kTl^r>I<WU4GfOV-@!d^mJ zXN5bj!$&#+5GTu^r`>F*sLS0q{<<z%K_Wq_sbXN8$Vq|%iYa39PHl#t(_eq77rzEs zTO@n)#14gRUN>;N;K#S>xNEyRy3Gt<*BY++H6y#70|y5#+P^35n^1#ihw%by-WJUN zJ6p%QT5?$OVdSLTZR_Ss!m3Sqt=KV|$>UJFwf!>qlkJ|Z#Ly`m@$i*~6-NYrJ20(= z1SK#@WJ>2Nyid109Ma+mtyzEe>o^UGU7254)emhHwDUh-O?3_AFE%V+-JLzo8<+V? z?-(>xf57zdyF9G?z0ZZ+Z&6hlr707xBd3-2z=ZHDS5nDu#&9}a5u0EAc1v9Ap_#1- zZ*crOTaV(4$ZPTt1p3c4c>+>rcDJ0)yqd4tOuTE!Xa4Z}V<7{g&AoplypRd$eo;FD zk4ax@uyQf3|MujSDRnhJ@mv<JbE;LOt?Sc~<+v=A@UO$Ej@&O6&-SQ7SeJL20vlbc zo*Q<iCj|)(G?S2bZ2msTb0t5{(o!iy414$9t!*(RL~Z!3GUY{=#cqwahgSEEJDk*_ zhn@W4&YVT*@;qu<!5x2@`;`X>SeQ}3i5#LNCGpS6>XSCDX2CNnRWYenvnv79k2eA| zt2^q)k40><zt*QAENXvFJFEOAxa`IWXpzT!@9BPAb+2VeJ;cC$f@^jtS+ACdedL@w zS#*-2{*;S7BhD~j$EDKAxXq<focn#c?BvqI4d@O&q>z#xwvvB%Wb(kK!RWW6MNFlM z*``>6;Ji%5(F>q#Y$(b{?#dDlTK`hfjY8?^NUQ+E0;ep)-SQ6t-**h$s9CO`hUGIV zompB|qxND~AVR5(xx1mC;)85!vwh|*gA<nsV|O#B8`l;sj>zrgKJ7^OKO-CR{-`d- zWF~d#T|Ql?7At?obY=Rx+4TZFgt)0?IF%5vp$Utg9I>@nj$zSE_R|VQpD(2gSQ5*n zxEDQ4RH4m!&#utdd}Y`NiVVW7qw3T_81@B`-XTw`+c_pGmriiUEu`u`Qq<3mz8<U& zmn6l;WH1tF0T)ys5)|pvwKL#~7aJ)BiR#wb?NGJgEz*A%&lNO%YiiCkF)e8>ssYU` z^4EyW=fB=Kw+d?ro4b(&y;-JQj6ST0z9oz#R4Jp)#1e_l`{97o96d{*$>E=*?fg!K zqlx~t^dsa}bd9S`%XlCc_y;;^KXmvpUCBduCc=4=VSy>zph2B0+o(SQe2vb_K%7z4 z1<zACOgn!meK}Ua^SPA2XW9-pCLE>?Hq9!v6X2R8i(Eom>;g9*`j{<W|G+?RVPIF# zFa_5dPpcYU@3`Bop)kgn?+8f{4aY!=%ymWk?f%f(hVI#}`_qx+wFuzt#}7hG2j1S( zlUhT8Qex9zK_8a-7<^{Fr%$g3#9p~1(qalTVv&Ct&vm>e5PH|*Npeh5K{q8-Z2MgB zZj?|hX4Fi*yYr$yJa(&Nps46)kx^t2q!Mwnw%~EM6`Q_b_UWokBmW}zwdkd17;aiy zyO93Mra4DYP|i5X;+DmVDz7W0%+mO?R*)E*B*DkjW^0@%lD`(cZEMz=N{lJps({c^ z50rnPDu5%BHNw!Ji?xdz1Jes@6Stb8-mv!@_$Z{|RyaL$InZKguSv6GbO_L5kVHUF zh(pLY^IaYj8#8@T>L2k2hgD6u%Q5p%AWbPxMlcW2Q@w&z7u7Yq7fT+$UxL*N(yKK+ zr67C7COo226|-ul&nmN0J1c5|M}47$2K;~40RwX>RrB%Bg{1xp7S6x+hyS@x&eVQZ zLC_b@5hSTNh$;dv!!F#cpSe^*&6@l8!f5N}-Gz4U1GeeDk|?cMDW*@}4%4rtuO1Z7 zrCdc;WL!ng&=hyGp5ayqJ`YjYCDyg9$axvEFp~}Hoyq=7_!lv%JkqrkINQ**bp3x) z(rvao@-M<?a9Sale}$|NbQ_`%MVlsv<sQ39-ySUWNYNF{hCm*UE<ZhKX+Ys~5r`B0 z&HLsauh@Tk4{U>N#k~ew83F11zGv0Rts|MX`A?O{FCAZ5*fze(HD-vUjlocQqQs~q zqvRCxl*Ey)A>_q7D-x_nSpH1hI6{AH;e?nk2&@z_9$|fa(EHA6{}uOl_ebk0{}!s* zB_`(1IsD=*wm(RslVoZUU;P;$lcnaPi(}T*y6rx>{w67bRNz}{>*%k*K+l+8%)>Dm z5gA@L<O~Npdc%xDlwezpk+x{&D_im1*EpUlKP}xhJ63OGZ?2GJr7c7dzg&M=)a&Dc z_iKqXGeR_T;l$3rh@D%BoiB-<NsL%VKb*XgB;7DbUoU4OX$p9vFWx}h@r}NA!GvDm z`8Psgpf7ha?Jj~-N+$xxgwPv|&l_RbTO4T7>xAiyQ%zORe<AbY1^q4OQgQTU$YfB_ zrhmdQ>P+;&zHd5E@qU-dS}%V%#a0IvoLXmwmXf}2DW5kuyUmlaEUW9ELS_lBfmLGf zXXIxtN--_p@2}nct%zm)39Gs4Q+o}urrQaLAAcoJD`1_&A<Xc7FQ4|16QJ-f!X;M2 zSpxbYj5oNMmSLDSrLJqDrp`xb!xd4SF1-UEBUYN6MQVE|xj(Kj65M|o)8P27(SGvq z+CnC^So*)pL}zJ=B_}g!8O;<X^H|(F4fg{Asx`2GZc5@+cA)ziKqmI^mwEvu5neH9 zwD03qLDLFh+R>xu4<k4!{z+(;Rnoxf4~^B>`2xMv9vZ(7;Ca8pmDTw@E&CPnp@zj9 zNP>O#<!M@4SFw#Cpe=ukvvSlLowZbdRHLPOR}zdJnElz$5a->>8y*+7pnnd%1&d(H zQ2e>+3ag7xati@A*ZtrLIR8oh>vn23T<@znQ!_am(w-T<Sylfjz4J^{DmA?c&b83R z*^zB?k+y$-dyOXnYQBO<0N{SS6<qI~WV3qTo;v*_x)xNx%iMn-t_jJJk<CN3piUg? zm=d9BOo=*}<Ua@^@B#5JyBFFzCH+~SNZRMSeA}P7&l+IkYmHpxk<Mpbk@G~Wy#7_N zC5kXh<2;LJe|bvZ_tx@;-PUzdq(n~(wOV%7%W|;p#kF9U!trkt_9NGveD0js#x67t z>K*$o96nBYt9pOU19mG?N5!D#>bJC3ycm@Al<t%W%5uPjc0oyRmb)ejYgn?LKEtcv zGxi1}@0PqI{;;I>ScIqMLVbpphGa`M;l{G=82?|yc1BlaM~zYTeG!kxDd)fm<C$6q zLf>C2`r@9Tkkxv_*=4~2feSLTF=dMdA#)igiz(Ei06l;6iCmAW?n>XsgH;(OB~Krh z7yur-6?A9dpOwgz3vR@k>K2wuVHn^S>|*FMg;!#0`&bz;y)wWzoQ*K}o5<B%S**Fy zTfr!iiCt!aTjw-WMSoVQ(p9NbH@t2T(D^udenZFSz`%UIk}M`?vo3xv5cy_|-YEYz z*FRFfr`vzz%sE-NN#XZX4LQDiv-a=q6iJLb?Wr8*Whvd{5}$wLE&rgNRw2pgeD>u5 zp$bR13fLmfcc!nvnhcN0OKAIJl)?}riPWam#2@%kA0%nLfBwr<{=b|4yOqwrOhxx5 zpTAnEGLcB_{=+2ouU5W7+i~}%`&Ro_6R+{3$fSR1y?^x;4E)1XAEgk>^p}a^zLf;6 z_xHX6j(@x0{y#7HVy&=IOa7%#asXwbW&WzI4ymfDSq#~T8~=;fYu8%5A$Nr9@BEEE zp|XBKPMVBXGPx@^GDBmRv848?Cv06Y%@3(3*jmDMk|MXFDSY{U+VLE|q1AS;g2`Aw zR6c*WHl!BizJ-GU({qUg;=;?r2wxr-<Db_&oW;uBr0l87{c|0|Ka^D1nQ_=1Nq+;< ziE65!V|6J_NaJ)VRrxuTr10rI-M+}wZeO1(y3G8cf>O6G3NHDo(>?Wz_XFMxW*^|c z*MT$I@tA=^mx)p|+|W`7`xUOy3`RV?ybgahuM>tcX+gQdYv^OA`aN?`OLtvZ>leJL z^1l0WJbg8Lt-*JLUmir#ny-guk*t16!6qd^bq))BQKNh&=kc#Br0dwTjGFc{`!=Z# zf|Rd^9i5d)n^QX~Y+p@=@D0!<?7O(frzl$xRFP#aBwp2DA}jT=1*%^Q8eyx8E=PZd z-0$_(i{nMsb!e}3{vcN3XL?S?`@WeMvJ0>`by~tj8bu3OFUdxlC!+#fPOU5$WZi$g zNdy$D@H9&~YG5l7|5)JK-o%nJlW(D!Si$uU!|dt~7r=S26i1P!ESv!`v1w{&%&ZYm zwXGV_S=jYNG_*6)-4|7?L0(0)gg<|3pjgIkNdr0Wq2jJY|NU2gYI-R!MYV{+su7s@ zgjK^o;eP6OtoNdjj#3}5#1}U+f`5YOaa81RL4h!DImurl(eH)G;c5l!`>v}{JK$#C zm)<Jaek%|*)lT3qFX=7itKT7d+iud+XI9jxD*+NTW3!~4>2{9}R`9m`UBZ9b{!hhO z@Y*ibs|w=BRY|M1HR(k2wX<p;Z+g1HGfd81@)(Fi|HSokmtU6L82*OW=91AlpJ=^B zx;)#I()o{5P$nysl1E~s>A7DdHW=Fc0!|5#3%7~%k^(JZFXrAfCKoa4FF`yyW9eS& zt$ZH%mh^S;u>MzINT#^Xes6!$=L^^3<<0nqC8GUf#gpR1r0>%^bvPa~0Dga^^9Kva zXW_-m(d!01yzK|4et22ham*>9zt%}KKo8PNjrPi*7<nMR5%tsClP|$q6ifU>qogF$ zq+4UyD2~Bn#leSCy$bUClaMkcoq-hbyLdc%zTR}TX2a^+rGzc3+S`8<s@>t^jk%va zsdl$XPsZJf1421QPZ%8YpwCaxDxbE*r(}9kH&IDX$B&St$DgCTui~3C@EW&v>{c1K zM3!Y#EX_76DhM3(C)BMH#zn&344h~ZqNoTraeflN;<ri)Z^jY}X*iLGB)`)&v_(Zp ztiG_!Jq~c=82k)<{>Oj)<w+c~aQt=DaA}+M!*w)pX*2Jc+W*1?(}~<ek|oWGUozvf zW5#d{)O?^I`ZGmi#FfEyB6>>c01)cL8M+W0EB$6%lmunJcuK!K(vUa*w5e2q;%C5P zspyo?KcU|nG1N}l&(Yj@bndd+y9+~#0+NM!9A}?($I}BXDvW>91Dkd0*v{xnEHrkT z)w}pBTFm#l>TG>SZjiY59xaO}u6cRr^Yn`dJls$2-KGD8oos%}lygzZ>7v7XY%vq{ zI>1!sRlN1^){|d>T#FCbEkby{q{;IbgW%q2^J>kSPTsmz>3He*IbG!r?iB7{vkz6o zuqty=@1!<*-q?SC9!MpZ?F8#e_!=1#**7<r)TdHcsq_{Es)_|SmK;!u+5$9ngiwoa z6PKetwoyjZ3sNTXNpX>Ji$jW?Bt`EU<=ea^-fZkkIU2vi$*aL<dmCP{I$A_A{xuhb z9IR^CTqZE!Crumwy_q~RCS&UnzZRFB&1n3aQ|mFLh?{?5_GUgV$A3$1)y{GI0S;pW z5zZ7(5W|^uchcgIa+d<Mqg7barLfP((mTbFAt~)Ub0pD6nh`#$3{%FK17<FZ6K-3w zdObW$ai$yZ>N0B)rlp<Mm#QCyAM|EKSh@dXO4bV#I>Y}v@!cKQyjiFKcGQ~i42`s9 zl$KLc(QSVsz`nO@n=GNXI^)1kC{^jZUz$KGvPPNbnV~;r2bM+toiu`izIl!N9tNbO z*kcGO;)Or?a4Yl8E%kDpGMvP{Ddv&$E3SAT?=?@sv(@_uU%fh{=-K2v;*XRODS^#4 z23(G97ZWac=qEadg5WB=dB49of72u^rv?n|bX<Roa>~DZeT+&n`TutLbLu&GpHs|w zQ^Ic~o*XfKQpAWd!~zjT;w&njhVf3kWy1^yOcbPG_fk4&KgYHrddh2qC4_6@<k!_w zq%|hsA6*if_^e0y&qHG<#mU?zpqcQ$awxQv{ZFy0?#~@hy0WI;mp;S!wo5B}=a!z( zD>8r7nxPdQrGlk^Rf8i%c>0f%p&A@l7B2wT>V0hd{Zm>7s~X|I6TnwTF2H@nOqFZ8 zCiC#`%Qbj5vqyzVJchzb;tefU6N3__;ec+hPOn};sk~A|7M3+e&hbDl6A?5xJ3>X} z&nag@;lsYG+(bR8^30Ti3YR(>L&qv*FCKp@idQJ^-$*^UmJ0XVmtMm|1<GIXSf)Bw zd_-@RBWif-?_1G%ed*Pt{PiA7y3JC@iic?bSp<l;{t>S4mtJ;a3(8*!SV1~4%A@$g ze-5w~TJHOVSZAp5fsf2Tm@zdwktA6F*({@z#EfU$$bclS069T(t4!|(rU1EnK=^+M zwiz=FiIE-KXxk=}HDVndW5ztP@%8UyENUGoA&`7e3I+dUt)ISb(Eg_DHx>Oeq2un} z)&5=O2z1f3%yejRTwLgzvCoG`A|xA`4&jzm>+xlS6VX0DxpsTGc7JKic>HzLXy5+S zp&_>?_Au+6<qP}SSQ>Ac;@w}ez>t5?sk^wHp_Gz0GMeug^pcLFw~{L6F6~Y?O&s=i zVYr=AeyUKGJP=5x=Jxz?re#3J!*&_^!JcymlEDjyR)HH!@!PX?XdrqiF>LAhs9x>! zi^aaC(bG@+NV7>sH9P+g+8Df602nU}psiO>D6bK*X1@7JAOHakZj@eSV#$AFDV4pw zwO~lkWnl*8%SoFGnb|LI!9LcwCc5|m91)`>R_>4j-MYBu90+mTo0G^9VmZN?fI<kd ziv?{kUF+xdln|HNQ+78C@_Dw)$xJ6GP2@_vhxsn#Me1L{Xgz(OBTgNKQ__UPJ424Q zEF<)jaO{^H1>Yu~VtrDPpC*5BpvSx~I^sM{3NNL2Nz$Q1{|eWS=#Z+@5TVj%ipyfi z0BW+HcrT52&w8aFD5S{qMQCDm;mke!_1uat!c<gc7=Gv8>Tgey2OSvq$O{bgzpc(~ zP*_vdFG%J!8~mT+?~@s`Wyt4ud-R<kWkY+QFiQc0u^|!vgG9{Cta*PR(+?i7Ea3{c zUUw<pJSy%RdwY$Asmz9@>1R0bBh$2BF4Vn;`Wbi8F}o+wL~aHGd9<4ekGjhn!}*db z$IU`ma<_Yuf6<gxk$G)gv%1*0Z68E^^LG48{(<pnqijOxH~Y!)^Cwpec`CpGg15A9 zX#4%~euxO=MI4hP+FO6gV6W5Bj%OXe^~#%PwGN`Ud8_b&EV&z~;PbG54?}5x{Y;}8 z@M<9shi@s}$~K6x`fYMl=7C3jgDjchx1qk_bBR|A%=mmu>;EunMP?qT-5Ux2GHNfR zHt=kG%4n>6-d;BTA@p*c8$0X1fAlwRwZ7!@hurQphTG5%$7Fvv-n_Q9>3ODgT`P_p z3X#M5^BqBhp!3{>bI`*;QtX9!$(7`_XIJ0xY2VQOzF!x*BE_$tkEHM`hkJY+Crcgu z{|AcuCO`kgz3{)`9~J%s#{P!C>&O3we^&6wW8!8R;BjQ_`kF-opx39##>jl~SW+KZ zu3w}ZrOyA@WnO=Gu`a|55WThF^L*n>N~X}Wv<v!vkyKhO=eO>HQ1AboN@vWUFmy3_ zw|braOg+i|JsY(h&>gE`<9`B>);%vaOZQY7<_FYqR4ZL5#vVnJL5Z2#X{5Xby|*p4 zC%PsIg9`sN97dC^5T}EDtRIsyR3^~E4Y$EH^|Uy8l4*Z^EIY7dZ-lDUB?l8amF)I8 zepqo7aO$6G;s31uS8criQbS<LHh<NoL#LAbspgCVe(7U5h9&zWRG(gQ(4#3xZ{zU7 zp8chsge5!tsa}`3w0{`*_`Cb6EF|{ol~^%J@n=AejA&`{yR4L{sx#_eivsn{<^|3j zT%pJ}v8;cr<hwGabP?4GSjJH}4S0mXqz^CYIT51IVa_S?Mzj&NLRgJX*#AJYQ}*{+ zeZkaSu7_REzGOc?efZvjeO?0|_})w~b&pH8tGbAXb?NavIOz4U^c%|I+K?jMBz4lq z&E-uhRF<P<@;}SMN6RWY>0d<rzd`$dY5ungwEuqrm~?-FZnEHEL7FmGtU7b1lfIL7 zg>uOn8-*t^;KARZ{r|-O_X-dG6Ih7>R)2x@e+QgeSDspz_1^*JFBYE&y)<EDIW}k> zN3!pqbP}Mx9hlHJaxR0v8O?XiozhxVeE?9pZkp!rZ9dxMdSmhChsMu<_i@>A{_iCP zQxSg;DFlnYRL*CE65EoG)jBADsEapjY%jCx8DzI?BdPyzOC@}2(NvO>5t%qCiaW@= zpOjK1^}qnX*vzJr|9jlk`v73-sR)3(I-aoYjbiP`6u$pUY$!ClIrHPOS32I=K|zKF zj{21B{0GmEamad8kc?eVF0Iumlm6g{{J(!<9kTpEA?<R4`@m)nr%;2i5$kUO&0GQ+ z8rD5c6-sR3Qo9_9Dxn=@`N4ZDq;eda6Ra@fPKIEwP1UOXP2XnNJ(QMK)|-j7oLo|w zPiyDaYt((^S#F&4=ovDl6J>LY5$FyGRz;(|F41=aH@#k`LsSzU;ze7h62W1Y1CW2( zJ^QN8Q$r~~=3r}O5~XmqEVd79d2FIerE$)bU+EhB;Z}dX@crk;X;ZKJP}scRY1ZY; zuy*VCYK6=Z31wnt8og5fxb|z;?^#fg7n78A(?y5A(GG+4_hVP0>|yyoUmxGclsJKZ z9uCg0?~TV&%B;r>qNl5YuWuaZ^Id;$cINZXu0)Je69mL(Lqc(<jG*Yp#Rx69dUeI1 zG8RLS(st(F`<$?RD~?<mVzCB_euKB~+^KR=g%>!u4y`<zos?pa65ZmaW$1C<PY(k7 zwJy)?tLm?+5~`N3kds4IBZvp3HTX#6S)JTc2x{l3()4a`B$?dW;wyfh&4z!t?uU_; zW6DE&elqbxK72^PEvw`>OCs3`e$fEBZ(Ar#Nb2Wb#esoqfwi~pwqESJTr=-|KxQq} zlcntgI+iOx=+(*gNknExi`&-3CH}TYpQNo6Jd}byK(X-|>nnD?`ktWtFQU{baZl{s zw@JuAeT5?aGi9p6{xf6izHxtz^k=#pV@|~-^q@i&lgd;Q(X>L9&Y8Gu`r|293;ORG zQT~n(1WA9FD0DuJ%f>sNYMM3ooF^Nge>M|e{^-6xYvly@0f&Ce@$w(50*u(0jY<M5 z$07yLClQ`8ztSF^cjo`P8X8-25ct%urJ}!a#*<L=^32Ak!8nwh{5OA3g4Zbe(Okao z&CXnY=#6n5F!#*9<91oIESJ$f3(9>>x^Q%x$f9?&3{AuoO}TT<3fgo!8>QMCpxV1Y zyVYs~L!$f!sW*rDVZ;1^lDDNZZ{AxQ-3)0M?BQRjR)5)?m)V9BHIHUG4P-hctm~W& zt%BF9v{`Cj-8rGXjo^P}9qd4m%<9<{z<ZR+v^Ue#te9&z(e2*M{-GH5&dB9;?ed$C ztpmUP&7)^`0#Pn=j~B+P3(dpY&&n*r=Jv+zZWd~RW#;JPgv@|^St=5NoKE(~@)q?0 zeH*y>G`pP>@Mtl?w~vZEW_5mgQ;IMR8mQijW<Hcge-~eB4Vr)I>4rZs4JM=gK)V=+ zDUYdvsfwu;@d=9**M#V;h#^hvnz+3U<Q9|(V0tdO6*Rg6!p_-GbrKRLRVnRzE=pU0 z$1!VFOrNM9b+6PVRjK?_nS;kMX~jvO_$(^b;a(}A`OS+p0@Vf66)uk3`~5$+>jQSv z&9l@@BXb)IX5)YV;MDooJ|l56ysSk3cB=eeuKjbvYrs~P1((L~hYVT3eDQLMr^fJ- zEs2jqu%G{5aQM#<)cUFa>9d?}1j+f}&U&DUsX?7)Lg}tsoz;?2Pxq8!!If6_w@w@} zZ>9SfUpitd4P|tg8_I>+<D)a4J+o2QuN&najQcM7L?eHYS3oU{J2sMGVcn%B$D(yB zIa1X4fh*04?~d}#-Ux~0{3ob<onN0>g(+W2_81gh?U1XQ@y-v#=3;V(-n=M&S|&fW z;QZG|2~{x7vA5mDA|<V9gdu9y>c@tCEyST(>gMXd-X$%Q(&FOdwh+~pV^WHSf5FrK z$H8TkCslvV-;OE&5^J4$>4-{~4KD^6iz$t~>p#4jY01?KXPbD=`n-VZl~?@o*a-5a z<O{Bp<vCZ1-+381kKivZSnb>KC8uS<Ifq|Yr$Wt<f^3cq;tw4-A8-nVtiONA*bfuU zTm8xpX4;h!5?1O<rNjH{JtcB5ZrX`0=qY7`s?vYISQEe|SuA>gvNT0@@m`awc<xYS zpB!_~^uWw6L<>bP|6`p$ji>vQ+V`w!f0C%?&ojdu{_>yH`gUK3nF*!?*lL;p#hGG( zCXTQz&m7<Y_Um{Wf&z-_{%?HS-~e;-X_C6FQao9Fk?pn&h4B)SKmpqcF96S!xfFmW zL-T(p#}!%5^K=fdkkUxT>raNfDkb6SJN5@O&%31Rwgu7yeJT>tBwq%oO4$ARfzi+_ zzSDW4n`Xr@m)#?|koisb{t8?-<3PZ`gA_gOLbC$RVZ;tsz2PGc>=8%X4N5&$t5=&c z12{u9k<z#qjBc5?rX_cVJ3Z#tVOrgGG!uV+9ZvC2m_=+7H?_+<OVsd#C#Y>4h=rSQ z=@uhNToht_GuH$(@+8LMpLnq=G}@SvzYB_a*r`%Zu_n+J=5V&btiJvMDv}<SFXLx8 zuQ}e2B37<UG>X@6n`2h&sHNC(EqTeo7$)%hK?QA2w}1RocJpG29rmQ>G>*^OpL2gq zDbuG4OvQPpsuU;ivad8LDStPn7<ga>Mr1nI7D;|!iXe$)jFJzhCu_b5W-?}!PV|p? zJBZ<_-YfO-H|1kjGL{UedYE=7;mw-z(Pv=iHq}WiO_xshA6rm{c2i<*!2%~=HGaXj z6g^@_RdQY1)aW~|l#Qpa{=>(bqLP2sZ~PZWyKFlR4CZgc#?0*J7oGWjJncKA9y~mB z)&K7L;KAVc{{An;48;3~hWm#5{fDkM9!buJ*Yq+iGWlDBcY{!xtrH)iIl1fouDQ=e zo3txryT0DpQSD4i*D1_hWIK~3enSFPzQ!FR5llh8I|1MSSV@*E9w}61T;zYZ{JQsE z)q7{P$h6M&j_0g?PXNV;&$Lvfk*w6tEVDlJ8f3ImH}W{313QRusxc^=?rtJr^roG0 z(ss5}qTX-5C|SZE?{55V@8kGtaKu=ZwsE8Zz#;4>+mQF(<WOjz)3rj4eR7e}5YQ** zc*zb`$8=nGGQMkRlzRrOk=uU@+szmkIRVyux;^NDSB)vIdQc;0?bs|ssF9kDDRqAk zqdwg;QDW4h8nb<tAKLHO=}y4n20943HShe<lQ4g;F}V|P?LPWqUsu~jwkA94@q>TI zwM}4Xto4u0E0z`zA;Vo;j!_O<-cFd&il8MttYdorimb77>GmSb;wFFWZcFogys;H< zw~=oMIs^KAzVDaVUYJS9+}(`h++EX;>O04m7g}v{8`|bhg(@S7ckH3wy~$k1*2?JZ znf^O!r?*5&EW0945!Mj?g0H+!5gexM;|##Dl%eXGDd8xZ2;ZD#yxO0z!i8TYcIZ3Y zX1cqufP|Z+02n6Rs}Fx0a?=|H-U`Q0AIBr-%Ex6^lzS8`fg(Xrz`J1_kg}*`_Iy0K zPr;8bX^{Y!HH0UsBUKB_kLLoDMO}^}m==YD@PMzvPQXJ^<2)Bwmhy*$-6_l1%lW~K zm+YQ?h(Wk3j2Uj-Ckq)07i420Jyez>3YI}VcXvZlz#f5PqqKiGS?~^(<S2t5q8=b1 z@Tk7B6mqP5b-4$@fHuC%r$|iLG{n3&1aciU&U%C|m)<FO`NY#6u>cS0-AcK@yWlv& zmCNqrxfDho!ceA3e%ONYt5lt=0W=8l87Li^KY$0}2WRSoru$*s$*(e_IRd2bqdk{C zV0sJYo#HAzS~P!v*j>6icC^ncMI3uvt=s*F(j%6VTU^)>cq@uUYn;sADNv<XFx_a} z4&e$<t`zZi>)!N6$id0`KoFMj3$7lL)emTPe|#h{tQNl2C!Lm$ee^7px>E!NxNpGI z*O8u&aiow(w3?2V@E1f{!>}P+zmEbV!$#;YJIJ^N-;IAeF`_88q0eqYC&JQ@niJ5> zWz4H}$c6kCDRKoS4)KfV;e-<AX?A0yDNw$MA^1{nUGZ6=a8wUFln7M?H|?!Ub;Hx* zfa2u6=%$c~4#vJTL7u_(!1EDxJeI`W5i+>J909_Y+(=PaF2pFRPSY88)jlZH&`2Tl zrHMG}kvxAYGX<)MqJp)6(|g_0c_Q=KwJ?A$naM+AWT?@M0VJ0JNCyNlTpY3D(g&3$ zZ{-P4KzxPI_nD?~w9*7%x{D*FVJ{)3zdQ0kXuk8jH)L{A6UI5nhlfdLDCW)BPU#J| zC~*!qT#M*syc^PTAPam*=a%|1{4}VqDoteD?fHLA0NarQY8kc;neH`B-NL%y?!jCA zB*SxwgRFwNfW5-CID4>Gv(Qidjgb4Wbntk%pIR7=T)ri0HFRKW8n$&J;N7mh^$dXr zuNxq-$hh(WYZakiBSzupFl#U{f}HIL!{Wo|brIv-y6JE<<*+?={tH+9L#1w-3kc0j zox*>rco*5Fw<o4tW+$mXmnU71v#do2H9TAUwwFYNvo`%O3@peah;t)be#Z>I*6Zf? zS4P^xiXc?mbs9I#Y&{>5z+>YYmCy%0&MwH=2|C49{QQ70WWPUX1toQ)WDgvk$FzJF zN>JBGjiLwRr%SK<jByG^&KsxJMb!Z<R8W75m$i3=XfCr3*^Qt`8m?rlWQ_|p3;WEb z7R7FYjiNs5X;~7G%d|=S#W%T)M};EnvbhrrX;N;A-I5!a$Ryr7hWy!cc<iy1v#!1H zDSqn3Ak-f(K*y}ZzX7wLQE0=u*Lvy-1C&|eC1}yev5{UNB2&XZu@ET*ln<5ISc-oz zVkO65QL9x9W7@qVKow@Vkz3@+JP5{V!@D_1TfsspW+dY#YdSM|8-5uJ@z3AW3B~n} zDX}M#%GKMl2a}fut0EfUeXt_1&vu>ijU_8E4hiZVNr?@2dofOSI{)IF9Il}kDtS5F zWC%O4<dkiku;i#=n2=1A!e0;SOhbQ~vs%U@3t@ayA|ITkORgUwxd1G9c^Pwj+tr$& z6;{hg<QnX~V@UXz(@Yy>{!&TC5gBL@!qNL^N{aC2!weJ~ImpXG$ecg-YP(t;bbb73 zuQcR=loEugppnk!wh!9j*dSZbgXdE!e;0@hy<8UH6pV4EDGDX_e}pV*{q}#zr*^Oz z8*;tANDNL;3d7xPi4^As#yA_5jrp|tVzd$0%I0_CoMxAWhE<m&b3$>h7<9@wyw8i! z?AtP*gXy;4J_pSfcoA&SC~dJJxnTIyewd-}gXu0Ykr;>@cm(Vk9MyY~h97mrMve)6 z+07VC6To|^i4;OG!Pj6*_XB?sOjC_?<4DGWsx#w<W}&5Te~?4M!hx)JgrWIpn#-;x ziwNk;3ye!9WV>x}8M@k}el;`?Ex61x0Nzuux87}1+!7hazSQ%?yM1Sd+mp8{5;{-X z>1z>8v&3mijMT{($4AXUn4^L@H%^qgsWv1nyr50VQPSze2)P|P{3CzRzE<a$PcR7E z-4di~@vyGV40*;1%tkU4gz*Oewx8q%D{Y?^p;fkDunCfaY|{h@L0-H)gi`5{5qbL^ z8=%ttUgA{{CKh14{UmeWW!vnfr0aq|b%5mdlbn68Z8K#QGDT1UMFayu_#*w->u^?6 zJB9Ce&9|4;=j`KTtLcB8MBeQwMz}{>uK1v+`z24#IZk+}o<|%k-yqW0kwSx^#VJU@ ztm#UgCvQP3lhsM!PZFSma6rC+>A(m3I3OC~s>MfG7Y7D#F1DV?PD=lW0d$DqofG`= zEIE63j*xG#$WE+QO$&<8=|))dV7{m-xIr%!vJ&QLQrBD3BoKeG#Z^b<%HfA)4;Sr? z=;UtA?4)kxS}=k2OgEC;^2s*BfRMeYJ61Q0yx4hHf?L_a1VkcK9i@_Tm-R;d&kgiP ziYk&D)&|Lq+;TcgZTd#7Jf)bx?wAw3-mv*&x$s@MIo+DXuSXz5C%pX^MJ{%vui`+4 zp8>^2Imb`E%ME{X*<|<iK-A7Lr-v=ckm%Dzv*&eBqw<Mco-C8Bk5W+n$Q9vD6gSeQ zbvrCTyyD`OF(f5Qo{j9c4$$_mW~OB3yBCuR5WoCth>}B~mF_wKUNe0%BARAm&jdkI zA|`W6N~v}UuyXek-b8OTZQ7lJ0->osh1bPOk^RqO@uGiI$%u(Kn3yS5q}cB(*xXkr zFA!3iFG!h+P!L#|R5&~`RwyiOj8c5Lg%kbh3U?)N3vVTD3wNb*3x8#72xkR3geOZx zgConn63GlYR7jrjP)IgOE>NvEnkWhgp5R!L-qw+0+ok~iu|3%%<e;|ws7e1bWz)OQ zj7?3SA2xr%Khrl+f~cFGftZ`T&qz(C#t2My$4E@DuJLnvHE{XU6Y%--HE{T=H1PRb zPVo5$h;jJGiShWC4x+M7$P`A<iV7olUlcZfbSjvB4^}W8dZVy3X;iaV+U+{~O{~jc zX+^bW5fA7(dw%(TN$kkebZ^C#uY1U4<)XdjR?dH(e);Idd;HH8$PXg+t3KT0K{dia zaWY|z9+%9vK#df-4z-kkYul=ytEN#u*Iq{bgyWmq8d_4>1OjIs>)q_Kdfk37%(<J# zX(MoJ8cu4J19$m%8VG<>Sm$jjy(|UDJw5UqG8vve1<M9D7zoVNv(E2U7`9msL@hU$ znQniqCJ}gE=Xunwfmtt(jkn%>Ao5g#zRj!(R7xSfeqOb_jCue3@yC>zAHh|CM`j0K z6$CD26lzhXHJ;Eq0?$1bm&`q&5HF{@eU&0XdQ?A2oz(PMb3y(0r5YmhWm?K9{~m+a z$qEW<DMMyp8+YwjCHC5_8(pjywxEKPp96ma??kwGn@$Y1FSopC1lqGaHBtu)5K~JE zughr69GuKjDz4vX^X?JT9JUMalALR5`|m5$h%vC(81_(RWI2)&HOeyC#N1J5e1Syv zdyeDfpu%uUTo)tz{l{^mFWPb1$inbSl5aiNdMgxmio(pm2*~{d%Pwfr)zFSRu3&#{ zfz1~P!My}zB&B#~JWQ)}of>hY#f}Jx0)B5gl~yWhzdFrfz1X-Ycre(Z@Ooy+l*+dY zz^i=TLcAbHL8;y;%xiOLr(NlCULb^6S6J(%iPZH9Ykz>WR$yx(H+MKdvC^EM7f?c3 z1fJYDX#ZOGp;`6k;Qiihlad=`s=a@R@S`~o<>cMC)TmNiC&!EaY3WgTs-_^YS*1`h zl5a{C6UNFbbJsw;q($N>Ye}dcaXV9)a(AOp5uR<5$^P*HJgu8CL;Ys5gz1o2J^gl5 z_YpaMbU<mF``Dhs&H{^B{Q7Fa%wC-UDN<V-yd_Grm~;Q6jQM$G&Xu&)?H7LvgnnHp z019j)6)mB-C5iXz`Z)AahM@@;Z|9Yd_O9bbf%~SB!cIkIWIxp%PD#BX36W7SUB;#f z8?V{1vi9S*r~-H7mcmZMMx<g}K5og(ISCOcls?0EiGw%sLQUJr^`ZcAH>6O}xe}>( z#)4aNeNIl)rA4kzct}H8dn<p$>(c6`ErB>HnE8#VP}of#Ih7oQAAP(^uC8-PMHz$Q z<4tONp3<?91(-!uXhgU&Wzf5fW(b>0fVoD6OALBEcIIj#r@;Ab-V>t=6`ST!x)Y{& zC8aF{>c(!&8N;hjcx_sBw88G#1u1JW3Ty+Uk%}>U_|YWu#6)zp43vM|X41SbS`D>7 zx{nqJt<fvI?gvHnzXL_~zpKJ82|OfIH#(%upl%i6EormXzP$TT(6mLSu=e91O7YAO zw?wUlm<ZBhqh8|5KpAr<$-C12Qv20SSwY6ztpe?<WCh2ikjN=%C|*glG~Url_U*h- zR5U@>u&IgE6OgzP&hvjh@BZY)Np~7gnL`(Eir%oaYzUQdGmV?=w$*}JP9l|;EzN#5 z-tH58)DZf47QXdLTbs+(?qx_^QZIAg84ND~7a;}T=&MS}#;#Mhpk7YxeCqFm)P-rn zx%!+_vN7t^EEqef1O7m$!<GB^(rGa3URuz0;smfE((a?v5(s~BWH#G4xy1(=7Jo5h zCu{+75}wUt)XN06MJU1_`oH#M@Tc&^v&dlVO-MEEOGr2E<x9Kk+q$)mvctaQL0ZDb zAz_g{taq5VX=v$7KBOD04$>3Z!*+*tn}rs+ltya7jv&BDAlDtnZ6aFs5+CUY+k-$O z-B`o0yJOIz{=$DKJ_IE)+t)3%2g6eJmN8faC4%6GYxT9H0WqN}x3t01D31F$Wd|k= zVTn9q55wrrMax~PAcJ7J;G@VRwxZ`xEX?gnq972rs3Wc(+oK3{X%{|{;hY&<w-m&x z)p#KvN{Yrn86cwIM!n>zmUzGyp@e7xlro|SPScy5nvZ`E)C?t)$wWW7ltYTZt|3}c z`K)e9LPj)lccu|RDJ?iG@~i!dui>M8_-W$UEYDUcgM|VfA=uzaeX(icSS%{54}v8E zu<x_hvp(4s-!}c+Z!1G0F4=k_(cHs1iVw7Gh<apl0BEFsDPkllr(clV_FnP%Uh({1 zagejzH6(u=%_;|me+&BvsmaTO;NJ4;fw^iLsXbAgdf<)e7p}L{Im-xp;kKXoww~$v zqm+mK0(x=ls28u3XoRDMEq+pV`vdeGerLXLU3AV_o)s6)*>kfKzJ?CN<vL%6GP=%# zV-zuuw8;`4*D7~EyjW`Mgf6~bNie&BC4HCju`+-D(F`$?zmwS=C%XwKCnx8mo{1Qw zn`hICyhY9AlU|+ZvRJ6T)yB_qSWc9oxYE+=!Ms70lWTE|W&On7mQey>NuB87TRi&= zl3Fh~VZJ+Olp@x~pL_8+O3K>BtyGg#idYwaPVIBLly!j@TbL1*)IkOI9?zIEXmVml z3#5MoO8|59X(7*4!}HlM@bh1Si2JTvirNScr!?0xG*DMtN}(7VTM->;<G4pEhxn_Y zU^0Jl<N{0<VjpqAo=>=%oA{;TS47Vq3HYM0Q?OM8<&EfuPxp4DXyG2I%i(sipllFF z=pZeuy58n5<o>L8=a2fZ!93yQ@aC>Q{M3JBaq0KV#4@0|g-2+%HvCI$qzB9oywc~E z-Vp(H0`FVK9BsV<L*IfkB_i?%CR2J80$9NKI-!(s!IBGdl+|$ZQ&avM{uJ^;Gyxd+ zrBfW9tryyQ!=J%Ij?x~seD_B?QGii(%aAP*Y7BA_{PE_X^BYYqlM0B&{h$(V?tXv3 zoTJ$1b-bu_(D=Jq*5kl^S0>q6I?eA#bC4Yo#rUW*`PZ%J`Sadpj`9=6TJxmNN3|<_ z=_8K<4*Jezkc;c?2jJ6y$f5L$*s&1^ci#!sv^_D15Pq|NQa0ua+XAom9i{F0YZ}44 z;^Pe7W}oANv%fG>w70Tuw7?es2zGx%^sdY7bPODUKK7eU1f<sW@Ld`s-A(Jj6m`%K zb;-~5s*5j3R^wy__jzw?$N3FX0WWSmOCKzUd*{PsU$Ecz)9857{4FW`h{-M=d&6s8 z_GjNkc$`6X=>_@fN126v@m-F{2U|sGA#^Pt`1+Th0tnP+lolJA&odqk73F^kqxoX; zHEWgUJ{=OZ^U}TZywCR~Jr2w|sVBeulDn~}ddzO=BKG(!He8T(Ji>WvvpMd;;ODD2 z*6*Saqa1Vfn?9J<r7<a1zLMk@T943OAR$U(_pSXNo)2DRSZOfEB@OcMTrx#)P&UlN z_;qhESu|$Q@mY3XkVVoFolbv!E5^pfx=#$k<XP(P<s8MLGf7He^Stp%EPs$~6;ai> z=D38#)|2kBL6`_6JA9nglIS++2t{kk0{{{pd9hk<34_B*#tdQe>HJQ6Yyk|WB9NuU zcJ<ZOb7>?Q>^+>RFC85F+s{0wTQI1U7fKk6i*ndbEYhR_TdrL%4CH@#>@s45zRpNu zAdM;-%TUrYlGIYx<<nHi`SQkW*`AplJkaEf<O8Im<574p<p%!3mOM)D)t+HluypXz zwH*=N==jmLG4UTd-S<i17$w=KSSdjTd)r~ZsIkKwMI|roE!*wtjJKTI`&j^+CJ7&l zh_QIcVdPkCj-uK@`N@A{Z^P%`7`=TVly5$Pq=FQ>y-MAu-)0<Pqdw&Hzr@`Du|e7N z+RIi;^~Z{G`p>d$)Ujn#0MEiSOJ`<Xaukp4?bQWWKLLJ*dX##@Z*mleX>8RkSF-`n zmVtUsXR9v&^~542mPZgulO9&R`18XTuTM@yN<5B+DIWp_^(uca#$GrUd5M%n9f32l zc2z?$pvpYRDA)#sJR+L|NMezNCh(_27Tr6m<cMr_Upap@q`pRTdVW2nOaMc$<+VML zxj^61o|;C2UN^t@`3R+)dAO98X&?xBP&h5A_sdIeSH_ync-Sv|OfILt@Z=j{*59zS zY4d%~RE52rI$eLhg39KT&>3x_I=9jc?fe%z{nH{PG+G+Y#H$0EIf|vE-LYHMk2|ZM zPSE5`m6qA5A9v;hnnTn}y?+Gd=&teDt7~=U0(?SsOTDMkT-1%b^8nR>!R^@yxt5=l zZ_JxsI{tRd>94UY2_m^NwIS*fm@4%?*~;m!w=4}Jzsi5LCxQqpmp;9y%2904;8}Wj zHDpIL?pwe(dDqIeF>hiPm(M1g?SVa9*VszB5r-T)1N5xEk<KV`;;B_dky4j^VWg7r zFduendSz(5!9IeNUAFmJ(V+fvuHbf*y5|ep5Md=;nedyqGt4zA_`yc`(|8uWP-%D( zpa)wfKA3;UpA#j3AV99b*5E<C1K^9u*t8>TOU+P>V9~JoC_DQ!mIkOs=&yY#B!``I zDoe9$D*EF=A$-WijY|txixr5;oPQ2Lyh3(gYPO7LaD!0+hxjPp99_KTQ*)(NS-q<Q z^#B@h%V>srxppW9e91<g?}<u)5x8Y6;|;G~C@X*5)K*<QBh3vfpydT1Dw-F_h_p6y zDv;e!l@IkUo#~CtU?D{507`8<zQs)8+;R3`OR1L9W(<-usMDcAZMrR#jSa6Xl^lnl z!kIrabrVP4M3I)T_7tLDZ6|&S7ERz1%lLqn8XSGH`teQKt0D^kpTV)Sx|?xuLt(~- zAb@|`{&*lpHw&oO%?-~+_@q!J+y`@(x6f4U_$-txh_AA<GKbNrp89s*t02xxAqIvf zC-}oUjudt5b=Kd!jR9jv099PwPRW`i-@2^KL-Ysa*?y?zxzMTGaK;r{Y>=&RI$5fG zIbPgOJ?b{|ch*G3w5E!o;A#qh>&iaf%jADWOkEUFrGH52={5^Mz{z8U(mHm`Cr7uW zr%a-9Fi7tOv(&tudhWO5yyfq|djT=zAV7|8Ih~JK^QC({O3B`{)Z3|G%#NDHl^4{m z9e?wQ)XY$-IrFMqC~gnw^{f9atU<Sme`aB7f3;y)C(HT7xJCdyK*GNzp}}Ma9b?-( zd<PPLZmgdB%s=cjdI!{X9Ic+~yqA5n;}3q&X{pENJ|3lHcswh;rrLpT;=y95Uvg`# zoVlNGsE@i;Q_e)P80i}WyS_Nxkn>4VAeEKND)!Aw(;u)H=(o9ry_TYyEc>Dteq`uf z3G0g2RP3~zbj&1wyrakPOR6hEqB@fa;pNtUJR^-_*}i#x%Yc?c2_o>})Ea9oJ3;g+ zp`++nn?2Lz*hHdK2^kb2^|V@O^Z`DE{153o^p`<b@KLyGT3vlte&WEE2PIwX)VhN( zS=G=VHu^1t;}D4n<{_yiXKZ1zt|1Q_#+c-Fhy*7K4`)bctX{ent{nfuC)hMZD`K2~ zwa%93BUnp5lslkuLkvv5l&261KBq!P*<GZt)Q7|c_Z&pSuY2uF#-VS#;2r#eirvJ^ z3Bf#<mtq^WWZY2905{|T>=C#nLW>hhbg0x#7c7R-KQRE8eFQ35%mzP3T4yz?L5UC3 zF>M&(aeUml%u*o`304Yn&h>Crv-gRAO7A_WkXjRWafwQ>uN-u-5!Uv|<cS>3ABDeL z$?5R5*H__3g(oksmOOyf%5n?AV#xUk6nhBwgmEsK3Z9?VgVU3Z)N1|4hccCp$eR-d zi>qI7!pl-T{3Y_{xuKq>!emX~Gt(_?+WrtGi~p{)ND0mP#eL`7AvWmDo$4W3B<Rcb zf7p2Mcs9fLZMd!Kpy)7a)7rBQF=A8HrbdjQEgE~ps9h8-YL6PJReROm6h#t35qp!^ zGZZ0se80c<eLv6pzRw?L&f_?b^Ez{#pIrBSUHAP*<g*MyLqLCGA(j0p&ET)=^WgM< zQR)^zaV=5TXaq?@fDi%%hu}I18azO-!993zcO5i9aEBS(VQ>lVkc7bo&0xU^Ft`pn zFp$T+|9w*RzE^*}sy=6}UT60{-KY9=?_JejP@i1nCuwo-6p`ycg4k6b{4Mb3zVb#! zt*(Gsg7IvHQ+|KkXx%-ZHzkIwL|in;q63eo;e=mmN020coOzB_*8VOAA(xnqRXSr^ zADlGFP?<<~f;|W}z5T!VNSwSxtVp3oVOf#2EVqL4cfu$3u-cc-jLRQ7(-ERlC>h3} zm<>FI!1N6~`M}7HQ-wg$eINdzqbEYka;~*ZIbDoB;TwO>$r!uj+Be-fh!yE;-J~(6 zWD^CHd-;g&r1LH74k2^SQ<!ej-6><x*EN+86?J43Z0n^e9gV7JywCF!2>7TMJZpn! zdt|7Frbm#=2)oN~Ng~J<gd5muapkSCb_`X7*SA|*^Ufkt?ktNXQqPVUZcU3NV$P13 zatexhQ#^kT>2v6ddDE|`)+Aw%qdJJ?)W$Gy1GZbesnoGIw@xslsDYPhGMQ+wUt%YV zD`0IIwnen^5xFCc>W1A$-VhVgiD&unoWz0eUL~(6p~@LoWKqLqfiuY%f5eIkYFObf zX|l<OYY}<ztTSgOG~YIv`mzE_s5ppn2vB_HorHgRc4#dhNVKyeiHcF^j^9X2#Hb{z zvY?F^^CVFn3W02wB+cV&Ill;$cLo-}U7ajSkO*(y85GGJ=HXnq&kO!BJUA;%hiuIL zBEUqsQCw*vpIq@GO%f-`;1Zz;96g~)=)1_8cDlbRNQvNvH;0a(k3td%3{Q{!31KBh zcRPQm2DS2Cg8eVSog|v@_!1rz+@noEKk5wbU9E+j2KT^`(|<_%-2RUL{JRjX@agHX z7gFh%06F=+mNoC$a(*Xe(@i?clGPi4)LUJK^Zp2kZc{I`em9F3&%No}8VHxeGwrMJ z#}fUB<~mkG_O6=3A17|QAn#U*;J4oyAUA&@a%??G;X1V#;np&g{pxe1?vFmOV8~-+ z+K*E99xU!+jL7nrpKyRwV5Xb%#!V}Va8(1o5~`|uhhr#<ws+A()_%8UU5UOfFUK%# zAi+HkSipjGD`hAjy&aC)2+S+Zt@<^cKy1`n$(<#n6eENf(Qt}w*#+c?1>%4dAVGi6 z#&qtm42{v)#UQVDf_U4tR5G{OMcDvvzBtGGe;p)-e7gZ)a}Mt8c6)+4hiB0{=NrC0 zIM%c5Jg7^9)XI^gGK7;zGTd0EOxxL5HXKKe@SG|z9Lozv@Nwy79kh^(h-TjECR{=~ zD8-gH(OZ){3e{P*_{vj69>jgd!s>q<8fb(hRM&kB{`+-ovZPw7G|5e}7+s9oS=|DY zeF&;@Qy(<ZK8)YG?ZtS>m!`n~hTN$jl@kTExWBflvRQvI(L4<H2o#(l+4kmhq}g$J zcSNwE3~nI0#70{ATm-{U^Cgx$MJAjr0|CW<%h(75I}YKzu$N^R>RpzaMG}AiR1~fJ zDfuZk!)QMosusQl$trq|4cU?o%622#cF+>Xv%H%8RFkM;eaanbz!4PVugKy3P*uz6 zODjKkFSL@Q<zu%WnC#8UJ9rQMC<eap!xOwm=R}C4PMp=L!2@X{a}vF|HaX)`MsLEb zK~ilr8`EHK$(~dc+XSd6y@7vJNB!=Bur>STSBzkFgxN&U?=#+s9kmfXW)?Qw2@vc| zal*EC@tH70Iqxaxei<u^7%d6R*Bm<An%F6f{q<f)9Ub5@i18{r{f1Viug&)dwAP{~ zC)n~a&)+Mf^;}?QeuI@^C{@<+J$T;<XGaW3^I^v^lL|xpi@#DSdwG9Rmh5WG8zqlq zxiy0kEGpTjnwg^LTgcj-EJoyn78XPl!8-cZwY%`{KD=^Di4)}f%p!LcMzN~e#*eOB z{18g81G8Xl{epVoB98pA>Vb6sZVM0nPJ|3z?eXAo6L?>Rdbp|yz6lP}$hlj^u$#o1 zS+PsRj=d>aBohvHqkVrj%YKQISJ6q}s;YVT1WlV6h<ls|KY%u<<*2OYopzX%6~h;z z(4X3P-&goEzn5;qYfX34J$BAi5j;METSv|5d$xxLsiVdDW?r%%Jw(^CA3fzRMj5ZJ zwJrLpJp5#2(eh#8<mFtjns{V!jn*<@w>}7~a7Fd@C=BjM*JFR!#_!V%%KLG@orE4~ z=0@_R-8)&aUDhrJW$j%4IEAEz&a(F4=E|K8#+0pe%AsFxq@{!CeKJAgCzBFom{(U1 zeYc!Tx<6N=D7F_RZ~LB2rWF8MWzi4LY76W9lR%`f90m7CHY@*-yQceyfj6|j)00n! zt3>?LnBvG;+X;W`RuMud85l;+o4Dzw8IcGm4m=h`?j>%X^BrXX&3mP-2qMnLb)6n{ zf7!I~p7arj+2rtMGx_47!wz`7l8@o`X?HW&HjzDDim_eHvA^~>_T-y2Q9kWku<iE2 zt0qoOZ{s}E{e}4)oS`V$U6np7Lh`I_dFc4X+7H;)3&MXlsmnvJ{l}Qw&Q!vrYrN~j zA0hOV!YOugo?kNMh{L*~X4MztwZaLCCv)$kiHLy&uUERhEDhf!Qd;q#lMuv?tUu|F zM=9TB5>YMgkY92jVA#q~D1G=uI&8l+WKQxihV4C-NG-zoqhX#4VRZs+Y-{lGLu5;S zPzmZ?)#HB#hMJ&O!L{qS8#mCkqs(U;nX~p_3Yj10VBL^C4n;D35f>vHYUJtLz?LV5 zx@bK9zRAb4mR(R32~T1LM(kCQ<!XC(=6!Uy%<fW)$g&cS(X9urw!=)c1DQNB%P`OG z0~w)JE4WC3i8e@JV-({3!I;ZsG9OUZF;<GI%wK<b?^0_a>aw(xHkA`7V<gEn4Z=nB z_G3NhRz?OCxJRIr2Av5Pr;gueJO!5db9g*LiYM0M8K$9#xnu|?P@8nhD}?GT{)($5 z$r9;MhK<rPjL`8Gdk}H92~t<OM}0N*BrgL>;bDh0Hj+f%`iG9|emuzU%1A{Vr{A~n z?R$S+jhH(aCvUzcr^|5EH<a<QI?uaK>CGs@5w};ac(_j2-FZqlL=e@qKg=$gTSv;2 z;hnAJ)`LgS7mt5&?3V0EB(t$7dN$KJ@wp7=s;!29tF@&##?4R{=Nl);c@u0<gOQnp zINQvBOHiVu%|K##MB_Nwp0VJstRN;_Vn=^yX|pU#&x|Nq$UF|8Ehd*(PvOtW*$m!g zD5+SN-SH<1KF=oKJ}`3%T^DR<e5|XRGh9H6XZSaxNO%_hlGUXIsyh1ZNzTeB-veRj zBkMxnm8qv5&&vIYJnA1?BYelF+TLekjqRV;*h(ap;*Rm@(7Q0VMF;cdK?t+;xQKt= zyBoB9&)9(DjA`jIyD)bmN=E7#c`YC3S-~HR^RG&-#wJo?@u*PE`S3G_ewe$jjM(^t zWlPQ3N><W7Uk3=;UOUFAKMAs{P55mnB4?PlsDxIoDq?3ndYG2bYWt}=GAnmFzSX-q zH;Q9DMvq!PuL^^MD6ha!wEDujN~wP#CSjf7C|MU7B>pN8zV`#dP;+#Uj}g9KpiLg? zMzxB!QR9~zfms-wh>;4bCGZYt4u@)RXI(ii`8s$!v{M~+-j(y_@Yc>zM|zbMJ}X&T zqem{etO4TQ@C0{AE(1Zta1(*G>oNg8v26<1b(w`oO8a>ZXW2zwaLborHfMiq7H~_j zBAYYr0K|Ln+7QIj8jIpS-YE(E&hHM|X2CwF6uzG@D?-70@M~PBCpOAPKf;3do{ixd z&We2k6@O}kBt#KLqk0of?AildC{K4Kt!q%yi6%9Rj~4}8ru|Alj(uq|peG*v?B2L7 zc#GpxGN7-Yrg!*hOZ`)|{8oPh4{PAPeJB+pn9rmq-HK(2sM#;PMSFyDTS3(`NJOxl zW(%(*`rFkw8|?!V`*5QZvSM!gLX^$%Tg|@{ZJF(@3UJ&$+Q{&$f~isC&*7qa8Hfo9 zZM6ISx=}*gW%G&l<76m3+(i0s^mqKGE=W%(#)`rimpup@O@&miKQ(_XdA6piV03AL z1oU01+~-stnc?RJY?7+H{?gV8tKmNngDIcgWLPI@=c3e()4$^<ZLx3B<)DMJW^!vW zPwo@49<DoWc!HF8uM!)*iA~ACT7qTC<Z2(EjGm%qnhKxizP#oH0sM&J&<#oWodYa^ zRjYOPq!WlXKdIBa!|H#OMQfhWYTrHgXHb^GVGUvkjOObSGC&&)TK_sL;#HQY;d3NZ zjH2N?KTzyF1AnKi9(jMseUi0NLstaR8yzimb)`8mj#u<&KA~*Pxu!Vr0B&g~|C<2h zE>W@`?lA_1wQNd*45Ta@c{oNDU>yoU&xS4&F`~)i`8;QDa=3qCvq>tt$>U5Q!Q^2Z z68tu+i~-RS!Xax7;Via8((40@Mjp#$+0GmfuS9<q=SfY!-;U>_{@ZPf<fa%jp9rm8 zDEh_SnK+o>hEI!o+V$|gPYEhvRSz!l1)wP&i?)<Ns?wVj-{;m3;dvjrf5(oR&8Uk% z$$1B-jZsiUKiGdLf=Gn;M`cJvk*k9wJY?B|o}ino2pBinkpUCr<(P*Xvl(p^8w_up zDox8X{Gx=WNB-pVW{(i>f%9-y3h#G6ozwk^JgZzX|6maJF?v%Ere(cJyIN;u{D`Is zlkDLFzYGSvbJyI?uEcO{=w`LyZLEV$!u{LsU)dJ7>F<A?fyO@@$Py|{M~oQaqMlmS zJf<<*%$aDL8}Xx~wc9K)a}qd}8jScwbxnX|>7#NO5uDhm#gK2{jW)!T|C)+Qw}B)K zrq-jj5&>^FX$J4-7Cp0-`E{^=A}Y<yOlK^(GYh4gI1Uv$B;l*gk#@hF<16hkMAIBU zN46ww!XkfpIaGB6aZxego?r|G^5zFwRx?(P0(?a>kYv>UNWk%>a0;X+P4Z`>>0oKP z0HOH!6w8$qk|jT=qg-(C&#yo^ALR$uOkV@49}nZNI)i7w^k|c2&6Qg4-o!ds<>8La zoMX?m<l<!qY=i16yYf59kyp_U4ghxDL}}diJ8pkK{0jW3i#5`1H6Cs~7#v^L5O*uz zT(@pPc@wp7Dlw^#k*-Jjzj|*lH4xn3?qBH7=2MA!ek@?F`OsOn8>>?qZFa1JjQQRH z24EfO<l-z7zbC%Qf^UTivZ`V`^9jfwSHd;F*RrYN^wfwx#e}0i9y24|e`G;ezO-PC zRds)-&D<VgjWwUW<uWsVnti)Tq(8Ap{C;7iD7c|xk@9`VuzBNln}~~7?SSpCQ>HnI zT)G>$w}nX?z(wHO&5+Ke*xYU|qroPj#jvP+^FrDq>khFGF|j6tvZx2jZb8tfzvA_^ zqVh3cR=`1_ohi*j4F!L}@Sw2HZ*bfXca47;-=&OIk+<|g{Yp4}Tyn@;x*#1SWMVT* zcF6)`3DE`j%Bi<}(sO3MkuPn8b4ZthrbhRd1&B4a!5Sd^ZI1-uh20yghYxe?*lQo< zq^b)l!r#S^Y-?$O#OwB0XTRR*satmhjB}dhn`k4o3nqnktv(Hm{FL9HV6Vl=X;yz1 zToATno;M5C1$k~aCJ6f-<5rxCd9as>_WaHR+e`KsOwB2;Xy4N2n;0XBwg<rW(mje( z%D-b|mPSq@`)`R{iP=@97e~qrs?H+Y_5K)C1W{e{7dq+qd_qb{8jI~({fJPyA@k;k z{Cy~{iB@%?M)rI+f=JgF)ljAOzTbZoOg6IDWO4g4ELyu?n1u?>VkJwYcH@#3Zq0z> zMazA0qj$kW{#+x<H^f>5ww3ujWVQaXz)D8!{0RAAQALRTMiE~6MTC_sEz}QQ3jZO7 zoZMK=byG5&2lFByfZd)7$wRZ5aO=T}@L+zVgV2joLm)vnTON1B6?on4wOW50Uu&ux zxzI+Lp-$)XN7e&Ns$Po`BahS_x`G7{nys-TgBb6EKb0(5{W@kFB22;%Cp$Bz+P3I@ z@K-`TX8apT76Q9b*pg1}8QXd-zx6ozhzWhe7z80JD(;Oj%J9nS8`XsI90=g$t42yN z-$nXRLVF-rOczaCG6H?KsT+R_Y=^_0FECksl}a54W@#Q?8rL1Hqxi)QSsRpSQQV=q z*T;<0;|5bS;tV;}u!YD3HIO(9T0J>P5@pW#H+e&d@o#iq*zjLL*(U-ji_#bYC<rjL zLXQ^o?+>{WL!b|xNw<$u#x9Fb9}Y<{-o;)$tvDT@#5f*PIfVA)EaHDS$6yQIWp|6v z3L}4}M{a)=dmgARgcWFv27LOR%NvMXH5q;L0F#9}T3v_t1e@@5Z>*+4f*$r1Vt9SJ z^xg*3^2e|r^WM+Cz!^@%?|E4=Pp@qC4ev~!KThnC#mkf!{fUPf0QcZXI0;a{t@HcA znNAn<lhfsgYNpJ~D>i@guMc|cVu@Gd;1a=t8*XWNc%L5M_#DKn$V~LXkG=rZX%eai zSc7oT309&XnpRBvpB^7rVIHhF_P;*Pv7&mk;?U1>jBmC0s8(Q|+y_d&^?i8s3b%WD zBv>uieUu7M^w-G3cQUr1Xt!nS&4;U=aE?FhVwxbTvr-N~gnxe>is$&;N>sMnzGzJB z){d7<a&gFtGh!^W%)Pg3X2d+7w=ec~LXX7Lss4TgAo3XFb;sVWiWE+}Y_mGV&MAlQ zOz6GztT{d%SyX>MXvO^~2dcjHMq*_IozFXZ-`rhus;zT8Uf3HQ#>dhzJ5u+;J2|74 z?l4Y$t0V}2w9S8d0&|pG=8nvSieh)aNy|VpAw-=vCs*-CI-l(TG>xNI%%rB;_DyI! zx$p2svT?3kKIZHlL#=3@cTe2!K&PP@dtZ*Cg~@8`)VF4WWTx6~#_yBpgDt-XoG*8( zR)OIjPBHDCQ>|t0mnN?~2OiW!x353cmF-#{Y0rMC=xl%WJQ<Od5vb|QTXafacV<N* zRU^oYGKmVJzstB%oPV9VJklzm;H<;5;oI<W@Z2g)g{mQl6@2-gzAFPnx-&ZRS~O}* zIzZYsP|@-#9)7_94a&YMmAb?6$}qh3nJe_de5rbPrxl1x;4=DW#82(!2d~<22ZSSP zr3GcnIyZj+_oNRpXnVgw%Q_p5Nq4h6CfyzA(c+FcyJT$%87qmjcgbU${fdcnQ#>|B zJ`m1hpZ$X2LTb|gm2V^15Q0BFwnCy-bKoTR%f7)ELN+pKVOn<Gv{NNG$&cE}Pv>E7 zBtl!ohT3CNY`Tw~wQI1&D+~!S@ooXf9dP{bM>2nlc&AZr(t|6yPFR7OZSQ<)-H4CH zktM6Q@X_zMZs-v}^7>pTpHA1u+u8LgZwbkOvy>?^37LSy$*C4`>D#FWz6()V^Km@B z3kM!o=+lf=H_oxMJ~xlCGflVRu~<j9*s)^5yTSYGOaD4o$#@t>+I%6=PV10WTX}sZ zYs!D*2wG=H8>et%yD#*?d_-~=m$syCqpeH?g?bh@njpLME(u?KiyPxRMP2$^-h9NH zFOW(*kQO9Xyht&Q5{hF2OujxPIllpD^!)XoqTbV^^~~91xHr;qBFF(i76vP;7j@!s z5v@%b{nb>+gv8BTz8M+~r-wPUMThcmdpLisbWj#K%Xb6Yk@qh>5BmrcYo{ssQuhn$ zECk~WI=~ABBP)p4&6;gpoymO5M`}*t^#Mela!STf(02nk^#)1FJ0HKPJ@w%T!vwoe zzFLz<iV42@gXd+krSFIAQw)8lq*&sakgNea>Z9+8pdNM_!dy|05#^F7O$FnKji-OW z9#JtxY<2@Y1VDC2i-mee{q%h)mskZkUS)D1|C&BHP;BiQh93!)<F~YtN6EtCqOx8H zGC5hbdC1-+X6VD*Ckadm-)I$y^=n~Viw<|%R9_~LH5WPI+N`Sn*(n2J984zjuf*`b zuVnnd!QkT;N=(w7rMTH{`$G+JFkFB5RuTxhTWM}GiC4{dnJc!Er?{R}*s890Arovh z>jZgg!bO8@hfJ6-;VP-pR)P~%UsF_hr)ae9>Z?n$E!~<2pldcKvl~j!cnMA1G_Pob zRtzw7&P2UtDukt*3_}2flHSg$gg_NapF@WZgwVM&9EN7|v9@IK8KMqn>9&8w{mSG5 zYlV2@lmebp--P=@cZw*C^gE0X>dXiD9j3m?E>-zka!h?`Fe7o4w!9V4BId#h5{|%y zT7Lj!j@!ukk5WujnetD{oN`WA?b_>nwUVjSljn1PNIc8RsE*girA1s;Sq?eTl%^J7 zb=HiTo8n;t`o+DxETU_}nZAEx26BVHB{F^Etty{7)(qa#3>D4<hQ-b#19e&*g5d@2 zNg>#UXt%$z8_weB7*Y20k-Ip3Us-8`5ZPxWJo8V~VBbDISG>P{)kmkO@%F%X?$%f3 z?9|SSIhe?R&(3D2DsIZPW$hq;L3VA4TyK?9#70${pCwnL{w6wbgAsqU{Dop>TZ=94 z1Fe2vL^dToyz?MHR{XS82yAx!lX5kuZ?WhQv}9JOqZASiU-^k@$;aGwm~>@Xc~^i~ z+$NtY2vf`tla^qHnhM4)g16=bUWsG~fVoAWk6PHXGhI{SY=$43?h%J^ZTM0X%~L#4 z#QzG#`Kj^vPf*giS=N8~>NmF2ug3~aHzzDbUKNW<9zR20NvNlD%cWyo+b96x=nv|{ zEOtW{1G72vF24Qc^9JT*;_-L&UahX%S_h+binO&!;HWGzua0%?WFFVYSsTN!1N%Y% zaayf=z?{|~j<gOJn+R>ayZ;<^B0kD;l8$nFnEREgl8IN6Pv(DheGEP2?l5<-LnI(Y zS%3d}fP>j`%}KB~EedY|+N~sDReH@c_epFeZAf+(`@YUwimq2o!u4`q_e|!QgLO{K zrr?jn_-8m68}ARpkOwbK1QZXkPflNu{n+VVMkdmq`XGxP1T4BRE8YYaOa5~tYnJ;1 zT^gO00dv}k=cj+`#$4WdS3SS@*QoLIri=IW+XjB9s(J}CUyaj?(5we!?EA-_TGl`2 znMsRMTzhT8d>C02kkJZ?M_I1n2qV(CzEmZcY=*6Yb|n_x431q`)-Uk&-8<N`svj9q zIEv``^UHsREMI-_po@|e#G5S00MbkrWC9T<3(|wklPP~mgJ|91AN}nr!m>>g{wPM3 zzRXkge|W;Th8G2R)17|xa%~4j963Ooz(AuY8s=k_?1__rKZ-$CYk<MeV~AgEW(9L& za}TIKhG%Du&nSoNGD~`<<hLuREislkz0?wXT9Jh{{XfZ+z2=c}#0hU`6#jL~OIp+? zk!Zk=u6KX&`9$EV{@9)yI2)rp#-5W>F(m~~{jft{$;YZ)4rS#EL-gv{ey-5qP}j$S zT2~=lG<J93ja0yKeW+<UP``x4bp0_WP+AkxsKill)~QXATrmaAOw3+s#ZrEvWs_W8 z^>VYA|AcNW7}gsVK}5(zBgNU1oc@wWhyGH|fe3&43BP>aE*fFSDwbLKiren7ox*pD zwjXf3GOmY$gVV~!AE=+U5<OJ?jVVTxmST3J5z1=52zDcIg_bJVDIB4xv-496dR^E^ z3RE?K+Li<HKkksSc74WMm=s&Ce&X{BZ(^VW{~-YM@Y<&(1|PBM&4!%4e?+b3+s8gC zuZ@2gFu;YL>)85MB#STSsLg+da4X!49eBW6edtN?l*rs0-otQ&Y$abj*jEVZm)|(X z9>)ZAQrR(Pb*uxL%iDg{Y$pU}RUvFNcDKNX5eTbFzG(2Z2o!hYTe~)e0&pn@5$e{y zbg}nRBnCX<H1mLxJ`t+wfMX0)D{ii?a`1m$bg0PFpx+WM)r<CCr?%bvx;b}tabB|D zK}1MLBiXkrw;01ibF^&c+R`Z|*l^Y@(4Yj*$C4Q<{3x`Z40aX3e~}q{1zJwck_;Xb z_@xw`t&pT_WnC&R$wpzzr!HiQTl=eVQ-FsB{?xU+!8RT7b>scYQnSY`Yr!2@&3k{X z8)mJpAlLB`Sv75Rud@AW&{$9<Wy+KZg)%cnG#o1Nj+Xh^Nf{O<^R<&EhqIQZkI6`5 zDNyD~Id&%4*9UC$liFO=DSPoig`#}koV2Ar?h>?M(|DjTJ2Rl;lfT7YE6FM^)xi`r z8$0mglK+O{q99^_Z#in9z#}05|E7Or=A*#vD#f3tAAOb-o3NCH8>3-)z5>s1*xMN$ zwmz$8hRWCykV1t%fj9rZDq7L3HLJQsk*AJJqm4ag`Dtm3v1*AJH*=dn;Y^=bC-TF= z#yRy^Y@7rqfQbH29}k!ds?_FOeuWhL_O!Js*bd(eFVtDo?09Nt<RFs%S<!#sqcX2T zlYPMq8!W(r`CI^VYNe6F#ikGiD*NE1LB!}ul5F=G&ntJd&gO@I9S4!t3XZa;WYTYv zCl%JAW8RY3X6`SvW^s&GX$lfApG+3uh;)18-j*fB<&(90+W`!dg+I}JsJERllCS4D z65F&KtbuS%x7{cH@2u&|p!k28+aYi2GM?C(hBCM1KdMtCnp(tBZkCjpdjE-2a3y5$ z(yZ(U-x~9v-qavo29F_Qk6$Fqg5J~}UIxmL6+*fe<wx2@5vDX(GSn#mi}}LoYp+%P zdH)f)t+c56SRom3`q{u(THsq)$|0xktX8#+Lxo2OeeQQ_b=`csKC^!<$!h0Fvd4N; zirdaCUcWb-1+mq~p1Bh@oePjlF7+;cbTm?yQcHL%sBR!ZOf<ziqO5gFeT4K2eKlQy zU*}AW>O&fy1Pa)c;YGuA7|MF^DK<l%!F1?tzTi_hg+`a1^JW|Y%W@p2aooB&Uhqxe z;IH|oG1w&H3rCz9h39{}J4}2|t4()JaU^MR?Th$|rmjP;)qlI1c-zAuup;w>f^^dx zwi&bceXX|_6K|2(-10z@p_9G=3qOU)CY@7K+BVfTrFE5493h+c(JrN2MwwB3Rt7+k z6|r69@WXdu+a}MQh;JU}y#D@!mSpdDJAIvRx)3jW%J(3Sg+_l)G}Y(%iOrfijDd?x zJ;kGYvFen5&migib?q)todi;74Uic(hGW1jbl0B%z5+7iCEIhasQoP;E-C%6a;Lf( zYR?E6>y|X?$Z*S9s?M-Bt&!@9HQ0}rElHo@wIo~TLmL?0$s&&=7F5d<UAKk~hN{Kd zZozv8@gLmGE$@GNe$!?+da1gFA!R-pU*N%qR_akLjTkYbJFDRpPIMMqkZMX#AJSRt z?yg}JoNR@+4#SUGOa^>Q_$**JGBX|2?aDiL_|8+LO{^ri`GL73Y(d2-^t5IosQs5F z^STp9Y~)*h9R~EbudJ^~!czP(Cjlk7DT@z;vj=b{4DNq$5?lXDY*1$Qo&S|IVU`o1 zIksH83+e&*eNgeakv+n-e6~AGlRUZIy>BXXvhR!|`iA&((B!JRQ1IbEfRakhP5l7! zYcn9l9P8#*bmRSi_`Q?Q7uP&OZ%#`9ezGbHHy}|CmYRS$cQ@30ni!yTC}0lH&1^BP zi<M<NAcKFZbZ&jNiz;PqV|asggtFVAEBoR?Fl^)fh?9wG{Lg)qr5o6$6yT?<5_+>U z<O-$k&ZTmnKOEk8KQ3_prt;?NdM>w@OXJe<za37_H_Aq>Oqz|H<c=362!!a5hP~hi z&$=Dbs^|hT97M$+1O1aJpnH;ZPu9B~@)mtox9WdPI=2Jn9=5f8PooA?zVx4?ZzH*< zshWG;`&?}b_cST%wf~%Y+mEp{>J&;sf4%r@*$YeO7`gk8B<J+_*s}hQCtU)5ZD_Yr zd{ftb*Pblm-P19#qv4IgCHs52)wMgk@o7c&zQYd$uXuzGo0ijtl4^AQGpM$F=hBAM zYtn!2>ALT)v;h3MfV&QW+_&7*^NsDrhWo~*V(Y^jzo#efshIfYy`PPud#WtHc2AML zAP3-D>bxumV!yzv!TsA80j4dYaTenbl;G1SvI!id{~C6qq)?vELr1kS7ICF42w~E% z4aqg!$Rn0z>CUJt{Iw-mN2K@5Bf4dk&NzP`juX<gQJ5-`gaQWZWF3YZN%*oy1@q|S z6jn>r1ME!ld3@r#rW<L(92j*av$hqP#}pWTWxF;INy`-Y_396JbaB|-z~<QEcXJ49 z)Bjnf&A@i=fY5l2@*=HWR*3NbP3Mmd*gA&u7M73|5?d_^N%;fv6XuND?_N%&6aRnz zDrdZm+t%`G<|_aD(jfmo7J1DV3wSDr1GxH%D**~wQvJ1Ved-U*;q%gzSc1al*UsP7 zb!>^*xLkofkL5l=1?6{qOV4m$=!RP_QZ<9X`NcrB=e>e2YUz!YJEMJ=PM)nHVZ)JW zFIP5z*QMDajS23=dZtcZz@Vrji1vTH^0<Gf=CdK}YaLrMwrW?PDQk{1#48zLSIX$^ z-&hB{8RGby>n@czEHJMR4JZfhex(z1XlwxbX+ox+O4cB3oFU6f+8P6qkKDMrwdW<V zO_0xWxdXrI*ov@KdjPTfq9)iK8K5L8<uAa@pP<#njf-p~;fVK@jbY$aA?SabwQ);` zKng;mR7)!x@htt)DtKXa{KYsQ;Dg{*OcX~ZSw1Bu_{wz-Q&SochwT(9!LAaoW2;b+ z>~x&>W;sd6*7hGtM%DtXY~<8d5WG@_4BJ;D{4=9Q-NmU&hYngVqBh%vBZrzAjK9oC zGCvabd#I1gv_SyMTCroF64igj)l=IwgUl4O+fswSYUoyaNLM7!NH(`J=tC**<4OWx zR8XO|{s+&uE7L!A);w(TY`QB$TYYSO@7+NAnh<ys!mJE|DFQu6tuk~C0X(`Fs;!?? zQS9jw?(;$)3b_wcagi|S1ba158zC(J;VCT*k>9Rprgo14kyAmgTf%<=lp7%UitMdl z!(}p}BvHht@w=xu$wq}ar74$;4+DRQmn{i$Ik478d8gu;W~TvL!BUM6WW)S{u|>n9 z-*QRLMS~rN8}P`*pD_-pPjA>z2d_Y-F@`cVZ(7DdxNHacTiHj~h>A$HN!d?wM9rnL z6Ey9ahF=^R78Qt^p|XDy6}8+g9~Pc?tADL7Cu-car;`ex{Noh;jp@7vVO<vW1mE$i zAM4M}sVCRZn_z+q0u!ZWw8s0_f9BWTJ3(?8p<ycJ@je~~P!@UHB+Uwr70YkHgcO8B zDP!8>KjECNcx@RpX75g<GGB4N$yKK8P;J|!3lwXGD|*F{X-I!Hu%XPNJ0pDvPDUH+ zPAsAUs+L(l3a6d})hxz1vvQfUc!o=M_|Yh>dh(GH!PSx}c6OPl)WT9W8FFx;P=~O^ z;N457)aZos&5B*i!j&VL?bhOsel9J9U)1c&6`()m$pOs!MJ+>j$`$uoN7RvP4fi$l zX;nu}1EI@zvD|;f$q35qHFw8ts;%%M6XS2otiqDrVY^qxYfa_1G~VWm9F~an|5p*N zNIX?S|2$O^+7{K^z#gEsKEYltN_8=2X+2l!R5?rQOrp5YruL$o>5Qd2Gkr)-fNLbM z;ixnsfU=t`Lz}}wmWai#Yju;z{<Ya7<=J|h`(#FWBQbwk@MO4<q?DZ>BC^wkRwCt+ z(Jm(kb01sD>NU!0LpR+x+64#Tg?*LmO^No^U3!wF)pqx3Quf)&CYfI2qBc}#&1!R0 ztKNpX3RsPlqH`Wgk-@XjwquxG_2Zr4)EBDMFc5P6GaArfqfiYz$oI(5_D#LMx-q0( zF&;bq1kHacPm<Wu*rjV3@c}|@pfx~hq?4y=IV0B}?eY|=%9ELtjK=0!Zy*J3O;fbV zktZz(tr}o^TdFcg(_GC1i~|52If2S-KrUt=J_E2P2pqV{dYh?!{j2b7;o}ZVq=xco zWTFhiqXg$UzK@n$e*(S^<MrLhSF96IQae3pT~~h-jc2sUxewi3ND6((6((}H%RW38 zWRA8@!dgOxJnMI<EA$~&m{{U2b9sIVh{nB_*F&QFU6hM_@D(PyxXV60ZxM<vO~k79 zByd;~9p^Tze=RXwvGj91vg7solH)`*CbqTy-EuF^QvY~l7WKNA<HP~?N|SMSUNl~! zwqJi_SF$(}86D*rogY&GC-(<|?S~h@Yx%`Mhl$%U4uwT#9`{qOX#W)w3ECr2HOqp? z+V^#_+H(s(11g`+t)^TDp??JD5lqPdEO@|P;@xQ$4GAty?JaD?+4p0%d*=l)Z_kdw zn$LO@Eq-)ALCkvGY#?8m&wip$r_X_0s7ikf^{ZLt+FKhntNkxmQ@#gsVrMY(B7diV z+~{jqSkcJ|Jxx`;?Wom~m5ZD$CgcSnkEjg0<u3VbW`AjzPx_xZO*YM~)~Eb|rjfW5 zxt}0B=Ly4_>tta*Wq*Wp*-i~sX;!ZK=xH2$gmvH;?$#N>K!wN%%G`1YPY;n3I<9}W zNmYj)P?{O#Bc%(+c(p!wFWxH)Vw<*sopx(%3ibumohUkj$uyPaMa4KR1MLmPDDyrS zbtc*Ykr@tblQ=FNju=BqBwDMNUDbylsPjB+r=LZCWj<hv<fyd92XK-#*2F0i-r={N z;&68RD&Ss#-58!tnzyFD7oW>3wElk;YN;O8P<Csn&M;h<3YHmVikbgNdV8#Q7Gw2G z6(Z9UoLcMgd=@ZJ`a8|8&V7f2vUjxq<kVq8icE_;JSJ&f({bVrnU;9CA7p)NA%E^7 zjkPddYG|2MceUTzx2xJyvtPOGM9<jSehKVa;Dq6+ZE13Xw|WZ(w#_c=DoKB4hemI1 zX2tuvYJ2)OYy0J#_Gx3E2nlTSkCBo69H{*!S?ku_N-G`+#h>5)XFeMFW7sJhe_)fu z|GBujnijC>!egiC4eL6wcbMQfl=P2~S$xb%5cBKY)IKyixttBCQ_M;pQfM(7m7Kv0 zoJnUT*Dth?j!FhG0#g%M$@zZ^8)p&_^`bzIZB{O?X$Ci=NU=Arf*$?_Ejsn_m90|3 z-Gg`<ml%<*&9F-Kbw!6+AKKBkwClmtE#>`bzIJns85X!8!+r`AE8c*wxS&NOg^5!r zjaMkf$Qa}j>h2$=?H8Lx&Fvds+bEgf*3cU;aiVS9-8}bae*4M}VB&wsw8lv`G%6^8 z>QkOL;nz73x*xaffb%!WahL8^UE#nYeBXJTC2h4OnLOAMr7F|W@|Tkq$!c(iAfit> z>{d1|;ZKkE?`%`eblPj(OS}EL9TsXW@43jEpMQ;Tr}I?CB+u_sG;c~TLl~*`y(92N zo&R9t`ThL6f0!uf2<w0PlhXRpqWSb1)3e8zwWZAR_n;D(Ow+W}-cF}G7vvT*^wFTX zmqoW(M0Hb_dp+CbuQ#=AN=tPtfUoK7Y%*5vU;*Sa)xGXF1t;TshZv|+)e>Pe_N-q= z`o+V^_!F*|wNRF$<{+OR)F+lg^+KDaEGE}q_wussrMmlx?VEp>mVC0P^5T=tN8YV5 zu&MC4J8Ts3^;0v5OJP)^gMfN_d2hBD6OwO!-am$+>e9zHO9F<21M!47Prd!NCHY)A z#V0^ks$XlGmO5b6tge<Xi6pmkUkn_OsL&L`ELYqj5n&euEQBiY4Y({uHhC_H^d*kC zxVeb^t~dSZOALRbuN#cE-#>)_=9xF7O$CreW3B8f<y;99WDeW!$k-#^iTFmmgKCBL z4)vx_clD+>RHfMD2d1`8h~CxcR_tYaii|cmDQ+7+U1BoHmf7acawfBON^y2hXkmHZ zF|l9FF*=zb9Gc+|^9zZafV}Z2cruWEqSU=;M1VeOM$><~HociSUt#EPKa?6u&l%^n zqOEtjA6`R8NF!0LEWcK_g%L@Cvv0vElSl2oAialkx@PXt$i@NUw^HC>y9uuEk%O?* z<y@=LQs9q|b8`?#ZrYKswb{{ULNB<XbE>CDa~r3Z>;SK5(K`KJV4g2lW0yCUN9xkF zirAz10g-=4>~>dx>92adnQx6wgw;=kk*-q>(cV+;sY^fmH)`ttWse3nYLfqDQUk^H zh5xb;Rk7WS6`FGoJEL9}nqQpj)W5PVTVyN`cm5%QAO0gP_UfIJT@0BG`~6k#lC7*r zI1S#4dC#3&QRuE^Xhp4_El$tUs21VbdJx4G)-8YK%IYGv*(v(WIz;1~ihn8d71rhC z$^sDEOcZ_QBBF82#lH;rRAL-2`K}XXX@3pII-c@fC(Evg##R>anHxe_3%yA3S>A)$ z`s*U!H3~N!7SD(|#F`VCdwAN3$ydw$em(G}|H0mrZ?e9st;prg9uxS~wl-zkdRFm? zZ$E$DuJb=QgGcfC9~{FI79lj>FVB6aGli4kALG~m=_!R7O018!A2^|w;ym>azBQ+L zB5GcRg)g`nY<6!MBK!LEL%4M;BtNNtLib-2R`UstHF9$%o5pvGBp0!DFZudkZ$AUw zdlMdbz4pxaAGg^9NehR|Nd}IEC2=`O>NbB!eqf1_=V|;RP%UUOwcS_FiOJ@}6^x<9 zsFR_k(5rdqQt4>08VjEf?R9Z)G(nf*%<_+rL#&e7l-$2HW7}VaG*+Pc-lWa9+z8dN z?S~<!!jtE*ON^S7csIFA1!AX4G6ZJcjHY*I&%0=JOf<G^s`)MXVRQ2wRFj7+ABTT% z3mjClg$RHo)6y?yuq3m(@^?zQ_+=+Z0XE^b&T%gqr}5gS8e77j`2NDn{5)^)7^`ao zJIDTc=Q+`tTRLqJme}1#^TBG|CSB2{q+)@g*A9D9jsfXgR!lZdIw8Wphx)_yan%KU zEVIlU%9@B8e+_%Qvh>ZZE1e0Mugrg)x6#P5bExDmxX4WVg+?K$FDU-bsV!`lkMiL= zKL}~|lWb5j-%H4+HlDC72|kyePyuYCL>(&4Cx18l4$|OCcbg;rVYzlv-9`5ryQFTq zzZ|sAQ9GoDFAiiuv7p1W%|4kfSNyLe5C`)4SIWHi(RE<za_MfU*mKhaO6PxEC?QXQ zQm&ICUJLenh&v);(TH-cH%)a2C}zU|PGs4mi&m_HQc2===0j&R;`2j|CwT1H<R<6= zHXs`2sUI_6cc*mH{m1L9G|3tf8zO_e5Sn^9@uZ^<<68Iqd(U5jC?0bAM3Ds~oa?O^ z^tSnzHB=9J%m2%Ynnurr*ARbqp0L)lR>|`qZ|ak3Tf0LrCDtZWkGj#*Bo00IEq{Lg zR1X25ePVrTM{+yOAcy?;tI|&b=Mxsu--;WAa?=NuK^bxh`sUl`7bji@L+|if=cPXD zh(A`Gj2-fHHnwHvYEX25b)1slW=*0df{wmcKED2RYKCGI;Vyp>vz&hu<ov5+g?(KS z_mRCr)sG<e4nPId0oJg-f>8RUVB2dEBL(lr-=l<wCu6ye`Wr<@yomQF&zrxokABA| zU2YmjnZ5_RIiJ#S{Fp^x#TzIf#4B}HPfAsll?Y?i50qBQ!iOs@j6Ql7uf;#nqeQ&Z zFchJ`n`7)<vRG45a&>=JZSXGZFo(1zDU-UN>?)8uHO4fZ;5)X~a?H#p#0-Cjxu#pR zdMfFBc||5@7<DNG!A=_=nv+ZZGb4OrR<iY-zqYQq`+1s8|2&#!F@tSvkFl2|qkdy! z{UXs*UMQMMVAw6WT5-ALkA<24_V%!gcCim+@^sBg{^VO}y=Z@`RqV5~&Q?N=RAlkx z$4tGxl;?sH@InbTUN4}(vqDuY^&rh$RI8Y)+#0W@L+aJH<lmfqyK}(~DLIh~#NHlq zbsN0TuV88zX+K*n;>^!YOqcauFzUev3;#CqhNc;+#3kSe+uOKX^Cugb`Tqn2_E0C= zBWOO0Z4I-hk*<HoY@eV7awxc|&rDJ1pI7Hz{Klb(a>rrX?Na??^`GzM`RspbwzxPI zm^m&H|KZr9NbdN=u=R{HRo7ojowL^M+!nw7MKhz5;$nR3Q#~Ss7lNf!SubbZ+RRxK z$0hsIerJgqv}I;M#{J*EBS;3=Hx~FC5DPLm$#^1?TZMlh)oiZB>;`xh==iaUs3c`^ zVscys1%37SQMR-am}8_tX)1dRaI8r;83<8!giv*y1Ow{Ah5-h78a4KscovOGs&Rd3 z3+>fMc7amA{XcVw|7ae<sezhqB#ry`>CUyr9h~CuI1S^9t<ybqJP72k^FKP}T!~xi z_$EB+|AK$Fd%pRizns5lXRsSz97!%=r}%p!3{F5GUQaGzB~96;7JG~;Z@i+&QpCIN zvTM`-X~RZq922_OV8caCm^I$rmk=Suw=g$!@=&cVWjMK({x&mCV<A0N`6A=kcIwKn zIdHz@s-|Z{Z+4+gt*(YP@|$N@)Xg-kJ)Uqo%F}-+w;i;5WAIaN{$x(r+SYkXsxLJ@ zp)#7w=yofsr2E(qk};(X$+sG<l_c%L3AcYa%i#2(RuX@$8FsLk@O3W9KY}|jboSHq zEtRhF@azMq_^z6OXE`B3#&~-)a``qn<4lplY2Oex#xJJzhQXntcBm%(a_F_$f-ZGh zh;x5m5aUlWp&2NJgHm6ODz!9knihTS+e_J4h>`DECRj^s4F~MtxJChUWb5#PHE9fJ z?~%F_*7pmhFz(H3dGc#SY;yur7d|Sm+bOWKTjwZCw+N3eu4`{TMy3AlFyGUBx2sXl zzr(gmB<MoIk#cTP-?7mozs{}cJic&%yrX}cBGqr2Ka24z|5KTGB9oix>vVLk(JL3b z9#gHa*=~$b#9yI}2Q=6@X3u{<g1`6Q<>`JCw}s7AmXr3jhoQTb+{AX8B2nLQ3p=24 zcK4VFxFu#Fac(p$z_#v}x+cbftlI0u<SI9mL&>Jbwc(Y&gGKp8h-T|m({r<I;-`O| zR4J&V3|g<>A3`Yd24kJimQ@UJ4T`z^B(E1GKy0E^rW}f5f#<Z*uQ_7&0(o}C;)NCz zc$(sf%tew0$}{HOc*~pg2b%GTn#-qJ_^(?}+I%^^m#Kq9mni6uhgxw+rk=PW;<e*W zv$U@w+Nl8Rv7|Hfj3&{x1<H5Cspo%|*(RFHbqL<n;=gf5!JN1X*44#N=?QuJgN8yY zP5M!1f1}NROSmJ2ZI7x9G{x;cZW6CsHnL@(m<6WjxGPk)!JOHHD2G?VQ7zq=YK|cL z;gw8OOFO2TJ&0p?B^q`4x5a*TrPYyqSXE&QaNdHG%`+y#<t$NJOdi205ZZs+tydz| zUo!Z&#Nc-nbB^l_*M0<X-lar0;;nGg^;x>>cV7S@Zq;Rg!LNm#erHx=ww*8M1QxA% zoW>k%eu7JZ?D5&vbR20Yt}>5xfxg*9Xx8+56X{eZ34r`;qNENJl7eTDz0^<|M-K|N zAEc{Hcf;3fzinhTy0__G9=v~VK$-P%x5?03c7FsdhQg^-2@iLJS-;R_r~w*=weq+! ztwqB~B8Q1tG(^V_fvJtc8TN3ZJW0d2R(4mCH8>0s$?;N`j$1XGE>NP+f)AD%8@-gp z=@b&3mA}SZ*7}tFf1=?cDXK;)$-Le2f_V$6<X>1v2}PJ%sYu4Ic$R<soejW@tLV1@ zUV7l}5X4q40<YLZ3EZtBXoBNCXS!*_FsP%|FSxU*xvgT01F=mgK67kG7guWfCgdI} zt6y_3`Af{OjWR&H3eN)C(IM|G$y|Yz#Yz&zS*oofP;RXflEO3dcC<iyWeB$xcDgI& z-s($xYA{>U*TC)0*8_haW66q!_XF84^oRC++R@$Bn!ah^ue^akLD~UNrg`auhXP%T z+z){RV$ePL9US#*rX_#3S<9C2z_tJlNKZ-D(NQ~iQyqAEp2@~~yT&%k!gPxaR24M2 z@dO%`vy(xYl;dahQ;I5q7~M~!u@b&ahsqM<Xs`HsQrXhE2)=*mam}h=E>Zi>);HSN z=J*a))xq4a{*vPvx!V`G7bs39>okCJr>h#y>6$EG?^RjFh*oCUaH}d_XD+`oHJPN^ zvS$})>dRGDUQ>C((xp(F@Y-2JcPF$Qp$laaQ<Rha-M}{hN{v3RhFAxrw0bLweZHWw zXZK7WQ*F=GN0@(YS<dtPG%k7B&XL_1v$Q(bCu}eYu8UdPp6g>gYlZb`(Cgq9&|Mbu zZYwm!5aG>R8$X^`9yRlRZyQhHII6DV{_xgkcatqGX$C^JGP(aTGv}<x`nzgZY%L44 zQ&gZf>f3;oO7wu0+quAOX0Rcgvb@&7PRC(ZFC|ga4>Et1iZrFMa~zef>8|^&>bXxv zWI8ZL<+V>m^B<D_hZOgi{c2!rW5(~Xy_=iFRp9lH>IKOFdm6WL>bEpn=Pw#3@r2VO z?6+5+bcAnyv)>jX^1>fAot=>U4}<?f*P4Rv8}oxFd0gXlhY5o%I$y_Aw?3uGZ5Z(W zv>tY^%3^;&RTKSbKnl$?)kSh=r}X$qm)&NMXMLDh=o|%oU=E65Cc60Ys>wQ*SYiE% z-v{7CL&+Vb%<sn<A-Q;Y+nc|+emcbq?Qj0({|}k|7y4LzU7~XTJzD4fA8P)G=KrDe ze;Du|8vTck|Dp0fcsDlc`Y-uC>gxF)YX66p|6qT1R#6o&1zq!Ho`guJ!6|;2$OB4d zu|$<dzs(L9Y!~8|nV2?y(I&)9x%Kl&-ZDwk0rLtrk(cAXLl;f;Jb`97`v0n^3~4D* zjJor3g(|66T@mkOmwVdx_QFgqLaGcXE9koW@aml$%UZ7l0NZYpHd}Ny9b{*|pC}I; zunvEYLzbV|7j+r>N&sv$?<Gqfpr2$`@4Sum&_xPaBU4qJSIIsWNYdb50?16H7*E8Q zIySyeSyKf`0>Y+s-P+ICjVFdp&l5U7`jP;)A>Xb)8gq6<3zF+eF3nzlyOuP@YT6QQ zf)qE?SBWQ)NgaRyMRBI*q1oa2WS#lCy}N%mJ`Y2T&q9n-@}uicE#u8YD>_oDAm*Ax zXVLf-n;{kbW6~48lv>l++6l^%6F8Jwec02n%A|@7_!avhb_2{q-)utJ+w$A%qMrDU z;%IwF07XE$zn+_Kz1jAp)cS$VAFKRff>NNY6VHx{G9h1Cfb~yEW`94uO#?prR!HVR z{~McsN_^q<qp`u-m~XV!1UIhBM5YpBR2S==Vm|}3h4u$P248RAyZ=r&oq2NOy7`TE zi;L)DedOMz=^u0r%r=`<CUCbNz9^f0yH7g%pzhKSzc=^d5O5J#Yx;X~`Syj!g#GJ_ zb%OvRkHLEqY7p?k<M%ybFz6yWOTBLt(d0{iza2UI_F0B$O{qh<AAV6H`KIq+VEg}) zL_#in|CPq1Cd6w^x5EwZLOOF!a|P!PfRmUoE4(eEAmQwBTh8ROH`oV_Pj+rsZ2|e+ zYqmRoC!b~hP;vWv-wV|?K++-}OdQ2WkQgr9N;+}&jPCMLopV>%DShTPH^~8QXZg*4 zXjvgu?cZRQ-ASUQJW%-)+59(NK{$KyIMtfs1zM6lp%+INxFd1_s9$mccSGDC+x_v- zN8_WkoG-5BvPimm%U4u0F9}-!$prB&cQGk*AR0dB0u#O))gI@mpL$z=Q?~zZz%FRL zg4Rr^lvYY3itSaa1-r`Xehq_#`(y!sye`&7d9Ru`A8aiMlGihLJ473b?G2BGRwV)a zpilPqiN-Ho#4I2Ib2x+S==!)s?K8_-DV^T5E*w2ilM7*sY5zIOMD26SHA_}2x_ejL z13m#6trwmsOE<%!FhGhqC09U(zf(N~kfPe#bYYpL)?H6@q$!N@Y!m3t^^a?Rs0O4E zHZ-4F@*+uHO`6F;*?+cNGZtkI_8fza+akBp+DYoa{=IPutpWoU2=o>cIv&>}x|dZ3 z;a5Ea+=D6!KH_B^^p!iMEG@%)vDsJUc{oYL66cx{Zfm81yXgA8pEEBvEZlo%IFS~o z8_Omp%!ipx8t@RFw98=(vjqu%#+rDm#VUyyK)OvO<eIPzf570{y-4)18Wxy}d~TK` z$nekkHl}~hx6%J|zKyxf^o-8S`I)ma;%RCtu`AwMDy%xvfVhSJ-$X;q6?ZKhh99{{ zd>;|ZE()z3xmM%H3Wl-DC=9)AS6;T;B}=a^8EHd1{O^vk{XgY*hH0&T1g?&2`moVR z5f&kHfIdb$I0OCp^|zIGi8v)>Mn|EDX`OPnie%%wE?VI1Cn&HS=v#39kk!Z@!d}9@ z!#{^x;L)XBAqli@<)IEiysXp`(^eSvcnwsn)@agV;+iy2)3<_Dl(07?Gfycy{gfl# zwK6&`u8;luf3WfvKyiJ4UArf)0fIXOcL?qd0UCFggy7n^)3^n1LW0vkupmK#LlY!O za0^awch_n1f4`ag-ur%a@6=4ys<VIlS<hO1`cPfFPo3T9SgiuO31b{y>N8coF-bEB zVrV=1gBI(P%>f}Or_s$^>Ix<RxFhn?rNOmDJ&_=6`ojC+1Y;wAZ2H5-r+G93i?h^C z<E+yO|Lf(DahdW-0bQk|Kf%O+xUX87It;KHab}M1fC}xjbE?Oy{JI6A%NJKZ)UPZe zi1QM!Xb|-L;BS4XX)Mx)s_-|wBmG+w^XukVJymqaf8HSh9KLEr=`aMgs^@!z>DuR( z6((Mhf}QICSc;{8CN=Wb0oX;EU$p|Y076Avd3=kkQ*=dIYCFozL*D_ON-T%ZU#2L^ zb)vuk(W0t+j|kl|Re)92xS&S!FV-pAqKWMB28|4>y9=Ik*65UrqYw0f0pC1gE}+W3 zqf7O4X=$p7nW`6-V$y>x>>Eor$_QtlmodOa^k8{(E6t04_nMkDC2s&)*0Yo86O`w~ zsGA;f?>{P$g7f;am~DP}YNVi`HHSSype94+u{_MGq&j(hKvp}|RX@(LsHE+Ukdx+k zZ3ur1Tj9rM0xa!}(Jr^rI@J<Bd5LU*ek2~Ny2($5^XLT@%1`F4FBo(r>WAKs<&`8; z%deAuPtMMNA#0+K&x%;+%xs4@cb{ZWX%$fr@Ipmx{btOX;<5ab^|hCTjPx#|ntO&w zcYTaQQ`4}w50mqEE;ZlV7}mxssY5Dz%Hu`Zp#{Xgo3O_a;o!Wh1cBlhErnIJZjNYU zyarll)rH7L4xs4jWj7^zrtHftqyRKXZMmheq4!&Vi^|(%BS%1oF8*q7cRa1qvRUxF zw<2`D-Ri(L!%uT$64drY{^oJB@+3cGwkr)qH-SutwjOA;3A7u@16_G#Dr~>$4S_#8 z_#QnP|G@^ewPBt|Mo=0X&Bvu7jqQ7|o%$7Y{{Ub^P)uBGZO_%$W~8)R4H(~p-BcOy z6W)q{wgw_B{c$3o3Eo|TKROmj7_FFYdsguBjVt_7TD#`?Rw=gI$j4idHzPd+|NMe{ zaTw|_MRYfA&TO^TEdTyxg)^i{cu_UHwxrXz_V{y1ll-D;S?#uIHRI}VNR#HGs#xtd z|F_LmwU8#$Mb&q;+gLSU))GRR>=sp{Yqybq8?4u{Lz+AnRV!<^7iX58vl=pIss6RW zY#RGt8_eqE|Fyx)>E-hVv$~{tdDTZRZG<KH3KE*5>wfqnh@P8t^6x^Bw<cRl9>TYn zX9XyY{Jhe-(m1SpOGjAZzdZl=ykN)n_R?)fW0f6-#`g5aFhEhJT&td%mUt5)=8|52 zcMxBa{(NO?`)L{T?n41CAN#MPh`?S=G%~8qs~-|;ib(Ot&7+RH0=1>{zrIvXEy+kA zj48}*t14EoU|q+@kjrm-o&JfI7$Ev;Lhs91VBkm+`er^a91GAExz-9b>7q%kkK_aM zy{c3<No?c<Qm)Q**V6{OBc9YjO}74jlvN_>YNGpH;+^kbj`kymG;Matk3hB!3B=<d zVTqZ>OgX8TIH?V4E6f^U0xaYh9^ZZ}BsHCSDbEFOyziPzZ5g~APMz0QG<%!5p#ABI zt17eY;_2A4Hgn%Fl$hYx<xp_*PLddjMZ(4X9X?t^cGjFJQ^Cirj|#|bI=9PzJr9XE z9OpSk{<kdFvg?&%0&<6!f^vu7hJU`#OKUkVzT;^czQZ$TWP0Sfv@-s~CGuPF#hRY@ zBBwXcLQiqa-g~(y$5xluKih(vy^mh(HF9WVFZWwu&uW-QW};6ekMyq(oX<aqtphIR z13sHH1(kE9wzRmMST#~>KA@R@QeCU0H&cp`N^}%Sd{v9Y@hWAiPW{tDvAeMI{`y0d zpRMTUfH&_d%&$)QV>jAWDqGDr2akJRF4lTy(!1q94z(}!7J65u_Io}E-tq)w$$6id z`kiuv$OFWiB!X23z9b2)eQ{ik-mBv3YS5hg4Bep7->N#lShs&5Y=4n|Yod9DJQH~w zLFDP-!4ohl|3I7lVmVk7r-Suz^B1A7<M6M3OLuE8s!ZPWJDz}`H*H2HRM$rFw{XIw z63zvjoNAG5XH$l~{jOc@x6?wToLl<(6ii)hkGoB4@2`gwL~eyhB?b$Eq}3v;u1m<1 zQ~wl5?s~4jzup9ku)tb>P*+x#>fXmgL{EP+8*L4)zxbtPei@QQeQdRQ8&JV@$NYXo zdKA7S=|ZL$QG;f$K@BxeW*R34TPmhDlIzYSiz{H3conic*skKYB08(@CjF7??#%)) zV76hkZEdg}--g=!vdyvVriQxPW+eTAnKP(;ztIQjwkCJouTxBa;4pS$_?E0faWLEW zf^P2?Zmw}pVIkKC&S~|6iApNd=OG4ll+i4B6}~QJCij|iZZuQ+4P>V~{iV4|>qj5q z6Q0$~7#G_1<ZF~w{_gS^ecUQ~GwA^26N=T@?sWWR>DB}vmJ`qJ89XkU_8cGX6WY~? zZp`Q{{1Lhw<p8XIlc{cfTyv!W(i8U8&)w-?9DOePobo$U-_Bz|CjTpR;xx;8{%wEQ zf1!Psckw>7-O<~k{4r};;!P+Oo%G+`V*m5zuK!((jwI#f(n!Y(|L<dn_CJM;cUEw6 zOFyI+uKwfqP?VNH(ts28>3&uYrlN0Z=+uUB*>-6klgEXBiqDHpJ`<%OetSX`YO)0V z$A8`?#QWN3ZX?j<6T#H9v;LLJ)w#!~3{rbqr80EH=yiG}6?*)4!=${{zl8Hch1slu z!@`?L%+i`*`dD}Z9k*fOWyA8zT|a&9pxoua;ieJyqX+JX*0W08`m-`qhpIL<Nl8z8 zHRg42luZ7A{r%((hzl-&Y-IvI7yeoWY6CApek=?cK@Ol==?tg*QQFqTU)@285iuyI zvB_e(&1N`8_FEd9!z7KnKBpa<q{XD^hYeP{N^?^xjbj$a1lU{y-j<^f#f=XdN(b!< z{a9>WS1e6nZX?jy9R>&&u1Ame<!Rw?sTb<d0=Q6r()?<)KJWzPF^eOTN=}UUWPzLG z#wYSTK30KW73DzA37E4F*oqW)UTON_frn4hFcfzzH2o03!xw27N;?{weiY#0v$R;n zQoHz+w6C~XQlW?j8Y-dIfYA(OPeqL)BqxKFq&%H*(Htbod;#NcTIeik{Zl`0cG6Pv zOwe0@&j%DW@{!E^Ht20%?PSC4P#zWQO9QYrcGn)xS(U1D@qBis7^LYKj>pRtLiJLX z4m5I^ZZ8aT!Pqa0=B0M%%}e1~>WPYsrFY(&m(s8taJ~jKfX3m>ODQi_O!)z05-EvV zij^-8jZlcwyQHelU#Bw-3p%L_E*iQ|{C?Gcq{(6e5@Zd-V2<j3A%T4C6of#@9734_ zw_JzB$r*tJpb5cXHbkbkIIe(YSV9g`pm2&7uE$@}Tqgj1atyEpM~alRJv-@$xjkNq z0`pCEnu}u~%kNvQ>Wd-^e&tS~kD*xX$hBG+(**<{<XC=(YLT24y{=Ifjw7DD7YWvX zB0~2yY$Yk%BZCsdw<8@Ffw&L@gjZ-lRd4|eE9G$J9F4C$xvWmG254!hT&k`;1E`f0 zlFucQb7;joUU^C?Ez`5)PoB-Lrb(Ru@_ZOHTJf08&<U!LV)YSv*h2nqSuD)_0+aVc zjc87ds0zke*^8af$@jZzlBwV%bDb)G28Gf3+*FV7GR9_sWWUPI8SJzOGNb<1B;Jro zY$khzsXn?w8~>&z;$v|THHsL*u`3h@KIr8!A}9*Jo%%Qsq>fYo)Ec$bfi_mH)QGDi zE6sp85(h3((<-WTIyc;WdARoP)gVE%WUFy*S-$kUToASZ*fO9Dfv0UAC*BZ$?nvGy z!8P58JIyv%;?t1Rzk?L=M#=q*-6k^!ds(O2CPj1NY0}E?%#UU8Y-*4aC(q$RytqFC zX<`xx#uyBGk?BPMa_R3#w^FxlaZNXi??M4r+FGJ&la=WS$~!?akTrR}N#YG5>eOu{ zT+^*M$gl#vh^E|3EOWBG_L)h4t+M^NYOnn`N7)XEaK%PvP~HrpSva=`w?PB(I_I|x zN5V3;7C$n&FuFyPo7P~f1j}-^79TP$<b%+rQ{kt9679Uo{e8PuiaOfO0h<T5vn+A` zDcpX=27a=U_qhhvkg?SIv+NA`13Mh}gW|9`aRbqvaQQoo(ID26#q9cj3AF@UbM!fN zl=_x$-w)*E?^s8J5bD&A&rH|XV=kxi9(*+B2fZno%<HP)4}ONunYAlt72293%_YJr zfYc7<w+4Zq?XoeZql~M=c9bvV*6Q-!_)pmE#`f+=$9sKo(TVLPm5%@Z1&0qeo>zL! zN0RzR&2mhLtz>Me&hrg_-!Qg)9q++jY_IUMN%e|2-d%bPNs?M#O?ga+>)B+AIVL1o zGB#YN?>^S}s$}fr{Pw(w9bJtibxPAUJMlq|<^BjNJz093*9iCJRv=#dh<wWy$=w-M z4C6Xv(fxx{aJn46`-$)>$^0XBOnYC?R<xz#-8}s*4{<JC!ncEeC)%+>lYj&t+W8x% znDzl!nu*ozQ5sV9Tobb^G(|s0*!wI3muSbfnyx8;jS>ne;v<ujHr!KN>{{d$KN4UU zV|?-er_jp^&0o@J1fV^#oI0mVLxEt+%BGq<LSJ7lD}+7Dsh4s4lrUL|{>K6uqhDkf zM_TCRgr<Nr+|R0i+M>c#zb7Na?%J$pH)l&$iiJf=&cl9f7GK*8nDElxN!i;-xeIfd z6}l<sa}tT*Y?9_|;<_G=ckc#FOfQc!5Dze1{b1NaEv`-bf)gN{ZbF~FfS7LbGV8)G z9W0!>lM*Mpd2)gGX*B(<PXf|CWaYhC#2LS7P>jhVkBJ0--f<kr{FJL|FF-!syv$s7 zAkV@oX^m$)*lM(YAde|c+M6XzLK6kc9EHOOMO7EYX=S6Toj2yx`?HFk8W^Cm$?wrl zKej<D(of9q*8XbjC2sb99M7;Eo+%9kejG1mQrUjG{==lRPHiPEFHd^PHY5KYD^CFs zJ;qt@SwbLxPTeNr-#cBxGyCF^%D>agyy#TuRbC<<y5r0+=0xj9GO;KFnV087m{?SS zh|2LTz&^N{iXO$$RHyPc!*B2w86c>En&Y!lkQVuKka?2+<leBoRtwWz^BF>`y%@^1 zCUgWb2>ZAelnZ~)w^9rziGGa^O+;*`Jaz)PAP2;Mt;p@_vMM%yaeT`<udeeQb*kLu zvt1#z(s>eI+A-x^X^grt{|R@Me&Py!b6du>4iqzA<)dg>j5=~F<3M3!?Xxskpc2&b zSJLVo>MqWF*5a%eR08};p2eVNG5uLowtE)KpT#nmxb>3%q!dK_yYcfi#TP~i!ee_% zLHt~Q3Hw!Tc&>#dOpUvePjL1Hbn<sHqwVDL+h|2^%|0Fd5FpFr`vBjLKVOo2dX|aW zO&OG9rft2$yK9D{eAP^9j`A~Q8y9ymoGZ*y@w_D3xjVgcjNVU0PVjoCy>i@C-afJ1 zqldkMehi=0lv|li@w^bA|7+Y0PrPXS;%gs&IqTv@;bmRPV*E}e-54)zGv<V;GQ>vS zgXv34q}*~J{Q)-aVotiqrvTxONrLvJ{fyk+sHyuF$u@D%yj+R=RLjyEM<jb_8^Yn0 zl;w!-OU_!dH<=N0o9priu0t-^Pmwn>6CF!D#Fx=L%xXOwKVF(|E7|lv9N`}qc>e)^ zE-hr8D;so#yO>1<$6e2CF7rJx-U#LfpItXxe&AQreagNURC9XpxBuLsPmWlsp@+4N z&tnk|`b<kkXYm#EHb-p@+y$rgO^7f~8+I6fGUL3LhAJLm_vC~PhYmETwNGWDzWn@_ zr>nufy94W{LE>q8V;SR-V{IAlv7)PgL;l|3%q1f@^*(c?!}s3UKQd0c?Q%%B=?adP z=NCOu5=E*Rp>LkQeYF*M;_JQSpwx$liL6xp%ONFTnXNg`$2m%Yc%GlP<niObYwe%f z=JtXWDpCa_XzS_AA0AT7s0uJqx3Eenj7+lZ6^T};`5E)6Dm3c9i$uk03wJ+%q&7a( zE>zV;j-?CPmrc{GZuabuN}I%eT{0Obmp4ge7ffty;A;R;Zf4wtotx1ezDj))WKX_B z$&tW0P*R(F)tV=lMMM>Zd7NpOHK#^QO-Gy<YBy{QT1HYS@_M(w^#y*{QF&%PQo~uW zDGbxw^$#|RHl=W&*nP%I=wz;c&UaxC!I~(?XhV09ycSQ;ut~}=M*exat(R|c4*O9x z_(<i6uv#AYeY_rVDjU^k)-#>4=5W>AAAZaJMODREz2*9x=A#JIz-*Xq#~`_VL%AO! zB<!)3%sN762ng#crQJDv4cO^G2r3hj9L?S;cR0ErerH_-q}k**AHd{)J{xa**)@LG z*uSNn<3Au`h1~is{*-u~C`k*aNC%-}w<39TZQv$toMye)T~gWR6hfn916XX`nZe>h zefT6WDBVt=XYN%DyeO&)wy9ZE`(%IUKb6yE06<xcNjgWxbm#wN-c(wy=WHPI*&jn3 zcCX5^NWC$K5sV(UA3BkLz076#=`c~xYs$u-Oh52?n9c`bLb(kkZ9;Xf1XBODp0;TE zgT9gu=}yNAnO5aj8ldI3xj(O4vA0A;?NO}87$|j6L_U$~M9Uqdm9%}9J}4Tm*M@jm zqtr*qIi>ZvllSWC1=H0(mdQOd$&seDaTHE#FIE)yFIgYD04BSC-n-z(G_z@Xr?$n@ z?|zY6yeBMAscDV$JzqWZgV%d!UeevZ*|Wu8G={@>`<XabKkZHM=(dYlfSD#4qLd}b z_r%xGjslVF=O^Oaba1cKD2c6Ez#G<hOX)R(v(+=mI0+J2n7!dnMz#Q(X#jdTB|ORl z+Mq+O`MCAepuQ-7-ef{u>-Sq>Cp~CDeSy>g^PodR?zlDoFMUz6$%M^z?TXW;NX{Dr ztcNv~WkXenI(XY>!dowSTbn_o^E^9^o~%NSX?hjcJo!zcQ2AIe`0$j^uiKE1b4J<Q zkW74)oh)~+sD&?{3P_~dcM-s+Jid)<GuCY~qEIXJECwcj@7>rE6^+piL%7_<UG{$b zt!9}yE8|owP{@)u-|$<SxUoBruHD`<8BxBqdF}~$>Lb5Z_=oV8koa5t7CsSMq?MAq zzcq*?mQ33gny4}{yVrrNshZn&$K?V%LN}(ig6K2w6>uLEE@qSDI#|c5fK?LYN!x-9 zw;YF4Hi3A5L%OuLBiHz~W=}jPOt)Rfe1p`HVX7S*gv*dBFOd>cyIa?oYtq1aUa14t ziCoGP;ahu~(7CBz9D^u*8J&iX-fQ?cUk16DO8y`yZBQNSm}hT+c^}s-fN#?0&<QWF zTyBOOClEg73-cIOFMjSOKQlw~sLz6S>n=o&m~_N{FD1R0o&C{J<327PRlHMK7jWRH z(bDZRaOOKZ@FPZxg=AbgzDs0S*??6toixgmitBA@_;5%#r{M4&36~8^y}PtiH1NHG zubnc32QoQZ5b_{d|AUfKnO4h~$M=u)8DHcCKVwga%1xwgTThf`q}oQ}Eo#NlwPd=z zubr!ZU|d8@qsx?U`0UZbsvr>OEV$#PnczsY_*TT#W~0w~Ec*+hx7M>@CE}{^EG*Uw z)nvxf&ATM{=Pe$U_DGtrJidKOLH(10sw%D%UG-bvA8nFqRn$c{u@&pRX0TS;Q+3n4 zAKt@Pxx`OKrV0>q@V2IQxq}j)aST;?&(ZIHH#d}q2f$QkhYj!dWB9Q10WT-Zt7mu^ zvvknK<&?o3($5aNRA>KZ2QTBI*T7L9#74E*DGlaa#|jXgIeYRf@og)e;bwi5%y9AA z{&Vy6p@n(7oSc!f4Yvu!BY|vG9=h-~;rh%PgI5D82H!hq;MfXcZ91LIl!>KQT(IkZ z<u14&FBW(tr}XbB4Wq4}1Oe@dik&fLXb%|Es$Z?}A^)6u$g!zh@Q)~29Q3SeL{TRc zT<SqM#1EeOHD{t-AhWqi_jJ3t6{mjUa#J+W=4*S<(5&HBFSJtq>vrp1X)!Vd2^Mk| z*fio>7s`1wE7#7-8(ALNiC%8qN=j{i)CmW_(8f)}!<Vb4(d7efQFskZkj5^d5@ATC zcvhoRH~*kpV07(H5J#<v`KngNo`Q){@qBJ$_H7Vi^n6hhU9|G9Ln6I%%kZ*h_rd7G zSa;7w{m+;Bg-s#dgbs%WtEc>e(Txa0ZK{>X3ZnbJ^EJwZ{aA%O`2@2X@rEdW73>`2 znYaF&bjy1*GriRRRIs}%x5{4OjR*AiY|BzHNthOmXfzqh2AHV07vmC7FYI*7^LwKJ zY1Th1pP1XN@q0i!3@{q8SMZ=4@YL(fC(<><6Y%DiEGr@)WhCO`XpcAJlxRRWKZ-9v z`Ij1IFMh*E+5an9E$nfqxil1ieq>1Nur8*iCDSVS3ib3QA_am8nsSmR^liTlJh|qU z^kuCf<70SaM&rFL$)GOZ`H=4UwHv3+6ZsH#)U;wLT`bOMq!<C5+B(vqqbu2U0947~ zRXif+iFnBQy+>rVKF7>@H;T)9GFORpdhAjHxFw7xfK+VOyj!0xL*3VZbbLRMsJ@1p zNL)i|)u~ZZ&hBs;Jp<U=I-U>Nmkq(>g%G?iBQKkeF}=n|4CbnIT5*glL1uZGg_`~> zsqA!FEbtvUjhR|OvDk9@xO=Z}c47Fv|HmKVY%4iS-{CH+%-J42+wDasx3Hn24`c<! zS!b-K^3e*;($!YV`SPrPd1-BCfK;?CiOYSZxZgn!qZ9MKv4vZM??q59@K2Rhacs8a z$6Gaet#fiQhS;;Bl>EwC0}n#KRr97)pkB`65ax={baC4L%<h!fyl%h89Z2usO<7ci zw%;qHaRsO6(pE8;%8xgtoE-#Vot2Gy(q}V`Q?`S@kPoxbGjv~n<i1E?-1kfyJxptV zdQ#nZ;=1&fu6}wVJ9)ZmgS_yQCp~xN(r?}Mlr*iE8ZajKEytr$K}K+=WybHLR6*AL zuy9(|>0noD4?XyVmN2Rn9KRA$XGnJ}v*IP2>Vimtqi2XtqCIiUvOQoNG7?5(gw#ml zisV|kY-RUxPfW&tu=V#3=gy2$-qN>AfK08!h>YPAhqxKG%8wTq9f5$nz!yt|{mvGm zan7b3IEQH9#+R;~7HrqA++oXGBTGCRr9Z79f7>|FaPF(eahQb-eUcxG*dyT2Hv^0w z<}i~q*~)?ZP*$j%j#P{AW9*yDilD}?wyvfwQJaf2pO%z=soyO-{4Uv$uDq11F&4X) zXEpxavXkP(pWe-t{2@&$Qu$RX!>A!3A03ciZKWYBWK$A8yiItlstYCemD<RNnxiT? zTeKKGUHL8#ii1~x+d}YqZLxy_s7w`;J$tp~pLgSh$cd2s4vGtH0_|P@QJE?$<SI!E z6O)wS+ZU^U(!+lDm{9z=ELh@=J<jGk!OKZ&mij#<r^b?l%NC*zHk+x#jSF_}ic+a< zAAx!GFRI%@IQ_4|d}IMj`GnA6u0I><g{nTnnPFKL4J=Xfe&UxCCjIC=y$^Iwl^(CU zn+ROn!6B>bk1TVZQUyq^A?B;`15fVcTsVLpIoGp)&I<Zn28hf;fGcJUHePZ>CXO?s zrVH-D1-aba?4efBcN3K>wUb(4%;g(|uqr%z%~SWN>*Z@a%-)kF#k?AZ+=e*wVZnV{ zlq{nFkCCGI!~}nZw`x$N)zPovOPc6wZ~T7N+O18jO?FAob7juwC=~>A?cx-aoOzHv z_|r6h-F*7AWiy{ug6pCbof}W&BI<E<?-(rlsnWgsI+-X|*3R5~LCs4kdjX2$I{3%` zaBr?`s>vox|0%z^MOnLPmAibTp<)Emd~e*@VRe6Z6nlD?3G@wojKcL|%yF*SxI1b- zL0&W{Wqb14Tu!*3&k3GCb#Zuf>gnLPX%K#Y5?EViyuS+;LE+lV_{vYhwHNBR@)HA4 zg|TD<zJ44guC5Ap-IrK`=HVYN6OPUF<1F1#%MV~x@)p|LCZi|$w|s<a@~)$Ha|m@* zPe5NjMp%C=Ov>1$z(4o{oL6*Fmb>}!9lrtKE*foI+LYbxG`+yNDq!a<2qfokh-$=t z%9vMP@EeLq;a?CLGVHFHGWpcVpAq-6!N^^>yJFOY6FA6E%;5Za^IbQG{4f%dnU<v; zX7O0&;QUKm#d_A^QeBWf!qN*L{FQi+Ae<SF59LZdXa>#<%LjR71C$PLMm9DUn{KV# zTl6iTPXOE-IsRLNj2vJoYv;%ukM*B_#Lgq6g-^Pxz3ds;966Xh?)g5P1S1ivO&Vgv zv0E}HCd~gqe8CweG-59~ocd6~6y1@=gz#1AY3f&VscbNFRpk_3Hw)mbZNgu1hfecd z=dp<-rCGG<M(TJmpfq=bja!XDaR;-=L!23FO_V`tN4cmDF7`rvnMEFu3Lbxd$U8@5 zD^l8VDv}$P(}awUWY}@Bv=x+DeAv+MG-*#&1yFDMAr$%QO;?C1E(`?eCZ1-ueiZTz z+<DEm=YtiuHkF>RBBhieEsUFT_`=ezS;~%CvBuh7k@UgH%0uEj(Z4COVbpSkyvT!# z**B!zSZRl?hz}EdxaBwd29TP6HXfMg!OcdfnPXjM?L&4ulK8bQ?C?ChdE&v9smfuW zw#X$-E7&#?)x9=nPP<!sPrrzA*zdi%4%IA=q}ONh8m2gf>Gbj7o1CqSK;5K}(ZDu1 z8?U+?`UJfvBGfmvYLj9ca#nT?w$m|wSwfnDJ2#KX{99kRJ(}lmvaK6`c25Q>24>7` ztrp7^;*6)#bL_2Ti;au^Nq-S;uN9Q9yhhV|xX`#*DtS-5aa9)TCq-<v@5{QZ4dBfu zvN19&UP)dhIOk`Q{dp1^z0=c$p1k?vr9Bn)Cg|H%sJ}jg7y{TnjzWSpKDlcur0<Vo z)=<wtu3kJ)fcS(~2RoL3et1*nlJ}<uJy0NQcBf1Z&&C}-^Cd%yQ0AG^IS5ZFYshz> zRTI+UaB|(#y|#7r@-!N0?emqorI<T^FK>g&zebIdUsEI_3no3h`lzd)Tc!%<LF3{w zu$d*r6x_-64Q))pt~!JiKo8V;P~=mHapt~QNSBKPZ?g@SZ%NR98&%4g8=Hw!t3e0> ze6yzrr`U930EXM%XJQy*Ww@PwCYmvOhKzT<@V=<-g<tUktzp#Wiy46z==`jGJJ5yz zKN5$}#97*>*|u&VFI}uNYh4`g;DmXFKF?N0jSXL|v8do00PU%p-a|H!*FCqAOq$Nz z8pEgBt6M>>&J;O+Z1#hp_U1-rQcEEeWo-7!VfLv86)_WOU0y|n#<a6TF+*9L6q#(0 zq4tudiO-hq@^$^h^&TQX$I57dTZo>T!uNNyb1QL1qLhl~@zK+Fknd{#c!6vX&-RkG zi3B-qxw>g$Pmj42+p_5CYsjz~Ii4#1n7#Srl$|PMht_C+Bd%E(HECNEx8lS5hUi^N zq@hXdQ2PbxQJsTVCB2kLs{fiAz^0epFSnU*#972}%8VfEEQ^bOiFS=;(exkf56fcq zKiW$Ckw`J)B3co-Zo7ltUALU_7V9#9oCN>axG>(uAr3^{;${5iv7eX1RFzWSmvA1B zex4?B9A@BuVv8f6T%8z#e?0lOv6o{tX#4z2iE<8db(6#!!dOam(?6tf1=T;I&>PwO z$&1cpQVztcXmHi&Rz-6Y$8)OwhfMLD>i!{y7y&~e=2b?drckV^h%j1bnWVwlW21kh z5C2F%5|n)_3436D^LrV@#$s1teUINorNcpBwt7c@&60xe%sOjC5%22d^T`^R2}(II zrW)n*HJmg{dO0w@&p|ZejR`O&i6ZP5AW3@01ZBWD8NfeDrGHB3|COxzr%@xU<U!w< zU|4DGukPvv^E@YN#~c6pvp)C!eT_dK_?-XtDK3Wf<agE-;)ws-|3|6a0z`xIHzbLl z{pXi|lRkXCOzQ!m*YWds1Mh!^mZ%DDh%^4zWXOUJzrQP@P<=AXheYKYHD8<pHCw#e z>*6bf!aW8nBBiU$lDdXY*<jY<oYbf}Uhw5li<gp@FWym6p>a#wJt58EWVR;glSzj4 z(rrpb0|9Hqqdt=qXeUkMpRmZxEWC6!?3(?5=pN9`;^@^Uz`Y}RG`<;Sd_nM7{SyR5 z+~j<%VpHnxawN1Fw$V8*8~Y~=ipDVca$ftA74Tsr#51YpykU!9%9H-Hs8C3IJ}_0X zS!||SjKQBUE`pyevk($=o1`zsx%Z}0Xv+y+9)ZVfw8Fcg_trUTbP;=Y%UN}O?h8VH z271XHQ@%|0>Uwm8#B|;lnLU1$fm;$FCH6;m=8xT~0Mmk7pXk-epnfC0Z$vSVtBr=k z&CK`I2E#n%PCB0mfo*+bi{_-a%f?UxPQg}oYv@Wf`kpiRKF+|gw$-}xbS~IytPjan zkbK<2_80rduVq%>-q)M41N-GK;<0mohF=QGqPht(9*R=B&WATvotGl2&SDi;sivCS za|0=BP2gdR?$5_^!VETA=j6@U7-LA2NH@n6g@5ZuJLiso)4G@5iXR=`_&(~TSHw2% zi2QC625Q%vVXl6$tz-aB@HI#RJ9a&caj!Brv0ph?ZW`eWs=GTy-<i5Q#oWn%x*tT} zX}cf9WL7m{cXN!ID6A6MSK>}BUuEpc`AOPV@&ar5W+ED?`DfA^bNReq0VN$O$$=fV zm7>5}{+X~w;fy_{h4=ew)+hF=@iYrhH(6wZs^UNFHiw9UknhP?nm}9dkCeyMpeH0T z>SF{bCVVi?H7c|g?g{Oh2`Y(y_=tS$2z7^Z_btzB)zw&HWp*J1H((4HBX3lMp6W^e z?_{o}VzfhTq$`%tJCat`mQOnzD9+WYdB5NNrmChm#(>7baly9|9P>cS5%=8PooXfY zg<05@nv)7K|Hs4VW5oYyIR6jvS9vsA5t{#s?3T4A_)?D#F?6``JFmunJZZ_ni%BmO zdr`#t?2x(P@R~S-+*xbf^7Zy=J`fg`g)HLlQ8<DB7l;y!{1#$<M>EPN?6LHvjHVlZ z*G1~g_$iL4tuML?ytZpCwHLVclWc-u!Z&K(&`ikYx<M#9x4;c;>>bJBAbb_veOj<X z#-+%Vhl5P3=cZ_1w?3$U14WD!z>6HZs&{R(LZP^5sXLU7?`=5Fn<0hCA3|i0z%hwr zRDxcofp447I4Yx>7x6bCa_lx4?^ZV_&;k~cRi*j&c<a&q@Id`kJ!DU(@InpyJG0kE zw-TruiMg3qi?-KHY{VmB%01Sx$^Y}W=Q_a`4QJ9B$LWY*%s#w-s+0ANECQ>1FTMpt zoq&~DbftvFi@JQVzkpTx*7?j!aacHridbBWKL_>RrHs=Gvj?*3ToIHU9z9^*jv*_r zS8C@MN?rpVgh7hnFVadjHvmK-KgE<Bl$%Tp<lC{16*O#VEn=_?L%QtNDW_11WhOoO z#GlaIz@h@5ps%}sH3YYy8U7v9k<Tio?oFSPcC_@7@9lR2)U;%>T8{GGwIkfuuOI|D zd9>%3bH?Qxo;tp2Qx;iH@o*&>GA4b2;^KgQbb4PL|MQLN@Yo%4jYL<KlL>cL=t;f# zY@>n&#(8+D@N5N=@Gw2OK2GuKPrCLx;Du{OFp^WwS%i~+9?pZAHaw*s_DPOyW|~~b zGFS>zpM?4jiCmws_zt}&`$V9UHE1u_v&9^b*y~B&9<zw0<D`7=<p?G&qq)iNn?(UH zTij1i44`xbGn8wGrvwG2v4%!Yhp}K}w!8oIapdZqcsCOln1$+f;0+Cid&EBufMUXN zA+`1`?~XEm$M~as(K_Htn|m5|nXBfFkQ)T|#a+zoNp;LNV)NmTSUgPidgdLSyqFdi zs6>1K*;EgI2U6M+Q7Jvd#qUYgo+$3-I+>tmgehn}y<Za<t!igJxVMFYOM9P}^>dFI zVnSib-!K><o&vTv04cY<&2?6P)xiMATxT=D24>EGZ7=!p`7I0r%yniyJHr6MTxa>S zH4J2)?oGT7tY$)bQ;e2hPZxjv6HhY+`Ue=taNmAaH|)9t%PB;Dld!@%!pEZ|?7ao^ zw<aoic#!OAPajLrj&c8EN|HnDGRUooyn>HOz_JV+AX~blCnNalzEYgz`f$PG;B!>- z4cjt*Fa`F7?Z$F%b0&IkBTn*C<Mb!h?v3T(18+c>ja#=?OV7nET9w}<b0XSP(J91d zH1Jl=Oz}o?Ffe_yok{(@`q)KQW!PC1ebk!|usb!5pgyNL9K+mufI}VEH+bNl!wG|P zWsgFmk~`MUoab%O{@uJroTNj+rpfB`yXIbhbFjWG6&^V>tp@iP#Z}l5sg-|4Et)u^ zzsuT3eh$gU7|M{O9nFN}7%6z83KE3QAN4}#U7YiM?>0~mt$nl?*E>p2_kL6PQm)kr zNL30Tm?2ISUl?W$Xe`QOa(||6gl1L=Fcy7cc0wq+c*G>WFtlOXh)8NUJWwum5uss! zoxrCx-BX_^SrZlAo?8ThMIH%8SoyTYhP#ZIheU!`dnel3cor>(_+RrIa|tyXy0@sb zUnAQiilqv|UaB_d0yHCSJuTywIR<i0RifyKbp}P9yn*h8DuKJ42J;`*9t#AGV-;y6 z`~+7D1Wlq7m*O80EyA0-oCnr(fvsVG>%qh^n?Fym>6_=-r61H3@}H8$G1>$_w=UHn z@e4WbXK+|JR+_~PWVAkw+D`=ADRH5psuPWHs#>Yqdp*S)YTZh<n&{;Cv6!{6P@8xw z8hXLod2>YiCkiZJ+D3n+KU~=#&PeMH1eh?EH^eropUX_xuP#l!@xuZh*%fqud~CeB zc=t<WRbn)beJ|!tqxf4$W1<HcdCZ-Gc}q;A((U`Kq3*!aCXVjF{HBU-)yV~cRYqH9 zQQ#VXLs%m)W4_<zbH=><0?{h=&@^vLi<f<yxZ^EfLwe&_b1O|vr=^Fuad+UCs<v>d zN=trX#<m0DyF(Pe34u#nK}VE-IdrC}eYktlm2aR1)C4SQ2Ej%2#9T^{B%&D6u@}@I z0cUcr=cm~*O&dftw0Jum)Bz`kdyE8KgHJ@f7hcH*dBZ)vI5vRJ!&ikra2O-p89UdU zl(zG<boAJAey@4lBJY0Mk8n=*U~)l>(Xe#JtLjtpA@OgBJF}@(+7SkS_64BflqA9h z?@O-wGJYHD{ea6rO6MrRp5?L@i9BtBH{xv22<^qfWV2EZ{Y+(2@&z@(=5|B{$GHyZ z2i3aeTF#_uWldP2)L7>}ZluI&$87fz)JGnnS{i6yP!CnRWLV*XQ%4emKlXvH!39(8 zZv$<UHZ<ZtXk+saJIqpl#E012*&bJ^hI0Q;PV7(a4N2}gGQxhQMo+vYD`P>#EDS}0 za(0f@gknWJT7HHiOSapNxzatZR_trpBpV)`Y~$Z8EgpHv=DZw_S9O<2A0}y{PW`Jq z`VM9Td}}+0Qqm7PBMsrPYH4#W?iRn^2Ypp~BJEiA9XM*>^%?1Z{PT6$rTX-)0*oaW zqa)vb{m^%CUgAX5C=me(Mz|I)>GIA8NWk55uUzGes$y&=ZZ-1PHYs$soGTq47A3JR z;c9b4=?vzFy#w$~6^(w4vNBvXWuCa}1P}Z<By_#P*go~SB7MvCbr0w}-HPh{^vZS> zKr@9wBINT^`0WvYxxRX=<;lYeCEK5bwAbs$oN+&vfXC{}QIBwkr`#(icb{Uay;bgO z-8Q1~9&qcRje)no-=Y$a$U8gKooTtK_5FyMo?pmjw)99RjV)S`98%emZU>2q-g+ri z_tspuOF*N*IIcx6y!_&QG;ZMZ2Q(NZ#}Nlm`yf+{kDi}@PT^~8<70x`%x~Zf>!$aE zn$(|R7zX;!uml5zXXu21@iUCUK=~Q^VW52j*VZf0Vt%M0AyvgcbeKM$hBN9QQhT={ zuz~m<_d!7dYMh3XBu^|SPQAi0fVyqSw#41zLNu!n#Vx!n5ervXdn?$bbZ(HdgKlZ@ zKK`<;bFRRDC;pV#4HDS3v{<P}B~OHf<}dz-bwl$#Yz?SlGAR{{Wf$SuzX)doD<sP+ zM6~6+mh&Yr^zit-hn~)sNE?@c4)gOo`=Qk{_?)`17L??dem@un3i=?@&n>>fTI|}; z4svNx$&curDOTvH$EClgPz(KeRP5t7tT=X!$0u=r!ta*#Q*I4&)MsWUT0}j%S8mj2 zWTwwO2QMBy@1(sd`ACe8Y)yI%(VT8ajEihdYE9Oh?x2;KEH|uI;SnLh%gm%Wi`cQ` z@q>Thfzd{*1@41)7;#BvF`}nkm_-!!9n$Wmf1Z4qL8$iGwd#FG!s&ha=%TlqSV#Y? zNBFsa@GM=S9qPNN%T+;PeOfQ`WR(TxU#^LtEpHxEma?*!_J42pbckO!kUx2CEDzt$ zCkM};xfnk>4RuspH!wU2Y%N>g&xZ%|-p@w|lb;?1Um|4~*p~~LI;YU74WCJ=eycqs zX8GRJ^S$x+^wZSOek9Ygz$iY388LtNW`lu$%rg>X>#vwBF8yoWP8cleo%jI)?ux$e zbLgF618EMev+VPX(K)XDl2jthz<mU-!OVUC(dGRCIbh%DE)vg%PhZi*a!6dkj&E!M zFT+W&{?hc_?~ysd7fnU=E+nUq)9r8jD)_F%iu^&F6wTlBHv!QOUz)TY^U^oX^6vP5 zXBOhR>qkvUP3NWDH@fSmO^|_3e1a*5GkWgIrImAbl_`}KRZY#^^)n{ot0K0C41j`y zO*6*I)J-!Q=Ym7>s?(dL<|qC<KCg&hUz`&C<3~=X{jij{>{;3#qT}o;ae=-W{@Ek< z(+lJQ9~(B8*3ZWH1!G6ZW)_SCa+)@O%WlNnhaSR4P-e1}Z{{lo@6;uDP4^z+U|kmG z1Js%}m;Sg9@LzEPJ^8MvfC-yROqFu()GzhRns8#+CKeRB(;PTut>y~`FS&PgZQInN z%O@9#yQiiWY*%;fD!Gfk=@*ZMHzrLx0J4gjth*!l^?SC`Z7YR=!Wj<ICY76iy~j7F zzkkNddH_^p!us)I3;K|=;1@_-@u8~lN2rYqD-ZAu2mx>_k)TZYN`wUpS4=1tTm#Ai zimNg70B#0#fyxyXN(t|cprS5*j?#h4yR_oW>t1AJTB1i>HWgv-ng9O*!}>=DZh1+y zJGr60pf%7LJQExfd@)=xJTcsV5|(du2p5vc^HUf~=<`FnBG4dQI^q(dZwXvGo~tSJ z0`4fnK^AHZ>H^V$+~Ky2{2rc$J{hu<T=O{y^NjZJ{{IxD#l5HBCb`!_*8%LI{}z0o z7#Dh%A4nOF&3^gNTp<>H1YMynU>w7P1aAZ%vYc;|7wTx3`4LT!8--VYh(HZDf|Lq^ zf#%M0a9|@uXT(O16)%wBogk&U;Dd&<5jA)Y@er<&Zbc2$a4ncECHU})k?QBmtdv7G zRr;b}R))1X(<3&|{GaF7pM;6CR88PNH6pwQB3rCtK)VS+F>D|j6FAvMxJXxc4;HQu zO>9>0RDKC9%x)5a4%py-$aUZZir^dp@V~(b)hx)PHf`PQdG@~gWZ`!@=pGSTww-Pz z+S;Dv@Pa2Uw+xFO-~|uL1rPBRN|2oq7q&wVqV5moP$z=aLZ=jsEP@rj6$GfjiVXDm zY0?b*zLDQnx6qXI_~?HV7I>{HDv({u3K2!J|1}&Fh`H1y7`6z1{2H^^jD@GAM}8yu zkn^otL&@*qF9}^<B;U@Xh+FWkRDcA3#CyRlA(^^~u3U^Vcq2$a$M2Ndb;Mb){<{!Z zD%U?%5%$(<%VCnZ%$`li-IX@HH@T=KIR9@#jG@)<Hlyacbk~Mu`+o_a7rrs7U%Ho) zyS=0u<`62{agF?cm{y!d$1~wwP#1ove`V4=A}&KM%=>R*C1l?ULhM4W<CGOHpe94k zlpmiu+N)%{MqdZSn-fBZ$x+|aV_Zt+BsKq&#Twb{-Llp@m%)8|8&`0;OlF$&e0tY5 zSCl|ycxhxhR9dG9U$=!Z-!yf!Qpt9PzRm$}jvxIXBiu`WRi=gUt=hU3L{LGe)Js*W zg)z?*f3y<ab_S`=0b!0G{vZS7r3!8BAM7S5+fCH{Px+Y7>A!UV`{t*u%Xp6Z0lk*w z=`t;P&=(^uJDoc5_&Uanc^vqoAJJ_Gk?O1v=6K+pK7+oiNEtI)u<jb#8A$@w=-2`a z40hu49rL(<Ie3M<lg=5FopG$fz;?L3!fAz7iWXOqE3%aYR7OP_s!I=c;$2PIht;|g zN7Xb!EgWH@KGH+?SkQ@`vOjJM<JeE=V(}e2+H@60gvmK?yV%;WOs66%?Pc}r^ULQ( zb)9^Q8uBX~ZQ01@1|q5KWLsuOodxPLu@0<ZcnolVc`m3r7ib-yf1W5-|4Cbl)b}Gd z+j%a0yZ-yNn<I&^zy}KQ$Qmr!*~omyun@M08HKD%aHlMe&4v*2k5XL6=s`A2{$E9D ze_X8I3%{P=fH%QteBmm*f&onf?IBd6HYOvBPPc0cE6QSzCx!8?zry-A43ngD*89#> zPEq)O3YDc#8pACq^xqK5h9}6jWnNA}PlKw|CynQp6#j46*#OI*nU_+~zd}XtlSXh$ z0{t68Wf6_>{ASJv-qbNZ`MsbE`7PA{F?{A733{C<QVYC!{9F3boR|A30SuAJQvR~l z<i{H%ZxABbe`8&1!QAYaUWf@F-qLIQ4qXI)arM5&V}94^3?+t@gDqjOWeEd^XMiaL z^%jCFwcH<&Vhv$!KEv8<Ji`tQdN^SHbYT5XpW!_W@Y+lA&yZp`2{gxv`Q50P#^h(K z)NUXj&PZZ7i8SYk`GHgnV+^#c)hqXN3W^?fmzeQHS>|`IV>kzcD*8ju92Ana-Eawi z4PAo{T=B5_gWN`88a3@0A#Isu=S{uGv_~78{JMc_5J$F#=@Bb#+Fx`qJ+jA5GkV5I z)1!?2{2f?RWgFX>7CpHQ82WR?RN`w!aP9tCkcH&amYvJDWwj`Iv_<dULY9V2>6@kH zF4)_)ctvGg;@*Z6C2Gu`x;wm}tMiI~vKZOo;QlZ=haW1QEBN!ZjDri>Wxx_a7g|LU zvcH7OhqvJ$tvYi?$lsCV6Ml!8FJR_agX%4JTUfap`|mSf(`Vp-ffEcMMEf_bjFGrT z+?c~oZ5eWc3c&DzrV9P!Z6bb|jfH78C698ld^hJkLi%Ra_|Znvd|5@07P5SQAg|0$ z7skb*1|6UC8)o^}GcDSGv*{_@ocuCt*5PI{kd`U5_)J_s?wCW(XV<noeY5^w6LHG6 z#9tFO<Jg0Jc<&He8%VBe@~>MWhU#z6ZC`pnjlb@#lx>>7?wqbO$A3T-g8R2jJWdX$ z9G6Ux?py^=9P-9?;ln7e;&};wSw6iV*M3AKN8!eX{KxP}PsnJs8XlQ|SfOl}nvy&N z_SX-HkjJ)U17mtThgy)M<FppMvY2Zubq0g*jXH_~Kc$u+Ifds(?1b1cavObRWQ7e} z@1&y8g4OPe8XZ@F1aOgq&?DtlWz@7X-i)?8%PMx=C000@j`FKXU_Fw545c{?(Cd+e zD2<gt6EQHz)=^#=hZ-TIt5q_%?tqODQW8oTUhPc6K&_l%bbH$fAzrNt1}}!Dm?|48 zwXumr3{c;?T8!e>BcV_lDqD<d)+4P^XeqxO%&$kvrm#LB_i*#!kY)<BM;F;KRvuOA zFbY+>ox$_qk#gsV*^7LCm~5rn-00t`M(K3e27(e%0}xg$;d9Zh>7j`5xi78>pg-Xx z2#@VRi6{XgE4*;IglLZjY@O5>n~_ET2T!{HioDkrXb3_G$H|~XgaEV^S$JxcYgcF> z+!gWyj%zR!5g`O^kN#z6PSSxYY02RW9dDE}QN*e2klkS^Pn*1dW?qfO|0K78Kze*; z0^Pp`W?mV9B(RT9K<aQRIQVPc4nxWQ+-qoJL|`?f0KAp3`?z_xPX#7oFTrXk0T?T0 zk39!Fs|Ri;+<6|XD-&?uS6qjR#7E$`M3kcEpL#V$1p}FyeWJcL)j}KV{B8l+Hy$`e z@3T5ljr`sQ)$+-Iay8=5@&KcO?SnKC7`9XfCgxm%tCuVxyPP3ltV67r>=pk=;aDGu ziwlO+vPBO6v<3spVO};$)G*Bbm(VB#i5JIzKzDEwoYgPeH1F@)UUe+OUm-1E4UCbq z9=s~4L(Csn!(jhpRK{n=tV#w*jT|q`|BJVQ3+9Ilw3ujrZ8S6DuZkC66}Q2T@VR&f z>zJh`#jj>q`aCh*vP1tOiEA~eLG@M(r_c1r;*d2TDed)2YkRlm^f~&3pR!fCw>tAx z$gHS8ris6$!54Zk3%V6R=5vb1U-W__s`@_QbA(HQx}lMPL2_69zE)v_6TV4V>JTrf z1!?^mLHRL%cgn2G{V5nY%}aV-P2o%YS<6a*5}yEBK&HQBhR@Z1?CiQG#v_Z1ut@7^ z3IyIyMt+z}(j?FMC?Lk+<YP2<TvzvYJxzu@{fBnJi(BE01|E{x4HHMd8MjT%Z|J}l z&xjUJ)Bug+;f+U;3F?~R^()C=<X<_4G#r!{zjheBEx9@Se>#C{@go5#fMBH^bO(Qk z;{&%M-I(%Hm!*iNh_4VF2_8?<BGUrM0_;8|^~Fk80$7k)ie42F6oNy+T1i?MT7b^F zH+m>;Ishd_7IYQ{nj-o_a5y+6Nehorp$6flCJV!>BBVkv2#lAcg`fqH0-%3-7gF5u z^^135Ut;4Mf8Sf2@6d@3BiQ&2HUeN{J#5s1jkT~*1~w|FKe=t}9EIgPS`ADr6N^76 zrxkZl``xB9s|N?4pL6NuJi>49m=}sYDC89f=bxTa$K*UB4@?Auk80w}%5s$L=XG@B z1}_Ei4zzSRIOolk>Wp>k8y&ZjY!l1ufwRMmV`jQjf2%bQ5pwyj^<ar$#$>|YGNSYY zb$X1bZ)H7JcXTndZ@)fUX*JbI%8g9EOD5U2Jl6)PLnzB&4$)LOQC;0s+qacl{+3(9 z!ZYH_<be<0WJOQfth_C;aU2KlgM9@zgMW8kyR!SB%y{4Vkh$DGy*(=yw%r%1RVNvi zz7t#se|8;93e9iYtgb%$8i<1_YVNOL7c*=~t`(&;7MoLR;9du}%|1?1K`i3Hr!W{d zY(F$+Uswxpuk+dYI!RICDZ<95pj<HQHZ%q(t5tEYJKklVqNtb<QAXGqpB|~@=o!<h za!=T_wfeo|_!+0qFUo&RrpBESnEk?rRwUs=e}Ad2&YIf*(NtMhcP+^=Ez|+73Z)g} z7yxC1<3eqHajXSZhSM%Z&b8dZZ%Y{XXu%QQ%Z}2Dc1#8>hp$3t#XH7;4#IOGwh|oU zL2bIu?<k;Kr~#3LtGwq~1|~0vWB<r-n=nUW_PFMga1wMyyld3>cM(OKIJKaAxb9r@ ze_h)<A25kS#`CO3AqLnwf6Cn3@@+GI%(2y2obaf3JQFgTUR$Jj=GI$!oSkkIv}E?@ zKIz13z!ytpSXD%HPx{nsZPm@OZ}wyGE39Q_UYm2#pwutM0Zcd>?)SzT_mRB(kyhe@ zyUXt|bLr(zsE6xFtUb}+dp17V>*%+Ue|_^{(^=GGyR)xjkF9!RGZ1*HO)$H!qAx6h z<8~hVba1e5$c*^z`rx3R1am?%a(T%t?Az;yXJHB^2tErD-(Ckk3u!c;XsD^WTwJQq zI$zvWlLYzu`=VL*MV+RUMt_X3hzvmMkchRlgGs1o&r-NW<U%HKl6;*I67PDLe-FH& zT%63TU3CJ-Zt0RxBl<cxpK3QgOx|rk!~3GQlUCTOB-3xrA~}o4Bk!MaQnw9Qt*A!2 z3t18}pf7&mspmq$o}wKoQYwf|)XySIIYo{d2Wx}<uQvYwYLor1a=jj+Z?JOvf_HJx z<@I{s<k`t6E@+9dHDJ{IdRLyae@^R}m|?VE1#@`-C*w?POP@*Unev~>?wKl|$q+^* ze=Edb6@1#y{qSJ@GRl6_5}D#YQ^_-t!icQ=nFwLT!}>j1USFZD4_O~}LfaW7#7(1$ z&ol?4>fBh4cVnKKTyFXK&oug+m3q$J!brpXnIgB_zsaK$8h6{f-D<bPe_Y8~j|BR# z7f+eUJ=Jl<jaxr2{`4<d_aE6!_aB)8Rz)lPzykSu2_z%_k(reLUYTHwQrJ0O;P}&d zxDZ&v-k<v<dA>h{#JC$`{0nW7vImx3w12Z*$=nM&3jtmk?>F4Ax6+V_+e{bxd=<Jr zj2>uyfSq-=b+~ifJ6P{Se;zsyhrhiV{x4?UJF1EB>-SCQNa)gw6hjf|9i&EjZ=&?x zLl+ecgd#;cNJj`LT{?tbq=_gHrFW!8s%QWO!8`fA@40uabI+f5-E-EOHS?X%{yzKJ zvnOOF^JKwNV*Q^~VL&SO|D^KP#Fqajm9nI|?0-_71F5+2saV}Kf3X+;iGd~6=QQv! z>U`Qx8GI~sGzlXOO!Jcu>K0R%f}ch+m$viHe`51Vn5_Slzzf9U@iETiqkY{wW_7oV zI(;N3F{azPPG3ZRL4-}}ZZjUrOd4x-WISy7a1XXGU`dyFmhbM-7zk|?aMAQg2acl> zye-Cn;ie4l^aEgkf5vArVPoL9olBdKKZnasVqO70kGN;Cd|5&X8j62VMvJlg7SbJ) zzg$V48~T%Ue`X(h)}+rr7fZleb9d4fk>T-<-0L0sp6suL(nf{K#*v@PgZ%L$)u<7x zPl}y~Prp25nSSX0OskE9pH(P|bzk@xi&iUbyjR&6DebfSfAGsg#_9P{R*ebOFArI# z=Z9Dy7dqc})-iUL_kJa(l{m728o4nm5R^ibtf5AkPu`REgFR~Q%l8FX&=B|h3-&16 zA#QCg?k1)hvmxZls@nNl+|?w)X2`cNaeh}iH)3*kE$Gj=&$Uu!gwgJr*`ITlYo+vv z)ZI0;zlv!Qf2libynmj6{+(^EDgO;){>M4c_wQJahpmD{Crh(K_n+=z=)PYi!!Ox> zf?Mx>3t4F{tmk~m4ujww=d+fVIV60#TF-=Blz*6B&5gYo>gu-We-3WGhe^;9urJ#B z^sl$?)SzQVkbr7!SBT7yEC@ywJ@WTd;!H=kb$Vyef2UuO<dJaP%-Q2Ws`Ctio%(>r za&s=*>w$<T&vN2F&Zlm$a^^Vq@5+xL6rj6n$I7);kyQ~DBx8SmxwVErtteD^`Jko} z9P!|=PvCcOVqe?{tJ1^Gf4=TdHm8Ya*DA-IuDgqlpwaG+V49C$9Ghe1VlPob1w6O< zvsU5=e;*HyC4aglUQiva`zO)$$sWq_+I)d9TY(|H&m^Q~b~80|r9}Q(cjGXBlbU<A zT>kp#n{fW-B>M#B&t`p~!5n);ig*6bZ$+CN`Z>N&C#3Cl)9ew?yyJI%XIfi&r1Z5u z?xTv|N%gUHuR4zXG1nUFrF8vnR?JrBx@h*)e=1ew?c?IvO{1BWGWl!c)#MAS>$A0< z{7pa5>bvNkKh{XIVC$b1=6y4sKS=FAc&DUpb5zJ*|HSPA)!dH&s(FfeIDTS@7y)Ji zNm&K`g`$k4Kit~=b3f>>MNz8s2YZSO&7i-IrKwUM;x@u7<*y;Lr1_howmtRo%2xlB zf5uOq(5%j|-^%%yvJ*mkj^6q0ef!^6_6VA-V*Jl;UB+K{kni5gZ;r2-waIO7lValb zeYF!9A7&QRG1ODiArMgSEuy*X9Z5+x)KlFd7hvx#QrvDSmBV%9Iu1<;(*eE!X?lqm zEL-LaaUE%lFAVjdI@C9c=4@yKCOzwNf7{)qE#unVrFLn~TXuBg!*qknhLoy0BsPjL zHu3?PQkH7VoB1`jkHp7kphtS+UmP(LO!+lN%lF5nxqPE`d^eEKuL?Wz+R^!J`hLuC zsV5Z02h|<c35u#glbYBVt8pc*<?H;gqW0|ku*i1C{IK-)oqRSOo2(t*&1B*Hf3O5x zzUPtaM!we(!$!Wxk;Mk9_mT95M1I(7Im;kFnmO$tKf1Q!9ZlLf;~+oUIn|)HUvAvv z7MXU4@vG?e(ELmOfXCA3q8oRl&t(D_@)e6^&%KX0z6wn(6F)~HcSii>Dt1I}*Cp=A zaZZ0(?s}!kF>ZT5bT~4+|C4Bbe^>Ngb@R^Zn@a|-BgBT!I6~DXa!2{LNNq=Iyq@Qg z^F~JgWlVd_jxtolKIlzCBI#ag`@QiM9oPN09gT09rk34@GzhPX_Nw3P9Br6oN<Wb; zu6x%J7Vpi(EE(L#neVMFvrD2hx0KqhCH=wV?aFEE;O}XmB{VYEch)%}e{AQB{?sp$ zWg+d~95+RYe4U2gp$N`3hVFhPICmX-cSRs8==5B%AHg8b$-Um2!mL8)&pR-ZPP)7m zf1(XOHyHZeh`f|>>bCDUNMJ5z@i*fgnIc(ki9eYH%PKi_YxR%EGZ)kMM%+)TxY3?R zyGcuQ^sV8<p5PoYba$BWe;hXS?ir!1kW&;Gd70j>k$)-3V{M2ya^GO|IAYq^@%EJo zi16~I3W)GHN^c1GLU1nh{i^OXoY%K#$D>j{NLn@r7e2N8#&MII#Fz8?RrP5&zi-OU zHJ2}X=lb>$)A%_5k^8tX*Af4?a8honGPo_i{ZYQP{xWsGwfgdTe}33qANI|DVQ5dD zl^;vMn&;6;!%3U7+=Kb{U2%3HpYA`*j0`dp5$mk!<InE*S$7O6y*o6HZ4bkRJ#yZN z^{yii;KkWg3kE##?r|GZdOKCgbENh<XJ^Q^tRAkm+?wyLvpkc(RNU?@HTSZ;0H>)( zb$oGK<z}brBOVnMe+|DSL#@fk=TL_oMX=uwntn^7KZKDgG{5WmpAh#_{IEqHL%QF0 ze;<k|IVoqQKbxWSx9*37+DM**)*s-X@YDHI^pArr!OArLlKlo?S^RnmNdrYY?fMl+ zmS`E|Z`pqeo+Gqj@`tY9=-<Y#TM&qVmx&t)+o{%_`ZbV>e}p1LK7=BmLXtv)LZU)Y zA+;Qp9JN1xzj(h1ez66#%;~S#-+_Fh9=}1=zXbLp>}L4E*k1tlBj~36LDDau7I)fT zPuR`)KYy5JkGyx6IGlWa7|8^-BYO&7|AYKT^p|v9?CquEk6)lk;=gPT6m?e&zvYqc z_}^MhA|nX?fAX$BLPmi8Dy`FOIr9Bj1Fup%rCwh_J|R|jx`ck7y(@#_+iM|RKSDmE z=e+->D9^9dq&_!Ww9WrLNtZ*kZNqz!c?Tw+1`3&ZVzz@Q%8>s<uzFiaiFKDlfo;RM z$h;eqPcsF2fq3a43Lf%a=KmDD(9Joa^&#z<k`2%Ef6h<zDCAt@>t>zM=pn1-!_%nG z)F_tg^FMs7E?#F11d^V|-#IQ-b&Fqq-4<Kwol;prQ3@tM&~>Z61{H$Ube&&i<n6BQ z3AOT;36=&!^*4@@gxuPm)us~9I#(?BRCT9bWqh21`zsI$8d&P`8MXBb(!7;|y>cVk zuPS(Qe}hO6!LK_Nt-I1=#-;69E?_`$=k$l+Q?9bcQmNa&?PkUliz8ntf09ieiz4g} zV$lB4LDzCNq004M4(hS_+Ez8{<YD(eVjNiv*Z=bW2uZuRK3zO~{p8in8T7x38j|vo ziV~vgFcNbNfyVv&fg~niN3sm!`x(f1mo$j(e}sJWR$<VD{BB|9qt8yNSL4bSVH4+t zN0)WywU?__$8AR{t-a0X6^0g142~57ZYc)o>+3JJyhr!uGArKt?(p6GW1!_?E;BFp zLO>xq**23gOH_ztuzuVPGOTOVnOLxS+>INRch%f8xb2@ey&;shyP0A+8t@=siy_7G ze`A1QK=*C-`gCVe`+6n$8y$1rS_-MiG1`{YNnieY%OdtmhPbev8(VTHx#j&H!<6U) z57ESG?G)I{LE&mTc`6~Qw#wUqg}c_rx+!U4`!Jm)f@*!tu*>M@q5~|6u@NSEo7@5$ zLor6~$V6wU9sD@rnYx9-H@Y=VYB@(5f7thEip<nA>yH@vw?q6aJUxk{)~~zYnr3OA zg@c~Vu>|wCCy2al?jP?c6E6Q+ZR+{f>DSLk53LAZt!K>|Wcz39R%$@g=!$FjZEA9E z@6#`&jb8liNExj!XGKUe5ZKW|leYRK+BMj-F>FK(4g$AS6`|&@QO_YgL>Maae;F<T zQP?x^qDV<T(Y7O21BuqDWK`>586(6zAfMqAV1kK)S~S!jlZ|~e_C?C*Rf?!hisA05 z7Tm`1sV%UK5o0vTXLzxmu|<R)(J_1U<|!o`AdU=U!l)+kSM;b;y3j-vKT9Yh>M@;{ zHf9r|M2N8>?=diTiwy*|XsRcZf9X01D5};#r*ly>Fe=rW+tV>9eTWhzMweU~DnJM` z0=KBEkCQbqkC9*k$$MbN3b9QDE&A%?<e^z5P9Tm~#uQN-ETMF$GCD6EW2@LAkVity zDs*%bvk4N;HWrF9m3&2v(x3}XMLmp_AZ#&KuO*jY)+O?Yj9KLuh%F&Pf9~j2QmRD+ z_EQo{8L7j`Lx+sXP#tt$TE>rhZA_3<+LcObeE~W$szJA>BT@FuV?-Dq^2fKa?y(XC zQhMsI$u81MAfWMA#_myLKa!ci9(^&LG&rRk9JA^zJuG2Vuj?p*M{G<d9S&K70~zEP z%SQD&32>-R-JX7p5@o(Ye;{R{u0(c`RPq#Au3gEmRxEZC<k1upN*kP7(gHHbF!qZ& z5_?60N}$_=VT5D<f(+7(?W1&0oCP5mWpaczhEhb33Uxwv5s&hQl#zH8#$3>vM~PaJ zp?c`{jEu!&ZxFO-sS}dj888MTL-ahj)Lt-;QDa2NU0)hx*GmQyf9-K>#N}&Fvofan z=(m!Xmd+Z#Ow_yeH`;~$nNC8W?ynAgWzo?k^UF$|EqEOwIOlPuaIjF-s9yC-UCYNK z^Wdg!MqHQC-kR#a8y8F%YPElm3x14B)HN7)j&lCS*-LoYhUx=ffpBxEK7uPKR#Y{D z>OvC3w>((k@Q(<Gf54opt`Q?had1qV>KYNe|FIwPN&)MQs*gH*-(lGw&VqwtnAGe+ z2u_?l#$Bx#j9?9hEDs7c6cb%BVO7-rfiGyWgBX{2@=#LjA*wBM^+qTKb{r)exk?sF zh=rl%qU}gSg|X5o%V;~|P$-rGl@o18a>0cyL**dtAfdF_e-|hwWD#{JC$<AcjVvMz zmBBtjxks&1UpQkVRgFlMC~@W(GgTuJC34(-487_xp%N!f4ilz!4DMmWiC~=7a$ZG- zDZZGdx@oGDfK-%$4v{j^K7C<t1^q@W{y=kkfNw^iip;e1@gjxQTP2i+0%NF(?#F_8 zHQ6skx?EZ1f6{&K-Ah+wx|cM;_Lv^ETQ7u)KnQkR<4q15eOc2Yd;ho+l)0d2)jD0q zAC^V(w5Q+OF{V*=P9CJHeL5a2YN8S?94LEeOCgGhd65JoN~c>|fA}mGO@K32&ms>^ zDG>%;-7UF8r1S~nh78XzwulN8YavJ7f|@6D#g!z3f3CPnWXVEbmQaEaq9qJChVBsz zH_~a_xylgg>gu7Sy7n={iv~vo+Xr1dP?shj8^Bm0d((}Dqv%+y2r-2C_leIKFJy1F zF-Me7>;o_^D`t=GReDJl2q9P^OCFk3!T>^WmxL0PxqHZ~7VA|itEt6igK>E=55DI7 zy8$Bwe@kpTd#XZ-nXPVMDw^K5k?9U%=#a|k#;~XjW-AB=LEa<0_W~kJfGvt)rFD^L zp+R-gdFiL6mJowh(v9h(t~V;>ZlRXwymT?QAmfDC?3jF7oFXg;+$mUMf$Qo0d>#zq zf`xA$8|(B1>I8v0x%IpZF|b%S!eCo<VX}*af09Qa+e~A<sBi}lK2=L-OTKTt)Y|WG z<nx~+Yh@X|n;FU^*q3*!7}VCpqzF-;@b4$}EcsLzD0wR%Rxh?39BiU~OplX?nSndG zN@R!-W0;%B3B5{TwR4ty2u7Z~l@}`l%LFM1m7Ec+xOwoXy5rwZ3Lpj`Y)i~6CdWSG zf7T~uq|=u3cWtQcZpH{<ux|Er0;&d*Oo)9OGizEh{3kFBe4(elPj+Vv!->3pg$a$i zA=$!)Qn}p{iHd?85@6Fs%Lcf6|Av@<<ARP5hkk!tcE7UMh0#6v?TDw4LZZ2ifp1|f zYJWwjgx97`$UL+&@`$Ljxm(wy+OV~(e<qFYe3np`jiNK>-4LX-{I6^HP2O)0G(YAq z`i9zBRC<_39gxX>(a50w{$`fzCwD4S@E0wscnDFIvIh&f_(Iath$P!Q!z%3HKy!aZ znc2ow?CbjvWw$x~?+yy*ac0fj>DW>?57bd8%b2WjIEyqJL2?pU-hKaXJb0KcfB3>~ zkS&pgq#|k7h<Y$B^IU@|M`L(#xT=!g##}`X!G*o{zo7XYafdHa7tZviRD^9+C6k%@ zE<wfmdQOGbp0f?Pn8Y^XN9j+x@Gb${4Z9}jW8z++V;mSo`kwTde3&uPmsNbn(&}cx ztcdz>_=Np{gMe3z-MjPm6#E)ke{>qdOE0P_McXUY{4e~k>?ba@gx@k*nBL5q5V@~` z@N}4Cc7hVQEfAAmj`dH7Q+tc5IFodN9PQcWm11+l{^cF~je*Pfw^N9pud0VAfAMo& zM>07b^+4xEY0+7VUw)2h<t<F;)xi%!O*%Q->~9Log>0wS@gLqRwH>Zwe|jraa7EA& z(j?RttOVcXn-d9961818+|>X%T8exR9huOmQ#uGW><nC-O!vOk6{u?|qR8KI*1Z4d z`xsnBua58_RI~Hv{AAibS6866rJW*a2UVj`SMYXobLPHS7yI`+4vJd}19cU^O}>)q zNNL&^{C>wtMWfF7V2sapfB3*>OJSn!-B*i&3EjHPgHY2>-36nJ{hM7yX>}53^jiw! zbsx%V-d)LcOf(232KRWm(+>6?jNP@}KKQ)Bkn5w9>*_x!Pegf0BUK8+_*1D3-h(8c zh)InMVz478XJSLT&ovxzC&4Q5_Yt_K`5-@YNEA8E3LRI<?fxYme_Hhjo|xMEWKDMO zbY87l5-X<n*8lpLJ>*IfaZU65e{8|}>+ZjBVi<&K1la`<*7?Hw4n#CYtUF@hN8aFn zZyYba{n|XwC7a}<EmCv)y(&2vbQ&D>_vp?dIc~*vJz_8|7`osXXuI{q{K?e>{W`GS zd9?1U1pnkzGtYhJe~hlrwrj5<th4KS{iK*z@;Q0Y#BEWNarAdO%inZwaC2POseA)v z^xwWdB|kQEd6iS?>t3p7V}Sn67GNPpG^6r7FRo;s=(p#$NzlKQ9zFN8oElH}x1Ve` zKj3nMXV8*q`wCrmzgK&!Xx6bE=!)8&`Xt)OE@T;Yvd(}1fA~1jR_(i&M+LpJ>cPvL zI?a$Yn<w)OX?1)d_d1T6gldDOn+dl%C+noX&MX`1)fvri=hS6~um&Q=>g2wAxn6!e zW$7|)7UC*GXDM{7vg)1A&XHZt_J1@a?os$&vvpw@!0=-)w?1t@Y&dJJMlu^ZNBvT$ zxZT$jV%Nswf0*U$AI@xl{y5OW#OC?RjjihH_TQb`A1w?5I_BUd&sVoMI+dvv$Nq%} zuefe>9w_kHHlCRV*oJWA)J>n!0phiTCD%i<Ki#7Av%HME6(_sGu)3m`i7I}cfv2W6 zf1l~4*R*W}svKXt6fk5yx4mWC#_ou4I`RI<+dz2Ze~i-+;oP&M=l;7jB+A}H3nB8* z&19OcEcuj#p*6BEjk>|sBH4zmLuZM+?&~AJubuFBEarhefgky@mSYX;hf~{yv~J(| zg9w~)vy}b*vei1XCgrRCP~E?~8|tLaCyvq;X3ATlwYBep+0GQVF0=!(eu_*d*<9VU z)H!yPe}-B{3|pw%oYUC0ng4v;{N*tdy$o{cbrtTrH}^3oBdtt+<3ogk#b{UYix>mv z&(js>G2LO-s`DR`SS|`*rOg=^7|rXCJimaXrOo}w*P6dO;)G)%=dL%A&S_XkN+@D1 z)S>ywsvksFFgRbV3>Q%w^%fiF^AT~5_}#KVf5ct?UMjKSS(4IE&tK%~cF~@Ej)W|i zD;49^kY|+TspM=!^M1<kSO@$dE*~-HzKa(5DDL_bsn-qMNmm<FZsf7uvC~omu@YyP zig9|#v*hZk7)s{@_hK0{u`TI_avGV!#^UFTHt*Bs=JWOE$wtC)p3THCyFu>^Y^c)# ze`&FdJ>VPQqmy~rc#K#~0(@Krs`Jbvy}vxCz%aW_pL>qZESIwt24p}*tpPE~&IfG8 zGEiX9;s~8gQ6s4`+!QFUKbhNyz}fz?#WRw-UR*Z6At4E|J;g@?l(!-<z$boIW>mn+ z{FC(=FL}Y|c_w9eATa1hGMCQ{=i<wjfA7Gc6WPLsswBkD6dxHdXkBceT=J~SsNjm} zC+iCsdBOX6er5Qzz4lP&VB_z6tWm}1T{GW5Ejo|c_b(E36q8nPo>P0v8L7q}OSUpL ztF~61W+jUQhbs(Vn;BuW=$OV&%5WZ5tuI9}!mbAyMg=cNOj<}CKQVV<r}*9kf80DY zoDUok`Q7r}gI%MG79G|2OBt>X*z00yT@DJ33Nl7aaD)R7#6y5i=xz!uzG#?9dVS(K z^;Pa=2%it7a|L2?NGhfD_0U1!h~b}>NABzziZ4AklV0OIe@!g!hM7N8jJRL%ZrjZF zcgvsjzt2@}`*1kVAr>Jz;k^iQf9uJ4>0%kJjAFlACN+)+)kX!-@2k6w58u^6Xj?gy zw|k8Wj>pdBa~lkjR(^R(+Wk=`P4K-pas<2@>W?VdEyJA%HS9Tx13y%ZBe&U@xh@Ww zyAG#z`a7Y;#?^^J_a|+ds($=7U(Px-5E&!AfMTAh^^qx1W0_QUNv`f;e{E33gtqL# zhM38yaFSpu%z~OCs+i>JCe{bl93?_>p@T6(hO-AVV)E4ZqYObDBpd{`H(Mwb=&?yC zNu&tDg*b*0i$hICQbnbZa)4el2D4(qF)!3UL>f|d(qN-d6v&B51)9GZR#Yb+|J4WJ z{w*S}?Gy9tuEHQ_oxRK&f4MGAJ<E5tsW#AgY2!}QCBKZC+g+NvIcs%#b*2ZbT(+Kv z3R`!^>uA3+_|5TIvV>6P7@&@wf5chp;KG7nrEiA9A6V%gzfAEZrU)x;D`WO_vgG#> zY^6c0AMAaud*&Hkk9oSJ8BJjElUu7}OT}Iw`?-L2+zs*5ji^5ce=qNSEES{3*DJVd z$abWim%jdK_Kv%L@52b8Puj}pn;&*I=JnYN;+=T|d<0S`hZ>9IW*I&#Zag$&uSs;4 z4tU3tqO<YPgk31!Sw7&o1^cI$&MX0Up()Uz##}i@+7Id*4^`QJB{<s!eCAE*7#7cw z+qwC{eMr1ZPFXl*e_`lEC*ZjayJVtslw9nPc&3~ijg}t!RDyH2TsebQpIkZPhlc@D zL+QO!8NU2fh_dfPmKXJ}i^Lzs7HcQTy-t#kl3_EWaK;5Sli15B-F@JoK*P_NLw=Gr z{D`s5)@1(bnJM>4X<A>{Zh(_*0Zl5z$XuIt>nAkP4`OuBe_tcTA>><B>JI&j%lqGy zP1;5Oyb*RxQpvwDl)xRr?QvjDRvk=k5-;5MYbLQh+v>fgLH&H1fXwY1pKqtI41J;d z5WK-Gn&LV9MKtBhv5eLa>0f@&D~HyFl2`~}mVY9)##ryVU+35l2iP~<r7t5g(fzF( z?<^j0mnY@Le^4V*ZioIu_eQQ3dr+dYNWf>ol*xGKkbvh_?9B<AnH#^`<=hxQ5C!~w zf+(2!H_@j(vMx39J7?4T*~na-!5oAodO<*2x#(~0JvAktX9x`^2@>azXG9Bj+Km<{ zmYY(KPZO2}(LZrJWBSXIg6O$~0xg?~o7NglJsRj;e>0z~(X$jQJGzBc^NukWZ`5LS zT5YdajV?O0x|VfeuNo~oiZ<@)+jFr-EqbNFfshVb*CkAF_(7tNg}F7n30j3#aH)B> zxR+5YxKO0m*rS8?a`{x`RHKEKHLp`%$ge7{1us-rFI&5Kmj+UPoHcIkF+lI_btI3@ zK5Uidf3r4d+SYB9=3cTneIx^2Vn1aaAC-C3+5<!DRM*Nc@KvK3T?$Z(RvGYaudp1S zO>=9Zreq8FaXLK7%i93`rTP%jlxzxzkIGoLwnCS*XJ%7;c+IWDnkX&d9HTP&t&eXn z8PCin_`uAq-J2*Mz->omv|ACX=*a3?p#_pkf3&YlLD^zwW^JF>z8X5bx|V-I*%aP0 zdRF4KZ-DNtuBBeMs6_X=?AI<T-?kQ=Iip<&twx8t6jUu<WY)%cv8keus^MG<omFTx zm;LHRlFUQr8JQTLTb1Y%m;KVk*!0?FFE&H8VKtm+L7^I*?y`?s)J(7K@nSPThg8Fp ze{#ty7DqB_lfBrq&@9#Pdkd?UaQe|%t5$>COTjZT2|iQiOD;_Y7I3ps8I8-n(sTWX zh)GNzlEWM>T=nx-{UUbl168HWg>3UwHM%NzMXDOky70FeuCTCSz65D}2x~>?qs^<~ zd<#w%Y*&zjG_L}U!m35L%yDCQ(CCgCe>~_T<D12u^g~ft<2e6PaWx#gaBU2y_;{w> zD$KKVTn!gr2seY{n%m%~cG)!NHDkiiCDCd)%K~RLdfVmlQEM&Nk`ZvPX6(bw;X(<Q zYOSqYM`1I3v8=sj@Z${O%nNzev_tDGYmK?znysxoN0D9yI&)W6ttRs=lGSq$e+{+~ zWr5zKXJua2dT3GeC50wL-QsNKq2vrY(x<o@o$PXXzZK52gqT6+`JA^Lr}{jxuvQUA zm4~BihgM8F;4WRYi*8d-PIE(jBfdD7E@GwKDlFl+&gQ8q^kHzag4dNRl`XHyb_k87 zUhTib+e=QZzUV}s)|sC}7>g(?f6kt<L+d^FH<rB!wN{gve&zbb${UWwW^nVFhRJI5 zO0cNA`4VT->j&_#k0H9PhrCNVGw5O;e)A=86Ne?-T&c;g8XY~vVFr&I4KZ)!;9H`d z35oHEvsf}|;xL7O7!5IQ<=|fe&xAzzTv{yYG##43D@M_lttO8#y(No=fAnoyt*^P4 zd}l(keY(t-<eLu7;kzHvuvS~HrI4ABbe|>jC1?}e0-o~`t<h>?G1ptSSVz<LsMQv_ z#5cniDkWQu_8x*8!_9}$^;PKop;}8g@K<Ztx^jETY9=Jn$J=}f-c<Vl{$v!b+qwc> z(wzxO^${{(LNp0m!i&@3e|cVS_+B6^S`oU-rZZ<O3)z+E6Q|({uQ%mMh)1m^nIC%J zE>?QF*qg(Pr=(ZSoF|xEyvs&vL_f}I!}|~#=<Mo4@1~xb*$&RMLwMF@tdH=t_j5h; z&R)-REi~&>-^F#&o6ZrGLqoXhyJ<4;G^;O#>NPh!97Q1^M*NX=fA5wOqkH7$6C-<= z=M$rP1m+WwD^t^wPAc1!A-Q(C#H`aG4k8X}1(1R;Rtj~6+ElF|d3_`JE|$iFz6HEU zsz8FXkDZQ)x+cW&V)~-5DO)IAuWy{$tEv!PNn(pt|B+pgV%DOsse<|1kJ=pmk>Ch1 z_R$k$yWzxVqG}P~e~TbYapd()96#zfx|j4q1Pk$}e$pS#hI8(Jh?<HvB)woP3<Kky zVRluEi4i0?PfUesF%g0Q7l4sfyG4k&iKE5Dsof$#(BQzBOSNOd9wr<mCQS91q=y1$ zh*4DaBkiHYJ-}G2+LQLM;P^0XYJMODB`y@RuUb#sLxS_df7Gbf6ZH__0x_Rd?TLGC z;^;7zs`exZa@_Duj=x!@*P~DUV#~=;_pBfaU>toZLU{Jm7fig8%Ac$gqN=kGUju2W zh8#$p8VJSbBbg6WAt78OiZsz2@$dgn!N1!=*4Gvz=MPkULbzlUX$m;v$Ek+gNS&Gq z8yEf$!Mp=Cf4@CN-u}2iG8w<bj{Rzf_+4v+ia&%;(nsdzXp_}<y?gX`nJMj@?ToK{ zS~p51Q9SLb-&wcZxV3FFb4z<e*X&>Jk4Yzmo00W{hml_iE;Mj5KcjHE#_174+cE3) zr3I#u-4FT|=`IX#es|L5VxF3*_tD>+JU1s^B&EEKe~U+<2%epr+p9;=yfTdJ4y)!b zQ6bl@S6x+_$->xglzqggUxnS_Mlq*<h$$gfFgI0Ij*<|QvX9dxAEl|1{T-(JwZO-h z#3d7@Lbw_c>EG^|=&TV!J^Za)*ia)S_h~Qy%rbfD6{|-}H|}RJ4S!?Y=GW2=XxAvC z&C~z=f6F4)+9;!~C>u5XJS3yrPW85)3H#CM#>a1#z#)o<ltS^&r_!(KytNztiMpbu zT>?~X0(x5`->fUR9qdTH>R<EU;|tvUVf2pV@4M;&a)T{$7HkZWvYP7Z9mW7+zxOt< zXX=^?+>&dWk6|K_o<5Zy3wDXbo&}k~3|8+6f38FQ7`M%%6%m8RQ+JHW9jvxl{jH^; zf_LtFC;WZQ`;bGRStjBF=PT_clAbE3IW9fzlQj5ebNmHQZ_Y=>q14@gSUPUg+4Lv3 zt9@q(>`XNq&w8nzTT6d?W*%4HBZIv!34If*y(}k<Oknf==)KJ(Nj<7JR?E2-_3Q<c ze`+x)f(rKt<E~mfLKKcNic$t&$YBdmsgcSzF37NhDC$UMvI`LQ3Uwa2ny5qnKc0Fk zsvU!RIB+r;Yc)SGf&=G<DNywz>H*`VG5c!ugguNnR!ogrJwXp0?gr+QnkXTH0cVQQ z6RWz7{e%*Y>?I4O`U~QVE+Pu$!IGmse?)VVhTg@BqqL$qi9=<u=_oBECsimjwgeT2 z<b;IMU?Wka$RgrS6>K<4h2V-C+l#tH9#M8OVT(}iQAglTMXWEXE9#mVM~dn~9zi<k zu_-7;q%U<R2NsQ5LKcB95SUuE|NCkF9EmMylwTC2_r=gU;R{Ps9_(DKEbR3wf8(PE zUd=xWxWTKCR!Zp-=NV)U=8Hi$iF7TIB-GZAR$M{-wqSaDfXK2h;nTQjQd{a^Tg<QZ zO<|%Q5bin5U|H^!%4c=~Y<6~gBLyrI-04u6z@{iJMUMIvo8R6@2%`rpxC3ZOTndb8 z#v@ADCRo84K-Q8{RH!LDVt_e=e-&KuNJ5GPm5E34us2`@M*tOwOWi<i0w_QX)(q~f zg8KRlV6>4bEM6NUnvi4=wz7oJ*Jl*tk4#|(5DA2m5ZeHtcONjuNGiOm6G92bqVQ-O z<A9{XyYeBF1Ri~OG=Wh?p5a|y5K53oJ%HFpF?W%^9tmtUV$-)!O2EwGe+ebwphKDI zp=1V@3o?`~zCHrjErOOQV|v+a=SopkMTm63W)0*N?9l~exckZ24~fPnm4KWQc+}w0 zu(1&mji34&GERi;1iD28Qvxme09TkOKFxtLz$Ybxt$-Fi07M}^&48i=&<Ss>G6^;x zu!CT?KrO4lggefa6sr5ce}v9<u?mDOvv}lKNw4aSN4~KN1TBkr<WxzhT7yT1v5SN) zUjd{%Xsn2QfUn^I(n{>n0hIMTzeENkED2m0f+Zh}u@DY$mWf>@^{B$fGD>Da!s0-z z2mj=s0l3%mnI)beTYMu{Sn_XRpm>y3A_%gT0#FU1-G-*xY|M=~e~(J_rjabL=%Y7S zrOGndjX}&QP4-77OXBXW#3l-EFzc}I_M2wyJD=N@=w61+8BYlqQa$y&sZrA&o8I*H zvyP4f-EL~5b5{7!XN;r9GyRRfvG6-rcG@>-?!SWzthj4$G2QoCs=y6!f8&!CeDf}r zjo}9)cr^TuY{y3_f8xvRhM(fyR?-k>7d6ZYiV!swWk|S4tw0s*g{e~g5JeR!qsE3p zpqy3z5epCe*Mgd_BKShqM)1b};?u+?n!f!GL*1qD{C}<LwZCMTxVmv*A9YQD+eN7i z3Ja)45L_r@!%)W?dym@V6dn4Kg*+o0RVzqePv}3Y^%Clce;WDn&s%MKEkB4j8EOe0 z9tKGKwGjTOnJHAfF~$Uq``!=Q5kt@qd<jDps8c)5$FP?Z666lzIONK*!b6!u?daE; z-plJ>l8VKBxAqU^#ZZ33m19Vn4MrUoMUnFQLhq3<Gl6OrY&NQ(AIdsNI_aWLHI)ja zXyGormcfqve|Yb$+Q?#%=Oy_O$zFboL@dseUZDDgoe$4ncPEp=!4^MIP_9huR6%qd zSo~bPM_|HnksnAb%(2N{-b0u>D5d0(MG4F%1i-29%)4TTEdA`|YWT1cFpZSm2e~-U zbKoi{bJGS}tbuIh(%f;La{w`L25O-OOw)dV5CMO7e`IwB!~`Jn7lA8%jUS&yF0ZeM zFY6s%5Q)WAc><m@z~hBaXbU8~&<Ez10p@qc3qC;n8(=R##`mNcxX{Od<vLPce~rR{ zYDx<L7I=^X07?L?0jWO$#auB2<)X;|U=M&__^!tT4srk#i^q93;~nHcCnyEv^>^PN z*D7OQf1q}weL*H~II*25CZzA%Ih@!=jH81rIMz)()~P`cDUc01;Q`;NO86109phh2 zR&s~-PE#KDs9Wp}qFpgKopE5U4Dz`2zs46;L`;Y!nZ<pRjQhqC^Pg?~|9QCoXYjwb zmH#~4FCd!~q%G2Nd&-&jjm16o1{08u9q9U+e<RhDCeRrxy}n;W?ZBqX*uHev*cG@J zen2-odF6T-pQmMCw0pR<+qnIvlYkkd0oMcpF7nCg9M>wG$3{W&@1$#_o=fBnzFjc{ z{-)LpLcR7xe~H=ffWzH>|LTvp`fMDx&0bE4e;o<|lc@lvz9IJVQ#_#f?0De@^fw&n zfA2nU+rGO44L8Jtm-71F_*=pcfCm6r{lH!>4ZMJGoI7H*v_SPwfIgoBeeQ_{8jrsd zOA>LOA!WVYuNuCJ%l(R+k{02%6dWG@&dQWo^LAq+I5~0DLOr14J8Ol|+*NGD97}<_ zs>m18t?JRbKO|dpS60(a3$6V~n^OC9f1w0hisV-|r93NIom=}C-#d8;bDO2fmq>XD z{_8mS-{*t)^MoZ*^EM9Mx?7ZmMkbh=Vk+)Aqn9;E%QbfWx?%>)@cL^x?O<5}kv3tT zpD*9KG~{W|izZn}ayd^D1zwHSRg<_Juo%^?&0E->x!yJTy7`XG;pJCZh<0r2f4%iG zfvgunBz3M^i(7*OD_bQz^qRVKlcMC8nL;IP{+AEBF-$RK-|Pwt+9QSRa6tnS;vs}> z{?c4Z3+^#5Sh`XQ+F8@WkIV+>n7d*XTkx8GfR3f>W!QhTvSS`gS5()3G^gVu=B}j6 z|7a1%RLKzXCtlKASIZm&MGgl%e@8Q3suvwgF;~Mz-w2Lo@h8?X!~UMT0eue$GoG&A z{`_HHez|c5dAmrKOp;kKF$~R`HuOuuk<Z!PABMNs@!rjDoN9;GfOYQG(`CPGG;1d> z!fTrB{KiaVvN%`5uIs=Cvm$uj18?W~JUJiGugL;r;c@h2Q6!ny#$&F#fBo|&{hG`G zzUO$7!~ync2a-(EGP)dyISfLyH=boT1SfG2_*CLCO?FXZG?EgZ+jHRV2o*?X9;mQ_ zEG((<3P~veV4VR8F*J2UB+2cQduk6gN6rXj`T@%a@@AGL!iN#Sl$^YGhvt3zFIWVh zf$YV_2iL(0+d9gwLWN%`f1Fps2bv_%#0^DFF6mG~Xu__D0+g+|iPP{8NN-gqcW_>z zztiF7irT}Fky(OSmN(dtfj}`dNrNG?MjP!J#JL8%A8!&Y<TxpTzR^GhK$`5##w=v( z2Z7GKhFxZB@I%F<(gLzi!}Edti%vIJwC8^%2@}4f)cisjqcl8kf7-taIExx8SgZ*i zdjEN@(OLbfb4pnODqGydV)#9B@`<RUs2G~O;pq4CbDHe9#$@E<!QHNc1}2sz&_l~- z#oMn!M@<x<qQy-VhJVP8f5kH=IQZ~8+}c2JGIBH~9fID~cSrslGdf7ao@|?;2L%y7 zNT^;aJCC5jv)0+me=jngh8T%-h$Ukon~m8GRWl|&e)rwU=(){miso12C%E{0%xd!R z3dARoXH_-?{TrgfCy{T37=nIXyd{zTe!7}Rgx2reaYNj!=0*E`g)Yl`A&;DhhoE=6 zwC<ffa9SCJO3bfpG`^v$;Sfpxc+JImDbP6bjgGr3=w67Cf0OGVl<pu!pGd#9=o?+$ z;hFwh>DD{3diQ+WTzQhKW<+c@bS@Emf6E^<`X~6Dh3OCFS-l>B7NOT%d0MM1_-%N) zR-zKj%O7O=C%7Lx$&Ht8)s4~HYAKL#%(uFF4-H&M&J)wG-CL*YIBd)Nk!Mx=9{O|M zPn_1-i-9C(f7E-<eM7XTZk_JWfo$GyvwFVv``|7r`UtEvQI3GioYO|SoxFbnPY5Mn z_}o6Rh{@;h=!7-gok+b`4K)%cHFj+N38o>geBob&2t-Uq>V}43N_RT%Ke~L(7d}f^ zs@Lt6<G#VK#MpUX{!*GRd>U4)XWn4%xIwD4*Kt4Ne@cRWZD0CkPNh?h+lFFK;0E2} z!wmiBHGMTUrA4-d34XY_S9($ni4rHO5wMQ?ur4ur`+ZUV91k}pmkpsF!!5e;!@v5^ zt1RPeO3SY3=<Tu6+&LbueWOFWq0mvN)sm>#NuJ%mfCQPdU;59hEfF@QudmqYi?OYo zIamjke@{b*o`Fre*9YwS%TxObB436>a@%VxgKSD`u5Qvl!Lg?31-CUz1X$NE&zpRu zoTPH-{C3{(%jp4Vin48BA~6rFFS|U73?95iDz@UUM+jKR081OCw(LD6<o8%><}}}@ zR)AU7ea>#s;PjH!wNM}@V=pILpW*IARd_+bf56O>M=kyu&L<`sR&$?u6nFYme%0n2 z&BT4T`_x<pO)QVidDfbBqLTJZ+T`AEk7s7qJKpCumQ$aItfY1MFkW7K#wVhhrzW4{ zwbAU=27eXZE#Vtl>y<JmQzd%}E~z(F+AAy!|LXq&$Y!`&YeHI@b!&p^&u%aJZ~Qr4 zfAnhm@-uwuwuUo&1Y6D31~D`4pGQAG&MI}O3zOH~>69>$n9EAZ=%_P)1qoyrAN}lI zUy%Oj^PSxEM<2!t(ib|%^3or*+a+ea*q;#qL_)@kns;9G7KB~``;Xc)%ni*Yn)1^Z zTJO{pR@U9Cx~5LhHPn%m*nAL<-zfvTe_1^@myz0TH<z6nIzUx!xVfB_Ik~x@-<G<$ zWS8&&r>Ft^P949C!tV$?eo34ZCNRB`pSFgu_})>INzYNs0o$?$3t|}5`lvbyus>0s zBEu<hH7I+qEn~0_=8xJg;T0XW8>NR7q3UGDmZB1oB9Q-DpUU+*OKk3*kRW$6f3YC3 zHTd*YTygc&(~~WC5*2#Vq6EUpp-A_Qe#wCKJh>nG>`(cq?)^{rsRDVhD+a2zOZu7` zr-%9#B?9i0!#bf7qeKWg#jxrqiYO6>@^`UJ9q)EAmiEf7xmtA}X@%C^hc|EhzWt|A z!|acgCHjt<S(07mDv#NhjnxUve<#p(TAD|@pKB#Vbpd*N@m~SU9m+Ib?k~v==_RHh zC1LI_YylZ1FG1VsY1nDG3CupIhl~4*Y~ZVs8=&p%G^~^SXX9tcqMS5rmHeo&JTg#6 zJNWm3J60N5l$rKWx;3Z75<DizEe`4|D6s^EK2UcO2<C^mf<racos5Gye_%Qw*UU6# zPTOKgnf>nOKL(2MWyZhl!oLHoe{7=HKfvFoE=ApHBUbVKp915Tqq%}p^}~Ntp5e^6 zpgaXXV%M8P^X-;b34FxaTyMVC(AA&0>Hgx|JF&tnGBs$jjcc2XD}&1Oo=4l`2|EMK zo%)Hd5U4UsDYc!9Ht1UDe}ncF{!_L<Kiki*m<`<1$7biYP;P3*&0Lj_Xr}Lyc`*`6 zQ$*zxtBptfzp%0?nUe{#x*;=<9y5V9^TvJV0X63EQ^(%{ao=RwV~f3*!_ysqe|z!m zoFTTDRypE1B;kjt4lk2n(Z;_QOa}5<WpArQOqWNDsgOSl_IQSce<7}<0>yYVltzfr zEAP|}AcPqazM3=7IeG0MK&=YZe{8ZK9%~1xY_F<|Rv0k$MZV12%YOrdC{Y`mnAw7Z zkR>)C2JxB4LYK^;RH%XSPG47Qm^}E7OZEPP5OLgHRbqq3D`Ql#Mc_(go<y-`OQzY# z>Vq$$xW}A|qIG@ce@{mpp^iF93{&>z_jVei8pe_sX6!BQ$ut)>+(}~iV*lXY89J$< zI*DP{-V%_n8oZJizS=(oWK4rBvruJU)6)aExZ@0q5UQ^QkhG4{%%AG#^Z?22D9!Sz zVoo2BU`Ga)FrE5>AM;Dk2kwYxTh_mBCsZl5=L`Dz%Rp=Le+l1f)5JHS(89rg;vIX9 zH9Qj^i`D8b4njG0=Z*L+2}3OUJ5HuXPZ_($^enBy?sTv=)f5H~FPV*=%5>Rf)&-xr z1WG&2)qHhb$Q+%?wXN5-Bnji`$Zx257wp=^pH>67vg^{6ifkUwTWEID9RzGuf2#W` z7x(;b$Eu5^f8ZY>Z}@uuD(k@NH&RQ}`n{j^KGKfkEJ7ugcgYnC#|~S=Z1oi={OdUk z9tk~+3Oig)H48grWPN`WVCQE`_$B$Ycm;jUhDa&?d2Lj?8B=+y@YUEpyFSg@USCj7 z=_lnzSCl}{J7D{fMf>63l%iNG`?2@jow8$`-K*ZIe@m}5&oYoO!GlY(%+XnkRtvr* z(&}W>t9e^<Ht4Gc#Tpr16_+Qba4G(oqsm2(8#OCxtzVKXyL!CdkT-=W&S?JfJVaL~ zm#;0}s+P&G^=>nPOLfeJ+qZtPap-FGdQ&xc7FM0?#=2B%&Ss#GUbBEp$u9a<Eqdsp zSJYd-f9U&nb$Gqe**#ONPTr|pLRhek|7k0zlChF?l(m4jd>-&EUG%VsU3u90g@>vu z-Rq6?t+S=-<m~u)N(;7HfyOmccnfIQ7q#fo^U@ZW^e1Cis@Iztu@I%&)M6h$FT`J$ znwry-%-WRM4@T(4>SV_2`PLTY@TM8#%K*<uf9bYn`<wjMet2^a9-mHM{Qfu#!^0<d zxKfJSU3>YpID%U@;`)!k)t_Ae6el;)kLL*}ekn`=L=|2n0U`kq?5Pqd{*q&cQXfhW z?gvQ$LJKeC01@|j^tA_7emY<h<9$-P;HP<Xzj-ogIl;~E)kzwgNl58}GafDBQ4UK7 zfBm?jWFUV&hufB+)Y3RG77et8(8Euf_esTqljf0Ov;0{5#N!!FJ?cY)#eaeeGG6~O zU6xQ+Mj3F#s}<kby!5V>cYwR=5xr~DcdJ+8<uxWFxpnthoIBY8U<!c5#eb5Blsy3Z zdjDe^>Y)X=;VTZC2U;vfR?M#VJk?&Ef4u!;GLpHIm#*0U8A#@z2Nbz30TlV(HJha) z`jft7AZbqOn<VF234GKMA6>ym^YKx0d{oeFi%KMOe3Zk651&R~3U7448<oeNF6-hg z0eFiu-ZGL4SdwG_3yUk>@)e&e=Ij#nukV}NR-MS;=TTeRSWOm7o02PZWjFWYe{sA| zbn6=J0*PlIZxbbuJAnnrO*gfcv<xk`e<eP#Z89R4qrtLi!|Iw8qyvCq05AcdkTogc z*`=1scvGbKgtgd%C;y);5&4lIIR1NysD~4$fbmwV2O}tP7XM>GNCQ)&Ci<VJte9V_ zlf+7-I3G;2>Lk&XIMxE?jx3@Ie`Up1p(>C?kWe~o0*W8WNgWEs2B1DfaT10~VNFn4 zQJmmVIjkcpF3JuZN``%pB8}uE3k6}XQJ0Z+RG}>Y;}_xSGG{8nSW3y2kpm&rvA6TT zs_51Eh&R2Sau4KbYS`l~sD|#4I%N?yjzvD)R(%)9_5Uv(?y_93W5oZQf1NB8jQxY! zjVz)FrNS<t+#`#~FPt$os*@lk4xALmOw9<a#Dcqxp;t2kDRJU-F`KHX#7ZPM56m0Y zR3aq;oIhqzm72JR07rv~R--0RqQQYMS8C^kJ?uCMjGme(xQ7|X12@#Sq1hc;{<1Ul z_QpnG?$TF1+t29Y?&3hxe-2p(hqlnMe&4j&2TNvA-8klv8_F5K_&nffC1eQ&=y4WJ z?IHS7JeU(jeOt;r*i0gr3ME3v%6BoutUzJxPEN_hVF-B*uFQ<lj9=x8H6)xiQRmgL zq=!|2_P9z+N{w<$GC{357>_U9pE2af08v1$zeOF7BrK&HR!$WTy|2@Cpnn5dA;QAQ zlj*O9AsmF2$uT2|6Hc)y1i0Q9zIZ#w*c8yTl{&pfju<Qs9BQPVc|QVBATMai$5P4M zl4wv5g&F$3kr<2=<V6ms98uUM*b5A(2ta|nr~u_I3JU{=l9v0>N=25iflgltT9+#3 zmMDRwqX8wFTe1R@#<QuM5`SBebR?iY<dnPyNyh@JE2o45Bpn5)e>o+GpdLyfUmPWD z3FJj)h9;{X!#qH)0#PChHxCL`N{2B<wJhdEh%tiP<R@hqJ8TZT$IGp|>ex4d;Y04} z#AigzZ?i0tVG8I<x46%|A#hM-U5vnK^wka6e!zzt<R*J$m#(q1j(<M`0ZHB^1@i`1 zL%GK&ymDMTC{?AQ_dQh)#UZwYm2EKsOjSxROKL!;88l^XZCYZ%#Hb>=(s8u|M~E#+ zWn9c`0u_C%2zc67eP6?m9L5CR<Kwn^=ELNSl~J8(6+{kamUMSH{dwW8#<3Qq&`bRI zImO;@#_1E`%1vwI4}Wc_6u<vHfw0cl!YOUu-yy8k?&*O~Kv6moGirU@yHa1fZ(On) zeC2|cJI`Xg-5uqGXcrleAi`FOeoWgDr;0!7uVcIA#UKB?XY|_V-aX1T*j<mfhZw3x z6o-?V;!spbJn<n7#UeLj%m22(b(uOwfDE>x0<rijHoY}y?0-lN>$wM+ITo948Z^$M zg1wf9SZs<-BL|JYP{I&0keOMrX~RKda!Q!3B*bD&Y?^)0SPcSG5`)b2h)wSe7(b(c zaR@^!8pWpD2aFqUz^()#GjGMFV+V}Clfzc{AQrh|)8+%l+~lwxUdT+M*fh_8@gp+W zYc5FrwOIGJ!G9k-)Pt7_kb2i7cXA9r!Bjkd5af~!HM1zSaSeG)>`@|<{F^sc8RQWu zliV%}vjyeX8}J^D8@nTS^7icbm00$OFg9i@`)?It9N>KC>af?ru9bwU%?6L_Gesb+ zq#oH-M~}ZIl!SoBrDl2z6~v|)QK_vuU+GycXiygAoquyWim{6zsX_B|1<yguCCES) za9K%Cv!XolL7~`HLXT>Eij0y6AOmqAm@kPFQO(5XcZ0MNd1T;&F(r&31AKl~@o9Dx zIesK8b`|W=EhCJ$2Sb3ZJb}Wb94c8<H}!#mp%7_ek9WX8)0`4MP%=KNm*g}v>I;6L zK`bj+YJUu<r)mPT1WLwdtrnlALDABcx@d+l#I6!}v{(7YXABt=B4hLRVg(pjs;M!? zaPIzxu@|5gb@f+qAM5&!m5{O8@qr2p+svW#sP}ZGe~r&-ATz`sX)ywGuWYkR6hM?x zGX_>;V&-fpaCxVWo?xsOczVrT)>H7ku>tbJ(|?;=Q*xROwY_K+pN?O1%R@6d$-$Js zR@JpHn8%E%k=Y*J+$56I9H?Qy5(#-s=#gGnChRt!Z4m$QOlthAi27lqUX}b@&T83M za|;E1NKT_gDATR_7XtU^2}d66*&22;mU20&h@sVw3BI+`5R+Y|H*SYggX&+58qFIe z34c#rf}RTBR~`s=^Ql^;==bKf<2m4V*29H^>?qQ68tj>^-<=cvu!?6QzszdX2(`x# zgw4B-Fzz8{yBRl#2kOMos>iK6J}DjKU1Rgld)D%s<&}-*rAKzYE6o*-i!(XSu@uyt z+Xl&e*d^<FKhNHC4mfshAMiOhpI&=U?0@{e>)6IzP<HMBINZs)#^?2Ws0#oG*8!FD zt^J;PAcvykIdehD6O$krt+<BEB!fA{D*1xVsTjn-yi`vCenKdTGIK%o6LTPkPYhyY z{$@`NfZd=6K_h(ouV)I!Pjm))9egrYZO0ebi@6T;M)>wPW&s>9(Cg$AwdxAsW`CM9 zY3I#TL!hSIyaqpJwu*CYAm9CgURR%YtNa{4f3EP;oN+ii<Ltht<y<Q3&z~nH&t8_T zSZF$J!_)(}Af{W8FM@q1f^TqkUMYn#ju8?vrpFaKqj?Dpeavhn=jK6MpS2(Avmc`? z&Mg3~nw#3_80sgjHSvK=l9{dg+<!7?tA$LGg{}07X3&<t(ZjpW(WgfqDTUw3^oHlT zdSLmy?r9CvNtDxFZ{-6==+6`*{0MP|o+*Xk0Z#E*`{Qh2uIvUC=KYE%`d$A>oF17( zZ$(5ieP83sHWXyprSx7M7<MaXK5>~du<>zAt0C#~U3Zea1$^h*R}hg&<bSpd3b7p= zc8f^J`h3v&GI9L+=BM0+$HYtpSKJEA^N(4W3Y2&(g+gqBEPa{#N+GsGfJm>o*(I{> z)NKFJBHwm5pm9NT>&{?ZQ2YD=cZZ$Z+@s}&E%(4I*EtqI@CWt`)J?TJeoWm8&9bfV zAI!Klddk{mxDg9o%dBDVGJjkLM1nrefkFyf_H!Y|{HA?o--kbwA6|{ty#a<1Hg2Tb zY5~LQhcnDWI7TS-dg(qHFFgLg2>I@4w%a%Ewra&DD5~~|S+!a<Q`Fw8X0_BRYR^(5 zN^CV+N+tGg?d_>iR1u@pt{oJ$YV&?0`JMNl_nh~f=Q;P~bA85j-GAQ%`J;NmfeNgy zJ>iAIRFr+16JGxTl`Orw#zf5=rlRRno2VJYRJ7`<6E)+QifRKoQPT}nThX)`e<q#V zBe)Jbca^+1?^$;w0Y5m|o4nIMTlX@52~4zjsPXIbQmsjAPx&VIpME#>QgYjI37Xoh zdWg~6N~aQHGwo^0l7B}NHC^GG9OcnJ5q~CMw%1aOcT($kJKwWziYR$T%-bZ9{<)@| z_RGy=3nq<Urt_61H@=r2aK9{jrMmR=>9`b4tx-KMwcux`GGa4+b4rr?6EzVQl2!F3 zBJl<JGNpQZjvAAm#ZRd$V?WI88$EZ4I_UgrkfowpF&1I`l7BpUNAWx3z*|{1Ev7AU z%j$@#6cdVHYNe(xA#wd(Uq0NIpz2m$_@#6EvT9QF#Bp$GyV`6zxOF6W!^d=Z=D*O^ zVb2Br^Kq>~w9BbVL3iXx@B5A!vG|xGn8-=PgHZ9yJE=>jOeWucU;N_rN!s@jO8hx9 zANtuOM5$cVvVT)<|E<74Wj%Awis_GAXR^BwRY_kw<*?&UhHZOuXd3wl$6T&U4CMbS z7mpW~itJJvH~gjPs|xFkhF)BluERtuiDecqGPpFBRgNP$Y|r0cmYu!3d?J1+T$;Yx zwM*jr<t!|?+EMmxQ%*cZ5Oip)ZIzOL{{oUKem_ioF@M;NHj-v)8>Dco5`MqC&LC2- z&B;%xiXiyHt}82&*5=#q5R>>N7M{$D6}g8k79V}OY6akb`~O55dSraVuj}?#)$002 ze7VJ0@L)Rd{PItUmZxNRWD<$^gy98CLuI4tzsntCx9L@v;zx=Qg|kmuE(vD|ah(_O z(z!Wbf`2#InB&ghQmpy@kqcDmes($WND6#<`Sa0<l@|TkCmXiQ^Wd|!;O*B#3){`Y z_Zm-OCG%3xKR^EH@{(a{>$rT-j`Sb$ft4-qV{ctk9tMuF;CHd!4gN?Icp1e+aYC6d zyi9mvD}9#0!)A|8U|DNX(1hBfr_i%0bgE7{uYa=q#)%7@JV+%^PYvu=Ny2cAp)%Xm zTu?~9i89xxYG@VFC--}qFf_Mqm_QDRe0RgwYMIPWczOOF@LzH|zw>97Obv+1w7^GC z_d}B-orMz;rSJ5?y9e~&ejm`oKe!sqRJE}SLnLY5B`#QSrcW+e<bG^Y@h#$Zvf>to z_<xnJ=6Ps?D%`i8%;y!Czm9FDPw}v*_!WR4eQnpqKR2<h{5>g_e#BQ@Vp@ixS3Nk7 z{-@#T^%QeC0`+oXHM&#TK+W05YQ6D_z3k#EBnG=T@CGedn@80?YY#QlrD%JaC2Q39 zp7Qw-LdLyXMro|mIE5i=d2PedlMxmv<$p~UJ1+E=i5sOiGc)EoH-@d&X7-)()~2EG zx`Yo&_*H6*!X)`kj~@7CFhVAT)K6PprVUU1Q29{#K{8g-RzGNPKTT4+s&p<R9n!K* zQlTP}rnpL{yHy-n&tL31k<#1r=(zdeGySCJS^u89Yq%K;c3S8L$DZHwdkdNKuz%LQ z=e7SUuP5Lxt>yT+U@a8_*6h6SdC4a>xjI9*U}xAx%~gFq&ywwwR_YM3YqgrCPHWx- z&I}lMJ1)a>!7~NF2A!>S^BpWtEAI0q-i<T3s8EJq-cB5;;Pi{;o3qi~@hj4L*`_>8 z^IdW+OWn}2iQR7sxQD?!iIUe@vVTJ^%hNLp5FP#rSvJ`UcVh~r-aSQX(%k|5toBDD zDs2AXXVlLskL?ql(O3&ZV9lI&D`YnFUOs$mqQsJ_96V(5?TNdWzDv>tsJ<dKndE~* zk*Iltj-MNw*~Al1rW7NcufA^w%a-`E>w!~nfv^yB_Ah-esGqiwRJyb-S%2D~LvDC; zlqT(N>-L_$vFNQaj#AmDw>ZRe&(tyJAw6ug=<#~uW+5^CHGN>Iv7mm7Ve6?TkNfNL zdRa*Tiwkn)30OO6e?{mdb<~o%mbana!CdOFedwK&R$f8s^Ep3rZYspGu@z%b8{|6f zw>J5*K1|&CY*uS;xTXux&3_&)Ztb?$`~*tVQ#T*K`N<amVf*;1bOFk;v-+mySDSYM z2cPq+QVb|lgQhJk>%B4KkjQNb37a>O0ci~^K56vjG7%3=_TsL}*FZV)BfhDRWIPBn z*?RZPGHm0`Jo%W|4kp(N1>`bb<x1HAVX;?X%$RZOuELl_fHLALEPsx1>uJ9kw#4M( zUFCLTa$B#$-T`6ygI8e?Ak1&%s&oL#qK&KE7$A2Plj}l@S>5rpWoDTziwW=&Y^zIb z^vuNEX?oB!k9ob&EdV8JtJALcw7~4U9^_i#y4Hx9(e<cBrZH~=4wxD21q3*$j~ikl z*1KPShHa!{(49ruUw`dhGe892sT<47`9^C%rW!O&Xjbn6ETPFIw-qL6UPtP~@&^u} zQ)R*oTe80@u-xbMr%#RlUO9}vI{YfMedXIazZGUN5P$lVWSl3WiQ=Erm7A>i^y|-7 z2N<$a@Y?B9dX+GM5p(!;;1j{&S303t!iQFj7@{xBpS0oM0Dq0vndOh%2vFS!m}%N# zad-tp7Nac=bphJc>v7-la~U$saH_ihzRse7X#9U=^OingEP!44c5~fN|Et;O0$fYH zu0{y@J8R^0UQbc`>KxrtHg<&B;iNaftn43Vs)MS-TGM5~X2w6-H~$$cbn6{tA!hj6 z{9wItCqq})Cx5s2NKtsS+@cGW9eA8#%PYms|NZChYF$N@hZ+=#CGdsf1(}gB%s<pD z_8;88)=&&7cU<^Tt0P;XK%4trjkcuH7;gv5^Qd5YR9*}(DIRRuvf6Jm&~zKJy6$7n zyWH4>{^!qoAbFzxM?}3m3tnPZT2~>^;14Oc{4#4<xqq}?R_`ATLfleNE27-uDUA<3 zi27Ok=rY)~<x=AR`Bz`WtR*pj^@Z>~ZP&lerpAF+10Uuo2^g<CE8SGY{H>Qap>J#w z1dtpLRH!Mxex$v-`q5(mbc>2B?7`|Q()S|Wk^$r8Yc+4@EoTG94=>UJ4o*)t*5;7f zKE}pgg@2|cq6*dAG&gq!ttsDshrt@0ohcRfjh8iUm2vM!L)-4EDU}eN7gL@aCI?8+ z-uxLlsUV~OfJSkqDz9=;pM9#pfNID}sbMNq?@6)23)O#&Wt(ha_fDc~=MAA0{GLv~ zYv*a9@w|%d$mtZv<$xgxQsP(o=ijGj7>iLI-+#&iwV@qg|8V1=H>Q5tNi7D%b=E4s zjb_78eIT+F!MS)8KWIpscDYtCvurHSbNRqwxh?6BxbSI0ZO8>O<r0d11d}aYGP)2& zRDe(5x_M|GC==~u4=>HR3OWGRYG-ikTpmpb3wmns;3Nwb4?6EDRI5%zX@kx?3r+W# z5r3a(Pd@0n?F%BTz$Y->el!!bf`4Bs$8=~sd{zfdrd*R#LDW)&%7|H4wxae|N7UVN zrhlz~c81NqsD51?f_eyQ=_s_^e}M3z^-t41M~gzYA^Uf72!;y6XN}RXm1B|GM6a_^ zgfWbd{h%z6eX1Ppq3&>5BlL4+&763mWq;c5qK<U0RnRmrS?f{ulQ*aW(ChDohWoOJ zLGVe8?gm;0`iX!4K~C*ZQ@8|gb%<^gni*=vyN{pqZ)hsK)c~!o%#5rfdR>MZkC9Sx zr9M$cxZc{NX;DC%!CGxcx%@LxJ|N5kQq7B%uTjer7gG|iX6}tB;YNoimmmTtrGMlR zH2UQcwOnFQ#5+BpVDrp|@_2+j_+T1gn%8FbA;^cT*Z*Xtj>Xwh+o9T+ay>_f#i2Tl zX1zpb4t2g&6@CI474{VD5Dh=!AC&`&dr&+uBV}XiyaV)<zd9Ig8j%-Hz$bPWXz7O& zK*V@~;$1iazu0}Cpb7VfjPe7;Vt=?l|7b>qK0ST%ox#bxZqaUf)}-@d_^GjjgB90) z0W?@m{S<^~1D~jyPNos#J1FkcGY_T_F~Bq?nFr~KVIY`;kF*u54Q(ZGe&R{i<4y7I z-g^MW2hrxv?3im8CP7n%w|vVv_A@3c=5q5a)X_e$ptMwPGK3uXB&_5|^MCM9qYQKZ z!l_|IYexCxnpoC+%d&eQJ>nTGD^>qc3;G4Ru{7Eert=(TnWlf}4Q=AzPyE<eN99<6 zvU6XbRkPQI$pQ^J=qBwXYr$53_&+@~$pbG}_E80d@bYL&qK+~`2_VYzmY^0;%<CJ( zw0zZ^p7b>vM6{57d$f?_IDd6<De5_*snFsPZvko%Q)m{#q1xeFNN-w1F-V4?F?up3 zycn`?0I-cIi_1~ND2q`c9)m{+TMS#dFdsUIVM7oq2)jo<x=rCag0R%L03w2L2Afg= zdZlnj{_1-`ED7;XU3){oh@P^z1hozr4e(T#L7kvJx)0+~-k>tF8GofsbLbR*wJgB) zAll4Ec>p1qnuS@=L5w9Gf|=GoM0Xsm0X?AgPt-j`lR_Ut_NjC33_+n}VE+%g753K= z5uld#LT#~6Ivg-d+fiYuOw<6V<(s{{CnLfF>>oDtAKCz_3HE<C^cxL^Hu3G#<sgQ7 z!_)cpC35D6RKvaX(SOX!97q~saecIfGDi*#k+?BhMw#$&H;p3#bs9q+6~{5EhoHC> z8yCkls)nFoh>eY78#P75GsMQnagQn^q;sN%bi*h4_K9<PhZ4j8>7eynb;`2|8@2Mv zd}JJ3SaOsvzz6a^@aezOYUtarfkdV(u5ck^w0pz+6Ad&UtbbgsVJv_OQ9|1&wQRcm zn8+drB@P+)m(xI-!pb!p#!lIw;}B1a?=`a&)Qy&i4gK<ipf@No&`g4R+2MJ(mLYmH z*{Nll!!sRPkY~Q}S{N}xD<uP11rYTc+Xn$U9~#qaR49hTUaf<G0p@e6Xj0gK3SdqS zwd3=A0g&owq<_>AReI$It+A*HP-Z4zUKT!Jh@J*?u56xJ&{d4NC}NLRN)9m3AhO6t z;bW{+&`_9=24F=1rH6Rx0#@TRjV6d_V9wS!6c@-M39zaO7cxW-16CDmo*$rT7%OoE zKe&+{uqq+4C_<$KzH3WbH#IRGhZZ}fCHzt5YSLYw?0*V((L-}vb`*nUxj#Ox%t-n3 z;z>tCxQjkoqNr?@ve5!Dl~<dki6)0_B~1F$As*5;>N{?H2;b5}3l){wQ8t<(n(}Ie zI6dQ`hbqn%@@PxgR?4K*C)8`u3{TC0C$x^&GkSDvglMK1RTe01T=3Uzn>}f!!sf7V zr#S(I2Y-@b?cTcqrQqAozCE}{ER&0Rbk^jgca*xOmLyYZL(#Wwseq<}-ASBl`G{hN z(d~R6FCO`GFG*0hlB;r8omi$AmD3y{L?;X?*yTv_XE-X5j?IGdIsre`s{MFqii>nK zH^}=`!)tZznKrblXo!Cr71nwcOb~~!^BQzFj(_~|g?iBzJIy$F-$ppoPL2VH33Lil zoe6M6!k@vO0ivAaA|2+~wx!ey-XK1MOTN!r$XA?lw0t(DO}sxA3!pIQh7iaDAh9{k zD9sZA9A4WQC2MkkV(=6|UI9p9k{3N}B77K>R|bgKlXZEY0~Brq6b1r-+scJ12zJ0l zlz+(3sIU^Cu7$gUmH~ea4g)0w-<SnBpSrkM#}J_C6B+6krUR5m#D>O&jX=>G4yWa- z-9p}t(jkL6n)v9xSK-y;tptD&;JXL90y*7E=d{6_<XmAhWoA51&Q=`;efXEL(X^TO zwo0YHnvwR{-B6SkXp^(%;2QA8cVw;cx_=M6@5PfRrDA}$J)^2Kvzomf%sX{bDjfLs z$*AtktYJ?N^G=+UiqxTjrK>nItJoXEyi+C{<$&)glS7S@Qg3u#!`An9{=C>h>Od>= z)y53sR=)z@4eTW!WD!z1=Ie+)$l@RtH!gf|>EaVj58L5%Lf8l{`E*k`7U`%T&wqRg zH-(JCjaxrS^?yq!tx2j$O^L^RH;`zKc(g`qJ2Nb9fDk{^q5wY{&8TB@*FB0w5y6yu z0<x@#nF~=NyIHIBP!3u~$hKb)w`T&Bus0y<6A^PM>MPKB4CMl)08|ztW+aLY00N*n zU`DQOKM88aGL*gF;2tvq0sI0J-G5#e9sv>4nB5C}WM2>MSe6+a4=2|_UkkE)m^51( zD<BA4F#t8`S$i3{9!uq0K*ZQaA0h5I7=xPrI+?%-@&JU}^D~sNFS<XIIM&#{x%t;i zMf*@F%~IEkM^GBtbY5WzCd%|&6sO;p1{y>!NHdi_<A9jC7=_q<=J6Ps34dNs_RiCZ zN6msZGrjXZ;~`#yCwVs2tOKB#wCf+e(^lT=(8C&YXY%|WLQnasT_-Kl>$pY@5#<g( z4&~~F8PJ*Gtzq-GIzF(*f|<Mq3B);gQg~CSf9e%aC{$(y=ta*J@{jTZ5LKJ5aiI@j z;N(6Y27Qgug*Dv)uV(-$&wsZ3bqcM3UWo_LJICl^fgWQ5&?}xk$VOdY^4cvZKx7(C z>K<{Y^k7EJbl<1LN>K^b0aHeuGCd$N&89kM7zX+bK&i_v9I%LjnW<V*A0~*W{A7!> zx<_3ilw)|y*^FyTLJ$2PMksHe3*{IAAUH|^#GLHyIwgRhhN)plDt~B77`ZCo8G+)3 zDdz!BZ&3CyWsGH8A%rOh^&T+z$AkC+ip3-cQ!*A{eh<!|UVyz6Ki|6lQ!DOWABxYV z0?at5iPm+v-I2g2kiwjA^=9u8ihz+=r@<hVd*bc1c(zdV<}&>Fx(^v|b((qH+-muC zm{gDRe*xiAe51N9C4aMAqpAokce4X>L<{Yt831rs$3sv5!cNg*TRj9x{RXESK1&|Z zD)8>ZT?WJi=$QF988weN!cn(jXK_o!%!q`!#CYO<!=B9^pX+qfB%A?yGA**b_=h=+ z?L#SAVR>q`23f&}T>I5f?Y<5#dT1XQ*%nluIwhIiWG?04Eq|0L_Q&#M)#YJ|)+8$T z@m==J47Kiu0+|z;+YQR*FAH}N@5V-*Chyy)d>1RDOV!HwTP>o^v{QXudt<Nqj&|MZ znzwXW-^iqNS=UIqwBU+^c$U$;gKZYwu2{#2nzZJQ*iz9{XBVU`YE$&`k))e|@ex(d zG#Rh6wZ&|E@PE1M%<aZ0{*&0mGbWA?vA@?|WsxthJ<TFtTDz9@Z_$Az>)(O{MV9xf zgK?Jk{F<!vX6FdGwDaJIx3qKL$e6Tq*9e`o^S6=92YJJYq>oFhFKkvm-uUp~JA$nt z1>gOy)6Unk@w5GeX~VB`pC>(CD9O(6=85VL-y7lh{eNLxv;2*gjQ+_xizA*U15xvY z2$JDf3XDQ39rzCQtYq}L^Pyt@f6%v%Y`b=TMxuEt!e{EQ3)8G~N0ama1@rgqcc<|1 z9`9LwnT*(COE)r$GB*2C8W(V?P?=yG`ETm><X0I8jhYBw+honRmam*jJVs{$^m>`M zy%Y_61%D#yg+hW~AD3x4>mHYBIM<$y<x7|aIq6-No*CuVB6rnS{}pp;s+sYNiVeT9 zi~DD<!7Cb{XS2d@c~9iw^Rwv{arXoCw+=8WAaA&VF5g0jW4u10F&)oe;c?#$(^?Wi zCMSD)jfqO`Y5`XV4WIy*3FjVc8r8O1kmOnMY=4>LJE`>r71&yQPu*1RvkzM!u)VBh z5Il|cjJ0`Uy=8Y2+rF+qIVRK+i;Ru;7O*go(r&jmP9HpnBH4wX>GVh0Z`dzAF0!XE zJ)KBVRBXD<%v>4i&7Ypgt^1HVGe>cijuW{iF!@e#oLBcPE7cIXx!pW~+M+~}SwSpR zDSsdlEycBd6NzUs8lpJPKQznAIDm}fl=>Nct5p3<<mK1yKq9HcLf$Z>HfeNWb<T!K zwPci0$)^$qZe*27HAPfb?|iyxqcgJ7XRl#^)88n{G$eA>!$PInJj$DpYH;-**AR%y zk&HcS(2HwGjmr@aQ*jLOuis}T>5lrQM}N1{_zrEzB}Jgf^Toam%HWb28AmV_C9tl` zo0C8@q{}K5q9j9NEA7tikI-Xh{DwbWqNB{sgIrY*OIB(jv5f&5<cg0>MhkS5`FW5B ztc*X9t(>NL1EHy}hMBvWX5$qld3E_I$$oRpeo~a=8`@%BA4Fc~Y*dXh4J%}c{(r|a z)UP&5p!oWUSc0O{&nK@)KH^J%Vp2qUS#~~^E?wiHBjX<OugRyk)-<lNCyL6_cVcu% z5^otqB4GE1A3hm*_lmB$qwM=#>Y4n1TWY`Z3yvZwR>_Q={Heaj`LO%QBR(qhrVqST z{zE_)%Q~d47S0sJ7){POB)Gn634i<K@%Aa_<wvQTcDe7whu-;EZ?RIh6e^M^R7*ya zuQ=-=@mbe9k<`>JrHXqBqlrpyUx^zdM-)awlyd6R`G=Yf*e9cvavIY4b%pP1Z9Wl; zC~1%~bcxWnr8X}50=riz_h6ww{`%l@)l+i>>9)2aPZ*krE><`><RS{qNq^aIJroYz z3FJi5D0tqF=B9%Svr=VVLrw^!8}O2cD0)gqzW8GAj_}2&9&%$%??pZ$Sq|5X8?nXj zJzk0%0lSd@{CXy%LB4WRb}gR{UcpYqe2de`9qBOrqFCJP&9nLAX-^l?ltPY3Us=hp zDGlIt20VP`Z&SI70b#(4M1Qp5PcmA7@^ugLiMe+p`+ur+JfA$PFyPC=72%z)(jT{% zixGwC58t<?^{=iZ*vHU|nCK<E)DI}$ZQxK5Gc8k9JflFb7VqM@dfu7g9yZUrbK(7x zhd1Mng1h7po>t<WSGQ~~4l9qR%}<W^MvuK{rdxLcUG78;7$eVr(|?mMzWe+9#Cq3) z*7I*h8m#~H)>foVmZa)RuyDp8vufLz7_2U{%S9=$fEnLY5ltsOnd0K;5SOCi^ws-y z7gI2}Y|Gf|#UH}A;j12PJ1PTuiO#>3+7y<L$iAnpdeEaxwRPX-W@-kVxV4s=t01*U zwWA}))%FaJ50pL0Q-3yDx8>%4k}V_^q)86lMvA5BoRsj%wJMUW@%~vRyVm>y__7TI ze=*XwnQc#)zaPXa^^vz<WcfU&t$6pjPPJ&+F;q#|M$I(|$$_4`vpoI-KLA|uA?qXZ z+rDeO(Z0Rx{Gh7-jKSlrkDl%>e*;})`m;(DH|B4e4t7V(CV%eo#`wB{;&-0a6CWj} z>@U%YBLw{gU>kv?<?3QqDOO~As0?49%WtzY(jHH0mJ`9%S+($5TQv&!kdR_`xXM~Z z@mXUsY{x{Y`(B=p*-(RGL2lx(mEm!}cswn<fKTeDMUwC52C07T|A_nc8er9Jb;TTw zl<T0u)zpy*Z-1ESh`aq4;B^rzv7*M6o~jk(lHPP<_sEVbooK3auOVM)l(d+zz>1$M z?@UyZP;TgtrDf-D9{cLxXFj~*;XfUJ4vhBYihi*Fa5CeX%|2q`E2V!+rVKn6`izL5 z@3DHy!b2TWTcs2<B<~jy+`6=oPNoPv7Zw`mvZmp-AAhcQb`36RqATie4hg#1l~Gzq zE0YhF3km(>r&J!F<FJ`MD>1qW{93s%OE8G!8WwpR_Gh8sXYX-g3<bin#5WTf-+M&K zh@x*RM=joy4Uf3~jRmqF4m7`p?bv~2Ad8Red#ukIpxPMP2U=Gh`y!yZW4=QV5`4GA zCe|!+p?`<4vk4?3ql~79?3cz+Kvp7@5X*oUK~9%C*pv-$ATm2Egz|S0D&oy8bc0Q~ zWLX)7=;g{$jyu<fSeuTBVPlaLkr69h+I-bUf%0P6!a-_?g9SXK^_v|%SQZTnB^gz0 z8f3ru=#9O(^;ry57@#Y2d>iE3XFpt(5Z+y!8-LE@nN?W7v+7j;C%FG7SBx@Wmt^)) z1Z@#oKJSPQJXeX-6N5rp@w$9UdlU(IyOecaCV#W8*9)Y8Z|Aw)$_IZ6SrB!&9l_VN zntf!>_?AuW%XKhQjMHrb{;ngnsL+)nw_CYj8hH^!5`gh_De7q0yn7nWE|(wo-9A|_ z7=JXiUz9w=rnZC6+nR8XPZut%u#=ZO^i^$#mbZ1`TXWuI{=1*zCBm&A5}c(}At&=B z<P-TcDM-0io<wIU1qi|K4Z7KUBgc#&T}>D5`pn$T9OsiK5dRRQ)$iIho;)-e{32v3 zpCtuJ!AtPU@#~gj23?`d5rfMD<Rqka&42H}{4havD=99?*<TBChoEbyQgb6^flB9g z$JFSgv%bmTuyDC<z+X}G^fTddBe<3Pe6U-J-WdpV80|bNoK+Op{^(WCUp=nFXgl7n zj%?qCq|+{#+71z~<-F90(fPvZx-M0)TjZa`0JV^|`v(7ZEb{)d@;n9yfOmSLK7U)P zwAC9qv$RUAajl**=KWVEyywfQ+{7+N9X9asSZ#)Btj=KI<4DSa!~MD}tp+P;O~_ct zGlt7&A!@&T-v}{$Vhp^0WbmWsaL>yeA<W6NB-<Ltzn|wO$#zJ6qK2r5X(DcTiy8;L zcAnPknF(*zMKdkBK)2ZU%b;_NK7TasJcw=Z$v}NZ!b2iw1N$66S4VSViP(+>bg|no z@W~ILXLf9<f*8a=FaVl-3Rv}re=D)8*h%{AMuQ**X(oinG7)PU*dsY#PRrXTLEmv& zFZx5@(WVO!GmTS886X~USudIf4eQ#&IbWK0#6JLOri90q5Nqn&rvreJeSaf#1YoE^ zMZxK8#7xGNQW}U3z{4FXN}CQLW=f+<&qE2Q{;E$#y@b6`Z~w*>PHv2T1px1XU(r`o z+P^7<$LXMn03ZQn4qH)c|ArsF0uj@umKfmi%!NL7FYz{f;{vNvm0<tRn4E+2>8b#f z5aO9|^Up_B^ivo)xjC6kn14<blq;kJK|+lA;E5@SV)g6|emkNAp*ggS%=L`^QV0=H zEHS{1W%-YmkqJQf5N@DY5&(grLO{&70OTGb5fr;5k<sm(BI8{%A8;Tr%Sr$J%`3*= zEEPtDDg@ypW^a94peeTU_ZtWoR>9wU-@Mwr-8sl_phOV<PM<AE0e?|^kM(0S`A#&* z@ZI(KrG!W;y~0LPA|5NHvr4GA4WJWQFa{Sx@Y;QryJ=wT43x9sEZX2=E&!Nc)rZw4 zUZ0OW?o~oe-eV243v$;jj3Xs-?bH?m{Y(M;a--7ttIGhtOU-5|ViV)%y^sQO7RGDu zZKtl#vnOPr)cTg@8-EKu0D2F?7ivJ8ynyxZ$L_H8J$dsxGloWq+6#okHv%so2M<O0 zu*-Sz?fZs2+|l}7pasj~eZ@1o6UfcKZv#Mai_Z+vMK^pFnESelQNx&`11LuDw>lrD zM;jt+F$Ekb>_F2|KAiL=&_TL-8rM6Bxkrj^eR~(?LDI&T@P7+F_veA%2_%A5EB8hp z>YhZ$t<r}N7)M_4ecvp)6V9Snrxvep$$-y|+8hg0+qub`o<FlWhNrf}$?L7&WcK|u zWdWiirrtz2f13OZ!kb<&6ZW^|q?Q6KIJ~8BbSKY*0G{e_$QoFuCyfU;!c=K`(j@RP zOjV#KK&X^a9e+UC`Uqn52I)L0Lo`<oE|LF2IG+BmIHtTje48oY>+=B;J!NA^m$dKl zS!ME2iW=K_ZmF-*cQh#PJq6r2FGk21j-TzT`5k>P&x8m*4giL5QTd&S<RLCKH*Vh9 z<a>=KkbxUrW_rr>kgkbrU$gIMXx@EVu&^3~MK-t~WPgE6D5u4MVW#*_dTKidp;D|4 z!weGKC%@y3{*Hc;=Su+I4lj>!(SzG5?6?4sa-In}{19raEXmsi(rIRCyw_+6F$!G> zL!}IPseJ)~St80~$_?Q^vybk3+Iq(7m0nkp1&SKIQv5C+1b<FR@-rD;zVCt#3GLI= zsmIevnSXlk&DwibQ%AL}U5Gh8nN>aqd8pq48vc+Ug4RnO>fS22#%o;TKCFQ&CeWqV z8%L<sw04PNVq{k(^3un7sbUa*_6DvR9P;uV5T`6#murMG;RQ^}PanIhJLcc53r`#r zEK0;NF!tqp7VhfB1-MQsuH%T)^5KH*mu!-*+<y(Uup{av<Cu4`BdSW^PHx;O1)MI~ z5lg8CYB&Yv8kA|5Q_pNg>cVYh>z1^mfq1*N(CH*AftA$y9f`__Pl79{cXu1O<ep%2 zgQ~Ghojnz~8t{1b8TN*Tu;*@O*VPzHX3^N2lBB~9UI7@@v$^V-wN(NRg~;h7IUwWn z4}ZYQ1!vWdvnT;94jJo!F${pMr<=@=Ei!;n52=lVBsGB9bKvgk8bHUK5Lqe>JfWx! z`BK(8o3O6wB<YpZK){YOzJco#Fmdm5m)-(kfZg|IyHVKo-_ILTAYh(&V4fwBx+M-^ zPQM?5Y@)z@CUs9IQ3zo1*I3+d@R^J19e)q?*_!uPZ693Q8Q1Q^wX3mhNnG0t*Y3x* zEpcjNT-z4c?!~onYI<DT57!>Rw#Uq{n@C~dCr;P}oiJ?4G_&1O>}Jx>0lckYI*A9j z8Zpjh8f$Z>3Y+p6+qS`7h(IkCR>Iv=B+l(6HiZIrjbWH}MxWr57g$^yH<TVO<bOL5 z66DdqRf2O*!P=B!R+}?-=>_42$2=WiNNTCIq$|Q7+%^{2-E*t3x<8m@05|{}qsoJo zui0Z^W-QxA7z-nI234<iv`==tdW_VJI`5aR7!&~GxPLkIM<sU657;%`-(z!re8$3S zSoj|9f#sWGw*zDSAB<vQZrswGSbz8fZW<cgG|gDg7~DmF!Fhheij{F<UYutq7FNSO z^rtwu2gk-u5P~yl$HL^edFOHP4=fykV^d;H7;q0S3^!Od?owT`Fdpu%+pzFHZr)a` z=WsXH(;s*BxI12Rz=~yXo^m)C_ja4X!0)D$$k+20)DF^*f_ybUrqZ|ZCx0)v>45v9 z=a$vJP=miTAH6n$7=<A*g)(I9%`+drohA*)SST_RKuqI%uXSbZF8CDPu_lB6+g&(> z<oS|=1A3$9cv2Sps_`&I^1^i;o29PpMYSDP-b!<2=IEmr9Hn-JH&f9&53*f)*0mhX zN1x?c-dtDKLq;b*6XEqvrGI{{2uXeOj2<%dHf2FqM{M4CvZ-fIXh=dP|1l)QpJyWf z@c}QFj@a*m&76VB#|I|&J{#|+inyyMFF4#%I;d_2S(Sn}<iBWH!i4qU<8Jq>nnA&e z5ZN1Dk9Yabtn-dY!Jm3d{=Q9K@X!eqs%U31fRknaVg(8vxUl>VtbZBQW(8@z*_8&c zW%EpK!j*u+GS7q*-WBNd^GRlnPZ9lU{MYC`W0M&r;48j^#q1zMDakDzIFI~JdNO(w z^OJuzfx1N#l69jiqgnH`xa!}XJj)yFX*L;KM)1c9JNGIoN~D}5*L9PhQlKFlr&{rE zZYr@%bi1Y?g?UfD%YO#tfY0TJv<D_DfX^DdCw)&&Q}jr$bzOVl)MfxbSNPKQvBrwG zOJ0XGxyn0CkMu?t>jNh_WBAwXTLN~QcK*{4UjN=Fr$sLO^7E~zVa=3d3N;z>(QR7` zhSwF~MTH&NDT+q=W1rya)|up~Me$bwNB3Nd!7QQeX~*T5&woCFA0&0*vDtrZTcd%` zS|F>H*pvlAouhkmPk@iBFyTFAwH+D^$pHRIVZN_9MDOedKza!2+5j|`R*>0iO0Gtu zaoz@SNd-63cz7ApPknfuyqf@jZM3%zyiURGHh*n=tufq3-c6c+UFnNnGYOo=L|1N7 z3o?t>6;*0a>3>`T-VR&fG!lca^K}ts-~T`xn<J&RL&d9Qt@N(2RxgkguK#36Y*HDr zn|(i)wjXIKC3XW`P=!<iL%IsG-w(o#bTu&#o5W;Dsu%(O6!wgbe^R*%^9j-JGt$Dq z0@8Y|>qDtMi=jU7vCoZ;UrNz1Wx+}3V?SI=mp1Q+0Drvt_g2^W=)rUCybGGqwmu8( zhj~XN;NTvWHJN1gYa9!o7D(+&zy#pnsGQtbz3^<JTtSC2FdbNVGHE7-9UrbUnPjA< zdkw_ztg4<@coUvn%_W$;AbI~z+pY0bF=P0g!cK{sv#My`5gxehi$3ygW6)CaiJ8tP zv)&Y4+kd<xa<Gm1h1+BLKWQrz61IV#tzLuvm28Kdb5i^u+&i<Fe`019_>(RoWHW&? z$nZAF0mVrB)`U6KsAGC&Lh$4un3`|wuFqU*X@T|DtYG5Lo|$di>wVw59;tdRB~0f` zw5^hwCCwBMeD1DY$}aHW`BJ)%ma`lhvPwwF34eAsio3v@Xk&BythJ@kW*S`SZ@_Vw z@HnW0^+uHd#~)X<*He@3<F$9YT;6gPyLgZd%0)Ibz1|}mbT%9Nd-hJOIilfVUFm$o z^FGF`CO)ZyzI&^jl-?>^iEWFc!ZICq{%suC{c7_dcg&nd_8TsHx+FL$IWEx|8x-{E zg@1Cnc&cnIw5>&^b$xard))kdi#qsy@!zep?ejfmcjJ@bEhn>M>Xuh~v3q$LbT%E+ zN<oMUZ`#W(+sh*#rj_zvNe5y-ELyoL85>IYq*lK}1GwVdRkl{z);=~lI2A9GdDOk^ zRnT5-?Nf<ut3l>mMr*6hzE8{vKA3a!IDe!Ve&)1PURJmx+{d0Gu*%jTdYM0h6lnC@ zu!j$vv^l{CF6}gj+WRGy?2JdjnO|%*haOy(LZn>#(vco@rI5yK<aeD^I-xI9kKD&E zHMOP2ZR?78(M%G?4I>SC41SFbUy!DjbYg#YCwL|qrhb(1e`$v>^Zyw74_}J$eSd5F z9sKH-JW<yzT`XE%I}*NK?C?Y%FLs_WJiGXPH`w&O9Q%(?Br6nndpvKBGiJ}atS)MW zgS*n&#`~;RmR<kuj(Z<BHJ;&HTo?%jZ)eEG_OX0j9-P^I>wHXd`>c%YqL?69F03v2 z>s)8Hs$IG#qPJCSP5Ir{Suf<G9)BFH441pr^(kT@UVdja>u8bd>;v@$E3xLc5t|#D z+`~51n%pBxI_VmSUW0UvoL<lLAKAUuXY*tiodm%eVR9J?@BGB(DfV{>1CR0A&aOYb zkiQ!&|6cCy4;I!HSNgrW*kcmLGZ@*$4Z>hVSljQfR!2vn+*ZR&{ONxWbAOKl^v`7Y zE|7G=D2GBH@BF~~ds;#X$Fh8e{+f4umgBvL!rS7`GJWpQ9eKVWCePIXk(1k~6@cDe zsz%;f)_oOe32g)Qg|79qC*K?qfjyO~l;`^DsloPsYo0P}?LGAF%p*2}tH!#8Mkoeg zKB(ZnVhH77zb5n50*YZP@qgoWmY+!RJ;E-uMHt10oUehm@1>n(bQM)TeZYv{^VOXg zcDKQmG@@4ocJ~1ssnWjm9{PTIfb9xsP{y2I*6#anXfpe`(v+m6GZp(`x5T@_$dv(N z5yv>lx#7FHPDLu+3xV6`YdLt|BM$Y)-Kd%WyZrT-P^ZlUsCpg~qJJnuIi=nt#*=4X z{J|9ie{qH7!T%66w(x~?qObXMa!LK}qRhdYo=-(zgSV?8@opWXuYpjw0zQK&Vo9fO zL3e+4J@E?F_q`U&mOFII4FN5wav^0qK3HIfjoHoIg5EprN}=@^+W1D9q<?n+vL84W z%f^{uZLWl%uLOvG;D5zpP|JI-=S01~ZyD>O^GcZ>sciMsH$sIm>Pn+;U7(gEOgoKX zTnAl*Y3C2r=R?&oF=Q{JIAB==jUwSTbg@p5Y5^c-m^IRu36|9hfMTKS<z=X<Cz25f z5mudEVACwX$l;H&xiNwk0}_OEPVrUW!-#%G`96dhx%o)Vaet(et#hY2PHXj!*;rU` zL_0MXK%J_}%M;}!Tq;nFFQ0hIKT&Fx1a2wy82u&y8bkhcDF<(hT;%Hd>iIF(XQb}= zUnZL}VLf{)`prXt@=8fy=z87TTg<=VCP+LN5)rBYXul{DPBT99e8c}NioBi+kQsMU zGr#hP9J(G8=zsa2CPq#oA5zjINj<5}ygr*W?16{4b8?nk_}>Qc!6-hbZpb**dLC+n zn$}kkF$;Q)0VvmVP<8+yj%WqF7SatdrdlsR5k!HSlXQY%tqFzy@e`5hpw$83BkBSq z2(nOWjX}x7=-!sITYWda2Q#;^EkRR5!)V<TQ_6CpiGRs-dz6f7V};no4A|(OOb9h5 zpzdNwr|28d4zG;cjqpHO1fC}zEl}LCBJ;xQT6`&_PkXG8)ITJc^o!*Vt7o`NV&}yx zIYdLF5=gSYH2e8S8>7!Z?2M$TpS{56NPF^C+s;<=`ozFObn*{E@5Cp9twx`SsedVz zw;qwd=6_asI?fWlWz<9Hty>1)*2SFv+yg71vY(?v=NC@FyCD|IeDre_2^4>jDvd|i zVS!KS@r%Au@Gapr@xsJgO~$#2{~(FRkEks&I6p`4S}m%3IVa`6X@9=hcO{l)vMR@j ze@z~NFk;);Z^jsLE4OC~CS~h%v4j>N8iXx6VSfY$+lw2RbJVnRoFCv7#zwj7V8-eL z&lO^fVnU9%uciQZN@57SGpwyjY%IT&hKP2`K*#?HIX+yR!Z?5%DCe%Cn<I|2FbbGV zrw>Fd?QP9;wxd&z-+i@}_9kep@3%g%`@DseS4c!0R}qQ8UJ(i2BMpmJ+AkL_XXH9Z z@qd+Ny{zRUi%4Pu*P)k``t-MsM`1y1`9gPhi%4Dr%%@BG^e5r(8~^Jm5ZWgf@d`=Q zwm{Q9Tff2asZkz}<lU76;xX2t{eQ|CoU##yReF+PmA@phkqC8M<SI52|3v6T(iQU$ z^RxBPfX}I;FfG8VzArpi$dgpW>#GGfr+*jX5iZ6O)9UtAwXkQwPV(6f=huW=K?aH) zU@`(bKs7OT0DHjxMh;w$o*Xa@u?3b_#3=dHEPlxVqoo2;Bis&xxE)M!+lx`)Ea-5V zX1L4|T&4w1kd71lp~ezX_c2S;<_VFK;4<xTdnDktXSB=66}nr@>lG4+R9(N(7k{{Z zqd^^ewIR|)s_UUmT;;4@AwSYFC1Gj`1u)9j89d{J#=nTK*r_Q<u~Tm|VTYI%#SYQz zboXj%-|nYZ!~CuNdgVJW7>1o8g8)0dB+j4$XJGk114o=e7tWv(YY-y4os@f}5N5<F z?qU_vt~kX2Rv|w(qM~<|;f2ep!GE3Nxbr9OG{l`LxRVxlF5^x+GVF|lx3Gz+48Uoi zyMAM4oCo{j-WN;2-sHSP7#2~-X|yq1K$9hgo6;IL<uq=u_qdDE#a#?F?tF$j*|Fyh zm@N<X6_ZVIj~koehikn~;8nc}k-Z0m6e36Q{R=hq=uKAi>Cw9Pu`Tp<DSuV$&@!Gl z`MzfsFtmFSiL`s=AF+}_VID)CuOaTbzY^!<`NZ@rAC4{x@xsWHMq$kLgSv<?E-&i< z=tEuu_97Aygg=NGIH#y4brHHrjh_V(C!kp1-P0KEt!H1DD>TYBDvz*EYShV?>s}Y4 zY2bbN2(9DQ^6HqFqS&sEfPd4eaO}6ffH(W73WBOR;_c6jR8f^Nlit7^9Z+Z!{}RnD zI?DCj1nsBqwF|3+s8pZc&(xuZ0bPgie}+9gcR#8XmOy1g=E2Yz@Gdba56yZxK)xR} zm&gZbwK%JzG2NR`O-#3&X3~)D(|@mSBl1DVHzS@CZ{}mj5jvuPBY)oOKN2oiVz>?o zCLuB06yvc%<(P-bS1+u=SP?;;F;*T#HJJF@TJ{te?#rzwI>47d7P9a$Uus~#y#1}^ zD<+6xnzj^Up;edzSXeV6T4(_aed5iW61J&)7TvNra1dUF4WWv~!(^+fjY&Q)=X-50 z#+~y=YgEtDE$Tk#jem3RI(g5$P_xMRuVTWhgV)Ph8X%D6jMlgT1j!h^@oyNyT{R1) zj*1CdMh-7$F&`;jF{5?Hn);o@V!2;19s#|wxhH25mUWHp(t}HNJB>e=#RVWv=f!r@ zZ)q0oik$pzc?lW|pQjl*4DCs;bO@>`8QKq-NoREk7K4}Vhku%+^E(8;E2cOM1xUAd z2=c|i?T1dKXMyf*+F>Mx@BUje1Fr8t<tGqnW+3<-g^c&L0jk@14ny75_HkwgqQ#2Q zsUygF7u~YR)&Q!CY$d{arJ9Kt+KOByj%j4$pWkrfomb>__77`cca`|D#+X&h1avKk zOACAxEbb<#@_!YiNOFr_ui_W9?0)oO8cFcdHhO&T8e?4{)#=>As_*?+v(fjO9y=t` z<=+Gy+vCcQl<wFr(uvzLnVeC_H@HQofAJ9r`tbO7y^`S3i1QA=^qp=&$1WDfXshL+ z2QIo!7x%5s7~_AsMNcZrp^)Q#OL1nSadVB`u7B^}sedb!Y0HV9Thf(r(IpJZVQK5{ z2$)7{on6QUy(K)YcLTjto;QO^jidLbb`Ha}cUDcwO!x5wrG9>L>Z(RRHuX%G8!sL~ zezG50t43E(4j^m4L`KAc{h}GkCLF33g9RNgYYLr<4*#@CR9!v>{wI#ByiD)D=;(j$ z^Kt@mYJY|IYwpFhsnLy^nh%v1MNJO+8$-dRj|-bg&@8{aB)nE$I@6^GuPaTA^6s)M zqkoN7>H2JGEld;|gxGPE$uP~0ysZ!vED_F%h1yLNn#&L|mVM5P?@2lPd^zmb$8rB& z?^)5^V8$Px$oKZFgRcJ&4nG!sdZF=Nj$~yp;(yrV>BWur!9*)85yy|822uSGjyUGJ zd&&Dlj$kjo<BaC+CFc)0{Jp{d0{Jq2(Ek_6^<KLpkZ#4PJ@DpU&C?*J_Z4`1dk(Wt zB;0>;EpTpTGFVoN>8}iDd+@k9{Bjo}lcJ$cix6BLzCWI=-jV9z@bNh+&$_Qctnrp7 zrhgwhKw!aG`%(QlTmefP#nPnhv9vpP(Zio-^K^eUh;cKzIdFP%RD59Eu@9vRhC+jT zll>mF5~2F6`}`hA+(a*bUT(fERw%dQ{>$Bx+#Qe8lH6>d&rSUe_VmD>U&<7O-}2AB z{E)^Wft5@#?7N$6FBg8Ncr>K6-^5cS{(q^{DW5P0og^P~mssWl<WydWyz@p93V%ZL zoYn5uewfLAn1C3X@C%yo$x{@?vmuImbJ;ANNR}nfupr9lpo9_969s`21ugL_&Vw#& z(+1mohihUD9(=_%eSzk<mTm6ij%=22U2A23F@Sc9_J6=~%)UJkeE0kRfD{~1oPVBp zhYZjv|GYPxV~wI{FZBPP)(%IF#Zv2)r8#663C>x|H3wDVBNXH!ofbr|Gz+F@|8vX4 zy7@TwTv3Q}8W{jRK*GP)hVG&Xt*f1Jw$5o2qZID7K(BAIS7o~jgKd6D9IRyo^p2l^ z=J3$fO1WV`gpyp3B@jt>&bmo<we~;k+75qqSNB%=_KKrohP@gIEVT?v^_Ij^ZLg@q z!@w>>L3)X1m|d8z74`H3v|9(s@aZ3zErpAEnizl))&*%BiZGMQ>LvOB55RiVn9rRC zb3^C3?Ay;UH`HdZ^bJUgAy848oxr$W=p`~?w2Zv84G3VOCPp<S7vRaZ+kZA#%EW(c zNR|jUpTkgfih3SmHf7|dZOFpJ%mXo)3-Wwpu!Pxh`sdxMA{HAKAThN+5#C&fA#vog z``iOaVv?9%CH7)C0ZP*Yxt<na7J(*}u~+dJs*~;BmITJ{v2v+3X3DLBNO8=DLoy6s zA}~`nN^*?d#VmAa+sXI>m=b*vW72<tnNlW!%IQ7Egi!PunJC7@4QC?Mi!;d^22W!) zL*H`%l}lnM4PyA?SGLgfP$$flT0k_viep6ON%_AWF+s-a`ma*1hRcQ2Up)t}VX!&o z)rR(W00~{$go)v&UD@cShpJ<2W;iv!a$#&-QuBX5!`RfR=)X$D*qG%(>QR3f8)v}g zY8r0`(7=^Vff)Ykl?^{|Q%gaZ*P`ybM(<CUr&74X=%QESRh?+*RS)lFRAyMS&_?a) znNf%g_hn_*<<_R&T65M$H35jk@J3elVQ%dmo7_Vgg>7|bW`|sQSp^mqXBm`=Yhb8s z@}yL|N(E3PP5Qq{oISqzTA+W%t3J{4<fdTSq|^@;*Vmy&D$dM~x#O}5{CTzHBEtcn zvJLgj2$|Npv{8;lW&3XiT8@cLIcmJJ6D`kgmZeNKwr$U7qoj(;@B)Q{L&wyeH@5>$ zY4$&kjs>-j%P9z}IB#wQT+-|#N5^D?!1AG%Nt2UP@dPTUK0UL5W8Hu2#QsW-PTF!( ztO^xs&YSa(i8;~YMP;YQLpO;1EgYSE<Q!QQTmh4n#}u4s&7v}kbKcOKErK;(R&wdA z3fr$Nnz*9zG`pD?3=?`<(;6iPdeEUa&sn`<cU}CF6+(lO8zsK=*oWObPo5avJJ5TR zB^;eqL65f|_+&q@g))D8wGX+vaePh2icZFA5FdS9i9R-Exk8;Ru-uyK{;dN3tz$Q_ zO=fJ<5Zg?_HjQx2J6OL7oF5LQ!=h_gR2`cwLyJXwv8Xnd>n|-on5Hy1{v7LjhYYo6 zJG58BiA5K%+~^xv2WqUtJQk(EPVavg;Ldzp-Ppv!t{6CKbwhvRr&^#&rIlgcg`sH_ z1<`pv%5_)`RrhpZj`qaDH0qG(9D!o_pxN?*;wD<W3N79wCtvYFsbb>wb^m?=|Nbp9 zl+%kLCvWXHmZH&?RZV#N=}-34XK1s>e;~*I3|wn@LD%xa2Pc)mN}aJ%7mQSLRXjit z3zuMFOX4fXf9`*PV}@_Pi}7LjcG4eh!|CAf$9v?Me>w=f8O#J(vd#LDW}A!GW*q5e z99i(uMpaQ}o8(^p!CIB_EezzGsY{|zWj2m4oS&#cw4kc3&w<b$KAS3Ap@zy#e^L3g zk4kCNOxGKiN_~3W_9+oDrheQ_MIq&`ZwREK2^wXuGopV57}5TO_SseT*&DRkAp^*e za=WXh)H+y=)RT_P^A!K8o5L0rb=5Y*YRj?Oe`F|myCL~;E_u96B|MAOYh+iNn^&5t zhgh@#i!$Shp`M7LyojzOb;ekue>fvjtdY=_WabVQog}z2a-zYG=7p8aV2x@(SjjzX zN;Ed5AKQP_ZS#GWU6mn<BEwE7$qBGDNj-f^xa~R9B8x|1!#A0GxR5%DVhSAfir``a zP1JRBcF=NV2IFDjJ2{c9Ct_RTWT<+(q53+fH{WEUzqN2*XFL>OJoF~MLdWmRFJ>t% z&JJKvMtu9}D*I`tTiI@dNVf`wD~Sv|S0g5XE;fHaY?n=BYoedw@E^sMSd#ThY<YPt z7SlezA+|NqS??3y*iOD`nh$S+f0XN}PlXtWHx(VkpK#J_d{WwAIk4d#lZzgcTffvO z4VL(FF;k+oEn=SeQ^Md~KU4qDCx~@-|M-RVQk^d!XWzc^GAdNA{o!s>s~?6}ecQD9 zHaUL?<!;}yoDk&0YBezW-N{bUi({5Dn`y|R&8DE70u_rE(yP=T$44uB(nBVSobWt3 z8eed3tPhA^Z=|viP4e<uUB5OHSq`$Zm}%gXmqb4NR8_uZ&Hy-M4n8sVDJKFf_=3iM zX7*vE@K1oC5)f=9WdhYOP{oj!{TJ)2|Hgksaon?Kr&$E>aFVLbXryvt0tB_tvCpvz zl1L^JHZHxsY^FqA9!O#j=Cp$(rV{}2MwO`1yJ%&b>WXBQFA9?EIpuonKgk-Y7`)z5 z7rj8m_7pZHs~Cm>_K$eD^tyn-#N+kYA7B9K7c(;2wpW!}>hnY(#%Y?f?+XgUaY=t# zelHGe9h+hE-~}nL>mv7)I0p>(wrc8guKyJw7*QN&pNK86XZnp^_iA9Ka!7(eH^zl5 zDN*3@b6^I0ie7h8j7wXs&4UYCpmpG+p1Sx6bBR|F6#&KhCkb@VVXlcyJ8AjI47d_y zX0E=Sam+3KOBHy`h?iPV#jyEqW;B2H$OCg>m;7Fv1(@r9XS_Zck1^w^7_DKs0a$2R z7CFrk0t;j|>y1kg;EGlfU`#2$yz<vfK%m#In#CzMaN!$EDUJWUFpj-|V+qEw&uo1% z4RB;&eiFj;r5spugCuqFNjGM6e7hQ^#IaW{tjdb$vlQ`<G?8pn5u~b7ADMq6MW_hO zfr2(tK}10`S8;%}v+#X(2T%}oetw_*L64mTPeh2@J~g=~RGu`3I9-tIo*X5|^HB0M z>IX8Ek2v-psz-gKi~K@$(v+vDMb`P7=zM1QB$GOF2!k9vd_dX3K?+M6+s>7biN6b0 zGi{^66OnI!FXC{nMbt?|{QQ4g?!iM@%14|r4DZIa>*Zq-@4nD5ZF`O<qH;xwBktrS z#_Iq`Qj{H>q@)0;P(J3}-MavZ15ZSU+rGIvXzzxI9=CmHb&v<1hyk}fvO4JT&1a<= zC{Bm-m7C8#YoKf#&dDh{?TPE?hEMv*J6{nmlI9&`&_?duQy9rT$Y6hnye_IR(oil_ zY}(eL;vJsE%;x1xFzy431Ah2sqI5ybTwczMh#Xoe)AdOMXg9BC%xDcHJkAhpQsnfO zT1pGCm|L#N?b!_NR=3DdMBjvIB{WJTqM|^Vyk5>X5DlRIltzgxlr(I`wx%g1ZWR`C z%M2l>61R#Ua?2dyp%{O+dK_}g;;Qfqxn+s)2>%v3t6y;Bt#5*AvHRpA2(J+D=h6Bk zRel_!y7(eKW1@MZ{=s6t#wQW4>FSAoyB{BA{ifefRHgfDPk8C<ZDkUAP1WUkPW)M` zTJ67Oe6jta>P6g#pMhih9d>aHk|nvedqdAN2Gb>-{?!+8J@0?+PZzT`-BT6a4^7g2 zJD6p8@3}^i_gJ$-6^~1tM27xZ>r3ap1>?&(=grcnoELFSQ-NE#kazk0&Jr(9MptrH zwA`I;iN03reZMxc5|i<yk&4U1G^PCM!Y@d_`el}w?MZlWE`QPcl&wXk{Lj?e&!ZO( zF1H>&X#824q$_`_{!QbyxbtK^q~1fYE-o|UL9NY?(Jx$!cAA1S4ddqnHGGzJhJS8@ zr}=~Cy#Egye-#y1uy%o>xVyU(+#x`43mV*ldyoK4aCZn0f(3Uc!Mz)o;O^Ey<AKHr z!2+Bnd+&SC80UYfT2<ftX345mbJ7p+m_0uGZ`ys$=5>D{aXzeKOBwu9>C??V{q190 zhsTiFwb){r&qVjd*Z38WsYPY$l^;GU`heGM_XA>05BjsbH1O9@=atsQ0Uj6Zhqv+D z$C<@t6IuL@e|~4yWL-QpvzfIE9dDL6Sli92_vJOOzxi|gIkVVqIPdPhWoS`r^J@nB z($SL5=Bj@)b^6iFJx!dq^VIf1OO$E0ki*dzwjGs04GO8wl^kpS$ZD_2lGwP1KZoZ0 zO=~?e5)U^Vhr%VU=`M&+6zpgAM^}q7y|^^z>}Ga{HAm_1yuuwC-CN9vD1XlMW}81` zmYqDWxRD#(!;J=(3)a-@85jjVhf3UVkS|yJD|dfS2DaZV2;V6_G?hC_ujXEw8FJ}B z^i>~!Im)=*A*ZLC<E5G>a&;HT+#wr$GnXz?ZMLwwLrxjx$uJ4(_1rV?v(IE;9^zze zEzs~Cc-kYy;_SB2yhCOj;{mq)tsUp-wt!_WrJDoNI7NiU$!_{W#~Z3&XQ`EmEHs)= z^?HA{HaHo|Q8e<`*{v;#X&jr}o3Bld1fQsb$}$oVyG=9iY2B%eJh>mcxis#c8+LkX zlgl25sG>=7Ri9nQbe_78Mb7;j51&Pc3iS@3xqL^T=iF`=zR{r4RLSPe=;t#HSwh>- zUza$|^@MrB_-AX@|L#;?bY0o!^glKJc?^Gs56RA%_`m*r2_L_mrO)b#seb_FLTXFj zaQzbV?m@iw&C)4n!mD?x4xD2NUqVpcHbkUkNasoyV(U>G2ZflY=0wvI>HNh>oNnq! zwm9hJP^DEZY-fH4?Nag`qz{!=u8{L3T5X7w9&fO1zoL$Rd+ApFfEui)$VkLYyP1ER zc{PzM<~}8H1MWRtp#@%=D436qjLa0*<WQYKYdSh)oi7>&I3y+a*<Bo6<B7Zl72hz< zY>y6SDXID^I+av60vT6>Y)fhc^r?(YKpi4B+_)%xB#SE>D+~Br&t`aWivzLNCzZvX zNru1@AH6`gDvm{R2ka$9tBH8$feC-=`m$B~k}e-pcUAYbHe}C}>Valx){cLLlPmgC zb8B^se02(1?S+w;{SQ~RmZx>_>_)~{QnS7INb8AeYOW-JoV(mZn9$W^>v5GE<yH3I zC_ekOAkmKrBTbK$b)7n8Czi`yMr9}Fp(jo@t3k*g%{eCj+@&3!@CMdjN4I~n@@%$< zf7mduu71mr**$9g`|Bh^EoO%&H}jd}dE0yk&Usoz!h_Ix+7Dl?^B)TxWIpCfQ`ycJ z+z4lmV_zdQbKxB4Q4O&-J_)&E`*6&6G(${xwDZmfAk`Y8Tb^(=@66Bd$w~0v9-5<G zRFBs_(sEo+x?+$=UFXXP_tJkcNk>lCh9j}?n}>-K+a|)%8lxD;zDq>7N!-9@lT=f2 zyFD|F?S6dbR3H(J%_uv(GBh6E_ySebAfM(`;ErHel1sYz$tv5b?Ca~BdCjHxZW;qK z&78;xzvf4m%|HXD!1~`5>l5tUt+a()>gI`=PxBGD>J5&vT#kLhOwE7a>;kR|O>Ok( zbWZX-PB?NDe=-CA2rK!?XQT+zmWX^^gJ>(wF#hsf`Jht$jOjMxe@<i)6}J%=_tcV6 zZegYz=}CF3rgKXOD7-@Y%it)JU&2zr(v|u-2%$)7<%_CRT1)>M)Q(r@I2FF}5Q*Y_ zp%8D)iMWuZGwsWxUP^z>tD_!D-7Ec2;ct10j~_*le`6QjuPo}Hvf&nB<Vs(`2S*1n zGWiHxF&C6aFL?NrzNX#-p3?U9`jOW>yz8s+S1#K77=Ar8+ibBjpKOA-F?Q&)UT&6^ zZm^47OVFWGX_gzHHL;D^C)BIylj&>8s|6YNU^y+;F{i9PL0f-nUZf1%5wJS|?X<63 zJ@TP1kA^zpeB9|Vr6Sw!K|^hEw?IYp`eZ?hdb-~ufm1LL%8p|)8H$1<_^BWt5s`{) zw+9dPH2`9V^RWqDH|`7$DEzMZ7v&w24{nHee%8$yWW9F(1jW_ck49qo6bav-_{!pn zvM_P;+y@Yyf|P$v*Na%hES<X0&8UY!p(wxeJy=-rt2NWN-w9iyg~2?EiNRG2L_d_U zNFZmExd7CX+pb@O5HF>3+9GBkHi0;+UKn~)^ptEEt#p_{GJ$w1Iswy0F4sdlVZ3Fe z!*9?0w^6iVTe!-6;B-N%Ac{xJ(5U{T(r3-stuovw9RYuD4y<R58Lr1x`rhD}G>CB` zM6rl@84H~TpQ~4xzL#c(0E~bh#Hoh>jOYDbeD)6dnqfooJg8`20%JpC?g|eMy*x`Y zD+Md$r(x=oz%~4z@EdobGl%n_LP`AF=ilaKIm0?|L8X#CDG-St`x5XQl0s*0=Rwty zmbWa|L)w4pWWh_c2DESwi}^}Zj0bRkv+q-qa}ezgOA>}IQov|zc?8Un<gD-RUX=pT zwZ(H8IZN2_La=^A-HKdl!-?!amnf|F`P#);(hVYXe-@OPyR2hhEpYvfbxxzLK)KvO zTTz7laU=YPJz|_<DrvaMJ-u?OFd2qlm1_m3?tXvo5Ga9fzeFlKwJ#aLy71opJsT$* z@2^VQNfk@w&@Gq8yDFkIBC=mg=EE-?c&LxUF9<wvVRyz$iY3>5(hCCZ*Gz(4X<dml zYs!<hK87lWyzF6jDmU^q1s_dug6gr*y^a)(M$yu34*P6_YmT39v|dtLOE{*w*rPY> zs1kp%qvp3h#b!ls!9VH=;cm!13c*UHM^9M!?(xQvrla(p(vb#Sb)O9@TkdJ+#et#M zQa^kF9ME9xD@e%Frpgqfw?rS^^>f;84G&v2=e1wh*LIq4ulxMD4gNPiWSjGw`d7R} zRo%t=mQ^NFHT(3Rm4bz<<)XMnx2u2O%!_|VR2;Iv%8Nt7Ltl=o%h*`9dBnmK)iUhC zKNaEiP$Ou6?#yb7p{nx-|M_3!GkAk)VnfZ@NJO73Fi~Sg5~o5zR2BO@E;!(U&{w30 zS)#msaUDJ6YogZwzMU|{b`d};qP<%|ETSnl%Ez5Ah*T9@3Tf^Ip`;GGJ&Ya0G&p}% zyFI*{Lwq>D-7TaxhsaP-e3J^<_OBSG01!IV*XMy$+g~b000KM>Gw>z~(C@)iJVkRq zcbMnj5eZ%ZOSF%`^ei$n_(!<qnPy7@PdpIn(#fK%o1&wI+7AIZdM%~%djzRODjv3S zDOcjxTs!Y357Xak+}sKF4>{|FO*emO+%(Q>+}KM<Kq#%M2cxvI3IsQO6Uz~dUl!*t z3MkKpx7P6*B}Wyt=J+tJ!%9rHub>WiSs9gd9HJhT&%!_mH_q|CLX?PgaW=fW9dAJt z>HEphcLB7}J4u(VFDOA)*`mdnw0ruKz-Zb%vdu&1j$!<+xne3{F6l}o9|V7ihkr0M z{mvIl9fU<C(sOfx1zR?Q_qo8jy?}k$Iq0|cK8c|9JXV&~+!r6}Gc(a^LGbMCG14)U z7|onV$+>1fhcbr7d+|q#pbO7Sl$>|D%F5NDEUdz=aLp))Kx9cpZiLp3d4II%%QU}{ z`bV78kgH)~1ZK0bzhcsjs|bJf&E4Z8jdsZoV_fB^juG<a9;0=hlGnN5q6Q?clJc&3 zC-ZGJ8ops)F6e6ZlLhb7tg7<{!x1^A<5dUE_iM>JKXaKpF6G)A`fkOl*5-N8DJGkB z5-Ur>=C{E0k;biJEZt;r%0kVM%b!U0m?-oLv?b>$*_7H;Q*|Bi6V890T4Js}_sVmD zO40mK>+hl8yh`LkK7F<=!eP5396$6_8vj<Jb&e`8`dGzfu}Kk=%38tl+gF8kpCr`@ z*yKSkE|t8A<}eXYXRF=coF78eXb%y>tE%~$&~ZA2B$OQ9mqvD=$^6-K(d%vBjM7fJ zgaKXB7ySw@Y!seJU4MU#qu8HinElJVl5viG$T3PqAK&4b5wa7`glId-D!07)=_JdF zFP2e_{>cdeggI4MRU8J6%;TSN`IPmiDde)UZSS2wJCC`cOIB2j=gN89jOA#px$L37 zg6J%}HiIpz?R|Lin~Bs^X66ysil0eE-?VE+WApjPZ<jQ>zY>4h3JNHGOP_J&F^@41 z77xPE8o#RW&A8Jl&TuN;YAai9X;F&f6Wo?9I1~R6q|L559Lhz-x-Bh<y8o#l3vpi= zYk7j)+b!f3XDPyiAj+3>2C03;l}YmK5Bw0*=)xDp>Nv9Mc|BRcy02>?$|EZ?_Pxi? zY?oxx9-_E1P9A@sGc%6co{ZSvF)Z;{{Pl!QPf%AvCk3K9Aj*vZ@wM8_JnWOxBO26* zrp`r#FlVv8>k*k?7meGl{-7>}X~QaMyo(hZt$(Fne(ouB)+ktLI3bquim1{zpMGwk zLt`$&N+<!L-rV3yQh_jbLA*`SwZhlkLAE~YMmb9}Cog}R`4elboolb6DFX{%l;!Wi z^i<JJhcoR^S)7ks()g&CqP*#d#-h`|tfUE1Yj%5Zx)O5#qr<xGWB`$Lc%dA=@tcb+ zER^5wgLJ4-B7k8@3~ZcS=Vn~LIF3ft5t8zb{fAf89ge@h5+&nE{+ecgiz>!akU8By z(;I^0T;zYz^I9P~a=Oqo2M3+20I|CLiu~949D=frP_9t4B=(x3?W!bg%d=Y9Nq*Rg zRJy~%fyDz4%tDfUd@fa6lfqmJW}f>aVEItI{ZX;}%p{{r<OE~7u?I7>b+UBVv|z=3 zg1BQUyj4CBtN`KCyPBN)D3uoGE%{;~!wq%>&;NfAGhO}3e({gz;jJbUm?5pQ6O~A3 z6zP`{8mMPWY%Ekr0O$KKL4j>7JX(%KL4iJA*%*Mxrw_pd1mb3NBe~8H>oE&e8N?9S zxz`{Xri5#H6D;{Vh+wOj430`xJ_1J}k26tNYtbv|wj(%~pFMADKcC+L0M_~1Cha}c zUC4he&U|&Mv>u?jivp%DmrW{PnPcoHF0{R8|G7y*T!9o=f%F`!Qb(H#app^<qha3? znD{6mEpdw}J4jMM^M&|xmcF!c%uOI)lC=)aSFh+|SshM}c`=yh`}D59Dsh5ij%W;H zr9=B)<0|aM_o?*2e4jW3`-ks9R;~#bcEW!fD|clwWp549qLV6Yz0YAM`!hm3iQm2q zJBkx{Pm5+T4EUXiEL5<+OZw2*`k?z|UMD$)79@3~6lj%}J3~r)`a0L`R@Z_9^@nI( zJYoWfoOhg@k!pD|l$vUJB-E4&n2mTN5<-IdL!@qKBINDluY(>qR1{I(pP_+&St);= zQMmom?aC{1Bx@y^m&{N%0v$Ju&QA@l7VW+M8c@&(nRAT9Y?CyLS8krQ=CUx!Ac|_p zt4=Fz5PT<?2FMbMq9eV_LDLh-o45Ofs)T`6gBHn7ASw5E9Yk1Dpk5ENY#j5<cw90W zW=EweyrF*pVoW^@^%;ig-|tx3{{MegEurT;x`gahl|mA$pO(b_)|B^ev^JJ-Aa70< zs8)N()I-%=HHdYFpehV0T3%HX6+MrbOGbjpN4*INUkPCN0|`Ls9FE|>FedGSa>nAg zA<Tv&A%W|rX^wQ}>&=hj$brmXiCY&L7PQbI#wM*F$dDd#BRd)cmcdUuLIi)S&wO^= za3(4$+h12nvOFT}BI?*I$vJNwDJ+-lX&r{9<|$5wj!6e-%_AMnD(CO2w5Q9(GB)fd zqpIk1DYJDUv3*rBPGfp8XxSznKnm>+Cf)~>oDUg+wi>De1aUa#wG=w1l%)#M?RwUu zug~5rWHd}Xv^lD+Y-{axV*G#HY-@~*ZjaTMi@kWURR*)tE-*J0OF~ikV#sDN*n-kw zwyOwRyCeCfrq=GrdZ`h$JJMkFwo~Hv^-G?X(#69|&8Kwn_9b6k>B8=%=8=n)8de!h zyN_Y9mTPs6W)Fdxu{LipJ@{`eAD|?D)~Z9$GBQw*U#`~Gy`WfjT3CN;3{8aN##=v? z3SVIz!XNwV@+Waw-i+%3jvFXHG95SYe1dhaP<kIyvV`F_j2+E*e1g@D%{|Wjdi;$i z+TlCA=Q~_OyiF$B^&8zc-kn9!i7kfT<Yjrs+wt(!KuoJwD!-O*RgaIw)#mt$Y;JR9 zzV2Br#^HVYX?xP%*|>kIw53tP@%I;dv<ZnRWwbPm|Bu_!toXz}y=&Ej#&e1A;p4%V ze@7i1=Xkqk{XZ9^2Z#)iDDVeJuGt?ac*&3e7^K0$x?=d&#z`zPNd8Dg2I(m>LHTmg zk8{Z&2QwXU;{Bn4CJAymGm<Irl)1&gSduwum5$Hq_=U7Aq~3pBKamJ;W~3FfR(K>X zj>B(K<Q+FUe<*rSiIkRt6~3<ADu__W7&%<`UxE{|Dm<M9lT_D#(U!6y#pT$s5ij?J z2H<EWFX%$dCYL8d`EaOq;cVI8AxDYsh@id_sT+fD644xhS82$?@Nk1jn%N`@M2XbR zOu#Xw!%O0f=k<SvNCeP+xMC3cTXz5$1X0;TS_H2GVZM>itmNe{F_FF-w{M88?mHwG z0@OPSW_h2;MPQ#dVgT*Ktz~W2r@iCAjs(#HWZ(q?D69;CTy2&KzRMpeMZE&-akxif z?`FY^v4pWOJ3T$WcW$To#uQo3nfC^{`&Jgi5mz#Th+cpBM23zIBvG)p$mTZr0K6pK z9aYVd8{;k_P@2Nh3KRM2J%cem*jR|+xz#-GiY!<u@f#zUv7j=D-<FifW8txHEzs{S zQvpP+(22_M5u3LEUTEBg>h^gXA1G*`?V{ks!GY;Zh5m$q{=-(;0_E}P+eXh2K+c5q zhcF&*xS@Y9MIb^z?ncjZ!E4Txc}lc9i70S+*b?ZH7BXtibU2zFq;sEIzRyEDu{n^O z)h$hjhxLAfHs~UfsOg6ieQEyW#Ic^jq6P*up&Rd8s;}%Khn4Hi_mX}o?bj!2^YpfP zO`@GRG2~j$(kp$;>lE~^--U@vT=C4yQ$->Pid27-`=IE49g9QOk5=JCO(@>@CAT$V z#Y+(Nuf;}Lx^+T8iOg1%8(sgo>fUuJKmLV?;Kk!#;s1QpzP(pK;(V57ay9xz*11Ln zy6^|5uwPlypc|Iy(k><glhZ(rmCb|U)n`QQ&oNd1*DqppR<;5!|IpVLK)6Ib#B7|# zykmbsSAj<mMjt|m<K)eyTA4#^N1XAd#9E0SS&?xqj`?kxcya;n39V)s;V(560j|#3 z*Qe_v=AE^I@p7xlzY82xv-7@ZM~H!2M8{_yB}bg@$V-$d<GydW#@F9n%++t+JpTRt z=kTyo-P^}qa6VV9gzzNButEZVvxH^NkH3FO8GWSC>Dm0T%=gBaGc7Gk*DCN0(OSkS zj$%p!Q{|eUlUJH(Rn4dD0sgB%uQ&zrrZ-eNAIA(h&4qS|E5(w3&91L&tpjmyv;$LU zR6V>8FPheTK4bg&zuCj#HgB7y#;Tgn;>XS2n618guF+s#(>c|(vTiWdh_vxGjuwAw zW=>sfm!<Y&UnTceY}ZKwXrBRGzYZ2%OkAJD)C8~`e)aeX9_%izm;YeKxB5+&mH6c7 z>tW&b%r&}Pq_<q;cQXxBrjUL@G{Nn2!Ph^;TGdxk*j~xSE+lTtAF67t7tAYsLwSFB zPa%;Q0_w#;UqstO>E5J0M;%AhHfevM&^F-`F7YAKrs4`@qiR@6?8_Dn+()9!IS=YR z3VWrTj4BmAXsMzF&$bxLgsd*biW*LU^FCRPjh%krO;Sl>6fW)q4O^;hVv!yb8_~|R zAoeCjkZ@_8T<nm1avxr3pzV`AqqZTPf>MQ1y?nA^XU`OKrcwRi7GV8@%{YHmh6O9W z$8s6?H(=}%RgTMuw~66A@pV7{*$=lS&e5BKpg(n6xDl(2TRtWU-`D)BQmBYC#Rhj0 zFx0jXH7?*uX#tm^pn!r*T=9NX_EBKZ7_kYR)gFSxe&8~HsBHiwBo#9tW$KnSzrHdI z6D!p%R5<|h{^^zX8mLDi0Ahb8S(g`Vl^Z}eDmCXB0C9MF<tR$JJSH_KJf3Z6Iwu1L zp`(5Dq(8?(2u$VV1x=!16|s2JsC$U!yk}}eX&Ui#PHyXTeRH`XyAj#vJCx{M57>aa zjKVm$W<1m0>S!EpHxW6*x~w6Z6pQbujJ#5(pVBtvJ!878f<4pKRsnxD+;&q*C&gmL zjk`LkTj|cwF4-{-sNd#w0ygM(_4cRy=PM%K)g5#0O8Bg+0D%DJakieL$vpn~lvj;k z_E78$+(ShJLiDP^JtzVeV@PT5fpC?|piug!SFUgFvd=@qVZj`555D9MEA3~4PoZef z;(tEmI|PGf-trWZf#H8oaMPZk_3_tglg8NT?+ot%06qKnSB#bV;<^n7W7v-0fBDtv zZ$|6q$LULn&mKLf$V9emZ6p@<TJNW}GqJ&Yh=9C!CIo2aj>P=RCBAmuoJ}8HO&>j$ zZX7Wh9Ljj5p7xVQEV~Fq+#*M0m`nK4T`fF&(_i8O3@SYFyA6LpZ&1Prq+GwE(4zdA zDn@xCcvVqJV*gkBYUBs7Hb}DLUIP9vZowW4#X5s6nw6Rj_2jE+km%eFr;G%Rg<sRq zTww{#Deu#f2}d(KD&SAcjs2>>>Ytpk)?eS9%masyCc7x?q8aPtuvbEldyWC~Y1CK@ zVu{pMHNuwBY+-+EGOxsJP5^jbz|3Q5FAFabBr!E+SU7_kXRYCkGKm_gwZBEBAE&kl zr?FBc=(EfSxqT|r9*DpAx>9Gvu)^7zoe0<aklBBhqxeF1wX;Si!J3Sn<Q??-mU;yk ze*X~<{^lsn&pn5q3A9#3eO&X!y#05L{3p!t^1^0)U-*A}(E@X2r`A8YOOrqsbn-S| z$A#R^g^yh$W76l7j`%D#_5D1VbKb$2Y^Og+?C)!SRKzlUXKYLe!bT_gyo<t2rh6WL zBXd6YZQCHxs!&OrOqR9Z6&=~V{NNEqo0DLjnD%YLo@=6&o6xmk#n7dfAE*D%U-ea# z(KK;6Yqo!uSWnbgi-h4n>G%wpP;B#hgF|G5`IN8-!WFDEx5)ppkbV0sW0gq8Nf3@L z9$p(NlS#&e64T4T_X`#zCDZ+1FkU=7(4sE%f5AQSzrtj{@%~F22+IubeG5Y}p~U?x z7!|lhA^T16-{7MtRzqfIH)^=My&Vsql7N4lK%;-bKK@@syx%ipbo5GSB&afxisuQ^ zn#@S(GO~3dXs^%FPEo^xWE1h2kmAA%q7~0Iq|aUc+q7UzfaHflBqIKs%98-8ls-56 zA2>nroJaax@_)@y##;kAA1N4=AZ$Q}_on&|b2%NrgBKuOK+Uy$M7^x2(0@wPsu$lc z$xeUKoe(>CmfVNX{;7px=GIhOFesfUev>E?InM(Sx*h=G4^CkWu<+3#YFU0pzuo%7 zZggx89C)%FF*$w~RO79TC3zsVt`E+v_G`h66T{Akdz>r!rj{7wF5^UC1J}Gog!Em( zSw#1~8NL=Pxu-qkR*OtBOto3SihJ_DLnMFQG2t(6I;FD2LSSxOGwA@((1{xN`YqS= zD6crjzM5lSB5y}1+5Tji9jOw_iev$UDIHsn=5f%pV|0Hr65^{`(fFvP8ZrB(y7Pjh zLorW99!fkTtFL%_D1JF67Kr)vV_3`l@UNr3QCe6l{kuF;ZsFEO#e{p_W`06!zmtDv z38wvMy$+U+m|Av~<g0{|`S|7Lo-Ag5G`Up#Oy!Qj&;5N~!N$FPg?4*>^HZz<6O`C{ zw-mm5vZk^-QeWzn=%8(t60c+By~g&M{U1X0P(OkVX=zB>2iqqCqbD)@yA<`%*BR1d zs1?^M+!&h*(ELvrO>unyC@!sC@PU7S;<n(mw>+FQ--FnuVr72e(^rv+$)gS2>EjOy zKm3n=ag{CmS9?YgNJSGoYHnwp0hN!wcHIs8<NG2;Uj3nStpjXe4vQIx)ROuhU>-;$ zbYMna1x0nlMPB8}ZD>A;e<8<WIMcR^uz`0GiRcLp5I)X@MTgsyd0e5@3H^VSQg65Q znEoXAc$%~MEKmjW=d}5co7o!`C_C}vcq&eH&|?k$MUpk-1^WW$BZs>V3XlPpfH4iX z)5Ra+E+e}VaUF*5dpj_PImWz$0|j^`Cc^m|UO$MaX<VH*&WP_%TX`8}MCZrvZ+$4P zmyXWG{1pHR4}i!8K*s(S=j?yb?pd#3wQy{*$xB+2y7*-+C5*fe|0QxQ7pAd_Ou={V z@Z-yA7+qj__NNE+<J{;t`<ttekag~9pHLpM`7awO%g$4N6NvT?8Y{?0LJ$0u8jdR) z0+b>vQRt%|=myH^2iz>p;Cb!q<UtIpe|KYwHzNi(=%7egeF*vZ-wA*25#ej4#Owx{ zL{QNsIp*VJtjiecvOdcKC<p>@2(Zv}&@u>CVp+9hcrp42>-wmm=T+DAO8iNtoI(y0 z6PcBz+PO8Rb2J*!$$r4_37upkhgiQs9Pb_+Asf^N#KwLTC$D5e*zIiLIT23%DusF& zXB_9sGP?ZuC48)jDn@_Rlnm>v>;DKnACf|D30LzPUbVzO1_=DS((A~)GE}_i#CXN# zjT>q6=wB{(ZsFr|Uolu1PHesphr8FDlc+&%5y!g^=j`S3&!9dHqb^JOHxi~rG|%z~ zjt%OvCH?WaLIdJT=!zxDF|X-4X&lN+62UP5^WQ*p{Wt={Fra@ODzcZ)syb}Q9vtkt zetM37<A8C*!0fkik<rJA&EcqC!1#Z9Vjn?m_cHl$9JA%Zq5tGaE^n#6EbT#U`+ZAZ zxKh3{)_uSrt@-rdoIiL>x3Nn3b2xUmLLWSf6Rb<`RTHiB-;cD*Y)PiGDUZ^)=~tRx zy<RkSeQ%UM{@#C8Vg>&Qt-OE9$j#KHTJKM6y+xo;4$`;_^-MvX0`$FG8yrJ7yS5t! z644IFRcrF&?cWqub+6whj^9PJ-0sg}=TxnT%3Chj)h{cKekN8SB{!lg%O)pw&?}8v zCwjn*h(<8cD=Tf_F{Sb;y$Ri2I{r@^jfrxQ?maS7x4M54L2|?{w#=*m$_TpjCFQ@c znL*Dp+}!M*%KvHoMeRgitiL~ia)Ee|SyBDlw#eX5aRhZadLUPIb9?fMkTblca(!Hi z<`7Xuii@qUb=*w<0y{l-Ad_@?h9XAbg*`lOaFg`rUM@+NR~eoXLeuoDcZw{55?zyE zOt}X^+h%`iTiO}+jGCP|^oYimKSqkS+GFxA$lu$*z*|D%apX%XZWWoJz}o<4{?zg8 ziQC|AJMx&1cJJJ^BJh622p)X-sVSbqrxQgmj7^4k5dbz!HA!s_R?9y8=<;(oGdxhn z(>8y#VYcqm_ktEp+I3y`E6(oL!a?YT;D*55&dh%w-^V9E^QGCEjk_sdM7fnFax(QS zUjfq38BXK6ULwwRm!VrGe?AE$wIbBl3|~rfc8IT9E*nW^(~`fw%g?Sh>BBHwSpY>i zkUJIx(^<qD`U*H>W$1t6B~-#Omb=Ii#gl%U**p>R@r}g?gJoHUY^%F0(T8_rPJ;f3 za)^K5Lmu7vQ>n#`s5nwn)o{zz^kepg;sg_h=5z?>v!cFj%RShpZW@?dFZKU2cP7vD zS*=?B$&<^V$Tk1E_;V0ZeG#UJr&0@s(j<KH4eaGI(H~+YJ0m1FOJ7RDP6iW`sf;#h zVh$!#x9ZEgFX2#XNoVjSOcb~2w%8%pgW-RD)s!UJP?Im%<h03{pu9>!2`I`8$tcRg zD9Z2f6UAwig7RTB=*k9(M2aJRba#mVqlJ;cD?KItH;4tB0%Ech1FRuCOUxvPkc=&T zIrQ&<wS|?4<e$pZ-4XsTHAlmnAhF~B$9piBi^W7s_utfkJCAa>5!8Pt+XGqIO>%$T za=ctDWq%GoSulSx{?222DEW#{Nm4$X312z9gfiSl6UN7$7?AP*;zMDgrT-rv;?i5X zJ67042$E~)$cbuBJK`(F;(i_B&?_5wgMn>~1c$wJz9jg{@&rjPc;p11e}@gfVo3hX z#IR1+pg`AvA>Wk_Ys4rCfBs1PIR}59VN(%?lrN!_Z$X#8m)?DdQG%g8!j(+v8YtxR z-u*YIp0(E2HTvq3E8xDq{!%JYe3QPRx>&kIvaRNnzq`8-o7iV$gU=z(*?r>u;#~9c zub9CvrCZE1cX<k~YYBO{G$#YAcj<GALqCrGE<|z}togSq_o*qK6Gy1yvK)W^{?x~9 zwmgC9eYGaiqe!(I>1MX92_DpwTq8ZO(2$5*)9Jo_K8<61o@^Nc)hRY_2+pt8Q2$w# z6mhw0Fsz+f33NM~WlqiWu1+;g71pl2>c6m2*So&X-v2sPCxI7*`uDsiZ*)`mc0u2N z6K_G!+2mJn>HfIz#s*`9%vOJZV31d;TDUAH$pUd?ss$1AEt<+X_cw^eUb=;u)8|w; z+;8v+=)yU1+Egqll&k$)`VX$lY`wm$GVyB6_Ax}qAp|CI1OaHw8Q}y}iTfc1yIAUa zyqp`K<%-R8i%3#$ao@%odHr0*KRM;5;@2<Wnv~<)f5q?nAkQG#Gx>k&Tk5L1%~?Ay zMQ4STdmf*akx_WC*UafaBYghqyz3cFE9o(S@0d6J-=%&la;&NNPjO5T#Hcj>J#j`; zA2GLvt9Y@lZfP%XA^p>lE&gRont$3cjVLCl<boQ1tl8AhVi8UFA~<%@FePC6574@< ze<XR0UI~_NiF^#e_>X_G5qM?%hus88EQcLLEo&29L@kLfmh<*sFIk-&;|uUrw+WmW zXQIQUsv8DTHbVeMSL<{rL5K0^8J9Ty)D4L~)kD)m0C!&fc1!?&wr}|v_s682cFcVu zl6u$KhT_)Hab`Mq`Xpr=8nH~{Jv#RV-Q@4F_T0!><%C%guL^$;*|UCx?DH4g(a5Gu zGYOh1xX)tr9_tDq2u{%nqQ85y;Blp^Lp=P&ue1}J2*#pL$v?m+u%GIM?#hrdob%cS z1(~08to@tte`0b7nYowThwp3%GUuYkNkYfDebfKE;*S}3kh80l-dEf+-Oe6n;xEU} zbVkS|9c`cgZ=Qc==(vk-`rrQ`_e)B<R|v{!Q8H;09b)DZi+*r1<Bp$o|2%U=v$}&i z*{!60?%E-Md`LG(06TmR>NgZxe6U-q9%@)EMKH$i&;{m@+Pw*@rStF;3FX2!V>b~^ zA(R|@Is8Be5*G0>SC1Uh*F>!|HfsmiXu`$zihrLz7j1vmb>h2;;oqcQy74fcR_^Wm zp+9xn+c8rFFO3a#Mgd*FC9K5(jYo%0WWd$1eg&{Itds$t?Cp3lo@T>J`cvb*o%?!! zVCg-pn>8k0x-^(tac!dw+0!fMD^M)+;B_v}mJZ&jRo|b+I)Y%ajTPdqOOA`Rho%zb z+bUgt%O`(anFnF?Q-k~TAqr`|c0{Z1yxF90J94Hc6tOPxI|F{!2IQP0@qmY<_HfL6 zl7mO>%zR==^Y$aTI_gqMTQ2$pI_lDctz9qsWd42lW`-sM==m3Jecrd_;C{@T%f73Q z=^@O`ee*wfJjamyhNNKYgbUd<EOnop1fSk9bjp8Q1BZjw3(O$Ooq_V>8>_uTb|T{N z-#E45OC+tRhm3HnSksDOy7MO&<ngRQd*_3EkGu^VVW$0!%Y?_dB7!UW=+Uo6)cPdV zlyH}Q^LKLiep+eiX%+o=8hF?2O8;D$^z1$y?7c^uwbepNVeIs{IsE9i`{cCSRe9-t zv!Z`kN}>J1`58g`IbPn`;MJ#Y43HF$&S_i90}K?V28zxBFVWv@j%Gd_Bt4wjjOMQE zMPOe{(cO?TQ*5t!C^*dV{cQZ(O8>m6`Yl*q=iBG~-EQK$XPMEzZkO2#_rX64yRnfX zs3N3N(faY8Oc(+v`pjby+QWn~_G%?#Y9N1+)|RD=i}=>htHC+NgB+T%-6Nmo?I|6% z_4S;R?!7Wi3I-YYymayme}a@}g245sZ@yVY%Of5kw>7m^V!nZcG??yemabxZv0{9o z<p!m*AwrD4Z8IZCQ@}0z{uKIkKc?-u9{Q}Z+okjB7E{iQQ=hIIPKsk7T{G6Sffj#< z1_3``j?L?Vsm-%GFbv=QYgGQ*`&uPm>}$4?rym{<vaj!-sX%9iGv;A4Qp-mkk8U1; zJf5aeHLW71!C0oj@on64OX2EE!BI8c>Za*&*ZD=zhYBdahs3T&AY<DTil=E!jZ|#S zb9fu~67UJF?MdUP4a;;Xr$*{aO}BrtX?g+FsD17_dipw8YiZ9aP@t_Vzl~dFNscgo zNb++HZ9&agPaAAlc#lWA7pl#KngpFC4yLVV1U{_>x&PA;TH5ga`z_c<M~@;prEKBb zM651RJV&!jV&p7}o$sq@q&Kpar4>NiRl#Wy2c48AZ5vM%V&u^-9$Q6lZs30%;!UO- zl@?&L2bn6f_dQus;OSY(hE9!Ix72~}ePAo@?&0BDO2DT7ROi8lzn{7|1r04_KH0L# zIb!yN{t8DbSw7BC_H6t@{VrWX@Z#@|aGY|9XNUHQ{rfj-c2_5jV!54-W20j~?oaC4 zp#;}j-qxI1Utg`4&g|QZ&lrEwIxDqPro@O9CwYugvvW}I;2;koMMuXscrdKbk~IfO z@uq$$0e_d*;U{<(*?F2}<o$y=_3L7^Q}OrZ2o&!sK0SlnFN<v~gWL5{F^G&Ye%{wV z4a=gG7-+sSQDhY>@5n`D2fju2Y<6qC2;|Jmm?kH!xhU=XZWw6&cb<P~_ZAZxbr%?Y zJbApaKBOD*qmNNBzM7qO-4^l5d@(=ly5A}{)N>PW?mne1NWRLw?o_y13LdIB@CFqs zId{KP7o=8o<p@pay?3jh?DdOrc+@hKqF?lVAB?`J5$lPv823AMjj_&U3?GWM>R0a& zNLjTu@P-G9+wYfVcwm1KSmzMPQ6=^h)?;iTVGQFpvoYGlf{I$@reD9S3yONdBsv5# zR^_w7NH^i2>h+V*!?C!!JdQ=tFF&TImX`YcgzG1J+6->?7kmA@>nFF+&V;I4d;M_h zC&%uM4A0mWMGcpxz-A%WW>&cdt}fVB`OJ&H&DRTcc`S>fzqfxpjm?pvAL2aC77hCR zTw$wdqhV}ESe(L#c2x01UrSo$hI>l9^&+o2c)_Ii-Gl!17=1#ox*>kSL|tF~gl&gk z)9zJWP?zBWKvDl@i?r8Is(!MvnkV8K^XHpdE#j%(`$((YCH$xNhTburIE&ZU_X2f6 zNw5W)nuDC9uj79P-ek9!*(*J}q|pa9T;%g?X0Dxr^#QZX?2Vm~LNvk9KNtW>fR6nn zX3eDfCmMjx;XN2qHUpLha8NM`!+}+@+DX9dS?wrb$E<b=Fhy272G}U;0S9>KVOE2* z^mfSr&4qfx6y8N*f;ePN+WoQDxdb%U>s$mH>v1jvsrG+57lKrKoJ&D*y%K8fa=jA; zou)`Gl&1NZU`9c2dZ41iDFPs2#zYE`FbBSa46Z4iiUTyJudk7XLu<}V0Nteta&kQb z_T02!XTc>@aEb@jK}dT_O>OHNn(2%C-gVV8gAd{Uu}=ZMki0TbW6$H4eZSBL(TQuM z8_X;y{Aqu>;1V^s*1m=kXg+7c4cwg0(K!hgG(b3IX=)Ydef(N;h6U&@2U&S`l;2eL zLV{F+yBGih4la1W2m8~9Bk{SF1E<Q2B-@abX{S!4&P7Di?xj`h#M(OU#Fcr4HkDq4 z5{R}s8yYb&apaeiY_sE=y4HY&l^Kt=)yhvj63c%p8?FAFz~bRwa)RDS;E!2iSS~md zf!HqG6VwPdU&41236>fKZ)gEiGhi9O)Ev0Gw^Q2v8R3Ru!nWU-BR4&`s4M-~{S*8t zuHX$bP-+$o4+t&-b%*<B?mwZNP6@Wsf;j|lkb&LPVDsKi75Dt`H5qptpKL|{wdi}Z zV(5Pw=Ba1a0~T04>wyT&o%MhOrWCy40}4C1-~wCiU4((H4yTNOvuP8OfbsIcfY0|E zrO*dBu)p9<SC&P1(B@4~r^eC;MJmi+_Ztd<wA0s}h^KN{{*+)v!5b3by5P+#poHKJ z3NXRJg%qe^@4^Dqa5(h@<j;VeAb)0aus455W;eVFx&l1{Yr`IL_SM6T<-5<&0dWqe zB0y$AZ!GZaBxuJAF$edY-(#!m*S)Doc`I3`VQ|lJ@1)#qy8BTtMeoDouK`N7j7TDI zG|_KGjIN`5wqH6XHYGjF;z9Xs8NHR6u^=S{_;OvJfLn|To{p?38>6IHj@?YG=z4z= z$wv6`h-+DW?4D&P;-HiU+)(&<J%p8_hpJ>-Mg0Rx@!f+ifnh7+0!J41oq^jC@!cCQ zbZxX}NA>7X=?loVbo7Lpf`GOgcDZiE{A*4;$IWrwlB8It_qkMI^tjp~1|RL%vl3(B zbF{UNIP|{vK-s=$e9^_)U9cVg*lT|=En<nX@ZLISE>mhgiX{lRPh*)Q<*%=s7LR6t zBDZzf^9v$Ox`}vRHu5XN5W_V!c8FnSoDv6}QYywUXxG(wY|4G##&Hl;q|~CcnQqf4 z(TVGqE0>`ymwml41_F+1?`vo+RwF@wHFD`<SV1$L4Huau*RpGuvsal#Ni%;$IxV%1 zB*1}d*{a&Qve}}%8B)>w)p{{(XE97-@ePV7C-T5z7=cshrcvFP3tNU$U^@Mxx#+w) zl|1POj?>TaKdGxE+Sa@NKD)G*J5X#oHkLa{ZgLA}abB8bIyWs7Jm<Xbj%~|Fe9+f_ zbp+<7YHl0(ARmaJ<r)Fy2X24Vns(0;f1Rn1QsSrjUMsXs^MiIaD$H!>{P=nbya7eq z?xwzYp6)%cd%OSU53f}M!yhQ#!$u9Q7)ARVT8@()ynEKSs}gVitl>S_tJgnFEW0Wk z@8wwW@LP8y*BhLFd9?c(BvHP+5KwYN1nb%C`9);DedD9@S7e~_R+xYBN#*TD`n`Cb zc0T#@tDjO)wts!!-Y(JqWj8q|!$v^fV<lTFv>?K8Io9N*Ko)K$tuQ9X{{}vWc&rH! zonzr*<9;{T89Np-OVItYGwUSi#aas@1&2(#ZZ(nmbZ*R!r}+xeA}lcwx4zk>=M9gv zy_SPuT$8foP)JXI2-ttJb9u1)!|Ie6yY^lPuJK|sbonfCaH{eGrU%QtC%)xU^G^}A z{VCOsCEJSN_HajWd17s?6AM9_K41@8X6F_*T6ff*#QZgyMBfz_5UYM^lsvJQyv}fn zKT7MWrsCy_Pw+M?D#OHsQdL1gdnA?0)Gv}3H?J%6q9vVAm$H9CTd{@*wt=Bpr*EsS zjwZHvCQPMBpjcJ7i%ZaqvtD9SDAj74Sqy1oBk<@&K%o|$GBCNUS#tP^rB@U2GDhvs zxVtfvc$jp0j=LPRsaCoF>W4Cl?@M|xzhq>P&!rMTJ8G6b^!ge7OZD-ETg-luuKTaY zk98ZIFje#%Z#{o)d(q*VCHKCMe`{i1g!r|gD@+Vehpi%UmYC`%3#*}g4Ti#lo(ZrS zKbke$<o{b?T23K}1rbaTH4MgVVqX-_e#F6XM(0I_b(>2n4G%mOy<&~<^sC%&AA;5w zSt|F82Hr%s)F`Uf=*4xyGH&*NUtRmp6STo}+Bm<2M4o@-Wxr;Uxg33+URdM}yUqVC z>Vu1u#UngyCc@=IvtVp!BTQjiHn5<$|AtL9CajWTg4qR){`-$FdM;U8ZV~tuHdzUD zsQZg^@%wUYTDQRtgSbXq`~FAH`Qf2gFWZD&*CqY3e%P#{u3r@U>e|oHoax0eh*a^A zKsyE}s>6S-nPCBe*3`N#-Kr}icpKy@9>SNowhN9~27(MfSa(h*ZY+gLIS7qET;_sK zCY_O4;;Z@Bj16}#T3u@4mI%Q_Fv)un^7Y<#rBEn}3&q4<nDLef*Nr*);>FefWpw%Y zg#}-XF1nBI!pcy}I1-9AKI37Lc^7VTTFcvHY`tYrUC$FXh`YPH2LglycXxMp*MtDU z4i3TH-QC^Y-QC>@?(hBmcel1`Yd>_?bDo~=bL-x!d!}d3bpNmeO8d7i@yAm}79bKY z<Gt8NG-XpL0+3d8*Ir=2@b?NrcfPuO&##U)d5Ny3Fti*iHz|<`@jf^-@wPmKJG3u? zxmZ!IFRpe|kanDwyA;{{btIJenNnQ!+P5^~{=>w7pumAE@lAHTF;?J1Tj8Jn7x<=< zWDL^kWc@?^%Z^P_z**-i0ngdsDkj<a6J^9d<Qs&Rr$QdN8TD_jYntSG-eE)H8|QMB zU|zKV!t#>tf3c!7@%~@;2`5V=WLYdWArYQTHX)&t8LoVx;V=|X?;!@((;eT&NeJA@ zz+m_P<}`OJC{Z@ika!}qew^5oD3{nnr=A|HZo!L|{FQDt0wBMx<_pynp!GXUH_5$j zWbJ5E7P`3kdV&+fcVJM$etb{D&#Q;&2_-H7vLIsQ#coNiyES$>dVN^4=CmbOv6D%< zf1!v69%uPac$B&dDenwIURpTuz{A$**kBS@THFyv#u9!5qs>x@OPMGm=OYn3d-mr* znO<%Rf^J@H1U}=nv1haLMWq~v+Kg|--&MV8VLWbfVlZw_)L70|OU|;WO{I@1AKDV0 zgyFPYnRF)q4u$rIx*tRzV7JA{XZYJXc~8@zE|{5`09Axuo%1*SP!U!PzezI34q}0W zEv6IKpZd_AG=uv|Fpcodx5HTe92&#Z;*sR4FmFDa07nDw*xXRFt+CEz71uWLmnZ_| zDKtKhI&0C%^Nl2Wr^7(pD{frjD8|-DH5=yv@RckQ`%=EgfuD2zquyf(pe7&74IJ@F zBuVJxDg&$d11lXPEg9F>qmV7?h<dA|$57rz^#_xFbZ`&+_!!=*h<^&WJP4Mss%qh+ zUn5T+ft2Y~+r@Zjf?q}%B8AJFhq;lToG4r0(`?+Cd<d`)qmbFLyNqBPUt6!i-n|SX z2e%&M@xTTS+jn4%!<v-+)CP1|dmL^Tr}f~9ctsWmH>P@PVReQa!3LZ7X`>Tms&Ne` zh5|9%C^n^SDcBE?wg|khxrq?KJN(`B{I(!g0WbL!@Qco(c^|H=i6!!n6!?nohA21c zL@aWd0{qL2Q+T(k%v10;LB^#7oj-O21=a-~yNjFZmNtI0^X&|#;yvL_#~`dML!*nV ze*H&^=2OwcUzv093}2zT-Qa_wGdzfMoZa+m+>1fAd`Pb$$sM;JX=NE4S&$t?5FxtA z32+_X92$m<jSi0XN6sf~u4@1jysMZ}n=(1=$#VScx2SfUf`Y1_|8g_;o+AOl*pG2w zjr@66Y5OJ3pljjuVWFglY=g4WF0DoVXBl~Rmt=L)D!=R)Kcf+WR7^GD#+CUfnaqoQ zJ--QA^gsHY1_+JC2mG$+UX6d-rFQGIVn7C%%mialbgfRUt9?1{3KC*nh5m0y@boY2 z)&v?`qFmfqF#DXUs_^;_2X<O+h4rn;$C_)iUSmb^K38D8izl@Ko~a!27dQ)_5vlXg zPNTk<wNc0<57i5*sP^>|FZ_YSLm!l<UjfbN3;+&SPxnL%b{>a2eY2~eBoYm|A9!X+ z9x=#!)<XX6Nb^(mjv~FQ;U~c<^{iH_BnuWK=<&dlvHXtUpva05N`~Ja7IGSw3h<<N zb6NCXC6!Dh_dh-+pU9Mymwdfi3!$Ka$>sesp+6w$^6+P(_j~ws2Fo{ww2UiK2oq|t z_>WQp-AL@Q`x4=|UWK)4>mc1|KY%5%cg>KWCTjL}2BBGLW|9xwog(s->Y^>kej{%% zz2RnNaX(DZ%{1zmRAlx`T~4vgyWO<HGCS|iv@3H8p|XTG6;1uY#rnzgU8hakZ-t+6 z79Gzvx1Bc9Q3V|eQTaaIkx4TsSaMlna{mzZeSCEu|1o!$G&j<MXXn>8(E|e*2Camt z+8=Hg`XZVhvqH$RJ(?9q2EPO!CnqVPpT-|mlf9%$^qR~AWC-)O@>?wO)%jP6!sAYz zy~aD8>vMJL1ho#-?8FIYwJYZb?=~E}i|1aFR@oQ=76(rf`ucQH$V-)F%cE63P}VbS zLYii;IQqouRfp*^llwI^B><{(t>tRtEaOZW*Yv91W?|FjZZjKyJRA~1-{0DbnwE{y zs5(}Svlm_n4kG660X>+XkvPrWUt9xIWrA}6gd=QX1*S7GjDaph$W3rlFHz+gxV7MO zZ$n&kP~paIu&!v)lM+?^5oHrXD^U!6JHCN#)DwiSGzjLc#W)SC=K$4nn;CRT;RZo} z_Y!jr;-fBR`?-=|K%fm)JyzohnN)h}U0*6|$QEn6Ko0y=tkui%kE)Sh_gzU=>iFPR zY!B=Q`lS&;vu|VN{Ei_$7+t2bqJjs!Nw(mH>6H|4GL=Tt9~%l$r6@GYjD$<u>FEZP zgpM{diis{li7tY)a)4ZKy_Ngb{kLJH-%>Zl=C)UxXn67Nt3j$J;S>(iVa=*-Pv5?$ zOFim1sKisBak>ydEYwjT_dq04)~b*h-3ZzZIfK<USh~0r}C6Sll3~3*L*6QPKjO z@WcQyWM<gkRTb>(sj#Ta`&9aA0LJ%{46hw^@XW98d+>NO5P&m52V)uL^mEouWwH%? zTcFt|Q$q8a`X!K&qj(0;PNLJ5d{#wWlfk*dBjim+Q%^3s%2ZS{S{>;_NLf$aPJU1t z$P6)uN^{$>mGn?!zd%54@~<w6T>WWyN%)XK!}fsZIjrNFrl^x0aHwRU2Q^5eG~%s4 z%HrK<gExqM0N$K8LO+#)Mb0)L2|xG>MO5}{@IP;HqS-WwM^FqJ(N9R768~J{-j<SC z>ExVK>%EH`@GXnbdK`?_EWZBrG8TkL*(qTn+rc=8kZcC~1&LAFeF_%w3v(V<$1t^Z z`wDxvzG04N=tI1H;V$hJXBa=W3A!|BS<g=jOeM*X2N2AQLL_$-YsV%%gnygqq51O> z+9+JG9Q_^^HgJ7T?`nyP3njWb)_`ao6s?<VYC#o2=*c4Nyp#9a&K$Y`*}Jq+`N$JS z?cuut|JU*w4FU~JzS`fgzlLF>T5mm3r1>SoJm`(bIXqCl_WO<#*Q>Cmpnwxsw7x`n zb2lP3L;>dsLK%U`5;BU*eSaete|(RCTcJb=reN^#`?v9TnTWyaS%v0vyTW1)wWG47 zkH&G1UTrQH=|-@reJ-z4f=EbamB?4+KBCf|RJg3NLVX0mgQzdy!6Uctu>?WNMS#<U zvJupz0BwlTS1NlNa?>ZqCmB+|ROz~!M=QQ!tP!BYi8pvckc}=?>`r4txg<Olz;!-K zu7xu9-!(dxy3Y4t>BT4)^eZ7p9#yX5u|Hl7X%n0pVTWsYG$sAsP4XxOaXGL4a}V9P z5!(HjYXyQ>_(RtZ8oVKCTRvn3Ww`6e6n36}ni0FpHPrDo=s}iv+a1MRQZ1Qppl%S} z-5bayq(XbNr&ZyD<<W6^Xl)9rkRyIFfe7?cxBxqA(!csb;MomV0?G55kp8`wpb=LP z&0~E!AThC7rhk}22LJG|>MI`X?j&wE!)0?$idqw4L*K9x7^H)K7HrS?<1cmNkI)lG zq>@>Fn~iYMhL8)ae0DQibC<;zbZRP!8Wo^0%1mH@-erBcD?UK2KKdWo`wM{qo)T+) z|AQMtSNZOHOf0Cz8w;*Txv@4)ZIje)o_&UV71B3E)<M_J`fnvvJSGEp2M$eHI+u2; z5SxQoHuteRL+W6n6f*=XU*nf=9)DDP4_Nt8VVTl4YWc3kHiL9H%wDv$Vr4f|apexw za47Z5c12)G^mi?tsKs0V9k|wwnwb|I?6;TUQR^Rkpv<TqX#a|gth^KI`ugu>Hk^25 zC+u?vO<u@DW3+_S#M6tUC;HvF5U1mKk}jtC3xC#(j#hSkvk?*jhxQ4(J0nRXsvhhV zJyq>xeT3l^NjL6;^EiANERnU7R0l6mAiC{{+U)EIJbFGl+QiC`Ei68JM)rrt-nT@4 z!H$sGW!vxyc5f^!z`HqpY;{Dk)@v86LkZih)<q(6iMdLZj=7i1`S;8yx%jV~0m(~8 z6p4R?wH>3x5{4w)%lUj870FBU+*)kK+N?G~%5t)`55g@_xgXq*EcxGL_M0TojYt03 zFiA=zim{_5TQqi<{xeugK@I&kN)?HHq|$Ks8m-M3MK@*?htCprs$@7S`)9i6?MjsA zKOMLC=;M*wooGugEtyM)MM%At2T)t5zs?M~Sgn5rX&+V7vy2j7Hy@OQNPqf&6HSvT zO7~LB=iebrXaXS2n>**+<H`?p0TS$l0;{yv64w_Io-n1jmvyi<92vO3y*12-e=XCS zl3Wz!M_cVox8@`lOnqV$dtHubxgV5WAXFM3%0H!H>+rFdI<Rd^tIURaa=cLvjnht| zr#}D)SLBd?O>tx3zR2(<I<YdE{FT)ONyIDQYXBpu>8n2@;l79ePUilfrsj7$)@RP; zXS0VtKfT)6+!lvxRARzEQ?GcFy4qUbpPaZ2kX^i=zPD*1mmY0(K3`j1e|n{&GW@N( zc@+Ebs^NLMd5W;Ke!GZ_I^9_6X#(2I`1Qk%rugMG;sjudESMF7{H5OpY(LfvkJ?b1 zNP(iQjfujtlifBG@T&*;>!-@tJD^ab?7+3iVkl`VgG4`*C}F|1Y;9qwt>pc&>npCt z*i~Xv7LLZ8S&G-=<qMC<`_{zeICJYqac5Q)zo5eBix!<ICSM!uOcE(g*vY*sFm7*t z3(B|XpW8-A*#>q_9}3%BGeFI9;g!56fiEzml@6U{paq+jcjQ;S6W|b{Gip*Bb=UQ| zHl1;_3^nfA(|wP^i`VN*^w-L<vZ$ql*8>S$tB>aBUOjDF<mrLCrWNIoj`kEixpy+Y zt{8i3@2lK(FC@AuQ0;<IOJe6WlM<hK^5fAF+-X{gD1>4>V~wy8&p&Kh8?7_H01sYF zFmxyv_AYI>ew6W>Jili%)|T7ebq`aP9w?(vC2%j;NHN$bgrlDiH<#wxomm}SYLc*1 zT`{+{Np-GaBfDqYeGP^zubes){0e0)d)*noL$&trPW#>(t*_6vSKj7^T;~RE?%r+n z(beVzx%kS>-RIKMdctl^?xn~`fp$(Vfj?d@`N^ucqbqk~hWvUPs^og_av!H}`x9ez zV;uKYrCSq+*g=nRWhdFsrKts*U)B9_{VkU2#0vh*oDWPnc)vVHSnIy20MURY#`{m_ zdhx&Bq0z?&Qx4)bSSJveB_9(jik~wpEsq@ddmLPQ9MJy=HO2O5u~WM60aow2us0a> z`^&h}i7ms@poL@s=O4Y(p}{B-Cgfmmb9+m)HUXcZ;Gb|YpvnU9?`bA4v{f-#U@u(U zp6@RPXGoS)G+_hz(!4lx&-;7Mj?S#hT&-?8o&s;bkDd+h(sKmDL<Y#hKaP=<WNy=d zjEt;~9Kd4arziU|7yqpc&}Zz(wcKJF1G(Yq?)iMgdL^?@>*M{^po^E`->dpeSufs( zkAYU96X!CQCy#PrO<0P!Pi&O_hyMrqBBl?hg<V{Q($I(y3|d=lq^&1t?J)#?^DPLS z7`5hj`7J0$V55jQBja<{#G(G*cN#yq`8Sv!KXj~bK3%?k9Jt)H0iK!PAYs*+d>i=G z=sBy^gW-^aYK)6l*k8=S(%zidGLkfI6EtDz9!Gm7*9;=AHZT9yOf0xA(fS?iK2*kn ze&H<UsZXs=ULFB@u^fqp&oyUOJY>{|Zxxhn3Jsix)i2i7x2JZ6K?~_yFITIjCrPMH z9CNN&iJyFJA5HWN005P_#(XPXPJAQE)akM8MY0Me$PF>&l;F#2%UjVRTUmvBaPj&$ zW@Sb)YZ+vA-_;X&G_$r-<v@KHjHLM(g;RP-hKiSORZt7jm9E}5@tYp3^pc68dz027 z%z!jdE^C;d?@w_?bNTLMn?l$@Y5K>N;vFKPKYbY!*m`a~5cGT(rS^v-!vGPxylvp% zuFz8e6VKwzL1xaP5#8(SXq&<q>S)R0rl!d~=~&yyQrg<cZ~8|VNm94hvU|H^g*#?t zE~4{hiiAF71Tz?)UR<!yU_!9*>aQB9(Bq)Fq|n}3lNJsHoBA!O83mt~XXg5NB?l<W zE=tE|Yj5}t1TO!fnHV)WT@m?FVL!atUu<5lUfK19kWf#ScFEv=aX!3ZYcMh4M+jeh zq)2%l>t}NlZLqP2u_bE1O-yi79>PugROw6$(aWu>?D~ZhmF+3@&s&#dc60r}AJ&GN zd2NM>viPDutVgDm)PePpkLfNT?Ohw_@@`2-nj}I47H9I!3LfAD2>ysa&cG!L7(v+Q z>2b4}a)@C_rD2Ycz{yX7#rwzYzbQG_+D#8sy0$8w!#IOF(8*!3+VzNgL^Tk4s^pgv zva?Pa$4C*vrU#?CfA?|ky+Q@YGw~3boXoZ!=OzeHRfOisVpTV?j~k=|l?-g8;as-* zyrd@r%0*B*XxC#WxwX?73ws+X`NpE&*-hnZ+1Jx8cIuA~vupd@3F6o!4k&CK#uf7| zez$BL(r9pWRaHbh^wlv;Y0DjbX~@y``jMuw$={V)N<3n$=FIA~RUsaRt#K3R>7J(^ zFt8<nM_8XFu+%3$G^g>UEGRi8`DVDq>9qk5u$o^oZU!GvOp9mbdgE3}%T9Gnj_7)K zbo=QB2h%ndHpg)Qs6}LaE-NuPY*me>DU`L1*eZ2-os$Q;(~=&o*ypG?eP1jDt6WT# z@SKR4`@un?mWugFH1bJ8f`ZQUP#9{iK2JwJS18T&W-D?XoVRmb|03~5|Ix+u6lf0# zAiw#oz4ARzT${oXezHHw<yG*NFzKmzD67S1y3F0H?t{880{<wYSfVy|eZZHCpOP$& zk{m<_U?3BVAj`%{FNJDvCCKQD!>T8j=8h@O+QnW!>|&gV3niD9KAK1$6olp<i>h6~ zi|`ec#P0Udi;6scZbJ#hybKG@ih5}Rn9&go2iL)vjM!45i<NO<HE7WDQ7x2^Br6>( zR=F7C7bN$^J`8z9hJRlA97&<XPV>N|Jmw`DrM!qf9GeqfjvGf=oM%)>{<ynSiTBM> zK2ME|1a)H*LKJ;)5vYBtqxtt-pgf`k!RBm>qeANPlN{x-!cQ_zS+NN|4-6gwUKDxQ zG+)MlcfHU;u#XwaEo&1L8P?r4Wm4QZYGQeam}W{u>abW<r7GX+)VYV99;<c=<5lK` zEm<&+=EWs3kKOi>DtsRo?*qFLkeBy9mF$#H+Geh}zPV_F<BP1l*|d)*DHFIXmv+0K zh~wY8qkkCu?Kq#<>@l5ZiAUxQI@?!&p?rb<XVxNw|De*}wJGaxDM5I&HM13shx6dO z)P=NTW>`h~E?4Q<CXKR)+dl=E^oEHzWav>Z26ydQueO_YQ&(4q$7{z=M^{&>Pb3Yn zs?$RyAN7O<tm>26^Bp&@A5d`4m(Wa|1%i+BXG23;i|?P#Y~~K<>a`UH0MP72ixyP% z@#+8e>-MzTt49%bjoMv#=mga|NBrX%GPZlDBrmpoq2HkYwQwxK9dg^@^mYq+{Iexv zqG(CG?q`ceH--Zx2LU#4W&BqC9m-58gorGj0nuK<jMTd{OE%XaGBSLNTw2v;E2NOj zNl>f@s``x#y_hB$%wEh8I4CVx%Y`@-PycEnX{1*BrW^v9g2vFdzoJShuwWx8r+7sU zRjn^XQT&Jo(VWmIldQMQBpuYw0QL9~NMG-&0ZrEOMTjUUyW)-o!znQVWgtoMCB}{Z zopoiZo6;VdN|W#f#{LNoOcPSxAoXl9`rN$kuQDMIymg71ThKuxa6g5)PQt_M!TlCc zIqaK^Z8aQ*1LxvVpO6P*<lvo)MEg%>BH(gmBh-+A@rKvN%p0F|)Ld;u;RJ3N%Dh7; z$R{=}!Rs)HphT>oD&rqrvM*K<1VjlZ6-o|->V=|H`PAi#kKkS`d#b|`46ksa13nD8 zrRaU8+Hy!a`fAe-0FxyB5lgSern^E2s>wHQG-HQ&>kxh;b2XdlA?Bv~fuXHp4C$sx zNo}ylPTlv5sSA$PDfs(h{~r=tXx&8Eu75MmHT??vtNOJ!+HF<Vd(?a7CVn+RXtNb4 z^i4X%b;yqF!HEa9iO@l59`PQlhhw0Z1l_gRQB#@OVehmIfL!pss&jG}+uvn8QaQGx zO9tQQ&!wxprBMT%sh`%Hy^K(l{LNT<tgl035r(!3RHL{t@eBceOiAk^MdnCy$?~3s zw#S%YVT2y2+$?+u#G`%P^qp#xiTDA~Aq&Phz5r;tak~)uoIma86lp)Ep@z*%QX|P* zWo7X)e>EC10*Qiee~ag&1Dx+m)Rbm-;`E9VG*9|FMK$@?D*nu@@bFL#ev2{$kJeOS z=5f3p1o!9Dq1r1D7NxSm+78XHISQRGkSrE-*{XSm&g-{Zae+|(R`kxG2`O5%{SWK_ z*WV*tw3%}E8~6Zm7!a@eB#hqaCgPG|OD0`Tggr(-4~R`IQE+#S=b>TKQRp(Nf8y%a zm=BU`geXR)w*1oJDXktK%-IdV)^R$ghDc+vwzon4EA-1PI1v-yw$ulkh16^qA(>bY z^61-FuCiu(4OGobX+@#U{8#9?q}0EOwQ5`lNf(8`Zd~OzMq}B@2szS_<5x6@>5irk z8E*o*cYr#U2mxC{_3JwLj9O?2`Po{HnsfBvEF|)Hp%Fzv3!dp@2CJ7>H<slX2{vNa z_owtih5_SV))qIgM{-sc-d9d+4lvc-lxD6F=^E<Y=1qJON<yRL3|m`BTT>qNRTA}8 ze)yTjKf>(c!-O3yN#GsdyTL9-!U=`pe!sksvjF=D(9jS4WrLRnfp`61RxqoS-ThcR znavbMT@vGaDQZO~<uU|?zsKt=_8}c`Vxx^_{6VBm5KTmVgPJ(LX7Jp1r<SPH*dI0< z!uKZi{lmH{@j#TdB*BS2FVXo;V9LoeUMSK8U#)m=nLWi+Z(6@^venNdDnbI^2{W2k zAp%f}Bq8J@g7SPq6L!bCw}XO%XVOpGh8DFchpQGLH47o;ZhQ6{pZ@r>hS;Gg^8;1z zLM#iJN)ySJ?V=~av#bVxfPqCgaN^jyE%m{xIxdCRMlA(N3Y&{&Fq|}V5%YI0VLUv= ze7tR^{)FTB5Ip3~sFbP#cyf4WH&JP7uLbb!0-2y%TQH71$WY<Tm%BzI^vi^Kg#P)D zv(n%Lo&?>ECpVe*C%oWOy8LTcxY%L_r^`Ql6E)xw;zHv4JLd~=oT?ehaT$RySej_k z?cKBcawJ4Me_Dg3=)bvUeH+%@lp68RO~}}(miQt|-cwT0XNua$^KKRd*M_<F;8hB2 znk;8jVd2NiYv=f8$@{Htp7p&wfZbvSSE_K|n3au0`yop%rX?Cb%q`13%7wHztIkTd zcEt`l*+ww#^OBO&^>_t7_<j8*fi#<X4j?lvTx4HQw_}pSiJqYbAk|m4g+AQ6<lpcE zX|Tu~`Xtr`eYXTZH^8iP9`GD^Qt|-gp^<hu#Pc41*nTPP=*OIPVSiys*?LU02UA*x zq@W8b#-fLRmOpK-`a+1-4)#dtlUo_x>k=XAaGbu-`_5(N+*Sn6qX{Wk7jxLCVCsx- z_R=)#e;wUrN!yck+u0;LN6w*&g=IF&D%3CO5-=gnJ^Wh9k*9h-R{K)FhVT`zYnker z@n$)mERVKe>)_{Vh%`rNf#iGQMcVTNe-3_&;%%ke@pElikr|Hvq7IMSepU`8wGDkW z=~42MfaK&#afve2D(9M|8n)OWdoNn+C1*hJ*IQ25qBF2H#p*7dX^)#3Dwaj4m1X|x zHecZHPa)%b|9G3d%uZ~J@DV(q4~oFftwHl}m$PohZoU#vD=pM4Py2%BCiGMM&N}ts z!0_mwP@6R~?i?C78%Gz)(nk7%7_NT?B7kcY;h=!3<x$@PmfN6FHlZQ>uU$hU35A0) zuH`6n>F~kX)PMI_xR<llt(Y^Ujb^B`_UK6ihzH1`ZOUE#P5_EuQ1yUPHcofk#}juV z)e8CwEt++z{x2<!x&u|He-vrJ#vr?G`_)b#v&ow@)0Rk0zb6ScIFOuXtLL$CC<&-y zy>L>)KzqgS?u8N~mz$(7t|cG*9fY%M-z2AjTl>AmTIaBadVC+rJQ)?EqH&;dKCLFV z8F^%06~2D2hu8AuJ7Op>y=7FpTiNI2b(Hf2doDCAf9vmW1VchI<|FXXL+SwM?XCIF zllYnNX;1QziTbe_w2g{d1`Pe{`nNUFxAoYwwT1Q8{=yOW-w2G4Vy$=<Y?fV;ANu)a zhM#Mw0_VXd;tElPtUL_{fm)vLmaJtWc0}X5XA)sr8I}8nIDmnG`1q?gunCNRFtWCR zL_i%#Ox6PlX_<h`cqK@p7P8W$I`8%PgkWYe#W$r)H#{+ZYbn+=wc(<&-{wq^FzYIj zJ8oB=z*I5$oQb?@_8gK_TVEYeLVXDxXV+}-y+-%LZtx~7XSfrPt}a*&KIqdG5Y8bb z?(}{Ks+5r2(3G?Vv4<vC73Wjx$by2`yo~v#VR*dD4F?!nkky%D1ca+!NvdC?a2Yuf zM&P^q2m?`gH(amXTB}xw6Bme+;Op;`jDJXa{q6NyS!0?jdVMWQ18o)fXS^C7=`QoB zB`Q1)bRoQ$?=+=t{C-O7?EZIseJ!Y4wz*CRkfMMJ?<*sEtHgfW{)M2-hyPyLT-opf z8z8soj2QP&$(mqxda68f5ag^@#Y5ubBc&H1q^zh>Gud!<Y@Jk4_OUMg3A?Cu)jfoA z&5GzIkkAF`W)xc=$roMkN<H$c>^#RcisvqJR*jljmR}I(W+|s)*-65>%u!WM(f!m4 zSV!L}d4cWJNts`O86u8pXr(Q%b+Busb9sDxpztkKE<kbiKunMlR7+8YZS4>nrOGZ9 zErHzFgfPQURLh+AwRdp(24Bpue<Ik_6rKd7ihbjpy{6ME15t|L#yx$(w8iTCf`U?M zkbO^a%G`qXYjb1gQi<i8dC)c)riJ-7Ff4vDEb6XRAbZWu_023ac~P7}^m2MRT6mkN z)<6}}$qEVXMF0I}+eaz)`{wbQJXKp?hyM<EfN3T1^fm5H;m1$v+J8-5A97wFZFnp@ zak!Bm1yr_J6rFW8a~G*u<bTk@8V#>3Zpyh>KWr6whq%ytp&WO|sPvV(Id9Bz0g%FQ z_+ps;^i2sQ$nf%>DRlAyvdprlxJN@DTOETszLD&d!?l{+$BwL_Un3c#D8K9ui#wUN zIhpQp)^>2#zH`<>eofK(`IpRCCqxS&gv?kwI8Cg2INZ`s(K4$cs`I#bvsYz?H7_?0 zsc*-4&AED=X+(i$fLTI9*AqBhhJy;&FlZNwB!h(I3Pq_E1KkAao3S6#HxoZ3f_=De zmeG{M*&wq&4A=id{2J!;Cz9@OM4KcF#;B?2EAJCSt6}OZM-@Y3t?=W6NHq-yS41*- zfxM`Dn8BO*tz)yLr;=1-71jE<biB5luCmUD{50SYyCegAbG^6dVRby1@$hb*$ot;x zhX|dN%6|u|F|Ppj{}Mg-uo~?H5Z!hp1wGihbj|Zrt+>q>9@byiAM_fin(ZL5bVps^ zZzu7Zy|?TPRAhqJg0CK6m#*3_2(3>XU#~YlwqLxOyttT%?MXY=5OsS(xDC*Qtg_yK zin?eK#PfP8`g1TUdRtj4`gK-3dRD=hd?!u#A#y3B@`nz)@VhAsmA@`4FtkJ11zwHJ z<<pmL&M;*VF4RM`QD2AQ=41pLddBpAvbbGHlL@;s7?z8@5GC<j$S6d7+QjJF)A|=A zd5me!s`Nc;=acTtMme5T=&+s#Wph~okT03-1H5m;J&aL8p@T?rlRV>(yC#Q!B~Z-& zJScrPFAkkC>cpM;9>w*OWehSZmsR8-6XkXgRMAiVLvp&4b-{+}TB2X{gfB)gsS~u} zj`ROP{7e`=We{(Q)i~3cirN11h|t>@2m(0e(Dk&ScLC-AWAJ*C?kH%Rp7X!Z+=um= zU`!d;CPEO0g~M43jJ36yNDHW~OUwiQg6Zk^xJB_hl31vvUNy5Y3!S<ta0uF@5JC+Y z1OC<qN%cYvRkAdGev4ItiWQ<a*{8OH!m39)R*u=Khyi(~e}{7QTk2|xmo71C1WUe! zh>c)GiFJqp7A8s#v((1Eh1_H~)H1w@4`v*M@~^!*6cm=d2o%=T(okw{=pznJoDUh5 z#kD*P*NeIWWQ7#r7tKc6ir|COzDTp-7`Je-BcuRcz{gHCWxYMy8Qgo1@1p)IOiR|J zno4Zumpo-$yv`q2YDuWaZR_QwHLis1q_DQ-sv5pP07wOp_?tBc)7G*S^O|t>A;6o| zN?$id<hSI0N584eUJ||IG4sk@X(9|t4ymJwS)U6aF%qs{>z))xMm)D2ub$3q#8__5 z#9@|k_t5UbUZhRkn0vc~fLD6=UGzPfx-3Kc1r_W*&N+=z7kk@`qVhP^jC$%SV8V^G zKn4`M);BRuA<Xa`)6KXynWH<o<6dRE-&g93Ma=NPz%BBmZuqK-x>#Ux6DRiN(Q%Ss zv>>lqEZWjnPW7MFZ}O`+EDYY^mwK^eqNV!4m)PgMAziYozAnCfm47{D;CU2!FLeli zp#SZ2sPG#Mo0j6<a)JS!Z`3{ekJZ<5G8=$qY@@|SPM9cKtuX$Tp7<oWxSS`{P}BwX zSZ(%Ylc3A=`wnN~wA%qsfa8{eC7pjs_h83)+0?boF&dxF%#UsuUW$A_LFP75L7x)* z7<YFlLt)1|Di|oOmY)558j<Q+dX3+Md1xzQ{}LG6D8VgF&XtlOE~p|rr+=Rdp{oG& zXzM!aNRDfV(iiJHh+#HeA?8(IguX;$5jQ(aVF;IrQW;SZ*ZYXf2-#3!5!d4h%?Jeo zp(qTsD$a`ZX}SK3-{`q5H<rE~(%}xX;8xF)4XUe#ajE3fcr*QdB{7nk!L=c86aGt< z2a+(5{F-fu$sWdN-^Nv^<+|_%g00(51V^I2!l+H5c9DL#JI{<fr3+~gG%58h7rzgG zbeIsgSkj@*JNch*LdE_G{(=Oj=i=`dVMr3Pn=j;q;n&-KP9$!0Btnd24Gu|_Uphxd z9wWYj84q@awhE`(<_zbw^D|n2&NaKEhm}M>HqW68et;785%H%aEtP*PK;XruT5W2q zb?B$-Pfq1OOXFpu4Z6nP@zZ4^qw>dK^C~jTHmyn(+EEMn-5I<199l2$F*@Bsr@abY z=4DB~Nzda5OBNkX;3Ft(oQkXfR`Ub0J{pFr^|(YZuGto*d<-qFIiAKzDm9>PdMkxf zo5<Q$w|xy4K{8S^X+H!I3x&aI=brHkE|=jl)r%Fup(jx6KNCeHsr!16{97tgE8kMk z2-IH1ZMt?)%&5vEp+nmsShI?6_T}qV9^)EuBey%3W6c(ReSt5HU<87lSF#I1rQ!)Q zyXUBf<dgjGP(;$yQK4%&gAgB71I;8b(n$&Ur|EnwKZh3`P}T(?v_U;Ney7?NnX`fW zpu^_(EYTx+t9}f$v&zoJgc9K7UPbOr>ZR_EdhjZCf$2ew>~`_L{^10Fo##c$$S(5a z-`BoD;rUA!;=}R?*5(lHV_sL-MJ*0&&7CfsxM@c#aQi}z3CiSK7nv%yB|U=WKTC4* z=UHg@zoYnYlD-*06&ZCD7)C5JbaZqvuaA!?HtzYBjO2(s6ZvzWJM`bs3n;2mhMkX2 zKdkejhuQjOmR1)P53lx4_SCZilF3;qK3gf$-!`$tE)=Mi^9Sg(>vDXu`AIJ<O7hai ze*Qc`^ven$A`L|7g9u7?5TOJjvOt7Ahye0fg6LON5T})Xi|%+c!2Zxs6H86PVEZkp zB8Doj{p3|I`Af<8G6GEcVY>G!TPzj8sI!7nto6Y0zG9qBk4q+{^ojNQ^*wQtzSM%f zIEMcW{&6z2Zi(+pqtwR;1BOQcJag!uWVd$}GHEA8b-NWxS+|U_zW%dp2&K^AT4`XG z#Hd$BgM>yO6+1*(H(qVz0S;3?;vY*4Ha#gtEyDq07c2TqfKSV8fX|UJb%5nB>BwQ> z=UkFQ6@D#DN;Pn@Ts81}0x7uv<c^^5ZU0<|&Omj~bCmYW&&Yo%VLf=c`*%WQ823Lw z;RazSDAY?>MbV%8jz%WR&1Y-@VwO&%G5qhn+&a^p<hM|+d(;+EL`8nq@|@VJi#;XT zqww<36)?N1(-Z_Cz_+719UHN+<vZ9k-$XM?VW+NT@LKVk48qQvM!*W7VVecpz-2o3 z#Uv<|5~kyf4w%MMz`!tRFL>YXnVxMN&S$cVle!D>1G7q_3aFRE5C&Fh;QQ+3Q0M=z zEU<F1&CTl}*VF5#b|&eE+pOLY?Ph(ccU@~oYX^D~q*tXkuU>rCZE1)9KfO-XT79o} zl+JpGdLE*tMe@(+&zMJelthE1vXh~I?yc$X?98-T>P-h5Y6~<>Q%M8+^1~`Pp$n&H z8_w6e`)~XAD@q$ca(ka6+Msl_r1}x9gMOx7r&W(MZ`l7f`v#cXMmCulhH882&Q^@w z8&WqWVQ~ezo==V<V<`45<rGp{6-t$kCX#+M9f7A*bj(=94H*AZ8$+E(^zC&OwV!^! zu%ik3ux=jikNG28;!W!E@OjX8F>$w1bO20Me%eXDk^-!78{R1zyO$xf+3!H&tr#($ zq{MdoLvy-%qq|-xXK6*;xm(u48M`!eo<z2<yJx%Cr#F`#{u7@93BUVFNo(*4-sGea zTuo%bS7{vw!pShHGrLMw;#+8F{j@a`_{k6px!Lx)fewQ$^Vj<)*XwJcvzEvdtWl^g zjA5dEQUGSyY(Z;`L3e~6RpU+7f@N0xdZ6aV*e>y^8MoHool7CKFw_LqSKFS(qA2nv zrU#j=u-5_aZoCGP`?1sJ4M^knQCvCJo5m_k-V{GwW$0gUe|C9L?VK$HVRGeVej<rt zCoC~y;{O!gGz(LQCZ;>-4OpjOGdf(ykNstVstthK1^+6oL`5O4^Z1qTaof~#s_#Ve z45{IBvDle_RTG^HcEv{p>OyFthFe*9yHLCLUS87rH|<L8w?zEH;oQQ6ZHsaO<$oJ3 zY#vR?jGdufKr@b5-pbI5^~0Z5&kx<CroHE}8T4Kie&oZyfy{PQ)#&Z<VSaw;BwhSH z)WD7F;L7t#TBU29!xnOnH>CfRCGRR*X+lqS!$v=hr5cHMes;tAAdF=L@?MPJ;!mY7 z93HyaX5C6=Kt~~UXQgh-2SMQ5zax&eljyLw|1#dJ%#UgTq~Oe`Tic~&rJkTn@Z~xI z=qK|bU*ygf&;1*J4u%#2w;Mj_PD$7<;PWDQ?&eVG3bJ_M5$n{6`}F2`4RvpB?i4sB zz4?<T4L1IvV0b?fNrdSBi*?E*NdGBk?9Af>X}T@!l6(E13lEaBfXVt33*Lo2|0rrW zQ>l?<Ti6YE{Xh2h`#ip7-qlO-c9e>Cm@B2+i^|@!>CxA##i)mC!#K+|$UWI^;KHjI z>kyXLbfM|b%JkV;JIRl`mw(KJ?q07;c|l)MQ-0=*c{Gr4-93Dh!gTl8I-m^l-VXM@ zruDH;P)@sQYz|M28hRdHjwgWnzf<uF)AgQ>O?M~mb>$A0Q!zaOk)Ho$+Mq<+6Hg`9 z!`<V$#wA<*+@Z(bAx8i}FIV6H5Bdt=Gajd0AP>^rRs52@_+F0_>VAdQf9=?&!1G!T zUDmkID_p%QWx=F*uMf)X%ZP0j{nV;-`K7D3>E`ueBZRkFl_bxL>T`-G;{TV!!;)0P zM#0+N7FyQPr6Yne^oyTvk-ZlVf#=mM9}&K!mdnVVDgD6Ob=pErM2`S3L;a@%ns=SJ zdAEc5Fgt7)gcnuzm;eV{mliGCHb<Fhnjdpw;Fo>S=?u~v3(mKVT{47DyhGIp;aBsp zGuF1f4Nnf!KSHpjhmD}LH)DkcT<uny`1oPcI?kt*s{)ioTh#js-Z$Bbh4Axqc~W9X z?Om)fNCRb8O4IMis<cJ4eW#yAd2=(0Z-nIm-A=2De#;$)3x3O;546f6FeT)#Dkb2v zy;)N5T-gC{Q~3c9io!P*%Dr%ky~m&{Jwf<3fQC98oI3ndR(csSzWNbl<j)Rx7l^|^ z0i!13Ri@@lvOLUfu7M9I5;*=GJZIcdV_gR@W6<0lxp#QzTuxl$!}qisF{KQxv~b3@ zHoQ{}G-4BfLw9(@V0gC=1QyNEF+V)jx#H!mf+hxAhZjP83_|m}+ed5dR>cz3XxvYj z#e%8~J3~6Ujpqu`xk2y(o4bDI00Wwb&i0T_`$T~Nb_g-^^&Zpa+iVs>KR@2n4G!S& z_e25O*cIu`EsSIIt_Y&04+?a%G`SZQ-(^<_Py{AAR)%Oq*9)3(qX=E^wj0;@y4~jC zVA`GTlwZG49cQ*K0<zz&(`bcXJ@WiA7a$bZ;+y<i2dwNd=GhxAvhAI?)1VsUjChK5 z(i_DE8&K)bbQisACo<c3)`?-&0Vi9x49GQw#+9g7u+7%Gchj_vR@Ci^U;j}<O549K z*u%R*(%=)n>`XZT?`bb@uHcBBAG^opa~V$_8R=5Q^nnXHZOA1&{{VU)ys*oOS~n8D zkeN$^$2vFltr#ay8dU3kP9+}*&6_|B3q0d=h=5!W9EFny7gj2N0)#<J4*wyz9R1{Z z&_dmNm&b+O0CzoWDpsp!s1U-2-~d@*WzN62Mgru%m3u2jz2u+Vt(>(iUe<XASoqFG zxI!>`r()4UP44KX(+&DiE8ava;7Q}_DkMUn1%h8yzo5|PxYrZRaZ+k>$8w`bAJjdX zQ$;x)iHS{m&Iy2Uv2U>E3Y0|28<CENk*IL6hl;)+KmY<@ejq>%0@V~;#|5=`rD$t& zh@7md<m~2C#eUmd{p57SyJ*!YHGx{M<z9DkZK6MNQe4dD>t!adxRtDJj0-%8v?aYj z;z6W6Y-p=(&r*AWH#1CQ#7!(Ts75z;0rw54BzVUmf||)e5k6If1$QYXUl&N}?;;|0 z@>1PU3gV=&o6F|5QdUg*PG2mUiZz>?rTQSsIhlwwK1|oja^URjWNP$cJ3{drzCaxc zKVAXdR1pL8Wu#yV!4$}V2ud!~^b*|vEtSk8QX6Z-@~ozqrW;x)OPal^s20)y=qS1p zLz*mYFeWkMzAJNZu;xvmFJlj~*OyC`j3_w|1_XRSzyuxy$|X_48PN(5YWT!aLX-oS zlj9@;yMKj<D026c8xkAC)k*H&!LC|d<gwD0+4YZx6??pH^WHE%f+=<^*gt{?xUR*; z_JhY>G;(Cc|2pF=ygUSOZ{UKRZ7F66yKRplXlxF?(Lwh7er#<%Zf(<x-SM44>RI}m z2vz+954GDRNt0V}IEvNBTPG5N?$XE?jD5<{#{!?Rq>ENFUy%8q<vYUe%wQb*5rJ5A zqpL+gT%*NuFi3U(r;7hm(f=v8|CHgYl$0x|;(PR^{VoLA@J6adK${l%q+MT3JgRa? zG*qopEvyM3V%{Hea`7hUSmDvOy?W*q+ar{M4>2il4&`S9ONOiK;j8eClkS&j_cQDu zo+Gr6tansj?mk<ik*nzhPJ+zO;80*s6=@XRN7*|oXm;7`N(597=oIzf>;H(KNy32C z#Te)sPmsP#LBz^d^)4sBvH#w$R!&bh`vRNyySnkTZzyL-=o`HnSu=af&z^>)b1HxT zQyDl;U=|myQtngN@!|*f$aeNDF2rP3B8;C6rYh)jDan81EM^Gyxuu=--Co67g<jGR zj6*_Uz@ylHEAaw_8gT`M+Mojb{jF2rI6wC((RB5`(k(RW^c;_xPW-w+LAxOeUO{@0 zz%|{6Vc#o+h^F190NXceW&~4J)W-z79hJcKY5$0U_6cpWfiT?MJ%)(p;O+&R462@2 zMK1&ggv^I*dhIt)j*XKZdBlxrLp6vWJpS?C21($ywO_EJv;pO}f?WV@)&^zv)qu$3 z5DV(v#2=5eA|7-l3I~V6oIHwq6pWk1K=wtYkIk|5UYINRMDC{#;{)ydM^S0Lmk)^l zP=FK&<?e$}zogzv02;l{PB`x4E^Fpf@t!<f6c_Ge$5SdT`)M<#FeMLVD=zarlsK`g zu&$|v2;uD&JD~a8XY8S-?5+tV+ya@2j{A<zj^080_4N2PEX*cm6BGCM$^Re1+|BKp zJ^<^Yjk@U<`!C*|1~Xst)xZ+3lhRNI8tyx_73!tOjk1F7yc2D|*eAC??Bn2D8-goD zf}7arV==!qjQCo}I47XmLprAA9+%&Zk=Oy-&zaKJF*%^{ieRrSX?r0SrdGupfjjNs zg~42Ih^6nUCx^xFSf@8vc89?neIZ&V-BEGmv6#L@SXRm?r#4L!FR!L*d#a-rQV1tz zB`<?qT_TTIUb&~2u&DCRS-}|wuUN$${<lDX)Ju5*(RM~~Aq}7{Sz4{aD${q`#mv_K zy^kr=5kFQ?io1N|U)lt!m-A#Z$qrkh@3hJavd*%W>tpG9Kr3Q#T3U#61SxZgcKwOs zM8lts<woLCmboAn@@@`D%w{6VNGuj=Ghq7576;B$avMw8Ft1OsA1q@Dzxt(DLGNWD zmQ(#dCuJ@`S;j+3+mAitx-QYXfl6K<raoU@FLGYl138BDYYw&LBLbj%%GHEf#0F`t zOv@%wTGCNG9F?SqS&tedz*10L@~5Y%gy~3_)VNSz;J6YK7uAuPi_n{snS*D|i|FsJ zkW|N$U_(*>t)E{ZGg+~EuA3qkRB6Wx4?32|RXZi1#7mcg`y$wY>rU%ue3jx#1v)g3 z!YfzM>n*tGdu}B0=y`BISw;iLbg4z0b8C%c-+?6D73drQEqZ(f?Pj^~gGj}q)}z?= z6#N0QmH@0wKszkpn<0}jF$xCEL)s$@kB_ry(@2wT{gMd+w#!kXTnZ>M4*j-%kt#ff zatCmkjA#&JPwU78LzN%CMuAj~2O4=w>vGh%fcXlp#pCpaWPS3N`^IHE#AUl)TH@Lt zGV%qGn62X&8FY~7FFtE-%5`q8%U70(Q^Ts2S9f~rL<b_+?8ouZ3|J??Ij2eZsUlgw z92t@oc_%UlG2Ud?&%eOSrx&2nP9MT+)r<bdD4-4n=$Mo+M6CV_qf5)gD=2E`7W>R% zu-Qdfuy~E})t9CQk#(9N%Y1Dy5PWpSj<<wolNGix#$?%l(Kbe~BH9hKnT|{m%0m^G ziR7na{o$A051$AFfdeT2M@8`CF|odAnn3Ic@{H-_rXvwUmB&g}OWJl-4X&9L6i&sZ zt^A;g&bWp_k`X7AZApzUv3C5S7BYGo)z%~b8c__bzJ*p2%O;WtLtfWOvj$TRL0Pcr zAZ+!Q7qcLO*6!Oo+^qRmEFtOh>t4yfa!z>kHBo4k)Q$SaKz{$40v`R%Wby^HuRK-S zb(T!A8rNTg8ES?6OrhqmA7GTR0ZB0E=B4t(A_@+&BHJo`(4<`*Vil;+#nSXQY=bUr zvf%yQ*x5eU-^hFA%CIoIa>oYo-ZrNnQS`B8L6H_zpm@>Up0@jB0^!&y>?Pkqzfw?a z|D7IzCDmsIM3SYhtrf6tzPNi}L1e;xcwR4xmymRqosxs7B}|A+NrR{DigyJ*9}S-5 z5QCYt6trtmh(TBfJDXGR_SIz3x6sYJ03TXqNq#q*CS}xZwVsqG?2dala0RL!P%xT& zP%u5w{;FeSF*rAhh$H`|MjWReoijX$=+B?7zztNe6*k%T2Vwrh2mCBW`0mxrd1aSd zcs?m7oVV7^JRCi*jin!?Daks+^}6%I;)hw21O^7f6mjy1DT$Wa!^8U+DV#;*VdHd6 zrrJ1G<dkd@vjjV8rbPGxdhr47KTt8339#3pVY|xZ31wFZDzcD3r;h(y#+*I5<&vWY zhW=vyl)ct}*(XiP>4*krJjeIMLbZHD-ieunSarbbhFIOe?S^m<qws;g3l#!GwEXk} zsbB6Ob>sq48g(6D*~pw9%bQBv_?WI;VQkmG9^1mngsr;}B_+>cF-#&p1VNLp??FY{ zXLZjBs_l5Y52fUjFWjcxb&?0bwS|`iN>JmZ$Rbf%#1(rj-`>$qX^d73a=>^n3t2w| z9aO*P0XIa5q@OKW&WXaXH6w^X{s9p({KuBew9y}&JTZuz><}>vT~HKz97cGt9puIR z12m}N8$?L4<%;0&3pPxE=J#OGK^9XeG^LDM-{=N9B)EnuDB;)wfC9aMh7ev$Q#i)d zNz#vYgFSY1qwHF>d1u7&<r<E<kb{I8yQ^@Zq!|U5E^eb*@s}HFZoUup(hY=CtpJYo z<)u(OR!fMN3S6#f7vp^ThCdyB0D4;snrO|3)z%_=efxYTpM2SKjn{&e$fITv_^d-k zZD24e=SU;gF&xeX(2hd85vUguPsv6m9Or;xT+YFh?wsX9uADjH1BVSO8B%k3;W(SI z9w^zu4N0NePDT#%+QvT#M><tII&#k}LbQgE;7|OHIO|)10m!cZm^STsw}Td!@gC)2 zeNItYj29?jD<CBJr&1X_G8JAD^ad-ni-^nCU$l;ZnT0P1Am2R3_2bqFh<I9`2LGKZ zkHp)BO%e~s8AzW(%SydA+GVq$2zu&)M$Z#toddZQ{z-lsmkuHKcEs<uRFb_yx+!TP zrs1#qFbW~nePhwa@&E@>W`L}x`9dF>0H+?CHdfhl(9?V`A86DfXjFPAKGUdOQPA5y ziV6|{)--5DCK=vte=HZ;!P>(?@sP@#8u4IiM!A;s9REymDEVk1uYFKmS@$KFDaEwq z3{6?$=R|?lcknyYD@d03@kR{TQL?a*dXyw?y<gbES}28R*3a-NaBIgzbpDJKE<C!Y zZ&PY;2{6V8<Sy&E2u4NGDDgr#G02>{r{S{z$f61QgT%Q4Q!Ex<mMVcXix%6*1qGA% zjV)YInk*cW(E>79RsLr%Wds?{KnChAkYS@0WcXY6KMz5WA<6~hF$Xdbw}T8N&HwXI z0~y#{K_17Qa#0_87}NU5vIYH}hN=bUC%$>%KruvEUqL2QGeZFlvS)&@hXzXmMq7kZ zLTwRa<hNXFev~A4n7z{Y)ZuG2gv$dVRq1mhakbG*ajwx2dJ!(!RH=kA<)8KgOZ3eE zstUtB1X-!t$NJ=qr0kz@quKF0Uh9I{2e1ZV8H!@<IOWfP^{%9O0so($;j$kU9d7cK z0Ahy!<#~VIaW-TpJ@>JuM<_~jAB2h*b6hx5s+sBjcYkHReBn?OWpXjt;%HSqLyF{6 zkCaK%X-^tT+V42|(W5ZZpjiwjD{J_6KYV?^EO7xfO#>Yh>nG?@Re~WcGtQu>P2nXD zdJ>=)$VrB{r!ZJd>vB=jj!l-)kIStAc!m1rZTR0eCWfEChLns@Qn1{AGn&Z8w-J}q zGLr3AcSTZRW*bCG{boL&J|n-j+;+_>TZkxbY|Wwh$1(7t^54(kMMS*U(sZ`^gD1@T zs?jgX0{mqzs?RadljNSm*)5Qf<+xL7ge7mo#osf1!&k))uuDik)n1E01Y-&SVt5a* z={LfLes(yVI~no02fSWs(v7PvCF`H<O~r^1yRG-xTAdrz5zfiDc<Sa{@?07*e0yN- zh&V~$;IC9XB7Tg%k9hxLY2pqZ5%p#M_Yj|{x5=mQa<V?`-k#qkm6#*-P;?EzXCupO zapNRZ)kM)8OF6vU#rUI8qX2kn&UUp&*G0b(A<-$}{9ctqXb>cZ98ryex5{B!UcI%q zP{tjaXF!QXtT|5upHq`Y6`t=us2YkwP)EmYDVu#vmX&u*mR5M24J{RlqWwGXIJ<y4 zNnYSF%fXx*drRG!p|gOD1G8SW@#s_lbgGRMdC0(;)@>BoVu5bf+XBc}z9Y-MjWr3G z3?ULe_Y>+C>YQk{_JNPY3VNbw`rcnuE8Vu9$l&cL7)?^0uD>x^C1b=XCk=WRqrD66 z|B&{UVRd!8qHu9{r%>G8-5nMVMG6#mFHn5p4#gdcdvSMnDDF_S#fv-iPTqI#efB>0 zeDd7;BWsRiB$*i#CW~Z@B)-q1V^WP2k86*qw}{Dh5b-!ilyE=NI2w_2zVIC0s>{K^ zT7<K*Sm|sGwWl;6n+;_rL8Dk9Q^6Exva;~OTnNX2JyFKT5QstvR04-^Q&Tc=JV<0Z zP=D@0!=k~?y$t3?5rx(o4CW$}gt9vmT~Ix67vD`&9yxK2oJ~_w$Y9~l?X!^`Ie~`H z*vKe`p#PuHfz((N6Y-6))Yu&p@poL*F2yTSXs{k%-o=A=0>$2O;k)4H>r?!m=5qGN z9?qBcM>VNe-bMcE{t|r?7;(FOW8bRnL#)>>?4{Z%%*XT{4O~&=H#RR$<kn2_{;2#% zg?*L1!)V#S_xUfykr#FQKmUsTf7$?2kp!e?34eh*1^-ywUmQOb2nu=8Spd<02b`$s zQ^&QHEbx=W%dh46tDol8Ppx{2BFT%hd&f;4eE0ROOusA3xUD?sRY&KI**|W8-ZvpR zeTgz`e(>ZW{zp!b2G9NRrR{?mdY=lqe8@ky2mBRm|K1*$4?gbn7yRBUD0AW2m}>CN zyy>#%uWX=}oxBp->u9vWU~s4Jw2vB7Yq4@=hup993a+MG+gfc<XXA@5p3zmlM0;_n zH%sX9^ruGeE;NDAyNj(G^M}*fi!O`BKiqpSU(W7db5qWU&!JtyzB`AMN<beF^}o?* zfotf1>XiEMThy#K>IC-Jb`e+8BJEZZa&gsR$%w7X+ebCzZGA3fS8&0NJ~4aR8<U2^ z7`(S<n`K!UBhC#sZiM=u2fpQgGFqtaV6|EAP3=qWq*AJIcLA%zrD<TA@qH{~Vt2%% zNo?#+o9la5*3mPvvI~*beVH2j5!H>Egfn|&e&ToQlEts;xf+uI7YJGrJ()oLsqAlU zrS>@-c$rA9dqExW1|?vg_EW_o`eQz%%OsGsk8y`uTAD`Z=}$(P4*X-iJ7OFgZ?C7h zIfEV;^m3uPmg&}vK*smS8`tjBvUbrMQJ|mtknP+}L-v{8<EqsTvL#KwBJx!wL)^~J z{NFNkEwt8&TNUM^K^3@$vM4`kgz~n=zH@RpSJCe^!K8BUVMPP$mnji<X=q*X`Ifo~ zR_aiD51*Z|1;=}W+L_qliK=gj_ZhvGVj(JmTd60dKa||MxzpyKpk^sXu<m(~r=0Q7 zWaP8BW)$SJGz5NDXLoW-zA#-DMiNR6iy`4fq*y@yCR>N_I1tKvKa(vm9L~w=HPuH{ zB~Rno{|Jg<2E&43Ov51ATYPdb8{O{^t5HZiRmC7Sy!`w!2p^64TV6(SMBKHlL<Gx^ z&A76vl8JXLCNBPL4&2x1s^Bs_T^<~oQzuLG$2Z)G3wNY-d;sBo1X4-#BIghPYkNaW zY3MQ~pCYK(n{Zsck}jg~%xEaxK)|8&qVoBUztATu19o%lWc#y(wNg{`4XShg4^D<@ z!$l;G!X*Lyswc0c2Vn04rc$%Z-Qt&p1Ao)fpBo7`WZkC}GI2;e@DiLH8Po2L;3}6J zq-TT5#MeLWw{nTCMSldaq2A<$zh<W$qz`xc@E}Wb5T2f*MssAzaxVAD<fo7|Vacfy z5gikJ7;l#ymsB~W_<qExQsBqYfP@CUl!5f}=5hMD#jtLI-uB6^G(vdrW7dbmyEXyB zlOFC|hrY*1bn_NWuzKb{j&@Z;yMxywm7FfBehr1VpZqq+==v1WVo1-Ic~;`m#6^2Y zuj(b0D9<iVb>pQEs=z0JTp)v*Zln}R!`4jhosZD{DwWfR&brHr11pH%6mLLtsK&%B z#lzc;t!LIMFg9wYBZP5)R$)St2AYC0Y++1gFV-B81*>q29F6m--TN~489KJx8RHWr zNVvnLU4h<Yf2*pF$|ea7+mGcit2Ym-_Fh1fFy)X<a~AvL++GwB{`&%f-5f1YgVvHy z=*_4ved4nz?S2R@e#ABZ8xBzqUFDP?O*8BEzTx72&<YNZ;rM5oV)*`FlykfUkkxW? z1Oy;+j=_cE%RAyuJQyYctzC^0n0tN9p_XD&vtoI$MG)^$^*&TZs-$`^tcyu6kFqE~ zV->*<rUoGxcL{%w?H8^$ES>oCjJ<F)c;`1F8C=xFO|L8Y7Fz-hp8hlXfXcxK0VB{& zs-m(c=U`7>>^t*(Gz^;lw9F<=!#JV?iKlgI8hLQ5pf104Skp>)eC4KsMRbzU436qd zbY3CS(K3csYg!E&O5DVgQLHIHojUX9dtxsxSlrbJ(JOc>9(*R2di^)an#Cyfp!|94 zxSn+v!5S)`_I*??D_vYJtX9*ptS2vDBJw<m$;6t2?EJ`|&~5pVl@$B8&nFYvtfJRr zIR@YaN`KLqw?so^tTEC0lC1FeZKTKn<mkO}P+OGH0WE06A*5hD=$^uy3RM;8faDt* zw;AZPueHJ}ciHnpaC*av^kkc^qbjnsY93+>)NR!L?=E?_0?=xjV}J4L#SU?~D4I_9 z!h98WBKwT-F?OiJMG-kam;>C6eyX{XT_p}?ocA_*8$t=(LrMi&yxBJMgdMuKFvw9( z+|tF1;KVk79XsBoQK7L;Us@m|2N_q1ca>^&nK?g8Q3V!>Ci5iz#5QGmlA0DOxDI!4 z7b0!>mg2EK6rH1SaYb@^PFOIYER{RV_Pjr)8-GH@+t2T9rKm?ieRQ%epML@NDk}I< z)aLR4?b}k9ReqsTT1dg#3BtFDVv+hhbNl>4#GkQ=#yp=$EbWA!b8&1exOJ_!kmK38 zfv&9&5)8?Uw)Jx>gp_8syZLulq3;bAt@@~{4uf{1P>Qg>zB`ZD9q1XGFtg<|+2I%{ z7HJO_Jk&MK;kFb)Lin<0><})K0OJF`<H~^|qnj-ysE~Jxbz<?!-<3awN#pdY$FcGd zr~jGLZKxT6740?q-f`yiB37qe=EGY3#@;Zo8a^OE>+G<Cm@U;TxLe<w&vYu-2Ta7i zPE-OD5yWUGSaIWQ(<?Q2Y|Q+Rr>*a5(DV_BNQfsVyK$Fz8tIMUc)%Z6?fmM<;82~p zEk3)*2N4N~F9%rVC!+8<7S!^!Nr1?Amy8B+L2s>XhyDAaCzgq6Fv;M);0zLYl)aFh z5*&6S6W~V)SPnQEX0{9wLnFu4YG+ipQ0_~$ws?3OVka(jHntRqs-}FmQfXGQfhU{X zm6LXkg_h(kga|r{2mvEM`n+K?phAMpt4x{oa~ul{K^{+kH$Vv!)~c)o)%)#<fSq;B z6*~c&^svJg1-_}oVz|4aUlpY0SF)PLMY`shMFv$jEX^hdkyq)m;OD5|6oOnMSMaKU zww^$=S(95LWNB{7I37}RVG5b@7&4tqN@Pn2CF1Qy{<aqjcuR=9HRHo5OuA>0#cu~5 zL#JB4&`()&e6~=|0-?n_$!n#xU$t5v4qd*(j5Hwvlv+Ac3c*DqHJSisSzqD(GnY(0 z7}FqS0nj1kG|b3%9OCSljAB2~%}r&6Ngp!9L9=&9<vW#8C%&7X`)n{oDWQuKP>4Lt zLmQcbMS45ni?ddD(X_$6rHsHKYzw`22DhlRqs>HKpH7?AqDCZ$zc5&{Z`10%Ef%EW z85p4Qs!Az`ayKFN(NO$)ylh!PIDq7)^F*|6L~R{~QRj+A@_S$Pt6pXfuh&gR0dE@~ zCJvq|@!8pVjJ&*44OD_krqHUATIM=Zctm80wELYqSVo|*c?m+9b~1aNhHmmSSaLv0 zLqyQ~5HUm9=}9f4?{n;Ox&riDRkHK5(sD=P>L=&SS6a&l@}=D;MgQxpoRaPf1vk`> zu5>D23==-_!MxYJ^ftUV6AE=01$;x0pW@^ZwzlT^rT<>a3sEPX0xK#9MB`VYs!GI% z?hEi(AnK1GDZ)^#U@qf^!M>u>w-42@*yzNQ2uOUWRfx79`N-obr7gm}>^-w!8;jeS z1F_l|sp{$|l;s0v9E=y$4N`i-^^@b5msJ_1oSv!Og!WtsGMCIW%s-oVq<7{h$5qQE z??x_#;byiADCP@MeIdvcGN(JpKk2hF^pXd^<KXog&zl``0!y*y@~(!?VlS$br}v~; z;$}s}JTi153@m5G7By$buOLra*Cp03yw@XKG5|2$6m-WrRBOF;c7|L|_Q<La3nmKS zvhfFkQugYF)PY69qw^f)>W(O80&NoDIDTLQ+ATsOP_+xn##6^zvFc_^xQF@+JlLcK zM917%-E-*A?ahcEsX9Nu$?KaoqaOHWKFc(zg174O%T5ZNP{ReZ-$>fiQWuCX=3~*- zTs_tsYMKR;KkR$2+#EDYZ&Hc!=>0l9v|(b?1Ig5`andNuUHm$&RAE@%<ag63Dc^ul zrEo-lXvpeGVWU-7!BgtMK+RQxb8JvG*gBDs_%j(!4XOC9#1e=y(`U*QiA#nHeKRwb z!c`7xo+shO>Iq#9)FSyQ)WV}Z@G%GY<LDy#LJl!WG8|brgV4n`rr>zm-?H~VWEP@j zC?*OAWW7hU`3ON{Y#|hPC5*QA^XDF!8zzF+l`!)1#ZNQR78y9(Ff;cha0sv5>?J@% z{$-YvGZf+s5Jf|IN5*F#SxqxCQ~U$$(HKXj0^tb462|2^&?LC0JP2s_Z}8)hJDSc5 z61@(qF{o@mW~D?Ds#mKP?*6X6tc|T)eQd^5*AMl`HHoD4EMmy{Jxx_4k9sLClN#T( zGchJkm-CyAx@ZOEQXD*#7T@*hZ&Dm}5hUtGyqTj@;I~^maX7ICM;5$gRN;lQ@@)g$ zyx?}QRwOM!U;9~2$Va-{S{20o^?CBqVOAFJiY=}09gK;Po}amttnTl5R?uMApF{Dg zz8fS~DJ%Vzz}hN6G0^66XBH{G`A>H!a?^$i9BmW6M{*%@fjb<;KuR-@ebi?v{B?u& zeR<XA*`COR%Y;P>8CR1F&rvaa1~GD-tgr1J9&XF)J&fm!8_JbMfw*1AypIcq4+RK( z2pa1@McjXR@%5+PCVqT+g6+KZh+cgEt8dz$^}S!^V*z^8Eo!w{Xp{sOa+7KEdE2V; zCHQD$+eW8c{Xol}(5oCW<Q8vYqsBGTI=zR%sk8c)*{%Ni<iK<+`zS#@QzG(E_Wo?s zqhmps1eSm3C)wxYy!OZXr*2%q6%y--6&PzHu~U6xvG;RbvW34ITa1Ki^y^e6j*hRH z7;NnArq?zbe!8uQKRBK!a&=g5v~=6Lc!Aeu2xFtvQQazb0OyzDN1OXTFCI?Ws8YRl zB{+W)!yV+#vUhNb#(S@xBK+C-YgV6{Oj~_v9g@d$kg|pL&T2k8?P*v?ShhB=T86j> zt%>GZ6z%nM&yn4DtDJ2|^cG!K*i|--9f8+G?Q&pygZuCGc1qMubcPG^Eo?5FY;f4B z#mmjL1{zLit9$z)2|?{HmFY~y)$bo=Hglt1tYs!npWhR+PlSbYb^2I0W<hmXXNK0& z;H>7ADi*~2D*JZ0(LzqW8@P{1vQfssZTve{!}oD1$m0#>)@Q#et)dZQ`n*-w#?uh^ zA1Y*v@BMWrJiF<LQCA+R%LV0*w#31>i=tjgIH`9v(hZDe#$}vMr-L~LjQx)?m5;cG z>-iJIyC0D-aTpx$t(R<jw&j|IaHV;1>JQ$xB)X40_PntNT$=~`zg(N&78CJXf4Mes zn2~{hxi<8ljT;oa$-ZukZ!l3uR&D<#sT>erJl?V8dKA<b23L-)g3q7!_TjhazQSH& zBUzGEjoprYnOFJ2UW~VayNIGsBlZ-LGpQJO_F74TjAuw7()cs@hqm1<Bu|QTU-yT4 z9TIv+k0N^VA8(_j>&XdIa1x#x(`k5^js^s|==}#jdp<otHwkwg|K<98nFRb8Xdj#a zKERVnr$Ib78U<7EBWGTZ5Pd-{S#!11v>>5Uf%}yoM91BY&pMx+G6bs*LPANkmcCmu zj3_OFP*-Fpc?(j7W;ZOm_-+zN=d2G^<k@gs(}Rsf3JYquItSQ13Lw-cNC7q{<_rjR z;vwKD)}esxA9A&mJ$bti_kSNS*&uw#Q%`(40^iq=89cTv{``%sk2>a<&KN-(Nfwba zLSY#JpRNi$VGljQ8(_{7U@j8yv%6p`qh$qDIxa{t{v`W=MG9dWL5pG8&5L6i&8vxw zVcJKFVcHMC004sk3;{3<zzA)e*(lSrGWEo3MoNN~fHr!YbO8hkHqes!8zBYc2^K{} z4um3ME(8+*C;*%g<U&jU@C|@yf?R)F9i0NDq7;o5PB$*hqFIvHtd~($PO{M!T8CaG zj(Z74(Gn(f_A0cXI?f-Zzo2%;zUIC;o)6jX?)8(O-lC-e123v}<><j5R2YgPa!5mL zf7XDx1DJU5H@M+<u>G90_s*2+kcxOqI;16pkSfPJwBt?wk|<p0Qjd+%rm<BplG!Gt z$Otq2EDIFEhnPjs$s9qD_}^gO(Eq7>Xy`Wi6)diM7qZZ%w$`rJj4Mm=j`ERe+JJPq zYU2jlDoTtW^{t_wlM>VMC1(KQhW(2_)q-!o^>->$pfTMzo6w^@fq*l{8|I{vo6x*- z=b9k|dy5ATHXJh^@?NAOzke3+`2Mr2bV0mFoya!48P;+(?jYp=)-ADq`Mzg~uVg(m zhab`(`UdDnJTZf2zD(VJab4f=MyxL;;Z1GfnTa;&H{=z1NYK~LB~n}SH|T*dpSzL! zz<xjDO5SjDpu#d>93k{Uglr{Yt=OXa_6T9jm}67;VkPx9UG||v7zpYhFoU$6%W~1g zQ`-TrG+drlQ~)2ss3Qv+s@fNyT2MR+@zeqx{@aGMf<!qOA5IaIgUW`emzm7a!PaD3 zKreu8i74Lxt}&12w@0Wb!mSm_7fIebFcO<LZ-6p6VJOTZn27s;|NPqN(Of?E{fw6m zyIgS4sW{r3`X9<9Cp5QlB+9gzF8PWu0bLt?q2za0Qye%ME|K3-3#?&$%K1bYZpg(8 z-rqF)U2!n5vbB8-E^Ey-MV!l=gG9>r-O6a5-DbSTNvR%5n-_ko<Z}ZbQv4zp1*VNp zq>D^ltF2`{3iYRt8Sbs%`N}#HMG_)kgz<^$DCRk!kQ`6Yy(662lXa78H+O230Cw_D zr$CaMt_%&do90vmNnU^7Ct71<tl=mEMO{x_+ilT$uIv+dP5vDTQ6p5LZx)iVcx}H& zJ<_x{YZ-7zVUrB~wxvZmeY{+z!FrrdF4NaoJ_Y4IVbB#2*9x6e)JCM4J3m`PchFg# zCoF%Z1_iKq?43@ay4-Fv?mfs%(Wm!mGoA#~t9E*P%5=Z6JvBI<isZK?4lSctl2Rf> z<3p&SyTs?z4tL8ItWcIh)yTADMo+o&J}38%-C^h|Uhh=B3;wj^r5%d!09G-a@nu=M z@rv6w4iMIsJYQIZd34!{l&r(M>LHJS?19li-%qbz7t-iA{O&zZr2azRAF%f@G|A8i zBVnSNXCz@OBtdlYf-<YMRdgrsImXb~B<f7VKV9yK<U^IUkFy#sI%4M&D*w*5Ib~wX zi@>-!WyX<ZIm9c?GB-dN8=T5nq|nHwPpuoBgNCcBJwdf<&5Si6F$EV%8?vErGv$@W zdxiKQigEHFK5gJ`Cd4K>#eI5_B>kJ+%*%g_ZJMbaIboiLcnB)tNRD|(a`A#46iS!* z9YCW2^!Dl%C0YW|X#mB$WseFhb(`l(Am15-SEfO&2Zw5!%`j+?t#T9NEOXTSWWK_0 zSlv|PT;HQ}Z9MWI<Qe6Eq&QKsOiSo6T25o~V3CL$tH*0Nq^Lp>aJ*`uIySR(PP>8n z@HX6T$v?k_$%sWFX^a!S(aEKa7Ac}N=fx~+Xy0W<IVyj%Wy2^eu}~*;f<a7R25P+S zB>zp}HMnhp0VcLJQy;3o!BQeD@a2q%vIk>12qH8?2A}=-7S2KhA*R(`KTzUi?rfA) ziSQU<(g2glb)pqEbme|8$!Luv^Cp|+UYVB2wKE?!6r9yc-DeMWbW7L_vtxxNvWAM% zf^|}}Rd$TpQ3}`!)3*d@f6}x<nk#S(lOSclnVKfusuuImy>bdHOz-I&cgccavmelV zMMZszV6FVt=1A#z_Sv|?hLOj^v${3#%8%EbV0o3^?>kl|NQByn#_XSg+_XrBT&NbT z@{y7p$WXtVYTY;;LzKzW>X$sV&upa~?+V8ViE54%AtinN#4nhz{$q#@qfE~VD#U*Z zJT~Xk-T@^x1#`%}m<<P68n7ED8gPvQBbHLwrswqz@vwu_$L|^iRtzuy6-Pca+ZFD9 z2nozln9{ElLW9G!y>QQA{!*$?!ENXf3>pn1ZSe|)b`?-OPR)s!d-jZ&k*qDJ9q#lO z6N@1x=tn|mY$|wvZ|Cbn%ewrW2nqHHRP@PL4S=*m18L?=RH4C8x79ZfDN4H6D4CTz zW+oqe@dtfe!%W#8OIj{SJ|Gf<lOjpK@~rjC*c^hiw<h?G;Swu@{ehU-pbN_pt6iyE zk?E2z0QDlwxT0_1?a#fWP%B6EF~69cK*2oiBgayODP}!isud)j2GBk{|1g*$q6Iaj zRq~{FPphoM@i8k#wi#o<AT;t%L>?k@SNuuvszW-}mNa%CF|%v<YVcT<i`Ujo2DV)D zNP;4SR#LZq^OJlto_!ZxR8U5y1X6RE<SC$P)W=bMk(-zg&cnHvVQK-#1<ziTY(2E; zPX+yNnsUh5vikP2KlSuSRN!x;Iqmcc3Kb9E%WDlT%8=zNYU{_#{`3QLHKRG>^pQ&6 zq<y~MhK`K2pxVbR-R26>s*dGxG^qYa@KI$y)%WTs@F7UNHM0u;_{Za`$1gcf1KRU3 zOpdOX^PBiPGnJnyg@wM2;48nTlyR0owXUeq=NLuN!Gqf=E_m8(1F&-&(ddaYeN*3= zXcJS8yXVNdGwtWG(lwMmsC8)ntph5qvD`VvVl@tAR2N`7syJnwA(Xu;bF`h6cj}n{ zKhprSoJhXHnZBlXQ&h{#(9v_m#hG??q!a`f2(`{1&~rd_J)Ap7QLKgrC?G?8RX`rf z9+^4H2q@5J0>5eqC6Xs{rg!Sz6kQyC)qvzo%QCEf0eR+2iLA`xAqKGrBc*15o`7HQ z3&wfG>G*@wT(u-4Z}Q9a;N9L}4gQFiqW2>_N;kjB6Z?*K)R|Bp2#uio!T9N7TRZCM z6{G5IHGZnyZvHLg7;nT6a&X4&%H%!uy3GKarlQVf)DN&w#H^1Ru#aB>Ujih9EF>}O zn0oBvo1zWX#wL&Q1uvv7Q0)^MgR~iB1i1!es+scgwg}|%INV4C{zT{+Pd%b4X<<xa zl0LoWWIK^i0TImj@MX+K3g`xj)qKO7TZTE}a3TKm(o2{@TI9B<?CiYwCCz?DIrL!k zM(C|QxQsxe8)D?SoG(T>qo@ESyl_G+<^UDeT5^b0r2+Osz*)ugPf{LFK#YJ7P{ax- zDh}d?Yw_yq5moGCL)OsjNhTHiQO7sTL;#4LMjPeGBLIp<GAG3B>VeJ2Hbz!#Q<xrH zazULsK3V2>_;S<yyn1k8nWIA9s}8>M_b*hGNAb|?^YLGYpUUDsWY$=0|Ez*8=cd~1 zF32iJO>?6Y)%fk|cihg889PCZ6Dw$~!b*_5;6y#qBDc=cS?7ykjNm9RBe*Fon^Cij zAQilRzh}8N#D104$h!W4Mwq+m(aO5U7o*hc(Ns?1cELpLHoDgq-1u$O_Rr2OL_fQW zmW-OnaQnMyw4^O{L!!^8QX<^5T!%6-m;<*k#--CcL4Be7(edDwC<%mXxH5k356WNt zh$l|aiqmo#6YD7&pV!XJ%te+2=bCkI{hzC?Nj=)be0wIot3)W!-M%`-^f8gEZ3o8J zu8!vq$5QtXyY+%#k*9OhGusy3FaZ>|{(IDUhxbidO+04v8@~>RW~J`=s`4(y?wRor zbUm((*uN?}xFH*HZT#`}c=>*@w|p<>;dyf*`NlvITz(agCIiPL4ivQbURQoFF=2S` z+LG?eSXDf>>~QIPVdeT^LtpdT3?`EW$#46jy~pLp)!Oz96vi^tN?*3euF~dFowJk% zQAlPm#|NmZ4Xd=2DWQxO&!g-Aw)JSE!X<jph5!5aK|<we0%m1LoLqf}|3c71#wzvV zT58&sn|u|AzT)!Rsmr<%W48O1QI|(6uBFnK%SLoL)p=jC_pzz$Pr}EUw_~BJ83#c1 zxj)(Ze^wJuL?Gu+Qaq^Ez%lNSt)~_q(J81<1$C;W<#uZ?f&!t1U*-+%1g^ORkL<>d zYCS%oeA@v&xhhX;ZQ>^C3zogBIWi>Nf)J{O%sE?H@3R<OD^{o@OH&`h*t``{8c4D= zjsCF(#tni0<m^(A7Y2W!>Fdma)f65cOVt^x>|p*IH(@{ve140zNUl2}Jo&!v`|RH3 zuht8J?3kAKR=uDoHyw)-O=@*84L>&aCQyD6Q4g}KadPtehRe@-AkXF;!jdl5{BnKU zaIpmaV#@qoJptYcEwLT<%T3?Lh%YQ;XFIQt`is{5()}0W`HP$9vUXsjIAa_aqm}TN zPE@6HDTAUaxJ3iIuyEal3CE+baCSD~dFxEfvaFg*vzn7jX>rb-l8fpEV+He1H{l1Q z<F$}1_3BHPC7eSN(bNJXQ;Aqy4b@$Dj#?&@{@SQ)@BPg)N4@7itVH$&QnJmh02D}F zL4S78^5`|+!sT8wmT<KB92)JBL6plplR8pemg)yC;}_}_3|CEJG$6`q_qNhx$!vsp z0B2@C&8hliG537b?Rj4Pj1l<z^u=*e`w>mml8&Ynm-T!v!FU#jK|Y1M@!^r?`X<+a ziIwnhU}q=6GwpC5vL@F+@SR9$N~r<U*;|18C&3fpI^r1ymbPJH(-)h51$Lh#Ry<gr zzuUS$ML9xIt0IGnbBz5ql}eEMdPPS(f5U4W^Id}H31hClhPRqSgy+DZFI3{n99RSq z#}4WWO*Xfenb>f9jAo#SVFAVDL~Sp@^HHUWc#!aV!vx<8blA|R4Jbi2`6AX~yqF6i zf5P6LV$TA}h6sBdvFL@OayY+{!VchIDD|qB7YPqH-}@p$48w`*rt*CkhpLAk8!OzZ zt`2cwS;n+^**7v}iblo7*Du3iLdt@s(GuR7zU}(nNvA5N#>L<ky*2D485gt-+>3VN z>o>09V~Z?bA_PYn);ho8ys^oNFZRB*(vc@(Diyt3|MO_ak4Npn@j>wqy>R3$_-ns{ zD)?I$_DApAhr6m1B*VS4wgFcUAsxjzB(=WZAQ)s7Hg0n9Rk&)#993kQM~R)|O#FP6 zHVKGaUI8hui@3hN_6MzJttW!Y7)p{6QJRtLc4#aU3UZgMH%L$`Qt=sK`4Vs1hO>_$ zG5$fVqi!1(Ya9OhN&)}P2#{z++i3rj3E$t1&9fFsGAcT3$`z7vJpI@huRd~}rcJbe zDGXkprn|Rdgn2MWKk6?{|5CIBbz=N`gc<$S>_voXR-4*LpDE^>|77BQbF#e&FoFMz z3u%fmyW%e+>wVsg)xOIg?jd)7(SRQMx4U&ulY<GFS^rI_8a`VDr-$wTlmh;zjY$8O zivFeNzpsL}o80~UzpdiGC@DhhkZ|B`S~bC74A6f)Xh_BYJY^}zf2VLp(v<)8;O$7d zYb%CmyMyD3UH_K3fnXf-jDpm|@zBNYd3{>{WTA>H#`#YcG!I?W|6m!2Ep7m#|8=8( zszLD3h5r}Nl_|D@N3jbv^Ov{tJfLy~SsBaQ+s}iu8yM5Kq$*d5De~L6J0B%27`3+9 zQ~t5L?sqHFRCj-+Ytz^<_#(?);6n9cPsGU0h@#UXIZyI=I>>C<K!!vtg9<n?T`zsh z3bv}lKQXUa(F}50v9mO(U!5AX<oPlk%by{b&Z;DF;&F*k&qhdZ%vliA5ltO6tKyaD z1Zfu)BF#pqMnexY5w<E3qT=w!otjdnJ^-RlgTL#)ugDPS&dvXpeG(0V?1PgJw9GCD z#Q9Ddd^Jf5GdD>VOb#cG2#cC1&55Ug2QJj2w`P^4xI!F6PNY`i1Ki(OY1+^TJa{^M zW|ef_J(ZpSF~VFTdJWP7!vayO?L>(I1X!ye=P+8Qs|yn98m_o^3s?udhd0e5vKNfe z?aQ_3avO|B((ycz`f<AxwX&2R>Y`sL9pt~o?JBA=$G6k{kc_8MZE^^eiKl7I2AkKN zFoehLT5+#-Zeq)21LzUvU#NX&45f0OJ<liU_~K>THZI0^!3p!(l38ToiH+HDp^24Q zAS>RL4LtW(kj%QQC6&3j{DQylXGTg9qqHZ~1dmfXCTP==pVfaS77{qRg{{IJik<3U zTrlO0Rg5t)Fe7!)Kn9MUvXLOn)w1jipY5j$O?7aVrAU+*=V4R(|E8=$d<2*IEC>^h z>Dj%Ns7X%=rvz7iT&FyB-tQb*?25rS&vg%&?IxC-^(XEpgW(U8Ky*P5#SWvvdt<d~ z2CE}mY#|CR9vfJ@QVI<qR-$mhP{5l%kgNvG%|gA_E5F^(9>amFTXmkdlk<TcFwB&; zBui#4`yPfrPKI*KQFy+O%HU1>{%Xod#lUYC9;bgs$`wBj&rudi0AwZD+9%zK`t}-_ ztPJ?qWcfcPzx6qP3>{%PM+FOv-HD(3rEUiLV+)gBC_oOwW6{Hgr6mQu!DyV?<(9zu z;!f>6c1nPHv^;e1S^#>}k=4^4(I!bHtPn%^YXyz4JI0sqa8*O+_fekPfs6Y~-e=Bl zGf)gZo7D9nIaa;lVLNWebEw&labNI6qIjx4zu9Aau09yi^t?#SCIT1Ii1b9R5RFBB zso=+B=q5}a39pk7of#LPI^55>JC++0$b%%kC<r0OAQXjoUX{!qb9fHQjNw>~I^ku= zW#x}a&=Mu)q2x!+yWGVkomN|QL{-^!gR^Y9f~0IK>En{1u%*lFJfiY|6o@(Ix;Ya+ zw%NQq0NPXw5Z`&9g@Gr3N*o((UXFNf&#G5Ya&w>EZ%)U%B~glu#L7wIa*WVA)*s8k z4usmEV3SmGsdzUph@SU+^Zy>2A&BAK9EjNyB0!B{dq#%vDQRKS>m2t7W&U|`jvTCi z6N|+`sG@S^OhWP1F0If#LN>G`BZpo5wBv|a+c;f0G!3EzxiUC-pFLnwUho6Ii!mgI z5f)a7ERB^Pb71|dGEGmP%qR$5lSnd%4wX{e!eufjfG@ueA?0cfCcQ|l$YL6zk2w$D zr3`6eBD0j4Z+07*h}x<^F#uLhm%=N|R5_7WSZI&(I2>~T?z{Yw_YxLWgz^H`*X(T} zuRO`~9tz<TdO5J~Ol7k`OnlQUWv_Z>EyBs4SomjBr>xFC%*|WA4Tobo5@79%z}ofI zy_?+>&wEbNfwhlfdN=nm0Bdi>v_0#=`SkF*cCR0aRlwTmNdAYlPrj~wbkXsAf|_t_ zcbvN7U^RZSHJ1;J->BLv*Ros(2{c+ycgtHx3{#3FC3guhExz)#2qADB@0TR7qRxqq zh3$2NcMDKHKcIuG!Saqkdlv{S2|;+ExT>e4cseU<Q{DUQJnQu*XLTe0Ftbfg%`^%8 z?CMju`x;;)&=v`4h4pN5?lu>?fAyi<LX({4NLFvf;K)J0^_K0`D{QzlXdg(y<=MbY z#KlTE;|7jw&1{E6vM|{*CM4i~D0EO-u`-)^!d|RQeY7K)BtIeIqo?>d6W*oSZCp5< z`HlyNaCyh@bAr!Aqn%rb0$^rpHHRKxrV?N#0W)BxQ){t*de)Yj_gQZGtC<931gEsr zeZ<?A%fS5q{tE_v+kzmxCKhhkN1Oo9eHR<lB5(7n!#$Fv&#s+{wvX!UKVGEQ_bA~_ zj9HOcGYa0vkX3DS$&fJx!mRq#j2-?FCwJkK&-jws<@{}w9C}K>(q^RH-YtDHZK|0_ z%&JiH_KZL}Hci?Nl!4_YajTU-Kd>1-0b7lm(UfD8c+VB;2OrTq;0Ne*Hbj3By4n{( z#*#x-O_iN=#OIehK&dgak)A9|L*H6qj-PeS`EVk(7=@@R+3m(#EHL6{NNQniqx0-O z!pe*eS;pu>#Tfywl8hP{-i{!mKyV!-XFkJp<R#P3b4@KGxT*bI5LQEK%`=>M3m(hM zoD5B+yxF?+Yq~Ea4+|fmmx>;G6G2FFPiO7jUR-jnnw>YOZXH4RU4_<KkR`X|+%_n0 z@Dq9je{ibo2in8>#suUfSrF|Zr9>erBy|aZ@x!L(D6wlCq8kPPO#G04V{ZU#L?t0C zAxdy$Faabu(wl%x1a5K=EQfM-W)*uYPu}J&F>3}*T<;lbuN4?JHHSq7sirKT%yW&V z<n;QD>tJNcy5u47;Cp9tdCN@VGx<{$VFpKI0~I{*X~iBB)R7hai2W7q)WBP~x%m8k zQ)%c|;3G)}8V2K?cWw6FCPdJ8xG%8U7z6xwT-{&goo<bfz(@qEcqMp_&7Gp>TMv)} zp+`u-Ysdop3F;I*GkW|t!nRKjKN?Rzp7T1Mb0dq2muAcVFA)pgemul=JVZdGP4|Dl z3;2J!O)p<Ro^&fq)KaG?Q@WFn|90Os4DfQ{0Wa6boI6J!|INOee<Soi2DtKb_u-j; zV!LFHus#&Z2nDrTeBxWro)`&lbX{r)PF@U8N|idZ@=FRc#?=Uyod7#xc-)Xla)QCV zSgMr8Nnskg5s||jLS)8{!9|#=yT|~g(JwOWLj$w%Sy6|)BwL~uxmqAEGr57syyQ3< zx#XHA`6+eya3qs>|1&(skbJ*Wd{}!mmE>9^x|4Eva|$In3ppaj5C-`v1IBHF<Sxty zvTggIFgW@CKuQb`C%rJsQ_RiqRPg*3l|m#-e_kiEnTDSgImkH7Xed>XdeGE0c4Q_G zIDI6R!cIMI>Y6z+1Bp^YAP!(NrmiI;GsY-22jU6rkqF#6#CEmyjuN(~Q-x9CJUYaV zwe?{Vw&c@=Q3!lG#Gh*Gb0lov16Vk}4zXKpeY1pZ#Os`(4zWiqxPD5)w)1sT$b;DH zqJBx@c=kUqxS7A^IC|tFh59=w^hZ;d5*BM{lmYC8`rk2Odd1Pysf5K`8f7G*adurQ zQ_$|n(Qrbs)I0O&N=$a@vt8HCky%Kzx;NSgKyuUt|LLxk6F5{yzal6KLBNC9?V`TA zk5T`<_@HD~UJSpK?wrwr6a$$_fRZX)7CF;?*q_UwsBk_h;!hFvseKju5z~Uw!$lRz zxXxkDHPoh#{VmV+*5!Tkzm()#1@)fw7DCHKMj&f6ryI}CD|EsL4ajiS5WR5K)NY~_ zMmQ|!%&NtZ=)l3i2VLM`;qG!>BKuNaaAGe2iBdai7s$Hu1ST`J?->gU;%W8d4N$2H zkgIT!=%jF|3JPjpDVS7+$V&M^iR96kYHC*4%v3Cq@oKQYRK?}vWDw{|1rbx?Q>5kS z0<q}G3S|p|5;e$!6-LJL3le+M5@Xd&)x_oL!3n*EyHsjwqnjG?vUKG`;-FgZsId`7 z5(TlHUgfu7Oek~_$l#RN!W>0-^2p(!oF`Ij1F7TyZq={~3ao&O9Tj&n9mExA^4dfY z{3x2#R)Z;>C069HH9Hxt2w`wa0wBmsjx-7g`e7TW0)iOf6?A~0iJH4AAP9!0s;zjH zRM>Jg10++1M_(n`gHw`&b7~tIp{XLrnsdgtYq2@$*+(vb3llrr(U{s)$uK3uxY|_x za6coPf>=Iz0wcSE*ep3#-6$hWbOfk&k`YER5;QJeht5gQI-*vW$ic+QW-}o^S<*~d zKA|>Q-`vm0gTx2U?~P~VRp6WL#jM+A+>MHmul>om2a1#*&#Q~≺+FeU+qTJDLO} zQz;p~i!0S$y*?lzB))ucwdo+r+6U0^2%4NKhTOu}!b~fSa2-EfXK5Hpoq%NLA{a_@ z6y0IDq5NMzEJaFE8SQ@;Mb0nw3>?+r?Hwh|UOa)%h7x+}_N>`5{%|j0gwkks>8u;9 zZzO6pUH+ZG@X5e@4~Q9lOdeYvYRj;?_q};>8?w|ldHJ65>ks3{?5wYeK%1Doqn|(? zL(=eoJGhEV^Zz|;H~|~3k^DJ9YMyMK#T@1jPsb!q3JD`53%HsqmFfsR_rDb{s)QI| zmHh#=E}F=?FrsB+f3j>^lj?oKdFC~L(Wd<ShZZ89Cw_Rfz0bWH=gYNSGMEK!2Gou^ zl6Zb=WHI|ucx?mp!$<e!_W0pP(eWP){?2Fis-Pbj%A$cUjLI)FMgcC|hh}sJw0Gdc z!@FZ5T#@$!krzNxgG7t=XMvw&hDUbgHF!rtR9obljJID^41N~f+JY*Ln6!*WxGMJO zxk*zF%<Jw&?J+I|FsQq4?xuDRe}1l<tFg{tEE+o~YoA<LP<h<a!LfQjdvCqWW`F75 z1Gtd%)nVEn3-NjC_T;75{w@b3`mcIG5Vki?jp;tw%s>x@q#x%lAXjaYoV=DVtg6>p z`zk7aDI#5TjtEaH`~o6gvuU$H--1E7oI<^nDoo%XDn=-)T!WF%k%9UYia)LBFD>bJ ztgRC(OKqP{LOT+C@XvG;xhCuI%}Os_<)3K{oEXv{V$>GwTWcfQ)fNs8Mu0X2d{c+J z6j&}jFhWXjLht0Jwn-rLp6d9{E;1>Qp2Cnii{FXx3KD7k5m{s0UxU*1ZX%m%8!8*) zL25nov&Q{l2k&CH-sd<Km)|9Skg10n?B(h0feEEGIs8S*ptHVcS84Ul^IzQuO8)LX z0DpPX7IqFChH19ZkdnaG9Yv^u0eRmSEV&F2GM61BJI~Twv(IPnEgk;;I+u}W@Epa3 zAg@`N;vfNu1Kz2aIq8V00|Idm5T7s;b#Gcof+K(!BV2)sQ3~omB8f++(ASD*w|wY= zu-AvhM{+9G`q%#vC;Ug4PyoIDc1@&|N2=ptIsh|qMfUUm6c8kaUpeyoIya_K<?931 z@!zlLI&|)E$5hovWhniy+7WVXU)7^@D{4D}<w|w`hAZtK@j7cglsQB{E|n4W&c}L2 zs+eRA(x=lu&)iv&9lAVq^H){dbxT52jT+AlFKXWB>qk3X%>QU;q>kG4fr<KZPqMP1 zoasFfwWC5-QRSwVUd5{h3+6bzhV4v5+`^pd9me?<;)K$MZc=4d393bHYQG@Ye>olz zZX6O$pY(&#P4MCL%GQ4bVsj>5$N2}y+BsayaF*|s7ixqSoRkM4Vu+O`hHmhOe4>da z6zF4|u$2dExCW=MU>Lu9M&)~`&$Go$Cjhm)oj83U#_HbfSQ>!Q+@efwM|c|tdDA=n z_H@2DasD<H7-aKhkNL(HQ^aEEv0y?p5qTElbSy+Lw16)&i&rgp#1`}O3Sx-&=4+IR zO;r8?l6LkrzRaiHKocUkf89|T7$sJ%SX@LWKMZ(Xt9|rO7X$yci4M_eEPuvA#n*Sv zw4qT4KS{ZaJ5#x7bv<AI)#?Fs=N>_^96OVC*H+VOx1KjaRrb;xNzJHmnG?yXd&!FS z?whL!dkQUPA$gR9)w;nLRYYR_`Z>Os%{tTQU*mWjVEi=v*O+6w_<4l#d2fICF6&0; zkv8<r^@`y3uP~LIjC{^8SXq9s3Ivhb@DPUD)PQO_Z%|?&ML`ZYpVP@$Z6i81U^059 zKU}VbTO84oTONysdTUXJ<&P2O2f8D4A()6f>KI+>kvdN2rxK=k!EpX>c28$a5riUn zae0GiN(Ck7JIHj_PqAVE>ER1N+Ql*gfpdUhNLkn-@it^=heE#vIJY`<HgWS#bk=_d zDij;u3!e`A#u2b2f*FzQuMt~#b!eQp5n6FLR>Hrg0pen7$&1EoGWO3JySIHmC9|FF ziKM5qKT>uM(KIg*izeIL?+scRYEHZ4l<^jH!#hI6HjZ)(i_d+9ICMahl2;eu6x`wj zI~~%5(8z{<gUxeY%1$sns1{<NQw*J20zy3pvG@XH#Epbu3r1eY@r8Xi`-Pmu;!pxg zSj7^?hM_J?)}*?jCkKkjsH<3+GcNE_#F^Aep^|g{uQQ6Fd^vqTz4SsYbYfiuRq_rv zRkjUjNJ@TsLC(P^Fk>cK@)IUvIDr)nw{<>)P@#zCOkSM6<&P=07B-?os9=jK`2Myi z`@t5IJjtKFQq^wIN-EJRDooL82rql6>}1KmjD+Fh+Xt;U;9fPM+<OqXi8b1xH)Udd z-&#De^urSkUGyzE%Koy9Ys|xz;nmt<UaUA#hT;O++xFH0^T3prCEGJkybLgk!Cl@n z*$4qU&`MS2Vd}<IKx!;B0s)<vt5?FMAKn2?w*GQOHIgmJ-zbvMY`PleOKoa+{qt&Q zk{`ABip($M?OmIGg616*z|r48xBZU+wQswsd9112N#e2z=ww8!UTFE{`?>sBoZ@fs z5W=wx0tr~~?$Dee{4_=&*@D>%rj%)wzrOU1mPIC?eWu<YnkC2QuZDfs-~g1xKM35f zg#O1a_CQJn>oK8sCe~=kc_$-o@{JrHm<I`X-298+tLhK-ouJe^x<I()&qP!0YX}jR z#Khup6-Xycyfx=z8-b9bs}v|zm$k^Z?5CpA)!;2uQ|Py^qkj#;Nrn>%C|hRW35Hjo zzF2zIViDYknzvwEkk$=$)<cvI{0PMr!-;=5iZTm(i?m1r7zU;UER6#!l>saT2<I;) z<9;@B3lnzLPTG4eAz$z-(zac0V|wD3ybJ@Q5=e{O+A!vtrPSxZ&1C9xbp3KnJ24XI zJE!94J4)i{SZ!;L#>NS6l|aW%ec|U!_HK%m#02blda%<NI#j6xsNpxH{MNc?)2dkT zoHikKC+31PB-X$&K<B&KQ_CP=oBBuM1}Q(HNCD3%Gie6~kP8)1F1Q2KZeGXmK{)iQ zcG732PNWw3P|FOq2+q|N)4~{IhBB^PH_T~|mWK_@YRdl|+Qkk2UEmG)sE4=2^Ph=( zWZU|~w71B}Illq{A`(Hj+WXC|unAZ+kS(`+m~mZCAWrgtZW|4hZPVOuaOrQ~ttDdB z1B+E+AZqa$@JZK!NSK>U_0|e;Pl(ND=nVI^y2j{`C2*GSx~$JU{LpC_BFE|};3Br= z-#MPd0Bz<3fM<CM&#l)+vDCks)iBT9e|ojbbeO@!>n4K@z3e7)4k&xo4*ja#_Mh6R zH%==~M#$WXKNhKcEa<Kg$U7To6af6szm!wFDmVO>@^i48h24Sq(z-3PIn~9({j#8` zID5ue>9DPml6ZyB7Or--(R*X_pUT=xrVF~^vU}ytVS*WW<ggBp6_VHpN>t=YE1TpO zYjR=u?SyLKw8lnM<Tx-+80P^+)Ok)S$m-&3*6%UZcBq)i47lbg*S^F$YRNTcP?8y> z&r@<TpBaMXdEaBkBv9_63?~Kcz$4ry26@S0UJO3S_pSq2QqUuS^~zFh(Tev%QEiFE z2e}8$P;w$!3nJ9<SDIS+2d7<Vh)csHQ`b_4;QHEzW7Nhl>F7EdQQIWN_Vk$DKOVPw z-yS?a9*9%1&XdmVQY_RpQTUkVVPT`$q}8~Cm*VN7l0NA?Y!Rf(WQS!A_DNCm32P); zZI`$wAH>m+qg*(~dBmaNn2qt$XVZD@h7V^7>Y38-Nl5Lz=cPBW-;1LWTm}lLE~lVj zC&g^#xF4j-WS>2a_eoOQ3ae<qh6Zk%IllAgRp&>;O53>?Rh1(_jYzrj*hTmbDFiYF z>+VBI?S=Ew8f5PSnw+j!<I)NMO}g7T?xlr*CcRle(^o(fcLbm*AJ8<bj)s*~^`H`m zz=#@|*6k^Y_}x+nq!Dp6kd&%TX^Pvi8NiOju1M`T@C(<)`uyqb30&YQw5~_fK5%gT z$a;E&WP5*gb^c`jBwFwR-1OiCoG)mXKSJI0=>E$|C>1>9xpQANZtq%bjR8`cWwQGP zw0-p}i%7<f4xDdR+cUj&*ONbMY1Y-to;dYK%>GA-(`3P?&*=SfDzZxdOkvKI=lnZ` zS&BtqY@@?N=mTi4WjHYM>yM|aPv9XjRh7{d60}c3WCy~f3%crNC)PT3K&KlXuD0NX zwWYt$4bX4i%vx8gKmW2*^=6To6h96$96fui=6GQtv3Dr?EzI=C%k9}gz3~q`&IVB6 zwD$xkaJmOh&e(9pCnfeD{dL;CpRZzJjPufy`~Jg&OV9U7qZSh;Y2q|++Xi>pyOy-D zPXmjqQ7tSE9d`2uOfn6wO=f9-Y=vVL;CxdCp_ksRF2sD>4c|yOje!#w(yY})Lo!Z) zuDWNM(tC9dzG}TX2j}*mN?toX?h1nMu>~eSCj471&}En5yiCS2{|_j;<VTBLC6Qr< zv&BPI%HXrc=flsZE+hd$Fw5(fU<VC+bvt1YUmKg_!fd(>oCfGKD91Yca^H`imxKz$ zHpXFCw!99U_C_3q1!>Cp+OkT8FZ-2Rk9|QYqUC?fs@ZT49031B3RP4lBEp@T$7<KD zfpY*H9ZxJnNiCCp2F`?UH$bRsej{NW|0yKs4>niuCpwOaro)1koCJ!V%Qf00hdj$x zjvb9yt9|TQ5X-g6))i}F{7oG>>RbMC{KbL(=eKCc?Z`S>FU9<i_IO=^o<WIq0xLg$ z<e$uU<X4iw>>X*UWLM@mv8{-_`DRi1&3z7UG+gJyr`)yJW|dQ^V&nV8OB0Fqo6l7f zKM25q6fVpn(g{)+Vlq?tk{Fy*Y$y0AE{uHAP{e)F)5p?NQ52Rp<d!!SmZ;5~`t8P; zmQFo6Q$XzlpX@|uE{ZWJ74j(=NBZGSr!su<VMP`4VPybR0Z<1(696p$bO89EM?R{r zhdBIEH~uRq;(Gn_@Fj5&7}kwA3f=`WiZ%fD>TM9L3jkMyz!iWi0^kb36+Upq&j`7~ z%kXo-GrNL~i`)8Cx;BSb^!L8nC<$Lvjg<%@>=um~1wwoH=vwO=3U)9aqI@wbR)v8N z6-+r8k1n;q9BELnaNM)0L8Dl2(6xNtl6QOwyz`yaZ{ZQ`N;Jn8V@}xjDZQZ~ioxHI za0;m;_PP;HTUth8cVFvI5JSN9pNnBBNx~z)@D*AZ#S%rGUkcX+%5OnEupAXV+QpI> zwJwgw(WZLAq?XOavT0o=jbl0HCX2kRxm>eCGIkr!pMmmJ!l<Has_M*-quW#6_E`zJ zU`BiV9pT}y(})4CW_z?KcVf`7Ki}z`a@Uh9W0M6@0*f1;1fj{~dBw)C7twj%Y>l3e z2=&K_-rWH6x9NIY*2!agL%U;|sd`gUMF|XdX89&9?LMGR;^1%?#dQ9ffRA|m$z#() zyN`;g+EeWnSPXZ;Urk!Py+NJ1K>!Q*`ITpxFL|tEXjecQ;5n`ZcnUL2S_Hj7om8(p zh5`Uf2EbA}wCg1dun@IimBZsHFoNGDI2;-pi_M-XRczkAkC}g|H+j&13u3KSD?lm5 zh@Y46nbB58yXi6M;J=VM9oAorV~KXzhJN#?!lxu}Gy}Jj02WJjI*#0k@`2SUN$n{b z6M2ww7!<Ch*BCbx_(}37kH_SzBR0Kxkm{%Je$(kAXfyrefmnnuVyvDV#zU?VsXYj& ze>m}hba_d(K&*E!aR7bibx8Ws0u0;2jbUjdpZbih%#Z7=B?gd{V#Srhrr*j(NqA@w zZD(WVgLxA1!!J^0`-SPG9cbBb_t<J^%L7$$(W5W=Efa?%^D9tgbVLP5F?UpPvA)#u zlbS(b&?!X8>VPCi4`UNy`U@D@sLj~e=*k1taM6=$`%4lBBnvB06?8=P03kJCpQe_d z)eIsI5CZ9dOh=D~6X7JO?|U60a@gYNs=yTL@6gkB8N%oS1|^Hy(<osiix}D1gBM+c zb6ibFp~%&f@zZ*dI>e{=+4le~Y^($Qh3zp49-?~k$O>$#bXECk@6eMl1JyvF(WBHv zxc-9vSZu7g3x4uFz*08Sf&TpV7#R;yL3v~uw&{|Rd{qqeXv{!W5F`Dewgxn~Kd)Z} z8!J459}|Zxj#hCzl~;pvuhow?5kDj#KbH5fuvARzs{r2txy$t@onTzJ0mlhl&J>N% zN`j#L#3V~H`o(%P1-e0fjxco1)F$*Kn~mB+Y^W*%$uN45UN$y1xphR`A~Lxo&PXzP zQig4Ka{S>8S0KEqR4<j}9<?60wlFcV+#H>(Otv+sWUWA<F)`k4{*^yG1>kodNmf$> z%JB!4kl$nGIVZ3ztI0oTzTS({P+Y?vlKO+ZT#q#@M&77(Lu`_MWwKr2VEI`<19l^d zHW>y(sX1U5Mk9jGaczSw1DAO)US(mm;*@bprP+s4RfcwVCUCG_FK(MR(~5lA$3<l0 zAUjCBwjice`Dnc@DO);Qtkxwn+vWGx$A~PZ3Pu^51I%V)jcWN??ra|rSA&3e^Fw12 zVOKBGirNyjUyZ^_?YB92T!T5>-qEr~^kn@7z#i%9v))GR#&E;qnMS~dfd56^TL$IP zHSMA}!QC~uyK8U~91`5!-GU8H@DNBKxP(B0ySqbhcemideGlY$-~D{M&i-+#&Yx37 z-93GE_qBS>nu1~OYi2_5YH~{tzA;h-Qcu>{0C4Q>JQz`WYkxB-YMwJWYAhMK{iV8C zwRXQ`hjx}s9OK|LnO5mU5Mnl|25G$A|AgZ}Z!Nq321QRLPENfkH~(cEBe$be7qiy& zQ$f*0DGpAR>AB8_eik6|yK0d7+h3n>9Kn)bZ&0*UFyz$Ra`VM77*!^Kd{1h|Rn>`) zo}USe19Ltf1s^jvLKn2{tnQjCbw(QKe&0<_fb}HPEzWuGS%0m;>KJ6V&_R7O#q^c~ zd~;;Ld{~C0L0-sf^KF0MOq3xcVfpNm*>;$Uie8acIEyc&G^5iFMuD^MEy)anT-4Cw zcWmm|+V<tE(MsE9i^5)?0d9IphVCp2@G~oSGaXbngsk#xf+>g4wvM3(Mih8vK8AH_ zuxLuOWJe$rb7=I*@c@rzP5|?V-yb@*xHtXZrXYCtU|AAm%Mz1?bkpjj$Q*-C>1HkY z;~fI}UrV1#_5RAviW?8#V%;Ea=idw!68kR3!Xp8DBkj+y!IUKhh!Io<QLK!-2%sF< zk#KxbVCSU#C1N#mNf4J0s)!#Ztand`_$^25Y9?xKQk{2-ckoM&3tYI*-%Z%^C78?) zm$pfbKIk@vh*7WLBmW+W{Mx`<FN04pU~i=SgBvbLfXZa+FzpZ{v^PTs|Ls^*TPErx zNK^1cvkuar0#7`AAkQAilUf7v)IagWfIJQ0O$RA<P9N!+;DQCVB<x%C$<R3y@;aUW zXrd3p9f`!@x266PRH2G;T$12Ay8kqHK{~y%hZ|LJvGH^hXDV>^@$rpbMJ~F@d(MVr zp7ys&#f35vcwVpVAXvq)JQWU9`vskVl8=%l$RXJ3Q5O}$O&g=W*2&|Fud%l~iyFk% zb?X$kEcIHho?fbaVDIa+dFZ|%rDFntfFK($N;5qjY0Xz>EuMEQzTUu)<Edlfm+;Zd zr<7g8Xe}TJZsf3!lSKH#r5|(Hx$8Y-c2|R@JgHq{7v=5>Yh<4u{qSLevP+dvx{aTX z!X261^iM{|cRgS6Rz&b$IrhB2DI$h*O$qPDi2L%x{nPyAQP=CeXv4L7tl{|j)Jpf+ z#%8+@SBWDUPzr!j9srNX<LiT73}twVmEc%WNf3ix)T~u+?<49kb2GW`<u`5>cPSb) ztzO{~TeLiQ7aKOac(?V_s`sKT_VJgUH-F_pK);O+OvXVkoXYgc;K}O6_~2yMpFP`6 z0Xy%yuWWaJyleg}xKr^G(Z0QXCGZI;(NU%!@#~GW_U;tWT(WAsdwyKOdITK$Ri_8d zeik{{<FPl_&|`EHmD`tS3e+!ByxoeI@$03jAQryKVLPqgAxq&!eTdCb=9BeFUDWew zYHrfZo6VhOx~U{+Nw$UD7*2?}<)VO)Fd_aSbPid<Cw_qYhDc!%dw(^{pshL9Kn0?C z?G>ETk^c&?LTvhDZ@4@()wjGRxZ?(cylL#B>ZMl-H1X}ceQ2X()&&Zqo||-o^W*LH z`F>*8pNF=l^!2igsx_<vjW@!7#wkh+L>u4#i9Z-VXb>V4yj>*bh`#8nF^W?7HWO&; zN1$4$+i`RJ!)cEB%_C!~0~ycIZI|e_PSRn`%WT_2V9`qca^9$i7(X|%SSLZGh(RNI z7fnmRHFfuwN7y^pt!A{eCR#|JF1l_zZZ&y^Zg?4DUQr=Cj@Yp4!H)ZjEv4y#KZk?+ z^LR_kzsQR54nutRG^TG0((nc!-8a8X<B{Q^MGl|d9tC*}IUHSIZV!ih-xSPV2FEXb zAH?O&0M;vOtjf34EOg(7C$cW3j%v3uJAv<WU#zz3S@y-xiN>uyuZC2LJfRW_^@m&7 zeUH+kTN|F1FC*~1?j{xHeqHIvT5zZQ>aZq}b+|icR7>P4Tc|jed1YQI2D|Y#7205~ zEyL$h=Y)yu{2Tr>?nEDXqxgZywlbdk#JeD`OCXaGrV>nBR8os|k0rFSdM$Aod~Ld& z6c@T=B&Z?SquY_R*b~&X&(H<=>u{aKNF9cW(!53ou618zi|rD(AXZ5_$3&`zr~5Y+ ze*LYd#fSlR&L~nBoqez$qJ#$-8vcznq3bG7r`T%~qUJ^Ju@}P`P47=LK6fM2(fHCX z0fuirY~x}M2w$SAz@qk$s!$Vtx<nmCh}qf5M7P7WS1r*o!1U7NOp@pFY;Q9i`}k<V znh~Ae;`1=}IDeNYnm;4II_7hUXNZ`%cPp1l-|eo()$zOdh2#s83n(<-Fnu<^>$T1N z>-7gWpgEkrRcdGoyI~;i0h(6L!Jx&57q|rm<Mr>|7@dLJfsXx~@s7nVV}2HuS($R3 zfXj68Id3oLEQ?_o%54GgG3nbWNl=FhOW2>5&VZ?qmE!G#YCOH8xY9(=OL~t5-@8)p zKVz}&Iq{1gWDbb6x1MUU^-4<L!Rz{34$bG%`Khq#8I?1PsGer<BI#G1hoh7ZKy6&5 z)A6Z>!!mbprIUM2TuDPtWq9L!WGVuxDMNk3yRt!xrU4HTeej*_9-;{<C6Tj5RAQMu zw?WC#ahouoeUT&GI1*Zo*Un7QnACI1V~xsC8Bv&MzJK#JER)$b3h0`W?2K(Ai7e83 zA$WHf(B-~o;+Kqc%r4sFMlN!(0}OBlbXj*ApJ(7oF!6{n@$i#J9=H<=N;A3pyuzKQ zhbOu1vuic>GrwhHQcul(;#cc5&I>asBe}&lF6}7OINx!B(DTNTjd@F~8gsT&KoHP{ zj>m}F+v9G_P9?*DN-r~KSF$fZ1&50@Jn@iGf&YmT2R?!F;EN76SOX9%$GlwuX`gfH zWEkB0yAhwt=w#-~VqfyBI?3{nu`}G_<4QPxTl07RMxukvKC?PwQ^T(sz9G8_xhdPF zI33qtR-xI@PO2&2IKB6n+VxRUi&VLU$-SOIm4aXO{VV>l80Jtg`{kyrpdJo=9QbSL z8?jXl$O(M=^U?WRS~2D47wfEO;U`B^#{X<^q4kJwuFe!J>@H}AfTzp;6q}xT>wZt0 zA1O8!HKY~_&Kk|KeU&E#R7qP!^1;TwDioqx@i^si;?1zR^Igmx)EZE73ZK6mJlmh> z_|0xy2Ca=kb~M%V@oV~xzquE<gMxwPDfmu<p4xpAO=N<rJ!ryf4-jp61_kw`e#ZUp z)c=4uKjP}jZv3~p!Fp5sLXph6vJ<eK(tt<D{da5Sv4$lGpC}ccc3@GMLidNRrxn<> zr4VOE{h+m9twhne=I<$0r$!Sx5&>-t;4~B=$;fs=Iz=qrXn4=*8x~Hodw<K-zi>;w zq$Ap|CB|{fmDw{G$L-K-%Cr0E3ElvM)p&qJ3m-n+1j*Dk-+bu`f(;BHIKTiOUK4n% z-qEEa;kmcE=61xbaI6>_d5PcdEu`B>i@p@Ux+WZQoQ{ge3gb~~iS$7MH?gD%${o<y zbew&MP*&hvyN^hm4j)+^D7|f6o8tl-Jv8YkvyDiYR<If~gp;tR(J^@5b||P>$(?{S z)iDTbLx)!wmVz{;%%X|Li&yxvTm>!BZeqmo9hzrj;Ec4eZncVpZ4N2ReE!So=aBND z4jS)eQPx5Ysr?}msz#8MV89HpVOneJ3{}?G=QvA+9TiFxnW@aRAPWPVz1sbf4w=Lc ze0k}uk5iN8>Z}@F*Y4|gBo)f`TY%87!!2Ho!5s5}+27ogeBa0bFOB(^pSwI-gl)hl zqrJoDG76aR9p}T-Fd&jGAS~DO_tJXz*?Zt@J!sBixS-$bm$()h!DS0CAiUd=XW;jh z_5R~(t-{%?2wv&CoZoNRObso4A$5>FGLU8pJxM;x9UroPu2^%!3PM;CPRFnOf8P4) z9_SIPX2+<X7)kAU$wvSd%xZ$rI6DZ%K0z-*h(PNpi-S@B#i8LS$_-RC{vkN3)`|FF zLn68nlrT9@-7u`JdL#NmTlP7uE&GBmi($oD$jrvyti#5qEE?8=f8fc#up@lr6kchU z<7J)yU<4jv+W)lu=ZWaXP}oGuEVa+v|FP(^Guu!+jQW<fbBQNL;J3QQM7O%F=3Pje z&HvN3kuYf+wBmLwKBs?BICOzb!FfKEvi`kZD71*aTKWrvA`5=?b=Ggw^zNLT+$LY8 zy6IQF0M?tXawFfNeMzb{E{nIAokE{_%ig`yD24IR?R|2K?aja;2)uW9cK)+JTf-r{ zE%oP^cPY|<UbKwJRFxaf>LE%I(LibE;a)m)w~>|fsVHULo8wo$<D>BDV;#_vYlJw( zc1V<J)O^o)-@V))fwQMSS-e<q^21{AF~_3#$hX38`u%5)JCtjk&)$StDaGo1@tJV5 z2CRFH(0C7_cW$+SaF^fMM_<DAKU<J593IBg!YVD|y~=-nyae2h{co=~4+fu33oB>> z(!?6P%Qk^eC-t;0waGV$tdHwjw@2%f)9YIwtPBlN*`fFQh;u3uDJ|eGOK!op7IijJ zvGo)S4n(en@P^%9&L>ft^9AsCZhWV8@g(8`hK7cc*<67s4=O9JWz97M3zVT-c;2+j zhi$+Hc<_KfZE?WkJuMhA$yi!sAtNr_-qwk%U)FFkb8@LWBk)M^5jaAa7>f6plJ0!0 z;KuhxdIP&FY-{b=&da{o-r_{u(ls7?8cUW82RQk?^;237SD{%~bWTIu0zYa6``idQ zJwEMqGA+I?9{*4muS<KV7^Ns0JSN)c1qmD}oF#1D`8_ytHvAcOjJw#XkYy9Gw@yrG zh0+8X65e>IBv+{Z_vb>Jx>0*J(%Ovm=jWz;bsq1cs>t$L8?%b(e)E#gO(p6VBDq>L zZ+KJa7UgFV`=ynu14<Frs=Tu3P1xygl{SJEKK3Gbdr{Et?}n$A=FvKfCn|0Pi+t%t zNMnk_^3tNnbLBLvkedZ4@_f_BfWdG3qH${VUlZ<Wo!{`rmp@7>?wYG!Wc2QK{@)_> zi&Fg$So~>8&owS@o~ZV#-grJ$(R;@|q5bBGPfWA>&sd7yQ@+`eTJm#YZVJ7Q*zAjb zo)5{Hz^Ti&j3v&PUAaMtcud_p*_MU6cjC=37;<o|K_A0m@~%2403_;%hr7B7I=sZ` zmiXSuR+9yzvCujW%20EIRN^UEK7QM8n;WHZU*bEYwG~l`RQdP|V_lpzX}32@^@sY5 zD4A*Vup}y26%}uVa#ONq@o2$az;(N}kzgMRfE1}?gCqNq@#EqDGxWbBYV}CTzD~{p z?9WA8l225#HlL55s?;thp3%XWr~LBS(I<>Y@F~B4DUa*9@C~n8bznJ0f*rX%6!_{o zYM9qbOc>Om?OPFDz&8SE3R>GONkzV7D(Ci5syM6|8gSj_shq_{!F7vC?L`pgkHe~W zrpUvJH^&c8y?75U)6>S-;8QI)6|2y=UiwA(WFsoD#eX^fM;_i&2wc^tBCb5H4%v-_ zCUpQo>8Y+?pK6c|lOH@TIHR7HZ48+*PK~GGZ<3M~?mw)5k2lCE82i<1?<{cXdJI=- zbsH@UMgoV`9Z<V9B-X0(2~xgw4s-q7e*<UodH#)<?k--|*dOZDv(>sekKX}v4E!GP zv(YkTP1OQq=Dt9*^r?V2v<OspMX|2e0B%~_rYA$^Aq)Q6IX9t`GzPv#L@!|p*{{Q* zWBjdiZg%rx{NbosE7KlbjHK!5&LpV^tG0bE4ilPW{)W$Ws^YaW5s;T--I7=qHKqBq zdnXrT&D=2_zOSP+CL+&0(?rZ0i&b&j8k(uP8GpBVHNFN^ir|je!Hn?k0ju3ZsP*|! zW4)f~R^hhQV%FJ}`UXcww|jl)OYE?QX49a(!}hjGw_EvIBT0F-5-aCz0hClhPH|20 z$GfUub>n>YU83g4u~v5^5ELE<WUtyF=sF=JQD;Q`KS3%-Kz@LF{RqJT_eu(yuf(zF z-sNiKco6VX8hlj}J#x)<GI+BX93a9jzAe$syI0nOd}c!F+Sb@PBzSB60EvXRH^B90 z!E#im(%?r}SH!tl*#$+<o{l$@av^JE6<(bp*_^}7Ffx_)!&?=t`py%kt*cDrbXZOv zO3%!B*S5=PJ5nicaI5O5WL_xZ;#JMA^|C?DE}(E5&DoLe@`o-R`jR~7!hajg7<K`3 zTV3ksF1PvB4;+B&O~1pKB$5UqYL}wDfdi}T^6RNnjC|*-Z&cE@ovR1|Z<QEVjEmrq zXEN~Wn{A=@GZIGV-wN>;x_E!0^?~j-CdzEmME1aNV9$z-f@Q$MWwdfi39~cQKBxk$ zcxLodC^Zi*{wTE{bU}nJ%0bSc$QHmJo%MU$&!&uGe*Z$Wd$m`@A`D^8$QQtPH19#Z z>c194vfR-?zhqtJDZpat9)AXx3dijM{aq!A#_Y!G?!21Y%To1HU#0f-d}3sO3Rl$& zi?P6lfI1aByLKUw2QQnUeIBRe8;N~j+aAwoo-l1#y+o6CF5q0=Q@Nw4)UQ6Vz%Wbm zNPdRQ0+gni<H<R+ISDGei+YO=5mR&!@kF~n{61*-exCvt4B1I&3OzGrRv9muvNBay znLLUKTWvY5ta}w;_fBpfV&^l_uX<jmaZCJqC*XL50Uc^tog1rlkPQ}Mp^+bGMMa<I zl!XkI8PWAmaYBZueUIl)LS7yA1?mM_Sslc5ssl2FZp(O|K?P(d&#fv1@6WFgH?J|i z!SXubltXvAQ>#5g_DdKrARkFDNJlFVxsY!T#rG1zerd)8{=)&=cL@8poRC5^5Tuvz z=<`Sl&+(nSM09_^PrQK4f2P<DaYY*JN)2ko3V<lP-^6Z>wx;oiX?|FtA329CCb!fc zB~y?nphNJ{nUseJ-(tM65v^Q^hni7B!sII2iT$4C_5-_B-%`8mYce#1y?&Dwe14|Y zQ!YZX`kBo5$QuJhLsqV$e~9Eh(fc=zR0d`OR#E^LN>SQ(hzs#?#K7-3_oG~uy(v&L zND7QE{#IJM{=0Hu>#3590r>)6DWDj94U5=#|AQr=7b0YULqRga%FLo!Qvx338JC^! zAe^$;cs01cFdwuowljC?P_bM6bQ3bI%)lXq{R5u{0T{vlF2MfcIq04``t(n9Ea<s~ ze}WY<e}jhXW&jhMFjWW`jHE}vRlDdh9vRmKgQfV^=m%)&P<mu548|};H$-Bi9ZoO) z?ktST-wrTRN+($m7aW1=dq#+w90gO9`M!O~!uG%is9Kdln2)lq1rL~dXS!72T*Fx= zVQ+)-UQNT-Cd<bEei)-VOQQdK)UZRAw#?d|2{An{*DDHzpSN=HBS8&d2j5<EW328y zhzohO<HMFFk{e)A$}cXX2a$$IL+)FAd>QDoXz)>H*fs~^0&~OWpUMtk^Pkd<y@x;{ zFGSM6rSA<F4M;zbPRl^<Q==7z3zZ(SqDc<pe1o|71g~l$4;*9f+(7WYw4D1UnjA_x zF1s@S6FlH(AfEQ*DHXjx(bK~F+_$M^?m-h{klY}lM$C-JS&~yo00CkccwPudl5cld z>FA6Ban_e@!VROoTi;dZ>%-2HQ#Nzkx;A-dVbRAmMJ6cHiD+Kg>+`T@V=HP0`AT$M zG}lFV!hGYw@VX`_8V#@HXVWeU*8K0kG?6I=^J^4{iW?Pir5P6}zV4J?MP%|<?)z8o z!W$Fp`}S~hpu@^`hWfIoDGSMQ?X>_g+v)(E&T>z6^nn;Hu_&zaK+Q^X*fQB(L}Qgu zoK9&+b!GanLq9XvNu>!d;(DY{I8VkV_Sp<XJsZXdoVWd1MDI1lih8n$l>Qp(XM=QG zm^tM%5@Pv8Q=C>FsGXB-<6D?nO=R;jdqAWR$gEb&8o&mD`%x=5b>;bo9WFM;d^^B( z4jk!1y2x&XfJt3>&uOlEq&lRUzVyq7*!R2N^NuIdWwGfA68q{al^Kyr`1?&)35~xp zHLB9Yhirc^D?f%wm&Z*fi|=b6SK`p}beEwiz?14<H!c6NO{k0cBk@z;llc<|JX?jh zXOsW2@3&N(D#X`9k3Q4HCi$uCiZ<#vyJ-%sAUTSZ5VLZ)8u9Ade$(^p-X;03g>Aoj zW5mA}W^EI#P&E89EpLWrFhdbWpLM5-ubSy!A?y{w29vlyE@E+LNgQz}A!?~4g5!*h zh=;;)R4SRD+AdoGvac1`v#6{0u?vS?TSl+zPEAU`uj3WwbEkQptH4pzHfiQgruA($ zS~{s1rdxJJ!H}yATU30DIe8ye9(1uLfx4~+Unf@6-x~ft#W0SuJZQq}bZ?e5a`V2! zR$iNB*Y2y$;*Z^?d{KcpG@Gj%I!5hXDVwGp7`zY?bH+D~0DjQtu4Rgji1Zz)lbR$~ zV%Z(%JraTw$%N&&QBp|+J>%H@Xq`eLjHF(I*n`paoH_~S>Ph;P5$=ad1w<0wyzbV> z#FNRZIq>Y!=8#whS|qg5@hY2Mm%I5QUw<cAuzS=$cJ+?9+0srTx<vR6w|+~}iEG7V zONrlS6f=qtFpyd)V7f|L2=Blv!v1kG3DZ0m82ehA&u26{ns7O|mA7oggo7B>pmHLo z+e3auuzJO0j16?Da=WJwtAmT{povkgl62!bXqPQtuM1Kir)eLvBQS@tYOkrSQ?6)@ z%(k8f6_M9!8LgTxtYJd4E**dGmf_MjvJ$q3jOqZUHEuB$3QHY1?c-uPrm=V#U)ZZ_ z))Lfw>|NapPr-rVWn@~2(%IiQKJOev3{1hnweM!`b-waiGly*(L`33U$BpLq5q7Ew zTrm#`NpV7&CvHx!)e>{8Xm1~^?eai1P+BPbXLLBoCoc*Sz;)$uyf<V-uvgaxz@Y{L z1MnPBG}b9=wXDD<715uIs8TB`J!xxnLOP}s0nCNMO0Y#4l&}(7d-Z?3X|#WP7MTC` zz!Nqwv-;;+NHM}sPkJAoL(J))`6n0)xs{H1_IQL~^iUin0~dI1rQkzZMR`=(g1QKt zzHZvLZkedG&u04@)kNS#2?ZIA_Y0X!XltlHbxe=XXkom!GwZXdvr7SI`bYcc?LWJi z=1=zACp;%_0|HMcw+uqEIK0U(jUVPS23GgzS$~E%=2ve>Esz?6b9=9%0CO>iIa}vD zL<}^i8+$7tvs9V6u3i|sT-^{Fe3;SKF&3;bNbQ(bvn>h#>*b~W+dE4C>ot~CZ}{rk zL4=j$HI~r)X`cIZ>2!|t)R&00XIW-Nz#ja3@QOJWxJ(hR@I9SK8VSkT25G=G#QEv? zw1$#^WvL{bj^PeH3%XGoCXTIGR$kJpU>G^cQrO`1HAoCprqNbhWbCq))it9-Ga~tc z>&4OdLE0l3(FP@argddXJ)GD=WLlP`zQX(Pte?!>icH*JG#P$k4Dcne@%%~L>{{IS z^Ly{@!tJu9KN+%O6Is@CO;jtb?Ht*kI_T>UkdyxUU?Cz5I|M`b02c-4R6vy0O|neb zfZ}0qv*1~VLQoUi72?ZGFaGIl58M8Glh3VB?Y*muTiKm}&PzQpSN$!xnH<{VnmOLr zMJ-)3*uPc!NchL4rQ#<PKCw`6OV7)ty<TKipW!8|_waXC&SD||eT&|hsscY^U=pwr z2OLTt$m4oO8{|Ytub#0W(@oJix`auGPr4)NzW?&xX=29kE^&02wc4{~JJ*~A%d1V} zXcBzTyiX`WX@*}aaK~oAbJbn9xY_k9SB&-Q;OHaL8FWnCa^L_d()Z$~y>hE#E5#u2 zNq9=vaIv<ZCQ|EvGQhlJibM{Q|4H1SOAKr#*%M%_v*g+nkTF%;$L*@<ne&EU)>u`y z<W^HBW&Em^&x^>>`)+3fhY@+3w~n9my)EW!k4qtLnaiHrqW`GcG$ph&qR=YoWk5v{ zUXNYMSY_cCvt&5I?-k=*vpHuIVMp{t`Mf5Z?>RLC$$c4%#_B>?<)oFnnLk@mDW(EW zkDA0MSfSPtcfqZ#QN_qsMt3lRpR3ksSEHr;)^N|h-*rQLcdJFEvLP`?`AB3)aM~T6 zf{C;RCx2@gwp={FeW23k${tH5YHBh+GHK-}(U2QP-_lQRWw{_(#y2+d%L|rwX>JZd zP|0InQOe=K_0zJVJ)vL!a4qLSXA&KND>E1VwE;eUiPRh0J^i>kx4Wro!pTprPAY`H z!LQY@b4X;#cmt|}c%6zYM@KiQeD4La^nR-Ob?*)yr6m5IPn3O}QGYQl8-5vQ?q*H| zu?Zh5UHcB&+Sfl8hir7~E-sh{S1j~lKl*Sq`X~~ao!RPK!;d~YCq*<4kPUDGn@Zr5 zq5k}k$(0qp%Mws~xFc2+Og~o7ax<H&ib@o|4;_!m@gC1nZ5z7_;8A<nCsY)S+2ER^ zuMHQCG4Ib1>agm8y^t1^61oWMlfQN`;XA1PxL}$0;oAdU5<T!6DUSqCp6CFZeS~a- zV{_PcwQ{}PFei<|>pJJn_q!idK$QDQAH_%ah|F~~La^ls$2ljlyir9A#yj?xY7dg= zih?5Q2@#sBXxB-9w%<F>os?z2?L-75dlE#WgT`ZGaY886ub_Fp-)Rx1y89H;VVAX& z#j<ZIwXamJn@DASz<F?tqz7VP^GL`T5v2-`Wz^)a)#&&Rt~6EXj6*~LP2>KImu*%( zJrUyIn4<`44+${R4OicwWd*@(cry8GPSpQqR~z<cD7XG6ISX3t!3XlGL2R(WSmdNX zdC*S{8W(=RX<h*s@(;qcam?WPUCRGV9!MteK__E%p*c(AVpnH(M!?a&A+8t<2i7X! z3hA7dxS0rxOIA6n%J?{peie1}AGZG@4D#sv>K>yJh{>snG*-y8x>;K28J>W#9X0&H zf)K?@&>ls}9nXICr8c?v;aGLpIm<#d+N@qJb;%o7JoEeHNM@mNGRCB4QCl+xK8+Bf zAK|NCu&6_I6ch06`A7Fx)p2FCw1Diy^77y~EiGfqbyJjV$^C7~3X>Mk76i(nqIkK^ zO(hv=B>TJg#So%LQ;*edQINluJd))X9Ru-boh<w!b!4vO%a<1zG0bdhUzKE{S{+eY z$WP)0O}aJ|XB7_<y8F_uN@-;UyEbA(8*CW(zBB&D<j<8a_iK$tD{pXI2cRnlgqx7o zW!oFrgj1}JuY&3F+i*_J9@r|Z9_q}TV$VZqyb25J_#|XH)MKW_DD{6rj7zr%gq;e^ z>LszKp5j4fsQ)rlKR`C;Ub~a34v!?-F|+UJaxfpz|33ZljiX06p>U6f*?Q!t0nDl* zd!BBUOFs^89`UW*g0yarJkUwq72$+EPQc?nmip!MHSe^XDQf?T+H8L38TZh@759%a zW4lc2X*h=UxmP}_gx}Ks<qi>i)0<)7I8blckG6q6p*Qn)kI01t(l--C>p!W*7^tiK zV!rO6%e$+KPjwcmP}?AQpz5OsEUEH{l_;^Tq$j@<062-}j{PU#y@=rjUobU@skai= z!vtU-|AfgaUY}C&Z(dD$NR?jFN-AD=kb~ZWItJz4NsQV9m5g-VRVU3WeyI<~7MzOL zCiq|!J&xq1dff7`PQ68~-7guXr3Ueh9o5>ZudE7ihe9rgC<|Yh4fLUX7N_0sHGj1Y z9by8l3g*SJuU^zCR!Gf^n}g`fUxyk`V2;>}y7U?l6!{CLK7lpjFX|2(KoIu{<hne@ zJXq25xxSy@6!Ty07xCuhJc*hu+Rt)HbX;b+-JkRF+)CGLCu!KPFxXrD+Tez8`MZ2r zu_$a>A|pNeSbtF%5R;uPSxpxvD)SXghu&QZ)`{+Bp2|GPk%;}svbeG)z#WNX@JMZR zJ{l(@t6^{Gl=d|w<VcVl1OjAa@t%OBkPz0h_|jh_m-r~%i@!u##s!4@%VKVkyW4*+ zYwp*E8TufDZ}AcdDkDv=LnG^GyQ&>FEDW<a9O!Xm14Irv@%L8GNAl(kg`m8vnDKA@ zP%dr7*z|M!hUQ~ma-K|Uc<nOPXv0NBS3hVPl~h?yzug<wWDMAo=I?3k8l`E1tO-VD zzW$(C3Z3}gIYv|-#nO6wN^mY=Jk9)t?qqJ-=zTz_{&K3_(auE66^p!%6y@tE9YM|R zD}hy(ZlEVbXeoo>L#E)C>x=GoKS=CEbEZO)5)-7`)x1}}6C9{+jo6oJeZ8Hje>Uea zj^-<0qV&l))3)nT*Wp0NpYY(C8~AecR;VTsYpi#`aH_kwbDT3OxMQ(u7J7dkaLBfq z6-KPDIZ_yjo=vap9?EzeS5!S#4?Y`Ea?NbG8UkRlHw4CTsT22;l+DqIM)Z6Ppj4di zEh9e-yqBk|kU`mD;Y_^pr_23;20X+fn7L=PWa!<MCFwDxp*EKYCQsk9<;8n!`fMNi zwYvYt%hFp*v@n!wI5{jY*3;H0|Bf8>5x|#%m_NUO;F%sEn3>6DhfR4I%w`+EcXdeC zx&_n=Lle!HO19x*MnbqhoDe|T@{+=o);akHMB9aA8zQ|Ie<A2C^A2ME;0=<Y%k4P+ z%nS`9B2NYQH#@CKgVF!sq5-zFF3kbi*7g<`Hf44Vp<`$X7Pr-1a2IN3Azc8Dr<y$~ zaBx31#K_`^70Iwc*bCCuzJ?SW)mggD99Y7F0}JZFf`&)1K;#uz@HIMcuu&X2G;MGS zddA+s2qI<PsJFGdx=*F_YfWTZX~nKL#5~y%!HT)kjj4YQt*>hDB8RhxE2Wy;kL1q< zKYEixi#9VjvBZ^j2)!xj;PoVCbosq_&h8{^#di?QOk#;PGi_v33cg>C01{;8ne&<{ zqODxP_8WFPTHmQ$QCtQ}wotNYC!&SvJ_i-QbTC2j9$>{m&7wVv77lqr98FMy23V89 z9PAk3wI{^M1SN8y<PkZGmMcbB^b3eMo1nxGu$qC$+Zf^0C&a}BC1s$b1S#`&-5z>p zzpn<_^$gh1LG;Qpa=GsEet8x=Sl08~d4$`xc%-!MuD=!Ct9@&R)0=;XkVnIECN=uk zwS>RKhBQZ5I=no^w0zraZK|jtpQQbU^Nv;rl`~3Wg$h65>GWYic5}of>h%U8gN2Fn zgW(2xU0h$51yy`6xBQUw#$DFHOJ~YBiD3hpI2+(YYIM&vbQ(xY8kT+l(jM~>MW2RA zh4n^rp$hXROBQE2@!)QwWutzquu$df9p(H%zmXf!HT#j4Dn|GySpuAUF0Iqm5D9u+ za)<9#Wh0<@REjLJKmmS1?cHX0vIrRK`Lc57NPp$GuKc3hpp4YCC(i6zfMgXK&EW#f zSY{RYS~_i#<nM_^GDhEWjWje@)RqgNdf6hGH3_)vSgq`h_ed`n4|9EtMh!}bl@K42 zCnhlsYJef)A?)oA#t=3P4Nm>a#`AG<*Eq+XSHl*a2utd0f)LZmJbQ**Juf`Eev!CL z%vK(KG{;f4^DBaJkY*Yw&u@2^4!AOaon3Nx`Xz=1O(=@7oAylp{2&5RXLm5VaAlZe z>Q{8050krwAS>PztJoP8Imk-!#2WC#S_-m4jIM`(tZ$3O3Kbs--5Tl)f@YGgvMg}p zK5!D~f<s+!|Ar%CCx&PL!*WJPg?K92*1(ixvSdc3zk?tLP;L3F<!<RspQgBpNo`Z2 zD0$$}=*3$3)o{3-p_}x3y)*amz*T;FaO$)fv1QIcChf_mOiqbL=ps@g;vE((kIZOW z5@E$JFikOkjACiU3gF@4>j$PuEGdm-lz6GdEa1H0wS@&1m>uqgJ{B17`+X-MOVwq2 zRf|?g33KBG@bm|pnSa?X$~<Hy_3(%~u8j_Yxmn}I^e`{pb}A5z>RBYA5wc(B3uso2 z=}aTQ5VCj6s57{8AstSuALjDy?t}I1_BA}@OomW1hr@S(tvd2tSQJ@z(z%VI=}!3i z^;=Kcksul#noEi4>9@^0dmeo<y|TKoOotNFYZd2ZU@GNPO1a4S9db=vgJ|~wKfkL3 z590@R8+CLff<khht@6N`%q~P@;m<gox@kC_bO?+ea*qqizaUfuzTtO$#AnR+%br>v zDG~**bFGg=(>@zJv}Ysb@qE?Gts+o6R>F#%G5?$|A*t-i>ELI~cO!P4{R})^IXfi- z)AKD!hY+nB%5W*_BL)zy1##-Ldl9YY$@G?uVXF>ei>pfm=PqQnAGE~m946-A(pt=6 zt4Q<gknMCVQ=n|Zz3J|E{3@Qlk|GZgTVk9@?lF5EPb1gfg3ToQ2La2E61Xsl7hGMo zB<=dHGTLU=I~Z(3`r!=d=bDwP-8k^!i2*u{w#Qru+*jw|DVo~To08;~hK7w-mmO#7 zte8Q#k?I*Pe1hMvKfzj#AiVGwj*(azI#O?ISuJ%T(lnh3IgS5thUk3(!~I^c#R2v) zv}4H5EY1jTh>>E9aeo#odgI^@759z^KozX{Ar-2}SMx!(q|`!*=VrbttfgKDTO^{s zuKQS=?K=Xc#bxol<!n<fgLs7E&k*bpO&UHnCmZq_7&!gu8Tw`!!{V##PV1GpLW&Al zMO@K2qv>eI*0gGDisl`3=lz!=DI85Is4gG49bdTxy>QH9Hu%6QkV*V*B|uvz0U%>< zeoP#~OBQ5xINBNrE0HN#PJLwacDZoA_&sZolDhI>R9AaFnWp*s)W7S#!-v12MPGNw zA|q0|W8Az{TUR<U9Y*PB;f_qS`KD*T=TyU@B5_;vCTiX2!6qoDP_es*NP7G61Q2dY zH83Ds1nlhZo!q%w4DP_Y1g_2;0l|g_+w`>DaodTdgVnvoyPdEK4GV{%jFR-rvs2@T zO}blq#s1}k$=L?7;pOIe!;8`{ExNixu{`F-3N`xTH|41Yy1v3*UR9bytYo+~yfP(# z*JVvcwTC73pF;NuyY2J!yC8M?g`E?!E2oR=HLtQE0*~X?JiLL;u4x4iAg#LO*KH)3 z+}>~RGN_i=>f(pZn)$u!Fr~}Ks@j_j<+4Y&#hZ%(g`8O|57_h5VZS=|R)0i}kLtRH zE~<IU&A7fh%Us|)c}4i;M;I%I4Q|P=@6H*BNy$HzUh9(yzdxv1;vOdbBw9@?DnqN~ z4L7;7F(f1MDigi^ovd5hAt3D8?=5E?Y<a#;+$W<8^+=jkZ1=mF@xIzYYxy^hPc@}) zHg7R6n%|v;G)WgPeDau6pT&G!%;tB2k1-q49<`KaC|6H<*bAqw&PGx{qPyFShK!<` z_M<l$k(-U|U4#49+zVV~_b#0E?xJpj?~|20vL?GW&yN=KQd_)&fiUF}qQe(~4#SUt zX_q>h-`Tb#boYD?t%K3eSHiv-H^Ob$0&$2}cTL_Fbe$xqu-Ayrjf7tgJLUs!jr(gw za0)8o9}*b2-V}1qeRi9ouT^?Wc5g*y?vZf_c%Stw43}86FzK>CnuyZ>UbnH~`L64* zaldBsb8bk;{RlnI3aC_SBIuqeonh{^(IDbTE%QPt5XLYLa&}9Qigb~++r4yjFs#-Y z4h#D;^p4+FxqcZ{u)m#-BxNT1Y-6!Sxx0;6+(tUycXxkTELMW?qlE~kkX(7IZ&=y& z>h7i;rT3|0OVjoEIpOdqT8Vz;9<2SzmidEYlVjE#vrH}89Wc4q6}H*+r{MO!rl4!z zzV52lQ`0AX8F=*7SUnJae7NIxQUbVd)2-fAzv;oPaH4&wLu(RQ{TBA!T2ICjP9?6R zTxyE|P-8duyJ0zIR2pgCYu-Pv%M+7yml38h{o9L*;VEX7Ojd(N{t9Rk;L_-9=ary| zOauI&+1xxD34q~2_Q^5Dz39MkV69<UzgdVVR@!3Sc1G&GE}4!Y9{PS@klvipKSJ1} zCn0Pjb#Tqb@x{1r<YEVSVHXF!CS!}_fRuHj-gf;W_`-DCGOK&>-MqS>j1IKrUR!n= zge9$@c)<$^>B=66ITKVnhqB8nQ!W8@R|AuMKp65>#y;{{q%oIQ7JgQArV`{x9yDB2 z@|In8@c?l<Qh}p9E!uySuMl*Ez7~s_2v+O3$b-a}sFBMtq^MP-a$RUO-eFy2nDB=D z{KQNtRi(W>QyFqTg#;`#gjB1J>{dHB6^X;r!v-S2?xWqq02z<1w57-OcWFnU0`)Gc zW5zz%ymtWy=Y<$F^O4xm&&{6olgJCD+aq4^E{#Nl=+YdYEm24Dvy1ZqkBY}>q1{P? z8j8!B7NK9oqQVP$OkX}zbj~qN*<&j)tADt8qh#-*&h?mvlOnp@`Qp{`iL~MEP)@_3 zNj987q|CjA1tilHF8Oy{Mvqx7K)+s;men9;A%h0;mPQ&vAd(kqUdxN4{gA%f3+-pv zk@w*5cwD}D0|vq4lg>P2Rx%>n+M2TPAKN8M%{KYDm-mkGCRmy^c>Gwzy{3+rJApjV zZuz_!MW2*V_j6)nWBuLF#rMz;J(Z5jPYhL`WUd8nUod9gd@<e+C~}_zBGpTN#n@8k zBzYSa$YgY)+E(#zl5=RtahM;(42UvMm1%nOh?}yAV!6FodiSJaEx2F`=~X|^s1CH9 zOm9M;wRWbfo;!H4Ov+C>`}^vZ?Ys5oq#~-gqC4AD$QkQDJDe#2MRS*5C94JQ$JIX; zk*kqJ<SK$f;nIoUz`LWY9}z))E~LKIl%+Tu>RoMDllFG7oBUl_8~o??w<}&8+@+sw zY0e*0i!zb(_!5vUYWA(qBW`=u!#=G}TnkPzR|j&Wt|@b*dgT!)LYnb)wVCoYoHNpO z(4$g!{GiR>H<>}~cZ`teb9A?5?0pMw{A1Cak2+yB;i(=F)a#?4*2#m?>AO(4te zfE|$74LVnxrdB^1i?nrPBNzhPG2`0=Sq1eHHJdD0%O{^ksKNm$0CT>~BJwGHQbd~) z_dN}C8&<T?s#ulTo>CmspU`C!Fp0h}az#sWq{%-8lIUvHO0=7Xgur^qwzOh7+oeEK z;DG+;+$&FX?+8$nYFS+(kTBb9o#%qGlIceNC3)XfzcSR^?6<_%&$C4ulOy#mzI1y- zI4rmL)q(%OWkuuy+GL1iMec(7ViMlIbSAekcCaU-H_BI*RpV}{gvp<OpqZ(g_f!Wa zS^BccyXF;OadQQ|Bm0Fs1x^eYG@K0M2zqzm>IOwTht{dukk*#`iePBNkRvtCYZ9j4 zkp@0~b>Fp_gaSFN9nW2`2Vyit!U(CMXeFe#J<BnqPm!Na5(hPeet$}JZ#*Ms_~Pnr z+limy_nX+MmRp9<2CwT$@{@XvYiiH=nky8{5^pu9z#3nPlP6G~8W%)SQ8680SBpX; zYeJ8wI=c4salh8==tBuX0;|Wh?Nr45vG+}$RbJPYton=DR@z~&PML|m^9ld>X@cAT z-<vw#PuR|q|9l~&us7i@WOlOXFgz#fnT8)KHzR(jFrcgJYj<zgUAHiU`m>E|2#&K@ zDYoD>Zc?DMGenw2q<My*(z_{;&I`Hav55d##OG!+@p=r1U5&Ao&Ny(9GUEQJ2y4O@ zI;DZ#4Qe#AM<S-3_F#@kU2GWjdDT)@7T3RO|6jJw<G^Sg8Ym$prUfOWg4JtoyH22l z^wb1|$e$ny5TbVmAs5rXs?wW?c2HERfeowutSUu~{;Mj*3He7=iW35=N{i_#pH-zA z*kBf@Dh0D>^b7uhC&6kEeo~eGx8rA3DcJdcZU6c6Oz;9hRd91QTWG`Z(+E%6(tq10 zjcJ7VUybQe^gkL?70bUG(|_A%jj0Mgs4;y?f6|yrW&OX<m=-PDAQ<?udUu#j2BFXt z&m3%MRg(lyzKtT7Dk%(RZAoluEYC}Bi3cobX=#VkeHqoTE9nvA=xN;5<bQT!4`z(M zUbP`#Y+3H5qPF|woJd1}bJ$|>AbNRJ!}VG$<=cw<pM&LW%}u<V3zL=z_aD}IER$EG z6<d#^oYUjYTC6%P(S*%RKJ0EvCXVA}h!)5b*tdIHdm4xxi6IVnhxFO;H2dSom!}K^ z^C<<h=XW%uDfJq`9jXqCKkr@K-KY4*wU#N}a<04K9tCV(Es55?y-kcVfg%E`U0X_s zO`mk0wzbru>#w$jR-xkVho{~a3f(yFq97w)t@86Zkt;2=1QEmmH%<>H661}mL$Uow zyYtG{rVG;f3T@yAiU&~^kI5E~xLxi1iz)*48Vu`>#n7>>Fy*r_4c3*Trf|}-)a79^ z@CKxUn3tFlO7kia(N)eSi7Bm6D*s=}JU_Gl|5@g#H)?04SHc)m=O)$S56V2N@6hF7 zX?q4F15eA1;#xv50pLN``eWq4-ty}Sh7tBHHZ8S?EG<0RusRXiu;|iMVxD$q=b0A+ zeMS-pv|;CVil}=FpaQgkpWilkbHmlxa;mG(D&tArx%aH@G}n6;cNRth@oM!1$!^aY z&i^CypU4{zu?iMNMcUBkqSPlUdha4k(x<9^{op4QRCgBsRd+sN<}y$Dv$qN6&xO0@ z{p!j*f#oQj3au7GS;6G1;ndl|$}_rUNn9NYvKzsJpp;W4{$D~*_Y_IRou2U2kvJ^= zELu>gtDy+a;YoTqE~2z+{;a{Q|N5-Kw5NHJXXd87ev)U>CYG2(5daB?6i;9pNDoRm zw-TN-q2<#ItIs*Jk<U6$TlZ(>W{Tdk$kROWIp>mo@VWB8I^5ReR%UHiR#(5nyP0B# zN+jF~%$`VPgjvj<Yy5a;oJ-z}lnpavx#DK}382Xk$9hs^edjfB{DXC?<yyYPxQXW{ z3Ec>E=<Ew=cA`<fY#cGMPY9xmFst34*Q=_+cS9zT44htUQ`+ftemWV5G5SG&!Ph!W z{e9=HXO&qrWmtpN&_T!~JJAF9@bVef@sy6tDQZiHS#7aQXVsAMR^=NcuKuV$!sV(7 zRqAMba>#it<lTKZfWn+3oK&YkDo*IQ0s1<2T?V0rS$8<Mg}#lmS^6tltf0yo>ZyeH z%=Ev%zKObUFT{v?aAB8LDS2yLIEYXCLi(`|KPO*?fj#u|FT$uE(C3eiQ#-`(u0;79 zp<fu_8TjffW1c+S5gjK@6Z!-@U`Mn@OlXsw;l`1sQ*q%9;8-77@M@QJ%&^Xdn<@`k z2w-+upzBR$i>3edP)b1TBI6Lpd-20VZ1-R|9AlT+xlH7og}zCyY$-ddg|><0pl6}e z0LzB?&nG{DN;TwD3_zi<vRCRxjnD=Cc~kV)o0=d(A?F*H5g4CPBEBCk?>OKT4ezQT z;BGqL90A}y%OW@6p;V>5<zb{^>=iIWw`c(D$m~vO7+v!1E(`k?8>tXF#zv3b*U1dO zfj%r#sTaLuqxZcXV7)vIJ6EY%<?6c8_Es!1{JGW$!Htp;_xV)nx0cwAgk=YPCyFcp zRb+_Ep8lW^1FcJf{i0(-eu6X}X@G;qy6xPU0_a3*45#u_M&y!ul|Efr*cPU3sSaIL zghZ4t{6f_*)AJtD3(lAvj%vjR@uR)27IV=zjV18!byNgI%lwD#WjmZ*NCh2RINkTl z&61EZSRPkbqz5mT*@(L_6F7U8?ZixV+EHF7@}lW4G?n$wW}eReK2L(V$rs&1@j!Su z&jFg0XYd4jC2~WOM1LKMEIvvbhif}G*oX5N3h8^`-J<_0A{Eb_MmcwKKj|@cKk2Hu zu08Nt9A1j$6x8$V=pG$1X!4zQrN*`@6yx!C1pY+tZ9VulpvrpL-OuR}E6-_v{g6#P ztr_WQfe-1tPDlA>)sivD@STtq=Pxqq`YOPLI@gfc{dha;fpxEq7&3EBhlz2=>9s^f zXlxV>*ZF_WjI5nbZ3HRQreX+rF=I4xc#L-?g69@XZo+u+ay3=gi0$s$+!r04ui*R? z(HEt=5VGgb`NUjXNNGRV&#c3Y7Fnf|*K0*#N!CO<1@45hE27VHbR#ls9s^BxshKz7 z+OZuqr!L?%wGQ61XH)an@SeMX7wCL+L}|gRZayY|jm=-<0vb1BA8*hkbnsq*WjSj) zcl=^@yi?+x9@j2`bBZBHr`s^#Ww)cVzvld}xd2U*iVcxZhbZtwY-eIQFFud0Dfg{z zohQW}@9cE5+gi+eC>on8FP*-P$1H8|W7^0!+go*(5X0L;2JoYrCS;{deWXO(3P!0a z@J*fgo@)p=jXcKQ_n2=$p<Bq*I$}`|j&>|#C8>s`u;P4F?$r7lYhQotw*^1XYgPB0 zp8TR7;InoQeN{{jw{`CG&m`eHoGk`BrK#+~s{5Z)F&kCY29w%&`IFtF^{<K!G^(oa zZS2Adt4LIBQo^U!X|!X<c|xn1D;46#F#~bZJTYWTRk^!J%R6xc0%7A1c?Cp0{E%_A z8U-dO<Ql!`AxVm=5MIIm{_wJp>*X|JP8T2_z@Kx@LR>1zn-Td55i^!3=UwfIO1IEY zSxWkt=R1DKnjmpy&@UtRVGn1F)CUUvEHcS{lx}J%qG~0ZZnm#+))AM72#~^J@)xpv z#gUAt)a3v6C;YQSe>jglg=@jNdE~9-Shqv9C2#br#2FMFCulbrcZQ8F6Z^CMJD~jH z3YB!mS`gVadKp(jjB+~z-}c0~hETVG9i{%l@0YzoPOC~n5H#MP^UpRMnA&!z7>YIG zTFXNNl;TB2cs*}X^O{MOm83dpP);V9)vbYX#egKjkhj_@H9%+W$PHOs?Vsa}gsNMa zngz%EvQ>d;CEqm|8n4Jdzl{WI1&Co@$xjW3#$z7OZ>u7r$+9`>*$;h<Nc?%mX=Q_m z+R8BxrdAD!kKuNsb+e_Js#yvtrqw*a{M8g%X`rD&NQiG75<7CW=XxR`@u+P`?qY8j z1*)zAvrK78dwX*)EHkRE(tZK?jE0es!A3R_d@=3scjyxZrOK?%MjxT4v4C@i7r)<W z=Hu0Q_66*Pd*Q&GGcZj=>Kbmgo=Y|%272M({+42aa#``p)`t~qLPR>J!28MXD6CQv zn5iEU;uROUOI(|tkB76xG=kiF1F4QVQBVnz%RmJ&FF_W;$tahMi8uA?VLfGOyuLEE za(d%z0S{lyT0@nuVU-2wZyaKQJx&xnyZRfb1poF}NdN6AQa*V$?_MM6VZ9wwT|wTb zDsEN|qMUhcWkkCX^E}sJLMG<FonTO!nGw+U**PFr*Zz`5iNU959FTo&Ke*m{&ZVl} zDXXO={l*@+B?o0+ZRHeyCi*|+ebONY=eGIdZArx|PVzl?O$AfID(d-{m*E^UYhzs8 zd=&FPm}gPz5<f#vt5vIE%_`dUx%b{zgOe*yOMbCZMI9LL9SBl%9Vg=}SPwXgAL?wi zo^xlSvo}_EIyyUW!x!h_>tp@(9(m!5fjkI(EXc-c7)R$=0Wb)9KXmP0Z}$40fuyQ+ z$+DZ)attzu=i9C43H>VT;jqb&TB5BqFz3nOqG_?U8AjSvPOiN8&0VI<TAm*SF6lW% zwm<a?eB)P2Fa1A2e1R~;fAl7N2p?VMxFYI)NDuWh-l##o3w1JJ-xt*dZ(?k+g|_5x z5%em*?o4xC_GyP82qQ#@9VjrSzjk_G)@|Lo4s)yt#mC_CDcw1dkRe#UXw|9w?HK+# zck%!U6fseh@Ex!7g{JS%s&R3wa3ELPqWvPQ+3&ylGJ0at5M)5gmx9AbaEwPq4Brjj zNm$#^e`P|)Vi0gQhiL2`I*^!)h@DhuS^OCv{`*=b2e=01V#77{e#3Hu#5z7hcsOl2 zga%DjBDd+HH_c57J7|~-el>?KekLqo5cGR<P%HX;nQ$xS3+boxI(!ha!t=Ngm&x$l z5Yry%6-~jg{@8!)ARUkNN1;3qZiaf#)26l3w%z8v^?`~-+Vy*OQfQ91SMcV6f;uLG z0|D+^acO0(Ygqa9rX^BA<VG875khsDXYwVI%3cw=6m-H;EEoyt6p1h1D7}$`$%Rj( z4jhXgz!cjZH47(qcPC)XPlzl)-w6CoYc0&O^pyo~!b`U?N1G-8yw;PpR2Tg9e1fW8 zm#NCK<O9$@2d$K!gB2;yK^o>dQ0}}oDCI1ctJ}yb&63Y;-S}04CI6sX`@;!gi>~tg zkF_eRI#BMs&i(G$RK5D^F@c8H+764=uJ_+x>>woS{yj6r-=GwcaH$~DLeNgh=o{w* zR;ge=rMVq_LK;>wZZxN5=b)w3l&aVs(!cGCnBF&)+WH1vVCk;-IH&Xm3{!K^;%Q2C zSg{3Ut8LF#xg1!<*eEsGC}~Z{Y@fxyPGsl#f!0LGo)&0#6tEEZ{+`Ti)RLQyRJ)Yj zV-bBkN1y>q(U&&0JdLed-dff4w+JpEDgUNOvPW%?pJsE4;m!qP^6OSBg;pMad06rf zGVAaA%2+zwcBsEd%eOMsGx_479DXeH(+r6I`EHsFZSpN`tCv(c&+k=Q1pEZvb~y%j za<P;SZI4hY)8=j&(;Cji+UH(Iw#l#T@!GGA8=>TQ1@z;{n(lBf=v#EstT4)RfL`t3 zxo`a_DGBe^r{t&i=&Zggl*-#L(l}^IBQ`1v)eW5GBWl!0GVi(fDqIgbe)=L6g*8CI zEO+o@U0OwA-VkB6+KvyC#WuxpRdIsHzF;O{83#Q1HA~gRwfbMAy>(bs-PiX^H%Nyd z-M#6QPL=MEPU-GNcXvojDBazSG%DQ)C?y~ro(0~&=e?h|&UKyhhwM4NV~#QB+8Zy{ zT6>JoBDQwcZeO2!H`$Z8ZoBW05mxQmt1hR!-+XVU>h_Kp7W4Brpb4twiV-gTzV-U! z+9i)!Q!@mr#tQy=e)n?Z1gbB|Mha@>p^2gGYYcSwI53QeNzu(7f&=|~w_4$vN|Pvj zPoScm7zJWI)1v-zh{lCPnze1iy8yG0Qs%UzR^b{}i$B_DREa?a0Vw~OEFzXN8(UJD z64-hpp<HrzUGm-yAc@E#O+mT&uE?EzikR-S=*U$(tso|PSMx#j0Zv6hkTsfjkpZS! zMAK!IjAGPkXkZ;I)BF7!F-YN>Q<VR(8EVn81j+ZobdmuGrvuCM?w=pxI)2VI<p2Jx z%(Py@-P@&JRgwgN1FM;A6K7+i_+s3^GPlY2_oqWrPKzhN-$Fd3?PQVTgvUa>BCrro zm89e@Am+ac@%s3_E9!0krx1^1fB`V2{7)esA_cgQXZ-&u#2axKCDR%HPidWUu<FAX zvHvQqTh2Ny*@rCV-_{E(GJsWkoc5z+K-|!OX6L(ug?NJhnXOonb&B}K@v%}+39J-6 zSWXr(ihbOm30Tm#JNO1(roSgCEZdd)!*&oJZY3X`ldLZkQ<$0?c@&H;zm0HUQs3(P z{t*fsxN;JeE3D5HoXy)e#RvFbxL7?<PpBQ*uM!Pq2;2c}u`yI5v4vJDs;nSf2zSdU zO&dJF*(7sGe9`*^=F_WhH^sW~g4Cu><a5c4i%6z%5vB=4s-K7SwASTrw8UoaBeGO` zHZqqjqhd0_D|>73%D!}D1X*TW5(C}4ocx=;me|+_@GIy+$3Pu^W^rdHPb{6rxS#}@ zxgwg{-d%ta7K$Hb)J`lmuvRy|Fz*20lq&(A+L|2~W7kwG*p9-2{53oIH566WnI0S; zUR1Ma?_^0d-Wh|LV6MvZUDc^inXzt>*SNsNshY!>6ZoxE9lI&3q8qTlGJHgKNGV|_ z#vk_!Uv14yhmghOXMMG?!YG-j@VLmk=g_i?^v?n9eg&8q*&l@rOM`t9LiO>I`aJdV z7_@Eh+|16a6nQO?{5_wtamuCNyAL;tBv3Vv^$Zg-JLAsC6sBU|($`_7_!Qe5RC|Px zyD{vTmboc3Ztj?x9EZn9Tr5j!I2|aG#NpD$+$$d-bBNHk1&+nZu`&=lmag_+<8}q& z6gdDKxz-jlOk1x7bmkYQS^HULE3@UQqB(z9p4-R>4!mv!SbCBHSm=@$T`2kKvX@nO z>8JLX>&aUL6u7he4-HVN59m-}S)PpQ2c(DBe;5EC5wI)|3ztazt2q*08U<LDhb1p& zSC+c0LDKU5sVcAOH0(j~`s^O8v}>>iq%1GPE<p1dH0#yCvb_9T@Ym2+n!g^mgA;`* z`JDuu_sf?hBxIDeRQ*e?+E+^z);@;^OBv2znrsdabRd3IURe`V^@ZM9dOn@h=PbI3 zt-f$gg?gn{jnBHyzh>GmSdSl4HT!Oezo~n1b2xT=rt3&S)zzj8PH>k2Cbe(9emlnQ zmSmC%N4zxgmU@rj@_ht8v4O@|YoUWudgDemI&dGtjAAMW1Dmj7WQ<vP+fUv+(H|)K zt(hHj!IuGH!%G7#aNmL%$y6B`*67f@)8@ku>me&m)K_w+ZMx1D)t|YW%-U9-vjWl) z9}bvO9n<R~gdA|1*?4|60}st1LsIN4?FVX5&B*hwUI<l|*ljo(DWb9oW<c4&To@q6 z?f2<==#_uz{j4D4pLM0<ibTrWL4NF=C|;#C*i$#u?RYDX18QOv$KE;~J9~TUEkx*` zJ@D6V{;}S|j|JLtl#l+!@I{5NHZ2_+6RX>N%1EG+c24l5?mjr?{q&E6jl(^&%3($( zQ62lY!nHV*nn=y-!{m9X#)fb@Vfvr~4=Ptf<ays-8N%`8zHgY&_bN!^v+(9d@@je8 z+6nxu%*v|s%VNcaj*Vh&_Lp8N;PLu}puah)>+)XFd9OCxD{5kIh1ew`%YNGNfwamW z7;GAGyJBgznf#|B&d1UH%o%BGaHEZEr`c0;LeTvZ_FIubutrPV>PgTWgTc<3Y@H8o zGvzEqTI5|kknRJ$m)&Fh0zpJsctz~5#5KYfwz)c~;L`aoE!a&yJK~y;cwib%R28DJ zx2e&0k9`+KGq*{J>t!aQ5g%J%0z#n8)5ze{Tl&L$qFG2)(m%dHW8XROjDo6rl?gMZ z*Vu13_>G!j>`O5`q4R~QwEu#c3bZ+Eh%vj#t883z>ng-(e(zAK?t_^!D9$uZNO}#P z8VcumuQ)inUdDf+!W1#Rh1(W^vyuIkQSqu7lF394Dl&T;{3#S?liGwKF!;?I%>E9; zXlx-^sQciVGm2>4t^lNT+Butke#?e6)!>$RQ(+`MCFbLv77y9yDn<-Py5MNxKM{Qu z`KDVo3aJKFB%2DdMBcTyVY(8Z2U*zOC3<V&huRgIKa_vMY)yEdKctZ`Rrf>N4_Pi; zaKqvtK#Rl<U4qy`wf=4sNXB&Uot`gj<Xe88$}>@HbxlJ|aTHuq6XxOA%>CN-{hP0+ zQCQ;3$eJc`h+7Wt<`?<0D!<#;J#(b<i4pdQ?yE4e*|Hf;?ZOl4KAeQ0I@HNzLYk+k z#_5-(c+5VpnUZcQ&=Y%WJqP!;5cxRKSGYf0iRXfl2cs#p77tiR9v_^)%eSMjp)!$} zbf+@1nEbFXpDDt^8f<I&Zm-9Y`oN~qoa)^JVJl{1LU}&jOhR?tNM=mg_1U5LymVzK zsjE!y#Ev!3r=4dLs7AyepL>OpA`51HT1d|nNnit$SnqB4fJutYsjMGLyTBx+`6Ulv zl8DThl52ny4tD;)F%Zq>H=h`aTgK$9O*KyDV2<i=&$p?1mD(W+{|a*}vX?B!_iu}i zem4JcK-KLOI)V>6cl;CK8bIPJ!(!F{KB0g+Xwg$=S%25cQ8!zLQ!SZaLMlr4P&kU| zSU`VGThEH5q-W(kb0Fob*;K31sEg}_!>r*PT}TX2t8Z`h>$yIBg(U6C&W9k3svhOQ z6<qYUCLlPTC&|NkrW>J30NKXn(A*zg&*Uad<5iM_^Gp{)rNH$&Q1k=E#jtM%k76e% z{&*C9K(RGU!{t%z0L6tz(F+ta!@h+-itV5{@+f)$pz0N-k^QK)fojX6>IRBBVc%*% zaaaddGy4m7s~cx4LM0?yf@w>xnV+O0piE)sxqgs7cB~~~2A+<sB6Q9+2NUXgx;D{A zJe?jzn28S>*5<ClUD}$m?k{H?9NbA&=QK0dDws)x_hUKqQ*ee}_?#QAV*>8k0~9<0 zs9t`=5qxyXg8e^Fx+#vZ9mD2_`=>caWczKo2##qS^S+t{!mbo;VbmwY6^5B7<T)F+ zN|cl09;G&A!PFmFWE%C1z;6ZKb_=lQu?^=PXzqNlUxoYWw<+cxhVX2Wk0<YVg<Ncx z+L5%rHP>TmWx6Mb2jkh^z7QZg#ZS>4q~V_ONJTrjE4h6=tNvbZN_`n|r?Mb|-b_LA zgjUHr265$(k+i;{%ra0uBZ<;$>iTWn*IEAYe(&*%I_8oeq8Bgg?uF;fTBRMn^$~ih z#V+E(UU}y5>k#Z24*&Kigq3bZMuYl3(Z7z^g%LVd!#3y-&kqBOxe0JUJc?&~;zwMJ z(X_@W*`ctQaDsB*92gG2SBQofQiOLy!R5V1^NXwwqHvC7AM}@k2E$-EAXlD`i6XiP zVR=e%2>mI9!LYG?Fl;;uV%VSC4K?)uhJ{vwVUetZ{^L_vg#OnpptM*4N~<h`{%_hq z36N`gjdrM~gD81qsuK;v!bfQ+dM@3<muP4k^DWcCHg3*VN{Jv0Vq6F>hKu1nX2;~y z$``Fn%<?PWq5E98MQL+BTemt%R9Vu#^er8M3`v(WsslDjBofBgwe8Jt6AwGFH-QpZ z1NmN=dL)h{lPvLa4)m1#YGg@KRI%S%-~l#d3@NU#pZZRY{U<_A{xm)L;d@H=^0cvy zltn>QP2@C#0r(#<`SQGy!Fbt87IrXRTtLkrF^US~M+-a^7%m(3Qwj{H5N?8@?#V~` zp#&|<8q1fno9aT%hkHWuX>WJ{Yv^qGc??__WsU^R^A^5Mj~rc>gmtBUXP|V465REq za~VCjYYz-OWpLM9o_5-j;I1e0KZS#<3Qblx%R10+@~iE{M-*1*?X3^9=!HAxg`mP= zl-f}|g)?FO4X1nxw~F4~n}LM%kxqW*%Sjvbh^<6W<vTcOkKXcpbiuIwmkR)Wbt<55 zxyxUu+vqWl;n8_dYz|8@$QO=(uf%uYIMpS?4LXA?v7UiW#CyT*R=)YB5eVN@hYuWv zC6fVbY;$fMTh5jP{SAM;7#o4i^5la17e_wady)mWG*p`6qXXhYK6;d&7`DZq`!@`h zzYX+s_Qv!C0QlKhe0iC5dL$5a)3uAi4J9S1(o|*wnb&v=1btuvH7u|TZvabG>f(hH z2?o4+aAeoxd;NhY6tliOs@Lclg)51;wF#2gegi$p1*Xc-Ul%6mDv5L@5wSM86J;u= zClT(COF#W4JsOp&pv-rW+!V`TZ~LL$5_p3f(x>1_=O~m*xW%vYQ*zy(G5hg%!N)jy z*AgBlM1o*4;WEja<?fvfEkJZ14KU0b4IbwY*l+X1^1F9;O$$Y?SP(BDwh&EiW(k%z zy`U;!YBQbNNo)eo^G@vf*56Bv_`|X$A`7+2r?qFVbwvSv(7S|1XnfsRoB<ZV+&(xn z%kOa}3497PqO2M1uLEP@Q#Zd7_6Kyt_gm#0>rklUa5=(J3ab<LY=d1J{?62z2qbwG z8Qr%r6i2aW4zYNj{b7Z8ari_=PVc*1I;WPwUPWGmmp;N?_d9oC3t4q(b()h8UT(jC z|E+`UNEsPA8@QL~!+v&DMUZy3uv<VBqL?Ma#+nv413t#+Jqzhh7mwlSPg9?EqWF7} zhpLjav;F<T+p6&jk%`Kk%P0eTbAnt_I}7);@wz&fOl~-n1un<4p3U5|GDq*@uqyYn zLb8ds*&X~qr`OHwWYl#U)mF!f@Lh*NcE|0>-C<jdu+a8*HgN62m<XSx%1=QreL9=p z>b(%|&|wC4)1E~wWfjheblff}E)mzmcp?b@Y!$ub3curDvqBo6H}qevlM$Jeo~R+y zExTP~wX4kR^2-%@sLOfFoJL^K6lyu%g%o)uQqO&NJXAT@0qJf|B;L53ZoKrlKD_XH z*qFTsSSlar)UJSRZTqfeuID0-np2f$3!1s`^GZMu+)tFP0Vh8ZpgBYTy4Vf(=Z^Di zLt8G-?=j!!JQvqYB*lvlj~pM78wtbh*zB%9{QZYZmo;Bo>=9DD^WsD%`g921POw#W zzP#xxSarGmv9Tw2rs*x3wa<Sf)x`1Q;^S7oiKNN+ZQH^?Q5;m+%<OvIrCq%x1sVS4 z_%lF}&7OE6E9~}gocI0rJ@AY9#r2(1S&8?RS@iSLDwhFWUL9A}HuMqyZ{>db`1=Y> z^({_G1*F11d=89tZ)-T&J`Ki+bTC;7uQ&1mt`Bxg&2($`7i4snZ5_V%rz;14xD^4f z^SO%Q`$M*8b^D6X+zFz-pFkL+T$hbiqW8&9u$JDbA0!dl_{icjDS<UgT7paoI%Du( zC2HmgA9;ukvqT>UB4KOi?R?9#5hKRby__8z84nsiJ=Z-XwS(?q;cNC@f8KWZTGl`y zud;p?DlA$!jO+JnC9srhu2q(^Rud4sxU;J2e|y+(Yh3ly|3DoqI5Vtr>*TG`yf5i6 z^saFKB6X2T65qk7c7b*9)vitU!1eCY(Xb?l?VSxe<o`OT<PKbKo+3(&3nw*HYf6jW zc6vCQH+{Kkdsb*uz2&6#C55y?q@O0VO<>pz1nHq!1vae!&>XVub_BBE@CpKs&Sb#R zF*tgpv4y<%Z0<yBIdu?Tuzedj-MEwz8vgB23mkbTgCkp2a73K+sO`l~H)h?0fumb+ zr27XPsU!AVF2MvyNZ&f{@cMIk_`Kz#oZ@QH`3jpk;@;I!{<d>Wn))?x)aajyKsn{} zxsgFZ@e`xRF+S3Fzn#m^^|lhC3(9@Jh`c))f@4}6`d{)|tAFc})+QV^*WIugX?iD8 z_90a}-RBk3l8f*}aofu{f8ktj!3m8=oZST29a!ihyzHA3QnMKo*{aj-{k}=(M0#ME zWmR}Wufn6S;28gybhi@tTOss6j+9^Edw1c=mNp^P;vO>`2r9KOinc7o!4X;%&Iy4O z#7wCFvb^-b9}MRa@a_4}#At-4iI(-e+18Ulw*D&5`jS)L6UZzKm2Lgb=pEai{W5|8 zzpUd9<Sqh58>13IcH=!kK|X;002e_4LvSEGsZRlY6D%i?XGxJarbC7p&-VyN6S^s2 zP=&sD)faA5(#>u>pU;$_B~*>n!H=JG5eOC|yakI9y0H24gJ?jKR8gutO-KhhKF&p; z*6VpxV~7!3_&_iyM0ttr?oPxhK{+8G3P8nVK>c10Yqirn80+W*tcNIj##ot8o0K+> zCv#urH<)z<Kh)gRb`OW=OTd6N8{A;ch7DM=vBU>fE~vaJN}P}H4mXm3p$bJujwa-x z26y!+1VFI11Rd!q_WEjq*{?W^XL3M*nLb|v&W!^loy#Uz1X27&<>QCyk^$r19Q-I` zZyjdZ1FIS!{ZY|UbUrEl%cmk|eDy4<J4o*}uDOjxOs<uR=h*uL3JpDWRx!m1wN61x zJL?Gx-XrqNi6Yzl&Nj(DLkyb!Q1;E&y)kbCOlg~KrF<jpbk*%c!!V}dIx9dXPUSts zKTAMOP}C;=FIH#yOEe4=Z%Cn=zZM^m|3uoc*{^_hu^n%C@f`n@-m*f4R!_3+e9i{N z(wFP`M)Ljjhh7@*li@dC9Z~F5J#7$597bc_;yYwvZ{RK$zn(|KFo}X|ep0+vL8W*O z4P&eRA7yh1RG>h`Wc43KegRZ;zM)}!eue}%5O*-I^O#jIG{3PbBO*y1z+C6?((bmk zR#J5Ucg@MiJV)7W`#Ujk=>usYv($nQ%`{{=ibegn^7>*kRD~tSH6|uJ!9@YX?6RC( z8bp~e|E>$v(lUcjang}$@G?$FN$Ly4rwbc^1wm`pVBHPw);2C||4%(8t3RmEnt*=q zjTRA9<p){uctZL{6)AeWWSnkFeQM8j&7pAY^&toX!<D2GT;~{q$Usg|?3(_8v2(@m zVPu*>{<h&P$L3_aY@9g9l}$RSbF^x&C3`tnZ5PFofrf}S1Pl^adZNH)-jU^MREcn( z@efBy+}J<4Y?j4OuF_Wtz$+n~A>ct$>A5nFn3e1sxFQHQmfENl-q)WDG50m^xh{sB zX6DI0%b5Vobf7?jf19K%!2?H~U`gD&&hRdQo@0e2=>@Ur1_LC6nH`l8=ZnZ}9;=WD zEp~`Su4KWl<ycwV9Db~b-ZN}UWxjoah+ckfMn>dWte_<LWrmCQG=uLq0Z8A#!V!TW z_}wwJ#Cc3tgh5#dYt5@hhB?e<T#yGiJ@Vp#oicnZhq6tn7Xo(7rt1UmC1a{q;1Tt8 z378m9AX{W%Y?Rd2K9%vPeM23@(fvbcGbEkid>>C*W?0b3E>YOA$?z`u9I)C{gQ%*t z^A>a}$!vlRbe3m!QiS<wfQ=;lG}C7EpbENNmw_N8o}&S0T%J%L$b3P$Y}9xP3gvvW z`)B2OLbqYVC=Om09!<h<!>zYuGDaqQOhGK8tPS&eMC5@n-qRc*F&lGejr6eJjGd(b zFwytVxIDDbhSgHqt@v3?Clb|;Y^KH45F(Tymad%M4!2Lxn+5{F*SmK0_du@w*?ES^ z{85oQNmgu0BvnUEMyUq^HI>dw{f_f#Xp*qCgp2`B!aw4y#5^{Q(Y=F`pP{lmpc|vc zA%tNug-mE*eR$lX%G#)k&Lv|=!WCP=K2<FzgYq1Qxot{;Yl;MLoZ_qP746%RVz7K& zejtt-i<Ttr4E!Dt+iFIEMbBz2%7f(BqO#n6UBk#UVZ(SXqfTf!f4~7B6OpWMxxjYm zHbvqI<|ytJO;Yf;fE#74KbUhDw2W=zvm{YA${TPNrnFhUQz6h(YGi}o9gcz>g5Y70 zG@eQZ5`XS7vo~~GzpKxc7@9b7{KZutoTZCm+=Q|Ugr*Tj$O+9-1<AZ&ajh|%P5kBB z8=KUsiG*m6H(^xLb10;UZlE-FE!|(=#^W&9*LuDPN0I61#)keCaka`um2AH`{AS^v zU2TnQ7_W5lL_ylO+=TL{#buq6FVL%z_i~7Z=)5L2tkiyAK8HK>r}JHcGMUMJ_00nH z%P*^BKmeZQq_U*6cl3PUqQ%*7C2!z^dY<qQ4ACLv2;*Jun*oW#do1;U3zBJ*`k_7k za$lY$jD|}Y1^7j0z1V3|llAJ0g<t_N69t$kfg4O@3MO*iQSzSb5kJ@F8QhEGup;#5 z-h`o;d+vM|SP9u_lxYoxidH80-p39Fn0z@eE5w2_S(YMVLlebvPik5bB;B{Nxtq8Z zVkKU4m$KZ-sx42IL#}3(HiPTc;~vO!jK3mfGJiiGu>UFgMRkt+f>C)R?6Jk#pI5u6 zGD|r3Z@c*a7+vffd_VYF*Odd_uy9dWUiNnUGqv9GPb<=nT**Yoy@_C2Ef9ywT$Xfl zLfd)`+!;L3_x)c^yxsJ9SXO<iuXgV~Ssvg2I=A!t-M3Iy$GbbJsd%}|KuUCcc(ieR z|MOraZr6Uz_F#j84QOe8PiFgio7jb0iCL4ls|x?~_4mHbi=Dl5#p8#I*$V)nLNrG# zu9bD_rR~-qIrkzSU6EFer(Pq=-)}1iC%z7M=z&j{>AK4QD3Ho@acj9hZQ$3|kpo=0 z{4t0s`-6=4V1Ri3*l%<K_8YnGPIew6%qu;fRa3dRAo^Jj<L~}GhdyjEio3%k<oE0S z9Q&oE-7~#KIO%N`;Pmaznb-Na{n+m)a%E}KJ#T(=Mf0nA++B6)E{nxuS5DUTD)9Tm zt**rNq8~5o0PTE<9l<8r^ObRHxC;IIyG|F*&=_MgS0zgj7T?isYidt=)Wo|sh3@Xa z>gZG5C7(^YtnA@E*(CxfEYBK@ckZ?}kjH9u@8VozM1BHlqAu@@^&w|05NI+<QTtey zn~Tzg%b)KR9?y5@GsTDSb#r;0k?@y(+#c{oy{Q@a7B+upRWonAU%?F;bXz2W18z48 zw<qR(3Z^yVj)H&=bM3r2nG$Fay+kyu$?lx<YhQSat8L@VK9!3f#oV~i@MtVfL2H$Z z0%l}=##!S^2?IPbg!fi8!^T;{8lbUD`NDr-5Nt)zLZcuE>th)##A3WdLSW)4L(CtD z9ABuswXa=i)vCDwcakN+|E$}%?Yw3@wjGR5dikeb%e3ary%@NKll)uznj}@V3kY*4 zwcG$SjhtX3VInn%1*gt_WPt+^YB`VqF1J`S5KsM)FOT}i_S2a?g`A3`sN5sV`)?GT zVD^s8PWdy-QLiC6l?ZCN?_jDn*BYm2tpoqW4@udTQOUB2YNSeu^(IkZu&1otjKZ9h zJed6%gWO`pV|Jkr)K(??lr)LdDPhUfhu@5C6LEozvhjF~ZVf?wEQW;Nr9vNmTY{|c znZncfnZhR)dC+X3#A0skY()R3@mTS9>bBPPe;OAn?A1+%HEdN}pc)sgzUem*DVvt@ zyttXwNT^s8W2#jbG(GI9h;<iyOQEggDylsN0Xrb@C2I42`q$>YxYf#nm)fybC7;j; z0ai5BP0CjKVvtl(FQN8kVg+$tv&{ufLW9`z-%i38k{3G9gu@Kk^uVi+h8!RQ{NZ;! z`!=ED+p90&52i0+hE>^>O_dggl-?C|h7a|crd8*6ufQL_KU2)VD|r>Rf4Z|*r|!vV z{qgak0}CwN4@VMyt30eSQu;rpZ-6>4<L0tiFNBD>7mD%gwu)#()NkFfl>(cDQ7yrX zlpZnU)r-A6u}#;aU*IUZ3mnP7(0VMXLW5ZF7nJGiwv$*SV90?X7~<&whKPlL6U%!a zClZ4bXVDQOo+|d?`)Q*#YDZwKSB<+5QENtwn{8_S(}p@==+f>ZVGy5F6Z(NT@Zfn< zemx#-ahqBa)+}ZUcUHuM$+TykF!aDb8eHGB;j{*3R(a@WTBh(f8hvI-c>T0xU}lt` zonQTL+5Rz%lfF7En8MeLSU1~XyX^k^YKllcDl`jEOm5o2nUbDH;4XS^Ypkoitfy{~ zG^X(Sq{LnQnI-Moy+()6H3zY>a#~5?_?Rvr`UV!uveRq{w}eg|uRR$**h%E<Sb5QQ zsa?pky>S6%akuf~sDOtj1(KPt{D<QLL6}v^rlvy4$4-nwxX?!5E?YSF+{-cDlN-&O z0gp=$+MdX{E@9rmwjoYrjrIq#Hpr@Qj$HMo>qpJU-U>Fb-NNS);(c2Sc3@}%k1ZIF zn*XB)3xd5F9$DYc?4T6<D4~MT?sW|OiZxC$u%$ux4D5_JJ37npd}?j@|MmI^4!;3} z&%h>*RZjZ>@U#2-+H&^tIHT#QspJ3C>wn)ZX4dl4uK)9@bouuv{;|{CyI`<^|8`aU zKYfn#(WT0+u)hr~(wfjc(s0}VT(2$W{#n_$IbIqVzx#ByT;ksJ88K`ttfJ$ts_HG= zjcw;8?cH0wQ1;A^{ewC!H7b=#qbc$&@(h|x-)ZGrOp2BxRF2dbN^qEx?KCXGGWj|{ z{D*nS%s5I>7M?usqe{P0uGE@Qdo5p-l>#aaUW_Z{YOUHIUFuYRm>12A|J7A_B#K<> z<bRl_%#1U#ye!I6m**`@wkuXs{aB<TXQrui0cRu=j@&Yp4<f0(UvCG$ph&=R3zk%v z#{w@d!)Tnu30^%Rse%8ANp14Pqy~}HkS8RyFzpkPdgw2bI{gt|{D1I(_~TJh9I!y1 zAxrG<KyCp{HUPE)JZ|8>?Jc;J>ao%t>5WG#pbx!|JPG04xL#JQuuDNw*zx}wD-{S! zW%!?N1eC+g(;Ih{KU(+k0VW+;SP~z(z)l1duwH@D0jyW(9x+;pN$R^HrVDfB1Fviy z!7JPEeEiH;4&ViJvb?j?;x5AqTG7m78-fz!_{e`Ve)I$Xi}v3pfJe1}MxV0t2&z`G zQc#Ejy8`~2|9-0-W!6XR=_%GbYb5(mL$Yb{lQ_PBZ}kE;zmWy_HfOVd)Ne=dqIv6o z?KS{hj8#H2ho4#|7$n|+>(H8&K9Qx-xSu|Od|L20{!bo|Fa5X@*!W-`|99||?Bwyo zP<r|>zN%S&R8v{NOBROSN3wgU`-d5ge`U<yl`FD522|d~EUi@aSd`V-oydLlF!mZu zT)xVnO&O|N*xWgrPEz`DGI=!1JOJ-Q>g7~?3RZ)!iaua`FTdWM$cDZDaC?1wy8CsP z%l`~weskesMcD5du~GcNu!FP5owH)v=DdAj+y?i3->Qe+F1BqT0VI_@r7!f?T=Tqi zl_&?!GLSCHd-RMna}Mk3krOMhI|S7_F^40{ocb5Eix1abAmXnzRq}LI&y4JE20%gF z#GmlFS`|ke2v8bK{tubx<b#UzPt4PIFor8+qS127Pf%V3B>$ubDC75WPmI@J=};ca zpfme#?i@@gMc@WD{KWF#=v~O5;!}26$%u!*U=SxuQK02ak#`=+{id#oRDezXiL~0x zg9GQV;wKEH#<l(k6XqregsQaCc?N~YW%~%h%|sQ0n&YZK^he2TR0rv@c~6wx?6#7B zQFdJt0$_lDVRpY_{u{HKW(#s*9|65jk@^M&|Bj@HeuU#vnKRvFl~2L-G=TRm{=x9J zR{l2>EWFZRPHkXu3dr?!DN6k}RF}pK1$aaPQ(NjRfNbHp&L<@BKLB3+4^MlQ|0j|c z8ort!Q=_t3Dbj9WdTa_8&bBBSnV@H4^kJT;l_f}g4poo|*-hhOXkzM~3Iq|)6V-f~ zS(A^V<tjg~^)J)Fr4P`kD9yklY{d!_ZB!Wzeiyx=0|7WVS>a#kGMM!?Pzo_r;$d-a zsZ>{|fcI;d>=hg<ZqkX;3c@OqIW{QMHNTRbi8lo)?|B)$#p`MR^pH-_Y99k0&)FxT z4rqiwqqD5HQ*m|`g?SC~84eppwufoLhN`0bROJ=Aq)<&4a)Nvzuk3!;`s<$ALM;p6 zR0pZ@eFvo3P@B(_>7nd|wcjC@$ihF~tEoWdg|f>=neSoX2za~+^sW}&U$+F>%^{N6 zurLi*uf1euYeYF?B{I|UmCYtKZpXXMj^=!|sAmr0;}HqY3K%PGAiTCd1FzqMfhinI z1SEO91zER*T4FX+X7Dx8F?jt5s2Z62;pKUN3dTxk56Y)pu$140Kj#T%>obO8EsUXm zWC~VchOti8JYqhiBA#3@y^pT!8jDBQ1p5=#qeNO8q~2QT!e%p>JjoZ;f!5I=;==;! z5rAvO{gv_4SJfp*{XNV+K62SgWWyQWrf2%?!T{NL9pEE|D`h_^;~7T{hm6pf!YIz5 zJ)%MIn$)ifCqm>CMbefcoYYm}CMVGY!$m1gDyi#66bt^R#Ed%RpQKdBv1IhFhpsz5 zWa=TY=B!q+FI@**UU!nXfBW6ZPNJajegmv-)k;Q^H&LdfkCBSVa|u?2E0XY`q<^u6 zBld$l7YUG;xt7Ev-q98-de7JQ3ht5d`!r}ErJMML4emdJvt1Ibdfh~01MemM%lJRc zTl|J;;Sm9nQ2L49QkD*aZ>wWy8j`iJLfJ<R<%*oe*!)8Rt)}o<+C(_}qC```B&(=< zmnd8^p$^lu)+C6zo#ibps{LZ(NbfDTY3(l!BoOJ_rAmvc**t8zaTo!Te0OtSYphAi zu9UoXyVr|hZbt_Lj@ci%y4VZ`Nl!1WPjFubyu^{8`q&>G|FV6@;-3(|bWbk}@N7x& zjTxT-IP`~bo7mKmpZ8dcOH4R6*~%112MQ_3FiRq4fe2)hJS?N80r~+PV!qzJf{Wly zFh58FeLL|hYLsJF?1)~$h{l5HQ-2#3-{&aE<^{)8jYq|?Z3YK-%hw6-eLGKhPj(T- z)8<X!RQGhtAY8(Ox&EmA_49*p%{A~Z(n8pQUz=8LASw5a=oGj6hb(9V!GljHQfK(q zKJWom)$1nBcwuarZ<V*0kbR2a$#BX*W-fF5^M8=JTAw$fiv7P_S;H9E_6+?3;j&!n zMT5K)zuquk5w4Db&M#lCVv&7@@O@slj)3OFmn#J%A3D%P=><*tuUCKqvQG(UXZC}( z%GWC-4}6!#^{Ho#|Iln_9nso7#B^ffEZci$yq1$G!m6q+Kuql;OShi(i8qV+7oPhw z<zMhzUg5ES!E>{Ecm4&>eN*TBKj68*Rw@kNzv#K2@%~NErNR3zUM{WOH`RkDU@pkZ zWgX~_YO?zX!fc<QxQEeCOC#?xv#gF(96Mg!oGJ!prGSvv3b7|pFHO(5JV=23CievD zO<XrG0ztj+TEL}%W^Fl#x*3qvdv5+0y8DFS-Ol6#+bxVWu=~sFF*)s4K-w%0gbCSL zLnoZ5smcG*Dl`s)&yGkx$0cM8OjpM@mbRNRtR7g(0t@;zG_<vdS|WmB=WuAskmNPA z>4{pVLqLnHEc~UWw!n)PqA=Jw&0MNsEx?SzWI2=qT-vmpa#-upDyIFHwLQoL_D#Hw zK7E7ArCPl|0zvMoZ>FhpkLmfAq>BC0%2CeT`NiYCPU=M1S9aY84<^;;$t0L90W{o( zjdUFIUh-(+?cIs^?6-78HrH}uzZRFweQZQiz8gr3AXe=k0vNGtyQ@w+S{^QfZgr+U z7ox#9OjyxTRjsME{DRgCC1kr~B4cSa7cyp6TtCLJ&Iv_irikveil{=miq5aE`i_}? z39^!H?eYl)kulAG_{I4Sp8lZ*udDDD;upKac~#S@iXxJfSa>C)aTn`4aK+y`us#&2 z!f=Q7s_z#;2>_}YpejEIs_#HGN#Z0|>qPJZe{0v07)*&>{EO4(*#KZOa7_3%I=9db z&98huqj!>B9QRLu<XTNPxS>CUcUsdtA-P5|^bd=XYmfm@!y>wy**rrtkJzj#zw*_W zvw>>N3$78>!=>ba)(j6^?4E!Y!?rX70vMl{ZiCN~N4&X7?njWOB_v22w#nNL49P&f zd7cU?JcI;!k4jKT#<LrNG*FQyB=|UNQ@s;x)K<$wn8lx86(VP2Xd2>35jBP|*3M}S z%gOfpoFf7~dW@abEn&fbf!=?ydko$8%^PD_D#2(}V^bbO9<Kr(_A?2<z&&Zcdl+-8 zfG7h!T^O+xeT-y!ZikwOy|%x(TG|}e+yDm5r}&$jwFZ5D6MqoFF(7gnK~EX&Np)oh zR}q4+8Ye6mj^jAn8tz1NYS7jMB+w0puyPQy6Z0t(2d~Y|Lv2RbP@r4DqAPBrI4BXP zdlpo+fP3DK033Uw#}MRmEQ=#Lndu+_3ABUU+#ERcRs|U}H>278aMG!SX)D98^GqYm z6ZfLLv2h6HjP)|8I0F)?Gx-au{>Z&H+zxj<i5-KJkF_ig@06qmlTZ$x3xi1*3Nk2e z<g@1CY$DK20-Ik;o5ECbA#w&}klz@;2U7<UY63H0Zt8GzzrB4|F!!~Qo(-5gl`QiO z)U-rnY8YogD5(>-XgYH3!iJOE<&TG^49%~HDntZeJ;n09Lsa%!!A#28t!gWo7H>(v zs|bf63WK>}=$#%D)KO?6RI@ALH0G;HBxHn~l^~dPJ1As-UBqYT+1sCz4A+bOwwizf zxb6yx&``-lvsdIl4}=(@4rhj;>LDS~ucA!zLh2#i+1Wzy&H6`#>pVL#jw1<ZP4P?! z&2^l`P))B0VV4I@A(iu38?>*2MW+_{RiGA&!l5lqya+W<heex`G4bKVEG(p;Ez@uj z@?wyU^fstq-=yFM<kg+!LDj#4g`ENhaoH>H5(0|lW55I%icpKk6v#@tyz%|;VjT0& zQ_ZQZ7+!JC)HkcihU!Vp+6?1lny)Zp&tZ+ktA@NHKd^~y^vW<OEPr=M+wzh2jZ@t< zmyP4gHe|cq*{pR98$TR8BA1wr^6-$p1{QHHbvHfKukJ@=pC(&G3SZ*SW1a(bRBEN2 zXB$es6Jg+Ob<B{`+!yb}&-HsTKTVpUMc-&ui+!1leV%_4dlUB4c{x{^4D~s9dtL%4 z?KJt7e?t;t@nuNbPxAiYL{M!F>qN;nHiGk~Ngr=Pr!C1vvVdCd))z2IEn1m@xkU$< zg#^rUkgiPj${XvvE@@5)@Rf0vIOos#TpDLq1t#XVEbXK#&wpSxF@ws}&B22E){QFp z_TAoiR7Vly!V89of?e9cr416;P3U*;wt*A?fhx=j!Gaq^I^eyPMv8_IuKbK5XGbvV zQs)&nMC;p=)`ts#VBE$uV!@bDOE)c0B1PxV+b6|f5(Yt9<?sRNcmo7N3fVqL+dzs$ zJVE>!>NO>tm83ausT0zvv6COe+wg9Cc66-jrB_67dlxETHrm_6d@$Q<Y2Gj}8)U)$ zC>oQ{grl_qN6avK#P6MXa5rJnbW8>kIOl?xi3)BoJ=(5J5}Z@JMgShbps9-{HFy9? z_l<)9cm%YCS<k^ENI!6=c{~E5l_VspVYa?V%ny)^6_sI%;i!>oDK)G>F4{Ul7=AcU z2!9tGqvU$i?+~PUbYC<W7YVYbY_aW6$!<?DACvKZk$Os|5JnEJ*hR)F4sLGGj_>IJ zBtY~YxT_-xK;m&*BE<qv-cXJwZ&2x9FWO(H^<O7G8({#1`gZFB71UsSwB1}FG$B>3 z2%7+mVf64`CljGRg#1<_NdoFXdjt*21r`sjn=tOaXBhk{L`a%fq6aE^W1h^91e!h6 z#Ki;$bjI964`BgNCS20I44}7Xp3E5ZBAB?eVS(PLdxilplv&yx9dzc;lSw>=8oQi5 zL-UX8fBI@<{4ll2{p@?+T;ZJk1d!gr@6GRheo$p0qj*e~3O)xTF6Sz163|};|9;io z?hkzTxoAm`u_!sJNt-UB>zNhg{WBB?R6Rhznxd}@2j8#bEHF;`?&hSgYXykN>=8)+ z#QoX-@%`@RX7Ayh^L|`i+4cSAT-L%sN!ZfDirJZsO39wQciDfhSDJsSS1MHVZ%x5D zY4UQtI2t-@I_9wy&I1dU#?yEZez7!I=R~npMsDv<&z21UPJY+b%^mz+_p@zX_w^kT zOg#%_>9||1#EeZM@Nv3FKOJLYGG+X(!ABT2FZOH+wLWX}%0#?0YAV({Lebk_f3%vI zs(co&{tV2G6ABA^arhdvZ3Sc{G*@;KF#Wo<6vXiR?{!NDz{-{1?f2s8gNQaD5F;@6 z-fbKTmT_3rDRw6MD!Zd`MbT%S2!B0Fgtf9VwQgYC4AJ>_ewgpS5O4QYlLl2h9sk96 zyZ8GZAM{&>Hwde3>tr%0^Z@CYUTVdqcVVwPfGRw0rO{;$Vlp;_aVpwsjkahu09kd4 z&v|Ua2QJ@OH%Tu&+^pi+@Cu}aA>)cS7o&4tG9s;yeo_+)=aEb)9o@e$^K|5G%QaYC z)f=ze<z+ftY<d$gr;&B-UbHqqb~JJ*2lcKaaMJC=<bQh3KRK4Gk4zMboINx}WtHtz zt?kDBDeoq0x9aGLYQ(3%`|Vp(iHL3804Vx$kodeM5%xUsTgKoRFoNkN#;|N!pf6nb zJs}zWD;o>pY8k!>I_~<#D1OYfpf#cSm$j?cJ{4AJE0*c8bukKY4{FSd^@n)USu67t zKgBzhpB`P<s^ynmp;yWsmvuhK)|GpAw~80aBOu6z|H+s9#V21)CI=cep~DXe0FOTE zo%rnQH`tu(p}o?b)0H=~@@;Wtc+gc5Y|UPOoG<HK2foIhYs1`JO}Z5;at!>~xzXI$ zDN}EF2L3x?yeD}^`3EiSS0a4Bda-Z1?<sh<?y_=qx5}a6Yvq~a&K$%%id;!#w2(FR z%zUre^Y?DKFBM-vWj-wUAOjl>5Tm}wot$?sbWjpkFh44oRP<iPQ|pWJDygt1>ZFje z;#%P<=0*g;t-d_AZ|FqB3tD4xD0^8urvX)cJ|aQf-}_h(j}J!ZiS;G0L%2StV?DEe zxga(<knH#^?rQ|YbS&<3nr}phqXPRe&qpG!3WW}XL_Bw=$?6t+tBGGL0j$^-Cl97X zzaq0{h>bOKV**yWR|6#aB<!mF8y=YDG#ElIMs^C;Ibu)j0zZ4ClWIbdHlbRlGk%HP zHS)KT`JD}y{-1|S8@Vf5w;xXDel6~8Gg{wA4_TuQE;n&H+g8^&@UxrQRKFmrOjCM( zsM-_);R$d+t-Usr1<C>R{XV)m0$%$2s$Yw9MqBM5TC_9dhaWNJ=+0{Ppi9>aH@*;M zfz4{EA9RwR)lE`it;fwQqHRk^!*~Z-+f2%D&HPOcI7m#E3=sKln1dkS{_sd9_Ym#_ z#Os9nX&H_5;Jj5o>*qDs?<@<glY*Al##vc?U(Ej;+gh&!F6}Dp_&PZF*aTm0dw;E! z*h@Qk7w4bGQ{lCXNx5t7WZBV1G=C&Sl8PyYI`>xKwylQcE-cUs{&H;6E$79--Hz9X z7>ldX3!giW#3~`ayezVux2rt0yw5I|vPAUfq{#HSBn~(2R`J(cH!WmvQ?(ZC^iq~n zp#&l7Vvb&Iz(4T6wym_K@5gH<_{iuj4HM0oxC3$ytKz#u7zb?l^LBH_RoyG-<5N-7 zmLxe-7~+2n#b36))7l>LJQGX8*NeGrlyLH{Qy<+j_8}qp1En+Y1)Z`XYN$beI!OKJ z&krQ|otv>nmhJ=_VNCUNZM#h6p^2gdKlg13k^#RCSKS{?{OYX)^i=hi87pHbGx&!S zMcSW#W{TD}U%$^6W_nWq{b9v{lIPq!EUin78CfW^e$E<|;9VISye75^-l8t|8y@`9 z8V+5YQ|)<iWzSbbiC;L6E&Se~SW$O-QKDwQc6yyGl&V7L^eX8a*7(FCqk`dUvqZN5 zTr)dh3YFGo|C^U)0~X$b*E+g+A*q97LxN}NAmr0><MYdD4WGj}EOk3w{*YS75o-to z_4ErT9a>!OpRt-3r41#z<bLf+f6g_y^~%n9`%`V;gC`N`iX;W|9b*!_BdA_5=OWAM zcm(vx9+A6;X{nV6>h|~k?Cc0y_BB?{KU!e~pyjI$n>fl_@Ued;;uEO|h^^u4GT~|L z?+vGjy&4VtSnc7jQ$<|CBe{VhZGgLvKWxU>u*f^W)No_msPdLo@#DFXOS#HETcj^b z{AV?J>yPIIbt$7wU%cX8l+50E>!+0BmNBK2#81iYADb~I+f!JT1l^DvuSAm49Xcoh z01kb!S^nXXs(996+BQZ<>WF%9jxACrEm>Hjii)d1SS046ODB!nR!p>7ij>11WqwSF z_xu+GtK1{1(=VH0l3qH|zw?i%YQJEkMNXrZ&uSCZVhJjas77;ZUUj?<Ic1?CB+-6# zQklXnTg()xHiDP$!JNC{nNX_i+}<o&0SH^2ZJ$`*e^e2TIAZdQ`L3&6xRd|A%{9pB z(8PUmX3fUCo#$1o=y$>WA>DH}sQZkMaoePhsHPOd*=NK2R47AGD^eIR@!#^oQ3*9? z6V|!ibg+}<>8?sw16B&?Y_dWltB{LX#9DA)ELnE5)Gi%e?-v$lJcxK7FXGB1egIH` zT!Vlgef7V;+nAZDLz|eG6eD~X(149mniPC@f00U2YG)K9`ijEJ<=aJ9?(gvS{&C@D z;aW?{SI)`|Wy`Z<&$<SDPt!&wy@!InOcJ`7Bb10F5y-p)M(p{{yeT4mp`e+VE@=oa z(1Y)p14|B9U~YB4a*7eRn5qb|XF~yq8#Odpd(@u|;xT32rZPSUNX|a=a*}|5Z`Rb- zcg3$`TKaTeCN$`pOfGqq%$wAaX2<l=ByB=coyHoIP3lgyC^D`%l1%Da?ypLgD|q{u zD33SgXfG;FlCom!v@JcPw)KTZ`d3|HzFEb75=ltkjetzzG<xRPjH_Q*?R@~^qXTlP z(d#|KM#a&ywRqU4aT+6dj+GWl^Km{SNPG42%<Q~iWa4%)UNFSF8&Pc8PY!h-b91d7 z@7J8pX5Lu*kd*7hOT&Nczl6nA8)2Uz2(ggJxl3+?8}URefBtQF$BxNj6d~*)7u2k# zJcq;?vaal!lW3OdJx*1@rw@qjzHlzG*?hOAkm{hl4lZyDo$cOD3KL_qNxY(Pp!ZwO z?`FX>ii2~ED<l66`rR_S>P~0|C9fI}zuMgayQ(=N0MDl?kVVSq=a<fK*7rJrj4_Lx z(J!<#g{VNoG<>u;JTjNc+AOvdkH4T+u3*z5LMMa9S}L{FCbRU)6DZKwiO@-)W6Yvw z^eZk+$pB*_M~fpPbD73s#baC|^&kx`#-o#X4IG+Z{>w10s+Sg!s!VTuf$UdVn$l9B zu^stw98dLsGSV5H2h<Xnig`3&U$+>48pBfsV;1!w-*+QFa%jHBYcaM4oClI~nTkjC zAnN}~@xO~IwXH1uuN40mW66llukxP+)>%x};D(Z03RJcu7k45vbD0>;Dob%&3L0Aq zc6KA>Gng2qfXctw3RHF?<rA3xH!)*xu%7?GZ80`?9*73tl#h^qTUJv4vi@fOXW~D` zH}F{l&LJG?^c(|Y=8;4qs`NM^l!{|EIq4^7!5nYsf!h8t^9Ul5SM*82lrm#BvFRty z!5mWb9H1OdBqBkN6HF;GX6u)Jb{51TOkdl#Y#zohB1oSUM9Dj5YnP7d6r{i-WuCq? z#=dKTU}lWD8!~GLJ@tcJ+b?9`qqdRb=vyPlPj$-V;D4EYCWQs?pDR9!sqsj+sNzBy zlLFv+f&6F*DK5MNUEh#R#YYx{pl|vvb#&25UorENbGwlUndrLGXO9k=(3%NwT#!+2 zdP&enkMbJRhJe?G;*xr7C?U$%YGWTT6P(+soy!X_5=IG3K&RoM_*V8(jr+|$(Ke}0 zj~qF&Z_jy{ydw9^r%%7R3Y}JpIsp8MAr{fnPv^7I(xU07#-gRaO{vm94aJ*t!Sp6; z!ipDJ5cjCv$8r^7VfJE*qfofNrs#1_E??ky4o!h3`2o{Oh89~$W~6}Sae{+1IKhYN zal+!$gviGUa*q>gA15fp%M%*5E>+Yo;Ey!j>pKX%0Hkv!7Lt<;zjTug%+YCmvvo+_ zUKw6H=aV_PLQeJGc=!VIK4EU(F?RD3hZ(ld^E*5r)!N(^^Toqr@&d!0JJ#qu>4iLP zvgZD~125_@UZ2Q@RF`ud1A{rLmX;0GSk~|B4V{gZGER1VB_#Tz6&pM6gj<#M7Jo6w zgwZ+!`#}9n$?RMG_>q`?D$fhTjOD!7-tJ<gytOgg8z$S1K^%wQ;kB2Cc@j(F5(dym z>zfF+9jKOMNkybF)})=Amn0HyZ`}mK?Dw6oE@b(ae=&U1nfcsw&hO*>fyC}Ax$u?e zW#h{*`?%yj^js$whN{(XyT1+-oSMO{O>8^xAp(@4TB&_8iX|PH34IVdpAHHVm*;he zK0%+>UveGii_083Zp1nrB$aEx=vo#<iU$}5msltl5b(A)$Ob1=;88hw^J7;GHOanL z`bLQ*U84~_Jn&t%esx7#6MPWo7PfZ`bL#O3>$4gh!G0-$nuP9HNy*svd^7T&@?!yU z<rlzNd1vAvyDVu7Qc)*bm3Y<hwDgfk-uOTO9MRRfB_?X0*fU5ZDy*csKOuEAr4;mH zZ#*QO?U0;Ctltr9S&q<%rZD34{hqZ6v&>2BEoA3?-IUW{em>HfR?e2|gUOhQw<6X~ z&0WdpRh%<^$s)iw3Vag(!$2aA(xP7IsRT%QDyi>@g-FO#G+A?zeWEA(gyLK35YDiz zG14*I=4Ng_lx`S5(gri6|1rTm)4%8>#s9^Ul=w-(EH>YwJUhc|qGK>7_S^%NmAJj8 z7`C7%5^}jva6k8vZ01yodVD`AiFn|2Attu_9(^M1Z&kbxDwkaEK8njOyQ<}LO(O%C z&t*@y$i-!=Js5-g_4)ARaE^Ydh+!XJqEPf$e+1)-)TrMyZ>zz}wJ&o8!+kCULyMFX zxHg`v;!&U6%wS^MaHCQ<US><p1V_n(3!=(P$W}|R1oy90;>+PwQmTt#SCOMrWXxWQ zzdoqDqVyarCEFgdlFUnl4{i(u!ZB8r0vIdF$Fu<~dRug??74gxbv=o6Di|uIMMg@2 z9SQhP&5`VMsM2}$u97YS;RwlhrSV8=pW+S46bVN-rD-TcjHqE!7-{B9o=cxbE590k zMjIho6p4Ic6rLbtqK0#N_L@@Zqf?-7s4?{<;d$^KVKNw=XGqooh6B=46e7YjaAp&h zXks;rHqqNeg$40st=Iwb>bM6%q*UN`>9;K!B=c18;BS3~Wpf~mDA!nQSfy%GJufvl z5-p-5#r-&5!&#@o6jjg<($h!oBRgA~!O7_=Mk_1eYU1pC_>7d;m`PZePG3ngc9M=o zZOa0YW>D6XOXuXK0%RBEjibd2nq%1!9i`*zUHb{mBpvaL6pqm-Y9>$728as7=_@J5 z5^}*fbci&vvYuGFvpALPPZVP~*@5#Qem$<h4;5sC^kn^C`)<F7)v;;vTCA}2xv3Mr z7DQM>(hMH(8Q(S;OBVi<zDdZ>^lp@AhuVEUJuD;Q_4<|oU>jKIbS*X}chmuS#>tY= zJ#@YfC-YrAC_QO8#e~#6mLni;e*!snlm;F`*J7~d!?j<})GSqd&Fr|cvpcAn)wM8z zToonY`lVqBI-exlkry*z-(csBk$1J!7cT!3LeQlARb1USkfO9a0t4N%EhbtS(-+ly zgrh0yn1&3%y>EbVYODHHP|ewor?d<W1D$Vy0^=lD2rBRTKqVU*IzJZ~oRbPFg}tD{ z4=Qs=;G9TMS?U3muTaqW&p@Tk7gSg`Q0OZqL`C<e1N@g2NZRR{nVVrEQIRpHUXug` zAB-4#qzGh}N*>HcQ0H0#vk`n*TxNYk=YnnRQ`@du8v8GksXfvrOua6l1;2Y!ew6+w zfdMZ7`Rb1tv=rTmnLj>a6kahI1dSJj7^Ew3B_8a?5T<OJUtIai&;b=qF}xZVyAX3u zk`$>_SO{~OvOkG}Ijx_(-!%yl<|ocGxF)3&Q>0gn5F|(2?*abLfkD_F7IPt@-r84a z;(CB5dEUf{r~@jgVrVrNP7vmtC734!%u_H7=2?5p^B&AY1Lko!1@j1jc`9}T*tt`} z0`>JgRdDH!dfQ*n+3D}FhA5{tjRy*ng)%x5(AhbzzGa)VV`F>&&GOS{{^&og23IkO zRqPT#aq%b`Q7zMWQibQ9O}S&e5{jW}=?hg0Y0+l<B`D;TH^`C)E)=M$R-nKG3NI8u z!3`ANfx@{tEVT<iO}z0C=eaptu&y(BTHEWSjc7{Cnkj)_yc6y3us?wytHr?-5RSSV zAM#O<ihOyo4jMakT%LS$li$<`u%1LhYI?Bo4=$?14sZ6y&`!VMgz2piBPKVxE{CIJ zEdcW%#DICGz&zrQd49x)R7g-!AL!Hva46X2#>6kpj%4SqSZtYIYJ0lzo?D`Y<gQt4 zZG)B{@6t<S`a3icV!FP`QO@>-11yP+-7By6BnD}_9(4m$p+N8C={cWvC+p;?xrq!I zxx4Rp>Z6~tJMI=sh$1pOw`F?MDm0t*h6IA^S6MYQa_;I`$*ao?X0<VhOFG;?wXx^1 zkyM%&<WbmErAk&Bt?O7Q23N7T-K$5UNq%%&^ebI0ycD1jM=lat%3>h`Gd0F3gei$L zOkM!yRMOz8hlQ#XE=t?G;=#-Z2+`oeOEM*5^!dpa-gW;ETW=W@M;EON;~rdtJHaKm zyF0->xVr`hcZcBa!QCxLaCZnE+#Q15Chz&aTc_&&=$W-1SzDkfdQZ>pOR|vO$-C)K zs4_IW%j-5RVR;wzJ}!R)(PccFIPn?YJ3^fGH#bNn`*a*8@;@v8f7V*EfZla2NA#S_ z|LBm2e>64ke{?)h83dH}ME<XI?ti5t{*|syT<+Qf25|yIi1~Q<fi%)IYwV64=odA| zyZebJ?bI3=#)Rd+VYsrcF246;;$K6@CQa3kz=#ut-$%SSY-4Z@bQ%3$-5kpLmndMo z*EsKU^#Y<#J2eNKmF8dGr=#AaDd)1@r=j@EyK^#O+4mRF!8Y~xi4>GId%_c3jZHim zb$+2wJ2rpT6;vo=n!KquDbBfMd*KT2;+vdI@B}Q^JB5!|^nl?JPjECg@z1F9Gkw~Q z`7?o_LIl&~#kEOD&NbUJ7kn2VsCzQ;(Pv`ve5dgK>O?@%?7o$xJr<&nuX!>NcslCj z=}w`WyxH5LX&*GQBPTU+Pl)CH7KxzsvbEwOgXbx6O-j2L*$;CwK5S^2L-h`1t`_}0 z#5`MrSaJB6g;^K^UFz!~rO9CrdKrg?7>>=&zo|??O?KCsNt+gQ2jH;HAdkD?sbpKC zNOS^QaQ@BBg^4cIm9p`#$^iwHIj{u3XO?k9bQ(P0?Yq~0fjZnzA{N#h@70^Uh#VXc z%?;pehxck}eokXgLL%F(ogn5_F7aVga<v6lL89J~X&6LZMOCGHI>z;X()&I;pU6ZM zNk*ZpV$S4v+pjd)3G$$ih!eBS>g=7zDd4LvFV-WVP&PJaa=0C!nLH`e!hw}eT3J5U z@nIQpZnv5l5JsnTzq?Wgs-9G(J3GdS9cFd5y<5A>i**5OfH{-hyY-?B@7=n+Z2iyL zVbyCYG{ay|7*~_h^w&6P-(&t;WFW=JCFtaPWf$%)w?6yYHc7Y>pSMvtwS;E`)9A(8 zh&pU2ZC?uYL*4T_gSD$e>6N#q7t`q3TKYTDo<e<J_j{ee0wA2cJ*}8VPu8s7iTV`k zySk2b2Ge(km!~$<=+PR_J5ioOeOs5g&R|UFP=izBr4ki4GwJ{m!^`UQnorE<%PYTr zT#aqE_NK378#X3F=6a~751Gm^V^QqCrdKb^-#gVzIvB{XsFf-_wH~0c+KdTCAKn!Q z9bH^V&9<cA=#T2_hh;RP6;&WLBh5uztklOFtfXH2%`a|1y18n1btms`IIz<<P;<if z>b$XQT$5sAXv_dL<6y0X=reWdeC3>xMLgL%72j>lTa-OlUx>b$scZA}R#vt>xSeUg zbs_I;NVQwnSCjeY$9nhYWdQc6e|Fw?`#xYdt^a2q1ME(%fW6iRuzO?Z#?^erYgT&A z|AtScEB=c?zUAnfcVipqj8Wf_$da}sWQ;B=9TaIz9alUQPY>D2*s%?dDz%CZMdL&@ znhWNyBLEIz`2q!j*_vpo<k!QH2j<@p0s$UDFv6-t_Tb?C^>4a^O52m%j;hc;Hx?hN z_xJeHAsQWI=#Q5Kqq%Wj$?<tZ%sR-FG`jn&G==M?CN!)Q$${vgfxlO8RlV`39>ui^ zxz`mKL}8V)QfhNm451Lw9t)OAQkAnqN<a!r7%^$)N3SVqLqz*=VXF0mHIWqlLIh?t z`RmxC^klx!C%ai~g5F}=;II|-n1WKrwW+U;aH6qTWcrfyzw-1YZ5+9l*S1lM@72RB zlEh*}d6kSExkN#f*r5(Jg;-=Cnc{b*kkd2?CUV0ENC`MBgTo{g{%|z}Qe%g*eKU51 zgH_Td&1b>nMm}YZ7}!E8)FkN5O9E<r76)qOzSp7!N+}g#ksTqAI9l)q0lpT%7pejH z{_4bt>iFppoH0j2lMz~20%yp<k!wFg0*I@q+{vpWMl+_6zNGy)OoyVZf~cAtn_Rh? z+%8_sQm-wh1?&C9TtsV@%I{~?ll&c_oV`5%u2i;4sUo)nN}c{IMbjz^h>VlW-EVoe zv89vNivSVJ$aT9Hp<^V4%*Bjre<kiNo_=Rci#U3b$05(Qdejw&pc<H(_?F`^2K4_B z0O*|}3wP>pA#|jZ@Ql%5GP8&m<JNDBSpr(#9%b!lk7*gm8@bqp@1pO1?=nHzvwrsa zXkGh%#M$m}Cw=pO#BcrVl~LCJAx?I~JL#+cBRcf67e?#a+Cl$n9qmeY{u@36@*$5g z-2mLDP9({sX<z`An7i<LS(B>AVW)lIu%Kj0ZAqJ)uzjsK*M9WIq)K3pT29Ng-zR0R z$MiT%&_DusDPKSX-7TVllB>yKlt)G!MujTjs`+=1!^V#z(`Q(xM$Z@4u3Y<pb29<7 zI(1y+UT*uyW9;FrocHfkV{iIK$kNeS+QD(-b+|U7-4%lioOWvePCI@E14$%%CfcG8 zo%&wQ5kLze|MwIeT}10!jT|u(txj35Oa<E8Iu#$Ndp{lJ9X>6f^*u#m*o8kE^?ot= zJrh(u(OhFP0|Ls$e*TV80p_ZMuI?wJgI@T_iDFc!8nM~~0&1oS3Opinn3YCoGg;A3 zNL$b!O#I9Q50k_}mPUxAGEc~lEVK?O2@V|?8e6ntFz^Oht5LLwCX1=k5VMr;Kqy-u zvoxY)k}3}TfDsm3KLaz0B>qdQA?Cr^n6TEf7*spq0KAhlP2BJphf72(py^;%xmp2l zco8d&CWM!(O-TMBges4#HMm<Gwxbi4tI6MM9C>T=e{46~baK(leBSx&04u)G+eu(V z@I^=&W(Ii#HIRp<j%02WjVB8tV&<5KMzr`mcgV?yN%FuGfyT6IKPuBj$s~|Ei(m`1 z^qnBw>81>l6)E3E$Yh5m3wMh-nIO!Gq#Tkpssw;UX#ptg9g+heq)GrPpaY=IcgW*i zRR=(j3;@KH0zifDsulqH$_PMl@6c2^<aSi2i&Rh_Qx?hYr>@U^8Z4N4F-(e=!63YK zE&bo3%6kvSG{Hb@@LP0x_u&e&dhB9N3tZljU*~4P)x{XBxy5dod%$&6MpM__c)Yh} zVx!}rhGsfSRo7j~BxZ3G4aN-`)70cV7b$yDIG$UdNfLJjODU<gGmzCoA69(Nqm#hM zLPJRT*B$bR(z|EC^`8XvpC)OM5L3%@Z4@o!y^IY|2HFi)T;1^ADJV}5yokPum-0O~ zc6XXHx|c^TQoq{(W;K3II38;ms0^zBF1{RioQq`ot}+8$dR#yq;;O)H%oRdxqr5d) zmF)B5nQsOIhAw#BjFS(pM!(!6PKlyGjQfkb+}(_0j|#18fzzfhZG6Xz!CD*3(~E)A zyFhln80@*RJO^Z^uLl;$(5by+=O@R<KM$NhE&`ztaz<zFO?S73F3W;Eg`&t3-cph% zR0?msr#_t&yN?FmDnQBz7R3APB|Sn1cIrq-h-)5e04vclT%A(b0Wk&2UY-v8Va(iy zK*G}^3SL|T;o$ZcD2FBF;`Lq~dei0}XpGkH01gFc8=+_U8tBRwuxV~01m<8YzxvB+ z*n)Df`~p5qLj*c7^Lqmn2@P<u5>J{QOm(mc>>i@1Du^)To4CMfqJ!zca5}Ipi5~rg zD+D3$VxVhPAh4izaPNP8t0e;ZCTR%tjk4xn-?C5s|E~T1*R|3egxm$7?Z<y@3lVC7 zH3G#KY(KrX<*Exl2aH0ywFpdA6MW7QTIrb9&*C)seGp26SVEORFXwgMJN-XK8YVD= zYY>QV|4lpPZFCl7bXUD|VsKms^1Naid#UHTpLA*M=DWo)d)2}c1!n)M-yrqg+;KhU z@XFV7g=y?>J=gK1i&i(^A<o{jR_3*NTc$zw6(ZX!{lo*C=`W@bmDF={$1Xtb<JqQR z^3>d1ejB>G{P=j~c1u^GdBpkCW5WYPm%=66Cen4<Ru%Wd&{1QE#mZ}aq!}v1xTuk? zlg#1yg4ii4N*G?j4$a-%y&QSr^r){6CNkAe+-2i)%?T%2WY~jtWLT;h1KGA3IJKhI zA8}|jekroKnf=vzl+k8R;%cS|yYA17779>p>~c82f(?Z!Udio+mGs@Y3ifP4644J# z=^Cc&E6XSo&`QD3*w#22F}tZ=ocdvJ5TAd#N@XI35iXdMTZrh^#Gi~g$v@-d!rO6& zI)m5tUD-j&haK}LWNDp-zl$qj92rU6GznvJ;T>D0>ewR1FAe;tS_UX4p!<;{N6|i$ zR&hwXnN@yzMVx|a#vW+(TLKyRvj$N04<HO9bD4eq$zbZpc#%+(jwg(<JrZKloLN(M z=frBZK4EsYYogkkK^vz@j~^VJ*-8EQyms(a6z?07PgG_f^&|Z{ka_;ghN&NQ-?Qa^ z*(CL2)O*J5^RAqye(ZS9(*9+u)FdDabz=OH^REY@DO;1(=h{@NvlyXyh;N8YW~}us zqpm}%jH5Qi3Rhd!4B}POlU}aA&OWt4kVU%eKWpWN&)HWHJUwI(yZ;<au%*R;lT5*u zer}Hc%$xLiE5%P+Z8Qi;NWlkJ#u*>c)u1H^xRp_P0G$<V;jdxhUb5)RiQ)tZ<>LhK zLsD;cH)x76Anwxb67Z<y^Lo7xtSmWhUmZO*XwFUrf1IyQIc}dnb@Flb?9%p4=Lo53 zkLvc^?)<&=GN~c?rrX8C$D`TB_01K`<6OPFYE$K>CHwil?zq9;TXuGJRaMi1!N-O3 z6rA$g-Ri~B`dD|)QI!4h@~r`ogTTW0<M@1?^3Rez!f3ckP5YAL-Tl?w$EV0$rsMnM z`Lz_3^2!ugN!V(X`;`>_7njz*9h6_8DMIF_e`aWeme_kWz)YPoneUfZ>Vp@Ld+qQr zqwVB=_<)nrTz=g?nsHpYL%i{PvC}hz?q>C5%i7Sl@6M@F-b}ps=IVI~671r6!{J*0 z)@66|2-PL5vo&in^=wnu?sw=EWcTo=kLw9XGb4O8y7Q+~M2_!Wb|Wus8W>A^&CKwC z<;+Q<62a>5OD9wrI>eXm5~0~3p;>QKxZ=AL>6`Mm?4C%@R|yRAYK^xx9bZj713wJg zztP9@^H(XdJsz!>?t1z;ppA7lo)YMCZ%bjEY<Wj~km-uOmCnUujH-Juk!m3<=J=$Y z{bU!Hro6%KUW&m&c@;^sL&5$BW44@iIC%D>#n6u64tuaO!2}p29@UqgnW;Q$8!Uw= z8?nIJT1kJ-GGFhdElvaezlAvxM1SW$)}u^Pa9Aj6LYR!mp0m(PgV1|!wt`sqg1x$* z9qx9I_D+l~d3d82qPGJFQL(#KPP;kRe$-FqOjI#4EnQj9^QcMP$B%V3v-<=_cUQB7 zxXPr2O73*i>;32<v0S3856s6;{uMh#t)r+g6AW>C6}p4vxO<p^5-d_FLh&axxK8ke zASBbR_T*)#!A&Dg9uyF4Ck*S2;Y6`guyVsYi@ZPuw$X>am6LF=wdC2>V1ac~Y*3AX zYG#N~uKnaI6rKt33)nq;Ny3Xd$q%KPMWn(^REq#?Mc$MziJV=uu8U*sYsX!P<%!y@ zeE5^!N6HP2kQm8rZWmsn0`}tQOCp=g6F2CQ&LxjO_s74YyFpd64dYbNGPXB*y;gR( zLT)+kh@*YNf8>X-K0MfV!5n#!gWZo78|-mjyUbP>WZ;omwB?-_bjgfxSehbIW;7(M z1?q}o*skAAOjP@>F7f_FQOtPuXm0D$L5a$7o9)(lTUxEJk78c*?rdvs)7@Cclk+g_ z>TLVw?d|pi{R(<HgP>w!+Avtx^VP1*;df5#>0x8<m}xjE%1S@V5iZwXDGfllI2z<+ z(++58LXJh=K(egV{&s)1xj6uy-k=a`(4qC}eO*`3jiPp(VQ`kLL3}Qdskg44_>uCL z_AfbhZ|eoFm7{CV+}bm4RqIHnN(QryPH$w;-PoHTNC4#d`t4fgfQK9M`EK|HB4>;$ z5oetE0sqw<)N>5yF%BV+kaKeS{c#wHl1NW=RYLU@lTVIuHI&<DeDwB<BEG2QYtm7L zNVsy=__1EdL`UAj?$-1nzLZsxdhxEdWrukLgt5ifo%n@Q>A5e*b`zpp4q-cvI|oPJ zn2e$t`1hcu`|^9vW&=4#v*N;(<JEGl^4eEAUY0e`_t{k`(!ZWNo|9XGyyF|ZlkOZv z5r!0~HHjAz2=sA2X7xDuqkJs%s+a4Cb^EXzpzc;<G7<IJ8i~5W;q~jlVSQCrxsx{z z=t+acB=$iqZk|#^{cnQ69z<wAE|Qq@dCI(12d_cr;eOZcWnVSXl2r$!+`5~a-7oTM zz27H*X~;13NT5d;vnk$#Bcns<&+4OzxBE6>=Kwh83r~+cPUH{JuqwK)hFrxsf&OAW z+gsa){#v9ZzmKEgt2@}6DwtVX8A=Ns3xlD)EjRk+A@D>!+gCQr*AT&b$R*_6R_7+S zDiAvKv+>)lKTOTCaE<%L(U+Rw9uSMjGchczAQFXvy7f(p@Wb0#rAX{-*~%?PSj{b* zXpR7d$CZ2zk577HNM<8ccOuc&0Nmwb{@@hj>CI10ADJ6Vvzi@-Ob15oRZ>xo+}`~D zJL<w;8EPulpU=vTVPV9S^_WN2qN5!=BS4>>C478X_}Vte`l7y1W((%@<t%?=3}qZ` zMZT$;amc+MiH`TWn{7*{Z`yD95ryLUQ8c@bBzAtKy}f<XeHAZZKLdYGO6c4Sf#Bet z=gY-6$2W%(7Tx-kr~qDdSwRr8_zlu)i2iJ+(7igRXZ-lfo-btPA)7k$(ERfcjt$U{ zuuW}omts`9?JoLW8nD+T>E0)J?`*OTzw=K4IQNn&{SA-K4h~>%ynd7S)o@H7JR({a zZKg_lo(Es=H{F$jQZV_%FP!?MB0MfTvjjOoAVIIF7B>au2R54mgN@~ZK2T%M8w~R$ zIr`mLWCi2ahG?@{-3^qfvQV?6%okAj##dfl%QE6``Jj_i&u;Q0ixd*H^xl49tYD1I zs{*mobO(rM2EXs+$wph$RT+J>alM3n@{tZ))KbYZv~LaHvya$|$tw=wAasAwpe?vS zfxjkq@u!|H4!|rU3wHd7^~u}$ZX33AdF%=%wo2iEn>Qda@A^?9fnGVz@`o*GLZs9j z-m1Bojzm@s3C?xXfrb6{Ol;JTQwqOJXAkPn0~K$6ZHYjbg*E}2pqifXPb?8!3kKb> zlwt&b>_d1#;*hDt<yzFwZl5>zqK1ncgUqtEy$1>!NaO2jYAjnXX^M9^Y=y!Y5x3)Y zf(i>=e|dDypq707^CvOMW;qBGl&Y6EzdZ<dd8%&cKw4D8o_HtIWVZDBs2(oWuaI}U z(-W}Ng*ENyLkE|kt15Y#OuJ~@N7tz9{=><<wKyeQ$4*V2g&nKAUG2eA#DmQ%2$7gu zu_OI6gOAiu#fv4a+4um*c371vvQ|N!S{lp;*$)!Ba5j>-ZN`wO+HsWMK{gs>^q(|x zNn?m5KIS2dIN@{AjLHWxPG`zjrgeq{?Iobn^eJ@vV8SV1!#*9r;regXd?0ba{{bGP zPt67f%cTkmPuzhk3bMs#beE5micd4mv#cY@6l3s<6Aw#GhjYt7*M{r!ZHgB8O8YrN z3L}*v<g2Qd#*GWfoR0Uz2T)ZrGk=Y>@p+2ekG2+6TTSX%#hzdk3=zH)!<&fR=N?F7 zE80+M<|Hf9%Aic-eBq$ECMl{A6}TH=E~u~`Z*ueP6fqXdbVgDhjBnp&KEN}i)Wcb{ z3h+ZP;1t9UVeY8VL2>*_Dh;9|;Vqz&qEqRw_>xzd?1iN|(huL!5(W~cW1IE8K?t@u zovx#u4x1tK3RZ83y4^MYuuYUF{RhJxH@yf;qE01OCOwF%h37`Wkt7KR*|gSck<q<Y zD5a2Zs}yGvk%qNbM6`Y4zAGyy%pWl;ik)ZMaZySv1C~K`fyV_q17$CdTzW+wvzx!{ z(<fEb;08#A2s888Ehtc^sZ39e#80KN-!z&_i=)lvVhJeg2e?^55rRv2s4={_lSdzd z8u(^Mlt=}LRk-Wt(9w1n@x@P<*=AwevSZkBF_6X{OyAC5J>+}&>s<L(vR|!(StZM{ zk{4fK;ICWsP>^ET;8X~0L)r2#NC<<}PIO|C^2*s&7N+LYD8WI(znI{m<njHDY5T0# zmhRRh6e?~^8(eeYs;sw7On%G850o+=sm(Po6(yG!p0N5-uky8HFP~R|R*64ncK3{R zAAs58xS+?Wpfcm+a~&uVNGUxuQ*Y7q-o+47eF(C!oas<8ISH2bv>F-d&BYTH|0<fw zlIoU4F^YF5MCbx?ICPLttPNFGt)gM_?)e*J<_lql9NL{NHTK+}`Y63V*2kKa76ivi z2G4JMp2-_;@WZ_>Xg;2L`+FQ^FeQ`AhumigbHA&g<KDD;rI|eewG$N-5!WA>gBRtP zcpGW;b4eN@mE)D*Dkil<xa|5S3BpYHpdr*8y;hk{-jQL@w-UI@vi|;*Q^S^4O)O*W zZJkNEB8iR5*vf8mMmI=(%$~2XmfimHL1c;cNET^phUo~`GfAhc&-CKI?&=eTRLlu= z({m&H^RRU?F!nP)6DNkD8~EFr)~hxsXiTl6F^n=Q)6OvVIhtqNPOGKbZf&VS*ifwY zx;2~Fl+)jWn!j1GGPYryw6$1JInOk$ZU{*&*<s>5HpyC66AiOj|7P3z8$`gPUn*k5 z6{u)Ln3d9WH@_G%;p|v^<Jr`-$u_1VoK(xDOmlfg7Oklm&Q5lVmSZkLj?^7yMW^5= z-=SR^=b$%aNU>FhotD=BEn3^SSY@E51UCU4(+ZXm^l16jI?QD6j6C7k9LD+-10euE z$m!$AKC_IXr&XD3TuJc>C%t>C&wve62!<(!Jc%MHJQWqFaD^p<Po>Sog1kt~_uBl& zkG&F?PYN1l{Zn&FS&1JMDZ1E|@KQd$rrj3$RAl%4MS%K=zGi~Kh~GnHE>6p)zArS6 zhfm9`4r)V(5-EjuHW6~|0AIIq=KQ_03nG<UN7MMqiZ)uYTL|OE5Gd9safTsXyIVan zQ#yz%hD2eG!xbl1&*B$W@|_G@9!v&2t<2v6LYpF(k6n!3PZmPTZkP=@NT!kt)lrv& zz9T8lq36OonmB}y&mF0I&dXGn+Tk;9m)>~+JV+t1JjB3>kAoX2i!;sy?Ynzi1+f1V zS$|n9HoSu96kPY8{uqb2fFBtq4Bzd;D`bASn|&#Z)B(5$gzi6LEFwkXZFyn!ZFmfr zjMS}$2UVh<5JJ_2bQS7I;}1-fvhk6Z9QYwnSk(?FhlaA1BF0oPZf7zZKil%@_vgg1 zfVwRQZRQjo69*$~6!=t>O^~pnaeh*pAla)GMr;$&jkw@x4kA`!u(tFD4{%p#%`(9! zeOUNb`t6Q-A6BOi&3Dh`Y!Q|zps&?P(DXW^J>xoqjw}CM^?GOi^lG-Dwr6d3_0a3_ z(arPq)%bDrZ|8%D(D{n&b;_flbHdibU(o1ZyIWt+T5W?@uTH)v5BK_KhxHD2rg*LD z^&u0yHJ`_t6)#T*my5p+)jr?3c^zeY;oy)83IaK+krhLq_&+4C8ca-R=<vJE8g7ly zTI7d&D;`|N+GFAbPf#N+@`Nbf6&+faJQa*aMYk-v^Au;aS!X0UCb}!&r_y90xq^^9 zR*lylX={sx)8{S1n}RX+<mLOI$~ZdI=|K!1uZvKW+fg;;%Upl|@?2PqkPEZw{9EkP zcKhe1+-?3~EO@&-kqv=h*K2Q#H-FqB!$;2$V^BviW|l*^^LZ;(tidt{R;aR5-S)?D z+#CzI+ph~>lNDZ$E$4Hth@3ecVg-<@8`pF2y#XP@MmL$LzcU{>o7QtCBOMX6iSxD{ zT<a%>E~_Dab?+*<=2tL39>p$oe7osKe9tZpOyN}r@P~j9`#}R7kDn`Pe7Bq$>6Yg( zZ#Q&vmQfQE0VVb&J9!v%9d8#6haMZ1Rp$$kJ0)vy!waJYKHJ%l*9@-X{^X#Oo@-)n zZz#p<%2e|*MYBQXef?OZ8w3>L+ETb3y$$9ZUX71G?ATY<veXW4KOj9sVm=S>{|x2T z19K}0c?#{$3h4HUz1W&OhXqkXgZ8tx+-X}BAK2KQwhD4;&pwxp{yYaRR-OpCwXcek z`Br%Rn|vEA%;6jAB_lbcjA1~w9HBnZ7VhZ2?bjAB3%MVj7jk`)e66QofI~p0o81Bu zIEafj+z9VCwN?+sr@NH&O->5t*O+zfC!22#%Q07uE_+??ygoQ^x}4ra`elebY5wdz zibt)`W4(g=*?aXKvAsvNqokVNdshWes}vf}kuq?&*@?NJ`|NJtmmIbaHkh(nw84Rs zq6Bwy&X|3Rs}9U*7y*qbb^IbV%ma7<jn^5ms3!^#Clfo+88L#z2X7<WlXm%AZp4ax zuH|7T==y#u0!I4x{7kUgirwD##^xoD=M(%cb38q3x9gCb-kl3i1IW*V0bK^0ZtNY1 zzq1{^6nk<&f}k$MzRf+_^SxMthmQ3x;LTs+yS=Iy!js(|37|m~qW0$9Zpup~gG}r6 zZ=If7(;KiOx8qFiqvf&g#1oA6<--KPm6`c<(!`!_R<t?m8L_T=L7ze4CR5ABAYP|e zm(V4v4Ky{8p4Oh66H|>%Jwzn!Dr~FM^nqncs`@vOUpm6VrMBtMzRWI(2(eQali*&n z139$t21`V%+J~gfP~H*E#p|q7UqSe1G_b}%JI1L{-aqP4bI2{NLd0hdI%rC~OM4JL zEuyyKLZ?C*h&Nlv^;qDIkgD%O`Y(V`=3N-41_()Z0b!rAT<&!Ekt)Q5N8<qKn?Mfx z+p4A~>d*Aq8nfM++<uDDB1h7--g$MJ!j1V0H+MX8xpjf`&~Ouh<@Kx+W2vev{v}Wd zVe2t3jUednWA)wj1_|qCM*02gtjy9UsO(_TNH?Kg3>`81P_5Y;#nsd0r%;_+w)78_ zA$}iJKWY{!)Edboe(g(wNiI_b*`&_=Sz<0qGXY+PYx4>{4->oiRutdP*k2G%F4~c_ zii-Laa!02Rhl+XXv?V!a<Huc15DAx?Vr(QOn?@<GB~dWNY!ENK8xhEgUHe@jBF9Dt ziGr_}A5%v_%(T<H-Lxrha{1pQ31_yP?kNNxFo6Yuo$dP7T6k0=?@LgCZV1u`CvKiG zY-bl9*0C@)lT<wOp&F7D(!O^J(Fu>0e#rFy1H^v8J5ivmif{?XP#Eik3KkZU80&-x z&gGF9YeVxw{56RJgEJa?>3ux;c0<-?ZS58q*NHjpxo(F(Vi1Z;C`w$XAiIWDQL^mC zu6$=jvH%AXyY4{BUnEu#9&<&N2;dSWN#8d`HRld%C0dq3-G_UpxA|aM7NHqKEjVBL zQBQy6kcB0?A{$TOVE9OW=nrCASrgbrjr4J(2!l6h(D^n(iv6@Lg^JN-aetd6N`E)t z6xKuO9*2j_%xAo8DqJ)`PiVZM#nW$5=OQJm1aeU;9iI7gp{x*JBzh5i@4_-tlXQx? zk~2;dpMghilTu}>XM2vi2)6wxzWbEWoK4j8>KcqX8Rgz@oJ^jehTMg5A(p<OTW=9( zr6SN6J}Yva9P#wL?KYUB-Cj#%fBSMs<SE14CvTie8`ykbJ>ddN)4i(C>pXk&Jo7d$ z9Yok*RjTRk?&mf6x<fp@Ey_;aSej?t2M#&seaG|0g-u+>{ayS67Cs|h?s{zXN=E|n zt{e4GX&ztvFPR{Ppey}@OOeMR5o!@nrW=pEZzg#dc^x=y^hpKed(WBztP5iF=e5ZL zPA=;H#AA}b(&6^_7wQaSqNA<@ABU7Meu6qewXw%)TOoa!7e!7(T23cwOjn^TbDG-w zHh-WJnXi+6B)Bl0{#u*SB|`7Eqd|&o!vi&t&>|%gG;p?qP`lKr8?0HQ^$}BcI|K_Y zxrtU=L4@n&u0lji6Zn_$h;k<I=w2Z>_wS2paBfXHHuSt~A?*Bl7=rL^dp?4&kq-zR zQ;jK}C~2(~ldP^i1!2puQyMeodl716D-jiR$y0y?W^CH@2oYQ$Z-A7hoSxs1m+O+l zo@H;L`I}p1lG@ho7f2s6M3z!?7N=Z<s%^YdbS;Qkod%kZo>~=|WT*Ol;2Ca~O3&i2 z3S~WWWT-<IHXhV?^{z^^b*@TfF3BK6)MAE=Ey+XF31-2OxPV%_ZoEJ;d2`~LNjgj7 z8N}A5JO2=7Jz3SGz8_AN!5q#LHA=lvM)3@UNi}WBA<=fj$rJjL*bSfS2d3PxkFsqw zOG9^8kSOUb>vPo>8}Uw-Wt-C0+Da_zGg$#6tBAo@PW*HuHa8I~!2|V!1`rB9eBw&; z6FmFMq+xGX2<@!MJc?Rt8S|pq5Tf)vY!-3Wk&*RRm0P#a0*PC0y+22UVmNuop7Ih_ z%m<c-pDoTmMRZ#<D_DJ&0w0mE4T@0{o025QG=iCbM(lG467G&`e`WK6Rc)$@?>%-p zC@*xlybP1x-RWOVyOLZi-vs5yKhf0W5hcLk%aDfG<RJh7D%>X9ckJbEy#(<CMtV^) zWU0PAo($jh-N;PalOETvR}CGP=Swotc2j-9h|T3ef#*wwaxutR<HP92UyrmzC<!^v zvI_csr8>K$dhZ`FqfAa4DP$M)J@ZM1%0K)$sNt^dN&Uj8q|As~2;%-F((1>pTFBU4 zsih&lbrnJxR6cZ<UD&YX*&CcZ#XBS{(vnDp<VvA-xqhh*EUCS+K6;*eDDAPqtj)Bu zpxX!iPVetWNb<*CClhPf0AESjygV=On~q}x)1-ji6Tt*-+<=5fczcy4fYy&s6fL8{ z;x<XfUl1)r?IBZ32i2Ok#H*W(EF@fq5U_XJ4{GkT&)%!>nbo{-@z!O>BaPmleMG1= zVIK3&B%B?W3i*P0CV~y*^q!f7fn!o3^Ymv<WO*jkS+Y4&6|>z-1cMkc97q#s_&K8R z&O6S^BgW8ZNfAh7G+6VZA9#fzznINM5s3B>5NgX<pqJ=@dU(F4_WxiBpRJG<OROAA z$gg)?@+5~gAzQHIFg6tz9Vrz4>^Q{3PI~+qzpgHyv}l7Qoy#mMJ=HZmT1#s>&dCi` zo}eM11?~>Poe4IUasgjrW$wEO_h?M06s#A<8LGILFJW@NWt{>jkM$e*ck5X$*)aZn z9<7t1vr;5CP{nMN(S4_oKVi3D2^eo(0ev9h@x#}pWk^F@w`YNDh!ZLFF~dO^Cgzha z*H1M&i`NG=h;Dbm`G%0pxNeR-NCsgW4yt~7`{zp}=plNU_I)_pIO~{(i;uiKe<J*G z%x^BDAE3FQH(i0HWBjk1veq!O!3PLyO7O4Se4e+4Aa(9y892ktN^;gR^z?9OZ2}6U zLN!xElHHL&BnqP)9jSpXdy*pbF8`#_zj#uu!a*1JFe<f@_)>>_>p~-x*GPrG4@94z zU=o%T``~q3&gv@9`#$}-n~|+bq2j`)maiHAYr*AvU|eGLqM!k<^(WXnAlz{pGdDBT z44f1`3j{$?^$SBTDzF%A_n8e5Zg>Wh#4$X}(D*kSG|hgNmXOq55m@-~9u$TuZwZ*` zu{i|l7eQ6Oeow}q{h0lqgqHKUV5PQ1x9L+1jmv&x=GqixKo(w*I>yK{vwXKkP-^lT z2UmGeatz4;o@~qMtRt1Z^>JbtX|aZ3#G%4}B?!d4`w1DfNQHoeR@$-RaxI8?mmA2P zfPC1o!e|S~rGY%*op-93{sZJ%Kwd{cGGf-6hOV5A%Cg8uy4`viF&d|2q%eSomy|Oy zl>2NWF;NB~oTLH0V5KEeF<%!XRjxe3R7E88_~QsXDW{TXid)x;HaP&Ks>=(OsdftH zP}c~F5SmV2yh%d~YaVUK>3Ghc^aXJ}!k_?qU%E*oOasb^s?d_wh9a!E7e>c|)`l;z zxVLx*2*@IVzz+znC~WNWE-RndecZ%~t!%16dsqgZv(BD)qt1AyADHg?nbnMca?6Gl z1=5pZ&({Z~m7dvH>Jhy0@r$mV9CGV0V|;yS$gU4c{htG!e;@L?UXWXG>EoFfkFU>z z)8pUGfvbbZ4U~|lyop!n6q&W(YndCmKlQM4(uu3>QgVvNSKU(VORVV*AD<8}8C2>Y zO{Q0aA4i{aS~smykX0eELF;KL``4$-uyKQ|ZBJK5jki~u2b;HB`cJgZMGMJ6XsEan zlCbvrgxOt({vYX^yEC(@o4ihn{d-N*sO)U{J6k&RvNrVAb?ph?9`-_sVI`8|3Rs$% zKME|TNUSn(Ja<72S?w_Sc)59d-wphL&ZV3b2wW5*WPUy_L4feV0MXEL%|?8hw@>_e zC)nNIs@?h0@>)F_`F2_KVgM(|nNyALnA5{qdJ1Cg6v4rjN%^__D>%czSF8F=+cd*7 z!tPi9OK}!^?S*+PSm))yZQ12)B&8Y><Le)HgKiikdeGn>zpV?n&|Ft~?~+Ng4Ybpk z<&$Hc>r0%g>-9$mdJt)Zhj1wUGShFnvcfEm*Hhn@+)i3*8W;u{!66VK$)fz%$_y9N zHcs73*{q*;O&?_~%a2#*Ln$*q9}9%4BAhyR^_A|*Q1Pg$b0qZBK^V5>#ao3kEcIF1 zj|CrRnOc>2c9Mr_WGD;PQITh9eYzx4A~)&#q=sdr^BEkAjt0~vvou@=Pg@pIT3S}E z4W2*USB*?q?^z;tt<Zh6SG2~%jsvC&j(u^r2-N~yJ6iU(&)=?jZN4<%%LX8Zg|GIF zH_z>E#dJVU0xRZdeB;f=*=n$hTH!~o_LY(O0UZx7RT4Sl*!8ydm<mWA5q|eyyG>ok z2e_%%d*z;r1b`$FR(@0lOlJ&2uTT*1rmjJTm^&Qx7o8gC8XCMjnCT-oW%~kGj9KU1 zZ@%W{l1N@{sp`)5>|ppV-$nHJeV5#_`TT4ZyFfQJq2*!(OMA#@2yS}F-uvzDOxX9a z?$p8V)S>RmG43o+XKUL7k$c^DDBrp?9zFrrx*T>XQJ{z1Q+Cu?+y-teQZU=INROM` zKtnU34OMy_KAeIqMe|ub`{_cYQ-{16zXjdG2G54}`&hRY6-y!ODiyCJi%{9`Fb#XG zMdJlNUEVnsr{L@Y+TQqb$fp_v6({hY1uVRE#>io873J=PS65AlSS*~QfNg1hPhF)7 zua*yen*!ZsHvog;1oyhH4MYy%Z#PHr7;<jYlrvwilR{gf4Q}%4aLC$P13&8{TxAGd z<vRHckJm+Fbj}ZY`73Dtag!^ZY*4Og?W--1xl|YIlSAkZ;!hsYOa7%$UL*q~j?=_D z1UdAZKxgUw=W?RZwdFA52QT~y?u#;5Yj<=35m3zpyavB!yYo3(fU82o(a#khpICGo zp4TOBQRyiZ#@C*aPSjAnrqFkr9VfWk{iWaO9odTXs++U;Q%x4|iRAUXQp?V`4S#j# zo5d#LhxS1G{YHp~)5hI<*tbXh)8})dt!9m^r;F8$iE}hxNH3J!jP7%^uB>C$V<A}3 zgIis>9<ndWg%MA)a2N1-SkH#j!?l+NG;wbxVZ1{&-{y46qp?7iEER%oZj<0KaLL%Y zOqSck^d9lQN5uayO;ROyd+Nf2zJgg!x1z54{rcgVJ8pQd4k6czZNr)8^MR8A8;CbF zI$_)re#)Msx<YKj;ALWv)Ca8MkRy~L7&$}`1*IGsrQDm8Oeq@W9FUEnQjWb!$)u9Y zq`t)`)d(fkJdl4f!dLFSBbJ8e4MTSW$R+WlnkT96s2g!`UwQBSF9z<<Kveg^HHWuo z7ru+g8+QDY=R{Y?@SdqsL87B(HP5HxgU68)Gp4)&0yd8Qpl;tDNX7c)hV{Msb;l?- zXw=siQGKM(`RIo9$ZxNtOlTjK;qeHc!PUUXzdtOZcq}>bVfElrz1~6+O%W(U*OWoO z{RL-ozyrdCf1&q#2m$kshP;Q2|HAn9uovnb<#-Rz{)NKRpwb?>-*`(tz`iVALofbW zr&?L(ze$yzM(q9m`jvN*mHGs83i<SW(L<Z-O2E$**gTR4XXg{Qc38g12t8@N9{xs3 zFb22v0hz$}I_|{-X|t0zbc4^Nnh4V4{Pz|o%JQJ}=Hdi26evV=YtemkQt;(j<LS{J z46)nQ@Z4dF5cJ$$sT>tk(QA0IjNzI4q8J&IZ-1|Hh?j(5xM*8)nA5a~c0z17KWV{1 zfecPu%;iu>G!R0k5QCzcN!#~7fpo|RjZ6Y!namV{!_k75n{$0?1GG%sQmO&F3!^yW z#Rq1o+V*cVg`GU6T%ikdGs8)2Q-?z)e_ggSKoLmoxSvEr)}oR~`>G^H;*8wMO^4iE zOxkr>LCXyRVYZ~NPWsSjvpn0wYS?L<mc#kXBMQhC4(!qnn>;)N0n48;BaM;AlBu|u zGqN^&qEMh<%}PnQm<wQj`r`?~A&+T_I2eXt_5CD-nN^aunIz1d2HxmT7{WKj`_Yp? zXthu_Vg!b60=&ekdbGHo4%*bdRLPqDwF{&HcA%FLKxQa@KlqUu%$P|TIPrpAqHHh| zn6nr~e|@2uJ!Lh~WiMvXgks6ZCXFr?_anDLx<?VX6ui4@5wKzckrA-i&zACB7nU*t z2ibLDD)ww)G36gFZ`KB~(dpqNq5o#$WH_m<U#1)hPhAmMT_B?s4f&#g$6BEa%m=%Q z*snvccwkjy%cWBaSk<Vh&r<v)?IsYc?A9h`nlgwB$qa%lK%n?R(KJ{JE=?OAE$*5G zr7uP=jE5%MBhim*Mzj5!DRXi{vRZM^Eaz@1(c1e;{H=rc@z2T`(ogGtAA>vQTB8wx zU&9q*L}{q1-r$l*Wt~9<xL6-g;WaCj#9LNGwT*R8g&77~n6HZ+wDLwA=W!XYp`(v$ zenHC}WQl`PteA<6M9BF>D6{xGBoxdQed1@A`+n`Z+;!M-XYpTY15kAG>~dfV0G(`q z)>{ZXaza`8$v5;Z{xbK6oRC0G7MY<$5xg!rG@p1!B)(SI4}NRf-Z>)9FA?zNtZ;JS zU}XJsL?l>7R+DkG13_4VP{m@vlQ(5dD7}egKt!qvT>W`%w^$|1yIA2x{2#;Epz=NS zVWxGUvjS3erdZMYxgn<cm;$*bg~u33Kf-TA_*-PB2;VGB6p`#<+kO{qpp-<KUUy6g zO$}#Sii6Bc6GNluHyO>tP3-I~#Kqu%6ET#*v=EVNQj26+#+Qj;G3sLlx^PJYh=xFb z%I{sJBnGIO09Ai9%kq;rpc2&pRMQNA>KqUWyo*vL3}sy4f>KxXJ^Rd7z%I_k{h8Y7 zur_5s>fu!0$J9%6Ha^!&o%PpF2L9eVF;D*8D#z3I`&Hb-|M#8*ACTT~CH&?XmAJF- zZz_4-_aSKpWoBtlACs(q+dTqTco0YN;A_U!ItWs|5sBT$AV<u)2m=;I2#g8xDl3a$ zerEF+oS}SLlqa3w7VE8+L8T_hm*mS9jiPtyCH2Z1bPkITR^So?7EqA$u-Y<W&rzDs zJMvzPxi$sP5tKdqwIWfmB;&=rlunlnk;(*{x==Ae-Y(1uQYdADVFFO|#1aN@5&V3( z(uJ_5+n#;-OcT6HFKE}nxl22N@3xC|>zc3add#o+YcG+aJQd8BGwUp<5`ni3(5!H? zm3*iYvqTM4u)UsATw+ag&q9hG=rgTHA9|y!)=tv@JVd%(*A=hzADPpVQ~fC;wr$P~ z&jSUC$VzATr&3X7@WK6RqYCv|9j0ntZ3?H#3956{L2mq>Nu=DXn>fh~yc7g+*CWFX zT3wNRIZP*TtDw&KZKs*?nY$T0KN-;$I_)w1D`oSpQm^i4UJ&;&5}<T?gu#&Ybeo0= z->XL1Bf`JuRtviGEFKO|Cf5i=b-7^$p+`(LWd$fnr=&ugO>4Qw%~F~vX}W}KAz<qn zQ~8Of;M$r`Q%W>wxr8h4WHZ{Hillh{cZXN;mXl=`vI73o;r`~OVk5t4ltT*1nK!k9 zVjD)utdP{oRt&}m$1ftfLaPR%dAXH{7EiJ$m<=y_;0P<PVLs5OZs4|{Tu^&JlOQa= ze8vS*vHid*ZhAK37OyaN)bK3CuWswyQpyS%_`t4ulD?Ale$1KG1z~IEib=8Q(EM=; z;sh=nVX1A172TUW3+H!8oH&95$A{*B0~kI5sXa^Em@Sbb!$TyfQElPk#!o&N_KVT! z0$F+>J$)sJ;NV0Ode3vi5mN-#d%`3e|GI7F)MUDU%PpC_OIBsg!v<7>#7Mg<^?cv) zn)!y1Rj4?rOPcYG62Ty_4<-=cQEYze_ZDPAb4)QB`hT!S)HI}9g`%$sHi5uiYGVLL zv`I_ygKnag?t##O3PPFM3$dGWT=+dDuLRn=3bj+}9c#fBL%K)YufJx&jb&?V?-1ZT z3KVKwgDTssrLci6qzZ$ctRrQZYc0$^q_Pz?SzVvpEz?@D_VgiH4u?aKDsJiZdx-SG z5;|#Y7l=E#;DM%4Jv0(}4qiqexzpAo2DT(gg-H0s%R=%><^_$~qDcm2Y@etEF$|jP z!9_jAE+K-`ML~n8br+%WH$iYh3Nxk*L4jiQg!5m@AWRZ+@=-?$CqBZG+N=se4e!hq zj-;4{{}uNjs6k@+yHi@xH8Y#9B*naxs=PQs?ZsIudxo7Wm)@;!Wg?3j6^oKyuMaZc z7*ugO=K!Isyf+dxj2ag4QrE<m0}m1t|3uHGB1SUv*WAHt)(PF?#}j`AwNo-$tq;3b z=yB~dVx2F3)DFc%uHLSYf4rg9el%ArBZ24`H!CFBLhyvhoR@R|@a-Y4@kBiyYAvHL zcK$*qxk6RqAgXiKgkRVPVG~lT7ebzm&FwGj4_thzEsckRyxB-^6Fl~?QH~(&&O;`0 z>+U{B!<2<7(hS<ZOL^%DMNV_3DzoX|JwM@bBw}0PwhmaDDcYrChhBA5V$)>E3na&7 z8vFw>5~GNmjfSSb+VT6w69G>cDI{XMm6wQ3-_@&{>LPNkod75{iFl&gM!h7WV>14c zMY&dj%%9)|gC{*;&=hPQ$sq86=r8W_DH|45Yt$?bjv=^FJav7DNj$8g4tTDHJ7<AB zPX3L$gcCx+%93tz0V<MaBuoX!HpoUwl8ge$<$ZXk%tu2pE=os3NU&ye{^OxYM?=A_ zPOd#|EE<H%&|iT{fe}C@XWr+f_>~drIapn-!=t`+<sWmBW;U##0u-D42x2~osnFIx zYhR?i%iC4RTZJd0WTsk8eWCC~sg+5y$_p*CFc<1t^}Ph5l8*b44uf9!$GKwi5WT-W zUZ#6oxd};CuAjLiZFHxV(gf2YJQ+Naenj}5r8AK?A|8gO1l^hUT#6JNq1_{pJM#Dd zR~pbI?Mo6OV)|zolt1Em2msl=6dPYil$0ai9(}*)_R94JfD)=7;ML|9pt=mA{b);9 zsULf@gv4z#H=pJK{grn@bvHmaA^%6`Q$Mn%12oSTKy&lchfqIS0XLVQhHvpM;MVk} zyY<po!5jX$WA1W}4xndbiLgS_kB@g|22rv$OP!6%-scr|Ai-=kXSbl#?V8rai?HTz z{1_Hh4L6T{CK9CqRmFdLWb2Fto>u;Y^!&RLtJl@OjD*bV7@V8R>l)c*9>-1p<a|id zLz@3i;luWIYA2@%+Cg{@9mJ<gw!Q)B%K=0N0BYP1&nds70ibpO8l~&x1c_(?7~SzZ zRtsQ}w1Dho50GuVLnQzt`w4*Z0Elkx9m;xF33hOb2){!^0924DeHoe1!qCC@SRaf7 zO~RR)DyEd`xihDe<jp>;5%2xNP+M<XuTZzba+}os_1oTGwvvhn&F1+p{Tv`26XokV zwQxcrlP$|sTQanmN||^waz@)qY-@6JjwFuKy39G4)!$?UI^W5xC3ROum=+|ezzQ3L zqwx|}3^iij4a!hrx+@~A00FG`3P-a81Wx`xLKPs|J_7__5kM#d#A4$=A|D`FITs{A zRV(j|Ex@D<|6?uzri>e~coYLf_<JL3{|F&*-4!xEfWSs^1HQ0a$SG|si$UX~Qcznc zu)O9cg;D086ehb$7j~O=OmJ}<UTK?qBOMKy_}AoKmQ21B#*K{8mXmV9ysEi(k-CW^ zV1}9<OdfQUxTYNOIS<bwBGqNBSTkpN9m62+U<5&?;Li}}4;9}<29;2=8#?0|*FWey z<5+tTS;lm1QdvilPXR~1N!wk$Wlyv^>#)aP^8>JTR^;UL<77RZU6vAV2ng#a{Zw9P z_`?y7Wz?3t#1w8*5rCC+;0QygFO!rD0?0K`GQx4Q+H#C6m^7<{@XTI5oqQ%d`DaIP z+hSgY88e=03ftmxNg$8_0({{aGa0i44ppLr2I!(Gn$B&6V{DBpUzTeR9E4dKpH+n8 z-|AQE4A+0~fRuj@;W%HtRe(0;lQRu+uw|0Iaj+{!xvn4!{p!;Gty1bYb{ZDYtxhdQ zi^)?>;_up4uwTe02X$~5ATi#WM6&3edN&sPk7+fK@ggCv1QsR*9#wi%84}5KJ9tA* zPnGWq;3H-Gk6KkSW+bD)FIa@y*#IJ2O0!f;O$Ps;*LEqzKMYsb9?!^ibN)EwH_6zC zpIXIzC1PS_kGdk!+Q=er{(x4<1e<>iQo61Wz<t>u<Ckw5noPKKl-6@+5!r2)QuF`{ z=zY^|U2Cb8+vPTi&u-KHV_x;p;<Re@HEo1#h>Rb;acENb(sB20@E5D)RVX*#qSHZ4 z)-BOUU5Mxs71F*mhepu8TU!B7@>gwgZXOpdUfHmLGy1#)<j5b~JRo|r-GiFcxPrcK zjFO?tE-}a%{$tZdY_{AyHWHtTotfIVGSXxRnz2$M>_7Fp^6`H;Xg!O4za*Kw%vfBj z)Mhqg(ZUe_Yp(z4I|>;i6Nad+#4;*+j0SuR#`$&`tVHG~zRSEO;IG4%?^_;{KOVM& z;wB_tLLzS#6ZYhRzds+cUTHV})K6{08Wa~(1ZK@0HkUkWS4w<EIjp`)7S1iCf`6ph z9V8(g%iWKErCoi>RZ^jQdZ5`2#RMSY1OURx1E4zql7s^wjdzIc9lCs=2|N;yMgL24 zSY7vmi9p%d8xzY~+IT~gH~22H21FpbeLyv$6pOz1amK(@qhFb(SyC8puGTAw`6=#| zwp}4wM7ol7rvRzUj$cNTCmDdeq5$Y+y8!7goL7>WxW?c1=X^#4>Q=Hyl+PyuI3z^~ zx%mhdh3HaRkZEUMI6XP|&=v<mtV)B&1tX6P%5nbC?j-3~KtYRFn@)k6>x1KLR}N;9 zlu42r_LK&+;g(0fYYwY{32Rkp!}x?-k(!LoSk&<c`Bl8;lhRmE-yS{h{WXR!z#+^r z3gCG}ytLesX58ZsL<un{S3pv9LID1KIP<!)q%DBC41%1nzL>wTo*&+lR>-54$&J`2 zanKJ`3<ad9?^1OT#23D$pzDv{1fR8C6Fd@B50=qO+x&lER@35RWXkp;8jFYz1_7}O zn;<E*k6ful6g(CoMwyMAq41Z%AglgVrD>MDkzY{QYOenel6m}B&>;<rk<4Jw4_c~R zN-l#G;3=CwlaOIlzc33~yTF5fUFP>B#XzWj!4!)0fd6&*8zj^IrndWP@tx^s>iv^B zu(9maMOWuzO<U(vo$K@8i`}c+pOL%M*WJW6{CXQ}z0qBYhT&fS-7-~fdi_grJXWK5 zRMBvxeYAPD@pLw`yW3;Cb7kxKe|^Emv{()Jf(-{V$n1JJznK@fkE*+5zr4J>@;2pm z?davoxUuW`*30wm^33dUtHaY=KU*ScckOif_V3Zj+1^53`~O;T?=8t;)W~W6bK*Bv z!^`7weROdnkFv7a_Og5Ot#SVN=Vv{x>!r^N3$u}<tMiX*yW_VDz`a$z4~~YQuftAl zIwy=)?gQ%=@ZOZVxZPVR5;$}A4?c?jzOiz1wsKdy7TMTF{?x(S^=iyIe1fObwLujq z_|4bdxzX#%@x>qo=WS>7rF--0ebN2r`=YzxH`cF^jABWQB2hx@f&PNxDc6lVesZZR zX1M7u0xKQ!J>j2l6jsXoK#wz_OL3?@@(1<|*xJ*c3&rSELY3+mTlp&wvb=;9PhYST zO|KVW-LnS&n0q*(e1tO&Z|6izUjOh`7s>fCoR&-Z`=@zG)((>~q=nUcN46G@)8m*d z0jG+v-s85xpjVgU;X{q+!9QG_Hm$hGu?}!6V2*CSx2we)M)c<b!k`a7o}6Z@Ka!Pq z&$WewALiuqb2Mfpy{?&9$)s6Gdyyw*VJ=sw`Qn}KZpn8rh9UjCD=gmM>#+|Wsq3y- z7fk!~vHJh8_0~agb<OrL?k>UI-Q6L$JHg%EeQ<~1?(PuW-QC>@PH+hpev{{Y-l}`= z_x;gTr`KNH=bWjUncBPeUR?z|NHAq=v}-*OZSI~i^D*XXD03HFPF@Bq6kJl$f{;O$ ziHddtyMDfhBsxCZK<tq2@NxcX^?2t7;5weF57CX<*}Ocyt%5plr*C(hMW!=A0jmZC zo9^OxS*;tke_lac5EQpgV)Zvqd^eRR#XGI<ASx@Zp6xcT_-sLm6go|`Y_z(tM?W?g zb$=G9afvaZTU6mHriPc@`UP8l(ut!sAz}q=kXz2Ohx-lnz)Cm0X4)tm&w*h8P{ywf z7cB22m4wBq_gKCOTmD{yvViw|vw^@*`R0D}#g-qxXWO!@9auqNsraXYVEEpYWxDO{ z)#OliWk~qw?dIXu-qWZWeADOa<M#6Obom7T{<aM{1*hNB+tvBrq%GugeD1LK^1WLi zsO&{!Y7xPvKl#wqFek6*vK$cBdQqkbtsi%j=U@-GCqHIixApNjZ_Y6Jiu7~GLgU)O zzW1TPxm|Zxe;U^ygR^!K06AD6tL$bFs8_)7_dE^9HiQ*_xMa8k6xZg<)%G)AIkeGQ zS+o_$^OrdCA6zBxy*vIP^TEpiMGzv;li@!X!Oq;vzy)Vx_Qr8vLBQ>buEo5Xfe@~T z>4_0(#l`lvox_;|nslqE*cUb4N|vCBzAE<eDT_us{Dw~NlUd@4SES14JpVscAMac+ z*uNXH(}EYKguXus+`jI1KLN13exy%$!hfG=miZo3ht{dpS6Mt!PR<V=7@0y!)+b>~ zy^;G9I<HW=Q@3UfhX4S^ixemtr>fjH+*b3zIIqO#NE6l<pz4@{Qz!MUHf@@VIWX{o zTiiTK1++RHQuTO-p)H!38e0&W2P#h=Mp#fdTuj6>@{)2o7?zf$F<Lh3_m8jFs646? z)p?T0qx;M%zF%<_nW;lXXC@Dnf=X(p$}>ED+TSZ+v;2`XLjwR2d*jbo#`zYHju140 zq`gwihN6*B_%t-Zc@yLh5g7}^7hBYzhX%4GF+AmvC2kpiy2*ozxlcnWlKfwvLkFA} zqp{+tX$BAL=58aKBKm8Ec#&9-D4KEYc?cDExX+dEysHA8oQj4I5}!N_NK-Eo(dZEV zyohcY(SM=1STzDvNev~mIW`@`V9_M%qoD*pmltQ<mB0@~$#qo7bZ9dW?4C~hv@Pg) z(?P+kzY|4~yGUm^8==G8K7i_7gtUT87V7_g65YRDN5&T(6SHhcfRKZUY%Xn!i6oM} zBqOz$SgY{5ZsSw*0Pb}#_iwanD~5%(1kfyWk)VRDUpoRWWeqQVWKkSZjy*^bgh@gi z=R~;Ug>P1&4p649zoHmNWpjXqqBQ*wO3(e0k=NYQbt_=^>_+IYJZrkACqqNt$auxw zdF1H{S)H~BOSPltYs-mBWbq7#Tvxs{5ZMYzltnUdc$vR<6BVh={Rxe*ufY4vpP*IQ zn}rl^>Q4m-0>co6Da&chks;2;g(>?6lVh*AJOh=iA~mwEus-8n>CTyt0S`UnKH=V? z+S~|QqQ&jlqT14!Q<;NE9XbH1iu`MSrqPk8b|_|W9f6yjb897wkD)~jBMSN=hCOrq zRs^k9H}Lpo4aM$iNlQ*fYl<CeBYxJ?(nwt^g3|-wO;35`OWs71cRM$r<sQMw6(1`N zD+@6yjCY5F%peC5YU$D_oSwY#HRX_vP&=ItZvL1uikYT4gK5-)zv(!B9mW31^_!f6 z9qaTh4k{A{6RN&F1Nub8^em6y;eO5ZFrHFiSpGKc*WAhJ0JUoA#$x3X+4$tMB?Jvw zZg>|!a?1~A%+?^9O!N&EZ9=JG1h~Hg3NGw+k<m}-;S~qy8waV6ERyz8M)leQk=aF7 zvRly+Ug`GYqB>jt5>p9y@z~X=j^!to8w(?YH%cf%lu(kv)Cb0WyRotR?PLSLv7O?; zvg++b)h&Z{sMFE+M8^h*v7F<8N=>GAApkf?mqIm|Z`c*0GfojSA=QX%1%?Xcuq5z< z@?$)4=%Mk$KL+@y$KwEfHQ|F|(uR&_a@;pa`Ug;;ip4TE=p<=i-Pl~uv+*h-^DS;; zB@j{FaI1j>ZrX}4+*AeF<Mya1jxxwFtC#{fhD=^8D4(3*G$=mnHQ*t9{HNo6_<+Sp z78+1vhgP=$N6A0@IO&6KJd_l|m-dR#Fdj;?Nr60QX(lRQ5Mh`6#9Oz#icrVII&spl zDA=+00gmAZ{5VbGrhUZ_FbGXv!fi#ixd<Bz%!VppLoo$jQfuIrxfnn_BvxuCZ_T4; z<%Let&Nta^P<hqS{%<t<9WKaU$^e<s+^ynzLmEn(;`~KR!@p?Dyi%&_7@m#eeXAlo zC9G;7ib*@g<u*i_p~mZ=$#Rt^=s+vRd{L8Hq+&$wY=$E&l96PEX&a1(iW!Ja;@^2$ z4{%B*(Bh+Fa9FIOC$Y(UM`D0!nt*AtB;*oDV?d<*KX7hi&}?C)#B*Z-=}qER2#E$+ z62QCbfHiP~Zx%rr(L=^zA{1nzL(4AI8|BFjJu!>f(dIIY$PU*V)hs;MkeM@cmY<qY z{U%TH@qsf9JQ$I=mT)qn!=SBgqil$h#YE6>+DHV&;L;d3#K3A1EjWm}ps#9ELp8=M zV-OQ|GD#u%mkwxiZv^r=f&7J$07MQ=n3lG%MnhG|ILImnCxo^7csd9j-N|qD6{4d- z%c;Cjwpw$TK@7EL^lNJUCjHj3gy5X?mvH@GR^7i;6I93s9wD!b`^uIokeY+IXXDlp zO#g*0#C_o@HA(dmHHPVBgXFn((gtX;e@Am;$pQMlcH!2WECU;NmDi%R9^Q2zTuQm= zg<`p@OPgVt=O%)gZ4KBLchnBRcUBfRz&C0|9*i(tN>pCZcGXuor|5aMzWc2E8-W?9 zDW{!T7dO-oTntneGb4020uz}Tg|3FCbTI42a6v&u!FM;xIgzELW9pk|oRhk+?6l!h z0~l>XSchZPYBfB&wNo&v3l1jw;#6v%(gw@+qOL*}oehj3jsI|Z;=ZRR$T+e2CL;1i zrS~G|qAB*CvJJ~Sas5T^(t1(<B3pkEzQ2feS}*!vWbQ8_<U3|=qygIp`b46|H9uj} z4_0Vh-5=^7DQxTt(5vDbtkiOnJ~22=gC>{ghX?txdK&$(5fJKcC2ZW(0b=N6)Gbv9 zuXUORN$%YV2@<WkMA}VhSYUt_f12_IgkC{5`oSB><`FJTQUa;x#$;Hq0o|KG73llH z8gE1ab&CJIPT+JPkW4`^6RX~qNb0Rj6<#`H#Zml)*7+AeKN{Kw0axH@whJR!vuJYC za^5Ui-PnRK_iF+Ak?Xi|qAJZmv2Y{hu+PRGrH5kJ+@abAOh$PViM!T)>P;rqSe6|X z>r1yIkx^ne!N}lshViCZV&JxGiTnz-tk=W{H_o7}Kh3&ox~X9SaL?F?O70YkLg~32 z5Yqu-fC8IAxDgdXV`ha?LU|x)@(<AjX2iM6vw@xNs62vHhav5!u?ZZedg{BIi}aTf zH_7jGnF(&$SU5U{eT}-HCX!gwFg!ESG0d`mcw5Nfs{($Q#F!W3orsTQPyht96GPSe z))FMxUSsdh!~2qYK?ov7`jd30d``6n0Ys>Z4FOzoqu)8AemG@g5!n1L`YHWI1Gq$r zJM0Ne8prXTaQ`>wyh*Gv0>O#+DDEFi@4qQee}u8s{sC$aAh(3UU;l5>fqi}9wGGML z8fdWH?OeUOx|u6``(Y0lZ>*}!-l@+F*-tq8cfPkSegMG3-LJc&q57)FSIe%OpPSdy zuWhwwYD>KBe`*5^GBiNV{zn^tu-B^X#(L*z4}LMFWja+5`jm-s<kbU}=<_#JZ@YW2 z$GrkoBSFL}%=XPV=@k>4;ba_6xF5?O%Guc69pA1V?#_<}+hwaq_>4=cEaoJDkfqDI z0PMLz6I2!9K-JErbNH@wcB!@(S`_+DZWn6c4x^B-Ztv!An}r^1LeXG?RJLY8*xbJ4 z`~Bah(Cb1lpH;#0zc?J2V2vh(65BoTw(}tPu4?SkDc<EO2;(%sv(r{}6dCIPmB$Q} z={<ioSaLGOx_S?atsN8@I*0TDme-@lse#Ee<!M6rDTw|TiXa1ET<_XqgcAvBzF@6{ zOUxNCk&xbByH<tpDWF$5Z-+E(>eO{Se;{4gAWK@6n+<e?hnQ`+A@e6&Z-<RDI^&ij zk<CwOFPfTtg?+inBvro85Fsv~EpphnB_h;t3npez9^6P+ld|q~|LKtkpkY`LkaBhJ z3^H#o=lm!{@_u!;I&Zj`o>*QkxVd7=^2e!xfI+e12+0}qNvi2iVN9&<#=F-4SqN_9 z+?dOwGz6u-W;BL=vk$q9Lgy#MgM1`4U60JQ(f_)CJY8Rx@O%fIfAD8;@jff;;niN? z#(x8m%AuQoqqQi7+0nNf06a_|vd@hT8w!2ASvA?(YSC)2w|mWKi1KjvakPC@w*0!z zrnwV%0<4R}<R0s=C}QjS0e-xjee^iZ=X&`oJvHh@EX^UJok~XQ*&~I_a<%q)IlEle z=S~CLA3E5ewF%iWf?qGj6$HeaRG^h^^4)J_#Y)o_xJaA|>*{j@fQ<%&TSg=iuYofI z@Qu5L1|mX&9-OZB*Q;L;kE#gAwyb%IcXl};R_?_<+?%nd-;v6{#hijRAKe#$<L>(= zGaH$&1zVj25#h<5E_gEAIUN7!4?%@SE+w$>v}G=sz3gx5J{pBWch~b|{^cJHyn=ER zNOwPd0@8L8p#h6eNrZTE++kzER*FR4<jmoiyn6jy)#Tn|kh@pL2kvDQ!kwa)Ag}Rs z1z`DlH0&ULW6esSbG6vcSp9xE!gV>z06^Hx&Fc&!>g(SrNkAymg%3~p#QVMFE_&VP zw#}!9epeR#5qnBh`2q@!Whq>ywg{W*+!Q7|Jmho>Ajn)&q;ay$N%eb>lp(CWlo|?T z%bu^hV5#ov%-pxt8rIrQ3uA~vbvY4o)bnI^%u>xv8L<!!DCrO$O6d?UptS?-1!z1} zKp$xB7E#pKdF&}ely`(Oszw^O!HN&Sx!<+NjKxWjlUZkB<jty9c2_4Fdt0jt;A?pt z4Jjnz1}y~Q3MB^Oc{v2)c^S}3fmQ;vVxSeVAP$PtkOopP<0q32*!Fv8$et*)J6R2^ z(`L{7b4a3TMu>j1k1XJxj3gi~o-TIK$!q&7oJ{4z26Svs?SFMU*mDRrW90I|sGEYi zA3x2bDO7_1x#FIL5yHq|7;JFE{tp{nYb?`Jg$mNjgWE#PT$IUOyLu)#wopTykON8i za_?v6L%T-N$mrJ;Am_0l<uorv@_Yw3*uO6&h^J^^<~#EBBH57SfAGJpZhoJ`07{3z z#3Xz-){GD>o+6L4#>dwa;`!mZj4-P*sqHibi@P@hNa7q6N0@pBv!x<{q}(G8+h4>2 z>wDh0B?&pEf}VemgD%?qL50Ey^W?R^@`0-Ki&Y`T<C}Cwy=baD0&#S|E-coaY&5nD zY(e2fq7g||e-{RcBqy$Y6j~uk7A3tshmtQLynPJHKzvJ7@u@5~dUk3n4zVhnRPxc9 z3OVx{Ab@x-7y%YBgqB#$90sK_Lh_M#P<Vxrs^Jgv0Sdav_XLz4*+~7_%w}gI=!lzx z@yvY{u;^x!5LkE|UzCCK_82fa>pDZ^2n=nbEFRzV8@b&r#E#^tNTSJ*X=dV_L=aS4 zxB*ZIp=8)=RCo%T=jdY!8$fx|6bc)~#U<}KU?t)07c~eA5lc*jI1RQlbR5W39IYSu zS~8f-VZs27L#7Jx!;XO<#-3_KiIFl~*p@L92)g^En>nY+^Gr@-v?EPpQ5!$ac)^@+ z)#FbU%TlC)`IlbR35@wfS|e${ar9ReCKut#FE0yxXn`mjp9M;`+36Vk(*dwG_a5*3 z0ESZ(ek1(0P@7?VWpXtMxxqzH#^Xf4rtbZI25fYpR1)A@7@-q)gl+R(*>kP&5oXc= zQY}46p=&|kWP9cW3s7eKJ!I#4AYwA`eH-#Z@0tYSS>(8ma|scL;`V#qbMySzs(bSY z2B=Jt9BvaP=zBUWcIoqXEQlyG<9WIO>5{aKzd3XLRGqFY=;TC?Z%%b8rgk>WbElMa zuD*Nu#i^O!&Nsc8Pp<q)=Q@xw`;tf5>F{Lm>$}%@teUw~pU~;SM<BWA>nO~Dlvqe2 z=wL2vmt4ezDGPUpT=I}D(qvh^!uDurEy9C}A>|ZN<KVkf!3kKm*4K#h(aUmwfbMCz zFw+;*px-Qf5ky$86m#(6{48G(;=D*%jM!>Fe}>o%6a=?$>4dFrOz!2{E<1OP)GMxq z#ljHkD>GsGcF<wrPkn^gT}AAfL7I^Lj?;B?3_@=~Ck{$ekB!~iahJ*6Q<}*xpUlv> zX;}Dys;gU+m!5h2Rd2B=D2*aV0^sOW;BO3<h3;mw2&bPKh1);8{2KWU$2Cz8J{h%( zUW6kk`u;s~{oQZj>bC~GergMlvHm$^dm?D{>}UhI%aEd@i<Z3x?xoP7>e-Z-W<FCv z>C#fsNbiwaIwO<7OP9r0)$sFMCLf*nRkiJ1^+bb()m=5lcw@tQ<3Z^xAgNq%)??jr zsU)LS#dK$)bcZ(0=h<)G(<cCGejq@nvT{M!fX3O+EXglr@~OD8K1Y##YXPo6MGYo} z0ku*$R(N3e$#&jRY!q!!eF-y#fxc4LOk`l#Jqw7PpbV<3k)<$5R_Y2Q24rp9olBLL zbCtI2q#vIn$^ci6a)be})M-;I=!?1nBGRcY5lIZ<?aX$a8|}`4X!I5xR60@ChSpVq zR<*eK5QFZ=NI^7-A4`;PR*dVaK}MSZ=zfeBPwV?zwS@1DJ~2RV-NCwXS1sYA0WSmS zd0AWEFR3MzHFTB$JsdM@wh6Ta<p!Mwp!Wk{ZSvVf4tOdmgW@L9VEkrh0?@;FyYDx^ ze^c!AN?H`Deb2wIz;P8cL*~u<lG-fH1Zf_Yrno*hSr%GCJr^w=a_K%nC?&{1PZX$6 zPx`Z6^(p?=%4i(=K@@ec*(<iMMjCq8llYF4@Sa10h|SDLWa`HpLn1=-vIRaBXwro= z%c$t841kqB9CjuxVEl0;-K4;W5+`*K0$QQ(r?)GRJ)DH##yseQkJlR>9f`Jk?klu+ z{R;3@FJ^`cN&J35%(AGwbUqS{(!xv`f);nc%(CcY$Oru8WQaj62q^%+9Yx4Mken}C zqhqS;2cKq0Oj||s=<MRGb@R$`D@tnPKSo{waNdneO5&~e{n_`PLR)t(;Txm8BIRrd zOyF!dLP$(z;Bp3Uh`flB;2h(RwQZLZn@Gj$0$m?+s!c$Ykj7niE+U6rUkeTa9wzz1 zQP|HdO{Q(19fB5XVh8uk84ZRz?@UIKY>8lk{vDk&v0q7=?3;agNMekU^+Di(1S>Wj zz>0h_Hbp7%2nr5we26SG)|6RmK`IrGz5s}0a3)5E!;u`coDuVJD2@YBTT@lDU`7J! zJ5Zml`ULqT#HmZ(vC=W<OOinePI+J>)Dz+?QlffEM7(wO^}eb2U|Wh8Vm?P0Wlb{O zER+Ve^<u}EWa1f^osUzc*Zz{zE5M-0jxXxzLWc8Pr-J|%3@>)BROn=zs6ONd3r8^^ zunSJ<TLIA>Eht372zW9)k2J|dsvl&v%$~60-QLeaHI=Z2W<$^_G;Wkxe(v_Z)Z_x& zK;V)m1kzVwWCcbHN;7I5jxY7Jf#7CM&{UdAR6t+mAHTU3=!a4PfB@M)0Awc+=l~M% z){(VR`T}WpgOjSBlUS1xm7sw4ORo(Kr`nX3l3_8oQi7spL{w|;k0=Q&WWCV@fR>gL zhQQYZ*ZDeTS27=LeF;a^5sAgVrB`sHi93?9ogBfY-4!Lx9kyZ~c7iBx$&V?xyO@Zu zaEg)xIN^g<GH{xdzh|-?e9IP8#g`1QhM&i#?In!${8F}pF^ZbMLCO&}QKwD@jOY3% zJ|GqtzXpsKRrn{|9vF_x1q`oL#fLB0c-;5};T_>|OeDuJyAkHY>VUU$K85I8m5@`s znZ_I@n)I?Mx?+A4=J1pD9q@7p(JB#0(#wLOb?K`SSW($WtE7V!044@auWmTzfB+e# z=Z$DVk!7?t0<7PWGupt!L}x16rpYl7rYEPDV?wM+C4p&5j?gj4)MAlR54vj>BCIDD zvP*`6CXFwk1NUm0{r<DtbxrXU)IFGv@)T69E?%8{F5j+3L5C0j0O(?GBuOq!E380$ z7btE?d$&r8XE>$*AsD?20yBu%67bTdpR=oLKwqa}PJ>1d=AMlHF1ii|npO`PRJYE6 z(jcxr;s72~;+kfq%$IH`dm4@xDAv;LKXC4V@ev}&RZdjy2=n1MnH~QNMlj|_@|6k0 zA~HfM%IkhyMu@G?2OwnJ<6mNu&8bw`3@2)yTobx!b_80qNAdZZEjkOb-RZ#7*w-!! zz?D$pknxTsFC318oTTfL&RrV%*JQ0NJb6iy!`QQkIA7U6xS_y6zoIj0Q{CDkn?)a- zgRSPmR_9+&3bps$sL18*W)Scy)IIEV$qSsz0#-u+LNFC@Z46)jxy!xy;}j?YRszHa z6eHZZLd%0db042fO<dP8SN1uvF-zg-fney&Up-d(R&yk(ET{jJ2D@ILjt9@5t|nJ* zF9y>lff5R-lCz)w^^dN89`I$wLV>Wqj=4OzPPjBDCG!6*4K9ul{XJV#FX-&`hxzLA z)!XfH$fteqEw3)_PrI+1r)#~h)9-T-kgXbjJ4fJbHphQu?A`3o_1Vk$`G3#eWTsjA zz5E$mwtksBV&Y0&{a@;Ot^ca){e)x>Tm7%PUK`Z>zv_D6rRqM1ceR@5bwk==tAdZK zuIm*+|F<&eS>Pw4(EDg?v6+*CCx|-m<GQ$mYJX+_mz(?2JV1F>0M6xggS>Zf)o#P2 z=c#pkPdRUQTeoJ%U-xtMX!!H@!8?;<*NgA=*ga5<@8c|~onj~jzXU$8t6Q%|s3|R; zHFWj-vc^U4Zp7f};SyLilHFC{BD!wLW&gC$RORyKD6?cW^AxVZY+l+&Wo*^(xS;ek zVE=s9IaJH?2dsWG0aSG!i8r5NK|(o!L5KILRF*Cq2C`fqA&;W%?3&=M)7kH|q-}0b zc5YmFa=z8}kq=a-NqL~NA+E945eZQ56UL20I5O3>UP7gF5)Z(&)FCdczbrfGRt#$_ z06aI;f;_4&Iv@LfTWPxdGhYoQR@;?--akjP7YGK>q7$Y&)G_Yv<Zk;10(v_G!0!LY zbd~CV9I4T3+zdeu>+ZI7c4~es27qan7;IFj&ZqUh-cBd``)I%YQ@X0k@O!=jX%1gJ z#Bxae-03<!y#^nCJx=R9S|060wn%GTHiZVtz<K>WLerW-0MK!6T3V&f$5DZAbY@VC zzTT0iTs)ypkF_k^$xyU*piodiSJg(3c9y5d4fdS>lOadBd6Op(8a?n){1|)%`ap_p zuaq{p1FV-_fenva`n4yP-g~F*LnkCwDoJC?N|Skw_q&JUy_!D^MPnu8+V--a4<~th z{{VRB&nMv&0@nFFA8E0RB6nivK1ciek-?YKmT^DK=16zDYv%F_;c~9!j(6_-B1O|m zi}J8>UrN`Uhet|kJ^0?a9<7(*RUrte`Q&fjs4;*E0OD|voiPFZgAP#)nzr~b9V9!u zEGYbxkGzn{Wk9*=*U}xW?rY7zl|byHOg0Fhx*VWteI;rChk(!=KV^!~>#KURQUw>I zYF6ze1muoXgYTPDH~Yb}DahsSpHBnLRp#$*ZeFdgLN_?(nTD@tpH0a7{k<Q6y3g57 zduuzMm)2JKYlPB*%<qKf3@SnLz1@pEjF8qKN$WF1d=8@aAafKfBJ?z>ZwyvQhmRKh z*kJ&n&NicbxCA#5=1@85(7sll(5XpG6`)>3E8BL40wv$#t}H4?Z76S9P=c-T>%lO_ zALv8;o9F8iIC_-<&FA7M2~-KhW5xTIXO&@m&EfZ4wc9ss@lf*FbDgIc^0kLepOQo4 zd`_iAK_f-`1*l2~P86B?v({&s(c!fiL^%K|Ub=r{pwi!G)#POyF}KZ^u+9Ht4qgC} z<?mDHsv9%C)`##TaGeVehT`9Bwj)r-5r|X0>%!FirMo+H1Xw0)ob|%ChPTsDqOhDF zPx@zV*jYaU?S`OQ{tqd5t#9UkWdcCCqvEZ7{IC0g7=!K76DJ!kI$XQP$^JkE7aj@k zK|~fuvwi)QGvh?VX$&&9H`n^3K<eL5cvu1f1PAAplNm!A_-Y=!qa|w*pu~fsyAq+K zf1gL;qzwa)1;kYDbTeLw{O>cL;inmsK?NYd0Q~TfqyBzOd^v|IwnHHC^Z5?JA_;$A zzRX28Di#}r730B<oI3H}r(sD1t==@Lp4OlHLv8aLYkjNe7bc;P_*S#a#A2Sv#|7V7 z<MZO|KLT_0H)OfFiqHi8y?&pTX^UQHY|@=2p0pe8z6#=-NWaQ0H<dv#0d|`OYV$3A zsF-#-BbAn$a8lx%l!};kcpmCa-V0!p)XXtpoz%?HU{%!2QD9m5@`a^RXHsH`=7kvY zvRS0^vYSA|Ba@fa0a_N&Hi3pm4)n?8bqo_BOO*|AAj6amu^`Qr4KX1llnv2~r7u;J zi-V+PRC>uN02TU)iAz_qd3Q(iJ<oi9w=Jue59Hp}D%G%tp66CXgttQZd@d%MM`ZR2 zmcyp4Ntcl`xKDbANzqvKVs-?q^p;hWee_d(jP4Mw!d!}Iew9TREkKlCK-rjF*q<Pi zn%AJTay35QNEeA>zul?&yovn47D-jW(eAjKKuuZ)a7fA>ui~?07`Y6Y!i}LOeIu5X zqYMH9@XkY~wbMWfr=+g1jxj047Zqb-iYH}bLW&h-WBg(};V7qGN8pheJ5F3AyJ6LZ z0-hBo6bgP%W`<!SC7BNByAm1&KQN8+BCAwOh4IA#o>0<Z6(ac}bhe~d?qVsi2aW!w zLd+{>ldX^e?a5YiUV_Bp3^kd>1?9vv2RU#;K%z3MSQQmReGJ$jb$v8gBXxZgSP^wS z>;gFwU0&1o40PIAqpz4ErevjWDL{1(%fTv_1|dRYF&@uvujwipcshZ&@@&D5y6iJf zO_LW#Aww7%gzLDZeTO|$WP324@U3`z1N(KNrY<;aW@lkBV6yDq@ZZ}*!yl+sm`{gk z0ri%d6x!`S&y<qjFl4<7zPjyX72V$ky?t|}K;t~i!j=&Moj_MMqKE;tvFIQwATBEj z0}1##h5l7ag02j(`QFjxC2;Mi!*xM23tmIDoG>Dml=uiCFSCNqPV-H1uGmyS<o^%& zy2HoMThaUsAm|I}e;)N?wH1WHMa!a+w)#INomF;8ydAxoDf(p1w1qGb(l~PxSAQ^N zF<>Yck+w9(GhT?1f&(r6*+MVgY^(I0!Bx|npIl65ul~UkMqU=$FEJVEm7Ip)L0ZLa zt~HkF(RFHXe@M|rYLLm0r2+t;wA?9d;~?IFHGLu#$^Ox|%I<vc2F1x%i!u15QI~3% zJD)ziiZjKQJ2sr|cS7CAU&fME$CiG=pAgM5JK8U|H*I3p!o=iGQ86jpLPH7Junj*8 zBCYW=eHZbksV&o@GHq~_+fwO5a@@wR0|WvV6W&5HG*jB;Xpt4}F?U%2J2*L8&|BO% z`1*t<RKqW&V&Rree;ncr#@K+L5<;;g#jmo)1kD0v!1$aWz<52}MbyQ(!q?EUQng*B z>e3b|g^G%8A>Q1~WNuZrE^G^(`7+0rP4dyfNDE&yi^@fjy$2>wiemFpjxM(?E|$=H z2N=o__&eC5IwGY90zd)m^6F?rIVczwI97`XDkCUgeepZ__sMURaz3hzBnUfD5zIz6 zv#ptQ@T%`K-&d<IwwydgQtTpQe;77EF=0&jG&DaB>Eipbjy=*VHisaFQ3<VQfjF@j zoU=GcR8EymU+>o1<B@b{xoWkg);GKtcNfOQ$KyDlz>2N*jR6t{j6vGs!LxA#7V(A6 zwP$6huhw$dnLuDKvO}h52`Y4;VAsbj;~mY(vyaG4HS!{m+V$fhsR_k+tR@&ixMx94 zy+KJyG=)4D2$>r+sS&WTpbkmH6RgbfNrvyQspPvMMD0&POgSO+n-E2#BykiC#8}hW z$M+tZ$?>v#I00vS4{n@9Aywj9RW1lVB+0d=V8Y7=m3f^2SwkMPw8km&G^KJ1qO}37 zOmm#LRQOXRw*7e0Ux77p(rRTvsn*`Sr8Sg(I_(7bA~y0dEJ&YIxD*1dIT^BrR;px1 zx*JO%=xHsC`SP(Aj#jEUzKnL2hf^i;ZW8TH4;a|;Re)S@_%E4}??cg&v3@6{Xaccm zKQJ>>TmHYMVMiyj62BHjp%}8F!?#)+T~DAXH0Ms=c*|M+<^JQgwltDF9?KXNemBb- zg{KUzQ=Ni%D0Jhf8Q6Yxu`q2lk+Q3c)6U&1$Bx|DhC2g|FFQ6qr42B<1_SeJ9wyxe zL`9hOx&!Q0Xdf2l<4U4WcQgwlUhb+k;DFJ9jM%jk57gzu9>}2sb~Ssmi4B;$u!op( z>y!o^SGt6wMnVN5Hh+;UAmVuqSMCT{JhFqBdi0V8J)2lbnB)5vOGE7H@i&d0ws0-p zH8sT64=}(L2JD!d$?c69*<LNCW2+Q!Bs!dkM5(o+n0A7~74a;he1bxcm1dICwBkIX ze4J7}OQEvRS5$K-E+W%cr5Uz_c7ob<{}*tGT0KjpvM^h8_1S)EC1Q;-rINa8ZW~7O ztCL3ii>F1U%qbOn9yHFqnc2OIswOAE0$$b`ppsDnKvBzG7Lka6A~s>fjc0AZ?Hen% z0#nrsifMNgo*0w;MO@6?8XSXyEIgvipKoSr<`J))y(1z40|N{oo?~r5;~%4*xgjR| z3K7qOg=&fOjfc<_gUTx&l~z8UMbmnLwIT5@<BpgNBa7IicB2@W!wZ<>SVRI)&LuLU z+b=yiCUZ|*#624vqvQ;{Z)Q?zLduDVgi|3jj{GvLsQILVwv2zJvh;dcW})uj<P))( z;41%H(tU8v{?yswYB*C`9kM?C_uS79L+-NIO9RKY$LVdL!g&hMLg&lvx%-RNhUvVX z%gpoZ-A8y;3eSX&Z@ydi+B)D$ehD~Po6T<SZvNBIqtk7mU%isntIsqmxU)?!l+9I# z8QG-k&)sR4S@be|^r@#OM}OVPM5Lj^gdB&YPjuDnzy<B^fO!W+820?%xtkmI(7*Z# zh#62@-v3A<l(c4|H)<a-jg*(g4(saf)XrQpI@GoT;sCz)gWtg=Cks?>9Fhvg1^<^e z0_JD0VesE=n$tpo4s%bhrpJ6+oT6u8ieF~yui_Z^CwW`Y>R3zX7<4ypCWG9yKi$24 z_`cny8Q@-kFhNQp=W;wAX>KQ8DdPp-giE$vYHz>7GjYAuu2^nBhT-|I3IGn^E*sBY zGxW_T{*(i2miLX}!FR<gw9`Cyc4AEDLi~0O<J;%JN+9zUn;?F+>=w6CPYGkTV+eug z9}|b~dsaP|_m|a^8KL%?B*RqoYv07x0yKqsDy(1^81@W`e%x<&ZbMr8{Z~hA5vZe< z_&;^j09DpOXeROkIjfz&De&7WFFUXe)G;;H8=ckrA6cO{myzH+*)~Y)==+-?c{nmP zTS^2g%dp<cpm7C0{+Y=fFja9xvCf@(IM6+mm5UzpCAD+O^=V2O$GsvKp|q~)5EAC} zd~11hM|%P86;L@~O43WlUJjcwc=tEv+rk1|VOo1kC6?Yj>KmI?E-i_x{DxE^9z5RN zJtIg1=Kxz5*XTDC*?=K@jy<*{+nea6B3pZ?IkqPPkAf}q8m%HLRXhBH<TOv<;iIIP zmr$I|z=NxDSM&Yn1d=V{2eRNacux$NSUk_K?51c01}kinykv!(_Sm!N!u|&e!Tc<M zTac}#Z=wXT^f)KXGwR5PEs5UlncQL|a&>G6MYo}Qk~cq0{B8juy{jP(T+0i24Hay@ zz6of4PnA9tOrtS^QU@rk@Sl8cMpMr?#jS1``13zXlq&v;9&MAP)OJ#V<eg31JaD?% zN@Dz0uLhB3<M_NNZQP34maSx+8JH&k7{>&|6Wrn)F2%H`gj@wD@&RVN)vNTiu@+Bn zP1Fn%OBLQxh+^AE6IYx^$*@U|{`zjOFGO<1jSb_A&+brq%|&S*eIVU*5%xBTz~e{0 z+l%2z2eAb1a#5QIvgu-3KU9m@xK=tI2X{EhM$KYSOFv|Ok}*sqC{{lYWqWM`kZz~i zi}j3qN^^`$*WJl9_v<$pQpI=TSdbTMVfowLDR1jHcv3^>uK*z&5+64Pw^5|wNyZ=X z07EpF1lZE5byJUh#zq353W<0(MV5NnQ$(O;ORXOg=c^Blh8r~Y*GBVS_dXc5)4V9w zg99-ghP2NOh9Yp)3btvY8yqx%<v}eBGoyT09JR=s^q9p8Gj0RegMv4;4k=0t7L)4V z<K0D_xekpEI4%j_uJnp(j3&Crgs2=FuSGQ)`!zgZ2;gTEs6h$ih#=!%wYuz`1Mk1P zR(tNDydi%#PTAa~^xtgX3Uup<aj{+!0rB%Y`{6?D+tdDmm{TFXZRev8nC_Ahg6(RQ z=VJijNFqSr@LmwIf_~~xSE>OnImnAw2{3dE&GLU-<ruS|^2p19G#}zJD)H^*f%7sz z^S)i_&7+Or0+k457o}uXQ&UI2MK^oKk@{&_SCVlo({lj#1wd4>eVNU}vN|W+w*O*f zJ+iRWrK|e5WDWNxKY%C|&~lv6a!hZ>Fwxu`K)q~T_2vTT!$Q4*g#Qg5F{7I7>B^9? z$W~UH+9D#mn4L-}>e}PLv7C^3o}DRiS4g0RbN1dYwCDaX4!RR3n9yf%*(*p5t?&1& zf#j+@>+asA_X(EIjCKe<&6xVh8#o}1DyZaRK2!t_H!A;I_*XwV;5!m^_P2fT<}yuw z-h9WKP~;`Tz}wS+fioN+Gj0UPlyn3#jqnXvl;F!O`Kbk|^Mi#Zek)Dw%$HerS^nrO zFGE;%Ez99V{9xW}`@5cU9gskd;*c>B49S)ye_?n1kV~FE!#1tuXVPJDa`M5QdmqD- z$fdHpson_<n0-ci$`mrbiMCdGD~oC9_b~Bc^xQe!smAZxhIb3_h~osoCjIdZ^iarW zti&Hji|rR~fM4H{AaZr5{Ua!JiMK_^Ap@*)zb@ZoCI((3YgWoX`-)GFDZYqce}4N~ zfNESN%VxR;HX0v;mQeSRsHfxA9EQQ)9i~<g#yku-K4qSkaHXdK+(dG=Hcr)*E8k$C zMrD&`T1QwT&dZ6huc9!pf8CTT?BXl$3gomd6$mkvi{5Jw;;>Z2`bg%<8i+_M`p+D# zCVZQflblxwEix|t=;#_IgSewanmgzU3+<KxQ8OfW{mz@G>?^EB{E1)xH61Goit&gE z0NGt`*M9vXujTOd1<_~!OG;o}j;9RSK*i-(xy-1Zw<)}pGBv}q2B^dLsDe9w0`rHE zVm*`fQ`&EAF^4kuLAN-t6YObdTGg1Na#{j)q*?-4l=N3m%AX$ec+v|KLlgESkAN~X zoA=n_eS18OI7E-$VQ^fSZG^JMB4I0D02Z9A1AX%xpU9x7FHD$eiI_@3D3WyON)I`v z_Isk5<)f@h!4VS>=q1P0014lW)C#-A3nq_8O@=FS#WxbgF>4D=_~qIE*rPiNKgy^j zD2!&r2sA>VApz}45zXirXe&S)aj0uO!e%xKsQ{I;!EbSQ%k=<ATAEg^5_g9P2u+0y z&>fRS$}l%?hLFkqqQNamXNIR@rqI=lfYnFf3+PA4eSdH{ueyX<te=ga_g2LEx^uIw zg(u|ZfnFBVv*qnmvz9Qtq`7WaxzG71*NN!*HC8QOeyRiCPC;Vsn0ZC?jPe0OV}`(= zA~m#jO>`QzB=Qd6$s6EI%>k@FG4B(9>_+}{qc#&Y^Wh9Uz6WO%G=YSZmMs5{P;FPs zJrSW#=_$;Bv9qD32~9uk*1ieIc*hqcBK|o`31cOH2SaqcD8UUe$Cf{UuOJSk?y5Cu zZzEhDq{OeZE<mP2+qtAClY%XVS3XeX?L*rMOCpcik+TYLZf^q4U<i&Qn;_|87JqKu z7zr*G##XVx>K&dVL|RjJIZ2C&R#1zP%9CpHW!v`eEF)%oZNk8oBlQTzC!`n~5tkQT zl`EEp5YwI-5wBQ^jeblBAIWj3bt*cVooj3EaFPx!ij}3sFDb-`<3cx+GG#L=LK9<~ z=3JGVKd=K>mTvtD_GD9*fsxEkpAJz`p8iUUk2n7U_|<LWvL(^L@apFeIY=~<dU(aB z;h~zy;p9tdn)q~M{|$}ig~h@+4@q#tnMk#`R63(*{m18<xB!T$SwWy~2K9j2??KNs zl!khZ0yrCWGt4=Swzn(U0=U^P37v36ugM2baMv|}jvD3j%1zF?WsMvkRN;ck$<&h0 zO1#&B!zY<j`BeLq#~xEFhh@90ZJR2_tb6Rb^M=r?+Ve0${`T(krIdQRmWG-T+e%SA z*!@hZ6MNmCJ1WYb5+h=6F+|YILQtbZ0<BA{63}_{E$t0eoX=5hUGy%~o~k?bxU7c) z&trW6S~}Tj+8(O;Zr75fXtXnkk~u!R$k6r|9|})R((af!E?Zz<#F6g<$lpA@aS9gf z|MhT`fnK5Azg`BjH_m>Mkt{tDi$8|{Sj*fb%-c(<7JeZcJmwIIk1*rC+IN&cgkF$y z($0{MQX%8&TUD{iAW7580MUS}%~{O80!5*uDwwkG9b!%aSA&0nYe67D#r79yq^1id z@}pd38H<upxBdp|I!sK5tDYhLEd#W}I36>$a;mU;3PcY$ETL|$tYIEedrD%hX7<I* z4C*vWM8i<M;JPo`1kM@QQu&fwI=wabA=F!J7EX{h$sCYibDRw6n?~*U{8MTNf*T@R zzAbX`Sb#2DY2Ze{<2umod1L$X+~FssX4zZT#SST-tEx@)m9sS$R<KjpAC1?vZBpiD zJtinRLtYX`<`U7p+G0015)IG==|FIr6fh5xnnw5^aPbNV_)-<vZSYpxdJ*aYuFiYH zGDm%SQWt<5(Q2?_JPYplg}|`R_?5#@El4S@6-VNdU_@Z-<lk8Pu6<z{X#6Wt?K~`F zOyceI!N6oB-mTCk1*Rcd;a8_{F&aY8HrWhQNyx?og0CL*4d7LAjTSaSb*CCDAqEl9 zFsM6FAwtkOAiEW*a(NCq{Xg8&G{S|@8lP$b#D#<%BHiV!46JKW9fODy%6v&E%E&Jv z`b(P)CpyX=)k5(_?zJR^gsezF7DZBP;gwYNq%t2KsxmT9B#_100A&5lI4aMnizpAT z7H2xQ8=tEw=SysMUYfD|x+JDwg!S0M|5Ia$z)OY4`h=hKoaBB!+FR$qk?uSWfKdYS z==LWtW_O({&zfgab_#M!GwdrKA3U384pux;l$h~CFfBR&e%OeM`85r==uWlIR9ldj zfAe|rdAM6q1YpvMSOp-`W{3NOPaJNT=HZ=v3{16nX9#$|nx@5VZS<ZlE7%{t{$5Bk z*`4NgHqgnQJ(OhKaukQj-?Lx^kcQU;W~)^9PqTAimtHGy))IKTNFu9!xn3;aem~^v z{ykc`<#~HPe+q3ft;j|G_GXLPYj9W!7SiW0iMc85mZY-%wX*X&__7|?xZ7v>2&0pa zWGuB`*1)#zub;IKq`LMS;oHB)UtUVR7G4Z`Tao7r<eRciDM`)&+a84+fV67RM?VbN zZT|z4OM6Dn5v*${riBlNX7Qy$@k((&7=KB-Le(e*`>N4zOC-<FEn$FO9{p-Yoy6tu zy`y+9G~1(#$XORzsU@E;ov+M0Qv7wRpW4mr+jWWwX6l^n8CR>jhcILWhFcD)BA#b; zy-Wwwy1sB8Nwu%PxBcrE0AnmW-H7uE%=s@5uBKvcLm$5(+)R_srGCHAw5)!WeE*xL zkj$y&JZUk3JD{ldsy%Kg_^J~}Wf?46-X5b}d#S&(OH0sB^`~(G;XO@75Y|O{0<ClN zjq&lM^QzUKw)<J5Rpg|@k4nyqrF0+I&D%eDXP~RsM|rH)WTU?U&ZrF2uLXtcMNAgR zM2Nt?FiY@tU)*Y9v}sIM#t)gWI)iw<{Mz^he{-63ee15#HiG>1YV6li33~iVT13w@ zJ@GO*yf|ur1W+j%T0OWZeLQq3;cc?}@O-!M2C>n#E3U3QD*0CB%?WrgeU5xLxl`|E zmwwe^_{pU~C%=acND+l&TOB``FQQTm>(srM25AK;FQX9r{4-tMOXGeh+k3+H*=lg} zT~#P&maq&j5IWt~zs`WtoBh-ImSXuN1Xj<=#lwJ7rjj8te$aJX;}?~0i$O1}SBeD4 zZu`2iV5)tc?y>Ouy#LL0>b0VvzHZLYDt-r~J}kR?jy>-xV44r~u<B+#S}F)GPWV=t zYFLt5{7(xY-qiD!gvpdXu`}n{$zxAYFoJGG-=b>z>(6sXea&d!#|=w<K8NG0zG+*p zyU<{b+^(*9Pr<97FIp2x4ny^KKaXNZ?xm?_sZ?@(HDgk!m>lSHbEe(%m(7u-TB@4B zb<lk}dAxf00o|{TUiuY2X6;+H>YnKJ2I%CqY&h%58l2uPqpuZV&9k>=Jkh_+l8pq2 z6)$RtSY-Ay#;1A5tON;7(36y8G=^Hxyd%Q2-N=tS{m7s68QUkGx8vg$*O}!&>z_L{ z-OBuF<_SfT39d|VbOS?R3NIb=#`fTng;C7H-%1c_0egXr5e<WWw1Ieeix-oPH|74M ztSaAF+H2jq{Hm`b$qJi1%ZrDyYBSN8#uThdftRiSxvVW7>J0eLWkCV^g)ekoSPGj` z7d~Hw{^KvI;kUq445m>9nc}tWVo$}n#Dk+X=}6b^ER1V-ZI%D9t{q+c0GhT3o)r8} zKA>LG1a8E^^sXRMn$rV3;P*>V{Y(uI?Td{ECr4Jd*HI5bt^OMCUHlyW32P_%b5VI| zWh1+9j<HxhuP+u{HCwh21Rbmx4(`L9-QQLI?CJ1>)$S%AScukYT4<)7Ohzx86*pkl z!r=t(oE(n}ZDVd?eL>K70nj~fQ-OHk-0h57xPR#Fy~@+1RRMPBck38uSJNEChLKkS zVBU&$B)|6__ACfIwC@H8x^4$Pp$Rfl`6G>KJ-n{fBAtFTVShCUMOX=s=@-73#qq>q zcl}jU!|Vh0FrUT};4R|jht!MQFPt@TZ7!(?4AO?3A-$L+Me;HL5W;^P?(9tE6$Dk; zTg>DY`c0XwiBlR2vBegSS`h58Wqf+_3%zQwtJdeei~?I|6)vlJ=T$Rc+EYjt4@7II zqE5qKTGTp!rR}ohNYNkMP}#3L#7lr<(v~k-ZEI2ceS!QR-r4d^YLt$qe#AdRnp2&Z zFwd?8nt&Zz%B`7a^#}z2x~Nt4aU)l1;k-(-qsJZG^_Yr??Iit_Yok$dBQ^IfM-ILO z<Ws{@H{*XD4xm$F@~@)<be2v3bpnA7r1`&28PMUd_{Ztg&zfhjOua=-YwD3nKt1Ka z0z8y3{gLnS-ZO|}7*X`@hVYA?92-k<>oIE-6F2k7{pEQh`G&=?EH7YyyD@6-lYAHU zFsJRhpe<zun{0r>Ay~7mpnVY91vZZLHCFLLnW`obGoC>>a0R|lqHKBGI$+7|nzl#K zSfF8$NTi6(Z)@1qkjI(VZS-X4mDs+99uU}3aVl_D$W;%Qv3R&99Ap&SC-pEU!B}SQ z>ypN|qR9BI3HO<?90m@abZ^Qvc<+Y^$hLPZqmILNuycMkQjZRuf*7|H2_GliehqUd zVq4h^@ax!GX9wMzrvJ6xgEm<z_|;bfkWN}zMD(1^Q29JlZ|9ct71lv?d!tg@8z@WI ztIcV1Np$7=<-6ZJ;e~@8dFtx%jr`h|E#(Rjf@gK6=aKGAncv%rhs2BDPy<_{hvHhs zCi>gh5qps7-*}sglbVJH@LNZ%#mu@5Z7y4Ci7QsDZ8>#1V(A5zCwKOl%JrYXK7U$c z4^0XhzE^o|)(u+UI@e3zfsmY*UI4ZmYO(z3I`xTq-Y<JrmcTz;f%{Y*nf&_B*^1{n zX0E}xFv=}Y9zVE!JbJp;vvu_ivbS{YQm+;<Ez}+SPI^EAdYHfOH+qYs^Pa?gHn66x zK0N9eVuc98^62I1Td4?|`M+3Mki10ZG==*feK{bQ3m1LekV3Y5ire`mwGN29v6DFv zalHK{I=FWbY3(RT2(H;nV1uITSNKrsHX6KF%%PcA4i&zt`w>=2V*BVdaH4I&4kf2$ z_2?yI=ou@`ca|zhdkGmuNc$^a=d}z2Tg4<x#He;Qfar@3SnKd((3Wm?8?+bEgl80( zxyR3zKEmurogJu6B0gn$D}O-czKN8VX+U@dWf~+8BB!2vA+D~ZFCZtLIcBk*{0F*- zTs+z`%Yyfn3MnjQS8f<2wE(gh1gs(g>WXsO4GhRW2l=lXPk=)av+w}!f*WUboqG45 zuGiVRDt+#s^epKNECM@)$QHvF+7H>XibHuWn}>XBNMv95Sr*WtYpDUS7mZ!PK)I@< zpZo|8n#m>NFoc}rUc?)5)bJZ3d1_Q{!94KaW^Xn;Xl^<n{&)@PwT{GN6+b8kM%U-K z3aI<k(nVb5?*_NzqORW){mK3?dlq`$7?0UU_Pcs<IJiReM{2EoPOmHFEA-gn8iRr{ zJ-WeU*E^zq^OBwR<MseJ++?-;EkW4yH8^*a-#Sk%ZLPXJLkU%HO3K%JGSQVq<1&rP zP5T9TINV%x0*O_OjsFsR9+rC8l@6ngnwC6y%&ip#n#-W9-_L4FMkZNQU1Y)O>>I<O zoPEbLQt^R*1omIXq=lTf#N(LIml6+;u*V5_q#q1)00N@qw__zaf_!Lz^;b)av|UVT zNc>+@`?G#T?b~md>}S)J`M127qUa~AI+j;Dt&)Je=mLh^^Zfl^eGHMc`3yhjdelU+ z1cnQE-rUGr3^3WR5<}(^TP-H@dEQ*fTNHtSbI4ro-dy$v587rP9IgkAsO9)ujoDnb z9}gN}Gp*<*R0^_5lAGN^C~oMfC}>142u1tu$s32=f(QugQ~Op)f--nLb|7S?eUi1m zYwo#vGcTTsU_i8!(z`}6t5OfpOAW}?!n)*8PGMv+!xu6qRSoEt!NDn~L#n5bn;F$6 zL59*!1<_Ca>|kC!3ljZeE`nw5Y?OWxA_6FYZzM)wYiFHuo5)8A`=%6@p^JOWYo$az zNG~-gSBvP9!%1CiL|AOZm=@NqfQvD~j6A`dMmMNifdFUgR85Q(mZ5-csK>4MI;$Jr zz7+n6<KIFYF8Q5g0GVVk@4)Hj>q4aMM1unaJ%cby&g@uQD#o~!PSW5&7P?$%5eR?} zXZ3*;$LhYS{^mH``7EMU)d`7GC7~l74$gyxIwj7N57WYv6tm*2BHwW_SmhtWA8M5Z z?_xN(XQ_V)Wk5nnWxk_q=zj=TbSeqF^}q~<{}NV!1f!~a$HB1w5Ox?<5?(uj82}#t zVF<~iv`3u4(J~W6Atszf5CtNn_zK_iVc?N2qB@Wt_6+K`^E^L3{zi9mu?IN%Ic$e- zCHQRK0u@_;ZK!=h*~4b$L9ec=51qp1&!`O{c$-UHTtO7ME<B5kTzTQJ0~PEp@Co`> z%_a>Dc?lq~Pj70tT)!htk^)u)lEZyP+%M`;im~pEed2%T&dgp1lKA7^T)1|L={5y8 z_;?+sSy@$13P}Hc@mr?w+xR=ex}&exHFURpl&kjs-gBNPIQ`p=w+F?rj#1zE<=k0O z)ZgF0O*$`?V0C|H@Gq6GoN?GCxyRUei>hLm==)aBk?0$H3P0d?*u<$f=`+pg+B1@0 zvPN@>Z@NsbkC>UOK>T%6OCOb$y3T|}#m^sHY7(pWzZGoYedGU<l4Wwe|B~WHYZ*Sj z)E2i4;9<84ifjgSG>8VAowXy1KPS21h4h!1YjD=OcERXNjoK03x3~)7w`#!rHZ;vU z86&hM_}Ks`{OvuW_esm5uVSG0UE3h%`)JK0q#&-B->qa;PmiaxhlW88^4MPtABctj z7egKlcBTX<E!0VVe=85kMVt)pC=**rdE|;sbJg6?`1pThePvt~-}f~NN{4iJOGyey zcXxMpcaDIxfP{2POE*ZDfOL0AHv-c2%*F5T^MCQYV4YcOpS9=Ao!MvL&M*@~jHsqo zOpK((JfnfdyoXYP;7zWomhVk%N~CF^){@6t@*Vk+b}j+%!nEy>=oG)A1z&%5OWfYY znp9Id70vnX7#W5Hkzm=xsM!FwaKW>9s|49c)Ydg7?5N%--FhQGv7$a@JwRyHmG_bY z+Sii7!bE`cmUz00mDjeHXi4&Kr;^%oe@wK~_vj>V95q<}58uBN+?>9XpFUOYtKfOE zxLX#x`jd<beE6W*uNi})ez+DCl)>z8yP35UM10}pd78$0O}eH==7+RNq6bqF7@Aap za1y#)u0lMeLbAcVUb?n5rfZD-?tPZ#Y`IR7eIxgL@Pmq3=D`Nh5?d`Zv<Ym3aGNOO zsq)FU#14BDB&;Rv2lTognd#{X9tLukloz+r8^6nc04O$nGh@|NwyRrJYr(8mABILf zKGx~xE}3}>h#7S?YtIN-&Nx3fCjBOQ6%6m-s1?egYh!t%UeAmX46ksi%CcO2hd^Dq z$-kHb-<96INcJ|_UdrzkhNgVh(X^;h7cT`UH2H!8eU{xKD5Oz>0v$wnoprP(3K(_W zQGrT|52&nX+HHefbZ9^U9u&0NMl?FVvHaFcI6fsdgVRdQv^x_G`0iSSO)eN-O_W8e z7=?bbe1t-qEjE9tfLSCSc`xq)i>a7v%S?-)nk(+V*bI%Zt5p9E6DFd)ruRiuAms|o z36rTR<vlVJie&=jX*A&JL6%GX`^3dbFqbyGp|2ScPYoVuj)cZHlWNge(d#dgxo*}c z9HW(8k2*gV7B}l!y;pv5k+%CbdtLAEKLL8<qqrHmL$L=AD?P@eJT7c4G3AS22}gty z0oDmt#9ysYXjSUp62AJbRN$prz@05CZZxqw@nL_0aEuDbWw27UB383PDT-4{U{7U_ zNo9v+AE1@O7fY28ONEXw#iWcP9?m5me!<83D^@%TTPX_rBR*cQWUg3bkr<+2<Y(nP zrEg-Y-(Gza<xIvW(w>@h`-p;P2B)5LjZE`Y=goz8w5lM2THha9m~WMym0bYaqcRPj z#{^B5)xIMJ;L-Pun$q~@`BA<acM7WWmE~Gg@B(=T-HUM}i3C?ei?~WBJw_vnBNzA( zl-X~l&;-e!qRxZi35tbeSzMC|s0ikEC~edIWalLPOapVeq3Py3+-Z$Abj`cOt+_Ce zh}5M9Uc1Y|6Ih62a4Ku08tyu(N^@2=Nzc6>O|1lCQ)gjBLQj>e1Nbz%erhd#ikjM> z#^t$Bi9@!XM(5;L`!e>11{ocb0{<mJ))HC~VW($^;1TSKL;3wm`EBpzUr%GiO>7qI zl*SIt^f|a6%+h6}Nk-$rPtLE~uZRYjs+HeMeR$i=Jx*ZY61Q@jj?w-^FTY=)>+;RM za+{I^_=HC@&3nxzKeL_g*_9eUg}t5L$Tj8IH7T5q;TFO{Q_MZY?G#$Ho>%{agJvJq zMqW8G*=3zysaML*(?))ZY9>8T{M}B^^0$cih0B@rB8^gRsUzc&a366jn!1}ZZlyj= zm$=lQ=@=f-^zzn-;CkDtwkhkPxoM6`)Y7K_@y6|h#=g{qIl=AHpN{CB*o-FAR#a2^ z<T{6i#%7o3{_rwCrH`nq>jLQ2&AEQhHk+1C`IGBN7a5t=WBA+2ic8zkRtJaTE1L`a zo*g&Mn~EmaDJUW{<HYnImIH%y)nZX#P~!LO4j9ZN*Lhh?W>$~s&!_+f>8pXQI54X4 zd-eg0mXqsfgHcW_|0pyXDt(rOc>HeFWWHbng@jmTp9tH5f{=uH<?A{LJi5C4{zMOJ zNh_k>vaC{*CUv0Fj3U$W+{ra@m;_Csit0<X?%Ud=BpkCMLY{8yYsoYRgg`DmXp+fc z_cE$23cDtFxE0F_*IJ<MT_u_L`^wnVH5lltc5hDYZrnLESi(8UWrx530s}sRG8H7- zunT0{yn3$A4x)<8s2TFtoIU5SIhEVf4A;~(M#T@1p$UxLp$Uq|<yahJN-T0Yf&-A9 zngXJxepBN%Hci)z|LE)pnOp1-x3<GTTAJRUx3n`f6tNh5NpuRRY6TjLiWqLh6TJLN zfjf#u`_Qpx?)I?a)Bf}JMx^ol+^FaJW;Oc+(UQmhtp0=zDIvE4ef|37o5Rc3P(&UY zVlZtDM!w^kw|_92@|`}eADdreG{)}f+z~gABe&;{#Rd9S_Cy}wGK9Y!Sl#Vc@{i$t zf0kyq*&oT~<mxT}I42N1q*GkoaYnSTV~B9UgduHh3t05`L2-sW3k;wD9hgo=f-{GE zHX)0&tVqV1fQs%M;#+EH(l5jI&b2$VUy<LjAMflmD2j}ExMvKRj$Gu%kQ_{c`CpPD zNRrQB5{v(mj8T9|c)%pUq0w`ezumEtZ*apl37asgoHq04-;cmaMArP4@A(;<WWGK( zqCE?XNzFB+J}b~8?jdlMqc$A&*1Y>|K}l`d1(NEUca0s3OP4ON)+Vz`3$%yYHi#|3 zb^>f!)Xqe~QC(#mbsaiiuh<r?)@PLFXqU8kn-fMIPb@+vdw{asN~Oj+ad*0`la<lZ zf^Z!Pqg+QD#zSTPyVk4}S&WA0F7e+psk8aMOuhm&N!0ew3G2#aZicS?%^BpDcn}DJ zb!;?~&b-bZhLlqq-7q;3xQx{@e4&piVQY~UursO-Xl)7Ii@9T)<5764{!@nfk2y2^ zT7h5-gXP(QjSLSGnxvJ>+$C{gy5MNfI-x5PO$R#3lOv^LEG2{wE23ohvJyhp%rUGI zaI9;;sb7wZ@w`+<^-7rM{{n@|^(IVx{LL5q-rS+Z02t54aKXPp(I2OfiOpW4P<C|7 z!F=0ufyqlep>?q$eL-wbj+T)@L3-gPk%9$4!~b!_2(Vlwd{OMh`|BQC@?&TkoM_B8 zoFtL<<BH#N@}j6@6ViI48<|rfgbz#N0l%trW17+fF+Ceo19cA3I1`(brX*~p31N`c zF*FuSw?EF=QhiY+X!L{+;VCXtml5#}Qb7xB=Fkib7O&M|L@wTw7A&f_JVj?<2fpoD zTS=B_APBGJzLu#R3!5p)C*v@rg9}K*XXq5Bz^_aUnF`4#g~_z8gh7n5?AFFtW=jl2 zdtcHCy1(JsSV=N!f#!;5b7CoIHV4gv|I9U@ITJMN+d#|<nROp}s2PKeW}NPqY3O2g z`_-hu2a3}4%CNi{$RnJ0UhYynidv^i4l^~5KCGr@8M2OUU!bIl8{n?4N$p@c;C|$f zPmrYE?YFmn*<HGOEhDQ;o{~qwTLh0(Q?TK{Bo%J@E&4DOG=7h6FNYX;s#PFHp2z4o z(0JH?YzZ0<uWh8z$^BJag<<A_H*$u_Ux`*lQ_%+!zz6XDV|@SE%~BT&Vzb=rg9V;4 zKD{2-;19X!2MGdjS&b|5huq|Y1QEC_$0hSaZu~)l1Y8#5Li`~&`XE6DF7t5>HpvY? zNKk;w99)r2a)S>NRNyirmrRq~z=H%0I{x}7pg>7`C~Th_yVrPqjtP%Y^SMOr{%`j- z_+JbcDTKCG{WY}wEK<4G6pqAH+0{!+KVW3)D8my3VOpDCglt{TP|=3xmBg%*4VT%0 zH$Y|mkt@qi{_GK^F)QNC6`&^h_bibBZ~fv=@#JfD(%3;A*?QF3pu$IdO+V3c`>Gj! zT>v%nhqd9>o2DPVig%8Dp8NR$Y7(3K*aS!27i+~quhj`-OLT^6Ag(q%O*@Edh+h{p znHlCoOtjCY*Z?(g(ByLnn&SUrRd<EyuF}_FU+bD<@z^A2)%^mmSUd!h8z+7j!EaCv zrgAU7MZTj7{W%d12)DG+qjzt@WqVA#sC3Okb08IDjHmeMO%2;I@m07b0IE(d8frg{ z5K6`Z;Z*wNv01`nGh-falS%61rh%;#Zvrq*y^#BXTqg4KD_nZYJ=IK@g^~}iWlBE3 z(v%#F2H%AAoz%!Gr3#8F{NSQp-FKp!Xp&tHCy8Co1Ij*?7>L~}|M?OLD~?@G;iX+F zaS(*MQg$tl&IK9*icUJ+gUkJP$S3a54mKZ6wa}Il42v+lO*Y={tFj|`)YEke2YqmR ziTtM+Lr@bNhKowm61;lGE~pkmiiANf2ak<!s!lluwIfCj*F7bv5*YkCg#@0x11}D) zi$!W8!7v99GM9dd>{w12$ReA>4%)wP#|uKIbA*F_nrHtd#IFGQcPk)k8_I>jUz3%F zF>+jjgk8m{{s``<k|Whbm*BuikT-oPNm!~TZKUfIrHprW6>|J&^gL+txNz<tr0=^} zN}9Zd94Wz)dS(Z}KMK$DOyB-^4odxFHvd@oKUVUO4g6yV{}?>)KVLKGBNfJhfhFG! zT!RBkZ2v2KtYpFA{}>0te+;Ud;gD0W5HXMf`D=Na*)mYD4-PUfN7=2WXpudG2`-vl zHPt@RHSOEvtIpUE>G9z}`$-pYB@>E!O<E&%*7D$1{;!_)0@ox(^0&<C8tAz7&<HKF z5d)zSn!hYsn({<5!J*I&v6ic!mcQ!!Z73s>DK>x&6AG45ZwutpQD&4P7po(E4|G*7 z=`RMZExOf{UaQxU&b5k#t!VfPRR$Teu8`^Lp$;tf;K1As>e!ox&2|^c6BwW!GC91P zht$BW4cM>wN3ZsC4SYX+)p@*+E%IDANk4I5noD$SYaxY$f)AR??ca?)o6P^2Sf5Ra zz&}?mq;iTS{<#jTK$pUVMg*AfRz0PzV~4e5Oe=TjyV^_{APXi2i)d5bW#VpE-R0ZW zcutk;H?)e1h#nU1m%ACRPz*$LJp^!ygJ4Z%4uCb452-0Tu%>PyHI*XV5+~RP9^0~$ zS=V3#KpiX1yy|p&5rQDa^VM9)vBS{nYr(6|0GK1q)K{G^g7VE$v0+x-8Q?Da*yZAx z;Vxm<3as?dba4!ezT{b1W5d8AB{o}Yq50wuhc=g$1!g%TlDAe>y?3@SQCcf2Gs3Ix z$b*v^{&J_I(rND)B=Q7DC6-)Ni<+H^a0z1#IPNwR(shaEPhY1Z6r3TW`1Mgh!WdK> zShnSPKXbB*tijWR6^)!V`CN+>vWS4ybfO{ognagtXeD<7eu)3e62?x^ybRgmaKm#t z4x|TDb)CR)N!}`DjLvO!YuwxLvU(VdSogSm>cHWeDVD$Sx_0o?<fdKu<}XK@rU1c1 zbO*I-EqSrRQ}=K#`VU%cONvU?Eh!O7zX!hm4vCQ8I6|*bz4k5v%*s7TxVCu~|1fjR zR=qbaH&M!NDmOaXCpmy@ADWAvN+*v#xP68+kPYCgC<t_Gc^&#VE+4_7WaXnT_v*kC z8Ra221Jmf+MCw5*f_XUs;VmG+3)%X*Sq`ireXjR^W89TLg-hF1+R!J1pVltPQ63z| z*BRqkRhCG-4b9z9hi7gI^7!SoG1N85H`MfH!{x<>oXwp%BwexOvZ`U@<xL;On}v*L z?}F7%EV%J9)|n3@+F9uN-z_5JWo$Darna+K^H)L0KEQnVy`AMd|9sKxm+`&FuJSv? z+~fFRZ!mU7uu>%yQ5idsPqI`sOIl^qeyK))oT7W*sprFi-hIa@`G%9V={|_}3ZK&b zVYbaZmn@zD%JE7+sOK1}mU*>k;0v-Z2c9FW!Lv}YX4yaF%*q36=!ptT*CkHv0ktOI z2D}bmeqm|QH~wXS2&yrwLde(yY9Yy)-P9Qt>UiJg%N)_i%V|R7l%*FReeS^Zz-IgL zNr*taDDD5O<NvIq72?L8GXG0#|6g$WUvTGN-dVRP)Bd0BsYs3I{{#(pfZfy_{Dh!c zTIzx@jVR)IKL(Gyz+G8--f*H0;T<TXgZk$Uh1T39{Ajd={cq@qprv$~Tx_m?6U8vc z1uA4(Cl8JQ943P+N{2nv=iB!F3m|6_Xuc<y24YK|gbN?tPr0n0?8_gTd5#)n+LdlR zEV!{UfV0Km)uOxRrsI=+*HxxF@#)oJH9({A)_t7I`q94prkQ8BL8eXV)WfBBRgJz4 zJT6Z>Tv}JB_geLio$4x#OUS!$VA7I=zXUO&cJeTx<0Lx8La(do{_kpYI&;bH^x~Gu ztdr+7;fY2s)2KgpQ;V)1gUW(dIEpZ!CPZM64)7rSVAb?8D#O`&>-X2=bQqmlye;rC z`s@Nh=tlY?C!V=M6%;~W{+b<x+j@%?E8n){fp>Fu{O+Ye1xG@Ksuj<Tvy1sg^I&ry zoNp_sQkydO{^lT2dMxt^F~y&Y?_xL793@~DFY&e(pU)k$sHSuVdo1<w%m5A=g~1A+ z_}YO)b3%n{>Eb{VV%UE!5#wJPJfz2)gRgq}lRRSHT!#d^Bvg7X>(ZDok%|9o3HsdW znfR{Wvy_3#%yg(ZEb5&X>}u(|&1>FkOG9I&DvepU84|#9exLd6h&pi0h;?D3vmlcC z!{uZt+i$qlrF&ws8mNt~TJdz+_Lf<IKZ{P1ufHF+FddAnsmX`N+YDyOu)Q%E?N7w9 zu#gFT*S~1OGk+$L8Oz8#@{`jm3zoaU>viqPIVr5I!VCMoD7U`InpwRw+>iPX;{!=L z-V_G<>ERBZ225#>XRugNR4uQP*%SYu8(NugqYulweKK<~6#$4eW>=KE4VTC2(RL*l zyJ9WRiYXY<UJ}Hkcx}V-OoTqhTG~UD-}s<X)W;=1xc5h$BCq>yHQBX)N+p{j!<z?v z7zxaFu3|Dl#wHUG?P|U!$$mQdCQ(ixN-9*A@D<NQcrL|wi@by;XEQrB5i2w1uH;T| zwCE%!5nwdGISyv3RRA+xg5&z-3XV$z%v8ezW>VjgWZ#<rGd+Bk3YA}g;a`_ZqZm(@ z1~b*L(dR7T_Gbi`{>lT#*N7LcIGYf;UIWX{aw<2MFsQ^&G0~@jzN*wJc(KVwfBdDu zdhT-s5Lw(pV2VL(S@*}&rH;}Az)NA_{<XuV$ogSLKE&I^lz)>pt6Xs4k0&qQ{^!`e z=v-gUmsH&wcBVY$Y=0W!;~Mjzg=_F6ZcL%IIX<lDb4cNQqJA5F)&!G)64Nlotrs6t zGbQmS?NI+{YQ^X(gl_K$yu%?^M{F5SQ*CQ6F;(>YrzL&xpVdAgHV7CqeE=L8n2w-l zoMQR)i74%T^He>TG-VeGOH9c9>Ul&1X+F~0Gf*ET1euU$I5>vEWEfy_VaL1IcfO02 z=Xfs){?}#%L8KJ#_*$~01s)-JKsQEjRBv8}iKA>D1`<ka#|rrPMdJy1=J(VSO|L>F z*N#M;Ox{^pU=w2O)dq@CvbY1^8mp4ONWGE(AE&v-;?$bx{M#wl$w)YcmT%UE4u_Zv zA!&I3)^C6Ifh;)Q#b|1<Y1NoZqc1BxBX}uA=Qvtp@}gq8mHXk(`DjUU;9w+P*-X*w zxo*B)cF^1QI_dJ3<adqsBMSEN_n)K}MepCWgkQ5vh~7ImVQ@FM6nka@=|0uXzi@PK zXgE+mtQgo2{xO~wO%+|EwnN9`dPKr@*$CKhvI&I_NOKkZ;<Ul1JQM{R-B(6L3S*DR z$h899yX3j%xwJqgvPMnB?r5*|P3IRQB4LaUZy4NCUU%4M0+NdGrqhno#=7o^4mqC6 z{>IZc>B$7BkS=3j7eFKm>@)daACVZa&o$UbDf7QRxbR?_Sg_00zb>)ArATRrvrP{h zJJD)30w}5nOT%Z<Ouby+@e;o$=e3vbarihq=M+z7-UlsV+IJLw{k0O0*PgBC&~q*9 zuu<quJ4Is6Ao{VgyB36t0dLybIZgV?LXwG431f-4=++zh%?}&r|0Unx6uZ}+|4h^X ze!uj=QPh2@C~TE2t!g7gWGEUox<6V6>on4W&3(x^Y#!XkxnPfRboX_xaWKKwe+l%( z?yVQV1cd)3C<$9t0}~|vm%s<WIvuq6UxqjHP8H<-X^a+qM4<_UF$A#$9`x%;i_Z2- z4w6l$jc=NqvdF<VT<rW@4oM(*4%4k`tb$>i|H3ik{uoR<KQsOprd`L`0K*oL@M&W( z*A}|{`qd_=nEhw)0LcCAKnLHmBUq<C(;-)DbjMvF&Ih%0XOUqQXnZu=b4h6uid98c z2>XGPY4$2gRfByJmf^3AlylZM>H$RjNJ^*g9S9wtD6igXN4KO`(V{maFd)m)Ixl|9 z?;pgABtI?fNbUSY?!l}b@f<!tV4w!W7`gfV03_~I*+Jj^iTsN7zc>XVg8&$p0prj1 znvNempq=x-unr=FCKwL-FD!>e{uWQ=h9sPl*@8W8XuaqIiU+lJTuZu=<hBh7ST_e| zVB=7AzSaHGUxdnSd#6=;`VB|(n6$*mXJA!~j*S?W_3mC_^V2>;TFF((@Ni#7QeWt* zI#ioho9E?n=Sa{&+VJh!(TK&dSno797kEPt&R|W+;Oh7Il7j2SmhYj3gOfKG76VvP z0Rnu$>EzkX@5rDnuFz3b!tJ!_#fa|1@kyE^u7!uEo2L)&b@X%(b%B;PtyZ1P3ZQ(} zfjNnW#J)W7Wc0hu#m4UAtm5Wy-&IM51FAkDA<1!XB>%Nt>yPC<6ny%L;9GJ7!WJ+8 z>sz_*6^x~OU+4M-EBc<*j*R%4NCOYz^a`$$nzW1Qt&!i68mkRXzgu#&(*(3X_=y^b ztvq5eD|7su9yU8Y`0#$-R-V6}uN%;q8nELqjy{=m2SRgPrkQ>B1w(dcL+whMAGY?5 z-EM#1K9!DwkA%NnEw9mA)>l^)aCxe(yLq@|p{V0f>>s!L>Y#NSb?#5(_-cTe<3ZK) zhuj9TT>A^IFRuK5RDSO^Y;#gRY)^W%e9b}|{Nw&9#N0PUXzfO-Zmy)pKq?+6^Y@Ej z7?mIKCySbSy2rdTSL3v*J)SL`<9bW5E6M($`$XZ?|8ZmgN50lW<0>Iu6Y6Zq^}3)l zDT>E2QB!KS0}amC9E^1ZCvzN<wp0QvzdQ|}n}@WQNe8wWJ&pd~+Ae0cw(z6hNnH&z z%`74;S#?i5G|nod^lz-^RXYd+e{cO`)hT_l{a%jN@cO08-LmuAWtkh%xbIAs;*6c_ z9=oah#rVoGzydvMc5#9Xeo1uT_vkTmcZ}H3Pj=wndOgke%?iEr32W~#!|vQvq@Ytc zGrI=*lI)gfxs8!9-m3DCT#Uk);-?BFc6NbbkhVR9Y|`)`W48}ZjNJpOg`J!O?8#3T zbk(wjon4~}RSs>1lZKCwPhg&1^ZtQuMS%x-+keR+`ZHnH>vLGv4R3}1X+qYGg;0Us z6g}T->l7zOlXzYons?=VZFP__Kf0;pof}>lU&t?dw_8n|I_c(2Y`r)}9FY7p`st!; z_A08DeqN;PE@dVX*u2t+oo6e<Y5zf;cFEOgc(3P~eOvr+d7^NbqmF@?>vVg7;Zr(2 zb?=yde|;C<F>!=>Z7LaZ`u<`1=;nlb_&uqD|B6G(I@0pmq6{pbqeGp^pxtMR(@l%3 zqU}r!zmw4Qzq2&+j0aVow|DU^x&AEj{!1=#pY=?1_X2$GfWO63OR;WtI!~r6Uo~eH zrkj46(QWq8?CEU$z&zXV7qB9aZ6=EDi>xkODEy<?ylAnI5X0Wio`2)ANKuA5da}Fi z#)ib^uqko%WxLH$cA#ox2e8OE$yAKQtZtiyHCQG{y=3V<^l$XkOqzIXExWo92KP)m zBV~MX<w`IeaFtG*fpGp-g}<}7vXMRb@fNdh>0%*a)1twCiQrpHU3GC~B}=eOU#roq zAHigCWt$k?Rr;<E57tZ#{Z{6rsftnSpz<-d8V^>zpWd%lvVpnN;>x>>o-!%ZnYtuF z1omd|9U!dd+C6k0^$Ny%KIt|jnXBVR2j-F%UEVkaz>-a(tLst?(^jVA=MUE(G)cVu z+9lt{@s0l=vGf!42d0zxMsC+i4yvqt%p}%_Nl-`1zsEB>lrP4SOgS9S-(2?kd!~H$ z2_4<q-!?wdj`J~!;$3^XF!uYwJ@4z<4sd&bGkUwuYAK+j2Rw4O;@G7K93EXH>^)&I z)!6$24jM`2w@fo!CHZ%EuKq^`k&}d_D2Kk{Be!gP2cw$|v*p%bjzd~TSE@zynWwsD zTk-nA*Z8gK+xg2SOCMj@Uj9Ape$_gkXP55~aZ7^IbrE%t2|SHH;XOVYM4w*bi25zg zxVK!uw{5q;o>D2+(T2vF-2N;qqX|8g5;oceHo2Y8khrzNn!G88K5hL_>9u&7l$3R= z>bsq^&XyH6YP(X%;kiw5n$o<^7Cii&M`f;H2`1@F3$I%LN(O!2i9FVsM<K%7jtqpU zkCkH0Dh;)h+X*bMCcRLYN04EIeV2-b`Hxk}NIdqj`R}LROXGA!Ss=dYs|n8Dm=|91 z2kceCy0e<|mu}N7;dw`mwtDM4bPzUaD5~EmB#uYW3|ez#GW0McAp_s9W!KKmRLrV> zk0cC)@Ty#Hx?21qPCu5NcbUwf^RfK_4o{kaz(2h5ON%b&eX;PZ^ZXxhWP(ftkE%wI z#EDVfZ)|qBTi!R>UaJKAZdU(RSndi1vJeKu{p!))Q4(B$<N0X&$)zDdCyOEp`9?(T zUKUG0c}qd{6k6^61D1e%uY$^Hx9U9umVmTRX5Q(iw%2dm|E8m4O>BwxuWpW($JY3? zI`cVaB7aUd$fwvLxxD-_U)A<TV&t1T{zKB?(r(!&nky{Alh8DMOm!2_fj^f&0E8#` z5*gOq$*7U9RKaTPiH)#Ye!nEwgq?(aFZ8100~eL5G5R`FF%ih4T?)FxHo`Z^FN2N4 z4yHqc!VWMb#0U{yy^%R+<ag5T@g$lp847`#-H8h4N(k@7nsM-3DRMkr_{vWy{ec2A z25t}ITMxAGsqYtl;<M<bbhLX3e?WM&ZhjD_-XJ~Wy}TJ!a9|kh2@@}vtp6eET{l;9 z#(R2Wxe{Fe#~O@2vCp%of6fK?9AsO@&ILl?4m_521Q;;_32Gh|$7fIkGKt#>68!iV z5tcVPz924&g%_r+|EBh<|LR#wmucG_6JDgNHGa5o1J5_RjktWje`S4m2+X342OZ&h zUt`F#Gih<`XiSERQ7>AZ<<x{G__?V9p*({Ic_NmTKks+a(c>mgxbrD*G*W(2r;GqO zl*<h=7;zJ$Nz|m*77`NM?-dG<6{C+g^5G@+u4FLK?wfN>Tx2bXl%kIhAR4SJsC7d$ z8d*>~hiCu}L>z>OJKmsp2N7u?+9X6PECw~`0#M@uHTyT-Qqg-zyuZf%I@y2IK7?7l z>?%uQ7;rLL-{m(-bxiQ@Wq+lVY?3-F$bIXOOikIF^-j(Zv!2H#cY2^T`qv@mC67zm zY>Jt=MaU1LhV&_~0>JCHI&Tekrk1&vdC`tlGPZpaTiQvA*-wiQo~#h7-!r^5O<7vz zfO*kVamon7-9^eU^e`(1yNI4*cWtFj)_nRHLya)o6e5Q#qR&;zFzPU?2)hW85*Q51 zn%5m?s1akE!U2PK*D1pU!)y-h60MM6n#J1$!-5n6AT{@~$Vhu`vgSgOWpapOxQ^JW zXWq^qessz_b~{BZ)rL`d&r3E<B-H}Ns@zR7e}~oXwN)lwUegRI)};ie5BuohxUNkM zLNi4gcdEs<WjTjLaBJ)^{xF*j`>b|u*GRwl=k1htsWwqcMh2!eVv$4GjJ5SewQs9W zhh)cv0h<T}AM!dX=WE^Vsn)&_VjHbr7=@W{?eLGG(%+Zal%_YFrBCy9Y~&($*G$z% zI{*AtquTI<Z}3amCSkCNepGq!wi}jm?#By2as1v-_iWwjsRt?z_^3MK5PCL!@cO0H z#4WT`<%L9CueKN^_<d>ZDQukK1}bU9&7oq8H?S<YCMh0vdQOKQ-TT3Pvxtc3b+oA? z?)yNKZm4g`?a_pF(A^!epAn%Y95rzTG0$gWbKMnj-^aZ(T7yG@hYmAt<8husfrFM{ zB}O6yzv+fj8d8ynUg$Jjll&PNK=B?E30%`&zY;GR65+sm7Dt%SfH$H>(b|ZF?<d@# z0|3e&_M{!Owr*1gugM3O4vL24jVf~WxzM!C%_Umw*NWDp1Lg#6i9^dV!<O=xc8b;( zKK2i9+wFpl+`fG@Liy{kCELspNRwB-U1azu;9TQ{m--a5lxGjppAd?hdJ0k|kVZo& zPU;3okGF~p)xqoEHD1`M(;zPgIWZ)RmD-28)Z=xZ8<=tju1e8zo8LK}Cm}bm=@z6i zmgOM5i*ug8=@>Sbv2^EGj$e$MI1l2~5I#SRO<nq$kcm~Bf}R=>GqqWeS4mT)g`VmX zGqjnQS4mNYhn{K%E{Eh*l2pyVN!5q}hBn>vDhaAm-lR%_0rR{{oGPt1sl2E|Jx%Ai z6Kp=56v8!Iu_;Son3rVM1QW3&SAE+0XE0==F`+SZgD3vn0w%x0VD<lQi{PE+2C{uD zAoN@lBce@!7NCE>V=>?zs=%Qx_hZ=WPQ)|v(&%q<*yAAf#HH+bg7pixz1s+Y{D{YY zMp>vrRisEpV;nI{UkXRy+Qd`jkI~;6!bcINiIB>+C9MSSd)T;zEtCL_#g{Lctq>hs zr1`2Tm<P*f6zV%<ZVg>pq}}E^WSYN|{jr9fISmqqs!-<RI8%-;fv#{@CGwQ&o5HH_ zD$cRk%qLWZ)j#^e^Cu3ah^RstfFA9a;m$*8S$N^LMnxpgtDhf|5u4;JNaR`9z1Jbb zlkDCijm$&AyyeIPrk)H)a-}`;{EF`^u8)XV;Z6&thMkDkD1HIS><puC`a+nLS|3<T z{^~}!pavVOWzG#Xs>DD<l9KWPR?8AC<wbd}CM!S7WI0>dP~#CAP{Har1imBbh(4(Q zGXd%;eo%x~m8h?&xihh8xRB{<&lqE?>;9olzW$d%{YZ`^y>WkHQwIFLY`u!bTN8-L zU!!1)e<F=UBxmagz?A8bFsFtUPQ(^9{|HN$=pQpAOZ<s}0dA=00D-Wknpm{CoRoEg zShVGP$Gf)&LbW9b<N({TP>;=Xw8vDaKQ2%bsgkt!>^LBKAmGcZSFyNjg1_+5z*UsM zlQVY!33VjYS3)!BqWT~2Xl?jqSAt3@!&;zDlxDn>(_ytF(7axZ<d&YY+@MrPke<Et zmC#x=awalG7Fwgy7p`<S@P9inxXIm*5ZI6ShtP~MbOvzR98d>}%&11Hs!U6sqBiFu z@Ni_JIV#B;h<;U-FV8~DR0p1TdnWn$(uri~<io}iC~Vwk6vFm2@CT@pI`s{5O(i?P z!An`mND6&krS-hQj<dgx{gQwpfR6S`*}KITQHl!_d=6?TIbGPP924`Z@C339cqTKF zLNNC28w3U$zPOqse9CkE92bbY`gnH6NV)uxij0n^GLQ7<RoAoM8}v&sgZ`*@&wew| zpCb$UlZGJnja1OC8xPu9&q2GNKbH}m{GS3A1RvrLvuP7UaxuRyF#E~Q&g9tH7AfQ( zs96vwF}-f6Q&Udg06PIHxWO6V8$#r*%x5IhG3AXgFZn(F-{+^KkT`|B4_2CcSQ}VR zFgFK!_SP}Qjh=!mqE%8;UZC$pgGM5>OmWbNEtxI}F$#LhbAv`wCv|Sn`06+O_NRhT z78>?mx^2*k^T{F&v?8MKq=8mFv`lY#E5MvdGTm3+5R+4g6Ls@^j85t+EWK@jElU4R zo=O}&tm+)p{C$jqVj-p4V`1e(RTD{8nY`5ZB?Y5%lXHH4w~RPdudJ?_zHMJ$VqU6Z zXa3-*nBlAIJa=j3EgytM;<STPyyH)K?|U<{Y3;miDcMFTMm%U}5p;;_Hn<-un}Ex- z9V_%H5?iTXHQC^m{-9g%5+!>!{VdD=8ROSPN?~ZZS~D2%L5AXbZE@STOI%0`tTQ{Q z=2iR(3q7}l_GnlMfkC;7KYk>}B`U^>qy;qlHi@H2&&KEG=0p(kV18#ZuqWL_oK?v+ z$&SG1f%;yqZ-2c3;S^aQKLm<FVeDDh$N+^o2rqi(x#=M9f$)#d+$0U;l@PA^FF`8E z(;=MYpFbJoVGs@n;WEmz@^u`Ud6eAo6u%Qie@jJZqt{V1Y3kjIrS&AJhq9N~BFNYR zRu=@9`6zNtBLvd38mh*!M0~Ya@1eGM9BG#YW3*KyP%jEl1i?2*OLL9oUQUgRJQPDK zmScoYeEqxo_HOr`oPfRlyaZ|oA9tI6g{s}&%1V-AUIw1Gk3nFLuFq_1KXZ;GFZ*Be zb{LT+&I+GG10uiH$Jq#lI`9Sp@sss9K>P%yBWi_$ewN(#bYJ|0ZUX%I7PVqRJ4<ei zyiXf)m08V5`<VSr>Jv^&9QB)(69mriYvVOzyKi)>iYB#6FmZ;tVWbgt5d~nSoiQL$ zc3K!gDcK`RtF#4ER=p3P+;t)kGoEym0}IoXiRlZB#RkYRoS(Tm6YvFQXA2ZmT%HAg zNQYgJ$GblB0+8?QLlU?>^ASk=2;?SDkoB?p;7A6Zd%O{?Qc{AfFQX5UVLYV;F1K<= z$w4Tb-DNV@nQyB;n|&+I(!3saIS}12VKHzb!Qop99*HZW^d}`??xv_ATQoK0LI`Yd zgIi;~5vB9M+Ix=9J^Twk{0lz(3*wRf3%)3RcD@pRcJh7s=ln1D3Jk)ef*rHN?S;Zw z5SmFZcuFMMT!eP96TtByDpxc{u(RO+pX`O;SrF1l(_p{pD3brL`ocgDr5Z|6<%{YY zk{_?A;#6<4x-R>+{Xw^+@|HhB8cEt!&a>f)&eqR%B=p%ZQdoIfbwm8mkl9t=x9trY z1ku3_S4`mK<BUt$0k;GOGng@E;ndYm2p$&Di92JktbavC7;v5)+yH)3I`oWEpT5_F zAI4T{h#lguBc8=T=aPj$=QMkvrrw6^_q4BIC30Nd7^xUvtOl+#8<fBKG;y)HYY7Lk z|Eo4qU&M%J@21}|%(@Cu(Y}8eX^;1}Uh}m%@^SF2bT(u+mLw7EUv~i)Q@gaVp!5IA zjNqTsDC*g1`Ja>R*%|$xv+KI+Vk&N`&KO*Yzm|+KSs!C~S3G7NrM1MmdRw86;u2ZZ zTep&XD+0%o`*F^-v4n$`99KUvs-Iv9=>&i!1RXko{I`e$aQmz1un(1R(3Rr~&1bDZ z#5a}21D2l?t)5hc31Wmm!CoIY9s#JU&1xOR{dMH&ude39*a;uO>f!=FKuoL98CxNE z++THGlh_H1(O|747mS~S4b;-^Ah>UPO9=Q@1JP-}MYSDbC;WJ=hN&>?8+fG3*6yAv zTaf{D)z~nt-1Hh_*hUw+`ws`n^cx{(lWBa1_jLXx5ePlYe@4iC=%o?=mZNjKk#1*} zuy&^Xy>+rraq-twLzk(9a~l+G-q!6RqZ%8II%P0Rs<Z#FkWJw%A&0GdS%JEB;INX) zNCmg$(cjeEkm2&_DQUS%ZtGt+9I#~>`YuUz8PNEExW^Q>xAp(^h|(hD$oDuOQ<ix- zQa-&2&Ro#k=2EVid3jXhJm|OF;?9!4rPD^=#jR>vXqVpY^AAhn#U(=drN+Kvn2BV} zvO+Srlx^t0Gx2nRTV=LO6S?-Kn<3oyczcvsjdL3L<JTn`ZT%6MV$XtzNL9ix5QfQ! z9Eha#Qp|R2LXp9Y>!sMy%Jp@Qp7<Mr7IsV-z6Nr!EhuV5+fbGOa?UU-+C*<hv4~#* zve|PeW}t~>5;O^eeLh0^u!DVyz&_RFvEQ5xF=*>W(gfcSEKOnJ<Wqw;y=+0zfSulh zo%+E}sSM$mUjgV0!78GCn20&U!W>QO2})&E6wjAptefzJok9vC)urv@$H6g_Y(cp$ z?<1>GB$_e!<2JEjmta@FFdR75-mOtc**kaW{Xrh6W|C+|1cRKgOVE&@ORWzi=-NR9 z3Cc?}LxVwe*rlfxFz8l$w+aT`JAmaAjsar_;z&w4`%qi|7)m$)!nw3Qa;f-LG99o> z#s=Nxc9rDBrf2`pD?#+7D~Q{(c3?*`j+fYR)(0Hn#yE8jh19WU2OszyZFO{?sb_!Y zRlkyjXGE(fgCqM5QS}y|^VCg-@d*(kp`3f@p=S)Cn|tA$Oy5h1cwmu?V1+^=W0CIi zXI00zse8Xa#Oof>Y7ct7h^m)CuNUaW2fgZ{hwh+Py>Kq_C+M9dOIrs2HmmUIuBx1T z=d>vfyR^UJ$YA4I%TJ?Y*EC*Q?@B49^HD>G^Q)??FH#93U*o6r>Q|U5MBUahu+fdW zo^@t`TzT@su7(beWzSMq38Rg5uc&zY!h{e-Cbqtvmde`##@p#c6#S~{Kh}e(+V7;s zE9zax!h(V|bY`X^=)(Q$@`J=bzinBlE>O44E;X>*%YM_2P*Go6j730l@SL{bU)qpf zFcGn~wNyfQ-?zjb!Dub?3>rEsK&m#H611Vx>C)-g?Ey9!R#i0dW_4zUlG=gBb!Od_ zbI`x47tUs4>?fuZA8}U*eQ~W&9vd3DTPPgojQbCD5%iiJS+ngN>;&Jo*1<2-?$@|r z)Fv*9zD9ph=1MCxUQHD---KD_&TS&N7>)c=dvBLiC=LJma0#Yt;t&?7En7yl%nuO| zO7lK@*4klf%Ys0Om|rMu;qY0C10_08s{bIA#<TM*nSs(Mie<h$uTWa*?`P>fD7k^s zHn&jP?c%e92ucK?<o{kMO?&29T6taDv-Y~tI5KVR)s$>AAj`BqyeXS&VT+~XZXY<C zXJOi_(^I0vUQf!%o3uX7q#x<oloyUuLFM^EZn9hiWJTe#IUrM;WTFFEX?Ris$n+-5 zQ9xE1t`Q0{vq`4E5uQ!8;W*wPvzsiR2U%nItS!jgCYjnn))Jm%05ZUDvOEvLb3pq0 zM~#*^`cA~%G)@W3Q)fR6<_PIOFK-Lv?nGO3S9=c9X!QkGWcS6@>BVaC-JpAh^XyEA zl|)O%IRzJFe~GK3g0dO(r{O#sQzAuB<`A5b{VuNlSF{#i4O(<K&&t$J9+cSyCuAeU z)#pK33>s!Q53n$8l@)xe&m6xSL|7&@-2W>nOg-29W3pA<;jOt#YTM%!iFH+kMVKCL z2AO;NIG%Bg_{<}(e6Qx@jZq9%iwN<@(hHAt1Y;`mZj)E7A+V%2cSMDOn&H!bG=&O( zzv$QY?<>~R6nMhm&Y`z;x$v)Rx@oKd+<b1_U7Xu^d;vGlwjV88Pc8ZxPoC9Hx(02n zD_)9U^&hIv=liC?3u_#bZ+r$FGW|TR?;VcjpKd*GVlpS&b@=c09B=lox6+b)n6sMm z#)tQhA^>h0c&}C}%2p|<{@)GE`ots*s8@?Mlh)EB?!6?(%)o~w;9Nu57nKs{)E0P3 z?qhgu3|wZldWHOKd3>BMN$PXZ2Rrfmx{!X$`b0$Xfnu68>h|%X^YqM3EoL;c9b03) zhQtrIqCX(pMC{A9aO#0C^3N7-|I77@a|;I)gEme7E9b1G@-mN`ugzoS+0hT-h%b<U z_4B-{zXr=0I?IPoeq-(%z3mzN>3mBIu?CE&fWaYAL*eN19-qYt$srM8;m+vo2=H(p zdU(&ze!S;cx$|95RltYYKy|BKUVc?uj5!9iz07-@YY=C&je=t{v*W+3lKc&N87&J8 zK$=F^?UX>)Ik5a|t#F6gUz=aR*QxS;`ww@kLkzY82?zZN_!a$5=luS<@y_jr*v0Vv z@|mw&tG`dvhZ{$iI$xkZJs4=cx%RI+KkAGO+URb@eOha{*mw6yxYHoHSYI1H@1AwQ zFC`w?-ifc7mWN)onMhg<gT@8)yQ{3(98jX$z3MgiQVe|0tIMPtsMpT20RCq0E1b~1 z&2?rA91V;1N3+YFP+p(Wr|t*Til*LpiI_dwmWQ{(tEJ@{TuD@8cX52T8?z2ePd_D| z)=X=-Z~E^;pU?#V5}&?(1)QQxwIj>?Jf96^tXVWDXHqXDDSsNhy>ADU^PY|v<jhFu zi?W)4dFek5p?Gv!YZW!veYf{nPouWmf|Y5cp+eFWS0z@nK=Jp(WnN&gWqHm^o$ow9 z_=(3tkt8)cZsKR?56K*U4l*k6?>Sd4vD<ujIyzh5ycp#?1zAZcqYVnbbaFpiVQB;c zlD&A$JqiqE<+*Fgc3B|yIQ2_h*H4LCcCjBU|Lo$T{X!XO*%K28hFijB-P)=}=CZ}M z!eTN6&^C7(6@90x7IwPe4H~w8xF7RbuNHS0J|DrhQP~rVXn*kFLDYfnT116O^vbx= zOCy3~sNp?tzT`#I-1gk|u=66V9Qr$SvTz}2m~xV`T6YQrbg&+<wcDIP2bdjO_|IO# zzD&c*=JgQ7)ulFe$qmC&e`g=G&55USO^U>XQJo^!Z|Nkq#!8nqpZ6JC1BMBsCPi-O zS>jHc-~1=lrpS#wOHyg`lu^&U>QdyUES;#<MpC{H+kO|1eETvf=FkpY0N%dz*tFX1 z`p!w>MxUCf4s%pAIbK^!ZYhxwSCEe-#JgY1&R7Pwpj233WhFKi&BG@r^ES|Ziq2YF z8@?8iUy>~+AM7?bJrq)3nan7jQCyH86;%IF;!(cfH`$!>{W6OX6=V813hl}_bHP>Q z&&>J#T5zo^zl_~MlINrlkbRcZ3QvC=fL1j0V&0q5{^M;9!d>pu57$YuhpUR6cW$mH zK6zy+8+;RtxCz*!&3R=R8+zznzi#u&ayI;&p-L+Av;60DS8L^#pZ->eeUE5+(o)vB z>s-8@UA<HDtv>TIY2YAjsX`6FswZuLiBC@2pCvhxSoF_qU%ErJ0!~%9vR;&S&A7Nb zUn0h8Kb@mqmDmaRj9xx2&>%?NfG-33{9@%JOC<)cA<|(Fu_s(<rGq+J7>8r~qhsen z=AL_|WaJLf<jl-zG`vy9+$&T=topxzu3iIj>+NaiORL43@xj@N2`{z=H>s8s3Pl=q z5}5s-g-O(}SA9Q59{_jfD*mKP4tdz0*WEQc_Q9w1>+wu!7u`mzY10EY64KjSR}Ki( zPP`sZocw7c5>)ftmwi9qAwc^nUotx>{MsIsvgv9goltVQ*fl|a!DF3D>?cVsC^0;i z3-1lHTQuOs&J_bi#K9a>u=OT8w_tPp^M{wNQ??&cKTCWxAIt~jB!5p&ps6w`a28Q* zg)_(34`8%N{~)ox)5t10Jqa;p{&s6pqS->W`{3wM5t}&DI!tte*<H!%;nGLY%ThYD zwsgw>4(XtFv4^E}@)*6lQodOVshj7B_#M*Qb6$@YF{JKPt<2`j54hU=G7bsl)eF5* zqk1Q((Bo&^vT43R=VG^Dt!&4!w+C*R`}(jE=|cEbNPs2H3jXVF8J-rnVTn@ndJah4 zWAd%cNTP!he>fR~8iE5XB{EH!O3W}E5THAwdFGK$;34gAB!}mA59tIB!cUPLp4%Iw z6Icj;f$RX=-67vXfCMwhG4#5=^b;W0f@2G3d#AA~`?t<V!{=82S|E{}8`E*o>tXxa z#%j+Oe_@91cOtaVR<|dH>fDW>B8^@II}Et@=60Cm%N_FgqT+?#@B`}W8KbfNdQ8Ri z&ENLzaa5Q0yuVMn^G)wvUZ}RZ0u?bn8=g3RE5LHvYx!T>3O)y#4d7nu1DbZbiC^&S z<Kp;DQ3y&9t$yp)0e72;j;m!P6p@>5B#I5V+oG+;$r!=icFMq$F80xUUJOI|eG{C} z)|qLLwsNMY{y4RQqjuHvPAi))EnnsO`SW3S1;bY$D(c8R+hgN;?c%M~-qQS>Hp-IY z>+G`vj5G;O#XUQ$bO0ryYFBcLouC+#(ACc`3Hob1@{ReJ`JG~Z%*jc;$f{k8zx&eN zM>&KYqTA?DdbBC2K6<nXDcH9lNI)PI0(}sGWq@cPgoiTVsxzr_mWl;iuL})A&0JRK z$He=x^*`O3P)PbHtm&}+aURO^Ja#<>JZa$I+!xA7Nj1$qjy;(nWSEq6&dMX`qhaAP z%FDnEcL6xK#HK9X6||Ru!$@NpypWl&s(A%HuxsnBlr3w)A0b@bw$vVK(?11hm`w52 z%_~@eT^XJ_a0njjeq^*u;anlvjx;NHfn8?b+-G$}1RGUOkcEDq#kR^#18c)zkJ!Q- z#FB-cMG?i?TzQG^yXRJ_n?Mx8Wx9EvTe)tX=T@QH=ebqtP7@WZ#W+D18h7TX$zfzL z$2h^j6J30q=GBmE$J_M3uTrAS?$A+qqA`h^xM8R(Ggy~{4fqv$NnO+kE1b;=YkCli z)A(XPl>RVgfGg;}LSQAa{3sTBwO%SB>8TJJ3z&3x9h|j%z<Xk%(@;m!QikdmLPM9u z<qn==2=34{jS!{V@HT;masS#Qi#6U4QvaLG;{DlZ@9(=GtQSYe`i$ewyr8S`pj%!T z8Pd3S;Le5f{Q<qJz6#(VfrsCLb$l*si=0xYEhY;KJS*?WN->((z$r0N9(dl09Ki+m zm8XH^JlB;WJ3VxVZMdoMY1jass>{D3TMt#oF7s_wZEZjII&dS82}&|qd^a=)<Qzw% zPn-{M=ecKUoJx~UPA;UZWEXQ|em!y=OU>U4Sq;zOi1Juh6Z^?SCacL@1u5{Tr}JhP zflOAsG1NQYQBMtQkGDW(tMm`4aS|V+Q==tnPT|IETWjIzT6FOPe{BuCuFLyy>NdgY z6__M-C1UrqU&HY?SLm^?zSa0@VqxjjylNw$!Gb#9#l@x12Qpo)ES;)@Gn31Ej}{fk zG$jt1yY3)!mpuk#?vg?3{)IG3<N!`&=9$1wxg2Up;^-tneo3jj(~iq5Z;xQDFZLw0 z!JpUf&=@f)n^#C6)07Wn?plV-U0k7%xr^ZSH#24MQ0r!?RXITdr#iA0xxuw4utTgx z%Aoap&tjKgW$p-Pck^@mzB}!?<>~f$Za;MEJhuRUH}CU;U^hV*cnX3{V$R4l0gP{r zFiu_*yaW$5E-X2NhC{%Wxv(t>W4wL_nkzHF1D7WM+{;pDF(7RON_EB6_<W6|anBAj z9T}l&6UYASm7rKLrXEy6#S>j)@?Nz1QwyR@ntitn)?S4Gx>+jhl*i*X-<^};9pI?^ z0T5o+Dv*8U{#`W%;ZU`S6>F|I&WyfN#cWX3uuwXwxI_Lcvr0e)y-~qSUcH~PupZS3 zDJaLL+-yWk199d&jqzr~u8uS^X?tt(iCmMN84OMZLA+Yqkd9L+2*<8XY(%>JZl)?6 zq+XD~B^dbrHwEQL^@fcISSUuf<f&CL8*{ZRl+M{3lm99*nUg^uULee?g)JAFSdxNl zXY?+OA%^<7?6e+M+S>yk_x*w1*7Wc7bsjA*kXtOt=rTuD7qxj;d`U}(;PDo3=QO=k zDs;|?wg#;eg3--6(wYa7r%jJp&D;sx6JtpL%Avn8IV7;^ngC_~dEpq*&LDVr6hOcn z0@M)L{sqw>U=9Il5L9*s1Z$TBpN|!}3@Yex`E*JMP(Xkj0%Q;%g#ZZzh{2Ou1`&94 z?1`o&b`F3CR2E9L6<#_47)-;5?vw}8@IXw%g#Zo&upxj20Zi~TmX7g%hl52=NJl+z zAt$Dh^uey++j7kDtnTV)xaA~r0Kw=1SD%55%+C2(y*@k_5ga{9Us`t83~b=9^q4Y< zuAdfA^e11cCYP;d%55i(<z3u8Qmc89c^wCUm}8<wKVR_VC8ZN8P7-f`dT1>tz4IRv zQS=%NFQYgZ^NR|s5hBAr8ZFcUm~L!uZ<%=i4p7h6?*k99!LuF*ofRw8z32XZeO8`m zhqYc12!}ul1d1Wh1c3nv%!4qs=ZSgTbK{8#Fp=~wnj*>f=Q`d<u27pgiW3L(I!Qx7 z9s<e`P=|mv1oVkN@wyok2M^Nd+J1j+v8~jIQ3%51_O?>(B=rsm<`5`=z%~S^cOlxY zP%TCwxTHI5Yl#>+-y?M^RC{F58b5sk?A1?aj+U<u7F+B1^bw48T>i@Q<X5q?Ir2OY z04EDOH@LSB`))QPXJ)NY$ryo3*u%+gzPn9^mnY?I=Y);DsN``Zi|FQl2|xvE4(~`3 zax2aUx(tC&$M5w*j50WNAfN>S4G5?~Km`Iy5KssKj52uSUVqzqoTt?@tFzgs!~>@p zLI_|&00{yx5V(iTKYt-`h#TU*zX|@<G)lcti!>4yV0mNv1)O`Pz~I6VE_inBfj|oc zY9UYxfjkJL<GyxZPr!vsv`I#`)q+oZPX=(pfWOH*P^TXM+`l1S_e&40=OAu8Lb7#| zmfo2ht1p0VNPkh(veh#aYnF72|H>jrm?2f?mx>G(hFNZ5VyXafx}F-1!HRAJmB#N` zE}A3@@Ge<{!;=rsH^`RyuQcf{>y|FhGK{L@;2eK^nvJ~J+crHPe|X&TZS`%}0{-tl zII+dVo9-2Tg_K8w->l0db~(qFs%kDSZtiYXrMnO6)8p1P_jYaRj9Is7Nl!MBYquN! z|KG^!V(xv&U!{wdAUDJPndEKUu|J<+RM33%Y<$|TIO5YCf;EnA2mHQ>4ZjZbSB3Ed z%EFvpc8i4I5*?q1%?o}iK+p%C_NNCoxGIv$ZGI~~MpU4-`<))%9B|&|u-x`-baUlp zq3B|^YOQ|wf2_R)P#nSc?+Yvv!eW8I;u;79cP9x6y0|3-2<{HSVIU9)9^BoX;2PW^ zI3&0Pceem{Aiw+j*Q@ucUe&EzwZ-n~&pD@i=R4igy*t}n?;Tt&OiK(ji)i2KZ&&DB zzYlkI(G-r6WIj1Kz|PA4pmkukziNL}^qYKH)OQ+pRBUPRu!68ORn*P?;;8eeA@=$N zh_7bem^eB;roajf`!IhD2qp{9O1n2ll++|f9#1S4wjXby*WS7`3EUkT*uU@8uKD7w zsb$T)98FV=gL6c`_jqe6^+L#$RM>Z=PF3*l0vhx3{pT1vOE+e9=SOc<6-x{2pK2N2 z>97_~zL!7rXFVIOpg2q3P3UY*X&CtoxX#i!RQNZ16mdO@^9vWHP~jMho4i{hADZID zjulM3xe>iHzPJWI!Z`*?Cu0lk?X+0WwKrzJd>(%@Cv(8PF6><6>ZFk#a9#Bxmow2L zrK`TVv(@jHzu&L;XBYWYlXVW3J9uI{`eHjYVnuF+VLX$?T;pB!w((3nUGB9dK$6^q zzOUluQ{EWQMIX%X(>Xh(=IE1W5W>P;G#0fPY|Wx-irROUqBh^BJs0(=Zdy7sZgett z(3T31t+<yz@G5jrRUrMTt-<#?f3D`$Xguy+UC>aarlsXozqN%luBuLmpgza^?h_Rp z_V$(za3snhVux2Cwx2LInQ`as1AfE}6P*DhKg?}YlC=I#qtX3!v)=5j8|}AJn<`A~ zpMNox`-qiXj!;{4T&tqPMewm>22|z=GIe02d;8br02noeFIB;UFVELJ5!~1KpI^Qi z6)2GJz*+jF(yVFrNWp{rGku4Sjqj;)Dq3r+xx{oYmj`^LGfNmg){1{4GYvFxHOY+B zWqHVqRi&j&xaN3o>C*QhJbOi%(MqgGulE)I6W6TouK*nCJX~<kIf1qW#V5#A#GbEn z*QuP-=pDd4=djOwbJ%f`HmLlEC5w#IANjp?&gHt%8kx&((i;2y)Z<3YF?ZSY5sc!q zF77<Tpt8i_aJYy)R~YF=KszrWbX{Yw)-KxI{*Bzi-#b<8OP#mrRkeJxPL{umfEyka z^T7yT81Hz`C}sfO6V9jTm%%O7&KLpw^z2jL;>_0tXFvmm{<}i>uv}yHA;FV|q>hq6 zUq{s1keYAK9a2I{Ab48?f-wGoZpJ6m{D(aAub0v358u3fEy%wBbbXL?@0D`Tr}iEq zIR<m(CUq!3MR(sz=>8x%z|otM&*f${zEh`IVLzgle>R&pZJ%g1#PK^N-@(mjd^cXU z#yH+)NTpAhlkt2YXSM=fZ_K!xLR95uG`9DiZk{vRbtrgCxFY|YDRMtvy4hI#Cgt~u zTMYUIJbRHd^Yaj3@mQpv);(wRAYQTEm<Kb}kXl4J|6(9-*@A&dT0bTKLNj}L3q{zn zF+e<L**-e3F!rMIkd8P$a7dNW3g0%-Z-^5!wUBG}`4SIGs_|nHb%t8S7RxK)p%b@~ zQR__inQ^z2{BzChdGW()({rJ*y*lZJkWowJJ(rwW`)E6W<jYLX%rU%XopFw*uUfW> zFy4&Sp}>+xcWmcv&ToupBa&wyihu3Tefa1ka$M?~-L3KXi1cfaj2$I-Hyq^f>j@^O zA4vgCub1=V4YE_%$smW{hvy0yYYb^v1Ny_feXx>Do9#rd{((!MK();klfo0UVKpMk zO-_5+#&mHLq6R2!izCsiynQn~YpPcoMwE!v0|>8E<IQ6~*FoL^*jNe980Z{)k7!%I zzd}ww(~)ibf;>2YLSSOV(#B?1Ex<`=`X{4U$<o89B!G9M$AAQcu&V)0JnM{bbl!yc zAhzJX;-3R=iQ^qI<Le%^=gn;mXl8{6{6ky+ko!Nh@PN?y9-<zLR50)XgJ2{h=9KYT zf-_zSyklfQ(^pr;_LC9Ia9VubO9+Tz;!o9$*Qi6_o&N(zdsIm8+aZoyW6B5mJYmG- zm9CX-H8o<1Q1~a1ET?_AuXJ<Bk)^UVSRbv*mL<M*qT|?rCM~$7dZxonRHD%uHGm#% z_n;8?y|`4K!_4y;o{1c=Vk9s-zS>B2cn{b88^#y!ReRwmVf2&2oT0~_QiyXMyM-U; zgHZ_<uZlzj;)uZ-5~@c9m*E-`ididHe4W>;h3Cxib;@^2e+p!)UHE}V))6AXm6CTP z7T*v<&Wt8Ut^z5G*;~2+Vts_PrtnXdk3BC2^b&MVyAMb<+j~Nw6qX%U240zRB|J-4 z^^`RnI4xF&Z_=7#Wox}(UcW;}F3XjEnI>1jvxJJYo5$jLt}OOaFvq+N6L}g}i_$A( zof>J^xdfdT$3(*38-XV#=uxG99o_89G_qb4q+fh2)We}G2{z!ph>rM#k972FzrGao z`xmVVMlXQT7sU_J)?l<F7)?+Inv|wvEeHb%;!qyu$u&657kDtYS&>{E&l167&Cpg0 z)0Q;3m<QuqE8Mh0N7=wKg-dBM0%{~hgPKTZZl4v7L}<t+M$G<8<$WSW2!1US;PZRr zYimyb^sGr%%NL@7U@*5~N_<p&)>|5s5T3%w4B6T*NQB00G+@y9`$TL^d`ST=1%!%p zTp!uws=8rG??TQv^bnZER?(o3_+!oFRwk1c9X#Vmo=AKX+O!d)M6N_In<x!p2*-@? z@2q~>QzCQ|hu?JlbgFcwLxAMV%sw7P9#ak}7jYzF*=nhBsc@-!&L_jq%VodGy26!` z!@b1AEbT0m^dr`w$Sq99UOM>tc!(vPI)0ol%cQ=m1stnHh>o`r8dJVw?7b7`#K}=n zA}cO^lmIAXMIa%ZdQ@gbSb5M$DofqMJZU^&b>X+X&NL9xPmKBKQ@|8TU9>lX;|m(3 z-6jiAJ`E?*M>=bKlnS{K_xendp&`Zbhd!#@tG$<F&X&?Ee&y@S?a;*kI}mutOPQb5 zf&hQ^_FmVV2<rdn`i%RZt}05PuK$d0ttv_nx-v4{>k9hb>#8jEpldY#gRatnpsqgo zSr4+RDBa8Ykoupj5!CmxDog!GR^UDe)K&U1z9b`q0)n#CgRc2m54uVR-s>v+?Crg- zU^D*ds-pBix<*j{SJ!C#|LO{c|5w&$Z~rSRBg6m5D)moR;9ghh$H*-zO8?cBk>Q`N zrPe&TA}Aw9l(XpsKlmU^Z6>H~H=w@cA@!`CCLV8x|N8i2&r4vxoQu?}L=<6HAs5-m z6+-wV8aRS_(IMw@k(PLbFy8cB+~=S@_hdQ9U>i;@{MCV$c-`%ZFy)>!I_+B?Agr6j ztm8b_*SAtEoAMyBZ-tpwvs7AAvOyK;b@;>zcwH^Aeny*>`~t-rTg|!gi!VtDEp1kN zG(NJ3&JswidLq7k!+NiTbCLE1yrS0X%DjFpU?KHt5kg3AO||65f{wm9{0t1%ehB_? z9~_I1Y*qhYwgI3m76;l*_x8}`Go}uyW_&-zio?o}(lmsY(Pl3=0B|cNnn+9ABUbP9 zp4-Fwak{n>y#@5DJH*$Krirw&eZuxdcic({Gj6n}<3rLTxGrphL>?uFi{p}j9-<5r zzv&wDW(a||bfUL{VXekIDChjGQGU|xz|;4y$iXW~KrJ78TpL>fUkWkY<YHFmwOA4< zm_?OT9vjT^l#u=rnB{4=vM-pWvs0=K*-x<pykGp-mjON6kL1~G&Z3BV-2Wc>caOh- z#hxUqGdc4j#$a)Njbfwa^zN}ASnNoWJ(Dvl;&ks_qX^Vm*6$wsgXLBvhBG<SBK~pT zaOiRI{xkM}NRosr!0s>5xfDE8w^fN;=*!sEw13{?P{d`u`iskl{X}8Y+JekFKZ+Lj ziAkChPs{Maw1BqM%FdsiSk>g`a#&`2#n|w@Vo{`p887L(i=q|g=!n^wmyo6!M88`^ zK%+a+L?M^VBu!4RW%#^OVE&Dd>+Va)=`^C>kKBnvY2t1ByGPkW!>4sQk;Oxi<kcen z4eBVJY{8f#TN;vAswm{UDtkv)iLi{*MN{j7mQ1bu`bN*5EuYh2Q|yAY%(>k9de5|b za}!qeITTBwuyETySL7Xbawz=8#s*MU337!8?pz6S@q3=}z+ZzL=N=<G;9nIW76P$H zB=)3OF3r!!0s^x|B_-$5ptrEpk$~!`NdZculww^LBi96NzS-NRcUniS5}zbj0t?DI z2vnPOKmHls5S0Hqss2VUnAH7*MF0V~!otr0xANh(J5aR#gRs${@Rg?}_pGN?;wBuD zw*Q7o1LJOMM_oj8B{cs^@oB7M))vBiBq??KAau!ZOHwd06XBfBztu)etTqDaW*AdA zr!I5Q-)aN#B9u|op}h@rB79bHLyQ+4)gyD<V}maJB}MqKztt1ym3aR3uq+vH6IN)> zGC4sd8(GvALGb>@k0eVhlW-fSxb$llx4?yoz5~L^9K#!u#j%);#Z1f*#9^mw97`|x z&goW(&S}uf#3}X#nr>rLZF0jLo#10(nwq2Gvxv3yE^n@?VeMc89O&c`cV)?aeh7Ej zV4zH=3xPWiyFjmah}u8l18v5azeMQ4A2Kku=b}m#LO&8Ce=zjQT38?NATjf;?th77 z5sT}4Rl)=I^(;fwCp!I5%9HD)`$^kT3pQ;Fy(e;dN~_f}Gi?u-BPF7ofdtN0-45OS z>(6i#v?q&Ejv$_Rz~lGW3dEPY57-XGT!0hEHy^lz6Ud!G9{%!vrbzb#f^M@V_*ucN z?A~3@8;xLt*4bBg+~QOuSMp(K*gogyzMm>vWL_sBL2pEI>A-;k&&nZH8JxpeJ-9{w zv&R2fc-H@z^*;t$0O&gY&&0F&2M^+9TQ|Wf#Il#JJmh4-c{v^UQ=43HX1ToOA?J-c zw~lX;cAZ2G%>?J_U%`22AXxo_MHuuS3kjO}b%rZx&pwb=h1e>}Ch_x_ha5N?Yz;xO z8ndn+Vu(=KtWo@7xg`sn^MjMeQ=Y&Y*@pW{UuVyWZ&ow#f|W?+Ma?{)<(bO?v;Xhm z0c;_q_n!UZ=S3NoG~uQ^V5&4W>1vJd4QKpce3;Q)2xw>4@k3i&a8~Y*h7yAm^_!|8 zhPL4ajTw>LnKBm|ttK3BNU;1B@p>Qp^BI``{~8tk{|5z&)Ujf-)G^EYp-qB?^*?Da zzwcBUS{PEzZRh-#{oB@hUnhNXo6teTQc6*Nr6cSx?Q`HEx3_iWU2xxf^;O(cBOB^H z0q2Ll-GdK$mXuIL-Fpg<cb@at{m(FP_z;Jf_Yf!l5I4_zdGEN~@!;4L0V4T`#z4^V zibtx-YdxZ1SS2#6;OT_rzK%~A#)JqxVazY;8da1gf2@!n$G+gzleP=&<oiGd*vns1 zO(Udg(4bMAieq{G!1!VUaW@MibswkTN4S#1&!{>$_K>@cE1>ueK7qX-ex0;sBlamP zS?c=`gAUuQLO*zDL+@SILXYlU+$AjkxzIYPRDdqSywYPLxi7s%sJX!t6&wk;cJ1pw z%?QT5y930khI+p|V;;2xH)Z)h==lrZhk7Z-PH29BPhM^jmZ{2QR(YXWoTg{|DA21j zlj(K*=fhapzt{2EHfFL6Q^z(FhGbLCa&qJ&<2RL^^?>PCg&~=49cEw@narl>=F;5U zS_`TMu_j4@gjNYSS?;<!d{u3?N?pX_Is5AvJNfgi|2ys-N4n|OF1n1l?5g8oDmBc8 z<S&k8-=$2POm|5i+>O)7EG&?wwKN3pNcASlW_}x^ktDj`hYLT1ul)wYQ^0V*N~ohi zx2EDJ77x$n4omST5inii0H5IOqHje*trR0>OT5amhH1ETu@d-!$^IBW2Ozm*rwKdd z-{akK5F$Rk2?EzLX;$6sX#44CX+MJ4HBf++=G(-*p7Z~#Wlow~Nr)EnoK|@M-%A<5 zTnB<U(1wb<rFC(?v@tgX%>i*_4bd52l1G&}JUA^DmT%HNRX;#$5H5l+>;a1N;<OZ5 zfw18Lx`6NmgbNRF4TL+^AZ&SnJ|KJ~fvmwg3#P>pBZYaz@adJAeg_;QJ+i(9d>0Ry z5Zp-whi8`V#j`7~S4|B+&}$SHKSQmG!(bMHMRV^c7;L0aYbGS1JhGEk1!4HL&wV~@ zfy)|3bKY@@$C@#%yC>k(HMS~olLm!lU{UP~oc|7RMsh@YoP>WCPn>Ajcu4<qk>H;E zoW?xyM*1xZP(1gVSqIYynBxhBBdHtPY`cRKY-7s;dZooJs8u31%wpMM)TrE8<jJr} zg=~UsAN;Ie0*TnL8ofd)wpaTSoay%Syywa1_)SlNZldy=u8&TZ&g~Dl-l3bJ{hifg z0JzhpDH<eFCZ5FYgoGh;xmrZ(M-oSR9C{<vG6Nzn*#f@@AWZ4m$hdpyMCuhkDZMm{ z4>76=zJ7^W7j$4obZ1L?N(OD!xaHbJD2+LsQd_9QDMFR|i`S^prS-uw*}aIE$5s|} zbTX~dJ^F6;tK&s$q2X-y1W#{wfi9jua%qfhtXd)sMWLUpJMMjuhGoD*kl2A4a36*H z5C!=cb=G|!wGR0gMSdR@3Aq5X+eWGodvWG>RE<(cYh(|o_g{!Tj%`=#zgWl{V=s=$ z&pdtlYA#f-3XTAtg)tKxHS_B^g@%9G|B7F++0oosABdy!ZB1~<*8X7WDTX4KeIUX$ z*#`{qtSE<xf4xMgC`0sit%Bd18oys6a8}@YPY&HLuZ#0Bk=Z_w;F=^`-oxn`5Y~cl z<pGX>un>fERu7T$AZ!BR`2)NJ;WY@!Y#t&PLD&XD3|=s82M!u)+A|;&W}#7}#f-;b zpjV`*e`*#_H~ZSVpS@OtPDi0M#<YzYzn0Rc&=6c_QJbsxzj>?~(K^8ao@kL(U?B=i z-=bMOcslh>PjG}I#TwdF!8>%u40p}qCA~2?!6bTR495dm0|r&_EO0ISpY%chNPdN5 z6#!3rsn(DD_+Ofz)5!8!EfYkV%y<v0vaJC8S^=<jB-BK{#LD=hm;hw1X^TiB@T>;w z^AeA@Y_k|OEZ3lWGQ3eP8zWl)SL=U+M66mJP%ahO3pV0qW-pHv4+F=0W;}Eg{NHpv zbi#CCyAeakXa4-k>N2Qegi|7v#GvB@>se5@RKdWCDSu_XE9@};p|Pe`q0!Z}7B&0U zxhfdwEQlTMg+u__3l?%?yqQ;^_A709L$=L|xho@i*D}GA>d2o2$wi#8g%LhA2i&i~ zj-4;kwhzG-7`-^jBHsSWlFwc@MBzLgCX9S{EE<ki(8i;_ub!9~CMe2${&3SGc<Y?q z`YYARhmA){bk66O3o8nPR)0^dT2JH`4j$FG029M0SN&^uSNozxfFOVKbi8Pi@a^&6 z3S^wR`T397XSe#7e{J>`JGXsS6Pud^OjzA+4zG+(tz3T8Yd1G(rnuMEY5WlV@bk9m z`ZL7lcDuPo-zK<O*xA<R@6O;-KVk6S+UK`*n$18}oxq)q<FTre*xlaks{h35<-}Sc z0F<y!#@_us{yiZGoLpWx*q2{kOk6eHU1peBf3#!}#V@bZ{;1{2eRn)?H{UQ_6sq1_ zeAAkn-VxbfLm@X`^5bf$^m^zfd}`Ziuyr2`5cqX>-n7a)^h417wx;y<^zevcqI5rI z$WuV`hk)nh+Uk7&`p3aSvC=WIiml<L8i3XP`uE+I?rHzc@zU*4cl!-5aAUUidN$nn z`nvn@SB6OS-OeDV=g#SQsdkf)=dtVM%HdKX<fFUY#l_-pPtTL<DYWkXsi&dFLmzo5 zQ*Jh}B`8l@S3-TX=pB>KxdqSGE`A414T(Xn_Ev9O)sJ^Et?stYj&|4nxPQ9XIsn{1 zxm5i3+Y;{D?dnyJhp$WSZ@Da}AN<cAFtZiQMyJ)Tj|T|l$%U;@U*6u$0wQ-~J_X!O zg(X$TzYn+8x5AZ#)g9+GcN;Gat14>hYk#?19{>C}WevR7TG$c#cvD?}F(67s?rYRs zk&SmzD8yH|JQoR=^h*h1v%H2luHSzJ6|$khu^<f8{asqTs48qATma5bTWvp}r#mrG zOmVjsq?x(jE!f?CLv}yxpJ<pM+@C5e5Ox2)pQqX6)X|)Wbhp2?vvw6^jdlJJI2^2) zLUT*Um~(e;?h68d@;XzQoAuw3fUu^}-^=xyuvDR2fN<q_=UCL^HusJ~K?Z`1kAQ&i z2toftMC|bp<SPVxQR6ubA%#HXz@p?aNEHAU$z(mhp(;Q`K-fV>KtKZ{4D@YSoGmS) zqqM9O*<fEvV?XNJPH0CVy-&*@RdF{oq{hLGG*Tx(R4&5xX)!Qp_H@A6%}^Y)TCo31 zdc6@%IJC=-Mz1-Ml_vk0NxJRWuAGgN^o|MRHPJ7v%6NoHUxJB9O7#BT*e|#OK*^Uz z8_Qpa2N^Vbp+j7<2{LUr4L=@h5#DfPZk;`aVfNy&{d)Ndae(-sDIMYYOErqzz7+@5 zNdn|R+CpI?ybCpxHt+8=xz8C|Hnj+!+GKh*3F0^kzRL{6{J}HnDWl9FyPzW5r0hyY zuu3_#p0GqCZ6iDXJdju|jYHhdx&n|SBeN@VQtswW;Mygw6)JPOz&uv2NQ2hIw!C^D zP6}~mY3QBj2row4!(KX8@Xcft4YGXVghGf;>k)b6z@tu%zsopv_-)f|cwUS=Ndf<y zuqh=?ctDia;^RrkAY#xbg6IpH{pk?P{`ZGAd{W)5j*g49x4e~K(p$YV61Y{-4!I(( z_3G{r2P51`o%U_L^klljNr#}$Os`kWNSVtV$cv;i!kOGwwq}Lt6L&PPpW(e1DsNB^ zYT#`a`JLE#(+pVf$NxqAf2dtUGYJtu#J$&+ckI^kH46g5r%?n1vRK4n2nk?sqHAN= zq>1LP`gU^2qZflW4B5|rbz>``IJLoC3Pn@v>Fej_lySZ}UB+H%MO+ZZy^;o8lpW-6 z8IOFm@xMwcGIaUXSJ##pYRNe4auXoWT+P}49B8ukyI?_&?UvVF1J-ZX2WB~UlQ{Py zilU<Ao!-h*@EGmH9V(ZjseJ@ev^*6jI<|J^RU-?<Rb&f9s2WV#I$oG{X*!Sm*=bCg z{k)T+-)*GqsN^VnnzfbCUm%g}V4)!`-B+4)rz{8mblaD#^m#KXO1XfMf8=-+u**n| zw%WfjSf3B={w10xs#a;gpYl{#zp4KUn}Hm*tvbuwFBf1GbxMju;-Uy{-*VVioe}TD z@PXUZU?OjeNf3W<Lrdf<g;TY1CfRlDS>P$_u9oJgy)(TaGjY0*q2P?}Wo=_^k&~^9 zv7qqu_o%U-FPiG7$ug4Xgzjc0sxy-fr`tIi?T!{o96K1gCkpHo8}uvj)OTOSV`T+K zN1g3{9Pj)YXq2zy>rf>KxM)U*nmL6!j;5DXf5nSUeY?vd$NntAHv{WUM}Z1qgE^PX z>tIq#3IgGbJYyHdq4~}xL#MhhtT4B%H@QIlv;^O0tgX$)5p$c=`Bje>SD5{kVZqbB zr^PlLI917jel`~6PG&~@kyLX98jXzumvT=28^UXPId#cRvaZ`~;Qbl<2=uQ()V3bQ zwooiJ4)so|KoYTyCKu<AH}ryn#I}hGg%vlP<A}clN41l8QU$|S%A3Cti&?+f{kXio z^;9tTqp6fNBg$E6hX3K%t;bb|@P^sl^ca5b7NX(X&g9)Y{gBAZJdegg+$URRcj9Nd z3xW25$I?NJt}zZ(06?<4W0-GUzO$e!uhaT!bq>2i{_A7;pYtx+O@7z{qay6ns$;ZW zVP*1x;#F-PS9}MGXT2lsgUcs>Bn9cGC(Pf&m;7hyMpy*oPF%2>#BuEF>CDyzEy*^x zA}cfVGK&5@YM><E-4z;J`sqk@I_d=z-L`Pbbgvu0XlOVNp8$?WTc5V=y+R<g@q%7Q znfyXTJ?9_2^{9M7$EXK!jZw#sl?tWVQO;EC>X&iFVu-OJyylX~%sN_2({ucOw@6hN zoJ2locN?;_tx^)?;TQVHv1+J;b&^$WymY<AyYm_Qy5OfOH`f*A$HY}`bv`4Oa9nP> z$wJ_*i_~3)o-S}6YE%GaT-9~7RjKuIFOHn+R-C<s_<zHBs<rdORV?Y%yu9^SpA(7i z{@uKP!UUGN{xDhe99;O38pgiC4(pyBZ6n7S+?kpo0mbnik-R)OLd=HLVMw16H970- zL){d(G&yQB<Wr&77Sfoclsn}nblG~VPYrK^7zJilM}W|`!u@@Dqqh*woj?=kcc$-0 z4xnx}TtYerWg@~HJ5)(qDZ1FJ;|ydwx+CL9<q@H02PXa*5v_TMZ6f|Xw!b%2m8L5) zd_1hUCf;*#>>g)S`>Z*V#C%9GRj-eqk3YL)j9eEA$cKAJ*1a@z<%?(R`?HSQ`iOT0 zCs>7u76xQ<NGcR_2=~#PZI}ocq8dySO3yC+tYpoA*C0`ouNaXoi{`^qj;}gTTx&if zlI?yU!AjZ*Hw??<=HxB)KX#1~p6}-$>p|{YeX5sPu@j*E)5Q^e^|5`OFt?m+_FwHB z;Q$VmvI=4T>F{XVmqQulqws4b<383^xcW7R#5N%HO0Nk`FfukW_*bmN*!vgtzm$cF zcl_J8pI<*VCXQfKSu=68Ey_qewqEd*m<AlX$`cf2q=SC8RpnPsEuDSL(5m(0J9&3q zI+B^xc9Se{4s=(S2IoZcyXf8x5^2f3&{qNvW3xniQ_`xXj(WpnpySqi5qBNM_qHGB zl?;Gi>hDJ?U%kBv68x>qyhWb7?G}YSSXo+~L57MgWUjM0>`hgsw7dD!&uFro%uUd5 zr&6fl&>MT}_40GukY|(cFV1UXYCE3*xQWc)r=N5OlEv_883gdh{4xs|qkJ~^!*P<u zo!?-Fff_}rT$xYTFgNS%Ylh<6r{&5=JJVVefTAi1vu)F}l!`YwFlMW&4w9HS>i|aE z$Y<m=9V{}?);`vd!Y#hcf+!1_XPOL3S_~?$W4@~p$wZ^)zj^l*tFTR1m2be1NtJ;v z4|@MFAd)g+cn$Aqi@?ECq04&2{>qRId{FgEwtb`S05^L;XAi%s=&@~-x)~9bLkDDT zrA&owUy{-p{5@n{dfpP?xMG}V-i+%XBrc=5<Nnv;`>!X$N%D7b&!pe@Jo|}5ABfFt zYc^Z6?^P^ZX1(u;T63NBMm)<Ws#Qv{kYQE#I{V!uSzZwd6T9MHwSlq@pBTOmf4*w# z<vDjfA^a+2TMnbscK8z-S!FM1VCmxtkd^H@1UST4DgvY(H_Lz33k}_IbFGu4Xy-gV z=j1F7qRO-yJonKz&x)M{$#A}t)Ceh>FDV55`n2Hwz1PmbDdKcNpToCzn%mmq`y0l0 zM2=&e4W;;|ab})};V<68lrbsz^Bu}wcYlyOERy^?P5dM#lP~YJ+=txv-5>b#g@MtZ z&{G*(gIbTXfD))|e`+F~VcuM|ePYCt-*t`@QNq3$*VD+5)8}%;&r*}BMqe|K`c0kj z9g%;9O?3o>TTO}ZS-SN^XP#Yd_D7Lcsk27faW$Q4`)mabeJ75{ToPoGu^VT;c8rWz z!EoPw`6sTR|Int9bZ}~Sns(L1<rau3RtojAwk}n^`Hp}w%$wgF-W)*FrC+g~1q;Dm zUKhk+FPZFgeuK9X{d+7Lb{#WB#U58C=If*m|FRt}rW&LYBU2@qQt<tEw%Ki0>K0@2 zR)BMXAMYVaS=-+4T>R?2`Z`Y4b)jnOSf!ZU*)SE+m1@g!r!BQ1ZK<Y}Z^b~j0UG1= zbH`NK-YfNF)|6KUg=|FWBJaF=L*pzoLVMw_*I67-*n^zab<6SC2igscUsE)Ugu@k% z(BO{gNEqQ%(yC0I2I^1j&<loiI|R37axMKU4Duin-x}?|olCmziolW5ITgu5+YEMT z-gS<s=uGrIjk!SYZ7>KQtJVj;S}@RsWiyK8+oS%H2UKLR@G5Tw7c#|_Bj~HxE3hRP zJVLvK<1BXj$=5u82Tc2WFs9_Mn#bm+L<GdMjKrl(#+`YTs${u5l_M;oj)E?wnZ}<U zL@SADx7;otR+ycuWmBCuokfj*>mnMIm%_|%MvTm&`gFeH+d=$VYV;eBLl#GiY3lyy zzO+;?f-B2(ZAVsG%t#k}xk&(z@Z+lao2h0dxeK`<HkHJCCMC8--bHIFIc5d2%7M42 zli#+>wk5?h622o0Gktelxh$eQ9io<eJbFY)C-rKJyqlImvYjT579}6Rk3`F$j5U3H z6wGtNTOa9m^Y{)J5_ol8BuhM2A{&@*iWfm=L|n=)Ofsa>j7QQ1H*1w@;gZw8%FQv8 z(afozxry&GgAZ-q{K3p}`Ww$O8F%}1Xk5kVlysuLE)G865Z-mtI4Li?r#VyhlI1IU zT$c%))nu%rTR9qznX1ddK4ucK`L?*b*(N?={i0^?b;u_0%+kX=-X(H$K>n{`0hqA( zbZmRiSNS^TuI4(j>%>k-dCtNKW3-YyG9X^u6n-~ips~%t&2(%`oX_6$WN6$bxnHGu zEY;Mv>!e_E<-7sTY<<nCY6>qkg%7zDyA7poB86;zl1(K)F>oDCWyoRYHmAARz2sCC zwbW)C3j;RYLpCvsKP}Y4Ke@5R$K@;OyDCq?WAm5zft+KmoI5j)rj;tIbYT1EMBMzS z!(RdSWQl&aTaM&R_NL-NMiR}O9OJ+7e&+Cf6M-LHhGv>u3-!9hV+{!+mMP7ur(@zk zPCD_J8}ZonF~0IxH+Yn|-sro2)K6IN8$U@F1;%tjHhr9Pa@;g?VxmE+lFsgt7ZStF zqP#+O3tuH3lSnYqUg6+w{IgKc5wfXoS;<uNAwRDAvfrV)>m(pYJ`oHgVULe9{nmAo z&!a(}m>-u=dTh)%5vRe$?A&5lFtatprJ#QWzjnNHaMEKY`Rk+y;mR4RB2V4ha|IHN zulKQJxN=yz;*ILyRYQw*NpYJ@A)7L?IfRWKYg|D5Z65pX2-mS{Z<H>trA;VlK){vx zn~$dLRv`@mR_-YLc$}wWac5>*Cne{b2{-XqX7KCfcr#uwS?UGn=}6p<$v9%;7uzpy zgcq){8uUUoNkTSH3-{IF*9StWK%8k{*GX|#B~0GuWHX`J^yJzBnEd)<sxtqUuByDU z=;q?RXn4tR+-)v<(-%hswa@avz}IE=<b3v<w2)}^A0BF(hRLPcDe1Uc+``&fL?7!& z7B=lYnrA7va`F%1pRk30XvxYMI7tsUBy>4^5>oDee7Q<`SaP&~pv;|P4lKtL8)str z8JfLX`Jk7xU=AMx8&9~h8DLnCD}~3IoWU-89>=j$-$351WHz>U`GY=$oOmSIIio8( zFi)3TBjO3(r>U<cA=V$-$4snga@Kb3-QDsNyBxHHl#i28N}><sREj#_Ux>#}58<+7 zbE`F0zqMv=;vRG5kZ@r(18Bv~MN4;Geso5CQ*l<~m7AEc`L;35+H0n8UejW?F5wv7 zA#oX1y&TPuO{3kJIRTl$rPG>A&Q%jQXVv7OQ#>)@6=!l0`^2WkBG@mEj0L3h6S70< z1>|$UE-E)3XJnqa*Y5vEC*N%<bptuXBy^I`H95ZIa$3C;$YlrSGCb<fHFKu-vsUO3 z$E>+?>JCE+JD*X08SphBlpQ!G2{BH|iAnrZ@R^hT%v~>Mg*)dGJgltg`7v&$BcuzR zi~Gc5NX5+yHuSkUvDplKh-1;jW5rhop9~8;<^c5z-jGcmS!Tkat5{-(<G<INrNm>e zWzh&~;UV%r&vt>_W)pagdGYn|jU%Rfrj!u-*bsNl+e7&2{zAr?;9#lb9ULhq)f67I z60gD2zqFmVG4KBupYsEaMh1h?nEzgy8#*{LgpS2+afdtB&y73O+~r>9cU7ufJ8aL@ z<vZ5hnb{O|Rl=_w3c;>Qc3UhcuCGzTuy(!oc;as@*#G3Ya-JS4OCOV%{U`!PQ#VXP zOtN`A_fH&$7Ou@N6p6<eWzpv~nqGez`=RkskirCBScUcRYiXo5&rSQeiA|PxrTF$f zCdPJ@B=&{V7%uUcMsf2wSKqCb21mO(GxpTiNmJ?xk$j$^!DG>br<-gcn^=~4jXN5p z#OD{hB|u@9gE2V9Q477d+2)zs63l$VopX9PH(q91vy-z{e4881LOg;hi&9-HI1y8K zE3Ti;ZaF?~cP^eCQ#CYo$-^R}Z}!!=U|}^yEum)UEV)h49PVUlKgPZ1{@Xe8E_XYR z-E!1#zsk9CF<{ZsL4w|BD~o-u_*A*L##Y0t9iU@ZexpBKRJBKQ4x2kkzRUkP8CPwh z!M06NvSb^7N5rVCKV6W2Ay~UsF5<Dzs*Dz5nwW#4-n8l2kbnDO0vfVOuEn`NSLFIv z7fxp+Jr=h&;re$;-l)*?%DK3dz?VDcx^gprWH-0o|L-+}mi}}JyYNzV$rA3}<R&76 zAlUyjQXJy97~<m?-s(^1_x?F`zVW$*Q-zqu=ER4&z{9RMvt8j>DORj*&fW8&`DZNt z-!urznSYzP!Uwt7w&c6YQqeO*x!KHU^nW?7wRaK2s=U}Oh+^AwU@%h#{k$gM@Oom{ zCZ&E}VsJVKG0YUEpXV~*Utpxir$^Kdgu`K&T9C}&e(XtK-Wer1LW8@Q_4!ijux$`X zV1quvOMiRH7ky21xcoW&>;;dP46O9{{Mr5NNt%Nq<Gn`&I$<RrXVq(Uh+u;k!AsID zmhJfq9X3^ZP<3`s!dPZVW^@d@rZ;-#Gxhei%1Tb(7b0Uz#MU~Mu{zLm*en+?s{(`V zYQx&KFoQnNz#y47PxNcUmR)UEvUGK))7X8lc}fVJ%uvMzUw7H$_N>~No9I-c7(#1u zR8aQbaz9T#Zwy}2j$@zwOAOmBCu*PLJx8#r;bwx*xcb@D$ak6m);bQMI?y3PO%(Fy z&|o>1;H3b+&UTt$?~(Tb>_UK;Et#_k2b(}LyI(HPTDyKiz>>>1b|LyF;%H)!OvrP6 zXSQsXmdHHR?Bjq~b|G|o`@;=$9fvL>=+OKumyiVsjGrAQwvIaGJrBhb8$#0Gu+<44 z>D1Br)29Q~A{~dGn3^aEkl?|@XdpqsYA6tzV-$UpNgc)EP+c7ZEI<!B_-4T0kqQ$d z@-l#`QX1FS@j0fnu|1)_397!-@SdTLfsQ^D)7m#YTeiK;U_}2BribQ$+eBxNZx1Yi zpbpnWhKr3ugTt>2PC!%~iz;rU)HUqh2b-3`42D(&r?<wil@Alc;y)9K;j#CB=Fx@F ztZ?d^L>WPghf&4X0IRNH=uZzXM{5WTL{Q(vdHq&QrolU0DwUn<l+&-;142U&z9-E^ zyA9dlGBy`x*lT0d=D<A+u)VRqR1PT$>~^s*Se+qE%n9=x;mQ~qO!_J~-RJ9vAaW`Q z%@m&gMueWo$txlle*;X69;Ux>%E4w=%I;^y8$)zsfeMJL$#o51Nx|X;F@yhl2B(XD ztA9-9MI7}rRJ>~#v9~m%d!%ESwhxvd{a&at1bid*L}Hw*`X*D{Y>)Yj!JnF;XZF}b zGEMBd*!q$dI?L=JG|e3P8`f*p={~<(dLjp5uC+vBvDMz;`$_C4`4#RPZ+O{`COQ4I z-D8O6&Hz;LCB$IeUoXFkbfcc~(?<O~d=#vkgrx56jgBggixaGCfXoXKqk;zOvB28j zK?zWNSfRlgLa_D?Y%3N&w>Vf=G@IWc-K;81M^6vd+XfS_wP}Kl>gnJMw!^x{ZOCA6 z^mXuy+hO8|2Mw@l@HIsTtP9hYABxYx7AOhoPZJGmAEt$5!k%}r@dU26>paJ6&wJ6u zMjW)zdGG>~$;aBob{o{M+Y;-oXh5V7v+eTmqB24i|85bi8%$CI@tFo2v<_>}6DT2U z-+%@$pTgStL_7&0=g?qkWTN(-S25UPD6&xfCq(V<-o_C5;9&-5P!hFoNXDSF15}v7 z%Pd6g&hQclgbg#8T9~N)kwO>SpRtzb)luxh{DzloJ>xCU6QbFJ?F>br=G<(7sfN%M zv-cv<EN=GTUxrW`mrE_^99WKP1l9LP&(zU{WL_9`vF*igx4bllWd5?~VlztI?xeJY zWcHYMu~8>(x8PWSKK5N~EI=|l6ci+o#Tc6TvrZez3BE2df@YSyH-c91umz?WLus~& z+7(<OnQ<OnY%!^9kLP*W>Yug1=E)Zw7e66FGTqRFmpo8d{0Z@)!B(%?{CH_=u^OM~ z>NsTku{WBv?=R00LozWqgO}bCubHD{k|+l+$ue58YA18BH;Ms<Iu7xDEgNdl-Xo`s zk=Xn1iC|i_9G3@c(E&?OnUo0{gFp|4{+12LnD<zvrtwEh9?TWmjkSg`?IfCXe(H4t zPKR=_44m3~9M_MnT|oYc6-?t1f8$TsG9Yk37YBy<62ay$ITt!*^o;J7EZM=VJVt%N z=iLJWz`^5Z`p#7m?2R&}Iu7}xO#U@5FoR3p6T{{{=s`<8zPmezOtfA&zIf%}*85!i zT;o*F!ELPQ>Hf?3(&bJDNG3^z0GU=jN8m`YLgwT8m0sBV%^#=3@?7tcVtGjBb}BJJ zj$7JVq4U!Ld=%CYupL~S{Z*ewP?VMN8_SGhfObJMI*NIBPPTd^V?Ag4i9DYYH2=I< z>r@ZwAg0_UzON-1+c;VzlT`I07B)*L#(ozxew#`ap^GR2vg2%Xexa;UKM5l~+11Az zH-3%HJtf>kO&h^;csA6skx-$CIRA+&a3otI(n^#GK1CU#=RjfCtUjk)?7xI5b*$CM z2!QX}c+{QH8lFI1^LgZxbOlzUy6MppiVSA^-A<Ilbu#_tPdDiT51{8Vm&QX}Qj7vF zQ69To%B@2@Sn-WzY=LhRi{qwCO#SnqX(j9xYVOG6N4W8hSi{4Lc6tJlV<sJ+ndJ24 z#pvnDwj}9=HkdBSR1uc4lm#P3UlGc!049EU9lZRTB@qiF{CvT-Twhw2l&Tw&PH^LX z{-Hvb&`XD>5F%cnzfW3v{&RQ-Q$jGl63%1aDu01aw7CfD_48xqi{Ug_^GWT~GwJ)h z!Y6jd*b^f^T!JAQDL?FtAsYK6jhn!e>e<U<#=jAq4ygVg`QvTq_VX5}A~3_!4*)<3 zNeH9;@CZF6e~DK~K?vS%{fs-MZ^<bsU0*PXa=X=DIBCORl=BiFlU~Y9h@ib!$t+2b zN&?&t9>p#h?hh9+6iX?>4;%c(8nmR1^*AnpCsX11i?^AN93qug)UfL9*{8Q(VM}=> z^76gq@#bib{k)9-D7PHhK-+7~fE^I})=ojoy~nn6VzKpR`g0pmIXQR?;>%$gpVH}# zd-jl<4BVb8(*~u-qqz3F4wE5t9+7d)zofXtO1MZXbw``y9+nqrg*-Z0hw@)8hRM-I z^^yzl1*LvV#F5TQ+Zgge{Og<K#`bMSY+m$v^l6$K4k|mzCHayC9pyT0lr7-b7^}dN zKT?JE^oVR0+PY{#{H(Iwhf0Z*tG8X@J@!w3wqVXb$39B~;({;v{Ly>xvDfX`k8)aE zIDABy^Zr0x9xuB0`psB={m$pG=ZBGn><sf`yu?b0(e=eg3#(^SQd)5#5x4cj`lG;{ ze;5VLG-MYNZ~s!Dw5|yylrjT^lG<TXAp}Slkx>Y;k8HkYi3=hINAIV#B6jscQ_6K4 zX<ICX;5{+If$;%JRO=|3)Sa&iS;i%8hwafL%P%9-jxp%^={M}Z$W+voj!Zs|mHKTX zfgEdm{O+YXBU~5hsJZQ_X0YS;gLR991(WN?z!J3X^HQm1Fjlk8vneqkSO0>fVgwg< z8!BIZv4c@Xu)g7oq25cH?5)eV*7n|g^h=>(=s=DQ=2{UGk5L;}JWb>?cr3r&W-BzF zhLue7g?*)u-?l;M&mNm5S3e$$+E;5E7KprhEX+Z?DK>a(N)^Ab5PAC}4BmRhOLI8n zzfLYdEXHD!vCYszGJ4Sq438q%k8@V)w=fsHHNo|+3&h)HW|LnX9z{Af4E_17>}8Wb z0b#9b)uSOCn?zS^X2voFDL+S8t=8&+1459&^#&6ph<&{>Iq7HF8>x^Kg*^5B^c9Mj z0^^NoKf^c-!|&X9G5y8{6~0dkXkCSMvLlEsW6Pv_0|=zwG20s?0>0b@B9$g>8l3^v zitp&{D@}a`4M;WypiTwn2bIumRVks5ZKwnMyP0?#7E$~H#^67g96^WeMeqyIw9~0R zksq<C83=Di<0&MbZ<!^C&*UVwh~~H3YU7C(n-ZPPlwRH=-&19(E%m=1=bW8sbBxct zVcSs{8a~DnGz<-W1C(Ldla*f}W1JTnJOA+(zYLZ`KR{S38#=&8#b)dsW_X0%OG<XJ zs2eS|fF+b+(8|fX(?xnlf91TWsQRQGZ;|~Oz3cBq+f(SS+5z^!lBSoNo%8Fy*VP2h zPwYckMgj;@l=jnk(NffyU$er5GVL~4{pjLpwxL2V-uHN*-vKH^2aS)1dartZVBaZm zr)5J^V)sWz5VRG_)6|KyRZex|P#bCO4OG2=e$wC4i-z$uL681QM0X71IKS=vOn~pK zDl8^J+L&YZvJknk<lVt6%Ak^&KyV9*5{E!ZOQN)#P-rWlin$^1xyB&WUE`p7`OP_A zW2T)6v$vBf$pP>jb6@x82%()x>$S8TwAUM3#bZO>2V2zxMu9|;&!dBk?p`!6mWt?} zVb<~p_d;cL*bkdoTCQ@w-I&pz2e{C*&EQ4)p|GDGhVVm$|Ae}Qe1iH!xJ7-7NaIeo z)Yb3D<6pV7Vx?&A#am)`$nG@ue{TG(pJKQ^UlNSZj3fixOZnLGAKm88xAzcSyjttA zM6IIg{rp3vR(h@H3{BZElquhadrbii>{?SgKZ#yASooX9+5dR_TS>RxJq&A`O-#7G zf<iNhKPh|o3C5J}&-coke;-Zt8#~zfk{E<q5ZPo7gg=E?MevuCv<`Ae&`ZTJ*c()M z3B=P}V-F|+OeUP(zoiMdUbK>)lBbL=5u8FT->?zd=dC)kd~qrFFo1o&<d8Tsf9J)Y z8`~p|SyOP9PC;fB`K(tRieVVK^WCPwJ*;u9Owu+Zm7Jh3Vgt|b8Ha?fzCsxPx}bs% z5mWT3Vj}`m<THjogqVKPPP&%I@tI#y-{sSaksuT)0ngYOk<<!}VKRv3QA|-E3g59s zK_+{7zD*$PDO6=PA?$S|jrri?qiq{X1r~nB3`Bv<>SPv>iOr<Fst)`7b&=&V7%5LD zG6Dq>zG$UN;m6u)r1}KnqZ4EX@k4G^Q-z2FtvsjXuBS`nr%)P(MeX>^VcJ^xwdd4m z)rG`tz;jf`JY!fHvLL9*x^8TrZY9nvt37@aB%}Zp+Ukw=oUrfv=&pb1TwRTRebe4$ zv0Hi1(RYv1$hJp6(Zhqff>XIJ|6mk?_o3wk6A2pGol3=#hx0P<*tK2s%SBKk(PJ|U z=5N{kbs0TeDeUgDlOyN1Qn9d;ow$(FFQk(P@@&1>S0pAoa0dD7%%mss6uH^o{M=O} zBEjp&GcB7Zf5ISqaMgF+-4}SBqN$3P$Y-Q?+Pk8MS6BiQOgB@p7^u6P`^L#j(2uM8 zE}j_Q(mP}D>83)e03Qb%&h%bM8QEfMi|^o2w&z<7lF95C3F&D$x69kgIXg*>a72n+ z0Ogrk$rJ>)HrGR#@@;e=x9-V?)I_8{_xc)@{f~`DrP(MGkUErENW6^<pQPfZ+iDP# z^NV68yUEtk(cuj12`z`u6H9?TUOdX7znTxZ-kBmh_)Tm^QtM+UjXQbPf*mtML=;C! z*@Fr@T!?BB#m&w@({5{E6_K{SCFqPF2tR_9jt`&IV!v(_@{*j%JTT4EVjS<IWIS1G zEGeVpHch2Vb=zcfp2srt?ah}V#Zve8SsoDlBC(6h#!PClQ2C=saiJ%ESu(H2M@#y{ z9r<78dUUT&qF4E<uhI+EBw9zu(Zku%<UUMZ)B1C~;(X$e%z4!@a<HBz0#M0o0?YIV zrWy*{Jf;k%1MCOybTEoYyO-ZxFtJHVu}Y4nw(Tv_MsInLy5s&@){w+-Ea~wR_O@g_ zYTWG{(h<9^CM+JYI}Sfvw^6sLoP|hUW7G<=O>3syeLIUS<F4WwEgh`16J+Zp8p=#+ zW+@4s7_PZ`$|Pq!hO`N{rdiJU0^sV4cZ!D*2_qVRJ^U$`S8o=jN!wYv6dvs5$YNHx z7I^1_xrrFgIN0~JyFKCL3_7JIX<v8Pb4BuwC@OF3dOdKVt)S)2sq3jz8FiEJ_2lHc z)s$uAcQM*e7_3-VX_c~HkTR-d<LOE%Xl;@~<Ud!v3NPRnP)~w7<)AfanE)QitAp;| zJ>G&l0haHlW<F4Cf8q_tIG;v5O_)yp6DahKa6DIm)25`y|8!G~#s@3uq&v47H&!*| zlU-3T%7H^yn&jQt@uZ5p9go)vz0CW!R9ps?#wS(PsK;4!^{s6-OdLBc3?HILl72PG zDmz^;(Kvf@JFR^sKalD|310$Q55n4~^l6-5Z;F;Rw&gG4oAl-dL(%h)>{V}s-4nt) z6n~2)J)YS~i$SqQPDbtbA&!|Zzd-vH=V_I-+#)rY5=IiUCmCS|2nfaCo>=)sYbPN# zKuEIeW>e8mm(JWPC#%2COO?_Kk^W+!lDArQZ5>=nBW4Ygd~4&T6EzDskB|^l4dqQq z18Z)AmwN9KiKDWPXlKx@Fb4P{SU)TfdOq42e<nZs>&laj^)!u#E6#SUcqFo`Giz7B z!&jy|te~Kdn$EbK*!o2R%~kt6R&;nens%ybUQ6(Yh1z$seIXS?6iWl);e9Q?Rz!TJ zd@It{zxKEwPJF+!2vdy$T1*Kg3Lpj4hZ4Au#qolkI>d=ib6ZtRfS?YB?aS#`V>l`I z&L{I{tX#b$OGmcNm5b&3RXh&)1WoQb0V_PNN4GYw{v>(z6y^kfns2Wf;Jiq}Y{o8G zr{~`ftF1H|{qyehSzUo2$A+hgS&t%jlvs_JiPQKM6UBC+7h<e9Ku20|<sg{LD}&|t zD)tSF$e*fm#e~0~#TFaWjNCTy#U|6sZqg>}Z;T49^k9uqG02!Yta#PBd{B4-s<W^Y zRut-)aHGquX00gO3%wt_-;>bHkusT2f+M5Zc)^}9^vqFY)=lB|>L#ijt-Nb7-|>4j zwRwv1T^)jmMXW~&@KkN8BIQNFVnxe4Vb+63Cg{Pcn7*3qPkulHRmnxaeZqHt0xL8= zFY*iX(HlH1dY`!z1bW)9emQVaC@M*$R@X$PK<V6aWw7gnU2r|06e|6AhrEy0wRO7P zd7!ORH2)liL4n-0H3(T*oJ)K6TW-H>E#R<>-orVoXt1al7%0{LhRocFw|K1ZZD724 z?wbpBB{G2ruG3W`vLlL-P&gg3B1E};z2@)@Ni#)0(Nhvt?m9Ek_Nc5><m;j|SL%fi z{q*fe<6_m>WFLhM?JV?8FBJ8=K1$M8361Z`q*Leph&9ixg&KXqURoOyN^ru9ZU6N> ze8j&%r0RF{PvDwCA=<Yut99=&jN=EhV_LOpPS~+BV`r+aG=~Ujci|tEz~+{x>ouL} zOCM6jgsc1bF)FB*OAorMuVg%D1FIKaeb7Yz=#*y`#7s@qY+I0VxoBNh+MaHTNJP`I zutehDj9Fpu+H)*{+Kl;Pelxw=CF;pxqS!}G!&c0*uPwk2ZyshDnOPMKt8$6zPVE)t zw@;^+S*9#()jud0t0Qw`_H%C$W2}AY$8IaZk!PfDHYH%f3RX7jb-;-6^+&CWb6ra( zA}Er?44&c?&@^w|8V~UP*%y$3htc`T8F4qFdZ0fCbx&RQGdEhIbJMXD(wIbDGy(5B zHkw(wQa@{;`Pn<|deuM@=2{1713j<Ps@r6h3q!b7i**}Do6pQro8{a6ao%1$-VX;_ zt}lzWo+r>7X(-H4<-JncDAxQ$Fd&a9sj)xI)&H5|^A?0V?YP4N<CYM=P+;N@dSm%K z6{XrI(jmMWJ{dEG@`8L0`GKm%#1ksSWLx3Y1mjk9z<b11Sa9KU({6_4s_zTTwY+i+ zQtn4p;KnDW(YVa=vu3S7PW~_x&UIKiyYW*#RfGu0GgU6mq~XhxIUjfI61XROx}=5r zObYt1nS@4kST{Wa7N|_k6~m;z|N7kTlVF6=*wpg`BL=0W`*8XF7W2F4X|-#q<=X)J zKWhQm1VGY6j*mChS(x)s6DpIv<8J=$@4V_!R`(!wd8V4hkGly@8Y?GEMK73~MTGMP zV;XxH)C3~mYbfl-lANj%G{%wR=L%^RS1&Gj+xCeq5o2hB;*jS|Y8)u(EX-HDE3H`k za^e`WaO2Tz+ofUak0PH_B{55(MU7zt?pU{D3MfIcv;SAu<{k}o`p5B!?=Xn@4r3%t zn9U`Vw3XJq$*&7B{2IAU%%H`Hahbx{YBKGxtjjbmU%wQE+7P~3*EOkcXuC+EhTM;o ztY%75QP`p1o;|zIInQ&R^ZvY_+dt2F&Urqsx5;w^w<x+e=S^e|)7tVXUXNUmTelFm zsbjC2$v0K4w_UrL$VAywh+!_Py=cOkdvPe;op4wrw^(!x6WrEDq~w<}BIB*SSjyYp ze`J(|zZe{kEbkFDj*>z8j85#pQ=#|Ez1(TMUhPA^9Sw_^AcN?U5Tvt#=NC5+>O1q& zcHt#@JW$Ph@Lr`AV<-$c_l<XfX#*X$w$bjHs`q>iGcOqAe$Y<pwzb>rTQG2C8fa~M zwQqe%wbtv}F^?Uo_vafKkGfG!qkJ_{|DE04;Ybrl;k`SJ_Uir8X>_rGe@OhF-oQ(V zkNF#QCmT1)KXY$tQ9Z_ucxofjgWFGeO3gtm-Ln|?#Mo4Ee><tm#*S+}D$5IQL_=r^ zrum>#1TvRwV>a;!xp?0(6xm!(QK6e*owDih&ZrY~{U@zSrE^Wh1@omKL@F&j#hXC2 zk^Jq05Snz*K<Y%h`^;4N^A{JjqVPe{n@V9?%AwXoRi2U6RbDo^^hSGc*PV^}`0`^> zX<_86>TVAMEMl_4Ynfqi>K&T!gWu#&di}4?vYlr<)LK0boO9?=D?X*c3u<tXJoRQT zawP(uU(CKFP5sm&hj&~xf<f-{n48fT3Q2)5b5BhAltBGvIFfZM<CkG^Vgp1j$T=sz zpzjyD6ot&Y@XAH4$Bn$_Ytd~vJ{xt@mqL){HIW-K8KCn^pYv-9&PU(bN4Yk8NUh7J z;ChKWGj5*ukbm^H3rl&5I5#{^Xiuqs(Y2hN&1G4&U71J^4Xa{9>Mh#ATxE(l2&p)u z%6yhRi=VlIwrGnQpnanLTD4_;gvSg+Ek9J>jWoW4w~uW;QadKO)qwwl@O+TaiOuq= z_+&>Q?=MO3J^Zm6o#$e2mZ0;-FDAAn|BA%6>(>ZzG&1zIso}iFfCQ8t6K-*Ync>W0 z2D{s_?xH0;eEQ2C%h%_!$xWN#;a!&|)4ufU`ck;Yv)`LNH6@4#92@^@QT9%!-BaRm zq4#hLui=lX$M>~WcKUB7h_!QPJ9mJS>5s^Xc4qz`O}N#chWN`$d#3}wEZpY%D3s30 zG*7aSLiwHX!*d6M7`Lu!a(d}Lzwb=R!`X%S8uS}r&-4;LuDU-AIVv|LeXd6@t7J&* zq$fitoR)BUA>MvxXCq;|QhZlFWZjWK7~+Ait*?2Wh(;c;C`B6K!tR+bJi=kUp@A_! z6c1*`wn$PW3*NHk2xoXZ>;UP9j8}ke*bNzM>GBPDk>>1Km=tg5G`%s?*C&-p+iR6^ z@KB8k5}=#<_@~<Ck!XL6?D`qjnYtb-7Rf)-aLKb1gPe4kQLGT2KI#lxT%_`HjJ)~; zOwQh(b3&SVH5SR5nDb*_ZZZPwa(sd)mz%Z%nfEGT_}~zpuPpKj%65(AV2IQ}!F*nD zC`RTHC>Y+fCjdjN%h?LS`L@r4y)p5oHEF{Z9j`(A+;$UNQY;libPv?>D91PcIEMQn zuJ)89KxX{XmY!2qchH|#-XR9Bxl#p@>e{o;F_V{`=nuE@=~vN4{ov!@Om_+!Q<(Ia zWK`VN8=HYXrACW9k>y&%9g(@Vgg_%i{x6DlGk3Su`{MbP3ezZV0sd#_w*mg1W$(f~ z+C^ZeM&lGU>RIw)JU=YB9E-@wB1_^1ruMgqp)8CewsR_wXPC);up8b2cUk6c659g| z^uA5UqXfM-khAwai}I+&O4FI<VCC+&#bZF;DC;=JpHIpoMY&@fRWiI5(mMvg6S?u2 z-FGK+=sNs_GmF9V<5{4%r?u()qK!isqo}F(f1F;8jtoP^puSZ3BZr^+BIESYjuepW zVqjpie2d3bZ)R21theEI{y6jbUiI2N+~88qc@2Y#2ytPadRMGD-fK&<lW`tNrb=;U ze%SUgtSqkm3K+RItMBvF=@w_$(JHVHCn8y=j%D3S94_{HfTQn*ZN3Zi7^yPq*IZX~ z_?<9;jv=5M+C)y*Ok^rZX0jBXShSCg#<a3Vc_;c@u}w~j1tb~A`kC}yUHzTuZgzrF z4709?5tGWXS9ik>ZD4oe?3`h9D+i&Il3BcfNo8sTf7DUpv@dq)>-&x-aePBCMAZxl zC(LO~|1E7BDZY8uyF**6QlOny20`z)Y$6(7>pGhi@_U9^1<@{_csz!vJx8|C3*2gW zP`cm0c;x#I<A*M}Ypd^S1yLu|e%3-8U(ga5x2Lg7+Ljgnna*yH25J;m=mkio46s)_ z3=BY7<rzvbfRD2JN~-&dBiF}!`q!XHkTM9^sjNu8j@9sG=l}@pS{;-H0(uIs9zhfw zpbNEw0M2m5R15FC{9FNr3R6X)h;sa4rO0UrR9Q0T@rrdlgv9}x8|28ufnMQI=rMVy zUS3V)b)|f@ilALMV8?eW^ZgsA_}k?AMDZ`U!t=ly1-#<ld`1;czBOIR>ej3*6OM%b z%ka-c{?&!QHf71)eP0hm)&w+Hk4e!4^b~v102I@wxDL|50m{%RO#r(Z6RyMrnAMmr s^!s1(vlaQnS9u+T)dF-^-4<GM*;eKMGd;ins?bp_08|oza#RHMCrt$x#Q*>R delta 242820 zcmV(zK<2-ts1Sjt5F1cS0|XQR000O8I8aPWmffnE(%1n2BJ%+N8kcd`0~>#MZj`zQ zI9%V>Fs>6_qW2m^^xj(#y%U{i(R+(-q9lk;L??(YdS@bu8KM)>M~vuZj5-YS$?v}R zzQ6mu_x+y#f1WjKt-aRX`<#8Ay;nQunP;e(sHB<->)p@AK}hHi6_J(}3teiD49{AX z2;L5TJSM?q)lnSv<&#Lk+z)?mx#DE(7--RwZcgXccbWY&Clu(RcxBK0p7*lN4=>&) z%kLJOI!o*aCvQJ-0`Vt^R=v1tjZS`rV89Di$>lJ}CXw5dgO}UuQO9T7>wU+`x9f+p z&BEiVtzj?hFMEZ_R?qwQ(ScX98^^77Hn7)cBN)-gXBUbJs6>`YW`%zPzWKp+xYa(( z?WBgCBIC|r|7|t5VzGk!?eB`bsN-bx^#mFjEoeUY(K{kKq9^?2l~ag+lu5rl<wS9j zJWTJRdb?V&=+)jh=IE#(dKRdBwzmjI&d&PU_D7x{Y;;!Z>oX^8b8A$u7Z)>{3*I=M z?U~y}McHr6?o}$92%>+1@AsCtqXW|t2ZK>Wn|7kNt8$G|VQ{xI1aKQ&@p9MjY=(Qj zeR2@l(`b7Yc{VmFaT7g@PP`pFMEBkfvhZ~sH!-0<UoPBDb(A~+ST|j`y`46{=_aeq z8>nr(sDF1gyO&pT8W}lrai+Pxz#aVY#My3p>{CrsBTEEgD-VDDtJa(}N)ax_$jYQ6 zbLwf!pt+zv+MeNic=7sX^6DIoSfnY5wCP9ew0??=jtLan6>3Afd!pxm-qwA(F|Uaf z+^d+ZeKd<`zpTG5dr5iAIH7;uyP4&CwYqo&A31UD-PVw8l|{|^>J}F%%Dy}g3^_eG zsLnb<eOSK1k@tV<4aahz=^ftgt++X!Fk`W)IX@GOLSK|b-ns=H&Sq>6S5zySbdsS1 zLnBrW^(No;*n}Wfl^ott2$472;$KKf1o8sa6O<Dgd=<FuZHL@3J($g5`afHJ21&TL zdyyu+<3peH#JJeAllqBaJWq^Qm(mt)n;CYn@qO5ad_RA<;z&NnFc>l#G8{54tx{5r z&Ba<CnJ>CILT;3lD9FmK6+NWu$2r%98Bd9CriZa^sB_V#V$cKKajGf$)IW-=R8WWk zQ{w<}R=6RAM^t@gaCM;g?X@=gZA^8zRvB#$)+6V4?mU%x#;F(#ba-@TSHU6&&Rxtt z3nio_#S?$_lgq2ES&Bul;*vt9lH$lb@7q=NC)Y^ULb=t*@TK^Z8hT8fGxZ%=(i>gL z;>zk0mf{kf2j{*L#HTW$eE3(xCwqs-$LS@5SN5+yYof)Z*W~4;IZmFx_8fKM$@01* z+Y(y=1&D54<cfiUWcDP<aJSu6TaQ|?(q4tV@#=qfS7QZ_^AHRc|4lE$)!!VSUSg9B z@3|-t)Lxtt8I|T^k<?yi+V>Nxt?)?kgt|BqKR&%V_s_SPJi1|&p!e45GKB{ZO}j04 zlM{=0(p*Xa8e*g|Pq553XQhw_wh10|-cDUP8x1^*5no{j-;*Fhq`1v6x-Z3|;ekM< zp{svS2!W9~@1m)Anr6!(`VEuRs9Lj~=d!gfU3qUOCi479q+f87b503BtcaxSsN!f7 zFjO=1V-IFmUF{;yf&`bb-K!qqw2~h^s4)Ge3~Y###l0Z3<qNHVG{pJPpqRtnrqQs} zE~Adu6njowk*BAjh=Y;V&EccTUN@)554L~ps6oHkuMoaQJI*56;0~Q&=N7@NeUWb7 ztYwkz!R4c>_G6(htw_`%RneI~+b|nss(C4^B$FZMxe4LN>|42}n>=Qs?SjFA==?#| z+3Bn7l_C<dV5BDR)OR&8tI~GSLz`nTShF<iG5hMazTrQ1$nWZ48FO3id6}AN%?5wH zCH5J+y~(j+Z-LTb+`w?mRU4%XnyG!F9o@6os}<(2f|<Jc#Lg}JSvL6xW6&NQYN+?9 zzoc__LCcD+#ZlGc5aZll-mz8IZWeL`>!Eick6PR`@34Bh&NM+OMZLN&J<#KoC5IS& z**w8=#?ZkRzX&;UPc<!!RC|gI<`#d9ynVL6PEUBltyZ*<Aomp+&Af14t&@OX$Jkt~ z_FQ+0)6xAP6xZHd-p5(%a=q}^GRuqStQ|%#6P~xac1N*$Q!-NaE$k5W@zQl#CWNr~ z=1X!}9D1aEljMvhx3eMEuO0XIae?v7;N|NG(PN6KyxfSTaR-FS{7{h%_W6IlpK%0* zUrt8Y{rGUGL?KB?N+@ucY8kdZKYHD0wicq>6nbf!*Og&2hShDksMM50a{BM&<%&`? zgIDicz$+E`g^)_aAu17_7oFV*obfZp{@41gp8dqz?}{01u{`d1ayF}7Xtd<8t#esA z@D1xF$OEjpVP;3YIoIBK1F(PQYnkPOQJ?d##FJ~XGrCRjbA<C&{W5DO`P^n4UNG8@ ze(Wq-0!HyqM{7ELzmr^_TGl~r8m!EHD5ho_*hVCh<y74hh^>Bl_ByRJ-48KL!APUI zu<<)Bn}5I@O9<ZO6wI`|v2KWJG*dKBo;Zt@`7Q9UK!k48$?9RR$YOsUI4FO`*WZe| zo}cJPF0RK|T)Q)Hsl}fEzE1P^RF`~)X%RcTWt*2F#&gLp`pd~)$rhRU{P3azzX@sa zgE@EQZa6Gh_pQzzvaKP&JCwHhq?d}Q2uHtW)I{%aEBq9WvRFtHzohe8%dxW{NsCBz z3cSC=x%=9uGt;J>i5Y(dd*ri*KR}r|eYaWb_55YP`yVX1#bs(tneYp>jvrN;@uq4` zOHWyIrtGmhn9$s-=y{p~YqL8X8JivUwOxI-E?H=#fmIq)88ar2F98mKM0$z73@kQ! zUG?PE{pm-7{ko?7e4V;y-#GnRxL?Zl4xW^tqzL>GO$Em`L~(yQ=UQ^(V+N+lV=FGl zTkpBgigv5FrvwKlG|<U?0oG#kl8Vuf2&=ER_4Tia;D@a?f_@=gin|_#x3Db7kGK2D z;?XzFvUCqK9gSD@js2RwpS2uaC8znxZW#3Yzm%|E-oF8U*AQjGPXledBQ*wB0krW; zNP}d=cBiUTqqTqgejFB~X$RJW0L&xt2fvzxUUq*^UWO0v9v^|z)}z8_wmg9N_q|Qx zrU`~c3^{qa%+?=u8T&Rt+T$b%*B*s7ZP*nTn}*<6289nm7PYsjM6o)0r0d^N2~|6= z+Ha0-QPXA5GdW~GQVpqqB%HdxXj0#sf877;hmsXwjy`|;M{Y-lkw;xya9ewpWp8EB z?<>*z73Kr|^T{5?YU7jwmEI}&_9;bWA>a;Wg^_*L)GTdkX-6|X&<&@F!aa5B#Va!o zPgj-OzUKMVgL8`}oW*y88LRphToop9efOjIUvl(rwrH8vKoMG@6k#RnS@#D9e&xV= zu)Hk2o{E31fl5hP?g#;4s*;BjQEL4U5EXrQ`?QB>nJ30+gWfQFg;ZZZP49Pgh`w|= zCkjUrjB$w^U)RDLTJ+9$fIWAj&2&{XT`0Mc>a(u3WqRv^6qbXJu*_0aRTRDTmbuz} zra$5$(=qEO8(Q)9eW?FSIhes<Dg}p9g6a_4*h_y&V$1}%*;}?^HgQ$@gf`;ctwCuv zaC5#kIPb!i77mXH2@T8+JJs?Pw4fSLl#@L|qkKgQw2c)*c2A;2>+$T4nv_J$X%cFe z`#hX{1W)pnuUG1>3BIPrxnAcJbk08H#K#nE2~M$3|Lf5A6EBFYfPDFemvLRLFBlxe zeV%{ZIT*qwoDT~)toC|CeKF!#KL~$PBm4D#*tyK4SxxST7X5JRL`rRS)KVyO#InW$ z-qZ5fv)UV3{a3t!Q%}{*zqa`d_WATxChSS93!zxcA<s&To2*{nJ?VRane&=@++jr~ z`ybS~+hyF6pU#V9O3t5c%l{NCt95?t^OJwx|ICu&o_h74VBxER8SNiC8Ml-(OJK_} zM_)Eg(4fA9kA~fPrF_&qE2xtQ-6GpOqbGgH#LDC;(-jEcHK<n2BK4B-)L@C5qc^e> z$}zG_zlxiq`7pqGhFc@}G*WqwPrS88u;8lY!Mm%LznFg^tuvdwvC0AKy)oUkxjcXE z$nJlk$~OvUTgG;G=<wNaTJ-+0kLaz}$YA8PIX8A&kDx_)eu2xanFP8NXG0zMH}5Mt zaQ$84n41R0C`?Ruu*bdm_9tTA)p`KCKYn0`PLX7+&Xd|h)I*hgnA|?eImz+PmX4<< zu<@&Qq`f9jQMOmXXc~Tn@eoIdE#`k7rVhbf`vKNF@)V}ASG3;GS3v(3s-<N3&C-(; zygIG#7v`wmJpWc;F+C+xqxU8znVN=4;H7oF-zVT#YMM?uD}DV5@@3V<bdhxbMzlkP ze56)Bb^=znr<-)=l<MGtx_pXKV*zr1JYu1Du-eXU4R~tChV*XbR(u>KC0u`a97q5A zGrg|^Nw@<^hyzKqL+Zv}#iF0><La_LcYnrqK&(Vs{GNKS!-CncxRv%WE?7eO_s9g4 zLY1lb3HPIPCB9fvBnH<M;X9K_qG$Z}sWI(X9b3hTuQi8DoC?~=2L)gH%rW{1D+~@) z-8>Gp)c<2;{28;Oly>p6qw0TWCfnGKsn2?9*VePP_fJiqS*{_t7#DZQ?{;MCtIxl| zZ>5My$vn&O1!LQBB<}yzvbU6UQ06*UzEAn(J!|ZY0L4?II8LsAp~^2+IUm|)pM5L+ zX`MNvWn`(T#i!}U6<g1l9_Y_qv=ZjP(__i}rjbIA>uir}HO%c*sAhkN?eq0y?##gL z|7w@n8hs2bw#;miP}#Q};<V|wTM)OV*{iG$ib?{0fb=9#9n0!owhAz&tyP-#gfY8A zRv8hArOBSo`A<X+SblonLX(|O(@D)o;EoEzIEDMaWad3X+}E~!4%U7gc6@NZ#MY=K zFZ+OtYa#PVdsxc4Kf`}{|Jm-&V78AEEc0aIO-!@Z!3Tb)e=Z$jhM~N)hv!zu@S)-8 znA)L~lj7<9*ngpaT#oB?J!eJ^XG`W2vrLfIcdOT7+(jTwZvV{OKF;1er;E%3+sxIw z%fIFl1Rtp>aK(pevbA#>HjLbVmuj2(S9E>I1uD(7>24Rysvdt4MN3309JT|Fty%!W zk03x=HaM%=C$OY!o+LimE3WN>*74k;KUo7xI-&!|)VE>49Bv6@!1bYuko^VY8=Fl| z`pZ)a_z{CfLk>)<m17^C?wZ-`iW!&Qv4u_ir;F5Ao?#_UxNn_U>khGW-SYaqKIo0l zhSvuFthd@OYHNSZ%^|@i#*M+s#d?cXj3tgsPuNEJ^Zo>{osu-Z8Ni=3JD{`>dyA20 z`>s7IZ7{*hkn8WwyPYduR%kWaUHKPEu^i=*e_g@tcgp#;*eSHQLcxuum=IP2zeBus z#X(v~M7E&5U_tc~+=QWR^1Z(;!%X=@=USqdqTci7^Ll@kp*co%+3J;TkJPqE=JF7w z1KIdzl_+2BN0g@crF$4AOfj|C20<9Lr1iDfb$dg#GR=QyKbYmr)i6rsU|0J?=IS7( zsV_|{Z0A3ki|?6+^*u^6-#YG*t8MJB-M5?v3eBQSr!DnuzgukX%|<`aPv2WGuZub~ zd?WF+&;@_&x|OA!M=MaC!C@om|6!kU<^nU(cqL}}=kukc*v!1Al<dG4I8y|RMAL6& zXam0kOC3q^m;!`$-T{<H7>Q+m+fNDO1KvviOBM9LtNwe`-oI3Hz|x9;jQR*r)?oTe zW%#dA!*?n_p`9dva^jupz<$aBA3!Qg8~F1ds$hSio#ub2e%Vh^+>Mf=4gB)=3IC}6 zF(I#9;Q76eHAli}amV|!y^m`*c9O=X9FPt1ypJQ$_bOqE4u#|}Ez$M+vSG#NwY@V> z+WTf;=~haKt5`kX`Af%Ev1FjsLbZnQKB3Rv@rwsvY>2T5y{QUE_!dFax)T0@S*eWD z&P#vqDK^v-tmxK`KJX`pp<lgf19d8MW?KDxX}%Z=din*R#Mz)M=WJA#L#0_7Lhv%N zG~~q@X#=Id^BcUIf%{q^D=#SrXMXb7xp`(ciAju_(=&XXj*(%Ns{HSBkgCWZ#i3FQ zD94-H<8#NTgS??vGQ{R_$510F{fJ2^&U1fYrA89muYE+MN62VCXHO;mOpV0M5McVH z{Y@94te(RN??IO(Gqf>7zb+6)#UJk69HusAOEKoLZTbcACbwVYXJ2__(+fLkuZE9h z68@6y#C$zI&QgvU{Umx6Eg&L?`7)6LLl$Z~+Z^|yc=3ZRccq$y-e$5pTW@+D7I=S< z6YbsSOy(5(LW@6nwGlDE&0u|Srs)#sc9-gb9l9l63N@uNfA^@sC$&luj-T84V8-p` zX8!^x)r+%gt&~W#bB@*18*?OBx<E4(kMW#ASf%A@f`~<OidkMo%ly_y&mieXRyL%z zW_cVH^IM=7^#R_L9KfzKE7tu6KPi9F<Lhs_8~^&Nzjh&7SL;!cwJV*+wKOhm$EAOJ zD-iXDR}2t0S~}NQD$<N=sqAcEKu2kUhnu7PhqX|avogpRe*qcbUIt+`l#1N7_&<K9 zHgO?x|AgC4`7;n#!X{SNd|&dNN1z#D^+`M*lnAgy)0i%pbfn!!`Q+lCf?|I`>wYZ0 zAZ6$F>E8Mvqm89@nR~711)BUG#|9b#j5Ve-zm)-!>oFXRN%uj%Y9ZqX0PiYufshw1 zT+AYNbp`C(t5pvE#+20&92Wv<%*qPOC59X$TjyzDOV4w^Y=FD0^xF#|4$s<xfS(=0 zX3I>}R!vQahi{m=GEUY~vzLFZ4a?iqf98u_xs<W_WlFj~apq8ylfWih#0AYLO>3yS z>wmVRm7e(o4wNj$Nqkgj?(nSQgtx`_t;ln_UsVz5`Fh^Ye)Z}Qm#CVyHlwi+O(dZo zM<YYSTNO8P3+o3}N$eF`uCWHw5Kr7tk(wWG=A*-p8Nbg*52)Bk53GN@M@r6oAI#W7 zrixAad<cu;7(ZcfD_(zkf>M9KEjc4MnDHBFGr(3HkgjL<DR;*HTyFJy!Dd84!N^YX zn4CBjW-6iOJ<sE?-};`RYrJfO?7+g9Fw8pKdWvSVp(_1gW*%gQ!D#K{X!4PQT@O(O znAeAQCk(v5@1|!P&%}Qad{l!`kxMA#en&D1h5oeu6WMe&!fb>ICnmRnH<dVte4V=H zg;g;Bq-Yf})k?at30VBN33-sq+pmjr5*}^GR?uQ~K)A(^llKWf#CYPQ_0_7$GqaEd zq13EywhP7UUqrDR@wMcypMkiz=K?+y8}Ee~P4b<&8#S5jiGP2vt(f!B>^H0F<T~r{ z=)Y+H?%i!SpmgWa5ICQ5uipi{=bb85dN8p-`A?|deqi*{t1a7>f!}XPU3*ttt;fjD zPX}3uYt{6GLFG#w?1{-;idvUdsE3fL`JtJr=N>d;k6>-3p&}8$5;&h(1*t0qiIx~t zqs$Ld0;CXSi8Ox}gd<0fv^VNIIw&P(p3f7|n!rE_J{@gE<yDWr@)AC@yC$I@>~8dg zkFr~75PhUDBsaW%mXzm^?Muy*N2*a@Xv58)oIccg#`=mxXuda0?3Z+KtMNdn>5oE& zvf4^zgvAQVZ!y36v7RvFF(Q*gyAZ0$6*lKo(SxQJegJ=48CiZz!W0*yY8I<><>XTl z^}W+@nYNuITao0TiNdamboSKlBkURe-k`QE=E?Ig+!X)h;pWwfFCJ?jzGDWDvO=mV zO5UCYdR95gGIj0}7~^5R6Co#l+r8<xM^<%f|2H(Lce7ZdD0}9*V7d<tmCl=?%qeFd zW@KKRP+WgO4NofWAvHwNFSc2hck#bF<l@)Nq;<Q#3s3lW)DbWle6%<rPj(+cjG+#~ zNdG!rGIGv;Ke`Yr9rNuE-{&NCPmb}O(Ky+l>$?d5b-PbC&lSA_p1;M&SGkZ1dz^mV zx>qauqSz$aKJ$>Pzd3*BIzuI0Y7F-l42+!ezk7durFqPc|LyWEd1qrDWD?QdZ)Qo{ z>Q^-;u%FT@HC9envP!xo!+p=si6MkbPw9{G4vU1)4MQguFJ1?uN=f^$@gn#XyolS> zJeQWS)A{sI(OCBR+LBzQ#9sy1uL`+#+bN6c>v;irl?z5P)7eQwW=s{^XfJ<?(BHIq zh<ks&Q%_l+mgXpN$NpDkC}-%<QK0gR>|Jd9%sBHtC$I9qBQA*s&dR%p`FQ|ep~mOG z9oK}W9>l`O&2K_j#2Un^F~N>JL+;NAr3ga_=O|zBOc~h|vx-KAwyJIvz(l4%e{DIw za=j=0Nk7<^l3wtYmk*Vbvl0^f+ZfuD<Kln)JEC4}FCQ|a{5dM0gk@!D&qwH~7Tdtn zOoiJ~KE&>*NBQ$LmaL&Y_XQu}!IRh`p5_O*!R14Gl+T=i$ynir_JV|kzifm}{<*+2 zhWWS7Y<r>9<!@d8A_8M7PuND-Cd=Ndk|bJ^`h`hV4{c$VQRm@z$=xvmWWHfBW7vPz z%n*cc$K$*a|FHV0^fAY7x!k{@;V@ro=8-KwYi91#uYZWSXa}RJoN8#@pM>tm7jq`9 z2=T+}@!h)nhZ4H7vhS`AvaHD!Tsww~P<79(kK1T_n%{OmVd{Fq6#iP~AEic}JUrdt zlZ&a<OnCBc{S`d!ZK4TN?{@X1{uO_V@C|K?Ny^A;U&mVE0eGMAJpFpgnl+J^4O3r? z`S#Jy^>AxzR`vY4Z6$&)%}6CrqvCRbIkoJPnPj07z5i`63T2fRU2yCpd&?y8I!jab zT}QrcmQ69=dk!%1Bn2S`?qgg=Tsd5KLNUr0yh43r*0Wq>w*@}IBfXo$C&PbjBkSuP zj{YaZ6(_T<u0#p@Dc6jQt@Ej$4h6VK2D&MU1?Wuns6I)EhG80d+WLk8x8r35#lp(Q zi2#Dwc>#<qP2)MX(DD5Z863qPqr+>JW-H-FZTWm&k-vgbGY+X#h`34v1%2w2`>R7o z3y${@rEWNL)bG`mW(i)<W9ol$+%?5gW2z{o#L8bgNNQo%LG*zAaSMcNJfU@vVfTux zU}71+k<vR7iqB4P{{`2SW@+A#B^JXyPcGlOZ%^}4ETlBB6yQntrSyccKG|eRkg)_0 zDv9uvBEF9H|6c#Qk#5h;)-A6%TFe$kcd0oMf*94@;~|QX^qwB=rs01}oNn$i20Al$ zt4|)}(`HXP=RK0EQ69-zDEwB(EAJDAqlGw-lbG$3BaN{{8R;;U{>%vY`fR?E*vtr& zqwLf!^7f?ohe*4>V%@w|IQ5O^WO>P<#c8X2a#V-w^yK;DtHok9#pT%@SK3$-Rbo}z z$)QlZZ=yoQvB$(IuJ(U&*qe(Y#a<I9^iH1ofE0ev^v3iB_eVXIP$v7N$iAr8;<$Xv zS@zE1_v<~=06B+#%`NhzW*sBI(Q~P1i_G|Z%bRyf#(yX^@09n<I=KH*(mj$jI{#pu z<$q44d2zZpO%}c4G<5&QQ@^6*Q1i64axyxSI-U4WEulftGv$9EZ^v!@O#QZNQiFAv z4PtQaJWl`8OJAkQf%RIZ`dYZ~X~`vq>SNVE=fXWfjM$B?rIJ91^kk8(<^L!AT4c-o z3x56Y@Q)7v6K4Jff0rZv2LI|X(K-xuyyPVLAo(McO%1U3>wamb8dstgbB?=-yFraw z<)Nk4b-Ry1C0u{5m$atOHj&$8qU}P~u-Ac`*Vy_I1K$6=m73R7A1FP-*kXJ}@+b9- z{kLqi+Vk0HxA=#6E@zF=;%pBi@2A~dTS2dac5HXx{Zkv^WvUk{iAV9It3*saG%|sL zfp?0j{;9HXhn7}vok|DQAPT?=AyYRMHNgInhR7uc6Dog$^!6!!^ap_A43K3Pk`@Tp zkol(=?>~$G*&F;%F&!#{_)l+6fMVufVkfwU*d+%uih}Gm2`~ByK(QRiG7U+4@t2q$ zMM3gM%!rTHQPGd5o#^F88nBEdWXEQUa%EM2JI$E7Z}hT#4a;g7x1)n7(5v%{zU=`y z4ph0wm5+ZTUHmDlN4nAv*4QRxEIR<s0N%X_lKVS^98*;4_GVuHfKs!=%&zx)Tt@v* z%JZI{-hXY&KCKOX`Py1AW1q{v|4D6L&?%0S6|R$&^Amtpj23kc<D)Q>TEiOUO6r^+ zAAi*$DU*<t%}B8va{#Zw{}agnhva|jK>nYA^)G+mpf|xXY552>09P2LYLPr@|0pKM zsp8Fs`}}X<{{Mvk?;ZX==fA*-4cGH8aQa^%eno{4q(b=R-=RDH6mN;lE_st<p!VFt z_Elst4cmH$jkaU%D~84My`F{l%)=h@!ttNSBlZJUBR=;%`L6vv^tH4g#qoU#LE&w# z`?i1Vzno1>&aPUrgfD~@-10s@+g0yiEV6Q{-9u2@tg-**7m59r+(DUXlrG#;RZ1J* zPvLKhU%nTUot)AcPiv?s4CBs>dieE~sW6+l4v6Z3|Nmqqvg-zKkD#7B3i9%b$k0pq zP!Ms`Ple(kOj@JjiagwCo!8~UE>Hevth0a7N{dHGbr;xtdCwZi>tHtYw}9ql2Kst3 zr025_ux>oZN@$R>;&PbwE6X>YTcIq$B>D+V+b`k>u&Fi2!sx{_BLroaQOxxxld}iI z2PBuH=60mwb$)SAnKLCzzOyKi3B<#aN}@?i(Cd{fp>J=yuw;&0P79e6VPw%#i$Q-k zo;i7ITH6x<pZX*R0--M=UxdGiesBR%`lYf<s8Xjfru=!~`%lA3Y^J4BrTwg-r(tdM zOwM+2nN9b$cetX$Vvs<T(dy>y3m>0GRo9gBsJy*Lk&Y=+Yq}dobbq}*)+)_X`coV{ ze;ILuOpkM2X?_>|{*1d`@$hQ4LGgd)s?|37A)o*BR#nerlhV~*fn7Z1?Q84T;_Y2e z?Hzpx`u*jFPhbx`olc$u)g@HA-lUQ@J{MIyEiT0%x%l!r@3TwXF^S)M4FIcO&!xuY zz4cp9DzoSyzZ@$&g{#mYI_A%9XG*pMxAU&Of{HgbLHp5>$YY1^eCI=1^(cS!QNM24 z;?FnrUpKx*Zm~*FvT$xA0n-k*_JQvdX_<bLsVDxU85+9IRY=n4c^5mrlKIq_wSN~t znuJL0)h$0$1;-XSRQ2SGNuu!nW~04qTNq4Kwi(zJow$;<l4z1cKm7~&CD9~?`t1;t z76Hpdr2_HNu1=rgr7eZ6kotd_RoJ?Ju}Ez*sSuresx6*brY<s<lt+JT#9>SSMH>+6 zMlMM5t5Ug7EGZA~c!t&XeMgV>(UQY6K$0az5c^)8EF%@r602ZOqFDCZa=as}eK4p} zHVW^wfXiTl+{*uR@x=ox^v^JcaW&&ha8hmGt&?9{O>w=R#29Qt8RdWE*O(vu`D(UN zarJ7pNpb(owe{9>p=5bCFl+NWV%ces?RvH3*m1Aux<I%T4-q}?KWW`C(EU8J>-kMF zdYo#n+6UhF^Rsm~u64JX;K+Km<zv5tT6AcQ`;8Jh$#f3&9>2)CtfSk=tINpCPp<a% zCqq~S)!s1GzFlg`(HnnMpgFWUYoym!F}|_;IZ>B?a&p^~qT}&9^_L9FLU=9z_Z%zY z2O-ZGo9dcnYy=0#ExE4q#oPAvJ8w_-Ctuzy)+;WbZMP*WMtu^2wN}Rgxw6ck;DU%G z1iShmCn`lW@B5~H$9p{&Ym|AD|Mq!RMb{qB9b5PISMA@~-I{+;4=uuU=j2JuVG30S zVGJI7UI-6_*TA^6Oi4S|>)YNhA=K}b$BTolB9+*@+YwFOy#cIQ@<t@;RX~s!Z4DmB zy!{9I6ca#(yU?Am7Wh>Bk8swWlRo7MAmfG5{XfF5;9yctp+OpJtprLx8|(keT({Xc zjrA$CAZnApk8ywS{RgMcRcJgA<4;<T_qVF@f4O#JiN{+jGj&dyiy1B4Tko?OjJ!3& zdQ-|sQ(>fOe?jVhhOO86T3NFikJ5nyQjymB9(8;3Zqp_=hg6=|dkf~41SaU+ncTdg z1aFXSr9U^^S_C~BIore;9m&N}*Hf(Xdar%)18qiEg0p`n*;lUJNvMG@M|fX@_$<){ z%CPw%(dWbGYXbcrqa*X#wk=N>cFqLe@dbHQp3Wc(N~pX}k}~0qq91hkOV&}v*}o~D z%7l~r`6$7gY6YA>o)=g}X)aPG=%&NF_UJMg2-B?5zE{wk(TiCDDTSB;sl;Q-@eJlL z{;P0l&{%(N`nNLWANHpyiIgfZdwFl*9U%MH8`2*X$ydPvghDv<_i*mDVR`6fEQeVP z@Fv#aWG*l9tE^;+1jH5YGDCGPSY3PYrDx@TSS>Ya-*Rz!ZK`9X;>6)p`N$c@snYg+ zo0aXr?N+tHS9jr&5*b$d^PW<5fY#5F-zhCY<@|pI&%cO7{K0fpy8IGli$=kn#2Ccv zb$T#ua7PFiD|Fey+j#$DpF3r0u{`}Bk}GdnMrGnc^r#g#XEAQ{vDqTFgN)#Z9Ete0 z8FhU=5|p*TiEAjU&M_9ISe)t4U|c5YrhSL^8)qwXrQ7y+@_8aV-Py{MmBcRvwx0B8 zb8mmWnt2`eWS#ASn?DYn%Zq#woms-KA1MuZZ|ZNbYRtE(R-KKS1cD4js(E1qBlUki zFrxK{zqKqIG}~#?y;-e>EivjzwL|!|1)XWJ{0Sdr6U}MTDfHv~aDVP(FWpdI_?!@j zc&yO%9i+?Dw;EEs*)S7bce?)Qrqcrr122CZVEt8`YQ=reHx&_UM)QKCx*eBpDUR4n zIiZ79)hfM=A2ih{vf>PWFk+G6tjGBxH)TK8chVqkfk2#nrSgKTVPHkoZRI%J1p&+2 zp4eO3wUCM~dzy2smGNj{N%h5oIQwcto7=0+Ni{}xDvnti{S5UF$ztp_A1HR&Q=fm* zxIO84$}yuxpCK@l6iA`=p&<BUk`&)_S}bC^0srwJv5`+4=^N>e<OBx8WgR6=9LbJ} z6qD%<v`TvSp5Yr8s(c;a03fV($wmds6#I6HP7-PQpWnjEB}XNHqrz1~Jb+MKlW~EX zt$6-l3%}jxt7%r_&@8SOTfEQy!?%B$;?j=KLzl+;o%>8p7jB~`tX&tDJovte0gtG^ z9vyj`eDNkH|N7<g=kgB>L<dJ^2WAJKkGyaEQaz5Y>E$}*O18hEzpm44p9Bg0P`Ezm z|4~@+n|76SFE}s{(8IKRozC1(x;tGNG9pkHY|%Rw%M>2G8}>zbHBI5eSebtY;}XB! zr~TI&fxBxJR!!b$?z8570VE?n({i15nraWT+~$Zwk@;%V*rTvs>~O}J*6=(!^i<gR zO%LO=^L(FFbI3wPnp7wrdJ?_=ZgMRmcB0O}BF>ceMf7*Jk-+`wktm@1wQ_@NT7~(D zVo?6^vJ0|_>A2}+a?j4Z;7ot9L1907FMCq-M6u!h?cpG_ZbD_vj~X%W!e$pqjnHdN zZxSX#zP}^lM93vgX4l*hRLHSATEO;Z{cF*!P2ab{<b^wlC0f9H09NkW)YwnDAwTc; zjepm>TVQ0OOZfK{OJ~tN26T76c|Ke5ZnXKTpdB>2clO|lw6$;f_9B1U_9hy=t#>}z z+Qp0BDlscMQw%D+yDy3TXv@eS=sC9^=(cb5XgA#p{ceRV0~_};^|2H*dsN_18rQL- z8fs_mGn(4nlQ5NKPgD%<2<9*S#3KghuwtKNP@G5~sh^t>0no$-=da*3eutDT{;YIC zp>f;k(9vPZH_KrVOlW@q5F30m1ORQv;Ac(Zk#UvaGOH*&h>$`O!zrKx5DsuPAdS5Q zPvL#Uqf1%@FT@ed4d~6##|q)Tz+}-<popMF;=ui&YmgJr2w;-?0?SV6=-xp33ie7# z1mh*Ue+c|5)EmMKbp*<TM`8roSV)f46bK{akWYPm5EPIHphSOwJ|_#_k*We^#C;?= z91H~jtJBG_O0*QnBY3;{F2xX-kXf+JP$c*oFv$wTSIFuUynO8M3SWdq4sEAj;9YRQ za24|UxGzNzM-Ze{Y6!NV(i&ACYZwh&a&Dat#UI8E4}mfP*Rw(}&`N8}D2^~$xIWYi zsF>A>iB?&oM~Q!j5&6mvB#r|E(j~DcH3xjZsXkz-yv2o#fVKfF`je!g?l0Ac1hdR1 zUEto(v|7<npMl?ja0MtCun5c&bHO!8y!IBw9*U13f;2+6fwGw;*sv#2)P15z-n#+Z zz}~D94485;;aV0-DpU~R2*Cz#|AM`YiyouD>?M6D_-cRBoe@c~v;O2JYAQMtp*OXj zvx0fG3BFL;CPA!1B*7uEgPiO4iuDGtQ548v_y}})sOiI5nFwHzeVq_l2ele%%J9L{ z=UB%n))}CXi;uv*v_zai_CX7=P26@w1F>?r5gcJ6mk$x*kOHtdph?dIcg;0C%FJ9j zO4m}76{dfL%t>EYK~h0FL0LmSS=@0Y?D`mry3Axz33AjZ#xUZ`FvJTu5mXYs>IGbv zCF|l2Q-*(nEEIl5@VFnuKvvJhRc)vw;-A{5_w@pDoIz?4Nk;{H+U8It*TVxR1m z4?vQ`2iG=!1@DIBqaq7*#PF8-GUhZKSeGff<MV&?CX5ZHj9h_if@g=UGPbcU9uDHI zy_e&@#6i?Syg&gl`kaGUYq=<~P;<lqBnvbd6QUVSqflapT#Fjso`r0m2n6;RY(IhH zL7Rq&ZL_a}K>8IZ2lzPj9O4L4j3r}(Vc5Pc+!VDaXqt^dQI5J|m*{xoAE^$|T!3lj znv{RnB>PD(1N|`-a{5R@x%}w{J>+eBsiAoWw?o9DoQ+vW(I7!?L7W@;k7#D-webLd zs2ai<QURvgY0|!FXB&KnP&~G1P>&)X^zcH=PtmEY;g^I(BR+=~ts-S$s;-Ko3z&9K zq6nH=sgd*`{4Ckcpb1XFxCM)hCP0&-tvY{l>9P@BhT^jBmEQ`Fqv1-!O4GhzvvtjB z>r@#a*s1_J&dL)PdCgkBx#&>XdQc|HE?+RUm?`6<G9bN$iAd!^GnCAqLlci>Jd9jL zPVqA?zOIMjg&A7+hPGgqwhC=I4mr+TVHD-m1PI!;a%^Rlipn+cPc6pDC@MusZ7qMt znzNE&uxK`_L^JK736N#kK4iAVa^w*>-FP>LnX6bxmFzU!G(8WdK(q3R$k38~!zkRq zgi2RJnF8Z&R}fiMga*6?3WQXEf_9qJZtPeUlMqEiW9f-8K01@6rwcmgWKeD6DCx`5 zHZ#bH9jAQjlpRL{!<2N24E|<#UnYOThSly3q71?}Bl^}uw(|M`f{T|0uQ>Y$-%h<= z(JHH59AX3V+AT6>!hNorvShh38%A3670fa8U`FQN&D*(kY{XX{mV3-4Kc4N>YZYA| zzu&KlB$rVIGnKZ|1>FMId)->(O9%0Ss+7<#5mA>b0-S;g9yAqEM4=B56<vQF4}u!M zwqt{@H<yS&$*R$~d!2ET4;2$U%&RAYx`Hvfi5lfg`fyJ3s-vRoE7LgFajqB)t2qMC zD^Tn^a)l9eJDyLA=1T(zwrEth*$`Y1{Miu9sMis6mzW3)_zg4`at#6uU1Z_|U~FWV z>$(Gs5j0^umwE^xI1_XOvV4EH5W!51I3JEQtaUAB{HR=%?Cm!)aCD3!EBank35w>j zzs)vwUH1axk_pk{98ry`w`^XEDn<#ea1Vp_m0cb8+ElhhN3k!B{qb&JS>p~CuZc!2 zkn{!HM$jyCS`i@(vnTP9Kfug@2+pk&)d8w4Y1@GHwlsij77<)wmkxg)R&=1>HxU$3 zgzalrq+xr%soNTH#;TZyU?`2|598f=To9qUb6SB?-_c<cBq?&v6uegyz%zJHCJQ{K z<htvmsCs8h0!pJL!Yp<k=Nx$LSnEoAFNRWwN$)()KM2^dRzo7v1(lJ6kRmW&TnKv; z&RRyF$eq>nysSTGpCo@>%jzQx>`6Drh3R|a7Xj{$JQ?TYy(6t+q6noHQDAR64Te6a zAOW+UH(9ZgEv;N`A3-Q_m?8WH;yJ_+`W46l){fEm0K>XCG=*}p4aW6RhTadOgGcP1 z;7{f%xcYKLc0l6#u)6eYDGIa9u@*plfI6t@5EY^p;&0hBRM~$f5WCIQMC#2Eg5?So zABydJ*p<^q-Nm(N2^pMiCBEg8Z-pp=_W@{DAB^I}1#f~|`LD_FlyxnndOAAyxz-;8 z`Yl}p@etAtE{NN9Kg($ApjMkvNoIG;kKb(h{cWY}Rg4YYhSbjoMP}}J2RapAE+o&A zip&amKbYqqiv@pvG%H|}KQJz$_DDEA>P!R2pDtNHZ4v{N5OqFYA>JIPp!`-K%9A2# zZb0jER0@B_#Up1%LYOum_t`PbAhe#Dl9}(0O)Z803~3-ti(IeuHRR>7HX$XXX(#ee z79=5LvZ17u>5&4d4Ls&a3Dh*8-7PH@ngJ?1d{B-1{4{?NFFu2mh>(McnNnSb{jP)4 zU5AgQLaGa;=`*p)0;|)?M=%TJvZ_{qitaW}{QE21)tB3NtC`!ltF_zss}mzQtB4Ui zc|sZ-dG^&f=At9zv^hWJG|RM7jb`(yim-?&j%C>$Lj|@S3Ps_a=|Q0vnmZ5LObRL6 zUKKL7wH1HfZ-W-nw^0^R-(}#;ZGmSbmNOFsmU|P#mRQ&L`9s>c{8`EP{3Y5r{B_#+ z{GBKG{KG^z{F6j@{L6=c+!IpeF_en(7`j~f_qRS}t1l7CRwK`qm#57emZ}E4=Q||& zO_x_S8kX=Bz30y_UoT6*{H^v^z4-=4yjCxI8g73TT<KR}I<N5yYY=ZmUDtvhPKGy# zC`yuwXb*bjbidS2r|Z>B54(1*`@Uuc_`abF_zuOlayGN0a(bya_sIBWk2T=-tyw;L z0jHb5r)@N~O99j$+Gi>NN@rbgstvFcAoKStev!i<CI*s!*<va%*UY-GS7X*~Hw;*5 zueN{MT1zGHzb^J`+yJp&99wKZe@p1Ey6%}%_fj>T==y2h$_nP)^T(f1V}1ZtSA<y~ zeo_{=lv8d*S~d8uHxc;nvv}p~D+=*&`nuMs5M%*96F14sp0$@Ye_3uIv{|90oCzH? zbx2cI-bf#@208f}Jgamy=-TRMy>KonP5*yBEbvN{i>K|x%;0i6fJUGv*Izs1YbktY zS=phQ#`=Z3b$ZS9a|51zBATNf0UqLWJ%i8#H5v&97ALbo%IsV>62ew_CZ_~6b#^&8 z?z8_SUOqA!r_y^V?sMoQZu~_LPB&>ZUS-;?|He>_@@_@6H3$yAdtiB`ZARJ#NymRR zteudBQXy!7fSj}p&zzrCosoMhZoCBS9%0z8-|khlDhAI^^I0#pE=tM2_9{EfEn86q z_w(|oop%x~Do{{r^@;E}UAh?5dYzXF!8esRhG^o90-}4!5su1ion$sI4w0-h=jWxA z>ns9~Z(bPu+zg^w3+3SXGT@Y65N>~EumlgGIgjGx*}BxOQ`scLi~nxtS9YqYEbzNd zxn``yiYg(Rl}8TULbR+;>@RP3Pb>Czt~MQgqg)e{XPLwPjvSgfz?iLdGhNAaM5L8< z``hRN8Gd|NRk!cNzVhxOi}jn$wbHr$CIJ$JfdOb+oMtKi?nznj^T?g68fbsHE|v=Y zyin#Xb&gZ9TgR<TxjWa#Q4g}sEV+34u7V8q+_p-6f14}s*5t%}rb6RXHk%O>nn%!O z|F&e~u|8Hac;tyJ^+jwe@3w5ksdSg%R?eLh6Bb3$X9q8H@T6R58n}C3l)}*?$~Aqf zaVlplxRuxEWQ6_tWLo!*XefUhZ-scgx_k_z;IPuUUzo~e17vYCY2o<s$7^I-hDTJC z2}nMk)b6M0y^FcL^T-<QSZ}6mdav<p5gREG*SJWf>7d{4kA}DzP)T>-)VOlZZySKo zloeiORVRU#g%5M~=-Oi*r!GSSkZ)dT`bL5>+b~I-O2R&VJn;e%AzgnX1LZ?&SstA( zGlO@&<E26y^vVvOivXWr6~%piRfk{s@`zN+{D?A}x=WO&vfI(%68*NcZJShi<J%!X z<tzlZQnQnY5ZvjcRq4$@nShq&S?$p^cy?1=n(et=YH*dN?6w>kHzT`_R~avh2V2d% zT@V7q6XcFsS;{;vl2m`ic?t~toVGOWOXDwh<P}IU6rGs|rc!IC@p0a<U$o9op$c%O zImpA?dyJ19K|RgIcU<l6_PW};j7&-$Vg{Z;@WOE6GSDqxU3wmNla?*@N=BbpXb{2( zq6g&ydZg!JG-=v0_ECok!?mDlK)x&*%qCr1+CH2xHhAV;bXtE2CW^~rn<TS+E5{Nl zf#`!Qf=^=dxXp){K+bR#=>1R!e}+&Be>~f4#-Zd4D`0Y#)ev7M8n}Jy2ynr^<VM&* zCc)8hgRE%G+f0<~B_F~E(gYri8)QRc-R7c1FJ%$>5Exi7PLT_ZahrmYzr;s`K=#4w zaXzfk*aHbD@lbyeBp;j-kr(WfF^FNOamyGXiWG(OL-m23nTnX}>bJBJvPh1*IAs?i z3ucLfu}5PJ6rdC?)e+&40uU??##Zt4v8|0uB>)We0l>Hhonf)4s(yR~!#OjkX*rx# zzx6^XiUfs$G=&48=0ju|c6f?9QTI>;NHur`lx8R`qXd6nQ7?*AE(i7aQUM_fxd!V4 zN?3hTh0JLb&{nbG>76(%N^7509H8Ss{7gw~mM3eJ5kg@P;B3%TU}B~u7K{2Cd4yCL z_FdL`29#e7?lvjtSRE1d$}<*?e>j@2Lay&bI4GCTi$a)G!N&mkp9RUB?*xT+f~R+a z!~B*05s`m*Rs|5WBl;b<p|}`~d&^@C;%aE6_D6CWgSKXMyl-doSKzK9-QP=`{j*BO zDUU)0jFUEzIu5BcBJm=&-zf(|d5vHE%F*#&^2lGAmlVn0_i+)qULS=j^yx-1dM|(y zR4`!%q{)vO)ducgEO+;<FFC9xTVFs@zsLmHTYP_O2b(LQ<@P2?Z^Ay3k#SPb#eSt* zVAGGgMb4FwT%8!P*lKth;OD+rNs*(t(l;K&yg_~>)90AT{f@mOr&@$1b7G8d`=qc) zX0!B!8GX(uLu7#eL#GfR<LKp6rAHz|WQ6}ivoK4>u{3}!+MG(}um*dddqS;fdTLj{ z$Pj;$4B`OlBhEBpO4u*(OLU8ffY+TB-2_K7dK=l=$g6GDD2%P`*xt-ZT$uV1{#sE4 zX($<D5h4$EjlEzmxwlr3QeN{jc5t5<bWzqP*d>Y#gbzSxhkDcXaba2txP2^0CpZih zu8-9;)Lu>&%8EXJ0K^7y$B;qW`+@iwX_9}kuUClVikcQ5pxC<cFR>ARkPy%+&?l=m zcHJFxV3z>feg<0i1m#G@mJCm)4=RVTfbb2YD4~Lt7i38L(KInD{u};uvN99_NU^+6 z5}Icm)pf(4%|eDW7`1yP+(Q^<Uf((5Oo*HSUqrmSIqd78X=G9_qVYYfh1&QYGUtD* z00WMfR1aH!wafb*`tHf4dB|pld}|MPA*7fb_aOVU9lvls)Xwqogt5^kweLaWDqq&v zgRn#3xg26?)AtZ`8WuN_b&)tR27U-Up_+9iD!K=~IXJ1F@P=%IHi59r{ZKt~NWhyU zQ_s9}Tu@#)BSlXa>sBXZNjSm>KD2)+x7#}mD|+|YdMYfVX^`*I0^w`b1fpnKf7_Jy z)VTh`1@T&v+}8u1+r~+L(+pmn8~-Zum6*U1h`bK_-F=!LFWGpKKw(TSCD>a5oATd* z7cohu^;H*SYwzS14<z?E;>fovP(r9iKG5~g5apsGpm}CuTnYDN{JJ=IG);fG<)_>= z?z?nI+$F#W?SGf=OZy#K_fb!m|5UiKt$W07=OyvzEHOrqbu!jt`*(X1`Pag$B-StD zVDo$%ts5Xj|I&g4t3*}0oYpUDPw^g7YR}VkA1??mF1jiL<B|q(bS|AP_*FjI&%$9S zf;1j8{P-*n7;c*iqcd#o!q|Vh*bGX5TRzG7wUVz=aVAYEVpBXhjTKttTt`@Ut~V)V zyZv}z;wwZHoEJ06YDajR3PaLbaq}wPg9WhKZHs`SD<{k#3t9Z``)pwhR-)kLr5>%d zwR2enDdaVj377>+{1sx8KOh)h#j}1d0vGvWC#6D<24uH!y*OO#x5s~oUG!;A8UtZo z(^`#`os*`Par`(-g;@C5nkPRvw~JRaJts|$aC3vjL{M(wFK#O#ji2qCRY%B1z^+{g z>Bc8vI~GL3h68s=;RGe=`$QQ*Wmo6X5WvJyzKUvq!M5{GeYPj(&Ot7(Q=3$fZS2Gw z@KM}EW4?;!;m4Clfo6YCI~W6l!IaP67s-Sx4+K>C&U$9Uu#s=`KkMRd6|t?e8TVAL zRhdjw<bOWPbJD_=Pv?CStyeWS@0G7|?CPo|xb~j+dz4>QAoM0*Wt7HQ%Wf@?_sNQ) zvHRJY4sSD&Xr&ztOldjDYW(K>NXOyiM6}WmHcEM4QP8;dVnTn%ts+3Q5b=k94l zVXUihBLI*sFj;IKha$0UE{Y(O4pDLEtkPohw1O2vH4!G-?O7$wlyYGV5q8(Egf;>| z*uJKAvhe_a;Q1J(f=!H!zSYYj#9`U2wDHdXg*`b(HjB}am<fga&t)eayz`-ERc*gt z=g-u*x@ggrD64<}ejGJtK-lC{m2FU>v-^2gw30?&+k<FrSTA3tiew;hyZ%vMz1S2@ z{!CT1i`H>p32%F(R#o7)@O+~UZdWb+z5?E$D5I*tnM^M&i-BU^`j-(sd2of!@08DN z+H~E1x#fRuu&WFwzOr&6>=&4+3Ow1)|J-a>6;5_l;7Wf87FekgyQs@oY0>6hzJE31 zLO2;*$~cYgV%u7<v`#8v6Up<#9&KvvBH2npjGXZfu05B{u5jmW)IgF@SC=zV$@$rg z`m{YWv)E!EL&&c<eX42E(*03-J5D`VjxvMWi_|9nBI=9w21Jl=eH44cVjLw4t>7KR zmU|Py9m;=+6o3;TRv{bE@S$PQMO<Pg4BJjG3L`>1dI8|#n#t0#t{wIBKnB6#;*r79 zE}wyVcUT4;@$%u)$JJ+5#AGfx=Y>B*3|#7UPG&y@A;XUFk-_;!c<rY)s%!GbSEX8E zG@#D$Y^{$5Q5eu=CoR6m>S5-f&WY^jJjPM1P%D3DEy?UmAFQxW9bP~@k0K+&(b~OK zeoI3sDzIv9C@!1j9@3Dv%E_-IVFm}yIfyNzQAL~mm5f1)4pr1`)JfUe^2|=vZDd^} z=X;J(%Gh%NNhRxG8S2?iN_m8MGM7a5Ta?V#@yBcLo>xDsu;t}5J@(M@v506X%ia>? zrFMTk9!@aIRWu%W2+e~BrBfx}1#@<{Pt;xbY*lTEuJUqoMo}65CN5v<z#hwyre>BW z_@jny6iw_+jvav(yb~~94P2u>>4wzcrrew()LX>aL6qLP(5cU8_7zIvD_hxYn#{+Q zH<B(|fZLp3xl=W>dg^9^Yw5gPSFUdYEOmbpngE2gp^;UmJ1o2c?tZJ3j)@aN`9_t4 z)l#)z!;N*AWfokt3ObI9SHAoj;!Pkc;>|buNEam0e(C!Lsp{%q73f|%;X=*g%~RB4 z@aE<{iM5$bd(PEIp`?98z|YT~kQSpl{<+1OgSD1X!(5LO05d?$zl#R-<QB_aRDyH+ zD7r|0q_zG>A^)iRI9k!jZM^<R-yI)h@aFIvoxWCL!J~0XhDY<V8yda%mVPW|CY84q zYB>ibW+up6O|={Zi@Aw~Vt={&4H=&d1wu`&y5_*9DvO-O)TG-d+CheDy1Lvr24)sm z3+aEOr_yIP?UqCKXxEtGr%ZpWRDBK;Jiw=aeNGn1vUBtFmI0-J6olhLskJvccf;w` zqsCE*PWx6Xi7A8`QgX<9)U%pV@rU?eGGW<bRQXqL5G+O`v#GhiBxQKpkCHBNX7hyz zY2AphlS${-NwCxu^N7r{2et@l|A?OxV?x>{Sc;Q{n=`U6(Kt&VSAl==J!BTFA3Mo^ z+T_gr4y3OX^)Re<O9Di;T&x@gI;TPaTrSdCnj@1U1`p$**F&zAlk3j|puPNpDg#6- z$r0R_ml9izqz~6I!+a2jkO!d7SbffQ!XwoIx(ErR$%!eb`kkVx?R>-|gkx^2<~q?) z7N!#;G>PwF0kcdbSc;W`jB_(a!}@i9it1}WDun(NIw?gJ@`-~kG1k!)kv5gD_pR(# z7a1MC!R8tiR(7I$wd|)@ucojVA%R$!Lb69boU$lDQ9(;G2XO}T5c*vmd`K(V*y11J zAW5wYPH1(yU#L{^!ozicD-qJRFF9FuPTj&Hq;I~cE>W)M|9prJ{y%KIcRZU~;rlk+ zR&`Kx7`18b*@hUgDQZ(AM$i_Gy<*fZiWap;jnt~WYHx}n389F+N$eSl5Inx$-}}Cw z=Y8Mjk2B|SoX2^cxz10n`@XLG{v$17Jq}#)ZZCwr*yXbeLPJ1*`d^f~1yEc|)HNDG zk`N$-0Kp-+PJ#vx5NvP{9^73A4G`R626q@-f;%K(ut76eZ~_djgANSjaqoYhRK4%j zU$3gqS*zFCy-)Y4KHYm)H4V0Su_%SV8tD1e7t|*g`AJ&bJ4NLBk05r{2Y(Cvxv#vD zQL8IpmS8+v;glbLH(Gbk=S_(rD-jnBvgp9$X*l7R+7TqlA7`Fpm9@W%LC7U$W0lU> z)(0m|GE^qgonQ}wO>h4%J`yJ{5i3%tQCL=FEz7N-{GITLJ*@VnGvo4y&UA#R6iS9M zC}smsAuxRdPd+em<5VF~bl-=6=;(>ivYcxzQ%)CSPxyv^b27#*x%N$W4q`?6S~qFT zDcM8;<z7CbJL!DOx<kmE^Ax6=ba%=a^mR=oL`5AL1>1V5N=KtA8t?P`1Oh(l1<%?b z+8!CIq3IFiGQ#fiTapNJ1>pv^T3mT+tQ|uY;q~p7*1WTblsn5}iPW<rhFjBOiI}q^ zrksLe-V~32L;4*0V&3#Csx?X2<ERc|Ikhni+<@&?Zz^@{&8-v6C~DwknoK4d?3dWd z;tE(>hHVk8d_?X@qq<?YkvGJIbmCb)JSTDByI098N~m(i6<O49S>Q}E#viewf*Mx% zOPXx*;aWsqJnPJv3C*`nroOCz5-JX&90C-dc_(3io*i1t2NLb9NTOmCy5l#}5-}>t zsw`+D#ym+>he9CRB}wx*Th1>6<(+}WZ&xRa5+uT#cLqf=hj}<x?(>3w3=hr<(;*wP zzX&i<ZWLFV$R}64NRz}#GPp!&0!L4168bK(rk(Du3Q{7t;mx5V=%bJX0>jf|e?nM^ z(cKPzszI%Mmtg-(a3_f-Jiden1@~wZ(2qKUdsk~Ar@=jN<n$ksKDWQ)KmRU7D|~u- z?1fZ1CO}SpuVu}9ww&Kd*>sbRvSjrJAoW(4;k-WrqTAF9t>4Y!#dB}^wg$rG@J#zE z{INtoqPdRMkiDy>@W+XpF37u8BKYlh2FOi+h#Xr_Qn*enM!2;MWxx6ysr#c3EEw__ znf9ZUy$6fC7$dU$<tH2<6`1Mfym8ZtB3#vguY{`V-r*R^qU~MukhR~fSy!U3%gZrL z8%S`^0~W9#-AWnCM{kFtHUjfXbE|$$ClDL8R&r+vDa8mOMl_saTXq3CVu3gy1xV0; zvoW1JEJI^7b}`87ogm(JEtSk|c2PFKn=j7s{$B@)A>VEQ*qnnqyWO6k&f!_~&iRI~ z503RLI}hs8AhmMjs0`sGk_<PNDbsc~mJP>|BRr=H49D_<5qw;FSqClTBBGhMx(Sz% z4ob1*P4w2}jzV>oExz&;kq2>~v9LOShXxuU3DtEUga3XVn=Gl8Dot{eEJhcjc2>8* zWFLa6+|&n6v=8IAZhJ9a@}(*8zae)jNaaL9E$*+as%+L@Of(OJJpu)1NVdKC9BFnO z-W?HaD1#e_F0qkTJ{Q68(|n2LPLT;`%RoT!-!eABz>Y&WFYIL*hI*H!W|72yKNUqQ ze@cGJ%`n;zhpL5dL9&XTV?(y2gR<R-wjH#@@hq<<Kh-3vSf6r-8gK-~_$zXFKUCFn z`qIh|-V3edX!+Rf2PS*7@($iZKZ=1b{O|<t(K!(!sS{^)YVbhX$ecuPu1(Ikl+l|o zYmihM&Bip?Te2q=#Wn#dN^c;4)lt8DAZ*Qk`4uBr9bq;R^!to=Vn=O6kC}xHcLD@E zQ=G7^U3?}CQO<h`x?jf1B1TIB^EHRgwkCE8V}HHZQAY>33}U>>PQRg*>1*@-0j;&D z$qBZ6%=7okXgwF$ncrY#7)q6Od=K7t!r2i6(tOx)%%s8)|KhJy%3fZ7lqI_w^G3-d zS#Hf>1dB@csb;1q`WCWwCyNm|p@jtzMX-*(b?q*^yAQ9NQsM+TKeNbPg;A`kw(+Cu z7C(d%?7%EoTfd-QxQHWvta>2bzuUq?zY`&YS9?5o+yvfNp&qVkf^UL@G;;1%G3+L> zW>)MHv14ya7RiKz-Dux`&9YzO<W+PMxT<O%K0(uF2I3y)!4IGfYB?&ad8ZvFWySD? zDD<Z`-uD&$%<rY!@LJQ|bdQ}gRRoWZ;MP%d`kw8fLF#C6zL}ToM-S1p>_<<zi&4g_ zYi*0ZDi1#yS+smuIC(i2tR@~=T%)y2*sTx3DqK;$Jqm+6()AdBw(<KkgYtgdZzrKg znz@mDY4=W6Y?rl*L0LPOKTaWOp|h+#xVdttgE3_*opR{c8)@kvdY??t_{pS18Rpg1 zL*FgulJ3vdD2nYx$=kkXlW7HjR$26ev)aNs|0ED8EJwjTlFiCL<gV#HV&Dz!@ATx; z;VKcoG^RLm)^@^wx>bY_N(P3J^CoV(X+|UhiUW^Dk$Z`o=X^&QK=WQ{D}so#ab2fJ z-Cs5>yeEAGVm3Lv*-XB8=&%DGujFI6ecIg&woPPDmtt%ebL_7@jy?HiO_Wdj7HqqH z@T!SZ)7v=Dbbnz!2WKcsc2}j(ijX{OTOK-ovGxPD^@8w!P3rQ{YyUCkwlkG5=^F3) z@J9$erErR!oadKJIpVOcs9E*Jc&%`P;>q0mXd+@D!RwW-FH6IBiIi46=p+QOBkNDP z<59|YnM72JJLH!f2pG096iOdHkq+B$4VjaCjA46EB~puU{%DxzLRg(Z8`~Ou{1Dla zA5?;RSM|7mfuSa-RdDS(?#2yt?I`ovM&_(Nm_p{qIaoJjk3*46U&O`8h8lVLHn8Q1 zp)MMazi;yKtYsGzMZ%L<ff0LEWVzbjop~P}F0;GTBC@Q6V|43*tL-oo?La1v%reZg z`#?r$)e0_BV4@8Y*cgR)e=z29nal^2b&QpwD)U!=-n-OVh`KE8q)p`n${0y<O@nYz zz5Q4Zx|NXu1?~|jr9o%H#i`@>8Bc*_{u~~Ukm8B8c!p^xVlEki3DhQ?@(Q7Pi@)M( zNwP#blwqT^3?p>B#U4bQZGzO5?onS&J;}>}Qh3;5jg2IcxBj8yx*renyE0M{$LaTN zeEVL1S0m;Q#>tzn$>}m2^$lfwtj_bUQ+hLsaK!DED;}=Xb$6Z;4iQ8(?GLky=GKui zWq4<6x%J@D^Tp#|9J?hu63J{Vik{7MPJAxIxoWH7-)e0sj&U>8#rehwa^3_R)L>*L zA<j1Q-x8E4X)};m9?>{Xwr4E(D=UZzm)H@1TG}kj(laB97BY{+XN$=t)>HU%ayEl^ z8A>YFWq16Eg3q(bw-3ymLe~Ww8XxQG<_s6m;u-$UC=#B9zhreOfvS#vdy=y<%J)DR z`pCM_cV+6S$Fp*OB9HpV)(GG6skZl-SY!L=HMSCorMP2!I`l5gZPCHJc@V;EJuaeu z_wEL5-!nGgIAdD6%r4BGh?0?dMqbOuc~<bp;{2<UtFeicSUf6Jb3Xixp&#b%D<d}k zVA)c0wvv^!&({G$w%3kv>Q92~Y7>4NipUw}Eh?dvtBTlJj~=EawAy~Ej?BuPj&JpD z&W++&kI|!+&#S`VAj&H+6s^9nu2O1$h)GyyI7-$<28q84gzx=;Fw`6!<YR>I7ig1* zx>2p-ZPfVXMqm~OCt{?+Y6-jpn!}+Q+*wzSOTG>s5A9Tkop<HDIlQ%V)RA5#h0jWs z*65K-E^C0eH$1@|lFL94G2BF8?Yc~WPi&jQbzNp5lG1*j!&!Eb7u@n?n9Uh~n+4nw ztjOk!I{@(>yfy@}w8o;ik9SG}zw^6;wpp+bDuwSS%!*L(9{d{D>4}Z9(T}j;y=P;1 zhO=UyK*gULAqi20(Wu@;6T9{R7s}IJN$VPvbfQVk;^RdDmubHekYit(4CskRKf5<> z3*O@Rlnm(Wr|BJj+EV{iEx*-&z{47NZy!p<2<9{CNw;EIB5L*vZ_ys1+*VNa3=$D6 zr`f_QiT-vq&PMyd#6H~Ugshm`z7S<|{8sbtL|bNis{$Oik2W&=s$go=_;a|ZUIt=9 zLL2RVziyP!cG-NQ{Wuv)4>yti8~q)>sSDB*im{?F#$^w}MpGfx>rYL8OP;N%Di~c_ zAOU@sD)%{+M`rkW0h^>MufMdl!fN=>!(hs1HyPGR+PNsT<Mi+NNn7k&bUEnYteM<e z%#-_stcUAP8=fE~-mAn$Z(>t2u$Ew1GP&BvC!?pRnWn<$xi7CdK>$BuICMi2e&+y7 zVAX2fJ?R9Z%}?qy@31<5Wzm`^wAy#i{TY;Ha9D#F0;Bo5gbdIIgVw*!ig=YJYWN%p z6{BeQ&JPrO&%oa)t4H2na-U>v)X)_{^hQTZU0rETjN=vknNKJibFL{)Jb+u;$^Rw* zxl5F+hkJ}cVJ(}|AOk7OMjnn)1z3kd(6gb-M2u+ics|eBn;dR`*ld!DZt^%2NHBTW zh6KOODq}#jgmB1OLpY1=ko5Y%qLIgPS++CB!z<CB#d%WG@3-UmsQ-4`BDpCB%_l-@ z7m9vycP0)dxZ%^{o_0Na?^A+GSk;3|d;w^R$D%DIkgD`1#rL`OLwMeY?%%PaW;5#I zPjcSDX=4-=(GNC%iXajp{!tkcQRM0%2@hGepeN`iD+0z%c4WW=c{%3c#%x9##RkJ0 zr%KcE48JI$>5)JAyxAkfd*D2rmBRboPv>-hBF`$9%s&{!eT?4JgK1fB(yrE789$<_ z!X$gRz%PRV@7y)Fvnw%N8@gF-cpK|rlW_mG`&YKbZTfqEXQ1)V2C{?-(-9+vxTvRA zHIHe`HghK0=0^PJXzeyj%$x*Hr3NE@QC$-tS^B6PMg%8zYBA&+c%uz5<-ew)(rq9K zgQ@kXtwg}vO`5^`xkb-xWquv(pNL8`Gt(Ih?#x2zCXPde4oUcGbEMrb=lDu{4AC^l z&yg)jo3KcKUJg~=KwMM|xF;AxfxP)ameq`vqX1u#3?vz~KN4_!DVzf7Nt689XgXM$ zE<h+gKE-k+g=EPO>L?c+{PQbN&PVxyHPhFC>c_+QtIpurFFo3%S#zZpyf?89R(ZH% zGw0ZIExCBv0o$PZ%C7uQa^zLCg9CtFH&Gh5{f--d5WfO{>SB#_TaAZX4+h7VHN@S@ zH`lFOP~JrCn@UXTW2Ebm{;%E}ObrA#xce9Sv-wn_o*xUCYd&<=?Z)bqMw=b0AY;CF zfB{%XI=MK@#P5l3vfx{xf~>08&U^y$$CYr+@3m~II6XC@Pch-BkH^eN_a9jhmM<+> zV^!UMX*0J+SYyp+Z@J8jpJv}~66sGY62D&<DGF}rSfqU4F>Kzr-6rDVRXbq&>y&9u zBA4z4?rmYx25=Ghb~B`NDK@v8%V@AkXfZ4*-@K6a$ht%9Lrkp6pe*WvvRe=|>aTcx zt*Cs=mlbeOXlF|EP(#6AFgz%%^BWxZ!(C&4#&;=WRpc#wP`?sRAD0~RmM%yK37Oc; zl3lXESVDBcy>jX;pY)uWZ{$lG;T+PXpsCUQWdUN1ZLkIif7>HLcwzU(>fys2JNDW~ zIjQP`itu+aB->hAAo03A*4eLjdg|640ppxz`6k*(?Se_+U8_$6BR}Q$C)jIoa+=kD z1s8<vnCHzxbwQrnjS0ej$G8=zVjk>eqCLO!!1j_o22*p&E84fT`6k9lqU`~&y>yS_ zl=ANwnWd4F$o^X*S7LTm>BW&UgQ~O0cD+9a6+u+j{Dn?BKA(^hlEz}YRzD(?Zpgg( zA%7o=Yob+MsF6M2jUdwXMKx5Zz3(@F1(S{JHCf!g42#z87iOVCvslRzsol7wg<CV= zc+qlS+~{5KkU!Um@(r;Tfo)|z4_U3hEU=OhJ3m4`SX2>Wzfpvjei30MOAGabm%@LD zAtyIhbKR5-=fS+l2Vl3SLh{gTCfs_kB0QKM=^*r?)DTF}&6dX<aRpv?d#%=g#@Cwa zMlQ5bW~kHo{E_v*lB(BY#K<Fchpu43gJx^&$RNhM;7=t>R=<wfh6s}|#L3RgskSY8 zAN-Y2j~V|)l7+x-6t<+3d&ah2%WpkSK4L=OFa|-$ii&$<j555k`bITjJO=`J`Kpl; z%y*GKl+Yf?71Kr2mW)8(ZR*B<0^8wm=L<|$U!_vVfmxb|m&SDm>nMJ4L)Hc*S`>F^ z?)5R_^tizkjW|P2HEba=K@B9%f>uusl0=y^{!QKxV*DGO7dHG?Q1*#{%Azzz015&O zt<a+d{rf|%#1QC1XVUGXl(Ea=(}zP6jCZkDPb*HxCozu4R1Tp%Ig2=d&N0}6ciG({ zw8F@r>5<!C#hwSM3t<HsqXD0O=kf+3S4~FWJiuh3j#k&<J;5eC-5aZEkf4V>g&1C+ zF1@$GwEQvb$GrEmFK~v_@OxgC%+o7deZxD`=Z_P6Wbra3Mt|a=2EaWy5>5itZ|nSi zaHi7*{p57{p_(c4@`}xW{Of}ryIA7YIJiWx;D%dT9^R+NH$De3D>4&(@S`sPb((~# z0oEWKbb^)Who%+N{-?(WR+tAXj{UEXbF8QytvK|v9OGLpKB^U1C-;GpZ+#ygy~6FD z9tl><bswd|6a6)^@STh;DB5k=dh_9`C!FICyO<`3>a3Il5aC~chvGRtw-S}@wl5kJ zyS3vblUy9K;*1!}EOYPeni(<A=k1HVozNrkbgIAK0Ej%sc-^tLt0INdF59dQv2)7d zI}>^@J!_6nM;6te4_a|Q%7LnHy^&ZMLFe<1-ZyvGoNDVFj~Dhvhw-s=%#PH(@J`OC zr8|sM-zo{hA8oULp1>UCmboJ{p`zH`Z_+Z*ObAh@&B;}~k<Mp308QiQ6*H-+wtW*C zPwqRsk!+mnmXA4m$51Pp=iL+cJJ4xp#@?5sXkoJ2I`yrYAepJQoALW3`e4hi0q4t| zs#RdPhf_?u=TvK%`=!Y%&w&Ru(e3LGb!EGjN7}PrDmq(#Jx@ktWdv&a@)n)a*PU6B zNYx1PqD-QK=<hPF6z5;3E|0WIC^+lzZ1^^O96YxQQ=w`IVg+Bmr|-%Dk?xF+ycUfb zlMax!4OFzeiick?K!dWcN~P{_yfO@LedY?iFkh-3-f0Em61a^18SzuQ`N69;+yUW; zT4_Pqvd#^Ez&+`M4BFmr(6Y{kW76F$k4bk2dbGGB&MsM7LdHrW?OpQNX1`)0-4u^a zkq?CP*k`|BxR9Fkf92Z<HiY0$kFAiX)f_m<{jzWHg^-O*T9}qyH|<mjPV%F6^3!>k z8;Q_Xv7z>u6r1j2XYCqn@d`siOuSpbaR(g#`;p9lBHn3~oAls{t`k<EX4^ZTS~ucj zab(HrEqwGlt{Zv;ki0$@%BR!y@pg87%3DG*;4Ec|OhP8$aB`|eT>5sZf$u_8)_fd~ z@4|t{75X%z)s1uPtk2D3>`c?Gcr4b@Eq1J!@NV$_`qID7RWcrikv3mQw9`6d)mC1g z$(k~MIfB;N(Z(s<*zOB`FdvcJ#icE&+h{8jL7|?-jV8!0y-UJZ-{QvjPEnWsmNy^q z<_o0K4x|N%6)#eZqlDs^0F$pzNzQKo8a;pgr>OVzXgzZ_8SagAoCtCNkcGj@>P4M+ zTtsVAMt?OGG9hvEmT!he!|7p8ZPB4T+#XJUD;<<Y&hp*BcI5p_&%-{##M)^}zSRAK zIt#%#gAVXQ!N>~Yb+cw$S7$Qc@{yWTczpnor<{^86!hHyPQ5`=^3KO^YEOMQ!Z5+^ zldsm~kz#_c{@{6;Z0Y+U`xHapDJhnCCM0XXj{4|(BB+O*hA>yuV??<mN>jl&V&f@) zut!u(5u4or4*`(f(PE+AQ9pfO$|Y7oj#rr+$iJo!4isCvhT%s-<@haa<WaJ)xTvfb zf=o_UZ630Bi5dDZ_ela%!Z%t)V*Oee*P_FnHr1C2WX(lRxHhY*e|E}%7zdNd{3|j1 z?<*NUa4`7zg%Xo=XDM#B+x}2P91ItKzLf-m?pB(cOyX5DUgnCe<SDKv6}GA?UdRMn z%{oEensCt|+aVJsOt?y_w3XmQ)z=hN-YFWbyZY+VY)iN10qB~|$?S&GGhRXyH_a>B zpcMlQoikCdnF?X)Cc_W_p`^F7Dj`sX(&x~j10i(o42PlFe5@^5e1@pQS-LHMalbOT zz*-^RIHiE+)HmV2(48U*BmEBJgF5p8eut@VvP)I|mK;-G8q7!>r7dp-w1~N|f`lV* zq1GP&nd3IH{-YEVRi^xtGN+u=RlD{&U#(;+_2l{79}>^9GOFYCacL2kRhC0eG^MEp zSe-Rv=B9X<fPQf=FN^5faHj8nn1S5jZ;4Fbc&o~%jx~d~G(&|mfnl*T$v~Y}hhTU? zdr}B?A=>S)?1r;AI!2T|edI1q-&a=JAVl^V3D5i!HQ2Y0&lT@)U-i)`YP>!0oxAl_ zIXkuUVh$!U;Ip&YsfwF&ZCN|WUyxl}BG+4`6tPj&=4Z*(sK1F0++YNMEq|ey+16sq z`#`JT7m-a#5AQq(kQF~|6#|=G|D;^a>02y11TC2r>L`Uo!&iQyTJkZs9VT5_R^AmL z7PrZ#3c?ig!=xpcp{9bdi{Py}fmb3K0$^?t=%W_)>`d2`IGf?erhCL;TpPaBMDr9+ z6!E`8aeit%{u7jRZkBa_zWR;r^y{%g)6EG>kypi{lE=@`R}$*!+;Zty*ER}3IQoP7 zFpJ%g#lURNyo+yt`MiNSnRxtNy;rO2w${ODog!^*5;!W0%&TKvJDJDzan{B#?7+Sd zK%7?V9x$ghh$F4T#U?^q@9sZ`orsUJoTQ`N9_D_fs$}Ao<db=ST^~bFxjW1q><|e^ zQP$tT9^hcMTyqlaO^d=?fOabhSe0J$%zYADNgI;g#lElemZIwwlW@J9*FBSY=3t!@ zvnlu^G5#42#>V@@Fyz5Y69L6T?32?MWIuMgmywC|r#{GH2LX#N%!)UG#ghLV$(rT< zK$k{mWx$+v;`!-+x-plx-c`>p{xxbmz3Jk8{kDN0s;XYX%va;|A~fp(8T<aRr<V1P zd1lh06xUvxFds%11!S~>;!&1sIKqfDt}j&yCYxbvpk0ZDH-lprmh}sKefJLbtm;Qb z6pkW#{`~TvA<I`EJm{h%1@R^eGJrIb1(`s^$%6DC^JGeY(jZ!Q_(y-cim+^xgg=T= zr7!bT{U4t2t>Hxh-gKv5y<FRY5l0RXCos?`iiY`EC41r|;E!UE)f!;%^BCe+n_0o! z*xUoEkKx%_<1@-3yUdcFDf#URYD<h|PA|0tpH^gHP5)0aWv_Xp9C5-M8ijw|@{$(y zNhBKZqw8INd_EDlsz0{p2F}JPkFn>ZR7^>MQ$Os`SMsrHmqS^(!VtYWwx26BIMnrV zpw?9g7meK=cq0{XTpwy$4%9CpF<pPm36$1^G%9fvoONnbBv(uUGZV8{TCtR$XxSuJ zSH0XU=0BlZ3x@SZMGz4((MWOjB&WaR(V@STb0C6$e!?%Gw~I#Dv5I9@zT&ofY^U&@ zqU{G9uZ-)V;NY~f@dxUstwax1e`AW#q@|eMXoRwwFM{0&T%n~3b_z#m>g@c~f?gLk zk^)r?ptj{e{Es`NtX-e+7AD1(tDpG%!kZZAz<&q;J-qg5iNQx~db1&C?;laC`S!7o z%4;Kk1`Kea=Q_5&70KeuIcoEtA>0c0Vh0|uRv&s&JS8&shW9WWAzR585B3#;`sFu{ zvBxn%om6&=Ssm+u=JK{*HQNb+Syc!djomHqVFbdek}n#3Eds^e_|~pXp#WUUL4>-s zFJ0`t6o~<kIL$nuq)&vZI^Y-s)ry;|s~miP7ac0{H0Za4OZB3?*Qsqczi!T*U7VNf zcMuWM(Ma~~$}Pt5&>StBxwdqQ2{xQ{3p6Of^RZ;c3O@?1CxcxD@LyyGUxAiWvm}GZ z1b!(+XDcKrTUnQiOR`be@~I1%;@19Z+!Wwpfj@OEZ?H{AeBF4zvefKx%UW;;R`Xte z>xNmYE68<xL{?23-K%WB8Z;JENtrTbLZQrz5e<h*yrX4)c2b6g$$agk$>FS}>0>g| zSPGPRQjVPo_Voc9{iHS*b;@2mP@yQFHz#eWkGljd*fbs}%+3tx_~dV~*GjU=OLZ^> z&BhMAxa7Z~xG0F&-&>9vDDX%Kz`rSfnfWMiyGrrr=|`U>#U?Ce;l^lKp0B_&9QJlb zhpo@*nV~Ya1f)=*PvFh}uZmVQYt5=|QRJ!P(r9CkS$<mDVys#s#?9O&P&m`))rtIY zuyIa378@tQ2_T~X)5inmf-1E+mtP?TzddcO3bw;H!wYp5H9MZ#899ige^xYq_^8aQ z&}3gQ!v+hmU_KYXoLXt5aIq;wfyzEOX%I1bk|f)G#`DS@t+V;zU&leDwSuGUDVg+} z<Vl5f=$N-8wwe12tyvtSRhojt%O{fsI3nF1xwmCWartEJ-gW@PWZ_RVAL?yqjO6P% zj>I-C2Wuc)({1;O|2u2?GAMq3=61-Nx{N1wrlHJj`H$)piKZ5Dl$#}Grrv+z6kG`z zyfiEO!MDads5do;m%(Gm*y9(;vY<D0hnImeWQCBfMfs6-QG_YYl?-(Xz+%2|`r2z% zf8KvYZYwRSK2}HuoPIVimKOLHmU77HJF8V~<51xdLZAEHT3t8auFq_LOS0NIlI*eG zl;XBCi`VZBXF+WBv1jhYP3HpSl1sgdA03U9rPLDM3aT4O5ED)DjwoxLQXe7xLSIc+ z;MX}5qxz7BCxHSsWq8pr9fq<Ve2UGGXD}Uln=kkjPNC6d=e!w5z_J|2X&kq1ju(6r zIQVP+X$&@r_`(sVM&bE??hX^5(`wUQQyfWJT>B!vqN(f9YxUo*Cf@ci2&~9Fp&;G# zhHb{|eP8SC#l%}=Hn%*GWay-Cz`{>qvPtKZl(tQ^O=(@_6i3MBeY8s{mr-UEpOpbn zWJPQjIsEWl*tW@YC*qsOIj_I}pe5P+-A-TUn=Zu5p7K42W1*3M6HWDbeqytx4rAaV zQ%~{eUaUH$-!n)$e_gu^R40KHS_5Rpjo}z@3*Gf6fUkhec**wMD{6nshf7L7tlX(? zhT1bi#=0eqIx^gHmZ~$XO>3ljVh#4=WlPd$crD4+`OpT2ce2PMi3Qd2MAxmMgQ04% zwp;MtLHq|dbIZGbp5L??j$W#6VMv)z#us?-p_O`6OCv_i=+0_*g%h2{7NnZe(}#4{ zy1Q!_1t(kKt;6tR7Lx(r5<UwUj?7F4b-VJ89lrAvX%j06Zhm0y2wPBb3O%iv2x|YO z$-M5w5gYlIUxxwx?JMgmlCTti%t=5=Zpz{V;p_pN34=R-oW$0@5*w76edm8AO_=2b zXpSw{?t*#%ejikPZe)*eEuZZU(<D!Bcki1Do$Nc~h`u5I95lJAE);w?5TK+|b5lQn z{MrmiF~_>O72SA0Ab#)U^Tjof(3{f|fS;_&!VO52gQX^5&fN_)pC$$<9SWGkb2D2^ z>tbcu4#=Q?DxF)O?V?JV+Zf(p9ii-Y=*qsh5DeRRKjLJf8vk=2W$6aCDFyf`tAyU{ z47oz7yK|}B=MRTB-j561zp1?Wx}MAJ<<hux{BMVo^Nq4mE0bm;C%NN=2?8PdqhT-j z!Lx3Mv?{uQ3<ps$$Uy&O3h16B-IMihhrC6f)vY>zlg{mcxrc3S-_xkUlrR0~=-Wu{ zX{zR4_dZvf!aYsOdhI`_-u7cGjXH&r&|fb;TlT`zIY#dOBgr{EKDMm?<4Ko*UmM!3 z6yMZ!-?b-;c=vQn>}YsnaLN9jZguSrZ+u#jz3=b?!7Co2!=~l5p`;pJ{|u@v-?_9Q z^_sMQd%EuXD=h$jF5s>MAonfz^n7D`vEjb4so47P#_#FLdnzWrdGBYV=$<NzuiaB* zFUSG7mO3xXf!HtbYH<JdMSy9GXq?6P110!0ifjT0>A!~EC@GZZ^UzUkj73~23qqLm zYeRAkH}Z&OS-Lao3V&@0))DFb@`!F(r8CZdhvS5FZ4{<TB%y%8I$4L|MiRd4QNcVq zIfc~{^#D7Qd>)_puIWaaFb76m$*gTf<}n3^U)in=MA9+^e!cnw9$g%EH?TRj_}v`B z+Vp>xX*00hJ0LV(qr6CKmlY!Xf7AJ61GbLgyoDuXg~V1%LQ?*K{De8<_Pdu;>BRqk zzseae<F>WDnz_pVzBI`Hk40Yd#R8tn;Q+3_;!1!*mQ;VOTc7$vbNIY8C6=JD`L*+R zbsbw`HZE6S&tthyP(k?}-_kSO7rNori&V`ZaDFjR?Rl@@i&}bP<<4jyrjuuDNZ4>> z+RK#<;B{%XNMnLKv7V`u7ceO52%<fIuRQJ_s`+dP`&!4AjIG)gXv&)74Dm`v*p)JR z`#07BZ-zKN=ekQJ4hzidLj%fzyI<)99U2>eewvV}r;;@Y8)wL}lD5V`<Rdq(ZtZzV zY!l?OT<*ZHI<_Kg)gD0XzNiUyM+PW~O8E;g^CxI^apNKzNjTztWn&mPRS5cjW^LRO zB9Ma6DAm%+Mm$Tuv<hBW9e**-2lya(6%)mgNtREE3BGcj!_<@p#9=#yO0cWM>)0w( zBs(4Fy;)Auv9<k&l99ClD;qhr6$GzTA;b372>;BeQFn2w(xHRai>S>u;mDz;2IDU? zlFW~U{T}M$GHnomvR3Tar$lvsarM-8%^)+y?6%b4uNu0Q9?})bGm_1%4Ej*Y`?!(- z7!_2gt^dLE?aK6zoiz`eJe%&y&{iK?-+MREz9t0TgfJ^ZV2VHwQmYJILjaHNg=*_3 zRTO)=g!{bEheGbdR9qwsI>BBI)J6!)e|SnuL*%zBnyKBRK;%@A>z1&80ObY<z9M_; z*KnDPC`lCYY5eXfPO?#9PHD;|<HNuo;$=&MTn?=DQQoO|rrBx0R<Km#1KBWtU~JLw z=(k*wbJ1Xj;RZZ%@n?)f>eCxG)WIuIX^f#v&6}2S5H8z6{#N$UHKHO?ZBq7=98q(r z>;z4Frr{SyhD8OUW~l6cL`5xk%ZG(0-s)eg%ZVCy?dhZfDE~M`e`7jtL0FeXJ;8VU z>c{$XbLz?U^Cp<!g1|&+8LjdD^`H5*_fC*pMrfEydAyH@0hC4FHc7LBW5x0tFd+ru zP|BG0_)j>eD_&a$joG^ssmxcLZ*r9>J5<{?=>o-C;fh`{WExU`4Qwd0=*~zVf|Jq4 zx)Y0NfU0HIkHV?vKsAdo&a7PKES}+#9ey-QtDbzML~ylaik)31Dz&hbO@<s?DAXZr zF?jdVDK$Fbe6wQLvT)@{X1lexqn}F);TJXgas}v5d2#^reo@QNopQy!))95&TEl$} zeOlE~(?IC*T`YHhaWaB3d(GW(n`$e($i(>DGOMs;ci8Tg@mf>)EseMNB8Men{r^>j zD-utY&_7R=gtkRBH?Rk&txvF*i&9;TSz6DPI#tfnI+G~wv#Gr(XF6l)&P*SY6W|&N zY&a^72%zjH%h2YqkR@XA>ss9;vVU#%NO`v2=02HG-bjpp7Cad)Bq?R*hluQSp_NFv zWVFl4!Q97IvU-iO+R#lmj&{KTcwt{9dsCu)b(fwbX|>&bnv{KZvPq`bxTp=)S+m+4 z)vC9lt^!sgrRbc;Qe^NfwCxyXSN(WrIQ4}pH4KDY|BMDS*eFy35Ar=Sw0%>ruWk%! zSB%GwKS8s9%9A9vG<NA)Mtp!!8)yxX8tLSzTF%JzN4q?Qs`6weC8M!<)*DEHThkP6 za^y)1LaPSY-j=G&(KJ`{0OJ5aM^2zJ8<2|`h|d7*2?7UhvfgH@U;ipRTll!c5~-nl z8ks1=@F>A~j_;%8)}Mf{!+3o+@)hd@l+;cSTG!QoMB^E4a_&Pn7m`9Ba)pT;?y?Wh z1(~C*ldzVMA<z0<>I!{`6(*Lr%Uqsc0-|y6<@J#0ei!8;AAE(0F7C2V&s&6|OB1oG zJqa9^M8~-e>t9O@S1kP;kL-B8zT`Mjjfriof4AI=v(!HxnMJ)W<~VV{z0zddofnOl zsO=Yj*_A9#L`Fw>M(4*Az{&kVVEf?(@LGN`&|%_sj6-3OnaBN<E82gBM1uARRL!y= zvi5yltoGc(&w$FObE_%WLFgaBc?45301F<lmw0!YMMHv1Q+o>=arXU~?cRAo%-geL zu;#PgM2jEYPY|;nHyg-T=ChyZ)9G^{7pf9}L;Y%&x%SpZ&1(P4)s*jnoY)!6yvW}v zAUFCN7FKj}LQhjwZ#!zWWaT1fiwSu_$RjGlZn;Z7o7rC)=9B(sPLoY@tMw^=plKv7 zMeZjE&w0YI<~muJPuU+KUA9w$RhpHnK6)AlA7LFhhP!n}Fi;^ff-<)p!qY?KgpTWf zZBo^t2b5+;`AF%)F<z|?-i!Cjg4m{QV5i+0n}U4-btj6BU@}c*c~LP=%RqZWG0MEp zMV*OuKxBpk+a!)lha<+25{cI8Wmom#2kJae+v#V~Uzrb>A~`Cp@d2D<jWuzKgm?I@ zr#PJ5z6!V(U^j+mljg0d@5Sfx3ax*Cg<7gdHI&_2sxu51rh;WgnPTQYlHMNcoyA!F zQiaI$1gF+|Jf8&&l>Sb$t8?GspzIy(KRI=nkRsFK4v$G%*L0kCL#8Dj?gv@lTF9Tf zNMkLGml|3o)m`nk_U)?n)a+MoJJB<CwqF9f7C2#eYFnC|;H}<*fo-!3yGoLO*`d*! zn_2PxuG*gd&DwrBr+wPkCqe?-{9|NfKL={RN!Ge`x6+CSLh<Lf|Cx_Q{up-3#vj-u z@qaF^uBHWSy71U3dc(R->>VaJ4ki5~WELND62$yEH?<FqPA+Ey>J+n*hZI`OMkQx3 z1834%$@L2@q@$8SjKI_cR&xG-!p4~dM7=1GW1E%BYns8$C{pZ=tDuK}L5og(d}XVY zaQ7gd#wA9iYcs4;eO=LE)`xcVE$w<RbxV1Fny=klV}=DT$grQn#ELiID=ugeNnzp? zO5+uZF){|Zgu45OY5T=yQFHso*EUKfxHa?!Oq^&NcQ?=dncu#$1DH5}GOclv4UGy) zp!$?2PWW{Wgzm>JJK+3Ha@?i6RaZE$2;X-eXGvRaNhS}rM5)SjwEX3yMY0;)A&BTx z4!f0&OZd~{{X5%KGoAKY_tI{^Zij_h%X=>J=I37{-03`(G0F406wRB`%MeCteeVc- zQRhF{cz!?s?jI%!I>Net{-m^iv}iuP#`NqlW^F06{5_}yCet+Sw71jg&IP%}41F|c z?q$(!7E#^Q<zCNr`Rh$>o6=Gp3*c)yJDZG^J6HhuOm(mOO~J|d-XR9+RJBAHjXmqv zk$&-TGX8|?Wi6EDs5!{z2la`iP`%J*DT~SV*S)-Kd#UbzV*BQQr6r#%s=WAQ^O1LJ z3~VYq?hYG8eErl6;!+ru=pdlpUf!E6#)RaXpZAYpsJisA&60rO;6OYf&Qou{ZAm^? zPVotlmFm};rlk%THLI)TOCrhb+!q4}Bq}t8Fv}IUNJQ8L0Slo@d;>0vkxiZpB7KP? zE^aPjzw1qZ`Vzx`=<5cf?e|Y1fO+N(X;T4Y(O4_{N;y};1ewG3J2Li&cOt$K@1R<t zy+gg}(_Ovk4OJ;N`GKje6QXxDx)pobo+6_SPKw)xPnVcXvSqfpvz*DSol=~g6Ixi_ zcTDUTbBs<V2#03)!~8<xCLnJ-3Z4vPpD1-N8WEt6n$fg>u1#-d&Q}=v+YhCN(sRan zt!V3=?uXaV5z<IhE6cCdZDB-G;Otv)%H&bIFG%m<oUWOBG_rAk_^lK;*lvRBd*mQ2 zbvf5+v=sQ`<J=qslACrUY;AV*na~Sv=$z{5(cH%AB|E??TC`5T7ntXZ)!5~Y<&nBH zts?elen8}Z5xd<LVEU_GZ{}O06JhleVWjI+L$vpld+O59{*9XYf7zpfjhf_tnbbgW zec`_>L{)4zV}<72!_KIeh2|IMI`yw?%N7~S!<~PK;D`T6i@kd1WEVqb!+w9&yJRaX z5>A7+V%~G-RusBx8Cp@RXN%LbG^#~-wjM-rg>_4Rxw5*5ZFY)2vkuWXr{Z7Ae1&y6 zxv~JnHWNjkxrk`oa`7(%K9v~9OTOzwS=wKNv5u#F*U7RgqOp|)eCCD_)<Q2*e3tiM zw*I=vca6eLhs85u4zcD$<{qAQV)E5;zh4i$>3^^{<(sUpYAbSiv&RHJwXIFrww_gd z;@gjZx9j{5&frme{s+hKghdF=_setN=}h5d_{aG5e|kz`h7#-J?FUY%r8rOhgKy1g zo`{-PVc`pI2AkbmhRD7?{Sa;)3&~IFpV0l+gw=e4V~yOL$)@q$BFRN;-Algy*W1rP z_uhmDUavj#{l{(gK+?kDa*}~#VM$yLlDZ9lk{?)N<arvu2viH2Ol|j-b7Hdja0O#% zG3sP!DfDU{x>PzEtj5CULwjA^8%@xqIJ5jC<PfW5HYN9O&Di!=A&nKNzBg&}EjL1S zZ2Muzsqo}^>=L6UCEiW$Qi0g1k_>^FH>2s@+4C+M9TSZ$n`(Ybe%RbR2i4>u%f}&q z+yV#HY#{<5$+Yx~87#@HuKb;nE`HewQh-gkt#jOq#%a9vsm7M@C%(V%GC$87JjUwU zz|OIM-g!=R=9W$yge7+O(R{EPw@FvDDXCas=(WS1lw&~pmKBqYlTL{6@1g#1eOz?` zAImH=hq5N3#$Ur8uPl9Y>q=)r<|}i5=WR5y>>Mij3obI#exXqa>I;g$b7~8l<)eJ~ z&JRMG{UjTd%=Z%Vsf{NrOM=g(CsY92C{c$>^U2@MzJoNl(%t5We^{=aRCm$6#xALw z?k@+ebJPy0;fn)VP%P*$ZL?3N%N74C3B-YX{*^NCeRLg|x?H*&D)!tofzmmD7fQ%e zpp@&Rh}VMs9^#IOSTv%X>rGP~0*cu%fD>8v=%N*?pj48$o%zrijrjaf;|U&nHn|CU zfDMR-dFsc^*WD?dbpP=>D^0RS#D>TqFNCIEPCV)8!?@Oc|K9VLAc}|FK2c-=3Fmq% z2EA?mWewGX-tzylqNdR^;Wfm6ohPjItX1+n$ea44+Scw6Oo_G0)T3_nG>JpceaoMp zKh;A3XrEZ0+L7E&Gsq!7{;Kqo!1;tl^ta*$q1^OAWl)A(g1-6o`NfHs!O%Or)_JMV zI^vHNCu4^^osDgoxf&E5U>&FAw^@^@iJ+sem5;AKotmK-MYzjf#4P831UdieSYcmR z#C>G%Q1v6oy#r9ebbvLiuOO6uDcJT}#7M#W@%Jd<;mKI8qy9$G5ijEX$@AuK?4#fD zNtc_(QKs*~ZqBDP96x3eSn&o52=Pjt)ss?HWhKH`^#i4qvhd+b3!{(T#cT0T^e7SU zGz>-P@8%eLmn_y)lw6&ERU5p^I?N%hNy?<|C%X#dPK_~5C-{!7wH!0^2{FUpVXo;G zt)5CcUtW<38b)0TL9o-thvwvx|I7%Vn3ZgO=dZ16?tY$T(?5^qS<GM?+hgn{$*AAh zSiea0loyJo5*T(%u2x(w`D0<`zr8)|qFw9*nLJ&yl0W%YS}&S^Y8CtJth1F+BNbVE z`7u+kFXg$Q1iVm!jn@n4@2pT2OFc+47u71}D!0a~>5zK$E%`TR-|k$nLrPBM0<pJ; zT-^rm^DCGdM%vF-i#YRh6VqkA7mRxF!NR|dyrF4^Dsc%o!uB@q*8IsvX8u0`fj!j8 z_6VBKVq3%PX{76aG216-fgB2M>N8Um`sdZT7r${RqTF$qcDq#nSpDaFc|QAJnk_C) z1!j&*#D6&UD3UuqF>F2KOx5+*Qs=C7JGaHJf6>h7q_`O0`c#j|;Dul*Ro2T{w>ERu z#Bs^~wBK2x25p%cka7RF?+B6s_KgMp2E>94PBNZ|<W?bnNHv=)F}nd?1v-ALA}UE) zoR}O}K|x<Vev~b(1m+lNP@2jf0~~A8O$I`g9U)X5C&7Tauwj5fo<@zmCZ0uOl4@LE z+CqEvkzJtFZ~xC+;y;>)aB85Y8%g8-eY$h4aR;Y3JWj*7V(WBI9S;Ke>->*SIalJA zI=%^y`oG|R?VfMG=r89l+8OM|7e|sy*eU*=2!j(4h}V-#SV>d1sl^_n${VjJvJ~;I zyX@NZf7-Co8pnh#HrQ}c6K0Kf_a#IK@h!{^ojg>lOBqhCrN7OL(^yDPRldkLww=22 zYYv<*xvJ^e(3@RoQ>&|?jr``>6?HQWYmX<~j`B2r%54Yj-WdGUn?IQowzhTNlIly1 zPpFLMGP>Q$D(OBpgk(%<L-MUgYb8m$aKi0h&N4WCsFlQDYla;xCVZVs@{iyS44wTn zeM_aQJUsgVD!!{G;8{*ckTKpKja<G>&Nx$~aN0M-jq!_Vy<u>us2!?FzZ`mPwxCO$ z7UJB07sU9JOlSs5;h@x4qe?B!o2Ery`}R^c7GmUkmI>ApTf+f6IIdB^9N9X&U`-kW z+Iyt#g!TP`DU5sbTAutG5!;-=)P;`<>~;$5?AAHT(k;THi|g8(k5Q?=JIwbq-|cGD z^Y5_j5(&DHaHO1D)OTz&$**&3I*%_LAn)jZrbzXh=FejM%Kua*p2*~8`Z^t*YxK&+ zuE$jCYqlFB6!BMR;{gqJj@k2{kKph9cX_(s#BE_SmF1+p?P2I{B{#91rbyIx+`<m1 zoZUSp0&a;JNSqrD3$U&GrLKu_AglH|F}cbO<xsMzacy|z?_g1W5u(|8)%4sfoA_ye zCshjSD1+AP_lFRQyun!Kvt<<nT!UgRKgsJw2@snol_`g!Sl~Hr^lOfoy+EEFv3Q{c z1)iojB6E?Xf%1%bH{S9l{efnDqUQ3c7XItjlQv&Y?`7&B(IpD{<Dpg@lBp-Ih<NR| z(=6?)h;}N#dMxP-J)=pqZGrL~aq78$Wwwdtavg#<wfJwGQ7|X2f^~KAQ+h(){-B}I zN|S!n+23gM-xBUfVcVlB15I(ekDJ8nmW^!LCuV^uI_?UUZ7^r{Aj;vDa8ye-rkW$j zet0Dl)zXfsW)I>RUWrCs{%x_JU1@bBA68Y^0-U$tWb=%Pa5+nq7L!MC3WWB5cI%Z$ z^_L9(Eiw2V#hl|h!?hnloOdbFjd&~EbbXfY`rQ{mh+B0TVDM{Ur{9^?m~H3FIe|rM z9;Yz}o1frPAbWgvH62G9imS|HU7&9^5t=pq-b6apNdh20n<%NngrwjZWG^+8#?ga< z?FZ>9)7|j(+HV_~jqYu_mj~~E8&GC_+-)-Smfasgi=l8TRl>vFVAd~m8ESxrVXZu_ zOl#3FlE`6V77fwyLttv7aE3jcC{NNbu9e-DWDO33L~^{;rQ=r3rVEtlv*3ee#zrq? zaXN)WXXURkm$g1+|DR~MNQ$bFN-}S^ykOo!D)|@IQ9==>Rw|OQE1o5Pe`f<Q<0|@X zfR`S)I|Q+ni@+=PPy%<W2%6w{&zWx8FbwKw^$YH7YHq98;y`Q@iq9O|(Z!XTz6rU9 z%IeqLOa2lwY@-a&uEMi`c67*lOEOnrWwDY(ah7VU2$Wl^grxAyyd5pjUKzrzg`Mt7 zxwrb#o*K-S^fhq1^Yy@g$5^tW;r&4N3;m&epLTS2wWe<x_$zN9P>^=OlWAW1;Gsa* zBKJe!fEaX7eg{YWnrX@3ZPv0SJg_Z51JYBHb#&AY-c$#ko@cVL-mbBYvM}8u162i0 zZajfT<?LioCgu29{gk3gAV&AoXsm=U)1k5iIod0}o>aDUE`o1=dR((Am`l|Dv-OQO zwmH6oRdq1;tH0!UM(*|n?gfgI$vO?7-07-@bGjzW*Lzh~F`|_jHr%R;*O|+&Oid=K zw(Qvjn)-5;mDg0BuyiTZCcJjm(A^0wN9aPC#1!RZe>d<AfKsE+t0C3_DXrd$VxKRl z?Ablj$5h)h^$})&TbA=YKaERXwsT}R#w@MQ^$8nHg6m?Iw&(g7&st%98uU821$39i zyxR&*F+_Ot*2a(Ll}F9I-`mDhIF72TxIeu0+1+GIOPYa@txWEJ%*;6}vi`2x6<f;! z?GzQLjrulVr4l`0<#sMGn;C2fr!22Eu+wpv)k{g#^n*-)r6Nsf>>Nj>Yr5-xt9tHJ z5t$B*QF-lC(fo&`{~^UaX1^L3+nDitZ13hKaTR#|qk2Iyz@Emfocb+|*7=LZNj%~7 z2>b2TCmrFN-|V-Ah`jJeO=l-0|HI&a(6y$Z`^Nm>NgmgD-C@FDi_X{a)U8ixavKJ` zKdpz|tFjn>P}M|#8jwOWO?8pn*(p7K(q*^V<5?dj7CJ{kADDw;n29dFylS$JC01B} z;`aeK(NJ<nDf9cWMo2DR-uC8iuAffvLi?M)`Ts+v|AjtQUze!de~;F=|A(6Yq4|F( z{T~MWherRQ<A13958jQ9y8cUkkGgvPhuZ(4<v*BzomEr?OhMOtnI|FAX>f`kCh~xi zSu9bd(QmT@2HS<WWhSPLU$hA^Q*QlylDACKbillVP2}ac@6bh4Jx`z+j{d(YDnnXI z6r=9ET%k(pRaeA2+2x-0y}dA#i;yY<$_l#fKD>G-$FkNd0l>D~q|FwcO$XVT?<dLw z2dsmC<B;Vi_C;NWz7hZ%&3nm`2k0l6)jMxvJ#>*m*2q*9=T)+g1(GzlmjE&oDaI2q zrjCuTQ`S^Ll7O&jUAOi#cH@a*)ANMRkG>>;ZOFIlkH(x`(Sqc9l1sDK->xN%v6{9- zn;^x_^i|?XWKstpKvA6Od1!WcK3QkJZtw1YjnBgn<FgRsl>F$rQ_Fbs(29<fDu}rz z(OEQp#b!uF|CscIFQwKrwswND<OB|-Rv-3stTL%$1AfJRh}{75&^Mb<06;*$zxKBL z_PVGizN0wW9+KzgTW_{KDYbrJ^T#TGn4lCW>%_C8qD;tF7GV7olG)!+Z_|L!z7>)= z(ErA!e-dAK{b+2kHs%|xHNlPRGLfmo7}dpkr`XTHY@z)Dkipm6_wK(FPG_FnxNd%< z-Qps;SRc8!Y5E6U1GCL$l?mLfhcC)z-|mynKB&9&!|%<#I0Re-)|&pFT)utbF=7Au zV%;Es$Yb!Hgc=0A@c4aC7!10I&Qk9iMKt-+e{V<5zI~QqT2ty!?uTELNWSSi7});5 zB$1E{-+!essR{8~)9rA>yO7SD(_F#11K=bk%nEPIC`dSa+?F%>><#uo<CC4+Ra-!Q z_nPg_-^pj0KUCcQ-uFVa4Un{m2NOr}5hR8Sw~|hrJ)^sPROj3kc1oYQ%}sJZ+gW}y ze_B>ZRr@!XWp|QjDGyZsL^l78R}ju#JWjQyc!8E=Pw2(b1@4Gk0P2@qz}*n{$98`_ z^wIbzE$54Cxh#^d-traI%uB)+Kr%sm%Uw*$9EgU`xxj?)MzzOz>Zjh;-<0jY8?Xyn zub?#(Dy5auh+=!yYQe6ux?jU!;XYXaf3J&mQQoWO%?Dcxg5>qg-44-)Vtd15p;bu$ zKj@SFeWLM87cmP+z#PsXJGwqDQTxoYR!XNgtqVub)8s<fV%mR>GEw{7a?O&}itgSO z_kd48M(c$q%F@lSC=8HdPRSLJ;qO!r0i>w*HeFa|sdd*A9cc=qJlh1ibN%BQf2si~ zgbmH7mb^$(SCeLPQ1+iK*NjD(gFVM!<F?4Hw04sEuYYfxLaV@l1p>XrgpSAci0);T zLHJeA0QaCuf{%Dv2YuyEDND;RUu^bOc^*y@vBbHigxgwa;4Zp;@8`_R4GZ_)8BV0d z>Bh2&3G-oQlLkD5C+%_=!)!r<f3YUsYOzWp29R!33ArY0!yhoXb}te=tcC@qBA=Tj z2{Qb1zK!Xh^KJD1oNr@pGd-j8a(?EljCh*bO6-camI|wmG$3xF|2NSPbH!Z?hv7%= z5#L9|vWr4%N3PZQv4UZ&G73X)+m)B?cFEGKOGetz4*$EOZ2wRBoncxle}Svxnm%ka zQiMgw9H5WU4$eS-e*JCbT_R2inbA=wVp^x%ts>btuZtEq`w0px2l^JAKV&tshp?Bh z@9@vz7I<`NS4aY_TY0EM5HBmW#IzNLJzfJ9t2LUmn7Ael)by<&6(#IV$;?yAPX7lh zZvhn7*R^}%8X&ksaEIXTe-NN?cS#7YjXRB7@FpZU4Fn4kBserdf&{nV1b26xCja-F zx$nL2SNBfMRINJux1aT_)u#{DwfofB%?}0qU2D_h!kQ0HI##QIZo(Lcm-<YVZ%on* zf*9J4{-DMBWOG0W%4u{nm%4%p0PcvqbZKyHQBNcYo4)XVIKkKmf1CcW@o65-z~U@* z(>UvN!vA_XWL&0vQb1Sf=ua>)AnvPHrVazFMx2@BJD@^4?VRfID!*=l=<>yt5A`dH z2;#iND;fknKloc8Y8s2Qp(^|h?@0gF#QeHBR!<e(@t=1{0Ee$yQ92BPt?Kz6VY>FY zWrc}Xq+sVd0G48@e@TtJbpUoz=2xviEr3uFS03LY>l9s)mfDUo^U!yIrxMHI^Oq@# za-AqJK(weT-y=e|Och|2H7=;p{EKypwrC=Iyg?(w>h6N)oHaV-;^+f?V8Azzm<y<~ z@90uJU0RxIVy5barI_?!3;V{>jWWX7=Vc6V5j|KQ-AeP~f4!z=P01U8mi6pp`UK@U zG3usA-20D8q~N^1EM}Wuo*F4AXw6}d5U9zJc`OgJDydFhACT2fb=8k^EGlVxBjltx zUK_$6!&dmQnE*>WW3<byv`)2zPhKJ$pdX3Hs&4X=;XHbQh4Pbm>k9@QiTa`UV|gXX z)bi`3-;=X*f5@8X<Fg_bIy2kh&D|&2Q(8q71iVmDTfZ5zrg$v>WPR-=AtSwusOFv_ z(p?|p(9|^S?Zf2!olDL4HiotFO6rixp7MB6c4z^y?<VXqL^wF_DnXz)MoVE;t(zm- z7_WiWS#=?@kpn2Ydf83Mo+<ls3n>5%Qd@2*Z0P;gf1>g>*~k&lp^LxT+Z|7<v}_hU z@2v=(Z?`(I&G6G4nFO^xk-vG|tUSpNne9qL(M=%Jp{)m6Z368^@<3N!nF`x)dPCrk z4!%c^#(%IuZEcw6kr9-}M)PrLNMrjRY^Qz&-9G@>5EK&^TibK>wHYbxRs+WOU^i6; z{Dilnf31NCOMjdQXo7c_;E#?45=JYg+nyD?eB%m#l-90!zEz6tHuCWn<jqJA!9Tws zUmS)yOcC9Un=@OjHOs$$S>X(65?)jduPx~`u08%7(j>pAT2{MlTFtmR9MYt@s47;w z&Hrt4RV}2+bW!zP?KW1;m$ihDCc8z|=-O@Me+KJy?2snUMb*mM?Zug8=d6azS*m|+ zFq_8y*9NnC`G0LNb9(u_!K^N6US9RlOB-QHzJi42=(->N2%_gEo&38H<gLlpl85ju z=2-zsBR{XSt~3to-qI14_%F{tJ}=m@y}fkX(O6}Np|L%^F$_?YDc7o}rX}8lh`FTK ze;ve^q(5KT+J0Kby!%jq%g6rfC?c>|6OD{&^XiAhnj%vCar3C-u0U<+{I4&SQ%f=u z2xAI!+p3BcELhj^G34^wUZ;PeB?gGTn$Y_)78p2^gua>23&#SqMXt3%O}c1O>m&KV ze6K3iO%fY9ft0Ir-SxD=?uaLKP?N1ce`S?Ox|-;Imw4y<m!tj2Ax)cI@*|LKLjv(Q zNLXT~F;h+|CQfRD+6uEqm;ehohR3%b3rS6<UdnU98}Ga3Qd<Tuhg0XZ70upeE@*#x z;;PDQyLdYGtj*jv3?(M`bvYE=yptqGVv%rhe}|9OkexMW%2e=i>!Sj4o6hZWf6qfA z4##<pk^e1=wd{JOn1I~jrJ&s5x8a}f^U_+*i|=@vhVSsq8JQlrF0G9JaEbgDe6gk{ zzR2m#v(QuAviDvt%CXhu_0P88X78gHdyO0#*~|SF*s~huk(uaI$s_&i1LyM(V(Wm5 z`GC(RO+n>csVyxoCsvKrnh$8Ee^l2h>CKcPq!JxP5?|FKalA^Is#E{8Q0y-3yubbs z<!3AUIpEE^3iGQ|{@9InmC9E0&B5cImy5ODne=Y?k3;QCy@lRYsr{Z0g10;YS#sWI zrhcc~Ao2k5CW&CxfiFoyYhN5!qxY(~x*9YmKSMWY^tY<cFV^iJ2-{!ef0}4sA<sk} zM-X{>c<=;_%0JL%zgP~|#OYvt-26r8>p1+Y-_qUMiz<^h{f;Lf=uMlE3Dvbx{4Ja? zsf2R@C#PB@+u4+1Z@+6-`|Y$4Dd(2HJ_S=(+v9H2+WYI_1d&@IQi;KWAZfM8s_PQ+ z<kUX}lDnSk@2@w(A}p{Lf7F$grMma=5Yf}$%tl*->o0z3nO}xvQ6F2a-Ud`~-7&u( zksgIFNxG0JM%19$YfwYYlbOcJ!Ip}tjpVvB$>Iu_C0>Q>4z{cKt%%O*yGeiKx_h$# z447?LZCe{`$G4$2zie|XyQ!h>wi!u(VCD>J-*5Cmx~<7w_v;iBe>jZY7``Q|P#nzm zy`bB>g_~>KQ&`CLfpc2DV4{-B^m&Lu9c45NUWKoVnaRE8oEy!QegoO*PJd~x()!Uy z_=IOQGscCsJ^31CmA|_@Mjy9|-b^|G`GjJ1wmThvS-LgBhvmexdj^k-rai}p`-FBi zq8l@M3x9+zM>zoNe`Km#AJ<$dfb@iY^>cUn7e}AVKBxT7)VK2(kjeiFojA?1o`2gP z_Frh<<z2iFZFlsxD1XdamUt6NMJN4tx7h!@x$A!yqa#Uqxir%8!vFgiqWw=H<DC_p z+|m!}g{%MgJrt!SkTl?geY&5OgQ@778alOMT((`>$K-LLf8z6Elg~tHh~J(Ng_<lu z|M8!<3Gu%6ncE1o`9v@^?W}*La&_+UDTCCWR;dgfF?yX|NrfK&-7qPy^)KQ4P+>M} z;IQx}60@`>m_8PsK*w!Zc-gT0a@S9vJ1BQKaJXs2{pf-Fq4lg%xBjfm)S;@4O;Xa+ zUX6Jj93_)~e}6xD1LA@UAX}M$&xOBMf!e@JkRJ<!Mvw!jRyxBef0VX0@mF_HVnhte zX>78XZnGJVk^Pni=P*g*uFq-5CTTHg`eB3BuF~9;O5>QtF#$H$fVbr+L~-MThSEX1 zLO&K;*A+_>nA-?+c83ANh3nDdeR*0qT<V27v;Z!Ye>A@utq(jwdCcO7q>>XOK3U-A zxbcZRkB?R0S4BCHa{}h<1GXZ?omZNEc;MlaGz`TZ3r#-+@bE<%hSH9PrXK}(_$)0} zvD7X;CG9J2mQ*OBfrd(`HDELY*;7%Y2+7G{B`Hs5Tr>xXGGD;>n-)4tTL09~o1L_j zJQMWRfAaxFjeI0CzYTiZS3B8oJCsMo`qBWbjor0}b5^D5Ts)thDF$gehU4*ag;2ee zr2~yzrrQg{Trl>_qIszudh=3vmU^NhW9gmu=A|?&2b`|~4WMy2^HR!-6;pn|m_$mV zmSW|LLn9R8^e(BY^VjK2!-7ugf{TXk6Te?Ie`&IqfCO2?FqosdUq~PyI|U(7GKWy6 zz%ADyadJi=0cb)nm<^HXEsiT-8J3WP6eygch3oN`G}j40pBw`$!I2^*ZO=|RVs4LD zqQHDpo#x^g$nyJEtNNnIf?v5)=wm1rJ94cS#&iL}2RWACp;{!TMXzg=h2w}P??r;O ze~8e14O>ad_Q;^b@a;&)MIbK30O1uHP!(JN!%8`vIY;9wPcEwytN~gYDwnEj&j4yA zh2(RI<Q!V@j#r+NO3U;t`IBd}t7%dvfIJ@tjaEEnGjxI~q*#4~9=4GGTNVp5zrf`E zP$QaCBdUUNR`y~ibn^YKnq(?C$y}$3e?eiiJ~!1Pyo|9~Ala{Sa|Sytg3PGDHHkN5 z5}U~$VXBX=(8j;1iTGF?M2#YbaO?`jfe(6lj0lQ?Z>K&E1gRqx0JTPKb)bz^D>dTk z$VxL{j>LgW)U=8!oz4w6UmmXgdo@T9E!k?ETb3{VE*FF?0JaP$L*Qwf$B8$De>;-5 zNpMX!;!d;8mH0H|^zR^ryisyLW4Fo7!Cuy>wn@>Pc$&2GJM&{1JewM%#L08G5HIeJ zK$@5Yf-wezUSxU^fL!`J(yi2OTU^u4;=545mA00s+GJ&Vg7Qv~3}j87Z<2UJh&pu} z3D<Nh4l=AjFQO?o6U&@zuYG1xf2(XiuG(uq&QZ2QB3!Z28I(7JXco@x!EMk$yw3S8 z!;!Fzt;LUwE{tx`<fb*)D#5axt;L6o3;7_l=~Vb>phP>ba(~~hm7<PzbHL_-?JP^2 ze+su>v4Nj#<bAGzHDoNc{wzBK{=g0g{-8K)PTW9rCtUsxV>F1hWHGybe?l$6)*O9K z9i_hI+xG)G`8(FpAcQ*g<1^Fs^_a`4yaykR`9W_=CiA)~_=BIJb7t+zS%tRdNOOs> z3Lv#Z`K>|VXS-~S=_upsupQ+KxwX2yH~tegyRp4H((ztjTy$c4Nu}ezf5G9yjpvnK z^O2;!QL`KqVk;S2s`Grqe>aS6U&ni}7uzfRY*M`<j(3+{Lz1MHS5qDn;(9ijVvY$( zmW&P8>AR0LzA72}IKMq_Vn<gaNuAPk%}#ufW4S+qN>7#^=QYB8xfO^PKO*0<MRIpW z6~nj=S#<y46r3)H?|ve@N;3b59n;>|vlVUWcsEaf%R`(?m+<Z2e~EUi&?F$ihj#vk zDW-h@mS$pgdz6M$J=etS3Qf_^5%xZdz$MzTt)^=VV55XWiulOnqz(7f7P}TX#g7Eo z#TcJFz$x^yLi3k28UbjJET_)t(oi7Sva+dWkI>hb%L-wSa_VK=J|#?6qW`gg#^@K> z#gP_zIiV>a4fnIEf3~PF)$hp&vAZ_w+0EIKm11F$lJl@%o5j~Q117w*cT)B?QtrZB zW`%Cb`J6-|IGdz7o4Brr<K4Rf6VuD%48#KrS3elGP>XBRzTgDNrkl{GFCeCyyv(}r zO9u<5?xe)YZk}A=eHu-F>yv<V4_SF{7IDUJ8Wdyl$YUabe|H=QGC$?2+6$0RH!m}n z9mun=N?POD4z?QYAIM`$llEo_lh8!LGDqPsLQ&O4aa!4^YUhnP_5Q4)rv?V7Z1Q`w z(~oV?iu4onyS2X>dx@L9AICE+hi6Iyfgi_<nN+r)uKzIUtW#S_%gd9Vvdzf9$I4Rx zM2~USdzKK0e^a-K`1eki@XWq=r1J0dGA}w6dX<-mhweBtj5*QzkxVSgK<4H75GEE? zAfj@73$PDvrlLo2G}Wp6&F~w%MFt3Jpyv3j6r@G|9AuuPKe;z-uhqhI*L;T1YA=Rz ztqC1L48lIH1?9ru^Q{!aNupn)LlY6(DUY2%F316Ke=Bmkx~z(gUmV}E&a3NuN1ZBn z`D|B6t#qD*mv&4!R~n;k%zwgNrJuM$-`tjQtpml(SNSMf7Nd^b$~aKiSo<su7N`WZ z{FStNhq{Y1pS3va1(g85l4mjKSxkQxmF=Fz@@KIOCT_jtKPd$f|8D$zP4R_Mg7Da$ zQV>5^f5LuM8=h-n2~*>)<P)5I0iFDv%xF9L{5D$ATeD9`KLp6~_&&h5<Ik7mo}Oi* zc2frBm}y(@@a~%7C|@;`nxp(o*~Z0P4Ce~7R6H+<cJ5B^9HaMBkrTY$X|EhNmA6kU z_vm4-pdZ6$HRV=jQ#>yO=>Hmb!xJwWzxdimf6lsiQFvKbvKYTpNjJtz+l)D3stmD_ z_h9<c5-GRbM}L5gyO@(M@+m;LW0IhKX+I;kH)`s>MY2uYGcQ*nKh?7I#u3RL+J<m= zC1p9H`;xPk>`i9G+~&Icf$NY9_EY4|%tXf$5AkI*53^d&#*dfg+e$Y54@daN1>S#v ze@hEl=gI~h;Vx!T!Ex6!o6CGpj5mV0!DrVEmmm1mbf2>C1=XA${Ovz?=#wLsYUp8Y z<MUXAgFe%e(OG;2z0FZu19!n`eG?*#(}o?!pUgP#rJ;&P*gZL6!=VEWYVA{*s4qXi z<>_j$@9x05X^?oD-dM(X<XBtAd#vc{e~`a-ICIGePQA|@>F~Wb_K%FyZo3@PZMuS^ z<@rTVlthtgM(CU8Z(nT%p7?q%IVknvVInJ4|8htPSY~U^^Kp(+AfD&vEqVO-?^^q( zwz<7vg^E<c2-<r3@`r~MGpYhi)Ge%13L}#&dqtuZYJSFistS$z?;=sL+QQurf2oZR zwF^~skz?sX_GQyFtD8MLq|zpFUzbeA$>mK_*##3D8~7SPl$#lMVdrLahp$rK1lg1C zP;w-24wTg9UbW`QWf4&YVIF51X3eP)Q_~SAhT07qgO-t0ioD+KZ+(H^byS{NkJNA$ zYzo8lcKw5mqD?6rD0ZK*5;~cyfAd|~L$D^wG1|}_B(KF2G;ESGjFEqyZtLY+oWp)p z4L(wNBCM7Nejl$#oXSQun)OU)tT|jY_lMuIe^FI2R&TjJr}-!XH82~d+c8LP-%##{ z2nl;^C9{su83Mw(N@;fvUjueJ5Q55tBuBHi${mg_h~HTk0cke*%?B{Kf6vAnUv`b( zHTG|5=lBnZSRuE*i$5h^CrZ-7Dbhix*sVw&T^qPb8>d+>c9&GPIfc+D*#H(>cV@7- zP#-=C3`(~X=$U&J122lIf^BLR)jruD`cLI_830fgW0KBMG2QupnKzY|>p2^UeD=o> zhuy2PEK+aGVFaVc?T1cee=l=cemYFl^O~~pC({qS9;Wj_m{4v*Nt;leD}mI%t*0%T z{-Cd<L%P$kLZ(&ul?G_}ZSK$OR_rZNQF|1tF$PK<6p>G)I?-|mX(er+r4Ne6>$M?X z)+qH+a!zS|?&Q6?dckz{k7aTXO>(4ZZ5)Nu+KUy%{Y%z|E`Z6dfA=o<G0kk6-l=Wz z^t)f=7VinmQ)*fxea~0V{NVN8nU{38Z}x2Q7meZY-F_y{)lYj9Ji6^-7GS1HhA3qT z@;&i2w4*>I`}v7DHyzw7HA-S@7Vw5O-cowa;B55_GERa-7G`g_laVdJW*UHAP6?0l zfHvrmYd&s0HK;F&e>a&>*ZTcd*hvo>P+uT*z&z;CkUMV8|4Uz#Y%*c9UAyA6DU$QX z0PA5*W!X>_q7L5nnef(2-qvOi={(O)qbIA7W13#YHBWw%C{#Wc3_d*N^XoR`<D60U zHY5{YWhcwsD{A43rvehG_FV+<DUWaC+KhFZj40F!J&S?Ke|tB!L`7qC!w@caahJUx zf2&z$&dN9y3ly^C%{Tm(CT{G`qieVKOh%M%ZJv8Vp8Cjd75*W-B_#e9zlBf47HOp< z?{5tvi6zsvg(j*@%<gp{YpUk<-Ep}9kI;>&tswdgd<EPGg^SrFxenH`DqxiadD6BZ z!!5_*luaPse~>Qi?Z`ELt=SXL3Da%YG2b9{WSD9P2jMcL%1flg)b7?b=9)CHo>%IC zbt0GYMEKU;CUkD97snt<Uq+{)qxTv<&X++hrjkDhN*h$iI_BA1VBW_y3*ejdIdsAc zESH-h#|eaw`NBMg)r+6I$<NHtJnFNc-MR~rBPJd3e@jU(W@mpi)VPm}M-}f>)&(3m zYP59w44nDS4*ZDGVj&q<j_(o~RyJUjOec-<q~dy88a^Bn&M7#&N5W;pQtvM96b*c@ z;A^MM;DJoe7KA)V*8ia7RHoH3=JEX_ea06#!Oz&!p>h*x+tw4M8L76Bc#B$bbS;@~ z?`!8Oe;5}L)95ng8$Nrquqp_|IScN1X(l)lExr|Twb|&i9?SlM=&kiESc$kQJPV8U zLN%GObn`9={&|Z>r9F}+ERS!WQc(Y-psI@NL|6UR_eYzgS`~HCO>D(_uNkbB_Eg<8 z?}zvBRW9+9k*Na29K5ZmUGAX7XB<OS-gET(f6Wc0;Q=t!*<r)`{TM#%e89`e^6D8L z#w;ClaXDo$hxD_<F4fup*}==W=rwTE2eDCYc1nXe*RcXbXU?8HOMKf(XSi7(B{N*S zw*TBbeQ06cE+=Q?Y{P9r@kk&Wm4_~TO}IX@#^BX}ioy2|8aTFsSes5KGi73_6&LJ! zf4K`T$cqIY$tnGNO2cUDCqY1aqGD%^8QKHJwCYzYe8@ki9&&6d7yKhi76(168d1~< z1($jd4)KGhe$AO^7szaG(mma7ZpEpexZD&CwE5Z|G&F0t)eEgu|GM3JS6YlrL4t*x z1vZWN)`fB&&C0d2@<x_NcA}SCw~|sDe|5saFSK#f@bKm8X>|F3TNGXc6Qr?As6-f2 zDW28n)XhKW78qT-6U0$#V!o=Cv8P~SR6L*Cn0*_B7(HLqL>H~R>ySwA+%mkZ*?lm& zFxK63QUCL$eqmEcH=)C!!Rjf$V00tGP@8HcvV!RT?|h9iVLw(OPd>q{M!X?Pe+4_o zc;>A?C*ATM%}g)#KNalm%B`|jc;f;6J=?NWOcJI=BN|PHvH>P4?!~yo(+fM@^8DT? zK$`Ur%O~b`Yy2M24g-uv>=iud20ZmT^NDl~@dUiNCCiEkNEwOvINIaQI3*eo&X3{? zQ2wQc*^A%sQTG2zRttL^YAy|he;*mrI;@MSY00z-zCt~HiAaH9f~K6L34Pmd15d8G zC4E_I$oLo@nbCM}OERd-cRr+he(lC-^F%(x9W||3N*9YW8YxBqr?!rC=;%sz9RO7_ zcomPxc_JQie(w=kt<N#D-i_k&p3GHZogTZC0B#AR2_O}lHSgA^%TV_<e;wZsB&x5W zCKA_>T6Joal(RdWM$Z8DwvOjR_GLpbc_9Sv%gD>-V@$8{5rerZomLzpOORP!W}&7( zODa2E77KhwPGhE4P%O5bKJMP@n_U=w@Bi_KINM6j(s#JaDs#3+&vtv!$t`TC=mS|n zan>2DseH78vvjqUa=tuke_mRf86XvHOX6~0DeiaB!|24kZ*1Y#;Cm623;a`MRUDfw z`SDhbUhAA(j3M@{C?&tL*1&_%Z`Hgh6{wf9IE1<4GhLjvKeIa}Hm}?7aR<^ncvBXY zq3!nyX<WhSxwKUbrt;%WDQ5>kSZ8J9p7hyF<CN{-FXY2)^bFk>f4MIb823HXMi0~4 zpPp1Vp13Z(rK_Kw$WET_+8{6d<Vnw6x%69iJta-+r3Q=%e#`NwRFDzeX_@i+C{>Vk zKP;S<bvoG9+CvXMp(TuJ1;?+1)EUwp%dB|Grn(?f;OH5mlW0#IvuqC-hm3^L7$G&1 zxFWe$E?e1s+!K>Ae{B8z!?`n~l(+Ql5+GCSFd}34#362mt@7gqMn@nZFYv_@VZXD5 zXq>Yt2hJfHxbdYcrv=;fD|guP*2ogiM(Iy$$lo>&G@SeDaU5o0L!acwBK8RQ^UVOG zhdIn7O}26%Ka>?Jrz6!O{22S@vLdMQtF5c4OVs8f&8H=0f9iM34!=t_q$@AwYK+CM z<ynn?x9p@i@uzolC4Wehid24;$}nmO$VUg{S6gWa3)z%}4{sA5tLj3@eWf-sqUNYd z&K503PglOngW}*7;I<IFUR&&-04h_(WY1o0`RCnuA#x&Qzk}jJn?QTle^jQ*3b{(s z!o(yc`1ZxBfAp~5Jth=?E(?};V~?}>PVjQlnx%eE$*HmA;If6NgUx2@aN~lVyP{NT z+ectt{fp|h5KjMVFdtdKQa&McnCs7mdZDV1aAsJRMFUIJyr1~xgh@YoPwxYrQ>DkN z?j{1)c5uk*`XkGnr&IxwYl!)3{J@htITsF~N6z)Ef3t#qmjNQP5a5a#gN>IQk%{BX zsOf@xa6vA2H+!fR^xZ_|O6{Z;7<2gsA*>3|Uh~vF>U#Ma53~1VNina6A-5sUd{}Vb z7A4Clz+<E+J~6>x;jJ1JX?65#_>w02+8e*0wRUS0Ym;5l^IVzpIZ6e=T)Q|0C1)OF z5B@Ywe>a~#ZQ0CcmEgK4Md!v7xrlmP-8%-0eyViuzD_2Jm9;ZBUr_T>%3grtxDNjD zKir!un`*Mj(tpaYZc)~5TIDVuX{Z>1G~XL{c39ot9mSsBWdeNzAER)+7;~I!Htvp^ zPmmW4O4**gHkT9b=W~MRPhA`yoq9SrZW@H2e+1T+8Sn3cMNqi*GQRSYaP5UUuKdIR zRADUHfUh5iiL0xEUH2uHpn3Sm%Y<Vy{Wwc^)bayZmAr-aw#n#8{w*Kjn!M|%-5f$4 z)f3Q{j}g`%3zIT-Dew>e0Ou85l;v)Ie8+D9xQj*`mo{a0J54Wet_s*W3j)dc8=@Mq ze=_Ek7yO1IQur4{h77warc6FH@@K@oY%p>c?yeX$;RFuy6Eiq}-h9{1AwP_SWTs_l zhgm$9IXM3kSFxUTxKtOUkFfN@2Y)3VBnW4Q<3qVp51N59!}391*#M=(n~{x;#im;; z_ZEH2=Mw<;Mvnj1AR`A@%Gx<H$7B5`f3fojY2lOZYA<_6Hb)L-k9)okC&5U>YLkW- zaqO1Ni3#(65MOYH360oG4yQg;FhzHyF(G_adYbyxTq+yPTva)R*UbVrYn$*_+@aHa z*LiFrNof|Xx{*3w3@FXrVB=O}P~5>R@(^dnS`%eZ+EFg5gNwZoUuKa9q=LsEfAY=| z*@~2QoQmXz<uoCqBN=vFENum479TeBJ5AbCRRPr7eh5XrdeaqRiVFilx{0URtsjMa z19x7t?fGEEtxcsTtVk(kNDJep9KNu$YnHNOR;;nMS0sHfvht8PPxNn!Y#6m%Ausaa zV)hLwH&)tVE8@chA8z^0z5%4Be~ky`d2q84YUWs%S^JRPjwF7q3p+f|Zk~8>WvX)6 zr!8_x(+akYM0KytnbYpp-qSCl9QJ#!u0u7;BkA>7yoM=GVLE+0_$FuTB2YIeWHhi1 z&c>@Qhdx2?i3s>=gp6hMbjMgY9&TUzU(&;LgosGXK^WZja_UoNVicf8CRTih&t3 zTdTz~g*fA>^c;ID*<$0Of6`xs+iL~oE3eV?9xgO4mP+0eZ(Nmy`biO6?fbGWYXf-m ziENAvi&v6Y3C{VMWPhH7M(^}=p(k(tcxg|Cy$SlZ73#0gAcg?8kE4)ajZf~H3hDdf zm^IWhkgFFD6d*pK)xnOXe;?kIx#az+K@SuNo82js!?SUR&wR;{B9wWibPmE(${O<B zXVrwXIGkMfbgyk)y*!OZTKjyZZYk!@-^<&e@~=_j<ku9*$bv}^uRiMP=a#9$dC<7H z3~XjeF$H&WeM1{lu&WLs1<(U^9u)Z$Vw|}z7SiS7z}swt<y#W;e@2yZ=Ei2?)M^ky z0N?B>!YMZ07=Yon_n8>RSQ&1opNVG7o+0C%FT5|Rd*N5SKx-Ja`C>-k1v)=#-ww1P zz>mb?GjW#oX|}B!$V(UN%vu-6J2+upq0h6GQDehbYb+|b20(l2ruUEy<aN)jB$K8y zx5n_P_Ucwpt20Fof1CYasJ*$7nbcAUMH!pDa+rOpK}F0&T9;Q*p)u|3P|Q#kCq*V3 zWT?HQY2ve`yL??galMBK(6KUF;1;5%rttk8?c7S7ktn6&d3^Nr9pt;3KVBdk#IwDm zZ6ZNVTdr=J*wbS!#kMSZ`WiB<MvkY7KW1+}Ic28`*`YPse~4=qMorol#jW`8z9D*- z5@~1>JJfzbdQ|7&RY@-;lIp*v2C(U+_sebO8*vsfoH8TGI?LkXU!q-OSv37e`@^!B z{g1X1e<V`OxQJFnuG{XQch@bayv4fAA1A>-HZF{Jafky^w|E)9dF<z<Fjb}0_a&Ui zqo1dV9ETaWf7s&4Cs!wi;2%%^ZS3V(4cb2cQlgxLT-_w`hA@^=-SiJ>TtW4ZDD*}) zfAXR;nUn+ZDjHlhx>eEK#POV}{~=R6r@DWLAx6MZh<TL}sVNkzDk6;5Ste<4_Sonj z>BB$Lj|64kO2Qsk-~3(%v9Z`ySl{D!QR#3Hn62JXf3u|EJG0IjQN+7?`FyelW`a@< zjHyQXd<`efl3oss?{g51cw+*LNumh*1xS*fF+mwHP6qH#Qt6)(`hO*>{%O<*D|yg2 zCKy&)`>VTp!9352+VRG}{;bcve_!Lz2R`S&eTs`=J^7tAg*f8>_Wx08w*b+g{0&Ls zXaD)-f20pzFVlKJ=ym)&-oX2xp(Uz<8{&-rH5sy?!|(5kC{&-!@*z?AM$H$eK+P7f z_PY2Ap>U7Eib&}yv!t$}Q#P2jI43n~ju(9S)8eJ%<%@SzRA}7Nc27uiIGL>p`ec$} zy>y#W(Llf&@u<%v1=>l|_$MqfGYc=B4ZCJPf4T>Bvp9P732^U79*u8C8D9`QR{sP+ z5jQzstJswKyBrB^hHZ3?%f|i*gQ77^zMR*-WCeWK2=Pp+Id9nFm-3|lEGiVzo)1iw zY!;iT7Gv-yjEmrB%PfQh-6rXaaqhjT6xwovmq*|+8?Eqe=)HB08ePPm-Evl4pZkK4 ze}P^y$CNLVy}BOVATgcyMP`p*W#E<sNQwQ?o%v(8D!{bh)+c&(GN|83?;BCf<7%Vf za5M8gwZSk?xs%Q(LSS3p*rGY<?Xoe{fK#xQ-5R=5jlSm$zK=6-tZlXKJe>>n8tX%{ z6(k?Gu>HmU@oSmYxA*mC?7)8ci+Jpuf8m#cvZ!u?jEAC>uJhrIRp+IMs<T+dRjR4x z_S`_qS`&EKqWkl)oG^op);W1IHpUpzB+|_>Md9E2(ayOe;I!_gx8g^KH@=U0=@qe! zJ0icEgn`=iW|*sAY%3Xn6MPMlz>ZxHW8ACEP3%|Bm77NRg6i&0(RZfqPBC|~f9?m- zciQd;F_~3O*xekXCJL)W_LaC(%U2nDa(<GwmAt@OzL|(dYW|tD##}z{S3pUJN^)R_ zZKWu%mVYL!Q8;5yY2p3;n)QjjYCO%t(@hrHpsM%}yUih@Amn@Ul_t;@{3GQtHRuUR zjQSV>iU}W#bBzkEg?mD~W`as0e?B4~J3`&z+<nXQT6Hy+Seac2!3`J##>g8Lp{IJ% z|2vs$sTl208|jKA^p2#JwdK<e2a0pGYToa6zp1JzjxnHda9r@M1jjtka>PA%cc)qj zePI@MrRJnU%>VH)`WW$l8qWVi{8b)}R)priBD-a+3BJ_hLkt~m{LZT}e@|L+@M6*n z#a<M#K09P?IJ_p#Aa~Xpw|u?5nh%79Wg(0BdlXLK{{^B1Bfo{1-_eZn341JkDWmDe z-*u5XGk%IAYU_)x0<Y~_OYH@2{Un<pnDC97H#8Hnxo!}O&Mk058+%7`I0#<_cb^vQ zkZ~z8<>4UH>bWV}*R2oge?So<1@Iz=uIgRetWYQ}TIvpE<9i#9^JYk4@`n)FBXCS2 z8I_>dY2e%DGmgrr=0*HXh#b33#=F(c3ABKPWL0VYJ>GhBKRi%BRS((IDZEg_{?6?6 z(X9mPMq+N})uQb+6C3eJm~xMGZ1Vs7?YU0yMZ=kN#&J3#7_$$rf9hm?Ba6T)--~Yn zQ72$!7F{V}@uDtY>@Q%IzI8tHQXCczq9PX8;?F_7cPZnv!t8;pI#&cGher>Xw`0i4 z>y_I1g_75R2VsyR_=~iX%?$ui$WJjP2jwOc1NnBWV+9RcT8kJg!;mh!b;>D}Vwp)# zKJh0sH?XL{C+O>Le+|JcXoi2sbmX&&se99>q#Z4N<a_&_05vU{td^s^ckKxG^(zQL zP9E*~<(zT(hNq6N+LT3>Q#@QrhKxyHptv}oAD!M8$NzkzIy`oVTqDs{<z&L06?#%{ zKHI2ZfpH#QDm+_(Bs@${u8&i^`jf7`4tU|35sc)Na~9#Ge~0s6rVUT2hkcS`o0%rp zu?&{N)F+|7Ln7BFEWSf8%03aOWDVNO^=vW6Bldcdx5q4E={PChdpUxM%V=)$`({zV z%NF<369Xt6!3^cv;VD6ZX{@1<(_t(aneFaBeH^)ZC*IA(1!kdo9e6{7;U4ji1E832 zTu7~b%e$k@e=+_jU$hRm(&nCqUFNEJBjg6beQ_5vdr}><jo5tnBNh)+y`FhTCoiUj z1u79AKsMFG-+`32L{v%-aq)XnwI_<ZxlSgi8DR=qPw&@6MyuMH5AJPY;L_gbW&PY^ zhL})T@;3}dh^K(<4M56mZ*!g1Uv)6RG1u7)uz{I#f7?rbe0~dq0CSz0&(1JFFxOfB zYz+gMr+X8x1FM;k-V~$d*VDyc|HRXbf&Kx;G2FLb)eXDuz;X(a-z2QCj_~m)343qB z{H=*f9v&on+SA7pv}4@=n3CiWy9{z`BCp_M60j@-2gsK0=*bBFx~~)`xjtO5IQSgZ ze8aX3e@uaWVY{*1+nkBs+lZ6A)HwY~wR>YZ_`n+wX5-ea)zWiui&o_~$()GxRCEgQ z84bLZGgG{g91Kj~Y-dt`uReB>RT*{`MIZI%1ME(XBdE`54#zO}9^g>N^$i}l=WxQ{ zT-l@0sN{~dGv|35w0}3R5hv+TuxYY7{jRy!e;llDONB=cO{>8@MsXE(L~7+<QHv(d z=<l-jk)K2IF@`cEX-6~RI7SNIsDcEc^GChVc^Bt=-@6UeLu()H#r2NT)4ktRzLaZq z0#cO%2xf>A#TSNI0~(9+nB1Rf8=;w10*pnUn4J)cE*>$7FAQy%HX@Q54iA(|T|{VD ze<$#1P50C%O4dY0x91jtV39|H5mr8JvEeQw<{^>b)!vD=Hl9VxA^z9=##};;hVCsY z?bpcmh+?UNu$QXMxd6>bTTjclWsZTIQ<W$>Vx2)zCvTv8p-SK`r@{P(wZ{TM<5)!+ z2|vM=0zs4L#HIL0M2qm|F6V*uTwrV1e|j)+%;wJ%Z2IPTcIgK-h5V;vaf~*>&#g-} zNc=*M`xzV-j+JI{0~xJPqxKWQc1m1msOm%`oT^r;_Fhl%hFZ6httL7-ek^7!EYv36 ziiTeBcHSJ3{)qw$n6}Yh=?_=-hcnW;0|6$C<qffo>gO^O_Nz-%Z~U-;M|K4re;*sK zF5dkTS(O-#W8aIp(<uHH(wOK$Mjmr#VBQkbsC4^2Yp6T0w27lTFu$pyTXk|lV3pC< zSroX&-w@Ua%$V<Y`J6E?zd*E#Jv7bR(&A;`ChmC4*O1;g*4#={(`o4;ZrmOCrK&BQ zs?w65n6d3Z`0fzJZ$jYGR?rb;e-52#Y9H>NbmbeU0W|@Onn7?8Ju#OOB#9_SbnFH7 zN5Glf>-lMROw$HY4K3bI2X(-S;T|JF*WeQo?}b;gLEdnWFOChM^YB&S4;;n_cgD^& zC#CH?Ege0!oZo96x5&Gn_9L8=J(ye&V>B$C@v8dNd`SEo;?8Vpm3D-Ie|-UHI3<a2 z!TXY{zKq|-dOzSYkkUB{uxGifMIuj|;EgyNG(vl^Fxjk>LqAiQlzc%Au(=&k!Evqw z`a!jBxt24jT3HiTC^go(j~gkm+A-UG1oe?esFnuW7t}-5E*Vz1;M9@C;E#QvYjD9- z``bX<qz#Su58BxL!w$0)fAJwUceck>s-fKflN0-sdqa}Dj*PIMsnHW}$;wy|F$+VH zpq!m!HKAA$kCvaI$dc{0W3F_Ms}=iNHpzxZC)@aUON&QdvN<ou<5k@y(uYZ!s8jzc zkG_N10N>iqp_KH4&PYRetXkTfi@U|I_d#Eko=7{EeFu&jczs4XfB$@4cBwwSs{muk z#puYlUqAF6oR>HeHA+N4f)TF8OS-)C0TOWc+$&f4qN*61iCc~QwM`1$E$2$dheb)O zOSsw`Q96V9VebHZQ$?d+qpS>9O_?X|I>7^f4hdbaFt$&9u1Mc<ecc24PPd|ZKfSVD z1<*`kkO=ww6n=X|f3B|{YkBgpLdo_gA?@}0F=yP5CE&5Ta?~T-;VJjZ$=#=zYHyYM zTDOg;ya(JmXk*|l@VBVMBl6A;b!S>GYJERqrso&3nJqoiNn?u^B!^VCq}xHFqPJcO z)x9;>?Gn%^Fpg`{3opNTAB`J0{Q(U|$#KL1)IP`*<D=)Ne^dAx+xVE^HuD=e!@BAH zpeFTa7>0rVGc3VC;Tbw%VEhbYFi?Jmei&%qz_s-Xw3r`iNJv%j4;`k@r{Rn`h}7O~ z2y7s}$9+(cfEuUaB*_!YiBqp|44`fsvMq7<xDd_iLvaf)OT@wz*4_$sDV-bS?4Vm( zypO+Z>zpg_e~CY3c7p_VEiG2+QOOfwq4|sdVcpPt4_gDOm`qB=V%bG__AkQOzzWIo z3K4BNujPCR3_U!4@1du&CDO(vpu_w;&wgn23_hnWtOX_crQZ*Rfr37W^mB`^uok;E zw1Zq)RPrNwXNna%>T&7sDbzxL9u@oe4J(da<MBzHfAG6y{ghk79QB!*i55|h?v)$$ z8JX#G&%ujF&pT<aN<I>!BU_VRLo}z`5#u6TlUkECr#on6Cd&=$Rd_^5@G>(g&LVa! zdHmoXcwn^AYJvOU9Y$P|S&Zmu7iJNKeTTHW>7OTGW)P}<cCC8fk#KrnKDy}bCf3nE z>k)n~e>_W9XovbP>T*?3SfAF*JXvLd`Il?rXUm(%l%=ffrTyRAJsslL4dhQ=8_UD@ z^U1;UXD-H%PD33P*9{C$0$a=0_w(Vwy!Z3b!Q`h$!IwxG2KMDbrp_sJYQtwzs^4l4 ziCMn)^n7ppJ^eKGvmeRyEHH|XVMff~z1d(OfAfq4+4?Ici%b7nw-W}7dMAE>fV-ma z`y6^_*g%>?>n!^`V|0#dza*6iGjJclYcO-)e{^|&Kn~b9x{JiK;nP<%u^bXtu;UwB zz{_wFtiLpU_j_bc@I_Nmy$i|d<8=Gmz6!o8u_AxaCPnl2{7pc#!<Qzl$Gr4Sv%EY0 zf0>22?)p&^Qqy@U_l@rQX%l3i6Q5wp;f$WUa%tt9U1dsTMO9OCcm0fs_^OEQAp@YG zVAG7TGIi68#<}2-yz2C3sriXNkIyUO*B7Tm|M-#9X+JC_E_;@?hv+!FN?f3ChJW_R z{qzEPz{iHorS-Ehe!<ugvY7?rfSjhyf3h1f_o0Wd5tNxM<(v76!8>&cUempYI9Qj3 z`2e-1&80u?1N>K<Ku^9aDqzCq5>us|JM~NbvL>7uwuuFW?lcEZS*!Vi!AtHPUE4PG z=<>;h;_j)b1>4nKyGrh&Z~Db!;f+bt4uGtpChP79e*K=UblXZ{pm2tRv`OV=fA8_l z>F=NMvK{~xnXrDm*n&RfEcgWySA3`{{1Iv+!^#7E1401YN+c)~z7k=9!W9#W1=oPG zfZ}QlJ%F1*U7&JBg;K(MBdDm0pQCi(@-D47^ST!qnU?4gmrX_3d*=Utz_9+&fm>cu z?M`l}FK7*P2G0b?1YZnS3{MQVe}v^*9m0iV^86Hr68ikmt_U;;myWoE=vxBUj^}C$ zy?{H4aFB%>gStR;Aa}SeBfp2Ip-+Y^CD(io!aSory#GH1X>srAw@L1`&~*TN=)VQu zC&q=|<p)xRW3yjAG*^g4A3;~B3mC`nAi*2Khb-sY<b^sKW`0By<VN8Ye<D!BjUc6h zV4%74930pP(HXIkW5o+3cqd4yF8H9~Y(x#7Lp+3Qq+3w~HCzj3O9?)_Vx;={GAref zO_jbVn3Z8|&h&`QGymuL^(SHCEL9WuPmKt#fyfrC7|?D)Pz)Q0#sp5b5iZgd-h+kf zLlc|TJC$ET3$vR<paV8Ie{vl-fg(6Z0Q_$-LNyEWs7+gUd!D_oK3Vvk4!TE#mTjk7 ziMF;UIlSPB%PqsA2YA7Qa=}A<g%V_E#D(pUgQ)w1In;?Dwa_U=Ba2{#Zv_D=up$F} zews7`zi;HX)h#q7JwE#1gaux!iV9?xvO+|W?0*f%1Y$0A35G2Kf4{~oHe=yw>5<<^ zKID9>)==_$_)9{U7s<EtDB>2pD-|HYAMsvrOGu_}qAM4p4BiM5(D6H^b{%mRtp6?q zmdf={RfN5@+H#mAF0*G7a(AT-?@cah3C{nU5MyZdyUnP%F5R_Z+5TU`=Y?;K>X+`N z<ZdsihB<_ac3dMrf2I|u(eX@p7u1Cx>R*|3kBG}q3-kV)SP9v;f)Klq>o{eF3#iGE zGv&vpj`k|quF=;4@#cikVRF>>^ca_tIZ4g`WU)pzd$+9h&Sh}l-o_Q2E|ZxiJ)hpS z%@rk38D1Kh4wcp^!q;tK%r{LPtyHp|p|5kmo8w16$O!jRf0b!re5<x@1rb!xDfLp7 zYGKSX#UHIix1B+%b3mBmhd;;wd8tBM`v<!T%61cV|5HBZbNX)`z`pru>oT6Ben77! zdAdxC9`waX%TA|GJid-GV;%?o=tp$hL8Lk>ggG8~r_Z48DpJOb7OcC5c1DswH9EGy z0)w6Se8)U)e-2(D@1%3aWM>?!Ft8nNuW(vnm7>K}<ce%10hLjahU(ITop@JM_F=WI z#8EYkPzy(xsE_o}Jr;Cgr|gg0!Z`L5x>$V2jy7F|5n*!9+b*^?EYqpTN_$!T`uy^_ zQC%mWqK5nmM_V@Xxq(P3JK2`mQD=d=OsoTI7#;&$f1V4f&IMY>=btBv)qm2KBK7^q z&32v(->(0D?dC`#EbxJXJhBE$b~ZBKF)V~FVn!kB65J_^W3wTI{G$}tF?x^<lmAyy z+8-CI_rk9yIN(h%8eg~yuV6saKzj(4sEx_UqSNh~!iuuk<4IwB>#wl>4Z|eqob|pl zl~WYHe?n#Hlg4mM3jH^Pvf&A`ZJC!-(9@tQ^-1HoC58VRb~eEBXXd38^si9S`=k-v zl0g54P+3G{JinRqfj4!GPkt}xLVgSNe+-{_M}l4_iqryc9{-kpH0R|$N&rJ-vXsAU zHTm%d$s2?S_TO07S}->|rWazuhqv?^ze5*6e_Xw<@tEIrIzx$J<zPz~Y+1s<;Td2G zLA{0GN-g&Xq*y~(o6oQ|8_%!<gB}i8KOI=V(`R@O1HAT<{4=B&P6EwwVtzL&rZM^1 zDzzKPhcl8GP9n`YVtybM!x#fCYxT<goPwf<-6du`QI`4L>ln_#po;#`GY5sFZ8uy( ze?!-x16Mq({vfwem_|)IMo3#`*?Cj%G40XDCckdr8pM&UVS2=hoAwtSOpokw(~O=m z()1`}KYs_-RN2OMrbSO~1BU)wF_rk55nQ`}7Gxp$v}Nb=ZCNc!9&ORPw~(b_Q~G9U zxeNBTEnZO>m$<j#M2Q-+r|u3f=<2+pe=J6}IJiHI&f$lO=L-IOE#u&Vb{Vil(1li! zgzPWj^5JdxN2|`95%PB=`GntL<_nlP)}VUJ-4<5v#{T=v*Yp`UVBiD;2+{scD`O<C z5jW<rQ(K0dpaL*_ps7MXd7Fq|W@BNRP06F2EZ@y}kC47uHGZ^_G+$QHqlGLVf5<Dd z(}i(ys6of){DxWn^-PQQ-)wryHYdN#nsvCD45VcWEj|<1k2~g2^Vzj6Pv5Nn*F>DM zE%Dcc%{ca8AKp8J)&`R6n*8gQh@tx1bK95RPvft9D`lJJuREvf%<&&kh2Z`z6OWU_ zDaR!fq&ru^6NkL9UHCA{t9V{Qf0j@0$F(03$x*nmA^$Nv(i1XTt%gS?AXX^brKTj$ zfc^CYBIL0x*}#|{&!HCN=s2wfuPo*oOP#?We4~z{z)z_qNKWDT5j!DvjNC?F8ChWi z*E^{wv|zRSqDIFRAOT$DAoNIiRT(v{j5nk0&a#SKcZn5Frlb675?GHUe?w^w1N3?% zAxdLq&_oOjvUQYK#-T<C>1ve>t~+2Ogp`C*hF3e2Fi<OJ7~S4BLWozZg29WSDW=MX zN^NW+5d+k>t`?)X^++g`hRPPBn)OI)6k5tJ2lMNZvMH<&$UWSAIHZ{Z?a@VcjFm@~ zI*dZqZfEd3c%<ApV)h~*e<oY$HaGgWs!=)}wt=8T)BuDPOZZ&0YkDXmeC~^D0_aaT z3BqGLP$Eiz$O<o9E+N{Z0b3{a#b#vD|G|^)zasCo1sZ}7!f`Sv5g`C=MHZeK<=Pb* z2zQ0Nfa4krMMMZe+oONknUi#&N?LOGLdP4WOcZe{J7jlQ%F`yVf0<Wf@juCJAdnuP znLziiftgnZAPMZ_6OcNb3J(67x5H4fKld7%7!g<vDFAOJ>^^SZ?Nfn?*h{b)N&v=+ z*<;Va&gy~N33r|c>&gV2_Z8QnBJmM8E)k{Z`KMluQNci_W}m39O|{U*I=@>$_KgQl z(fh1UR3pE)LA89ce_W0Dvpm3PVEZ6V1coh@fr&Yn;OZqy$S!9H80!!#CVRy{QaIK} z;^Kngv}}>XKdr%la+sHm5;Y8S|0OgELE^>nAJ83~1ZVZjHqHCHwpSgC@K;C+SOa6^ ztOu`3>JanC)iBsU8I|$bF{_dRQX|I;^Z(*);DY(#0xc$5e;dt=_^aZ@SH*3xBYZBN z!8&HCN%5;0mOf7mx9rfrNa9)zYEZq^!s#<TvN&YTM@oCW(%RmwIem^k;iqg>?yb&z z6*4R8k7?p>Y4C*}%z|zOkolaV@fW?|h^oF1_#EL<pl)a+V36EZzpqu;;Dm2dmO8{s zYC&3mMo@mtf1NVxasWy|wZDG~22S&mo>x=&5`WgR5}?E<nc;KwA3M9QiSfwdA}rE+ zngW6MlaU|hk~GP4J_?9&IQbaO9oN;pT~CuCPyeA^@ZwfDqk)HHcEiNcZ^ms?^BX#_ z#WSMC6E#5NczEMcWP-Y8c>PN97x`C?Aq@xR#jhO(Z%b~@zJE^OTKq^r3Lsc%2i?IR z;`qR=NH?au)MY86DdH;xM}o(bw8*povH-hJNqw=>l>ioGmZDch1cl&GuvU^5h8Cc+ z?u{Obn+`xpkp-QFfu@MQ5F8FpNz%e&RH#9Csma3dstBnN3<BdNX(4C<qyXrj-h~u* zeEs5G*q7M&#((z~=R0(w!w5EhgN*>#SPvVuU}G(8l!1*3>Q8PPJ4azTk5&T{%f#Xj z%4x+N)PA?=%<93x=jU8{Igjw$JLZLA4+?q3!TG1>)G;}a$O99>;G>%Ova%dy`*|JR zxWP+7yaO#=4$gUVr8;BX`bNjCB-_L?d*JLa<CvN5)PHKtLxf!ZYdu&Zm@%2Kw~Q$L zK%E{V>RVZl)g4_7?c1-<R$5Ipl5!)H?~+NjEzh+<>JZ8@m_syGPE=Pn)%I=WmcQke zu<(rdGI`*`H(Ak>HY;ySY#hhI`(R(e&EVgi*RJe7C^O!7K4dPpPjAnPg>CnRYSl@G zrSAk6f`47dl0x&FHmj@8z6Ro8ikkat*u@MRl50gNjm75F8o1ZNZL^P4R1k|e@F@(& z4ciZm*%#IV-0OUHzD`n9c#5#`DJT~VyA6#2%4${I>yCHXrzk2WM3fPB#-~SWIeNyl zs@xMcZLNOqIDW?I^NaEylc{lM1ZKamp%qE^(0^a5tFz`dKr~gB)m=++Obc~@t3qkT zI0iu3;J8p*UmR;emEp8Yk#j9~@Y@mwK3Z^u_p+n3q8*b#%i*gKTJerCpo8#Sh^++2 zcu<?J^E(RY7HU8w;VSQWmVwC&;@Cej+$PMCm_4rfB%B0Y5$_r`{#``TCQdEr9<Dpr ze1F&W&Ie55knudLQHTMy&Yv>(wtU-+A9HLq7AHLF9nXZ!rq>o}p1Jjw9%rW;1udEV zxlcOr8t}zZ8CDe$-IG2wTU&K=?3?`<{0eK?nb+oAG${3paR3v}hWov-#(g9&f25VT z;O_D}%v^f;6YAkQ5^GQN_nwVU_B#4)WPjg0*mM^4*zWA>*kh~S*bD@oY7@-vtLO`h z;JBT~J{=tF8!{ulyFNImC&8SMj9gwa3;Xu^;aQl134+f;#JAUh&q5l_CmL$1E*F<7 zw9Xed)g(dw{=R6|eNm?=rO_WFEFuHYIwWFk?O+n>*|QXG5xI~_oFrc-gv7fZ=6?fk zC>JL)Yge7Xv0J(%)QG+g&ZpXq50iHr(D1(K?W7g9D#`R)vq;Y3@yPpUoYZXtRx7HJ z?n0J?4Csqrc<Q-Ou%~E8ij)ds6ZNymQcjVh#=+WP|ErDvzuIK~t6Z;#=o_ruzTjQl zb9ueqH+gn4iVIp|Yz-JSzuuMStbfybCT1AzSHWB!z{xlh+tO!JdZzqmvU{e=XEKCQ z$=?bwSOuT<b3Z&-zl^fqv_z)3&s6eEq%a~Ye<ng0@vwf6me*Hk>qFLuozQkh331b? z;xo;`s5&>6<K392CYM`&{xgj}XQiIAw=mK$f2PRo_HXj&gvQ<WZnxU)Fn?Ea)+2#F z?8Q?ia!+*}apTs{i$DEK*8N9z)BQ)LfK|~7Kd?alUINL8e`F@*zgH$0qZD?I7dZZO z9xeoyu=nRaNuKWyAu;ZT82>_Br0juZ7wz9{S2Fj)&O(4!#`_I7?5#9p;x^O8K3|2d z52FW~A7E#lZ5{r9G4tM0O@BmxyKh3M61wyv#ZW|g2dR<Xn<!O!4P8_)5Q-G(y$S)P zONY<}X(9?l=^d$&DjGpS@J@d3d+uH9-1FyM_nft6%{=q@KKt2w_Jpitb{4*gPC)jN zBww)if3n(HOKtuW6$V6c{3ps=3s>=<C>1FUx&K6+0Z}}JC^k1O+<*ChYG5giIZZ;1 zrhu+XmQV{FO~T3m-TdH(xy96{5W3OIqwBi$pW1v9Hv2zA-~(#$gc?`!(Z1d-^ZJ{` zT|QEiShH<Cr_Z84p(3XBH<=D)Crz|FGw!#(zXRVFw4zTuEpYc}3WPNYx@dW%1IJNG zzE%^!a9x&f`X10g^M4b$hzW4q&Z8?JoFn8Wu~~r6BkpM|f3|RfrqUm@@nY=0rA+7K zPgl}sM*gHdpE$;zHX8`c#S*dA-k!8WW_Y}z@Op!}Blk0*tVyw|Y2?T9pg{ac4SK}- zqf*!5lh5~Ar|-Kz)o$k$U=xmF+ZQ>;Vbsf-?o>5J%J}Tw|9^a+X?lK?O>;u+^L@7I z`60GPMb3AfbxoWVytCxB6Gt}CBiCjHgHmXdHPxvKD0<Vrb41O3{<Z)Q8seFM&Jkrd z#G|9l)686JK7?9XRX<ycyPQPY4*3=(&hN_PMNICl1^qemxl+!IFy37=|8wSYrJNp- zy1S<SS1Bzbb$@4#@6Th<zthb%mA_#u|F{PF{vFHnvR9JoW@~lo{nI-P-S?|v{3+K@ zbmN_G5gYBf&73d!VGyG8Y}V=`my};m`>C*t%6GHNxv^J6-9485&%iBrunF3N4#is^ z|Gn+|W!O0*L`1!|D@^W39t5Y39{KxA@>Ex^ZF*<Wr+;6G^npm+%;}>*>az^NorZv> z3JY$#tAU8ePjllx%%^U!apgMq?<$NS6=Az;$0~Kzk<}5Eq+@@6y0wKrsVq``aj&)t z9C7ckPw;neVqe?{oAUk5f4=UIH>XKv*Q&;yu6l}(VA1Xm;93vhoSS16;xEv`g}gWV zvsdCEkADWol0V*%D6EOr`;+MUcn|G(Ww8LsQDjW-GYzSo-Av6~DOI@A+c+%Pq~Teu zP`En!DpIgH$uWWbv)K@6IL8r@;$5)wTgf(;VUGXf30X(|G)Kf!@A#eHnKo7)DSd5^ z`l#b~Qhn^)tB+&9&$Y#RDPO&r6}OYUDxUpioqwwO`ccX3rt!>5xx$snYVx`D)#+Mq z!KNQ*^-Xl|9~+c;u+5K3i@q7p?_>_|y;D-RIV%;ee&Bb3(cFyyM)L%FfBeJ>IRf+q zlC}=|3qu>ryuY#g=Wftn%i>g-_YRcjT0wsu%TlG^$8CgHDO^Ek$qF{b?0Op%RIL9g zkAI&$rd^%kxRLuWWhaF0472mw`{utd91*lzC4`^dx{N>fpxC`p&=OxeYn#{6F3rs2 zo3#@dA7&oZIn-O)DHzb;EvmKb9Z5w#)LYXjAK>6ETGC-9oy&dXIu1(+(*?c&X?ckn zE?X4{b02ArFAVjfJ2f_n=WOW$COzx(I)B_{tl~P{rFUu1T6gr~!}Nm6hm@;3B{zz( zwh956(pKurn+3Huk0i!tU`P7npB=Fi%muZ^%Xi0RxP7B`d^b?fE{i(zJ1_<827W9E z>Bp2M2Q{5G2})`~lUleK>v3i6<*S0Q;*Okxu*eRkg0S?CodR}U+w2|R&18{+uzv)6 zf#;FyMuFE6<3@qUk>v)P_mRwoWI@<Vd8;5l+BuydKl=8P9WA;!lOR93IklknpKd(k zmYMd*@yqCr(1HtrfJZWCVjH()&SV1^3zSOa&b*H}zX(q)lRQJAc1HZ=D|bY1)+g@B zb4`C<?#|NU9Jjk0Ivg3^|52=<JAZnwre$aK)di#15pu(49I0j-xubGZw5~HXUf=V` zc_X9XBBmo|M+GM85cDb`k!&xu<IebsuIv8m&ZgJQQ_Jo{nvl!ly_#1$M;qpuGLPj- z>fdyR#d|ZeNCo$C6?p5&?vg6cEv0s7%e*&zz4EJV@b@$@CA6|vx7N9!?0;uW{xr{% z<)9s39XG{@eVvBhpoz{jhi-o%I&&R*b4es8<n&CbAIT`e#k2l4g+-O#pKo9!oosn4 z{zM0SW;pb_33Vas)Z@@^n7~rP>Tk|BGDW)F8h<hgmQ!}>(e59QXDMNL8*w+O@>)ke z-6kFJ(bvWk2ck3N(CuN!8Gn4}%~Ob+uu~Kmb&=koS#Tl5Yh#2wa^GO`IAY$|@%EJs zi16~I4v6qLN^cDKOmrsv?Xvz?IG=Cvjz^V3kc?a|K74BVmE$H4sV~>J%bH)|0=_9b zSKPjsovWKi%;Vz%NABYy+(!cAB1w6vD&Y3`jt2!c2Fo-BHX6%k1%F|;eK<DzMPR-8 z)_$x3Yo13ZjVJBS^7rODb|pB3eR}?|FfqzbM69!=k3YTJXVW>P{N~Ukwj&H5_P}`~ z*1Mh}fDdn5BNXu1yVq?<`Sny4?~(e;+?^r2@&<(Za$AA7?($5*Qb~um^xTV%LcEqf z_3`;l)$3iZ4|r8oHGln<jI<{spTQpPD1rUH)Am~t|A9oR(*CaRe@yb0^1B`C7~1o$ z=i5+B=}83}!|4o_zfC^^)K2;gw0@89grDA@vVR<G1y-T;m+ChJ%MsR7NE<0T=+-Ym za>UCZf2;mq;5mpbvp;P8TK_g--GWFIyiC#v>7ZVB>eoamL4QPveITNsBGMwFBH|)Y z5sf^xJdM9VzeK+&VX+0Y%;m4t--&vx5x+s*zXbM!^e}#B>MsQQ5%ti0C+$~Ai~H5z z0O?`+pFd1<MBceg5>Bx`jA91clRp8k|3UpD{!6wl{`x}c`%lm$$zS$|l=YX4zZFpK zgx^|Cq9Ta?@_(&AKt+K5DzDRSIr4vB1Fup(p;=!+Jtom`x`2I}y)BF8-)kjXKSDia z;JW*&INz_#v>`7?tlj?|Y4^iuyT*5-^ADMQ8YyMxN!Smfs6ze^!5Zx$r8eCU3+)=m zMd#g^eOf3n3nWViQHYRtvj3;xg&wX6?e}R<m2G*Sb$@+iK%?fGUbg6lMh{uH9R7;> zM1y9%I{U-V=HhkQNF?QX{EhQMO|Rs|mu>N-w^OPsXey!PdwOm)SD+%Wx}I}ZM*i;F zo^Tsqxlma!%wXdf1>w>0tTB^(+O=Y}r=~ZRmGNN;;jc(6WN4+wZ`|H5MEhDAp5;co zUtRe48h^16Qb2DiT5qM-oLk4ULeP-%*01kIPq@pQ%A{}pwx1bODv8We`6!n>76s`E zV$}KGN#A-pp~n4A9_F$6(oQYv<bKaT5<Gbf_y6+$2+6p(K3P0``8ezP4CY^DEont* zWhrq@7^wx8Nb~O9KvGk%BY6hN-3(N`OBz&fLVqE8t0-tfVYevr!6zrR%W)OUu!*yx zql@~px{Foo<Mt!fwzn;3l}4724UZKAZYTvA7#J+JzQerDV^O;C?cq0z4}n&Tc`ST9 z3jswO<lD?9tWhCS!3J^H$Z@Vwr{cjDao4U@-Bx$c;Bk1?{0gFAe?7%&G~iyq7GsLl zhkpR0fS#Ki4e8Ee4h_l**E;9CwG~rQV|1;llfD8CR>d3_jB#PT*S6%*^2__ZMk&z; z9%6|#Iw|lMgCaHb3e>{X?Nv7ei*{{}^-|Kp_TjoqL^TH3VVBWQ#RoW26Ju=jHiacF zhH{L;k(u5~C-_msQw>YSuk>qLH1dwL@PBX7l$oigHXpDIuZIL!d3zH_ZC>`gHp|vI z4F^4*V+|JQNDzJ9(m&o=E>iKO#?14z)6X9d?pqUOt!K{~=J@C6RcXS~=u2t^Y-@9G z?lUZ;jh_GQOc`ycU_;6<64}$ilD7IJJ2W|Ru<XQ)4+U?iDZwmWqMt!~iLulaGk@HI zV(_QnMbXj%;%!HqCJLil#iZWJItIbsqnP0rWQL1@S~WEuk&k^a@kPn%SBa`misSF7 z72d@2t1qyQkzlnbX83TPvBeON=$JhQi<Ht05NC!7B&t~=ivj(MJ~R<6z#7Vgenju3 zgWZHGL$KBqy@n=kv4NmgEsbPyy?=*-N@}&R={z(ooLa5+=5!3&0IE!d)uWJs2}0n; z;8qQdaq?!CF;Z+GMK9b$F}9hg)j(sMA~d_y3B;LYLK(He8cL5Yr}xq|v5qYUc_hTF z!bT^tn;?-K6X7T`sVow-CVgls`hKh=q}4>DjzW?}kJuwJW>r8iwv-sPqkmsTr5+L3 zPX&=S)<95%4w;anJL$c&O&+|pHAPYDR4J?X1?bAE1>KyEL_4sI5o3KQ9^J&b$4U}O z>ubCuKTj`(g2uB<+@r?6Co_XR`eM3h@yfY)7PTAtI7rl6*HI#m*qAPQJgO8AGR!rR zi+bxM$f-7UbNVG(jO7}Uw11_BGWmH@=@V3iPL+UqiTHJpM{`UlU2tk?E66az#4qYd zJc|^aK)(maip2f}8K#*yMCqM43qi3e6i6K`m8cLk`h@;G9_<Y+C-o?bIj6IT60;&l z_tNhfn@Gf7BWl&wfRNuBFae`N^gX!MpR<h7U_~ihUl`^zNCgw`aer>a6=+SfF{Sw! zw2_*X&6>PO)W7jJ+J)n(ZbG2m&rSmsvC$=qiz?kML_IP%_fe)uuyFOLe$7gK>xUzY z;O1>6e7Eu5n%ci>=ge3d^?%TF0jz4&6&QbpcK*us7IM*!?gL+f@N?)sqDvS~Of7=? zTnfv-JXrbgA2A+@J%3YMBSDhk5!iOMHDW~nBR|xoBF-D#5Ow;l)2ct56%WHQt2=;@ zTzCbnyLt&2$rcP<9u#UUA--hBsjB}2pVQ$6u`crzp=7v2bbI9LwNOgjI9e`pl{^%J zgQMr7?a4w#a58ACXnT@S7>*I08*NW|&W$Ta=c4SPp>(+CXn$r@F-<5Jt`kjzDu#s0 z;vS&gqgH9oov~7C#$?J=cnhq#nlY&|1^zCULG2i#%!QZ7hN&Ned)e`#SZDRztjI8> z=hM{J&2$q`O0uvaGG@9b&mF8`Ur8kHX>AYi&j?nNo0UCUq_lpmjMh|S3RTtnP<H z_qkY)JG(-r&wssV>5^RUf;QLz+pB)#xo|NE$$@XW&S`5PXIAXsA6JUD5E83ir_cDq zx=4}s<XZ>UEXv-=gG{YY*Mn7EOtO^|?Eq^nLNl{0l48Z^^~xF!pT=T{@Fp7B6rm}l zBB0CLrMHNcKVscb;Ta~DQGw#E6zCf;i)8M&(qzyjcYmoIdFYE$DiBhvlo8L^GlJzo zIc+;v8^K&%J(ShfK4f^&;)&t=p!0hgG8AJ2SZmbVbQ6&%dRA))7D9NR_=NRBz0EP< zjPi-S2gYZ|?9peXmu7>ILZxyPp-H8TAS6#|C~>*Fhk{y(ewB*4dTb6DpC5DYOYXmG za5Avuwtusy8jOU+`Wm*f`E@(F-XNA9rIKy}kJ@0dhGLNvy&`+hp&~@M;utnM7s*yy zbT_@1L0W1l31}tVgdyr`qe}h;dWqgk4|@YT4#DNb6wu+7;6dOnp;AkH@7qsj!60sU z_~x;RZeO5o5U7hs-^&OKk9C6t+i8f9pC^<)0DswKn&?M`KlI>Nvx2o2_%=wd{SHSx z`!TXsp7C}wLxmLg;&wHo`kJ^j1pSfle$v2NK#he_wDIHgV=KVHrW(f#cm=pQxQn|~ zmKZsPy^fmDuM$x|V=aJU6)4*HaH8-`kfLzuDe;P%2d|nt;r*l#Y6!x$#>`@K9Wriw zRDVG^Z8?9_f!Xb5j6j0*a;6i|wa{b;?sd$pS?Tbfz%cN+zQ#WJtuZVY>M9Ex8g)&o zl^v~mvo#VO1wACfrHhph@VxySV)2z5HbN5m?NRyNs<$po?#Zu5JcSh#Eo=>ai(=9H zE5fCGw(Y_ep<R(j#9b{tdZsl-ZRNFT^nYiw5IuIvuG}|6(6WlZuHo1DzTVUNP_XD5 zYHwNPVHR~jF85h8gXY_-S?(V^sm#HjwXNf!#MLSutP~OpNlzk@?DCDOaf1Ub{gvhB z8<(*!@7|Z&=JLNiD3Z^WJ#(vbOT!{iSFt=}vi9L=r1=Pni^%HsyMN=s!}P)D_J4!y ziL9iRNwdZ@gK3#(n#{SH!;8b!RSdQks`5x~+?D@1?eB<N{E2!9=2vB+?5nDoEHt-? zD%aO@E4BBWZ7IYhw~^n=e$a<^3)*eiH^Uy0ycIsigVAK~$c`z7nIe7JBzCN<uNTgW zY7B=@I1D@#^op^6bM}sMUo)FtbANd0d3BXoN0qw&x&NiZ#D%uVYi3Kc>)8{ccQuio z59e5%V8m_<Bor58{Sy*2-eRiGq}?D#2ljd8*u1cR`3HYv5VHOqloDsF8X+p5{an{k z%uYwWuz4{$Ot#YJA7k423lsYFh=WkmF0OWm>mu?Y+v)WJhj+^ChU=MM3x5}05_N_& z3%3U=BX;@cL_?It>=q7pH9?M6qTfPCCN%4n4?>N)0v9LKy>D~}>RE{@33Q&e>_7N6 zhEUb7ha7}zb^Vy1Oxx$~4%D%-S0d}AZW8Ve-fn5h+!ycW_;%}|(w5>teI;;{FQq$E zn)iji-EvaZtam;b<F^|=@PFA-oTz{E#d2UmuRil2)T~Qy!8l|8dUtVJz2qswmg0E* z`|{d1m-3wxjlzk+y<YBggKrPUZrg1ieA-~l^U=+7^&eCqraGjRE`wwJsnrMXK$B0z zrAG#_xRH}n@gcounvVFBVAc4$Nc@umke>xKih_29o;&4c{}L~qMt=lvOx<1bX8Sj~ zS!-4#O6hMKetyUqawUzpqJ8#1wqS#G_n&xiEK)6k{G1r)eC~Y<Di$N&6EW~TfAGII z&gWl$Zl2|lPx8|htGoSPl^P8C6&&^V=++_ye#LG*VlXWjw%{0OxAoZK@#O@=I<Vb( zu<omj_~=wS&vWaPzJJfId#^IAtNUvGq=ZlE8Ab8LO)=AP%r|<g-}JBWbKF;{`~&3- zU%xz|I5v05%B}KsFVnX*#QbItuoNerQGJ#lS2|Dp+w<!r=-*1OzI$43t*86zk9M2y z@p-{B7^$><#qQhRYP?mo>e&zU#O!|gB-+X?WE*v{&42swD1XsT{hOCZC4;lt!He8_ zt&lX^$McM7_52}sI**!#>w;xkAX{CN_0nHvmW}l5jpw&>>vKZb0+D0&^548%FFyQY z?KW!><}Su$D|W83>HnIYBfpsK|6oMYtN5*U>)a@S@%vt0L)w1WaQ0fQR1R#8=7n%c zhp!pbzMa=G+ke?VoW<enQJ|%%?X#6@TQxNuzq__SSQ-X&&LK*lt!{60sn95m{R<CX zaoy-TP~^93IyDQh3*pSIpFX7r#LI_P-1p7@^oTLc@-gjJp6rUi>x*9`s`_~b{xY-u z`&2)@wtXW|_4vxAkTLU_-3_~T4o9TZiT4M-M#!~OE`LX)bMKD6`|q}pC<hO1r09J& z(`owh<X@zWZIOLxG>vwa$+qmBx=R%GUmo~<=|a3=wFvYH{J@{R9Bb4toZ2C*ee>2I zWZ;aOmE5-%Z8n*;DPIhR>i^x|&>(X@ag?bvSJ@J)t9uj7eyX%}t`m^`Lv%XH_VT)w z?y;i`%zr9k*iysxjMlE*;>V+w&yScHWKl~mtMT8wd5*c5=wu6;?jsc~N4ra&#~3<) znyx&H=?Sw@n}46gdR~;3HfK_3JZ~`a>>QewHut?id;a!_6P}fVr@>Svw{amUp_r*i zm-YvnK@fT2;CzV+LR5X!TYQ|~N7Omuck2Q%Pk+NZ>BPpTNy<Mwe^O}JM|<)+LRc?W zD#vM{PpK+WDcFbR{ZtUK4+)L9eZ-yn&RZ3tcp6TmUpDe2U2aUdQN;GdPD>BON}ggX z#~GkclWVGDsGJYnOJvQ(w`3YCXl09<N}es+zDt{%FEE%V9|^~MwvfQ>2fZ_Jp-u;6 zC4aIGfNy}GUiL-PF>)~p@NpNa&9jWW{pmRchTCuY+;Mbfy_l^uBnL*+77&x{e865J z3j-Q0j?l{%H<76z%z)wbC-e9aIXhgmdPedzNXQj5CL|%Zr}#;M;jIV`@JpPQ8yB*% z{9t>^M^X4`o>>JE2sHYh%<Xf{x#Xht8-LK~M6Rf@ItjTm#ZL}2S{EOvkUFh4F1%#^ z!S)<ZQTT3NKm~E-pfl7p*z~&qXI%Md*WC9{tL`I?{qqD}rKA<S=hWVEMykn&(yffm z>aA6$S*eo1;YvgJW=0qtCZ_453WAqS`*U%Oi0eUyap8**(^fLak1XA|DgIXh*MAR< z<^xAWf46?~;Lz-*!$dXxR6%F}_WGDQmxChX!i*79JY?XWL<lewdYgia&l_iwUY>YP zeUX0=!tX=nT!~y9l1?dmIdl*>V)Uo=fjft$(hJYcq?dTlpA*ZwVHQu7BJNhc**5q6 z-TEi}?=#h#KAg^T$VI4b_**1}&41*)Oo^;^Mv31I(^|)a8skFPx7FRI`)}%@bZwj} z+i#5vkH^jw@)`}3R(^U)+5b@?OYprjas<2@8jh$qtiqijnhu;Lf$uBFQQPb++~<cZ z-G@^<{avsUlbS@~yOXxf)!%<xEN34YijI+;!>~`)`^Xh(aLj7Eq?dPawtwgnh#g0; z5q2^voHUpkyP&RwE+M_Vj`KmcM2V7~>tc;j;T*wC*nD+?C?gOjDJPNL^;RlH23!(a z3MEQ(E`epj;n7o()KMv9oS>IX!ED%Y>~r<^kw#Qqw74iVC2C?)k@jzfHTB7de+>cn ze~YLqhs1pQ%P=TLcQ3P6zJFUw-|CHBsx53@#-z(^$uFb!X1A7J?pnQmz1aa9x1Hyq z;?}M4db%%+esla*tRYmnhUjDG?{QYT_^=>&*{h-Od)9i#FH(F-D8owH%UL{~tOR_7 z+GvsM2Ya9DpL#|&V4o~$MH5;6;L+~fQgsl_c_ye6cTM8gM${j}7k_s?l!;Ro=oj8L zVn5Q!PhbByd&}M6?fnShk2)%t>+g3q<_$Os<DK~ed<0XdhMJ1yXBpowZrnHLs7-X1 z33$VsqPub5ltVb)Ss~z=CCA4X&a45qVJWboraXBjy7w9z_tiLlCOF#%eBw*#9G1wH z-?{$YeMq8PUPUBjVSngEH{h8qhg70-lzi-vM5eqOt+qbLRDyGld<CO+pL_+=`}+aX zL+Nj)GJFN7k>%fptj-%=7E9cZEzwDmf0?8ZCChG3>5LC*A$5>dzJ2eZBCP;ZF2zaO z@B^lHJJb2gr)E4SWodn3y8%vig|w+qV+$R+tsk&NKdA8?e}B!Chaq31Qg;}hU)=qw zV%j10=aq<Kl4`-Vp#+`~9*+YX@|s`@(|D1-pEHRaIo9v23>)Ut1Z8ht`*bsfb?7tw z```^0u@ukY&tfT`k7c#L%l!0vRyDLPoWx25xB3&YHO6+^{VLaCIKZLtHbXhFsornB zcxQ=#+q@~yhku$-@;eOgdp7d4If4?MMFTzwrA)><hXg#c=4eUS%-s0hA@9cYo;cv| zV`SmfzllDbk#*^j-?^LKPe<nJ4d<Y&(F=k)D#d^6?x-vKJVk0cNs>B$I3-@N*J-ju zvtE~e^ebUm2=fEKGiI<XC4`wvDAcx{xNf7_+^dP%HGlWX9z9L5wx?fMwdfpk@kTG! zq}9Fks@21U*3_{r?A2i8MlmM6eS0o8=tZwI1W?k&=(&Um4c|-jv9z#3G{dSfiY~Qp z7WXphgcgeRn|gIIUM?Stoocl)au)R}3kB6Bb>M}Xnq?ao@3KIu53?q1y@r^*z0Ty( z+52rW{C_rv&D(lSGCWJRzaGfKmN<T~jgQJcXzPVzbZhDq7Wiv0OfH4!Me7Vik5^c( z&!&ZqaC5RH;y4|V<mGLM`CM~|Y)&>qAVy_v+S*`CIy18=K71B7Va-%l2+mPigSJOE zmrQ166MWznHtx+-_YiiYvN~-@HB4kpo$vx_6@SLprLcUlE3>Z8YhN7`UQ;KqpkjvT z9X&1e+Bd|!t*N6~IIqIIb=j|5RJmy*Hgih15L$x?cPXr1JkP9)^I})S9MvGW7rLr3 z>Mr{=i=>%{&NH$xJ~yf`r7rtri?Qi-Ene(K7^4~l@q%IvCf#Kpy{MI5*XzY@hzY4d zB!A^mR4$HW)FpedYhzez5O)?<tq=^Ov({~fH<yBEWD|U*ES6lF4J{Goqq3S8ePw3` z_mPv>J`|?~LZte~jfO?s+I#9M*>ky;sTxdm@QQQ|f^Fe%4MK5Y!(s{AbRXV^G{9KY zAov%YEZHxi2Weh~nnl%%ZkgjIh@jCObALq82c}nxJL!jF@TPHrrIH#1c;U(fLHXfS zr%i-+>9__Vu@G*Kz_+v`e%a^Hp4E<tz?Q^n5UdMaHJELeiwA9W+)Kv5y_#_dw?GId zT&TCTaUX@v@W-;fHAft0h-98Cu%#W^WZP)Y{nl!0<2{P>D%733v~Dw<Z<VT<yMJ%E zjVurJ9z8AhveCzgSu80wBkLDuGY_R^Fp)kbHJD_Vi@R+I-X-J=Cg10*^*Gh%v89cw z1iB&|Q#Z6?+KF)Ku3L1Qdi*Od)HmX@bJ-$J#;wu{f$wUWs>U1!Co6hgx>DQmnQn*B zTItvQJG{B%)aHvx^l6*<F@&{@vVZ349XqtybAM&^7OCE5I@7Pxuvm4?vBVr<G1E9% zgINg{bGKOHYJPbS5%wWOukDa;Np}WQ;v-<O1a9WELRcs_`_*8ghd9j<aibv?ZJhi| zbTc6_K5>>yrp=sYi1(u*CT*MoOW>K1D4z?<CEeyjb42AR#;VQq5%z8AqJI%XyLQ`4 zo+aOzkQ|?GizS8TLkq<22MoN;j(aI&CM4Zw$zloCjIc!He!yt9nOe@htzWFCZGX^a z2V3Hw;SZITtHF2=Axsb!!<dF@%>GcF6$1FHHELVAxnw;PlIY`Yv4m)@yN7r@iqUIZ zfi3CHgrxciTPz`)MXV4dX@7`(uUGufk(O;py=AkRQ`Uu?D$I$~aHZF)iX`NNHq*@a zZ(lD~dAc}QAWEiWR?VF!m|eWfM{31B%<3TekeZmBnnUmA-rCttuCzl$_C>6Z$h7w} zeay~Y?=x)-+Y{f#b+PNt5mZA%_^aD#vWPV6&qW%w*E}4>pdrQrk$?4XmJ*|T<>wP4 zds*faqk0AB6HzNu(^5{V+f*TW_If02(;!Y_P8vm!q6khJeTm*wt0aASE%-K$)`OuH zyhx@<ig$>ej)=N~;Q6q9(N|QhRIXRoP94-#i7%yaC2If3&&jZB(O1;L{2fQ_5C4(k zAy|j#3G&@=l2bAD2!HT-5Vj=p>N;KkeH{Ik>|7KF^{08<AI^?<?!S+oiZ&uUXDSK< z<DX)8)k;W^q<BwkrCJFwk_aDwl~ca~L0-qxVdK<q5Fu&tVC;qZF{GCnPlXLrJ0|U= z#2aCi)cnYLsqpu(Hfj!Jy{veCEW5fN2uXzx#qO&$ko1z`y??N^Y7NA_MEF4LM>PkM z-s^aJtd*JrDUt#|e4X=ecG=bF6TjFBa`YW*s3I88P=*wl{rDLhudMnf`-Hgq^!=AW zI_jZ^WKN9`iTOyD12t#}H>nbBG-v#~|5Nbq_K@|p#mM;sHJ=b}StZ&+&iHZaAvZFo z7D&^={~?%fpnvwar|9eN=O|{A7r3#X9Z<h3%}|N^h)IUXyj&gfhVC~H{w_18ow1+t zS4`_gsU}LKJ@Gs3ksr6QYhh{aXzZT-%kv@Wq-Zm;Vel~W3(>hIUiL>6Ue6>wLU=o7 zy`ikoEVAcbzY_hqA>Qv++FZ;NbB#WR>yu{|B#UHJH-GW*Xf)B&GYbce2-+;8$eyqo zfl^fpy#}>a<(X`(!$$cBtj1;7Egm#W`umtt5=9F$HI*nyacPG*J&IA<YPsKGdS42C zd`Vq0(W;Quh)Dkq&qQa<5Srny6(UBODS1zV0briV$DmX*TDEaFgL(KX)3$)NPC$od zIbFWN?|+|`u{OpT?Zr9h>1QDsJ@#rh^-VdBer<gCY6Tpkc*!W0Zhb8KlFnDR;h(4{ zX4Wl8-7cuVHS*P_lINj4=@)}5fjj(xo8OJ!kp6vBGeBXuMZt=TAy!dWTfM~;K;rk# z7XDO2OOZ!vP3sX{G}6<j>O<i!vG~&<bGYH^9e<&9m><)&MYIxf&}8bCF~vjcZ8m=! z8JN(myWR<ZU-I4O6l{@=ILG_Sc!{Q`%4?0wO#37a{@EOV&igj^gVIpyZa^$OkJ)Vc z<C`_UGeq`gT1}^Ksh`=%e0^#W*U&4AyDJ5I6|1u>FM~>8_x|9$%`8PTsy|l8wHEdC zIe)WS2^o?a{{ZW*Rx&~yjy8@`0iVm`3el;ND%Z}*af4`@NEPyP5bhFv7P*?J%kV#* zdMl|PgL*mfvRE5+KQNLL?}jZ@^CRvB<7Ke>>J5-yCOjLqR=t6!mmYr&`%zsCf@H*- zVfDqUZ{j|p#UkI5hf@Cq@kbXEhw|bm(0}ivxyV9q<0R19(Oe{<vbc1#Hj0Znlm%Cc zjze)lLuql5XfjkWNtY@v9IZ-p$%A`~zCayObur_L(e6=4;4UScFS<MGiUm)G?nWI! zyBKgOXeE>{O&2E)gI+=vgU^xJI`#kiY5p9k9a)rL6!h)$p>@b}D>Gi)T&x`YWq+2* z(LJx0?}a?zRcITPOsVq>Di{0Nu!mHpj#vt2=SL@@sBu#$y(2($*%$I8Zko)FCfE-9 zbA3~UxEF+f1~*)m&r<!wA&AS#>1d*aXM(#PRwb}2Nk~(mf5sMcG(q4DU`2NTElEg& z(Jcf-1>XcKIs?c?N}3uyML>*jXMeDwD*;JLlcF;TNCEx|tmp`!LJ8?>=uH3xh{IdJ zUDYsOp8>26DuvZ+V?+y@48m2F^85OXV*ODmEC3>fQbBNy0DAKtYl5OCxVoTJU>ur& z#<34k)C5-nl#0lskANnyYN%6!%L_^c@@N1M$0+tT%GV=-y;gks23i^DS$`s-G#qp& zJ3W-l$a+qWwj+#>2!4a8b;^W6F2}h_Oic+Y6R=qe{RQ^u1`=+6H1R`W2%(bDUql|Y z1T<`7jKUDQzJiVu<GO&^B8Dr27JYy#Oq7`BL>m%9$>A%YMGpW`N=!4NsQ`4s7pp>w zD*)^u_zh6&D$wDMa}}l9K7Y`mvwf^0q;-~n9IF`Aya~uRR*|T6k${}4AZoP)WE8sy zY5f8ql|d6F)IGu&4xnu$9-Y9jo)whJf<&Z%D?_ptfU%Y$0nW0qt7IP4gjz=FEJ#EG zsPz(_{4)agdOow%6J$r2h!xg?YgiZoWtR$p?4$uy3$fqOQlE{v7JuharO`Z+4HkRw z3a4CEF1Im={Y9Jef!T_rXDhLpk_XH-?7RJ{Md#M1_9glkVRI%^f=1L&Jg;lkcEqMP zzy74F`;dM&waGa<eCQL_QS+(6#@|@PtxJ2I>$G>@AOu(3b+(xAdM#Dr2Y9~n%L%=D z6U)x{oe4Y|eoL<NgMT#1MNZ=ni5_bisI!YY_5=+<PemC)7HJfzgT1iTYVV_{BW2au z(MYtj+CLJJf&W@i^Hl<$%h?KD`(J#T*u*e&++wW15Sjn4RlSZEj1!mF4jiJci152; z)j<(KwFshf6<iqlcw_HDN1W2bzGPv~$R@Q)vX>JE59+*xJAb1_KL7Jp-(D*SB1wi> zfrp0y5`QI3IBI1Imu!qN!{Wa6gLcG`3<ICT(1jW_PV+Gw6%eAlK|H5?d3JaxOQ=1= zI`caPg9|e8xNkQ8p?p}XulNcqX^Y{g<DwW!!9e&O3T`e~!-~s67xu&02FWH}G^nRi zfrwU~qAOY4$ba{D-fB&(hWTDnA5a_>H%P_fJQ)OQp4<EI{&jaUEgEd~0|n*D#!eMR z*MlX_BzgrW92W(EU=hwuj*4DL-k`Md!)z*`H(>xyePh`bKV<Fas8A=Am4R-g?cdAC zd7c4RL6w&_*lGi$RmkwfdCmdE&>0vD4Pct~1B58}lYb+dJ0K<iQLqSH=}SWUYzhSf zCBm@Y5CpM!T(u|QIR!jkgg`qW@Z13CUl!=!nIQN9@o#{m;+QZeCBTI~0xVaN3I=PG z52>fL0bof0DFC1Xz#0(!5g5!RV^AK38~_dg_(_=cc)&pcfD(x~&lZA%0+<OZK?Q@| zcgJ-qxPRy9-DqEs=_@W=7n&L6`+5#9z7ga2P!1gHCK2n@sE-oN0iE!IZ&fFJkJX9s zFCj0zMR%(?pJ&u9_8Rf7ID+0JFi#eBT=rk>iz_20#FNb9zDmV?WsUjIw*LP--2XH9 z-`mQ69_|;CPYTf$Yr8$+%Kysh9(#=$h~ofeeSgi7dP)nJ8EgH%pTr%&rpMH=blb!g zxEFrFY<Tj?_cA?8%Q^4xaO<#j`%NziH%tSr2?|`~<6m>!s|a3OMXA4&u95mKk=OWl z#gT-YT002y+7tUJZp#Y}cl-UbKjQL}N!&I^1r_0SC=7I_3YhwaI4XV-0Ocpgb2ngq z!+(MK-3M;lH+NvdjR@d{f`K>TmIwgg9spL~b5zIxFCaYEj(8m%F#5;9JpTgbxi=b^ zc*31nl8o~VDSz9O)%Zn1{%7Qrj3|$l(D3j#Hs;LQ*Bcwb$%&(u8UdZ(*eZqRE@K<# zSPR|NL_d>l)r{8vA>E?Cw4QEWXzNGWmVY^<3n$o7CTH1}@vdlhZS9|b>*9mtwa8E` zk?|4z*KzQ_&j$(T2}@)a?VNh`H>iq?O|i8l)I4*>FKSU%Ya9mkC5%?#4Oj9y!E%D4 z?IOHCUc7c`%-5M0OR|*WcAg{-yd0~qA$2)mHLhQqx3oKTy>0qs^9}jK7hmL{I)AZk zch<`Vv!4f%*1K*kZVe8sY?bmdXz9^Uicwr-3YWI~U)<}#GRKsEwJ$2{h!nQR2MtI{ zgh1N;Ww@0W++&`zcBd3}u%(3`nGevjbjK>S5;VgAJ!|)iu>WWk$9&fAsP6x0F2@Hf z-ANb!(V~v2QXv$Ny=1sAmpKQDAAcV39?f{Eop&z9Tn-z5B|4fVoY=$+`+M#N^xcDG zJXyW@>HWOIa?=dzX0aT(6pK<~7=|rv=%=D1zq7kPoM5r%yPeZC)d8yo>)vUg&w0^g z-a%1J(6l)PO_``<3GRel*MSWdCGfll!Or_>az3D6ixo)Wb@XIaBAwSEV1Mr0{qv^% zS}Xv*<9L$93HIp#LMG{$Tn@w^4nlP{p5`<LCvg(_R1q+3PH|H-ii(i!IdFS~8YDXp zjIfeCEUD=dMI{Mf-2q8)3{7Js>CKZn>i4xqPKjju0n2-e7S<)m{Rp5-F237C^S=G( ztU^yg4iXZB>tMxgT@_d1qJJ-x&MV;q&5{_B#^Pp|beIq<VOLZU#$M9QW%LK6zp9%z zIIq~>_3-+N`u&iRS)y6iSGbUYKyeIdqY;Z{JKZVNxfZ-1ZyGG@I4Oy_)<_LN+MLX$ zY*gEO!LIzqT^1YgeWj$bLh_Hp^MU<~PS;m-=6@!MK;BSkeWr?09)F%U>t6+&#f_D$ zHbnQm|2)&|s>$k_Qc;A-l{B*&eT$rYEaoUCj-hBg`u*&THYctr8TDvzx4W>BnRN+t z-|A_}c2?-9sUl3Qq?yv_5Bc%Wc$S2RJ^~MKY#_OqIGd6VL2nv*B7ck-AEe<<w#_kv zLdfr=)Gt(=M=;=7n}3|;=NV5zj72-elX1|^rkuv=8B-s>yYA!+Jm$5<^Q-X_-26V~ zwfO`E;+M>~E+2yZ4N>KnEU-on!M-fskW7C!UBfF%=Xd6~Az@zoyyLE7x7D4H2TmkI zu-o0*cTVp)tqj5>=T|nGUeVWbil%?K;^w*#Y#RAW&(j@rCx67)$#oD$e~@B8Y*1JH zl|KLQ)Zn#D+pSprJHG9%yvfxwqP82l7fAlU75AF_6MRm?42JTpUk<>EF>9{8ZPk_n zw!GadQ3)0m_cHwx+z%e-#mltm#prLf7D_r6SYN(_1ui7#iyPGKt<!fNw&#D(x2}5! z`!Vk)LFeqnNPn6;>OJSaA=X>JPXFgXF8{ZA1AoU|a5ptW1WtxHSI}k7X(QcU!9RgF zgo;0WZl6Td^iz0r!W#Zoq<&Uot>j6qJ-dH`S%@ot_-A1vQPYw7p&_{Pt**NdE*|lR z&qB)dd%SYpHw2WKy6!4m$nb|x!%Orn8XX)r$dvaw?|)`oN;0hN%UsW`a>{kvQ0fib zpnr6jVeqWBuhzD#*sdtS4?mZsFWs0Zd7>5p@4O4|7H4qS7Zb?!aAS7a5bibFq8~r} zYw)bvD$cg7{F0u*0Vl(g>*3lrI<y-K8--afiHV=&+y4tlkUjlr@T|rPX<PR4l7pcH z*T$8Ldw;0<aR}Kvuu1>&fWu&UYF|<G^KeLBN3B(mZCUN*b%w`ywiNx~_7=$in}+3i z(=Sw$)DOG9o;Ch-x(Aw~Y9E+L%m*9DEsvst2XByxulVaj01G)_X{XYWyQ7Ty7Hh+j z=KI7NFw42m*$*22x?poH63orm%gr%hyggAJUVj)cF!T69tG}l6iK(Xb+$Ub8oj%o{ zb-70~ao_Aewv@vXD`In>wq>8FrahH0z4P1SskzOL_nEEL)JI}#89jcimlwauiI~<e z(@*g_7>*jlze?^_hz;%aD%q2%(mh3&)a$Apm6k?-4SoV-GhDqbAuY|OEkW%^j~ByN zfqz^t2K9Y~8Ga2rqZxjpt(F?Ym>Ks^qn{pSm$}r3Dd_EVNt#N|Wv66x)>~vj0~yCh zKY2G4ra$;}D=+=Q`?13Gg|4yu^amaGi5buLX9NL}knz0sjTeI@#7l7hK}Uv#k%eS) zLHa`5t=gih`a9KEGzoe}x>Ayx_reJ~6@OqitMBGAQrF|=vQtYBsHzP&m(y}5Hy6z7 zGB=l;QeNN`JwVuL5Oz_79g)XR$&;c4=2r^SHc(dITk5jux$3!KJGNjUETeiKbr%ut z2l``VI2FDY?Eto83f9H`QQw7J(&Kv2`Y2KAE*4xFIuRub{jc?@Jg?KlmYxYo3V%0K zOHw<-k543&RzE&D*>WdUWgsg~fJ_cWx^MJL1+3@Ge>dQG!cTqYf5J}`DS}<GF!f!s zmo#{N^v@_!aF;yJ37r@vO4KEe(?C;3i9W1&6U*HBW*2MapyHaRUH^ejc-?(?^V;v5 ze~L8C|43V5ZmF9m*=Mfunt$F{oqxc71Z}6Kd35->RzcMkU^f^46|&x<O5@}CoZOgR zY6emk;rYxSkWu;qw4I)Yo0gxz?t^-{c|OYpW|dw8ZRe!noD@EpJVh1frs1j;Mokn@ zfx0@uzYpATGN|IrwEHq`xusU%F(DoaP*-886)5zchLd2h0NfQEs-@v%5`WAI*9Ey| zrm=9@l|aiKb~pbqQid-x{p}F>9bof)6SMvv@h){K>P9<>s_*|)7{3_J6Pjum{+sd? zZ_W+lE&Lw4-V$11zr0H1Bf;)^{iUX!!OV5{=U?B57iE*H!;)=X+htuDRiE`f*d9;V z8DQx$NX$Z_%dMo<cQV>xYk#5lI#vXJu?PCuead1nbWb0fo!dgYshhNLS3RJezD@4M zL@YxYRY0OX9`*miDrV$PrYsspEWG+GL^>=RcUcD1S;Bug{tk%yD#sC9;>8l4?)dxb z^RH)&u_bgW5zn9r-_3OSn1zZr{yk?lRLCxWT`g+1JYqtP`cb&YJAW(!btMxlA)ui& z2v)zMOD6yVH-==*S>&F)d?-kx1~YhMx*!p2531^@u8&q6F!4pb$loh?1&1orn3$T| zfrC(`wjf4{nMcAGETPosfr>6)R~oni_?An}{=E<h{B1Q7!$&J))Un0jDpbB?iB@Z- z`N-<M&tmvTTuNf~eSZ~CMjc^}x=D;v4i<NInxY!Vk{D+kEbqv+6gA#TV*KoI@6IVE zsj()Ban`{KkXemhNsM0{?gKKWQI18ps;~LU0YbuYhE*8d*9u5FM;Vrn4RiW{<Z+Z? z{a86?07$SSBWsv$L*e)NrDp@TByy}8UUooK%N+QFe*84lo_~DI|I#e+RVb`z@SjBI zUQ;da#D@~~`tyTO&fR%q0V_y|Wq;?%)aWm!?lFBU>#$p$Y|XVr!NW`DqrYUk?KA6x zPhA3KoaSo3xGrRl&g9uO=va}4@pcw8*1idLZ5BwY1zb7xY0Aa6kLE44y66uAwrW1s zf02)ScDr-c#eYiZkFYmly?>Q$VD&4Rm082yj|LwZ$8lESQmfk(N=0LbZDDo>ij@8h zoQ4mC??;6luBMuY9Wt@KI|{J(vx9t2{#CMqxnf7Al>E3duG@^Mx>1xhw$EWeySCRC zlw0;urO6d7*!u?9zGu_D|2L&1-o|n4J$I}87;m5Tc7N)^Yt6G9BtmrWf;@9{*0Rl# ze~GLn+3a%O&Vn75)u>b}tEcMn*bE^pFmqJ3=y9!fMZN8Fl2v!F*DH$V5TzNdpPq-9 zn&gVL#TzxU1$Ex-rU>cIxp0TJ&$bV{+q_;?51xkAB)hRK)mg9`8erBe5z=ytzSWB! zdYBcBwtvqC{@tBkuXJ}$m1>fAs+N$J?Bjph3#(<V<s9WK5v`vFe9IO+EMr&hw|(ZN z?oRi5C3E9+sU|rmexAyby-u)c%?!~B8umpmdi1`q13LY|)Sc?}YDPRnxh}QD$IlD- z*QK`h*GXnw%Ite%%wkP4)75-it4etDjLAiS=YNBAJM;Zb0UJMpxtD-{O`re%FbgNZ z#{{@ihTmO#@ueh!M=#>)kKpB>T>z9MH#3aq3o3msN&!SQK_mep0T3Lik}3XDV@A^N z%MR`aNdrQgAmjlN_i6N{2X#R@U=rthT(;n+b#%97GHE%%%`fXDjomb)Y{8j;mIx@9 zwSSXg+(;@=pn%hD%Sd`@9B7LHrUl~RC&Tx+a=}UK$f!kOtYhNQjFvvlq2b~`p#@p5 zf0-^z=u6`a1Tt&IcQ!x0d*uz_?tZ}Fn)J;&OQNFIbR@6-E~|4F2LQ|fkhu6y3YoG8 zU|;Wl>_feD05{6ww7sXzYHZEoddE{e>wo0+AJdV{o&0pAj!!_Ce?BnCbxB~5?_6_O zJEK1uNClGRroKvYu9GBG9SPMHLbZTUwIEc5+_tDiGsj0cZTSf?2GRuMLxNFd?8&ko z!4g2QI1?-*d4MHJ7O=3o5-eW`Suv*<=zo1*-L~pQ2S1J4*~MzHTG^IfVyb$07JrZ9 zeWKge=oUyl`}mrvfb0ZTAe(+_Eom86;gBUUv28jcpR38bY0KuC6r>A)VE`}#polFg z;pv68>UeXc#DtCby~qEbED`yUB02wiiKv$guZZ<lZvZ2y@Rt8$K}Zu@t1kARr>xkY zYLg_&WOyHJi`pddr3B6r?T#v@4u56CRii6W#n4cCTmo7E#YGbe!v&z<M{z+yrE#Wc z?I<pAs65UQ9T#N}4kgFELz6{vk%xkCSLlmKd+Jcu|M83PblFo?5ge7&%E*B*`q<m~ zUv>2Ae8j7_p7Qq;Xlps*EvbiYkvU~Un#LmUZ>zlt<o^E`4|iLw*E11*&VNoG3da3G z??x6=hEn4e(C(4N6z9&^TD3`#GACXdYp!k#R%XTD#4@NGgOs`Ode}|1R1#%Uya)D` zS}L(J5#Ap=s76E5ON6JzMyt~hDbwOX*h}>@NG}Io605H+2JU6S^CFB4Y-x9gmOt+d zy}q_ll(+On-|iEpq^Bg%tbbGP;luXOv3}pQ*?UXoQ9XE;k!va$Klwcn7-du`CFoH$ zZQUW}LL!(8O><M)BG_Cqm>Mlg&&Gc~#G*)P;!Z)u%xMID39ibF(TZQ?07XE$zmGM7 zOq*)(X<9MBD?xkQrKV-Zd8L`4wp^^oXP!@33e=*mM-q<84JWULfZf&YKG1)Kt`Otk z6v+&i!%$90RdUQo;)GLd3K9No41c`6V{8g&+FFA_GgllQ2M#sX$h;c?D3BMd^h23c zUTHL_m(m<_*H|1*2J)f+RIV8O0_+6_R0N<vUethc7lVg^L&++9=%gb{*+IXa3)z$@ z<&`RfWTF8jmRGt0k|D6E+){r#kW3_?-shIS1j)n#synxo6C@J_sDHVohoD|6ATN#z zz6A0jH^-3IjA8GgR)H$9rJDz(8r8!wCiQHVMW_jq!t@7K7zca~yvN6*x9Zq8f#pZ- z>BeV7%x|+Ul4A?$%eHt<y`czDReg-$ujtEb@cn@I*C<T)$S+)DXB~fk1Ok$xTN>^S zu7UB4QF`UNcu=Xy!0vjg9ZEp$AXV)#g3Q&*FG_1czcOgc-P*OqgGtcE^kw7f2aZrX z(yF+a*#v5aSW)n_oyNYV9|fElyvNUD{nUrq87HeY(<X!(&MfWecKY+&U7d3+O7Shp zqi2+RznP{_M5;DzOx}ODqf`9;_Y}gqVvDA9_<o15)wrhzJ_beUM$D-9@$5=}>A7~n zVfcj`R^dF0^>%lZ7p7ZeI)aK=C;BmONBmOy-f$J$qag9<#~tIBK6ma=wZm_F#NEeI zH=#M5)Rl&!LgGmdX(<<Zm|Fk06|TxPu!7|96;-I^U-9X!K@)#R8hGy==*+SBbn~D| zJ~jNM0@QL-d>S=q@|g;bl!eaBiccF2nov-|?WCZVW8%{sgC^=wxUx8OrdND=Z@}a! zC7e?PYS|<{-7#R&bPawf1f6*;J{>z?@{Iz%!Vk5~6Q8yiFyWzq_wqq!62+%^2TUH2 z!(Vbk8?MBAz7BtW=cO6EP=q$PCb?5!1&F5N1%jX#<mj12>5VJsBNC5N+2r4Ru__>s zNZI5LF}NM5puv#uXxzjdwUfVRFQCl2M~t;KU)g`H1m^@7IM;-|40f%8sI?eAYRD9Y zwvl<{R3AP1l294~8ke5wHBuCxW<sa7>3(5gJ*P!mR&;;O=_<u8f}{s6&J;Zdu@@ji zIlyHtHO+?hBou{XS0NrXgoupNdmuvzpjaS<7gft7<hw!Jh&?h0#h6kikRc(TO=6k@ zO+jc0k6i_O^vH@J@4%5@YfoTc(hsXx)iw=)hM`ay5|1}PL$lmceo!(Y)k|uc1^t=O z&@h$_EIoe)jHh}6y97!mq}E7G)1v9<%UrZV7-Lt7JUXg<<1>a#AgI{<y;wm;)*2eD z34*8pe(X7@RYM~y?n8aQi83lyCq7VdVVfnC0sW4??61jbEp&#&BP~X7F3T>bR1ria zJ!5D+CT_uw23K_H>I=nsfv49j<UEDmnHZwZJ-vT<w4|ol(c6pG@#%y$w|oqflRR7* zY+Y0LoMp^}29@LC%|j|R&50fcERoPh5RdetauK)r9K-kzr_$qJL^Td0^{W-;a#zd8 zT3RU?LUNldLz!<hJQut>4;i_)XJ^#IRL1S7Dvr@OCi>b&OG18;-n1P`18R6aYCLb8 zBr<<>0eT{GS7jjF&8K>mvfrD>p7(&qSsxz`vZqYXZFFF<d2>em-8!C`;v&0AGt`05 z5I*lZ!nB8+?P1y=8K@V>s2#WM_@s1FbdSwH>s>2oQBX0Sml@glraV_PF2U?L$68o> zW)~#;ewV!a-8@I{8Q|EtdBE@7^6ScbV&{MNZO3+&!t%3+fWw`<dwkxYm!=SKa34@R z-`MY+2Qri#&sYjeADafrYR5HRBpJ>rRVx&3PQ@Sx=B0ZJ2_3>nRagpZ9$NqzJ~7CV z`Rl#40Cs~N1dZ_Tznm!=KhYg{`_Lz2)oy%&qlEiFe}sRJa~8k>18<#tqE=l2+(LhQ zD&xHQ%Lo`#UVft=3w!064v=?u;H|6An^ghMA3s(EXiqtvo$>bH(sD0U4Cc?0l4mc< zS1h%hw&5CqTTrtt=x3q66QNgld#{utS;q)TS+nEHozeV+#y%GI(ld*otxr1l4LFW5 zm1mZKR?ACmat!s8(VlouF2%xLb7p@PwAD&3#mZjxSSx7D!1(@c=jdNY9w|lN$n}Tk zxqIOSeC}zD(@9j*-LDk_M;K0(BK#nDBhQo~@Bo*@tiy2*&{s~QD$9Q5V}tI0q)rb^ zqqicWnZK=Z=NJjG?oxTL4h*|hu$;Ke8QS`|rPY#l`>s1l-2lGx?JJ7PCh~t+1%=oR z4!cDpWPdv7dXYGOb^T-B!Xpyq!b={-<@raf%!SIlR>C26KuTZczH*4&5FpZPuXl^C zJGD4`uq?3K4QN^r+qyMaAJj2_z|(2(Huqq;amzh0+jWi=5CVa{1NBoKjvrFDLbL5E z{RcB{jQ(QlHrj}Vt!377bQ^!I10unI_CPU(J?EJ)Q$h1Si|_p($@ecu>t6xQAWa+T zcG^I*hT#m0|BI0Cj%K@k<8G@~Y=WX{kC;`fRWn8Hy=qoVt)liUHKN2;qoq`0@7CU) z8buW`O6}S~QL8rZH<I6Z|9Q`O&v~A6Uq07oT-W_gkUz?s`5T#fD|LUL&V*M_I8cGr zwI{q#n2NGbbHeLCppvCm*O;i8!&EeVY7;esn2J_ib)se*Q&DX|Cu+KZYAc#H<IkjX zdj!{E=dP0X<~{3<B;W@pdy{wiXX{?(FM){`4>f*$UaB=|?J3{n{?qTKUP^8|E<sb9 zRSz+GTj^9nY^FU;S@M5qqNXc+lcPNPC*sfK%l2A|@lI+TZ|8f~O%Wx}h<TeN(m&U< z(|);`Y{8`Q%XGfd<i_{%1MZh)uT+<wJ{^~$sWqzSr560`R7PyZZ%#>af1)PBLb9s9 zL?pf-U#3)V&rxI2v-l~MW$cH!eWT|tQ3su04YE{JE5;&>Uy^@E?<js}9C$0srp2^H zZdn~sm108iORd!OB_yuD>&u7x5>(yF3%_)3Usg?uo;VIJZC9I32e*y{Z}^xF&-@qK zI_$Z?e?G1?h;}(uDd>(I>3!cZBNiW11QR)Fcn~Unc_(%0l*#1V?~7l&K1usNLWw_T z=0iW5geaAZT6TZR?Y|WmsH|tsSuy=_>r8g{p(^Q%ryO?N$*^s24oxE;;h4*HiGloo z<>K+eQjuLs<A%R9eN|zd(a?(v({-4LC9%xnMFy9~vdVEJhwb_M%d)d~mrukmg-g>{ zyLL%@znp~yS3AnSZOVzK2!alcwXIU}?_WSt#qWoyF9v_R(MHltZG#k!Rl@Ig*BL|# zwmJDJRS^VV*mY$^(%O9c9byu{#KMz#u_E`d#p0t+SFHg2Z~vc2LywGa_;ua>s#;y& zh%dJ|3m!}do?rec(ejiGk4z#FpD?^&X{c;e{dc)z>^8mXQv65}qHy*}%O&9~A+GZx zUOG4DOYnaN8*|+GTZ%Q`KXQR8-Ony39!Y^uFMmEdvC^VH`((p*c^-VW7QFp>Xkoiq z_+H~FtYlv5`RB(UU0yOwZ5@{n+L8W4KCrUoeeA7k%EQ1h7W^*OyTKo60xzSOC{8Hz zg_j9WY^Bc<c-ZXG2`p<Z3Yt)R^b~qFg-+Ee=T(1}-#BrBlLx8f>8XL;DoGfwF;r%| znhOfaH&N#LR1K{n`s98u6Ncut4HL*Ak?(H!S}l|L2`|sz1O7`+=Xd_hlBoePnHKoy z>3(Q(q_c2BqV%0Uc=v$*+wTK<_y<>mnW{E+VTdHnyTk<x&h*J8i`<V*D!xVBPFCE) z5Wj!&)jSVvP=))}lli>j^4GD=^eG+|6~6)yq_6GT_~$0JmA@y&(vSG6OH9j9^r{Ev z(f>3&y`Ew&N1$FVtVVY#8>l(^Sgki+v6o$Zg~VX@2Hv0rYxAhuXYHYex)g0svt*4L z-%~z6LddvR%P5U?8mBO1Ew61jdNRTyrM!R1V#kHvGI68yW@g4*=f<$r+RVOF-r6)2 zUYGD83BO8>QJ5sZ>Cpqf3`WR=kosxM%e3LCA1WUzKS;((+Uf@l?x#tLSC!6Xq(fS^ zNh(xC(iB(ebhnBl>-mdaCsKNw9vwG7e5RlDJnP?ccMUgV!A=X^;Mntfes3Xj9@c-l z_q_Ih<@E&IrL`PC7p$d1z?z*GJ}>#iCRb+&7winXsJW`o=UK9y(n=j7cCA*k)M?F| zz?lI9Z^vbLE_kNk*PyetZoY%%X~lis#Jh0@7Zu9z%iD<~6`X$2d~-IsJAOr4FWZ!7 zX}(LYWvLrlHnICn0rxPNCsFb`OLl+AWqEpL0iwe{A<HIP;ciT!)VrrhO}aavpVj_I zM1{>C{EYfp<*|LjGa74Q2&|d&ZiUQd-phxNO_W$tm4k;&zCCgG(sxO^0M%EdCX;+{ zC=xYq(D8F)Gn;tg$&_NG^VRq5VA&F1c0F(kE)W)C&i<wE1@+Swl1i7>B};!BbjS^F zj?$#vZQb6}Hx|7$#!)K!^cIJB?wLB~Jfw$>7Cl~1+$<!fzori?H5SxwF>F26<Z*vp zUN0*NU~xgNJOOJb?XL)(q>frL*YY;hJD5uywhz5?(#k7HeLm-B&P|0_Hnw66YJ*(I z{njR5)`y8ZpUrCR4cBx5y4ioj#jV};nx8;vdg|umH$V9TAZ#CBl`cS8c2?ii{A%+q z;NWw9Rf+*+YS6TWWxY3M91^)LAz||-G9ayi#V3uvTqfe7$zI%5`5Guke#AHRk&Fjn zCR^{GS%z)AnI|6;+ri{|p@3Y*t6V7?AT0JOj2Sa--BlQ~2v9~`g~fj{ZawWc!<Lv_ zysO-9Om6E{*gGIhfAA^{0)+XET$K($S+sGL8w2EyVsc$*F{?YCw#+QEWibI>f^Bt) zjh>m9J53Lo<}t4qx&@$QZFSo9o)(yW*MnRuT-O>gGrAtN$Ta3{zyULZy?_8G^>IT? z#CrGZ&#;Yj47#%@`>TK5YX*qmJ9T4uIp1gv$W()-3C-$VfF(4!<hH^D&Fe^gSpL8P zbgE34VN3Q`1(y4~{`9Hw-z$glSBGDPwy%6!=eNQv2I5bjl8p02G*SF>x^j~hpML$> z>HtGl3SK*XO0N<IFk%kB4tydw{7NS@OZd==5kvH4`I9#M8=!yDI<x$d8v&{t0W(co zEDo=L$YQj`p)Nq1dOhwtelA0X8BSIA-`80*5RLz@Y~Io*j0LbO-)^q^>3=o*T!3qd z*VPC?e`k%H&g&^^U!9{{%Epc`JDl_en3es*Om$FoSZlfr*v$Ax`{qAmg>JorEW`|7 zn;)z<?quld`s9BWA1MltmRoe8vICD(Y<Z>F`M>}CU9GFA@=${!u>`(QydX0YhWUq@ z#r}i)*BXi;<&Fy<YIS5Q6lin5tI?KJ8sqI?c^(x^kIIYTCB=g+TUPsR2AXa|R@Z&Z zd6yfT(Et2-4<t|2|A?rUXTeMCO6w{F8vG&UmS1KqE0=%P%j*53L5N!lYDJV=Jf-oW z2T?z3A6*8!wp>d5KmY2Bn6)J4uf7nzr|tT;+0;1jYT&~>B?04gXQi8pn7{S%CiIO> zf&h}^feJO{*N?P!S3i0TfNoK7g*{k(MfzT(TQXq0e68l~yya}b_~Aubz`^Os#@ZZG z+sD}0tI&V6L{y=go95=upf%<D?=V<{voodQzVWigtupTYXlUDAHKh`w^J2<#!{h)7 z+M7Q^ClzG$AJ8byROMAJ>a$N37*GvaDK$)m>OCnoc%k}_v22qq?A}Rq?YtqBg5T5W zckMhaG@e(n9XXxixEwGfK}!5e|NQ$D4P!B?<6D1Opf<Dv>>q9%^v2XrJE_HhxXxPT zx6y1Est-h#A~+Y1;s*_B(=OKvW|ocRc`hF~EVm{75f?s9s13P5rd&eNk6^N;OGX!> zhzjrtTsIHR17)I}?BS(3S3w8BTI~#Ooy(&MVL?v~9-L&M;z8#<g=*D_C~eSrXQAmn zGva>}?a2pSw|zl`75D_E+mB{~R`Bmj<(LkQhtKMu$&_nyDu`N&P#H1n%2w3=>WI2q z&h)Pp(9W>g7uBz;Lr@PvEgglH`wtL4wEk(j=V(#rHe~-!4#7}C_^dJdwQ?*{o9J~m ziZF)pu^*HLvQL%6J=7g8YlMETteF!}v`l~dUDT28wF;UBCTl&)e)0xY0DAqs&~RTC zF$g}1(cM7HKtJ*CKgg*aY6_R&tq##`LNi0Hc=z#h{tZoqw;G_;m6?%sM6b(G<1tc7 zuGA;W2-jPiG%X5fGgzzbD3^aG$_IpbK&pAM@-=FC;$lkT)y%ySCEV!n<Pt;xrIdd> zf=0hQqLxbxig>376l|W^P#%x42Omr$O!L~zJ_Px2_4=Qz)Uh~QYCBXLQ?BReusBqw z(X5x~%%RS=s=`koqr#qo9irhU{G)O}aSw_IW~6LPop*qq@>d6=O(XKc3HZeB0xkV; z0*DwdP`nE#;1|0O6g1)fkWqf1SPXyn=O4|e(5I(QzB4$P*Dcyj&zf{z3_mq?aIoUq zFMtNCsh@%nZQv7i)5$bqd<VsSdgj42A_kboB=aCWF$@HA@R7D+wV|y9&QCnadb}y# z-Fpw9_#oQcnH_WO!X#+Q@Rn~m$9~3S#awQlg*w^?7L=CiO@@#IpM;hCXdZw5X_R5^ zUpO_4Xw4{}TocQhZ&`K^q(?l1Wu@vLYC*q1H<m_Q!gQX)EYtK4y`fF~`-vYL>!=(H zP<HO?vugI*Fj=5M2i>HdWG&e05C5l!CVAlH%08-q5MCZ_Nz_qBC;>!y-V)RTig|s5 zn3k`a)04htgNPQgZ;uvo9H)OSE=4^@G!<Gr;w?ZeVhYVdI8-})3+YXZC<e(eG)7OR zgcn2h4FI+=WpO!b7-cak#AEOXVT)la7v@6;F>DAz1!4EdN4F_lM-Z0!7C=N0&R|n2 zK(7?;$X|UAh$SKZscUZt7|~M}m!Q@GqXC}kGN=>ONB3bo${SQhHlu&EX%3y@ua*Va z9z>hjC=VbcQ?oD&I*75PLon0&hv<%@HJ}Hy{)xJWXj14y$Ub$>ogpZc4DA0wx5EB9 zA_COXUZ^ehNrwYwX*((`m5CYvwS2Re_hdv^fc?XU{zDr;HNpPxhJK^L&?dfpx*Wt% zZ+JT2zC_OakZQQMKAL}7nFC2fEUu5XQ0B;?Ard!6%P12*?xt}>piX1RqvAM5^$--d zV&metM%54$46(6sY@?=#c!t>cIPOtpgmg~SkZ$-S-#&3p?@(g+KOMAwt4?_~VWU=F znU9QP3rmjj1^7VT2R{8*S`B?0Hjv1a#T71OjCOCBf1-irgOz`)HH-yNAxdZ)rIt;% z9}`*Rpu{2L{&E^<Q&_oX!`LYsbR6Po@x5l2g1XTXv7ujn5cCE`2AWB5FFQOB*D^$p zCOfrkb9kmh3-Zi2UJD~eXr*KTs{o>YWBVXL=R;$fjS9t(*sFCAFu;6H6-^2oPyx)z zp>}+pF91><jg)^nqDrs)pfwgX0m{q-%*(<D4AIko&XvtG3%ZIi7e(yRO34A{8AKM@ zD13~S3K|L%(g3Uop!5(=UBGIbrqKiu4b0gZhvEWRBmq`6;X;P!VZf?_&GQ2^4Pzya z;0HId16CzO7DcF(z;|s)>!v2A<IrNKw1hv(Tur*`lU;w|E_!Hg%Z_5OEceI9l^H32 zUOee&2zSv(OB9u@QZ`y3rt)gDG|}X+t%ON`I>bZTMt#SP58+#SXrZDqJIY2gL{na^ z5T|E6^iajwLLO}i+e(>~`h<E7n&GKA@PyX!dPa|qjS$TgqsjuMjSK#|ZL=rMRM;H$ z?KCH#@IZeutlfJzpcH)j*|!Jxh-Gq7kItH$^o~;3)RJUMZ7BM-EfvsIusex!Egw<r zFuI-Z<HaL??j;H8R&rJDsuRl;qjH)fgy@7p1-l$c{tQP2(y>`kUMJwETD2b!O>vQq z<_3A6YIv=#J=2C(6%FxEqrzIRf(hdAbzXz+#*u$NzECgPVy77g@7oAx+Q~5hF@a7& zsxtwONcc0@GeDGcT%^Ms+qRT?!5hS9aLM<13;Bvuj+W1+w2Ak}VgVEe-4FtK03<f2 z8Krq5fWvD$qhw7EPz;^|$SVLTO!A_KO@t4F^2z`ad$KO?bAZB)fWklka9g=h1;Gxu zh!TGp8WmOo)U|MT&@$k!!C|0;;2X05=TjFK>lgwQeIi5s!gPT0h}h7$un{PF!{M}i zwOh!$Q95KWM-w02_bR-4yp;eD0(|#CS0JZb>6|urlbkDTrp%1T$=RyIpb!5tHkvl` z-d3sfS2NNcyBmtq0&Q~E99#q5_>QbKUiW{2_q}-Xq*M&>wr5mzW>&MegL$V;N`(X8 zJ{i@WnKkU`Vcv<8Qjt0|uyhq?W)*v5n0Lx#qa5%(Wpb!-QtFM)YuNhU&Yu@MNF8Wp zzS@{U-0D~0yMevrgDgTS$9x^p2U#4%;>Lv!E?s=0>0vvZP6!*pC7*68$08l|<C%Xi z;iiyLxN++zss3*Xr8P-4sVVW8?*<ah5s%hrZD)qX4G`jIS`^?%qZxH<?z%^@C?c40 zPe7IxF>@g*WH)P-9?C(>2-)@v;`U5{67~jUeIjBmMSTT2kD*+k6oAS?#Ee9-0YCsW z2h7N|?I%IaScbCq8{A_?Ab?+BqT7G#!XqGJ8nb(WkL>HA9m_I<<Kg5w=xafi50hqV zV+90ZD+Zt@J!>xm*JG)C3y2uo=p)1(2V+pvUndh7K^}l`dwzxz_C@z+62}_bH#h%! zsc0VxrCI8F@d!#oo6ajN!9<y!i{kYA(m;dg1!<<zXB-eS7o!ln&paMOGr@oB$=-Q7 z@u*qQW~O)EXFSAf@FdTsnsop)lXm^1ciPH(9eP+}?o6KFL+B}Awd<rsdL7rOA)?&D z$Dv%kFatU>yftk8R>ud{STK{<Ab~gsPYQ1e^-sOx35Cjx0KMqBLjF;H0HSKsH7@i4 z44mA@!=SG*y0E4@;Pnh3<=KChzfPeQ&@1r(dgmBjEYM?20D8sK2id3#OkTSM1&B<e zN!=svlpf58neO{^SSc!@I$+AEQ>F(*rrA{I48uU50Vs9Zg##8*Ff&z4>ca%_l%H&I zR`;k&gmMgTIh%29N$8>f!wBW=bD<m~00c)VfS8lLU8e*P)G##+Nd<pR2_shpJR?xN zFy%bJ=?%&rri`&{D}*rRpxy%p|9B8zK(UzQU`oaU%<sV&)C;he;^$lUe`>|O>qGIm zRDc-=HPN~bw>uK}1X7sut={ZCLJ=?$>ogdoa!<US7S9%n-du(sU-u#7txhwKn_Dfv z4wLF}{x2Y0if>fcrDT7WYg84X<!*LBj%cBsGy?$c>UiksU)U*HY^#SLso&sq!)M6@ zS_R&HxXXZ;039<QC!^*uM>y&>>@04Hm>H2Uml#jnZ`iZh<8z&EnuIezPo_n-7ymGa zv3)2-D=bf~)*vhRkZZphs@>P&MGx%*Bin+?Q>P@eo6MygyoG-<#r{~Hthzi*(V9f% zKEBJInW5JGP#|+6bGt#={AJ+|;@#M&)8u{ol<#6?bg5byf2&2bnRcqLYj5mT-_fpH zUGtVM>l>MrF6$aemlj-c5YIB2cd*T(+ZF2=QIpo(5nC#n>g<BFMQw^+K9Y13Fg~Kn znI_|PwzimU4?cf)ow?mO#eWi;c*ex>A@=v$t1R;6wWnF+OKaD%{w+GNWc^!kpvdxG zbuiBIo?nxd-s~J9mv$Z;@s@V(8yS;!?i!(!cK$YU`5<o?k@RtC^@YvK#~U9Wd`GY~ zq~N>Xb=vuQHh#9BFm3pC?(?Ll3nkh4-8@nK;d>(-zdwJBYnH#!lF>hTXK}>SWFTsu z5J58hN`X;Gr32rgo|TL~cRp0?{}1}sk!{z`&qy>+Mfgnpbzz!y?r3uUzhM5p{q7VV z-s3&1FOv~lZ0Sa3QO0IpO5*}f6)F>KBmYg^p8P5Up-~gzYn!b3*7B88iO1+HfL<^2 zwwI!TuRwo9y--N->*F#lXWip64d>dkv3v=$ASb=c(levnTI8<!>c3)6O*J!qQL*7S zc5(mgHF!ni^K4f5E$@jue10~)BJO^G{?-9T1>_Ak(B)g`aE#X{G^XSED?IMIVOmQf z$mC>iuQ5@{T`l11paB%%GU42VO{3aY3z9r5o-Kcqd?&TOpaNTq@2Q*0efD7s1h$v8 z41%Z8p0PGhthek=V%yghD940aVv(`&-U1c|QrhkI#_5CSP$awXGoAh@`wjc0$3^xO zrl%7rii%CQnVBmiz4_A<xpf~>XXYrb(s3f!1Sa1pj`QliWu+QIH@BMyP+OEJGAoFM zDg}QeqNTXjZzAzbMne?G`G;m%83&MYoKiodZ<VTliM;&U9Y`dVSjZcO)FzECtj^gm zsg{f~D*05xz>Tajsiug^>YYzFZFELf`s_6faQYiXnTABJdRVAbn@4#QQVp*D;~D~S zIg+tw4SI16sc|{tVJeOx{`LFJB;8Tp^yq(98sDJ}xuggbdA``UK^a^!BjX5$q6F4; zd2<qIhICn_LX>1kY^B}V{SkWXjNkC5OLUaEd626LV#!J^B(^a?gIw{E$!LL&GCvRU zfR*tFvX#>`Zy+@F)i856(`>w=B(E+%CE0I|*-wg+d_!BT>x0PaoQ<kcreTFF(f@yV zhWgb;2^3#H5lc{X`uXG)$wz$YPfUtPFU!uS(xq!WbY$E^{x$jZ)|$pu_C!%x`c8}v zN#ZSoNCfQO@WUq~?_SY0ca(j<OFfhSZ%gf0e!)>B#VVPxlRwq>I3IQ&dBjJB-t>XD z%6|yxVp)gO)xw#A7^BHKhXmJGEn$D3Jl;O#y!<G2(=PX&_|Q8a>n&F5mO@1mg=)!Y z@)c)2BtGkUCz6`FrBrcGVKh<c?JIF(<cPv(h*C~{I{#3!0sCaMQcgoUzpn6ot<5K5 z5hV>$hAt8Mw$#Q&UtsqN<sK{)$X_2^u6k;YAl=qh<OxF)(ZvcUhg?LVIVpept%t&) zJAs@?8U@e$(cE-!VOFZlYsd+KbOT=U5JgYv$QNJi-4VX{)I)Bp>AlEDB+KENaU-_) zy~j&&BVZTupI^^pG{{$O%C6<p!7JFQm~U}9xg#B>Ulfaby?Hi&JniWsno`IS=_@N4 zHl+c)&VYx{{B0^%F(3?hk%)gb{7FU&P`>U#J~8)hWdBdKj^~qS6$X4+xFWpsRr=%h zaxtPX{o(tzwEoqV1p63z5fi<Hm-+$4yA2#FVy0!Pif0t))#6<|SI;{$+{5O1cP_kN z^6+NdQE-<W!qZB;^Xit(#bM>~wE4;L-srIx&2;Ndpv#@80b}I(Z+d_7#dm+7pIGl& z(0cyONQ3pC-r9<^$&yrE2^P*6WL9k(6NA-dcDX187BJ&`Dx&G6CsSM;9pX|noW6R$ z?qUiCmu(q)z4$};Hhk5iZAWE5FVXq8Qk%l^5!v_DRS$ZUskZLh+)T}&6Svk<a}}ia zsCIPZxZ0lK@qw}@dCGq#>$cqdPqKxif;7pY+eooAos$wixmHE8HQqnVWY?Ns0AIF& z;4ennHnZ&s^Y?>zr9SfZi!7h#v=#3@*QpjQJBBI=+o-uFAvw@<cb3P0;0J&!K4g7F ze%p7AH`=$CogY-ypD}p6_0iMa<!_*iOn+91;>P?<)4}eD*~EWc-WXpuQ2frbdg7zR zl>H?-afG110Bj?Wv|L@xD#eOy50&BTbNOv{M%v>^&2l2RI;$35YpX^99}-gR4p&*L zC_ZaUhV7Urb>GYLF&k=7EXYk9wlX~K7muff7w}2_v`F&(+#uD@{U34PUIVPUt*)4( zk#Ze0xSBdL;SGN?9dWn+0=zC_C05k9(o?m9T+*9v>>k;1r4vnc?lt5qjgl4<7Fh9f z<(-L263Pwzv9#>`&0}93{LF_}Jp8BQ&w<gtT+t8qA5Lamv)M;1e5Len$&`WTLZ1=w z^F3BiS$L>JYO9ojhUEPsf?Jms(#aHo=fXk*UDh<*_QQYm&aS~FO>{;5%^^WIyD~}( zX=U=kav`CA{FKV$a~w9aXC+2AfnO^ZW(fw7T*D%d!~QH3{Omn0jG;hSmiT5u<9m-N z8Bz3Y<*3Dbvf&Zezp+5}!-3}4upK*)3}o?<eUJ5715_JB`#|feV_yU`cg%O_L4xmg z*u<JeF7$s8b~b@TWR%hLkp0pa3dl-?5@H$fBFO1d2b;114n$^Wg;4%3LPfl}g>JAZ zmn<uz5WQSE%5mrV5Np#BF>EZ7A~Is7OPjCSC{SK3TR2D!aj<}gw0^Ur2g{;ip(LY< zO@r(=AHA_Rw?2!33IlXyj&Fl}`|O9S62iNSbHjgmJhKYxcUGP1{{;8{<cd+|>ypeq zil8k*%jX@@f#)ibdSXyWD_)mRX^$cyZ<n&p%j9p?^?HF6@a;UeTlwHmAq%1ow<Gwv zR<n=H8Q-$0eYp;1igCJ4z~6PG78SZu<aR3;Od~IXNCGgvE=3&;n|Dv6+2!)%zS}43 z1%rR4_KT8-*wl9Ld0P|i@#(^a6?XEHhrX)q(DJq}d~42|%zyV&yhOP5LxQuED&%CI zgnS~OCIu<i%9H3Ur2rxLy+JpdZ{(N}q^s$oU7wk|nd5x&1mYipwEA7U#*>F8gI|P9 z<+G$9DR>E9Iey)8%%CfjIbv{GfSiQXuK9l*m>(vnZY9MfIs0ot?htehRcdaeEKup( z?wA^#bk;W+92PFu4frc+o_;1=ZUncIpAU9R(K`cy4x^n%g|mv{+8@2j`K!lu7;VSf z)sgMnkaXGwQ`;fpwVan4F*;v3UDu@wc8mP87@!u?cHiLNjz!*oR-VV;0Ps#v)MtN7 zm9}~#XO>opHLlfD#=QUPg!g<om7Cb*sKW+69;?kTjnx?pd>lzxaJXNWrPW|1tqB<m zdB$-0EJW>>?;9b8PmF>0j|_hF9PW9UBZN7bmSkJw`1kYNB-swBPt*_<F-^n`Z&Bl* z*Ur<LJu~60x@e|F7w8uIei?L*(T9J=od>ZEJ{hRbNO(x(Y+#=Q=;~-rED_tWfG&0$ z20r-#^vsSeRS<(12nIltPXVj`@NXq{6+20v-DnWRAkBpESSDgk1A8Rr%V~N0B<MR% z>qUR)JKA&sVy1B_DFehKF6%|ppkZBmIOj|Aj`#;4&6M!i5@Jn#`*Z+MvTuKcjsOfb zs3<s{jhM-pQc45S0eHAWMQPI^#7t>a>3Jvt)nE0=sF$!8>h0gS!pV)%uK?gZ@GJU? zO8YmZ@Hibb5db8h%wa2P?ceajS0G~A)Di<cp1IJ+?j_!aZ(LwisuJw~8IyBxK3x@n z5<)x^ZvOeGihc?sCpRaP3DbXxf^vnFAV`QYA3QMyQLLW5!EZ-&AT)=Tk-47HUkV`t ziX{fPu`K`5GBN=OAHoe3O9CJ;R0xRq7J%GCB!Xg>Br>|4Q)Ikr<^v7{W;yA<zj?*@ zo2A02P=z3T#O$qa3pB-6{(b}D!YcTC@0(Y<w>t;<4U`DN-|4djDIkA}@3DStCf|t$ z8NRzdzmyPZrB~QUO2lKObXEx!w*hn_3&!AL2wuC-ayJc(oq=*ToJAX4%mo1RtNO6o z#Ow31$Gu93$$PAUc0umCg>j@ruASOKpr0v#Uv5+ye{~t)cd6M7MQmdHycbeH&cb-@ zz3tQ$diI12lv>}?d}Dv12SD#Z_(Bb6lNYf5{n#D0z9(;fXU5PdQG0=K_(tI6<KUqv zA9gt}zJ1@2hdWxo3$$Qaysvm>cLKTj_iX?uZt<BRy6A?_0&`ziF=`l7bO6N&{#NJ1 z^k_qbEvA42g&k-*%7>G_1Ug7pPvd$AG51KZt#9wbJV@I35`KTd=l(qKJAp*7YUSSO zL*0|;xK;Y_0prLEzVDkwcfwip>eS*DE*bE-QJZ67YCAW1)AMIG$MDp4IC;I*o6NqS zrYt~o#MGMz=TDQLL3q;(X2SlqoYYc)1&6m3j_%}{5WrI%4p{^1^rZ3NMwlv1PnrZi zhN%kl1PGNfssn#0TOUD;-XNVPWr*g=!6ouv2*=a^6~~m9hi@|le0@GZqNi*O>5}$c zKC4U~N>O7w&n@*;`i=(Wy{CX1=fwya!|}6yHNT_p<(Uw{#{s|)E-Jqhkvznu=EluC zn|!a)1Tt`=%S=z19?~_D?Q8ZO4b8hx3l>&mu*e1%ge-q>3FWjHFw7L+Nl$I(AXJLg zVVFUJ`{Z}L(cjT8@_Y%v+u`LgE_!e~g&h|FQqD6WhaW<Xl_hz*KswDVjrSTYAx5DK zVW^ZLFSRcqFiS*vOt~TaXZF#3Pg~Dez0&JSvOrOzSBl@ogW%68Nq#27%lBQ-A)$Sm zI`w!uDN}#%y;*zDYU-%AwF@!FC$q}uAP@ChK*JyML(qE3L)}{i*LaO<+=n%A#RR(a zdgBPSn$|8+OpNTRL|*zhFI5b}&)&dQgF{}v1LBlr>vD~7CcJ=2`RQYKb;ta>b>WGF zf<=iq2FAX8&%#~3xB%Bl#dREUT0UH`{gO@6mAij|7Is9vWE}G@c0^SP+{ukQrGV2V zJ7Ou-Kn<tBT!S+0a_X6_NL{$CY~7M}G!Sps7CN0|C9slOzavo@@kwwc_3my1m)sL< zZcsILsk5gdR|6i;KEvM75cb^7?7A9b$t)UsQ<8Mp!7BixdNx-*v$jgWp%6KpBnM=C z{sDhjx!|n&aTX<j#UW!IFoprJ^>mZ@u|)<j>LImpkfa7Mdk)-PT?6Qt6Cz8cfhQET zAz#W`XA{;nog}@I8VJ~N#y4<%0w(T#?$TQT46ys&Y&Qzq{`+}D3Ixm(56rV9Qn$nb z%<1<-kWCc0&!q0@Bnkm6{u+z>4L);Gz2kqOK3ntts_lboJLB4YxOO$REs1M;;oAM! zwk1w&jBDHC+P%0oPEC(%`{CLH*!Gwib`vQq{KN^npc95InP#?Iirq~5Ie@n{OegW+ zRwKsQOk-{CRAEydW7{^k3lXTr!b-S%ip06S#HLW-t}zVL&gc_-@&b!%<A&11g?xVp zLV`RRxJq#DDOj6Q%xZJyF1;Y!@R+9q3`s4umUKn<gWJXeyL)aGR`&<93;+jUV^n#t z@-=%b%#3B*2xDQy&Y<emj`qopSC5gJQRn^A6@vm`9QQA${;0&R`2oA8`+IE8kIz_m z4GZ7HJ+ORJ>~>(R|ASF1%#B-`6AOQTz)eGgo2D7-8H2m%FF4O{Sg|rr%!~8v#KLO0 zhyD}?_u$yL2|{ot?O2!`H}5<S{(*%faBNDf2?Oroh2aLv#$Bo_7RJNfbsHAm$IaV{ z^&IZTdivw89(TuU4p^}a&QlHt<KAvF82H_E68U=Gg4#j)QIN0Z$5i?@{^WlJHyv<a z^xU%A7i#dA=A+j}5Th_8rcj2Ay?N&2x6`Bn84E>b0*GmR@3pS1-36bbJJw|If4d8Z zkUU><a6oVL98b!EUo{@4NM5+EW3$w?y{NXs%3Eo!%p866f}_-~@MbD{=Rvkh&$^bQ z`RKDe%bV-UddTSHXCl1bsnmb36(Ol_p3y^w-li<*>WIxdPd4?;2@Ofe<UfXl`14HU zKR)2)(h>W8u$eP3`S`%(-e=?eR1tUe<OPRYN(a@=AgfaFhWr;TOPH`8eBAARRWm49 z5h8n|>+vq%nRVU~Dfm-w$=|oh3m!UwLKW>S25_?MU#vi(0~eOxfi-`F+N>b0H@ngR zwrrlsO}G+JSmv3K!n*>Uem=>p@hPHTjsF_GXKXT~1boGJu$UcWC?&b21Lu+7Nl!*^ zVt(?^CQ!F%Lb7gjWi)G^7FYedlV^EjJ<TR#%Lx8hVdq{&MTwM?<hpM1QwlU><5VmD z%}phiiEh^vq%iNvciDfS9PqjPkoLf21@Kve_oVO1X^I}{wXSOqoZ1ZF=L%oiKGs<A zcFF6ICRcff>5<;(VtwEwXAJ+EeM`V@)6Rby!t3Ar<h00zUw*z7HLRI(Ora)2KDupd z!SK2Qyr{4vJ4Mk*f9w-n-8z#zwJ827;OL%fF_<N^J?*$0^VxqV@Pni-JU08UZEG~} zSqo&f5}UF>sB?60?g{X56(+o=thPggAsN6wDa`jZhv=Q%07wrZT^oSL(h4$rP07`0 zG|t-qE~(%~8V@gH`l%1ElXnx~uZ{NBf!8Ux-R7^2uQi7I$h%4NuPc4gYbJrynCQw) zYC&f4x}r+$DV={yz}sO9oJL~sb-pgb?E4>RV{@d`cBpu@td-ss*6Ib4!u6jFiA^d) zcC+ut()J@wrNnN43#yPxU`SU%_WMD&k*+4@VUw5)Nfjf&pTeH8@lPsuVLl<+eMVXs zSU_5@b$uwcXED?VKK8lM@k=QhrYtz=eC&s7>C)yM5rBVJ|K934A3b=kop(Vq+SX^G z{V?x{1RUI>vL=(vevM<{(*mh|377yJ9F>zBs~4V4lq={^2BrfmPbSTzu;asZCX<ZR zbgzN<omJKI3U9)btGNV|7bNfBX}dL^DrO9yQ`jkSb5<42JHi9EebGn0Z46pUJ~7kz zWY(LaYny*}L=Lu5zi@j@|0ivQLc%uiv(;<Rzmn~+b54pMgnMTe^H0po0)Ns)glr~o z1{vNaIiMJ6-<mLo8g)$1ObDJF1XJ^k-SwGEEiJI#niWj^*)y|kd%f>_*CSQWrG)96 ziMCZzv!t2gfzREwOW6e;JYP!p(Q=kULskhXIl+JKMsXK-6K!mcpS89W+DwBh{S7z{ z6CMY3u->Q=;P~UJ_Ihg4eZ2N=m&;quViymxLAl6=rq_F9gU)7Sf6v~DHAgf&tSg;w zc;3gD)x;-t(06Z@lhRvdE3s{HR9L3t&cBTVyI*Y{<c^us$bQ3RPnQHICC4Q?V}pV| zy-<HH7f+R~g|@ZGw64!CWRIJlZ&3%oFaEoAwtc?G>~4G#yyaweOx^NoFLp04gU+U7 zS}6!o;Z1wFWqWz#!?aTVE9pS&heaz_C1XPgpVaDiXaHBdyUNx|+uFw_2dCm?GLO2K zy$af^t$ix7Z8gZ8%V=%2+4qS#!3T409*2Jv!_S<S%F7COg!|Z21XkG^L@)D4kOGZ< z8}{&llQt*#z@?q$P<y|mlAZA=IP;6G=Fo%7QizmmUpmsGt`yRkjr^{YN+<MX>XG~S zrKYyjxNTiAFPcfhxM8FrkHN38;S18#l1}W;?gY;y!_<#5{x9tiX8s>T|KUq9zHfhR zzk^@>k|*lArHe(YYe&MjiyfZm<HgQ1hG!SQ?*^N`mt+6&iDZQWZ;$8AamMUfm(@kB zaBx>z+jyVV%ChU<-Er^Zrp7aTiwh&6;Oz{#*glr8%Y!q!Z=H`xZl9HrT@(`p%Z0Ti zf1T^hR<%p_MD(_bttr3TI_rg8)PsM6mEm%?x;{lL#LMrjW*seZoqeFbU?tZ4Hez!_ zlY7{PT9bQZNhe(c(QA;dk<;s${v*5B`fQ%;qLUz4BTOzs;hmq@JjMPlVc;=d+u8M} z7xH(5<=@NQ{lUVz;!3|)7kf;?cm^Z8xIq|<2y6TO)#~Udl-p`}i9h}CVeWrXfc}{b z-vyE`80Apt<DDOPe@{y&;aHZ>&|mY8&vLx?P<UJ1S*Fh&x+BjQ#N@deAaZgWwF1!F zOV!9b%et>3Eun3ozR<Ov_T-x*BCw}YmGWF)JvG?gZ_QJNt-Xidoq5DYaMf71&<Mo< z%m)?RR}7&%?AK(zT0k*uC4PUr&hir}zDL-Fwg{v6kn=V0_Pw;TjIN@}rw<tMd%n68 z!|pb?l1B83!0tYPBURd$-b3F{53pSU4a%6)%i4Ya4NYc0SDKQPbf#iI?3Q>p7`ZY) zEaDgkIX8Sa*QrRQdm(W9d@Tp>d&HssxEnR|f0w@=6Y8{i09DUpLKJ^xD5un$#CY=T zi$Azx;4iMQJoq1i#umPiPV_aOPA;k6U6eU^)AOn5Yw&h8B;KuK^feF)SHNd5MJ(y` zE$Hsgt|wlh`o7m<*>Z<&xgnq>RW77##|I1Sura%tThM!_T`9EwLL1*Gll1QnK=uR2 zV%az|tj(1W^pyb754?X^3~G7r^_-~p_bp?6bY3abBbBY5`bMZQMqO$2tqatWglVTS zjO(C_Fzx(-`h2K5CWh=~6bCG8piv~;hA!3#QY`?)46{c1GQqNX0Z=TIy}S%n^+Ylv zA;PND3v8MN7&-h=HaAAlVnBkB&MCg?dl=ELDBp)LBR3zZIgWo+vUTn>$7!wJF&hgD zj%cUm0;p3}d3mCogi8gg@#Paw`6o)PlE5vc9;4p`Kx4?CF6H2Dk&9eiUp+tO`i#^) z|I1`kCah;qMZb9nP+lns3|+5#dyDxu+ysf|LLwsdAMF=K!fD25o^SY{MUmHY0W#xG zYUWoSkwe#G0zH5K)5OS0<U>k&B&jF0nb&8NhCT2QcTUcd3;)|7J{ZO4)D0P@TF*mm zP}BMfB4$CaF#zRy4$2My#1XBa*Fw4>##HMCD1s<ZbCOOltTmzVKYk)I9ke<Cd_-M< z1VI)`tuZKh7~R`)cB}8k_h9BWwk2q4Xc(<~VoF&~G%<gfZjX{tZLAQxm;oF8lL?{5 z1k_y&=@fkf+ToRPyAd8Ji@@{5qXmjPR%BjyU5hV;^l6V3lKO`PlYX(>Vf74mN$k9M zC5LEeR02u%mu5fzXk+yGhn<l$^|KfF9BEI!YTMarUY{6Ph)(`N=$-gPu+``jG4(H{ z^425r*W7<9Psdrpw~TrSy>-jr+q#(ZpL<{hRQ7Xp=={PdcsIl%nU8+1B7x!$Ql;_e zIxO%hJ$}(Q3ce-0CSI6$tI0Sw@gF47_z|^52IuGKU8_ZPFXyEEH|@_C`>w>&OjhL> z@vq4v5Jqe}`^^|5Zsqn&!K7@RE|$;&M1!zJCyal<V0&=`bB>yJj`IV&!q_NR9n4sL z;JHGKQB24Y_tg~OPDu=bcZRi9iH+r#(h$*38R+;wA;*V{Qy2$u1LfRRbaTX!7DfS+ z>GXk!rM<10&USR_@w>0K(%uBE_5IcdcAvM9@(PKF<0>K%*efEzd!%9UO8e!)<&0eC zD87HPte3TXWD!YB;5zh@QlI|T@hB{aEnn#FZV}0AfcbPupZ+BLedB*U1w#AeB3>bh z+7@WqXX`gOJ~hhYk-WQdKs?4ewEs^zgHtxbuu4xdtn!y6HWHzZi(JJ<;-3h;NV;PF zVSctA8t^%F6s84u)%S(x3VD)>czw0t=JbC;Ji^6TVp`pvsuuPv*hxOy;ryC#E66~x z158F>2dE~-4qy-1-^hXM(USwFA-2HsiWnuIn#C^}V6;>~YJ}TC5VwOVZhJ8boCO^& z(+rn6g3GkP3DR+bKh#(v>ON*^+B_jr5?rP|ZjS`q_KbEJxk7h~dA&jck*e!A`T~E~ zZ#1Z5uQo)wNOe85iL0E|E96HyrX);Fp#VntI)i7N(D)be6+1NrDR$~@ChQQiqSzst zo$g*u?c4qIYM8&ZU$1=U1;em2WDsDdm&6%V;0!GPXW)o4=)xIPVhuuMx07<O6vB)+ z#a*mI+7+i5z$)bDMpX2!GQ4nEHMoCM9C!Z2orbtG1$WZo&Sl(bM~0no@D?^Pl>s;n zbk}dpjPqb$-1}k)*qfYp2*V=kIE^-j3uv;$a8p|2rkuv@^&WRIy10v>#+}b_Cp-4M z0kh@7zGAW|?r~!?{BW(;3B0OTA+q;?kV51rzJH;n9=*wmK0R9ZKDLFvE~S5p9a_c{ zC*SwX0)}=kB9V5l{3BK}D9mHX^EJd>_gCVaJfE1J<-^fMAzm1H(kP6%eoz+?#^q%l z0DZ`7z+OZmg76111LqXgq%J}?sqwQQ;sg{6yn7nMz4h!1bA?9PM&%LKNsT%gbKUDg zG!48jAE9-;T3#I!Qxx0P5paJx6^{MZ7w~2uRY6cSN4))+kt(V(X3`sYqXP<U;$Nb< zMMt@wo1p#ly>?-h5S8lF`<XiQFre!Y{?D+7=k7<f!V;)#$UGQ21KuSj<)K+G2gvuM z<`Ve;trll>G^TqKs)^}#(@Yw&efsa!ZA3ok_-4d&;>~;vIYLJiaKwLm{YS#(N(|Q_ z!6YPxn_@gxs2uY!`Rau=7%L*EGsen;s0I^%Tg#pT!+p8+L<jit$3hl9=1UFim$$#Q ze8mJYOw*QPEVK%901InIL<=oop-;S-Q^Gct&!Ss42M)rkupv~jc$jQewK2)(<$SO0 z#kh0+XpQPwx<%awy>Wl;T_^9E7itz6|5Z$Qb?|yQO9KS5oY5LLfFK#8H~tMnxT|Kt z)KM`(%gEv7EaoG{D`vFLSW~}~SS<Go#v`CtHuvOA!m_T>U3ze-Zm03*vbX@m>Acu( z`Yp|(U6GUDEiXZ1;qx>@hoL>`l@38QB}4lmGwG}j!D8^T{ZN0Cbbg26cf}Nkp#bUj z4ne*cxc$(n^eoW5O*@RF@ZEoFX2A6wsQd&X%?t#;qmc2wHb8Yd&ta&$+CI+AK(tsf zI&}m&@1k24*&0Aqk*!2nuT(P;LtBxn#4(L*{PP=byz`2@&i-NT>#h<%))=#DnSibZ zacO~Xg2mkgRla|M6iIH;>s9=MmfeqDOd|<i+D4D>U1O{(q&l5jSoOUhYc~2`(_@E3 zy8N4<V|!frk<uO8MLKa?CX+Mj_y)J=^e;XFK_4Ffu2&K~8gbs?m%h_2=-9>L7;UvY z^uR^e>EgcC8DsoUx9CY_ITUi-Zz;}fG;Xf3+x74LJ9U4BGHp5Wb4$81F1mz4IV^4c z9Rbrwt+NZcptppl^=_b-%JXJWsd4n))XrhJ_Rgv)ndv^Bpw!P#PF>aL$EKd?a^uA# z$WQh|Yt`uL$pK{Tm&k}XuwOJI*@Q#YVz8j&Wlf=T(czyqiK@%T!2iT?m6z$=7ajf2 zeO^vLPOX3Ne$BnOHZ{6YQ}dznqNvG1e`6@P^l@P`37X}XmxR~KOJ}<D;B}>mQQlpa zW%RGnDqWu~t%Zp~gAhB8G8v}1k+&6sf+fOPu~55-LUS1+#<I_O@jWSLpD%~~`Z(_2 z>pd&F8_f9Q6Zzhrb<p)6!r{lFPcJmy%aN=MMjU^8JiWN_KA32QCF1z;(;%uJ!V$+@ zcQ1K=$Pw(tcbw7Oz2y8Mhrc)YUm#z`5BmQCx!!Ac1k$ZIwFlnZt9cs4^u7XbZ_i=& ziG=%4t_9A`Oa{wpG5wX{Y!4nchhOeOWKuNLX%T{}!}rIt)jLu>96mlr<yrSNh&A5w z#Pok-2M8<}Yd@+#hbv%dqga}>J(hOoE_(R$Y@Y7V1~G0%HwR8nj*1VAJNBVe!BA*$ zZ?fNmRw7iNb)Vk@iJR!<&&$oX#R}zi+<&=SlDp$^T9TU$^tq|O!JZ!2^Glh6@LT@5 zmmktNB(RbxhJAOF?d8Jn6px0K_M3Q$#6N#^I^`4Qpp)cd?h?y<fSk$;k$2umLg7zn zp0nEB+7C0?4-*hW6MjJxK6#3wcs4|FZ!Vjq6UnmV85Tqt9h5LadZHk3qM#*y#d*+$ zZQ5X)?{H15!Go{ZrZ3PO*Rsuh+>y-^u4}FAF9y(V(f$uuj@h>dg71F+ACQ6riqn4+ z?~nmn<)8P4bF5Jm?S=mT)7k(>K)Ao*sIgdTy|Ofi3?sogYq{p2N_>QZT%^;2=#^%{ z^z46bnOHX;=bkGHQBEVn+R$Azp>?%0&el0?VwA$Y7U=a&_Nr`GVX(~)iG#I_fZp*F z&>SARS}8XSh)|O2u>>ON&RI9fuGapCUE9Hb?&{tu-(GQ4%&=D@fu)vVsos)Us_hkZ zco^7aC`d2S46_T<wW6MWfOhL389x03v!!rRPZI+$!nz=BLlI_jS-nIb-~m{V8uPi+ zU~cF<mwo#g=7!n~mc9W=F$5|~vlAHC3%x`pjFyp?wgCZ5)WoQ!<N`d|cKgo;OPQE| z4apMW=5rXTPEpT8%%+Uov<+F9n0X)ub3vYO43;oEPXD}HRm5V$0wkvPC&HWSFeHv# zcAt9yNlX&ctHfRmCqQX>AlK6Z%p%aFGWIGSLv^y<+mgWeJytHY#!R_25Gjt?a7c#X zO9W=hMoEsbyO@OzZ95rX08^qbVoW-JFjLATP&vKFm=KCSBNN4#xZzBMdT}Os!{BMm zX6SnkpmIqJr9ljT{K^)Z9_oa-QVWRYS8<G}JSqRTBPPgLUH?_e)o{6x`m5*QH4HY# zyxP$I4j`c`n=mo_v@09k^iXw-%?zjJS1yc=OKSe_XBeA075!I<7#p)ZNIeRFW8)0i zTutNc02;WmDG<Y7y|Up4ZfYqA^IFt>*XaET^Hd6V7+v&gys8r|z3Sn;jLHma7TTyi zJu?cC;l8Zwy4>2-TWikRs3riB7~aUrKFqDXW0QL*qp+>+%<Pa$FRQ?!;w*zwaSaTW zO`eo$SE&Gsq)GobiL=KyUklWKc-1Fbp4=2no0R&Y;`%z&NX41iF?U>6fj_U7Tx2-l zQ?{X=86neJmp00=sBHhuK+7?)DMyW0cB19^&9aoq#<uMlZIo0|8D5}paOjx2^X7KI zDb4=J(XpV`aXAHH73a;3fJ>Tv<mi}e5LiCcGHG&hDxN?E)u(3`aIAZOo!DQ=(MelQ zidCUP&3SYFF)=4vyr}H-c<2VPzlEcdkDMc`f-7LM@|c1XtyxrNan2ihvqiAR%StYt zRbl&;MH5#vo@O@_gJD8XYg(hkKo2_f<~gfZ?5>MnvO;K3a-+nz9{aGH=gAYJdk1=N zvV^0vD(La{1E1^%woqn&ul6BVH;%8#SkcK?4dSDZE78ZMELW(L1(sWL-M>}9zjf>; zw#kfb8e*F%*rpM#c?at^f%C(mbXar^i>hO@WoWTzFBa9ta{Z;{2h)@W$Dd<;?~tMP zY=`!0II-vgmK%Ko>p+cln8%_N*y;W60^FI8s~ekG*cAgut!_wv{8S56skAc8yD&73 zq98iYN4XBGq3WJ4%+a1$m_{8Eog+{zA2eHDP~1d|SE0q5<m4+pC{;|nzV6>I;NQPR zhH`o_<m9dW#!@uevZ@JhKmEym`V4LM_z&dxpMh&FFX&oc_~4{6SgA8s>VlC<u8Ic; zV&M`jY)O3O_|F}GaLn-ScQHN;-%k3YZ8#nL{dkWY^G^qXH-niVOSV}*(rk0_+KeOJ zj3Wy^+NdhZY?Iu}KUk|$zJ-CDGj&N6s?5gmh4T|Nh!#|}^*IpQ!)H@vE7VY#=`Sju z_E9Nqn(2DuQmId`+dd^C#?+6ysVJn}^$mekG(n^6bw;#*03+I;&_27$K6`^UJ7fSE zQf_zElv)R?k$TdRd7k25b#vIFqORIzSZz60`;QDIZ#N`A&LxkRsf1^-dX4N#bMs0w z^$?2|U{Pi~G1L<=lo!#Jq|O*?^bco5iZv3tlFZz}qLTzyMou)?(Y&yd8LUwa2rIdV zO^L>)^kbWUx^2GCva2#gQDoQ&B{>0>CaI@S3Aa6GT4eDkZ1^T~4;NA=QA~lOUJ+a@ zpozL}&JJ3x%wRk$d?zQe^+aq-oD5ZOH&kEe^yZsP^tTr7>x_p2jECODSLpbC`Nb@y z#n}NY%7|}2U1dM*bSv9!5b0K-a3zs}=W4_R(8UISi0!h8Y)$kt9R8!Y5=*jPi7hX$ z#bVm$H^jCkI_rJn8{5fOP4nSR@Q-pG^{Eg8@us4K_!CZ=jZaD&EC)8+V{*}Ba_g5G zrNI(kE@n!!wnfY{e@Ym<>u2i!`2?}<?jOIfUaIru<Luj4UPgt=wLjcVYW2hLs&AWC z-zEotq1^3TmJ@<}Sgi&|zdPAUdU4EBW-|?0wAmDtQ=nqeLVA_@<M?Q0PkP8ikrSRL zN8=05jr9TX>y1<vqDfv}tLxWhBFjN`7BdZe@{-7hpQ_5Y%ozZO%)uwdKIKG!1z*tE z&&)oI6#fYiR04vnq)eb12C5kHvj1Xz_20OED2{vf>@<r29!^q~8I4p<On{&kI`%nM zK@!PC!p5c7m(7%@%L7U5!JKxG#B>5c-l!5adKay1Q(cj)@<l<CJ*Qlc{U=!?6@%A1 z>Y^8@*q*|sWEI0O!2S^rmtGezn0UM%`vVLh{bEK&+xDtbOMRXQ#5hfJ_I*KNI4((l z%kRa3tz$E69=sq0c3tFt66b*7-d0V0&h@_{1S5*$>=UsC_DsLg>s}4aR1QfH=*GB^ zB_#?xeh$oFPtog6ig9VHwRvzs3$zZL)KeEfVJ`6sq5_~;|0IF#Im|V&X(ufonE_X# z%*@rdGmg2Xf2jhG8Szr<sTelj&5Xu>9(iCc?2_MWvjB7b?~K<c<1uDD6{9sQHvkJQ z%Oa;aLSTW+X1#F<0$kBb0*oo;mskFp2?+GsRkJwd1}=PKDW&nB7sjy{a4f+%_L;3u zrU8x&%uhm?zLW!NZjhudKIz7cj&E1PlsNXvg;iM*eU>8rktULjDuPrs>LYW1qzDy( zIZ)6>Du^hE<|+=5b{4+R?f?p+&d=|&Kj^WO;E4!v+ovY?gvyh~5T^@r-IJr_cpgfg zM*TpB@)5`WL-nYSbdg`EPMY!*wa7Yu6P?ctpJY-;4q=dkhYu(_I7nehW81m%G4Xf7 zYNl;8cp~!c??oKWwTL>2h@XFd%RP7~OZkX1hT+}VcD;N|;@uY-rftvhL{zRwam1ax z#CRP5Ns6+Alav%770SoFyL%TPao~yQaN9Rm2kqSu(c`uctq$_Q6EWbnM^*<tzWJ<F z1I6iZzH;-~XAP8%!#O!cr#*2U-SA02dFLzQMbf;34BE(@dkQ1D2N?{1k=I2PMjFax zicQ-(RJ_BJnAyCX3C4Y3aljAXOq4E&naj(W5s^bHWx76T0PW`Wj2W$ggvS}8O^Te} zQcGze7IVurxjmbq-Rc$@is+j#t%OF2L{t<glh@1n2BHDfpVBCig_4G?*w!?q#I3?Y zZkZwERN_|gLvEQPJQU-9R*yq&SzHx<A-60M9^v0YXY~t?y!A~`Eq0$=1mP9p{XANq zq{@$DR2N^wXG}D2)IV6v*Z3sjHC;W?Z};P)tl#weiK=v;?FlcPy{$|_uc^9R&xt>4 zRjd8Cj4!rdRK1A%@H231zr!w$L9!&*c5mo;#$dXn)4%#6uIJr<{pn)XrhBTQ`=Lp? zZwIq1?>*Nj@*Zn;sN!*nlgQ9NYkle5w_toZ=e$`OmGdHwX)16l7xFH@-&x|t$>>VX zik7?6Ez#F%z3<mXR$?-qG*WSSn5L9JUHAp*SHH{>vpoq9&gCz9pR%>cl>eD}`+4-j z!R6M&2aP{VlXOLY)xT-n7I&Vkhtzur*2QIJJgBw#G5UpT(N0rvreXYipoZ`Nu<=(> zaRqA^D2ltgJHZ_S1h=5UEw~2>&;)mf03ld#cM{yYaS85j4KyBToDeL)X|nge_l$A= zm#S6u&2N^hS~VyAfLW{FF%=P*BWTl$$L#Uhf79-3Hm?JJiSuC<Tgu><N}q1_>2Dv~ zIy{EVuEiG1d?vatzQ(V3Of4!~ul(>?(FeS4yB`p1deEQcrGdYOI<K@Y4)C~OKfI0K zKF%yIo5<pK{PR1rChOv<na!+S=y<cl!P;(Cy)UnM{mq}_&zZ$`!+Cf2Eklc1n_n}~ zmyVWfHdmd0snd^U?rGw@ou{@BTB1y|g&dB)u<fV}YEVdZuH;zrM^<}Hmc+(A{5dq| zZ(8e-k$AY_I20~%O?N?rqF_I>Ke}3!>BXfvXE(DutT{@5=N0bI=-y&RMEP^3H{1Ln zv+U$~#f{wP9&R+ST(G8I&%h|~IaK0?gM7K#U%7jKGO+z_LHJJbp{d+adNudb%#ce5 zqObb+%TdPd4mmyD952;8k*m8v<__85o4ItEYO{sa9dgPjPlicQujihDpM53+^AIO% zYk`LEz|$Tn7H7AG<{dKQ7!R=RZ|yiww*@S7Dcu~9#wj8+PIl85I^IzII!mogWTDY~ zs@JoBwZX|yj-rvj&Tef{Oyk((-h6FxB=|%fRF;u|*ln75PwP%)<jMWm&82bo+_2MA zn_TudL={bvtNQFZrt{Q&EOPGOc=#+jRH%3O%;h`!Jm+@1@QntQrb;$%Mn9iv$P(Iq z{<_3zt|!b3#y?xL{&%PHqU*{&r~j$(&touud`NcA#Q*j0OZfQhEPYl_O#K5W7gAgL zhU=G@cMsydZ<bCu6JEVjb>JLJ_!5Hhwjm-VLpoQw5L=JhI4HzCH7A;$Nart3;&f9- zvc*9!hbpaVVLS6XXqS@jAbqH`a)q2P(P~4a^mv19`xSNk+e^3V2h?CaMMffK+Rfa5 z%&Uo9G50Bn8*uOG3N7%`M8SM?WMrneCWq<_TGP=X>wM8Lz#%EQ&+g*r8c*acsQ89) zW_xrvOG(vV(W#`m5y-e2WLr`rpigCF0_qU4;l@SjBUxP8SXsc|dN#w0TO5eBKB+AB zOfm$P_~-??RdFnmJ76y<T1~_|4@^*h*O#r@mvs4<x~sacwIO?+R1Y*mvv&L|oLteD znp>-5<f~KAYA=k$?0>kjwLGnZXE!pwlA7(sM_Nx*Q*$K&<lN;R!i26STaT;UD6g{r zM)BFN1&Mx47-@Q}tn1V%JF#5uGAcVU4?S_RSq(z|XwEVD=PvE=gg3DMI=YpAm1na> z{KJNMb@f}0%<fU^-(M#YYB4)JxtY%#&)eoZaL&^z5*~!k(|-7Bo&Q+qAoDR-n#y** z;6^xe9QzufnG5GQk7|g$@kz)P+lOPmqZwklqn&p?0IAjx-SUL1d1ropPfmjW_Rt*l zqI$gck(T3n(iMX|>N;OWxR;K9Njh@6HXMnC-#ko|*ftT4))>V&_FW>vP2vVNo1~hG z+wGZYZ1>|crviy+Y)0APm7($Q#uuof2Kh9n0(S(%l3dcwPgdDhWnW+4%xf;icheY{ zY34*m_%%PeYz7)I1=jzjSf60$Zlx{cQa4Y`e43BIRc~;V<#Oy3W@`R_W*2Z(XlkQJ zr*o3$al(<K_>&p<M_9>EJ|jh#wnXIX8bn)ZhVhr@$_JI|XH2&l|8pXfsJM-|xTltk zatkx%NKeXJHJw{RK;aeAUj|2+{1TP|maf##K?p@sD_>Nl(pvi8pmw}E$Eom*he#Cf z3x#-VPQ-;QooQbl^-^koULEyN>R#!G3V+K}eEcYa{2ROIeq~Yrlnu84BUkzgJ~%pv zk;zBkin*XXdcnh|^fmP!@RYW%*N?pB;ay*izjD#u$MEZ+*=CEK`D7Esjj=<Y^>VYU zbc0>wT7nLhO0(Pmt%+^SKA~PspG;p%UM<MD2g_-(jyYxZ3EEPB^CD&7j)2_(Xs3PM z>X8q9c{J1!=i^R~DHYj%4;pHVy9Fw$*Cz{7)YJVQ37mp~P<9-X$xsv=!A}MGh=^2V zyFGZQuK^G{oR3ZLx^ZW4K;d`IzbNmJd~id&^RsTwAnUdJCn&Doel!xxr%3qz#8(zq zl!b|#=RSbw6r^l_x?aR0X6e+0Zbm%>3Pt&y@4>=~U#*$G{Z7~tEez&SObo7KAo`(% zMFKgS%mtv9+;;sMgm@{P(-tuUu?fUk^}^7bqNilTXr;ppk_p69(FvF~a=9Mb3F9pz z9e#V}zm1{|+rm}m1E&j81yMX&hDP-#l|F06Zk6Fi=?Hj#b6`Dd%y2!n()R|(q(O`m zA&N!J%UI|%_*}ih^u07Q1YiX8AWl65U_9^d;<I<q*9;qy=RrmL5*QmAb60q9=;c|G zSt(c{KMhl#1g_!#gx|OeojIHb6-wgYKL0i^%Nf>z3o4cDNr6cG*q4CckQ6#|I}fUs zw7g}x9@18SCktMpHK2uiSj<<NVmyHRn|+^>oP%h0SduVwkpf0z%OhZpBxikh_o@_# zt}UL+$XUXU7lQR0>Q>}Z8%|{ZxkO>T&(|)-l5P;8`?H|T++`j6YJux-taBP|1<K_P z+KM9Vj~n4X>=EM>Q%S>3?&+0Ng~>4ds$45Lb@zLJhd>E@`z2D@seQ=^)`j=(@7Xxn zcz;#WPO4Zchi<t%-c=E$5t02`G9P~Fz(ai$enH@Y3%fI3QY^XdlU@*Lzh)BbO6y9b zSyP_0^)Xa2<Yf=LQ@N3^DfnoL6I73d?scSSG>VpPbJ%AaTyy+<qxF)~TEa2a#U8z3 zN0o?w9W}r8DK;y53;t102zNv7Q3zHlJ$k~*caJxYG##b)l#Vpus{3qM*>X=iFAfa7 zmiplf;D82eUqM2aHdUq=y(RkSuAkF(Yk1hIIj{Y~zP8hZd)?>HZScSGA={ka)W6~- zs_HJ@x2!Ues@bRitQ0I<Ef>Wtx?TPIW?nphqT-MRR$d$u9{O@zUB<?;%_A0`sFq<5 z{;3GBhZ;fqb7xjt3{{;!_|N|$pTQec6B}yIMk4xTfr%O`k~kF#qN>>Malru(guWt0 z%o648i|gniUlX<d_w9rswu=B-5$)XyVi8TbQ9kZ`L8PkKQb=<z2qksc?P2T~ropLy z+U?=p9OA?I?QS8pIYfqv;+s^+wtvMi1%S|@zCI76+Wt~00ubP7n1MG@fPN3A;whT@ zxx+mFj!5tVSfYIdre~3v!9T(+&oo;Sc;bOjmrfRC-4q=y)P4xS(Q7H4-y=vRQt_~r zOSuxi=Gu8Td6@oQ<K|AVf5=%cY`RH*<EC+5<HlY>0zzq3Js72xRUo+On^=xu{IWQI zQ9yY%ytR(kC^@RAHOGf(9adtpeFb&E%gU&v;}G?zd=>^mxN(m66{19}i?iX~?RX2K zNZ(I}z6+p*-buP_eL)Gb$`&ooq}|h>1V+>Dk!>D2cMRiq%@tDtb4gb!`5;JtJp6;9 z>36<Z>L4sCk)E3iEZDLcyw3&J?FH=1&OyJm_elh;=drS^=Dzq)pP7kX3xa27kCBd< z#AxO`O3pR=Ig~Lp-itp{1YLMuqU5~GRaUMJWnmR|g=<DZ1R_f+awD{M%=@E7U#9tu z)IZ{!hFlE`BQTqd{S}jLTt%pVZ|)u+X|zjz7~?8Ob&QZV_ZY47l)TOb7d0Spm6UhQ zJDG2*(eMrXazR(KpDcKvW>uXx7>>v>9j`iQzF$k;`I*b)aVgi{(040VwKmU#PBGc6 zlUP|2Hopa~k2G!-W9cS~Qx<B5T>eC|$3&r5pe;F1$)?n%nyTx7pK$hn)Dm;;xmTVG zREp+@T7M7y=2apW^69f}5f0lO;rOAa()hO$t#ed)(Z?z-i%p7{RMrZX-@YoW`y{DO zz$OoRajE1@G>3_JI$Q1j=KK(%Mtg`5URBN4gpSiGB%$Q+zBIA}P3F&@i(YU0W|Vf) zB@F12zUWtQVWaR&>iTPc9L4@D!|Y$?m5g)jLyl1@`uGmdjF6phCPdpwR=MTXPbXPc ze6fsb^iNI*Ak3-4s^TzkWFG&F%crbAO(B<+ZF}$h*?G(jU9zHLJXg-+W-Lc*&1Dbu z6+~y*wHa(#ZSTXA-%O;YGBc03R{TsV`leko8k^5Qe!Ha6{gudnR!~6kTl$PEk9mxF zuy_!L*7#M0Z^oTgafVazR$JL>ON&w*pWwD^!I}7nAZ>Qd;ZQCr)@^A?)csEdS%~|} zSj!XS-fkhUI7<;81W~@6Gf3?#u1u0=f8d9hMi;&)R>zT5&+Ewo)_q+IQ65>DvF|;8 zX1gSl_7KIDaq{?ooSAXl_GHBVj$w(v;;$!cdV;zVIw=s<0a0!Qh_BUV=3$?l9?_si zG<7Z_ggJ}#U605FyJ*~Y^#^q+OdD27<6W%SX#Feo@^eq2vqr%}!wIpJS45S*`Sf!O z9U5~HRze8~_2vdwk_v>e3*v2pt`)xS4zl%GH_BO>IeF24%%50e?Ob~mO&M7DqAY(8 zrl*Q-I-F^T%Hn+7lEz296y;4vG!~uyWhG6BTC>}O)0L3>A05_hCj*G2!wco`jo(~s zVWIqXAEZN#5&;ZLVqoLsIyd9`#c?#Ej*ygh>_5D!?r{A5l_(iU^4B!`TU0TYg3Rgu zncff_=OT}Pp4SS|k<*2yIXLKC1&Gz{SLDCW=Ma>2gmQ(VC9&5OZC52}Tb|X*PV&P} zq|zN84lEvcU>1_(<8!InniS?*F!S6W0n3Nt?T?D(XC@h4A}1KrjXjv5t&^p@rUfhR z6T}@;;jQw4U<C-5-qqyXN2#<hZ^;(}8E&v6c>affnCa?I_KSZ!4{tS@zzk`Xov1`Q zqe#Du&_F#~Vq>8~0yy7?2?}gu;n8v=3JUb`%EkahK79x#AP_gB8_9KsSdUq#${>co z&b<cFFeO~mn_$V`K?GaHWN=in@)0-+d7O#DT8myuw;jQ`{OoyK`}zD10I<&2Hfisn z?m}*VaptR2rS$;KT@)~NxolGT${b@qaiQ%!`_D}h;tHg|3Z&;)l{(r~h%;X*9S!@I zz{E!hX^C4**+G&5nlHqkv-G8nV{QWZlB{)TzIsI$%j$4)%!|Q1-=}x=Rf!WEb3|hp zD;?VZ8dqU2zE7nG=KI7U*gt#+vT{whuoK>YSh*{cDSK;(7M)aK>wOM8*`E>ON&NO@ z*ioFods;M$VZiT9WTArnUDAif)(71$^E$~Xv>>S?r9i8++!<2Z)7QCfx4IS_s6RyO z;t>--<h<kLj8w~$q105%BcY~Lz-+`Dkq{EpA0l-_6CrOWe;xF|p`wWL{tONL%S!2g zjKb}gZdYEBBUvlSykv&D5$L#Kbbe}ZwP^44*MNdX$ed#&W}Bp0ymIrbHJ61+22oT) zUUgb&gWx;CG(eV66dmbZ4w{}w-n`u>R3!|o8nj4u0!g{Q>mb6K0`+>BW#gD<#^aL7 zFgq$$;SK!*5M%0LsLwD=|9;2P_W!qkY6(5((IsT3suYq~{j?<Zx2C**qqVVw19@|@ zK(*RKrXH&1szIzX1XW>3(ekRAsOWjbTrv_&KI%<K_(}l7A4mX7=Wqo7g)wOtlrt8` z4PiDM2?<;`O>?9(UvGXKM-F8EO5D20u%LwwF*a%aK!)^?8`;qqund0M5h7TBede>{ zhBHx7+5WmplI0O$7g5J%NzQreNMX5TPwOx=HBWIebWA!xYaZ!nRyluHr9E9Pma$<! z8C6B6OPQ?;iS4V3aT?Q$LCZGr08(goF!4U1<b22owAD}*Ac(^;ucgpAr7Ts5Zr8IO zeSP+3A){g9q0LckWm{{n6XWN9W?N%ibbGA6T<pb*tumOEc7eI6SQ3iL7eh9K!4{Mb zvt32l+8xO+HMMp})=Q13-H`^Xx1AEVuV3=ClrA1#YCfflw=enXN*8u7HIH1Z)Ue86 z+I<X*wOp%nG<yiljJ0`->A`<%`2Z#HvsN8~mXU#i{BpIf?ghoN)52PRV`w5AH{SZG zRQL+(5dPR-mp_Ti@@8BQaNI!ok?FXB=M$`Zh0^<wk|hkcVeDwe;}fiIZ0>RH*W+(I z(GK6?J>TIP;%zd~uHWds@$M{&PHZv!CNIl7-j0W-24Y&hQu(!ft9pDat~SS4WOJJ< z^L5X1F%IwBPur9B&c;=Lr7evTj=#UyqfJOmDWj!f{D0h*X2mD=>0PTHG@eU@4<8S{ z{5$ICILF&P>;JhRJwRlDM1em*a?SoY!Aph&z#t6{))m9IHcn!ZLGni`GDuI63Cfp? zew<4NIhg5)6YmcVG)a)lnUPF^r_3z|#*)lQt8{!;$1kL1A@%Nm`iVq%Gb62-wZbED zaU6b=BJa4-`9slrN~E+DtnhW^RzZY1#>nBi{}P;#RpIF@n54S?i?);vDK5v3jd-~) zGyq34c|jLqHn}_z%7;U>3unvz4mnD6M+EhiNZlBGlZfU3yh=kBhKCzO(#$4NAWEce zW&(~e9bOV=Jg+x@L?VFp!xe+j-?{_9Ac)Ey(js^j2=k46W+g9wiHY>xxP3!xb>AVm z5TM>sFw6TyE&}_!5d&x+ZY^uGKJ6U`b|i=vAOkNDKw)J7<Z81_@Lm2$De4tqkHb9@ zdp8SSj3tbP+3D%|y>mOwH>SvP&b&9s-M6wBj<}K$MD)skCo*(&Ac=y#MK-s|2jC^? z?x<>x+!%KefzlL~R+z|F?-`8o!Nx)i&#mThS7gCTiQgE(j0KfJ{I;Y-9t)3sYk_`u znF=6kg-%q4kJz;R_d??~RJYID_&`AeZ5IV64h~FTD)c7=^dGj$7ATKT-!^)N0CFa* zKZNmk!wr3ZDFP7!ayNRO3tn@k%u}M>NkoCm!<Imow2)DAro++fAf5Zv@_ioKiOqrJ ztZr#KJgoN<v_Th<L`^@G=u7h_Cyw<L7Bw)S3Eg<#Qhj9?Ijmf7zL)e%X}>;Eo2R$U zYZC3mi6Pf|mR{*&UZ<dU{Vq&Y;)-Wpo+=VaP^6lF+y_PX>sTDJezXcFYC`eGFS)G| zD_(-Ae=Ro3(ybE$N@TX8-01qxRrjt-`SCAA1TP-{3jgP$_U*j_66dotldI7$vd%Rs z(1kxZh5gEs2Hmhsmv%83n4AV`tZW_(uRbGce~zj8zkU&;v$7R<`G>x~0Kz5eA!g$= z<{b-vx(YmkF!~Te94Bus)yf=VJK~HtCDuyx$cl_>am;Vi#FGnnPiQsE2!E-m2yk`I zzCK+aG4HGujF($Y{$1dpnw|GOJ3<WHB04_vC^_PEM_!^#8TWm|HNO7tVy=Gk=JD_E zKZl2%>fS!?g7dj*C4?t2h7}U{n<XrBe*8^;%IG77PS56#WxhAYoM~xUx>kX2h}JSr zaTHS;m@3!&oV?ORt7<-F5Aa_Fdc`S_H@%_K`8a04X)d%wTq&0PYj%BIYaNJtqaBz+ zqw3*(c+s@x^BLRE|IHo_w|UzvHCEMp7C&zG#%%S~bBzY`n$D@Nm34!uMx>3makN-} zGjr-<yDYUI`zpD&V!KWfK>G~f`gO4AV&eKFrY3;p@T<p9@L+dwz5E9=zSVEKti&fr zUk?kfXRguZBE97zznf{8GKKULq6u!F3%>p#)~ddW!uCoob|G<F{!mqGy<lG98_N63 zdkTrf5Ku1$`XbsMO7|x1IqEo~wn+<rg|-QoaET9*HWgPO8&$(nVqdms;64&%&UsMp zQP?ZxWK^l}K}!`ac(%n@CS-LfR@86;ocGCUZ0z&{Z<0z9qi}H_XxLJ16N~hi*obzf z1+h0Nf`m)!<YI^9ll$;O18tw|8MO`R6qG8A>gAISJA0;>GmYv8w*c!OY{sd7GAvl} zJ(kPBzX4;HsB&CJyiE+}iLd+l&wjWyagN>;1pTSo!i`vE-10F=_`c>}l|n_FDK@y1 zfT6a9sBr;LN(;CQ1qBpj;)?g9vX26L#)wVmto9Hj_5+vsLu~^fA*q-FDO0zs`Sq1y zm{_T9p~?Y}_fN09*FZfI0T45P$-2B?tK0y(QK>o40EolWD@Re%<uR!_;qh!k(>WP1 z2p#RCC;d4VLSQN<FK7}CtBA#$M%_a+=RH#+O4Ep^b8=g!>zm6B*^S6P-=ReBdcX$U zWfaE2HRGA~R!8G-yNSpd)@2RRq*#1MW#pAQ{gk#T?-|o&73`U=whFL+;kKJfIw=+_ zZrs&T-AZ?ccFB%$K>aqa6R<(QtG7SpKVK2?uI`v~SHfpq1qcK%kF)h0P3G~>r@U(X zvWH@4;2tU(5TaKN?m-c-7(+^X4}_~!28Gf;y>fkXmwg@@4h!ahd+;T9SZO~Sd<sQ- z7XR}h-ys+@^OmQO3=DsNf}8dPt&hJ}n>5Bwe`k0H0O;AjzhbP^7uRh#7{hk_{>!gU ze=}M?KTcmteD>%;MJBRkYa_9+*Lpv-orw+JLj>f-Ga*1TcO>RlF7dVN=4|@tYWnE0 zbmNH8;84aZ^|YTfV%bF?;ubj~!(76T?rP!LoBk3PU{K+S-)#VYdV>;1Am#cMg%;({ zR58jE!K;c&68pc}S0g`wwLy{{_Y&}baSQfXDApNl(X7;Ls3%`lgGA?cIAtVgEc}{= z<_b$_PI;e>OgNg^Q2~EiZtPe6RsZCSwf_3<WF9ztG}%RA7tL5FhrJSd+;a?=Pou_S z5KE-4su8w~W(!+?lX)d(a{|Eg0%jgdds%piAc?6l!@?QVIBN}Olu6V`t^F-3{W!Hf zIE|GmL7!zt$n8^^_CWl_*OfXWh851%>_oWUhs^%79K{#9tDQAE3D#unB=4Ztx6~`Z z@cWN=@Ha<ke(pK^OrW(Q>f@R(=Iy_0<Ue7Cmlrnc`@-LUix!wGJGK7FU77^Cpp&=x zIxggPE`0178IwMrbi`+|sqg2>obwLGWIO#qVt-%rqav2+J7Z%)5H>o==Uo(LGTrm| z8=3RDZ`%fmR)tF1WU{RNuIR|_<p+-_+MERI#I$b{_FNOK+=Q+TD~2w;{5bu8{;IE{ zjHZdpS+li&#CoE}S|kkrNylf%gkqc58yq4d%%_A!5UyaQxkdh$h3wmB8LLDxPJ(c3 z@$lMEnM^V!l$c%yzF)8)DVgs7g7M<vffjY4{|oMs{}m?tjrU*LKv-sY?^_s>2_^1l z!KlD33fXUh{{|mLu^KWvyHUg4?d^E@lmz_a1R4#0_VNEB;{Bc(qoY?sBSDpkR6I|R z)?`LPmyxX#L3@3Uc8VGnB%6rGgcKKE5UqHwA${)h-=+m)0wg~aA`$W5RGtJ#rS!Sk z|G)`~=RDHqlK*RtGTs`{`AET_1YrX*yf@W%n9Jz^9=rhQ0&1@1BkE;Eh5l2TR=xOs zNp^~V?u6LEv*bR6_D?MoGq<MNf<fs-@tZ`E$ax-s(DeWae{c$8fQ63^QOoi(`t8;q zcB5l+;J}mZh{^G@pc-#wEXf0@b$xJVwO<QnoEUaS+~Zu)H?_ndcNr%F8@T2zBBbvM z&LX<^&G5BY$vy2Mw_0S9VXDmnR@{^K9U|#}jtPHp(<zlD76NnQnn?$MhECMD*KfI| zM|s6L_SGEw5_vm9$@VA9>`0YZRwN4;OzGHqG>?O(9i#i3kq}?iipEDR)ri?Q)twh4 z9g2A>@=)R#S$)OZL-ETou|UkPAH!PahkqUQjncwe>EGp%atpUMDkj|XHuDo=`<*m@ zOEB$6>vgbn#MH8@Bwr<z%*QV`_hd2iqsgV>XDW9Le(vw{3O4TTE4175o1bC@n4rYo zyQT2elQosyk@`}nL<eoFlz1I0?=`m9?Ees|hx!q0NJ~S~KG;4H7(I#E-=(OBzRr*y zL#?=8;l|ihfaZU~Xo~9tKyhj9f)D(E6SoDgz2)Jg`5weJ6)W=#pT3GrOdf6EP9J|z z_~C!_i>qwezuGg3Kq{KxQFA-%45)ncwd-!!AKw=-^6C$rYaL(%b6Ctkq?Xk80P{d1 zp#wAWDk!QWF7hf*ZbS1){0li2!<n{SgblolNJLL)fbelHEIQnt%;O5JPUx?HlzO|Z z$Mh$`$J3n6XMrl1Kc~%q+|1snK-q~O$5U~tgC1+}FOsYwFW472A35B0P=E}$1dM68 zoi6?mcNy80i0d$X-`jyX%rWL294NpmF%iz!@cKbSP2=jkaYlT9+RDo)BRW5Rf9peW zy>xUg=C1%qcmPB$05bNsIA@1{cF%eRtA%5eO<vNH)Wt7rDPiP&_%D%bxiF1YWD34> zhaX=~!{`Fbvp+qkALmBL+234!gsgK<`-Jk4&41ZQS$3ZCn?SUG&{#n}5_;gL)Nowc z5TF!Ui9#R!KsQiMKj3C*2G46>Cl6v+{kt1eycsdTK?g;`>O;uK|4wj!j|g8YC1y9s zB!Y@A$uS=%V_n8jm-Sf|KtT|ILx6>*gO)+C63eP3!;8^JSl34dJ+Hc^SK?1H<rH$5 zn8>Uw)y}Okouko+PWA(aPv|5YImG%6;&}Jq2-%=EAU5`!IC&)#!fs~^&xvsAS1HuP zIO8~1meJ+MFX3ZNR57Z5res)WUH?bu`H&QHOSqcX@Tw*LF+kwom0m~Ym7(HAC&nu_ zZ`??mNB?rUa|<7z`-;KBaANa)INZJFoJ0+Bi#XnWIA<@He+Knw7<F0FzmYI4qIs4_ zaBNVQE$NTX6&es%LRTzFj(JVbN#jsnk_e6gnEwW%>&Fooh5_w=P?5cSR@Grc_TXUG z_0x0w8wZRd24=sFi;O-_Yz{~D0>=N-6Z;5iyO+t2<CrZM4*e%ba(PSjWoZv;+wWWQ z!j<xsvF-x~Y0anq=KR59x{X!JpTn`k75d;=oM2sgubOD3|9+%hW=k@iO?i~YO~2Co z>h+?r>wBa8@%OHO5-a#eXyyG&MsB7q)p~zo>n#F(a*)PdsAmf56rk_j+Ta+v*|ps; zkcf6Tu3D2HZ~vySs(bx5ar`c#<#vA-JEv+zRNivIu6|i@^fR#vDY+3{SvEPbgI;OW zI?)4eL^OhlURh}ak13T;=}qYF((!-VXiSuYbnlUwy496`2$Caqv1MijP)5+DFDd_p z%?x^;;pS%dRQ^xvFKQ?HV*ULAlncaz%!=yYwnYYiiX*7Y(F3`vo7<C5gq-0mmFweD zG>3>PQe13(t>b3;7ue~!1DT}DGZZlbFYMuQgPWu`_i{<Hyvp#D5SpfEy;Ecfl<1lS zW6C`U+BQ>v+tSXkXVmP(p+_{f{4r9r)gF^~LH^zb2Hp}9k0W1FajVD#1>OcY^QVqy zPuvD~+mXk7w0q~S6@m9FM)2UvPfhU@KAk9nVQezQivX};s!3{duv+%vN0*<&nc;yl zp0@d`4YPHhz8AD;(yr^eUvYM~77ju$1UCfcc4q#6_&z@QnJ>-OY}`%xBFe2ak&~%s z`3jJJ&Ttyn^%8NmyA0hj`SVF2sTHBVX82N?vqOB<a@j~So0k0bU4C}8Ngsyc$^t0D zf!whmn9d^J&{x12D?|SiFQF2SvD`(DD4z7&%;t%hk8dnK7%a;&WLw>3i9Wm|a}xAN zltcV~9`fkUpGqxmM8%Pss)k#xrXRB}6epN4G^ay2pB43OTkgR&b<@Dyda3`HxifjD z&uZ23Po7)`MXveR#h-(S>WeT%Je687lqTVmZ(uK%iT)5H*%=|ZS^82Eb~2clOl7o5 z6LT<`x>aA^eF=wBOFDxuVWPNAx5W;*9t`h)tEME$hMIiACZ|ot1m#r<N<dL&NJdc> zMp1r;pD0eF6qFC6L02|NBvKslqq{@=A1#aoUg;_Ezd<b66cCf87+?+ASz;zRgk)^# z%b|Y<tSziWB>z;N?vC((sW}?n1c@F0Ki-46Tr4J9y8osQ+<BD4jiCNJ*&fKsZj$SN zmgD7ODf@Hy$%6Tl@pm5EL&;ZsN|N&7O!&&-C6wVdnlL{0#DI+d7as}}E&c!a5SQN4 z-Lb+ZLXccTM^038+7VwV7WeB2hhEvh8w_k?BslD)^CiJomM2JZ!6PU5{5x#;6+`l8 zCWdvo1_inX4Ee5fSR+PB`142N&pGga44aBDq<jgbd<(k#z4Y!wj1mm(5w2uP*FYhk z_wK(z^{lnFuF+SQTmkp>^_NnK;+ymh)y2{!l5I7o{N3G!*u*{~8+;CN&h8WM7w4Ln zf5i-bDcxe8xyw^<T}#Nrr8yZ`y-S}{9QtwecOjC?V9mc>xlc{;oH#-qm*x0>_oqH? zv*ig)@2fSD9!09%NH?=(P4J+e<QnOLg@#1jnojra^JyI8^JL2qs7|qYLvVhzhWgK{ zq=?I1gJJE=N}$`>EOTm}cXg_1s<3wDRsV&Jy59A5_WswYItjce)W7FFd83=cw+s6I zn|KR)&L+QtOZUfxH#Qg>WVQl-1cSU%)xu>tNfwABQ!R*?Z_!lFxxYay_R=lPoIa<* z;eLZpKo`!5)23ocp<M0X(tmJWX6yB3m5EnlwvQn?4k0j!BM3la&Il)<O56`A*u_%U z<K^7=ELUu%TSSt2i~Ba#$m{1a{>dpf6~BG~*Q6ZZ{wsdp2YCj`p2=5#-%?lAZO+<x zDLN~x-1GRXjEusAy=G1a8sYO-=UvZeT1k%qe8;@$|1R}gkz-B8e~M#*AV#I}?};;- z`iQwTT*ZrZbxV7B3+bPZZ1FE!()`noX+$wWB^T8AW6h?17K>=Y7s0WMhA9Ele}L9? z{Ugb1^h&UFOXOn!#($K5jle78KkOz*Vma&}YFV4;B5Fx=v7ER6ddceK7+-*|x=rB3 zI1?Q%RoyU%vKaz6x>~102|A2N&$z_tr*25}sUDgh0=V<)w_^hMvwh3YxIZTCv}5iQ zk<`1+HWaspjx*D_(<dq0(1>Lk@6ow0=q7)UwdY38Dksc}cvX0R$e#5hWS_s_jz%_R znn}=9!F?8^_gGf|L2!yr5dGbo1&=FT9pd3Hex;q*L@*Y0O8x;pf&El3bXSIy;hfht zD9HSzW9{FB{}Yo#$jrUuK740GkU1AMP7*rK?VJAR6@SdQgPdKZ^uFSr>2~%o6Ms2& zrZYk&>1g}>fAc(lL&sfw)BpYlxnEM+y+Tk<i;_v3=nylPSoDL78F&1w`{$V}n$;cD z$!;a}bJq?5<U_hS0@&emP`{zj;)C5<^-#lVDS|P6hb}OO)b34KEuDv-NGKP+8M}#S z3ZdlK%i#w)kg$l4xq9S~z9wp&u~|F7MiVZ!SN!|*xoES0t`px)4F4we(v64lv~q9f z5B;gr-j10fcxh~?GYaVXEnzJVXgoS}A_K05^(%m#VWkZCWN*id@iZG&(w`dd?cCS< z1558&-K;V3(xt)FifbEf$evy~Ux8wo2d{H+wsi1Lt@{2n))54YZLAP?U2<HkJv5ad z-&X1JTR!1`$~*|8pBmh!4^c?#wIf=6=glU4+mSOpp@?;f-x=_;HX!F5i3dC+wTENo zlN>y1XXX=2nztXx)lrv9+H%n+&{3BjZ0&m4C-d*aH#0ODK+nH$>+`-X2lr#%T=rdc zOb=mh?wkL?<2i=pHzWmHCtS#`VX6D%B>41>p;O*}8aN!ZUSI}M?hKS4-&pM(vJ(-9 z|Hi2eUm|HmJ!FJq#hO+O)15!LAdhDa+B+ZQd*p4{2s7<(TqZou6%ky~M~{9rqShy= zri8ofo4=F8_tQ#CPpjz1)4;oCSNiA5q-XcxVDCNJtgRMG3S+0o&EZGC-6yBruF6aI zn-#@>QVQ)4&d&(i&++ok2CqJKV}PW1bWYn+9$=s_HBfX8c!~aIb2RheAnD=EW;AzQ zF9Q2witdJ#nPPj*L&0H=?`PxRR{G~n)o;P_I^RC;?{*X4J<E*#b-T<~xDWnW*o}=8 zK@}mDiq?<!WWo?Y(Ptiu&>kj?u~#b@Qv->Aw6-i|T*SA2UJcGE9^}xB?H>6wZ%^sC zt*_^lbnlgEQZUHC=cSWp_!Fc&69le5ee=yKS|0HTxvi<S67vllq``D&vvd{PixuMw zEjK8g4H07WZJQZEngVXw_ovXW`!Q|L_0VUP-7cM1x0rHfoceU#a8eut>6)>o4YW9a zGzj?la%^4?Ol_XkfnoUWU!(Hh-q$MmVqdeBJpJ%^kbQmsOa(eCoG}lZky<|Tcy#j! z<nc6(s%aH54aPDJj&I|ZTMAcS3XZDjRyR$LyUs6yK2$*YJtTHL0vX$$P&`d*YNTRo zp2OR?mw-=bZBH6UZCIvDIW<yWYPywwP16gYM(uOg(bLz#T1$IYfdXw^`EA@XOLBzy zLz16sXbWn_dfH&a!h1Z@y-;l?)FkLEaWHK?Bk*Z8$o-#&(9(wQ-*3S_I(ih*DP;@a zCSrAo;yIdK5+i3(?0jEMBfXKWEUf_At_n_zIOwD_Y1??B5F?Lv@z^SYa|7>x5N|Tw zsI&l^J;+p<z3<7A0#DCMHgsy#x}^?$?*m(LcMlKOQUW&pr#cTd{QcC$DQIXZ^U0P? z&JnXG^jA1i$?|cAvS;HL>UZf9f){^xgyWP;JUg^c?BBmxv%5NJ6wB>w92*_`aeq?R z4kftO^0wy8`ub|UbY|aPe8!M})>)~YG9^Z=ILTv_nw^7s2M2i&DLOj7!GmFamaI8Q ziZ}I33HZCb4nM)W$j;L&Bkv!~sb3ePor=FNN1%9D@#z`lepzf|8QiXqia}(I@$<g^ zX;>De#6a_vi6X05c}FfHJMb;CXR}-DMIdKh#xyx;%|&V7cf&yIzw=CgySJFosJp=E z<H_TV^&#DeAAO99@zw0K>$Zqb=8O4Z*Zo$xp`M#~bN4BALGo4Zb*IABQt(j4fj6j7 z$+`QTx*)ZxD@SNT@4Z|7WUpV0!=sj=6#b&_`(X4%jaW~V#kk+8Ym9X+WB5?4Rlj<N zK+3AMfj2x*+<w0_!vl+dz&eLOjw-RAupVOz31b+)nT^pV7F5(KH~souT~O2uCea~~ zu_~VpM!E?HRj;3f9*)J;<#8;Ee)%yywY1dlCtN?-(`In9zu4>NT|c>nb|zHa+Uti~ zKRI@9WO&B5C~CMg1vU%0HnYk#aCO11%4c5mZN6Tp%VSv-{k`RXX>5)R{SfDAwrJ4j z=L%az8x3PS!r~M@w4;hA`dZQ|H{4U=trvOK!3!q6?;iB8$LJG!)eZ3rChGd?Cu}?X zns%@1g1QV30E+rITco{yQuULS)jSc`m_OgtY7tNM-bY&HF5y4DH}sD2#96$)z89zq zN`fuW)Ewj-eH}M{@Fu&(%wFl)C5=9?;Ub@BGjr_}tPhx7W^e3_6ru@+{=oo90(9&r zF>5B(KhXem4)4K`vKg>6fP;!j7!ItG)lLFt&uT{jJ7%?0fGM)tF~CMy4>-U>53?Gi zrMF84XfD(frtmHj6T~5F((aGF&LyC+UgsjvSdViVNVV60xe%n<<6H`g>y=P*m+PG< z=rl!gp)}3M1TzYH(*qS9P7weJGbU1iggNjXWN=OCR2-l&eSM8A99nZ`0_ZMHkdx~f zu;-=)I}0wMf>S)G4no>fYHC~G&`e+4_pYm+8GH!$k9`X8h2)ii8hakU?E8g2h)!H1 z-C$-x;ZM_l1(&G7we~fXK=U~hZs6v0j?PK2paH@uOH->r@8j2+Gb})NImpVhqx`0_ z7ZRir+{FM8aB#r`KG>f=9Es1Z95_{GB-w_fOgnWVbuJ>Bb}y}3C)U<+C$7vZw5jwW zlt8rA+0clIi6g(9WSbq|)U^gItju_{tyX^Okyu`T*=Y6W1Qrkfk`wes0)NaB!*aoy z2*h^bo}fm!`4YaHNU+o>ctZ=AngPoIrslxiy`9qT&j>dR6Sn=v9J%SiMP2E??w{aK zaRqOffl{+zctCIws5{(0bN>nDbV{(57R(`dgADAR2AlVGs<`KeugSRM_+%^kuSMUR z6+_p5Fi$<R9<adbSr0^D?yLtSFs0xPA5hrA1sB+A?;;FrbvR`NoK2gM1dNvl27JEX zD1|=2f&B$<y0R?7gEnt^IyII)C{khmy5CR;q@BL*L_C$t@}~qV3f_<a*9C800VM=) zP=E;zE~G#Wdlwd<hQp~RAb$qz1o<<YgS|n2GP~hb&=u$rSR3|`v#%azEZ=>G4v2F& z6#+5}dSiiSCqX-2h&j0D{2p6fzwS*%%3H}g4TF1*dne^?)7_7HDS96se+^KwWkeEz zqltbiVsstdv;ERBu_@_U77xmA%jm7lj0Gtvz?bX#1l(d&@N{HN*%&3oa_nYeMc0#m zNH)TcM_kM5WA`jW5eKC-;D*A->mjTZJya#zD(W9litiqD2@G2i7dW!8?+o0Ii0|Hb zp=+Z(JE}*AN?$;>rK2a*6a=*0u*-EL=3jH-Ic|>YmL$bGz0aizqsP?_G5Bc5o|PC2 zpQEjH#G&`S2g>$6<BKlV?t<;`$6kwnX%S15h4<DubD2`}Q7l2geHzOgDSv(4w0JZF z6uGU-o?j4Q(oMwkvXNgAh8V7?u|o_q<CHk)lu|K<LA$QbV^i+?Hjaa+BBd6k&2*ba ziB4R<T)7Nwx$NtWF%WQ6dtXCqu^I{btC33=!wQ<|Y`DlQxt3kKoW05{N}3^m(rKx6 zBmoXw%U0FamCY9A&5(-buhxrUJBwiwi*HawIgtkz!w8&0H;wAXT-Y+40@LXi%|++c zspLsNaGZXQ|4CgX(YD_8_t~Yj+<{`#v9a7qa+6y)i}TVf)46Gx;5p}YcWhfe;)A~a zt0OQsRdd_O2l+q*E!PMrKX9Xe*0g(;`0Gr4loCJH_gbNCnjf^YQDJ5~=f~Gm;0-9+ zb~p9K^K|cl-P`>)e|W7D82&)<9yV%d#VFd}&~lvY;N7#nU6pwAXASScUcLTdV%b&U zcrV9_hu^vzx!&OX%cI@TAc^wjg@BSHB3RF6&o3hL?HeDJzaj&bx5A8nPbzOO((lFd zwDZZIU;UJdvi<A(_I8Q>FT2S(88!m)9xK^ep#>3!%dsXe1+s86X@xO4{x|S3#A8i> z=o||d8~3}x&e*Y#S%U7DomnSAFV<QRDL7=>b*qWgr*mU=Jk3{#7Ga5jxb@90J#ToV z?X?^P<C>HuheCS#L%^1Qoy&vWA6BQt*tPdUaE%w6q0489gHx3kFg;lAJ@GA<ntzI@ z?N6z8EZJ5Bw}(55%M)vBomdFc^Z|R&GCQ}h(YmAdB<8QtB>JwffLQfQqvVOb<aLHq z{83t0H5D&ce1f-GQ5hy4l&T5}+9Rn{rhbvUxOrWf7cJ?0x|9`v+KM$iuni2&I(=Jp zbu_WXGhr%40>!GrU0i}@ob?iuLaA2U%wk9z8-YhJ0t&V0l!3`*&62}UEWMhDmoaL8 z#@&sX#KWZ1bKK>iO|{DXS3i_dd|%Ro`6VNRd@hv$+EKIgq1VsoU#gEU++y~NblrbF zeyrQzgsGzEc<X6@+lvm@EV=i6{96<ABE+u^U14H)I&2k*v&2+CSy&C_YcLcZ^h|)w z_|dG{CjZ|G({c()EQnx&s9`W>6Z@iY_9G6CGdeFatlL~tX?WnN=oM>(r(flM`w+Cg z$WpmyH1H<6rAAS;MlY@tmT|NH`|8?%o}dk;)5iHFB=RJGFZ(r<%;o6o^ui)<*lqrA zQ6F5KEFR%uGZ8KyngwG+8(|9DvVjG~{Wol?F=3Sy6U;7X^xuDc(R0b#a*M#Pu*piG zL)~ATi{F=H)4C0I7{oQ=+V?+l&JPd0df6uIx-RLL^}}Wrb^W5)SJ!@q=1eb+L8OX@ z1lln;Q5|-F%?t|&w5HZ|=~i7C!P_8L@esbuwOw$`G7x0=!Mbxgabqb|%0X!S;W8I= zGU<%W5?{@~W^A}~(dtqQw?qggf=S+skgxZ?D}_Q)Tqq{?!i={>xNgkR7cXqR18^nJ z7X}*J-q?0F-q_jL_GV*S6WiWsW7{@2wz)|*w)yVw|4^@9y<62iUw^0j+^)KHXXf<u zoUi(WrC@x7h4IP6W!BdPS0hwqI6<lM`U`5*M2AD>yv`PNg{3M$_2D*cG$mJ!Q|nT4 z)*v%%@&}8|Z1iw#i5qMb50u~4y{>>PB!qB2EEpSHOxK*~yT0fh12@U77>5+AJ3ptz zbZWjt$dI>6V33^?$xRMF>do7<xbG%Ox584z7Xn6R-|@QrpC-*IZ`aFSiH|xmt@1<3 zPyR!9?2k^D_aC{yx>wC$?yFbaVBxE~G_iOx`skiFJ~ACc*?0hVU*UlW%xQRuX*S<} z8Tp2hJalRrWn?j-3)!}Vf`CaN?=7)XFUc)2ZLgXqDx+|`hgT^s?crhY-=7aNGmzjs zq>4yG(0-BlZJgIT*5TKR?zi!>t_(A3EXyAXq_XmA{m2A>!z?v&BvS~fUr@L0+T{ll zNHw!*0C6AjNct!RkN2viZ33@|6Heod_Wczr+=V{l(I2)OqX!)(`Z;S!bgsJh4lKu+ zm0tXl&}K`u3o#Lao7h1pYF&mWeN!j%$U&fB+aY-)9-QYnD`UdO0QvYDOlO*;)6svp zo0GA(srel!StyVHprn!w<ln;1<UT1mN#b0ILXAldSF8!tURKcmWF%7+C8^u48pIVH zZMR-^QuwApsj<Ki##plw<8nf17IhoM<yp#1LGbFmd30M8OG9lTr&@M<rN^B1#_(w; z)01>dzr(>s-Sf3pzBgN{7_YNUG?dE;DUW>z_O2T!JJ8M%9R7j1?&LQKeyQcT5bqUw zw3?|-;beZ<Ef>MT(&@3>hUR59+f}1ez<@IPap$0V-o}!eNv-jqtFfcJHCWx1*Ll|( z4fl(FX^M90LVoHzI82jxv`Ob^PD7IB3bBC69Y<WG_Hiu!sz7IyVRCi8wb&y?LTSxi zt!EktUNUPaW}Hb!JSAiwdf>u!eH(FnDqhu_w+3G*Wd&?%%bt+=Yd4oeV2>^#oxgr` zmkff@a{WUVLeuR`!KkF)iiG#eYze&(<vI9L&voI4lPa*n7YfPRea{=vs2A7;W-Z8^ z+>3dwVS-sl_w#A#Drk9E3GRq!@D$?>XXXNM{)1%kC{P45@od+Pay>0)Q3UQB-m>R! zdGmJNXYM(iUgG7c5YCOIx_DIu5BswF(FQBomECnwy?YMNy)@W6;vcIvI?No7H|Lh{ z=?`Ypb?=C5JFRF@1W^O+vLedWEDYO~ZF-@aXCiP>-nGy{YCbxQVgXj~2&UryEv5r7 zeGrr+jt~A8OlU*8*_&Mj4VG{3TkS=xiuw`!N+TE);!RDOTVxRN`vO$}!-y=ER@3^a z#Qlfv>f1||MoWzXGR!ftqwV@cQ=%?1_`5`4*TRFb&Vw=hymVyn=8+$_Dvb7=d%^t| z$be);_!{ii`sV4U5UlP*SWVl%f^;VUl$Mn_TX6DbVwbaN*QmKxy6t^A0o;yWo$`F^ z3-zDbJXX??ynNX!F9!SZevYEWA@Py5)C*m~A}Al&ojQdys{Q#+N2`|75Br5e=5SS% ztax9WtJ<)tVlRgRfw@OIalr=?W%uWSz_T~8)}uYyX};X%ncce&CVAIj3(_e-vrp~= ze{$NYG>9XiARl-4ttw?+i6vobP9iW5@5y?7{dn*~(<3M{K?!PXM_*j+_rlxyF4Pn+ zg<p@H?J#9`&xo9%><@WY&uvL8Ha4__G3y6<$@+$AYn)=^;EUh{<<&EvPc%FRy8JuM z_dTadt!hQ=JzT%FP<4*|K&)V(4r>eMmd!IcCr+a`CerN!eg@M~jmC1D)8&+y^RO}| zu9?~ps-MDJ{1Y$H;1{xl{)v5%Uw`v1d|0>l*hW0x4Fy{sll?!1u;NGk^(ybg%x|ZY zhMAB0s$PuezFw5jP;Q;kZn+jOj8=(;R}e@W?P&nG!cda{JQ!6<mpmPi`ZTvWvv4zF z{fOVcmV{yzk2Gv0@R<SG?(RlR*ZZ@(r2p)@?0GvUh29$JJN2XhMbpjfp;4Av$*Ji> zQ33X52J|2L8Y_;q_$8+zuHws3NXhJ_o`oD`vPLe28babjCpeIzyGcmajr-1po>*s! zU(Xlj{321w>p{xyem-)*c)C9n`xWa}ul=tN_Aoa205r2M!C>tb7((TIV83XCy4Q+q zLyFy{ss{o4JOxtDlY3(?v_Tugf*v2zyDCr3k%yHwOCs%uKHe1y=_y5Gq~waA*&}2} zxC8DF7?`IJN?FCC8`)I+b!m#kE2~pF7(Z!E2R3Ead5NadMROWpY@3Nen)7^#6sFrR zLcjH2#?Fs83`$15g5087d03gfg+h|0Xpy(r-FJ9-k5sw&k!nx*oQlsbxrRS*(wTKF zbdM^wUy~0F&F=%mppu`clBgl*|FxMRvL3i#i)c9^2R&T+d8xUwCYY?Y!u;ER!(a8+ zjS8)AM67Rw<g>H_FpA~py=&4$%uByVN^zQcKZf?^F3fWk;+tZ5s_G0DtqrB+?aH-p zdu8S`YERek+ii09M4Q4yO}>u{m{x`dW>k3x%GtftG<`U$T%>fe%31xhlv4YkZ8B=4 zWVG_&E%ml-+FknQ(MwHpz4n%rD|3E^*n)87a!jJw8;+9;%pd*G&i0@BL~<X}`@X`& z_-bD^xY_LVd5RRG^U3cRD=hO4_y)s@w0>8@a}8y5Z0^>z3i%<!|A-;2;+^CEK=Q1^ z=clYTXPGI3%v?5ui+A-f+f3{=U9nhmXBb$z@_mKv_hbOhuOIY&&gwE{+}<ScfAa)B z_m8*apAsAY0VSszZ*hEtI+w&NSC?!=8RT)3PrqCIv-(b?-Ja@Wq(8$OCY*cHHKAqJ z5q_{7pK!mN^bzx|GHAv&Z7H5%LSl>}DcXX=ry1c2_d}->#5+K1z*=Nw=60_@%r8I# zHE1PO*@rw2+<R5U+Z!#yQ}LZlN}Pii7;+Hl?NICB0KTstTmZvf)f21YP8<r?*sW$G zHvLfOnV}wI=ugEqG4EDV(m8`_eI<_I{eDV*3d@b1AoP4hk}7Ks23p%I)ut4_e;lRt zCC@jG#xY%Utr<rNRs88<f*uRm|D2U>M07U3qnmcGIy;b|m|f&%M~=C`9a$_c0(bLu z`lY^RKn>CfqYaNvU_Y^fOJjAOrT8eZBM+7`I9Bqd!r-Bj%=mBiXIOiIOur-7Ng}R* zOog%xoFCz^?FZS!_X2xxI&+6`S>^UFuCHi1uC`6G8Y_SCs2jI2iMkclrR!h&z7W(= zHC%@An8V9Kgb-iBL_-Y{Ua7<chHZ}J+vqn-0Cl-<{hCIBY9SC?S&k0dN}p}H{3FR! ztOQu7Vv2z@n?dNQM$i~?$d*c}UYm|$%aR+=UJA`3rCl_yx!@12iWm+h&4~nYXbns= zV$)+dM`$<yFc{%VyP(`fC)|WT1Zenk9|FwFp1M?nGr1doo`5Yovx=4XpV8%TD$Lws z0-K}(t?vB4PIy;VBO8{xIBjfCdPy*8&%CW*wR-No3}<&BC8dd0R%`YP(U)qwzyeqP zhEg{+4(gLjc5DA(<px~)c+lLt!89C4kS8nKH%o~mV<GT7ZePB^nfLr|z_`_v3p15Y zdC)#Jr!1GSDIsm5Gv#P#Sc;=1LyyR22YeY{=@jbz4u2F;R_2E!!yYd$KlO)4=210| zs6PL|zKlyAWa0~H!!yC2XHV#o#~^V%b@BQUuN}_TeOsI&L$&&aVVD-^c;9fcFTl9s zD%Pq<LlSC+AjODS8g<JXjP0qhEFznzwv-s(P?tQN&WJE)m1^dFpHi@nvh!Vz0=go| z&0lN_dB{Jy6l(I-zB7jY^NKEpVW)P}T`ME!Y9=`jW;%4Ww1`L0>|9S<PBTA~MlpOt z4Rb(P>rhd6$NPJF3m1A;!qIdj;Vv05sDJ$&y#-P_9YQvpX`PjAnKiw3@Hyi1P#{Zi zEwP32egx~TEiqNl64+6S`hIdi2T(zTdG{6ty>|TT2-8i{gD7jB`sYk(o?JQYs#j*A zhw=OPf`6fblW8bivu8QPROxgNI>S{9E9}5zr#8xGSuL{C9wBA=r$~M3Pj%y?b#jv{ znb_SFX$g)iqq722SitCLz(kYbj|M{$C^>}l5)n=>LozuE8dP7hJIsL;1TYNuT;uw& zLz)OTZNjI|^)H!U&Z|7K)Y;?S!8uygd_z!M7Y97YqZ7QddPdyDr}p{Ze&)2_e$saT zX_&ZT4r-YA_?V6p&_I0jr>R5_R=!Xby=X=rim$C??+vM8<FPpo;U%6RnQTpu@8GP0 z{2qS0wDTR4b>KdGDbtMeQ}?#Clu>?tLB4CAWKBCdW8ojnN|TnTG*+xHo1*yN&aTEF zCzT~ziq{;;a%#xvV2oydq1n2$dd&3trl0SceCd<97ROaas=iDepEQBUzBddE3RfFU zbhDiTDlJLl-~q_+JW6ukF_7Qo3(|;I!PfyBo>8akZDTGxBIz9WsP%1}q`07LL-XG> z%PsstKVt~_vB#cjo~N9jJ1W-WNJ?%OjsArxHe@d5dVQQ{qW*^Y+%9>}P2?c}4EMKj zJAz2!w!@2uw=bSbw|t3wUPn4k{x*4hoc(p-d^UCPdbly;DIGMNIP5`o>wa~3b$kIf zH*MN8n7jFLuIw(M;x6fBK7V>O>G-*Ox%oVA9`7EEDQo+-wCnqJWv&6Ar%sMdZ+4!q zmX4hIsjKdn)jb>wpPOe>Z~3QjC$G;Zkau@Aze7a7&Ng_X`92%hryBr|BWLDZpMPso z5_>Y=*i;Ft?d<<lta~m-q@W%A>oftbc3%!VPA(fV*SBl8Q$Bt&@4OyW-jn*Jv5b%9 zcfPRxnl9mHo*S8OfjHi4?|kvl?>6*vNjQu{q}wPOS_0FT12UOHR>m_|7Z*_HZV8Ty z4<DKTY>xQfL<T;WMlXr92&5uN69rah`GEU6-Ie-BlDRddPo!}tEmjc-w2S~8;AkR7 zKW{5SgwJ{jh3L}_agz91Cc30xFy+u5NU&$zceGrtN*$Pgl3dyqAH1%<>V~*ny%F%T zc=LL<q%(G-2<joiG{iM5p4~QP@S|*Km!wN5)Cf-4SHSe3<V*_r`f%$LDsE37Vk>hZ zC(jR96?1pBed**8()bN^(-#FK-6k#nl!}z$tjUt^K{}jYl*gW1+}^L5QrznN*5RZ2 zS^lzVV_@td)RuvgQB$sca&E%u!RFy6psSXFJ8AItc3ARzyL5O+=pObtjCz(uO3FI< zs01#;;N#7_Q8Iy<HQ{7C3;CWTJKGybV)IMl@KYu22~hv)r;_lTiT)Sh?ijT<Qoj@| zTYEz;w$h4u7Sp6as`5CR;lY9E6%z3Ct(SbN!Mln>E6e<yHPgde4BX&9!{`1k7+9|P zY?|_au&LLQD<3pv%T^RrfR(}x$}Wg%8G0Nrbk9MRoc{9Cz@vuLe-t-`*7UXKd3p7D zmEgram2u=}Q1)Mrp0A7mOj=O(cO2ds-;$9ufcUms+z;aD-_8Aa0eO!-b{Bp0Eb>#z z5B#v8?12`FANU>VmQb|MM+ZVjB*p^ZrELi8tN5;2gl1rM45y>RkG6zi={uA5c(d1N zQL&)o^Stlc?CLX%z=I@%qA7W}@T9$xYC{pur~SV@Lp$7_@qYjvhl9}~hSs`jN+6`Q zYxs;29z$q`ZGk;Z?HCF7yr(CpVT7x{Qw`x+=lkN*#d!|ix}LAQFS!=8-6cJI56Z{$ z&D-yT@rK(Z6)Z2c*B*J?pxG-UmU+JVSG6cpl!_V{{+cawE3L`rs?X}G48LawZw}u_ z%jfNeQy^32T?*J~duOj1Ttin}*y{+}{FjFeR!DJ0sQG1dV0b=beE-Eud8-@f$<jC^ zi!s;I_#`;<Anqdic+oKN8})bnw8%R_x$zgt(4c3y8n@)!&`X2JJ=w~KIP<+}e!5p) zxr;cPPYPR$7^lDJd~MXK{&W0^BGFUJmR`RG(aR)joen5|YNfc(KQ}4$wd?9B*#CLt z2ykrvX#VPJPB8l~A2jGKH_PfghEwCSt~1l8t1VkE&B@*$Bp}(NvmN>@{z;xP&=<>~ z!n={HWDZ8_1D@;A3f_x!>QtoNJ5kSv{<YgL^9LELDd(p$^<2`>zp=?PFUYDfw?Dtw z26Vrxh6C9@=PJJy=Lo3HpM;*tM_Wl+AG~zb*Ub*OIK8Xe%TH>(+S+Jq2d#)5^9=Ig z-^O`+JJB}Nggyv&;&^)SmZMF-R^n%m=Lsne4Q>)k^%onEbK8emnjWfa6~KgYwp&|` zC5xyPq#_ahxF5+rLw$d3S*^K$JWU%P+nE42CIaMjG_2yT3KD+0ki_^uV^Z&Mtrc;I zxv5enH1Z~#1?=o23G?#EOp!>?ti$M^d6ggz*$Ly;j4z9$&*RuMK5BLJaZ8l98)N=u z#QD|e*z5U}D+O6f^Y($}&(@5)e}+^(Tv;F@4Xqx3`^6OdkjpZ954p?IIxr~v;WDSc zj}O?N@$P3jt}GZDGojZzU2Aw-u@^g}v>urB#8L3JL!sxdEMenyR%5Pz7)Fxt(nx!? zwR3X4eO+6#5c*beQ@QBUtwK<~sM+9oaDH1+wwA!CA%Q#pxM|g><%n%KTEcB5q4oA2 zR44NK>?~m8^3AuY-YWc8#Fw}%f)>N51WCY`DU?77sY&Kb(m)MI6MQ***y__dY8Xve zm{Dy<Cy%e{hvwqSa~#p)&BJ?n=W}Cw<^<0D`UtT~sr!v%&N&Vrwv?$Y!j4sy=iu@N zkG}I?<s#ne>4dk--CeX<6Z>)tbBP!XcH8oW{%I~KfCnE%u>u(Uz4w_JWO&3i(+jY) zc5NqZBPoW~UfTz4e_dNkTANdEqEVMPw&d1VlrT6hd!b>ufxBH{Lc#Noi3B{|{GKJ% zY*>vv`D*{X?GjV+15k;9?Qo|)0x`_>Q@V|p+c;mAjU!!r&w*FtPb_g?0Kpp9A>$fL zU0jja(W{*XRsjvGEDniMej$t#99S(?K>>LBR1(C^&iUH#5S4}B)A`wV5;hT&sOkGQ zE|lXCO4+>-QcHx89z~Q21rg#KrF_jY7e;nb!*t8y>?)ANa0Lz$N=l?mzJ3!({LIR- zfzzuab2#~3pnPu+&4#ye;c#i>fremr$J%@F*HI8ZX=maliwiQ@(8gzr24L4QuJ6}- ztN_T38jzNPtBM>ExcGrnI#JXxi8^laL(lzI=%j~oI`tmTik8w?g#(7*UA}e?--rBf ze4fws--O<-#RqJ@BB6c$-QO>X-9L4K|CmD{+3t>-`AbW1c`kWe_wskDJXmx4+cAg2 zZxeexSSj0x4p@Q6Q1HF5d_ZcQd677Q#y9jx^@7jC{ngS>pRTa-v<$H>NL3+ep&II6 z+sYrhoPzcXTXN1|noqM{?e%Y~O1T>)`6X1FEd<l@Ztjn6sHl&q?(R}I(+<#A<#xo- z7V6sa;F-+h#IehoV*bMa3}*Ip5nL3z{Cu*0Y<?<on?4NNQLVq+hXDlc5XMg@pF*QT z+%+icxJa(G`uQ}jSs%GLR{Ot&Na205_~^%U<=-Sa?c4RrxWPV(1wZwB-+r&J`gm1w zGNgG!GhTB!6)0anG7qDE7gNFs83h+DtKV@`d)O^`8KZnTI2wH-$`@~Xh_OJxfK|hi z0~<%h2(Su--_d~5s0MV}ndocn29Mc8u{5a0SweW?;q%z#a^yL0RJ%eKpzeu9!A`L$ z1HDkm{B<omf>%Q}^UX#pL>=r6(?z}A)xi=wFb0j`)?`sB(0^(lD}lBSl0>A<ktDq% zecRIz4s6P^-wBNa^XJ^TD{8Yt9<$@hq;0B8%1zY?`Ke{6`w4vOJFgpPC>c;ZiX;rz zP6+L^2rz1q(&e>6dpM-|<3QfCAa|6rSp`?zk1^e#ahQf)V55=pmK^IZXS76M21nbb zz53IxG(ku0WsNQ_@Qbt~J!yQT*d7|a@FEKK$jey{GTc{Y%YtV&yIu;j=Tu{~07<n= z?U0yJ{5)R*I|ra_pXHYd=RiuA2%+~7A<kwq>*p|D&mD7Bn`W@o0ZSey6_<Y)r^*ed zohtjc<(nupOm}Hh`K*6^8ofU}uNX+=algNbSD#Z6)HJ=8a~ZHt@loSh!;x*C#5;8? zvaN&tbhgl*GS@b0ZIfy%vF#=lbE!=Gahv;W;w=38o(dme{rE#{(yP(Zo`m(M*yo)p zslWQ}q~uOmS~GAuW*zqsjZ+H;vl6C;w#?y8U-rI~BLMv2A4fo;8^Zk_Uf>|UUY9qC zCv72`9O=t1vRVg)si7|@{7Z7$K9`w&?5kp0{{0)F=@=O!1Z4Hvkn;XEspZFb`QwAZ zySzUUhfUx?=b~`FDsgGe-#XY-M1rfXIuR)fXWxSl8a6X<v%4C^Oc-zBaKIus@9QC9 z?Fm^|8YI{a=*7kBCBdtu@x801^&^83%pQr>4OwM3Qf+CBsGQeo0y%|U5SUSw{McGY zq=Wg%nK6|%>Yk)zLRheNqqxnM$6)dY|0vgGiR#}0K1}(y<KGaJ1IYV_Ozwd`Y-@8u zrx3V<(qH^7O$7+!HiYyFT6NeX2IRHy1&7T`@pxau+%0$$`ic>>z;Bg9EB#kzIUSh) zCN@N#y50L9R6}9!%eNb{`j-8&pwmq$a9FkD!v+2=R54mY);l(=3jgw53~)lRox)Ir zBNRjg=AQ<djiH4yXXtcEcPN>>vB!xWpT^Kcza%3;yEH?_lqDAT#K8s;d48RDT#lQz zELUNqCQOQgbs)ij9B7DBb%YeA%8AGfD#g+zk3G%aWvfRIT^$?d>XmH9%sV$OI!{8g z&7?6K2=AOlbpA5)9TI6KXQGuXSgE9j1VXGDP*O5d?6f-jP?uKhOcc1LFQS1PcvOLr zF#9P($4Jh<zp(&MDK6IbLtsKFRuw#N<5!D=ij3KFui{KJN=?61wWia)mjoyWbJp%7 zdOB`_ui5mqr*E*Ti0?kb?JePm)R%ppROJx9Slc9-CFpa`vq}b@hZT=xbZ+pm+XcJ> z;HYkPK%_Sem8?)od0WyyerB%;-axo<I1-HiPC0ZXuOX9V6zTkTOV><PliDrVg2COY zaNtlc*F3S_SPOopawP<X+DdeFbA{zLEdQ^u291=lc(nvmlZmHYO95q?c9CDCqVi*h zr;A7<K3S}2HCf{KSpH*2h!c6`bUxJcz*a7J1@zZ1CU6gp#HE<tMXhr-OGiTn4It6- zZq>2Hn7EdOxH!ksSWyJPpERNz<6qA5JR!l11(umO@sxo;gxDe-=c=}aZ~%1PAR?pu z)_xy)PKkGVGC636QqaUfcJen`oz*WW-i`fO7+E@eat}rw^M>Z(wfuFq+a)IgK<MVP zY=X#fAvXTgF=gp_7btQ;WfgKf;w!>5Y|cQUTk$vRvZON?irIA84o?3rMO9V!%3w)2 zvNS3>b&DQ|v7u?fazyStNLn@au{@))iGqMS$&Vf=-1s3pDty{rVIgEMg@1Y5;yL5+ z_aIM$?^_MoGID(LkGSjRB;GX#AZud1v6uLphj3OQv?s|QhOe~2gW~+)#)@wFPL?YQ z{Z3Xaanvmjg3+UO`hp(`fo0?Q+}3og*61yX7(C}+__lSVk<sr8L%kZfIO~Sam}~-1 z5AqpN8hEFIaW9bjx6owCJ+D+AEKgEiUO67ftS<JvIAn*q87mY!s&?j5f!8;JbJ(DZ z2?S5B`O_rTQ;Xtw{+XcZ0aj+bcwqE%w16Lj*&{8pD_E}0B*mexV@ft2%HZmvGp*ck z49%Tp@4}&S-Q`iH$zpR{O_6ea`K~D8XqnRGP`5Pmm*n&+d-bg-DLiH&qS!gama5hx z38jXRbQ{;jv99BAdNi9HkUJZy8TErXmV;nZ@;ox3sZ5Kje6bs|=E+{Xrr6RD#f_Py zu>zzzj-1Ege0%D!IjODh!nV?O<&M7e^l%s7B$bIss^i_X=0im}?d|G%?7+eP%e&gc z)=p81i#C>-GP)otEHbFo+UbnJI~KT?dWMB)8@5VYd4p}bnJMA}f(qOne^-x)EN0AQ z{OFe#?M$>DSATnnhy4Lj`weVDQm+V<_sl7($@5)A(ivXu^)L^`ij&V7^Il9MFHY4& zX-0}puzF>-mv7jzD@HrVB6?={l*lBoR{xQR<F)zS-{A6T?+uUFRb6lhMSpSs+{5nS z-(NJ58bLoVDqKt#=O!AH34P8<pJ5(l4s72?4&-!ZO7dNL(>yAIv?7q-}A`fT`o zdANJ|ymM&__i<JG;?DTV@VWWz&6niuUA}L+0^s<s2lZ}Th6KDSIK_qXUx{{vho1MV z0Y4t|yE_Z~2Gv*f^r7WAoCdyy;XcN0zsB^%V_6jQ>wCa-u=Dcq-}}hfG)BYeG{&vz z{m+P1P<gI<X&5{Ml{c;TwqN_Y+l!cHXy=yu+2Tu+G|Fkv*xnu9*G3#9YiHN}*w_%T z7a4X}YY?r1jd9pE7vL2S27;os`J*;L>I85kWLnCnEq&#pp9N65<-S^i6ZaHYFSEO+ z%b)nbkUuPX5wx1)StYVWHZP)yN9!id5~OYI-w_0j%EWb0Z2C+A)o}^l@#)bQSP-8D z_N`O-sCV8MHm>9~W7AlB)A5(EE<mRdw2(%-k=C`z?%Uo2v`2hz;$LY)b(;#=RQ>Vn zV)#~(YmejCv8o@|bBxG{3i=E-olM5u>;hK<)l5x0+*EXsf+eJjNwb%cr#cmY@XEe? z3#;WNzN&U{HFJudowVkC`(JRl^o2z{N@!Q?Q@xJZYB%lnlj*8Ez$p73#peu+q{07D z^_kxvms;j@1yaUaGx1x?A7Zb^R<TQ3ef69EeMmEOfM_j}$i1)`K}sFEs3d2Y2tdy% z2X;DAWb|zvHqf;zyjA`@ESX1{xC8bq7^U>;C&Dc)O244gQs`WB*L4f!Aj)Nv`DV_U zl&Hbg4`hgr9&l)q{L4jiP+YvnJ!FK{(G_9ayQn%TbIbipAUC&eh%iepcXnQ&by)I0 z5)s+{Z4zA&LsOWV!=bP{n#07}0Lig(g=y-4pzM<<RExtcZ3{*BQDTtkpr*)PC7RIE zhW+C&7D-T1@Tg?}#&n;H*Yj`i;}Hu>AFhET1L*cAESlsD!0YMu!oQv<aF8g&?vbHv zza-fne+SoQ_4AiR*g6Zu2S1$Y49vgNFhkB+m}GKbG~IH0*wE3KhSZUmfFbpDGsJKN z3+7M+%Y6LKU|PhU_~>1Kp`WAQ+2^KpC-#vAM<8QVQ4k7l!O`C64NpCJtPPe_Gl3LW zEX8^h#d;hC|4!mBsx&zA=8*Ive>n1D*H&$)sEP0;n2-nU*Q2P|6Q*z2L>%;de^?2b zo8@80Hxu8?RNkCi28T*wff!-omG>cneK>Z9_<|!+-9pfpkb;y1NI9rSb46lFgJ5_A zk?=ugi+GCvn+S{M7|j#6LEJ@hqD5clo8Z%fLSJW}cu|5vpQm4;$dwt!0~=y37Mc;X zCW%4UZ)tki<aGU#`B3T&#B|3@@(yjz`y0Mddv;Me1DXI1A*3d8*T1m!Z?ZuQtx67M z$6|nk#5<J#|3iGy1005Q8NoIVmGSlZLIS_b=X(dNcb6RY+it6W4_xOG?kn<H7P^cM zIDOoF;B0bf^?kAf9NxRvyYo^}T2QyoQLDGz*VE?bb{}>-x_YsrOK-7_(;vpR`2a-T z#$JW*iTx<TiT%UkiTy-D2^f%AGWcOB4upwV!&ckt!_uEVTqzc5whm5u%4<Jg`w`A# zrGD1Z8)-WE+#Cf)vvxtL5p-@)!3Hf?Ly;GjKn&{?PCFhuH{UHh_23*IxUp+_wY5Z3 z32<iBNW7pkzk@PAwPO$1!DTpe<pP&ux)TF&gcCP-g%%St`<(+mEQ01a9{WbAKS?A^ zL(B1q4!y7CZqq4X8@^r9;&Z579JAU_{9fPLkApfVQ0)Tk(iE3V-ok`;Iev|TvQGH_ zpm}oeR{CC4Xlw9ucxb+(MkO%jyerc_9bs>i02DqZ96<OK{7tJCXCg-{*Hj@2dS(t@ z2#3<hF7i!#lAPgmOzAz>`(5Q5+~Alt;3)egR{T154VS@I>3lpTA~*M*3{8ze`CI9O zs|<nK>mM_6T8noCs6|;U)bc5FMse5f6c||9CSe}gR1e0J6n=szuXAQ}#ANy)tz^b) ztp!~dE&%7ATAv)pq8!phxqi^p($KA8w~?ijiae0Ik~Ci<UtB2!H{lH@%yj!WlD?w= z!hZ4|(uBT$C6=HV8rukoXq0r4mMxC-*V}cN$Poz&zvwAfL+lI*p~ZQVef;aO9w*gt z8#}#gz^?ACf$Ot>_xNNvzIRLCt7Gr5tSh#(GytlO*t9~uJ;)J*{&0kHRK~MXS3udX zOJ^|1VxnInc*%?Rs4mfD_;whFNAOr<j0wmep;L=@AfMSR)b>sdBO#t!G6ck&T3*)| zwv^oK8vEw23QS%y$D=KhSP-RuoOL6xNja2`L_?mlGVsQovbugozvlWqaug3JAqRQ^ zQr_b?m1tc-u^3hE);Q=T?$;>gnwKZ)3wT|o{zhE|t3=WgX6{^h^Txd2A_M=DC9LQA znop+gy~uScoSt*sYuL*D&Rc{13!ev{9)H61XL`p@tjT`za<8$by55;&q2^`S;<pN{ z#fKWKk|ViF+)^dRY(XV#M%rONLcMuCu#w%dL^%kq{X@3x_gq43#Gm#8I7u^ie*>zj z%c|?|l9;ik@G+X*VsMY|!J+Kw2sRtz-7iQzp(wKr*!qw*y%eJKaCi0Jp`g+;(924s zz^I|56itNVQwZb~tk|I#GM&_aenUvmr!*X^=g08p8YT{*n^Bk>h1DM+#$ro>0Q$Oq z41u-SRxeBub=S5&L|HtvV>Cj@%m#c<qa<sT6~~aA6G7y`B5ITrofU~f$0AaDDmls} z=a+y@si)(!U`omlTJmKwjdcn@at3EWHnEXtO6QQU+FMR+y+lvN@~y+EXGn%)r%#5f zrv)8p^a<c28T%0Zj9nAwi9Jez=1i32w<8m!`?sst-5M#}?C9w)E=7(6oY66BacA57 zO9@e-5F$j}>9Jy@zua5<{bzn~)2_fB2GA<tW6_Y&PK-|(W4Q?~^yT8P3X7KWA!A@z zCJTtbU!mm12seEXL&If;eGYPhT_Yg;yGzCU@{p<Y&B>vMG|WYmowjTi;1+}aPM!LL zd6W|mMA*)?6#v&o2elzVZMJ!L1Q^+e@-J8hAzJ?!EVFB*Pa8{+vZL~g^lR6`aQ3q6 zA>F|s;LE__C@G6qub|L$IfM}{;|rp45WYr?oA<UOu|<5h#7HZY;X!=Qjwl$)8y+6& z@Xhnz4|kP`)hYxmg!?KmnLI|@lf{;(c+C>>!6iBs8h6-ERbsW%_R}RIVX&zAX9HoG zto5)S+BH`z?p?$0*C?tkZv_6-ZyHw=q(S1oW{c?JTR<$7&Mbvlmh2*=e3%TBbcO|A zs+F`}<&_aaZQq5!Jza&k!e8zBB>SVv%aT16_ek`H)zkvt{ZXaFAOyd5h3>?u><*-@ z4fUaV8?L0n(DR901r-!*lKRl;LA+UPhgtnZd)tAt;f6EBOal{Id+ame2o~a*SZC_z z9--QabMvb;N7+@Jq%zawgs-g%>tAss$We#e+FB50rM^WJRS!tb_ot4-!ANA$kB=|S z3h>8Tc}4(KZs17Co>jo$BmO|bNvk>beRKsUpDkb{3RP;xm#!!X=a*w#Trx=a`B|~Z zM<Etib2lxIoLU#O8Jz4gW-kn+-YK_GuNu?b_)F>&JN9ob42XM`p<*5sQd0A@nx+T7 zgNXM3h$0YS0wRbO2gE_b%$NtoAOa+~lb$Hh1V*GTg>_Nlq~xrwUg$~3rW*ED{cfi) zWLqlgD=yXH7F@0wD$^T^PT?~RTc#u!RmacW$0_nj-iT*52-;O{DbwSud@u7L{Nsik zhs#PH@6862%>Cb$<aJW%SNZ8-5z9i*`B<<&7E=ZKgO}UB$#tU8qZN73R#2V;=+vDq z2gZB%N&&P(651&?ajd(Gw5XWc2{&Zq6)ICV1eoaZaOn*4a30JWqTyU6`{9hqEGNc- z2T7c4D%fD;I@n4z3Bmsr;Tq#Vic`eFHxisvB|i1!|7d@=;YE|lDv&^tD;wUDkz3o4 zlAEO9i(w@Q`Q&U&Sm8`AfdhZXYqLZsDjT5B3D^}KxDA|A2Oa544?z+9527rD@~j=T z87oo+Xr}yKwV5?c5LjJ#C$jMQ?rWXTIA@-6-?Xg*OFnb>^Cs!xCp!i5jPGneF;8Az z24!#{qzqilH6<C_u<jZvF%pw)l-$}J*DvunTDbUT8BytVO0q&*#Y9%Q^*iDB|1%1- z>WW(a$?|8JrsUvxH;!i0)n`w>;)J_my<(lfk$2|b$;iCKGjGirKkfFxcdFCHk<*>; zuaX@Dj@CpssLv#xzjxB&gdwm2+qoMbZMlo!Z$I+1s=Bx2VObQW6b*h8Eyj%TBf%P1 zLPeOl`rRBJtR7_IR1P-$@8O=#TYcu)d%hDR!MBbaree*C)pH+*YfzF6zY9D%(b%QC z<g(X7eAg`>ZD()d_|-K6UoLG%YQv^C;Vv{<AE|wq&Fw97lcSo@C^>-e*GTfoqw&vA zL&1$LxouyakH@o@?c&By^q`sZG*7CFSPzJ`&!4=83jqNxPzsiD<CZ@vmW#p**7(L^ zAGN#e3e;G=pzupaM%EuM)8<nGwr}@$3(~U7z0+fN&j>@&PPI<*8}FNUJJTDt4)N#N z43&18+5}Q}qCJ)<C;0*Wjn{kIX2UoD7L}wi=QLd=Pwt8#U_#`>*k4a0yQ#82CF{mv zNYNFB!WPPqP`80`D^I^TDFyF>7^?0`Nv3HU$Hw~w&uQJH=dP>O@C-Iu`Bb^5GIm{n zbg9N?77Nq<m9H;}Zj?%$H7uT{`*uds9mb6Gq>*w&SWVe;k{w7OliJsBwoso5NMx29 zWn#xPpUNiVb$`gko^j(TIeFQcVe8<QYUc(rD=x5qCJH$~uh^aI%Ah0CAzW>VL2USW zXt?fL@fkAlZ%t>EXSO~YsJn}6+Y0J$PNXuZ^J4t>V5Px5YHY9K={3w+`D=!6R+ahh zlJS4juAclkJpdsQ*|&pvqa3ZLxd#M-zVB_cw4=w@r<i&ez|XAg-(AOE+^-LtXlLB4 zPtn5#0tGr>kaX7NZTvM3cBK$>*6l%Pot2o*f(f_DsbK_K2Zm7nVcA+|@AOZ~X^rwI z3*gs~iPARuUxfQ{e2n@!;BfTJGwl{bgl%*5#a{q;RrR-bBV5_Z9G4;%@+e5G2*BM| z-HCF!dfoQpA+jgF7xdThQOqMQDIkvPerFXFsQM`RK>y8M4%K`138k@pHd>d<jeGme z{3wK^y|eq@G+0;1QS|_meanFGBgb$IYS8S(VZmGPN<MyQa|d3H7=!(QuFzW0WWl-J z;OPwT$NGwxYVCr)6Q!#2)9&2@6_qQZS%7s4YGW%**4VFCOv5)b4Y{j(>Qq^*hUK$9 zZ@C2!usXVlr^9XU)I3cPvu8>An%DBEFKBWE>vseTKpbh%H9gx!jh8-qWW`0xmx=se zq4%QfFww?&6>iA@(;{Ml!NNTU*4r%bztDQ9H;j#{t)vB(#2VIW=mY2M0p|s?&r0Hd z=qaf$FlTkkC|Y!zZ)8D1%sQ|1Q9%R=wdJ+3VOpow>iZN0R7JMSQlR>!Iw+D~t21ZP zy8m-f0EHMUdhR+ydsjseGUz8u5V<A?|6k1Rz60)k<<ZM!AITNf543?o@>S-rf3zDP z8lxzPf4A|T0zx-?(_}i4d$ftx@!kdsL|;E)cDb$1VBX9_Y@DwcW~3n6-<*T4GF{mr z{TgJO>7GoqTSdEtDnHPH(1C3xl>D72V}(W9M*HSg;s*Y$Nq4bST$fxcy9!X4`^y$X ziL+)g!0xh=3%)c1m<NT;?vey>YQVTg@KM9<I5&C1*RAfV{Kgkz=*?|mS0^v_$`62# zj|h3Fr%x7+umqVZeAC*1Dg4|TAm=oT$FCPk!6#*U`;{CIDLeWpCrb-Pg#}PkMT1dA zf5^$MKquF}{#5D5Q|f=3E({hBhW7>;&md)2J~`-i!2qTHJ__|f=LmD=NP<jZkC&hi z`-v$G1MC3-boc=G8qDq>lQci6F`nul{0UI0sXyx8HqT3*U8yX!HD@|->2^nS^*r!n zZcO5Yyu;Ya1w^iHZMTRQ3NXZ(Q2e?lGef=9Ao7BQ0uaQDF#I})evE&pky@o+{bgdd zcqoCWYXP7^?7H5IYQV}hgwWRBB+Gl5@L5m*iT!nII;gMPNN-$=M}ZtbkH#;zGostM zS}24a?n?vmuoZ|=0MX{KY}b#sG<wiN07&sN#76meV4!62azBFMzHchPt<^Mpk~j8n z(;sOwfi=l{@|Wsn9a4+fs;;A);FL7TC;{tO%|Y!$mex>eukrO$Kh9~^&)PZ$HXV(y zM?#l*Yv0W>-&;|4s`%Fxn^!Ad!M6BXK$I^bwBWMcz*AJ~FQYb$kGF^Ap0a5Gw|3{0 zC3cE$GoojC?AJnqidI+CdVD*@XBtF!-O(0f)W6vvyz>uNp{SqtL|;aJ-sDO~1DMdX z{@8}Jj-h13bi%Ze*oLLiJ6NPxLy;^C@&6}4I|-3_-+Np6>{#B?s1ze8kjWb_!7H3W zRzp%b!lxIqte9oZ(*Hd?7eZH35JiDFU&8ewks#vkg>E)eWB~QWr2<z3M*mbI{(K~X z$YE?k-~b)8Ai(y>CxymV1q|q0nkRf=XlFzyv$1aqtB?tXT%KjanW*m5qI5QT_}U+^ zdJYGB_~h?kgn|tMr2!y73IbYzAi$6qWI!L4hfpWb<&P<bZDRTmO%InNMi@9ph5~+y zrkmb0y(YEY_+1S%!%|aHzGMHb8ndXGTI0`1UdRHdGy<RsX}I_Rh9WZ-J;ny3cplwM zFNA$Fmh^A=tbLyd8qo=9A&M)SQ5b&zdy>rC_$>Oe)FRQ3aEduD<nknHXjhq}K#(jU z8Pki*55?fWHe<cf(fNp~3R1XIIK|Vcj4EkLRub_d&sZWbRLW3al6le1l-kAPMR*g% zhJShG0spOy$aN`!mSSoPvSo(h-Kay<nuR2OSYhn;y1GO|H(0acj1i^_#5fqa@%IPt z3X&40i$Q~c6$n7Wfj}w<3`FktjzTDxqph3n`_rn2$MAZp!F>zkfhrOsgjgaAWsRa# z0CIho1o55g*CZ=D!`oX>r!c3TPyw(--E%h*VUHF8IvGOJRXgun8Qzvy(-S*C^f(9U z5o+=-@QF}nqk0YIAa*o8_nN4v>W+1)FT{A0Xg=8%GGMwv*I+j85k-)}Z+MVLEeEvz zd&D?!Mz=_?9;tnaFW!L?2zTUhOEsj#w%;tBtCgWFz1<~wEWJa0K^2S-@E`R04|@KG z-2X$(zmr|Kcae@SsF}e6H>x}(TQjluHn<37o=HRCWJn39yjdT(%_O7Kx28~`mfKqp ztGl*nz7Qt=BHMWzP#|q}19R$Y!hNC)3SyUn$^wj{!8O4~_aAu}Wd%(MK)HZzl-nrX zOP{zEhH?o3aN2`MOWGLy2f^fp!`u(03yA*_?sUlu-IX6ovEa!!uV0ggB_&8?t-kBA z(5^2GL9ZF~iJ4Nt5S4}pm5%;afey4zV!+k&9#dfFDeVsSzoqdF*jc-qhF&%(NJ2uP z_XW+%(vq~5OT-m4uLID$%AMeNfIULEYIz_}-{D-HXKW`okPKq#_Zlq7mk-F-syxV7 zYJ~r-q98=y;z6KFOb2#!wcOIT<qI(MX~*<QBfv#O($0v(jvmYtf*C@XICLCB82W${ zoVCWs1$^|BL>sKsqk|gkkSUfK%(H+3X~zccyF9ir{uQp7TPO9|VRkIDn`aMTO6ivG zv1r^wGtFV|;ZirgPW-p_b%YP~&iiIn0OG~qn+t$+E4-c6(&E{UiB5I%V2;m6uX0I> zZm(@&N_249HNj+am19&EbjhMoE#akDxKMP-qVyk1{}0LjhoTpX6yXx;v8Oy7S&08e z#PMAOU!^-cZb#L40wx8q{A6G2Ir6=)RTPaD9BBq6+_)OqKJ9{1gczGOsfxlRNR#<@ zzBta37-P<Q@8!pK_q4X+91A!qr~UsSK{DnSM17Pi?;AWtz5lrb?&pGD4SXgsaa}jy z9cK*_A9lKNS?&iVh}=c>fV&zF4+r~+o(cyVr3lSJpSY6(bEC$X(P%O3x4Nt%ZW#nd z<5h3r=%lvwQ@yvwnjPwp!6k!6&=r2(1N?o7=DHt2a@TcvQG6GYd!4gOmgO2^RiUwb zVco0y=cH#>UHP9mHE3JWV>OAP;%@a2i%6y9!^Q=$%#+666TU5Vz*1l_Za%Uh9qhh* zAYJREXoE(*s}~1Zt;;jftLk00?gX1g5d1`4O0aI>dz)UlSAefzsaF)`eQ3|2jdT17 zb_o&Wi8>9@z==d(lO~u%K#-fciz#i2*j?shIAj7iQ`tZ~Mbp9-`hM7V3;4CYS<49g z#@EF}9*!?FiF_=)fXerCDp9}I;MCkM^0$Q|L#7DR6woM&6pE(Cv_dM2d3Yh~W6ke- z`#*%gpDY|mGc_%vJ?~=Z1iIB+jTGfL^E>i!<Wjq`I~QiGMMb`Oz;lYc8a+X(MrLH- z`ed#-G2fAypWFvs@Ht+CX(l%8hTS?fG;EF}&=MyvM4_qy-ZCDbrN9@6Kr5<9*c7qc zwTd20k-EnzevF}@M9(}_HSauka@vO+PpNzlrSsl-HTpAHGon#qH<xKmgUe2<z?r?A zMRdNJ;hm*%(mP#gDJ|jP4+H-&4gCQ&SL%+iKgGmGLZlVowJ!xt(zK9E<cqtc#zsA! z_O+1GQf`@m&$y(wK(UgsyWMIs9msV8#D%MD3Mtv*X>8jS_OTnpvWc+hpH`-RuqY8w zM?VvHJ6(Y~lK3Xfmc737Zzap;Qw%#(>rIwz*{~QY|CM1|^oPaM-5=R;2b=q+ljr;G zR%%X4LkOp*EzsJfwUUCXrYe;h5yCB=l+r^$ZZUuY<8<=#*<=(0RrY(;@0~$y1V;$l z9O3V3G|D>pPhoQyRBXwqv{-qK;?Gb;RFMd@Ol<QH9x(GQ8)6mZmT-IgOSSunuh1@l zrbt98>i}gVH5a&8bq!T<{4kk8E6jQNm#;5Ijr&JI7^)O-vRT64V+8S-I6>6HQ4lbz zQh+M?|7K<}3gS4aSy37rHmLrL&4~2UM?gglKD=6W>T6iNfYhz@O(9m{?R#s@8vBwf zWPX*yXsU*kR(`Y+oHcHT>loc5C(!e9m|3E+pIX=3_R_PeoV-&fr;c(cuX)T-&&QG4 z9*UwD4x+F`C$C_I0SOqdaBM0jr&N-%o$tX37?;tj253j(_3)o<!8$2PPuTi5;wN8% zJG3BK$z?n&(Ts~vg1_C}4S$6a<kY*mUe{fCQSvVby@PFP!##i{jHCyS0LOw!zR@Vl zcFoXk6Ww=-?0dPR-j@vWBp}g?84!jl*r^uI3fe<BSaU=UkVE9aW&2$1Vsixlf~t8C zNAJmRks{lP*qMg4w@p3)?<^<A=*f2%08w`#s9S5F3y>a7K@m^bUBBSL6)BB;u9ljM ziF+$zWI*l&WZ^u>L-vDO+!*e`46w5z3}<!Y(5}QWCP2<aLC)4B#NQ&fl!E<f<~#&F ztgX=jT#!r*8Q3$6JFcQbx(2wYDa*d8-S2Do6tK#38KxiHzh{!Le)iRz3Rul9F8k`= zCPcJ_$c;-dnVEeYS6<(TFtQ&v##=@dheXRUCqy{o*N;Zei5CAzz+q>1rmR9YkQh$5 zo|lj*)x2d5s8a6Jceolq0sU}QvoB-0b5~aYXeE;-Tk!Y1no|}J*$C8Sk>ZErmo>yM zz5O|TQrG^&+i1kcjJfZj;EcJOzM!gw7D7w71`n#%|6v=p)}N~cRJqyxS8=W*g01=g zjXNhQN@8<-?BIbEa_<?3BQJdD@DCpJBV}7ULTv=yj+uItHwk7M$_z!3+0_8}dzCd} zFyEroP=F=(eItw0Z)THqt50?m>l}W>)%d@#SA|D?;2=dA{Y8Z*G^;86!zo8$Ffu-Z zD~CbEvJ!~M8i5ft=f^`cvBZNg>Av~`F0R&RLuxjO2q{{rDh3+G0O}N(iX2>NXe=Bq z;S3kfD=Z0yzDP#^rwv5)`zyW5l2U5ZV<=nIC&Y(PVaecxQF_eTQj~3UAt;6r<RF!x z#wnS2{Z&@Ew${mtJaq_QUqwdb40|M%MbL62VnL&NHO)J`AQqQNh+5$eA(ed?7oA)c z2u~EntII?b!8-l@U~4Nj{{4LYZ(|0@x@I<)OPnh{>H8ABt_SespJekrAl7Jh>eOLQ ze<ehkmmQM)>oYakuvf!?%%deP`N1kk{@l?&81Hlog=TY1KRmgDgH&_~5yPam=k#y% z)iq;9mfk1(U|p3G@5*csDbWi3^~j(;q&&{Xwg%{bU($)0^!v*X`R61))%H9xp#!h< zw~2JCQ6QeO+W}w`^Z8T7di@K00Syq6@nL)A7%VCFOMjX;2`BS&upG2do_I#OE`ukb z7Hpt42`AA*W7EOn5D@dR$_R^?t_;T8gH02O#u>VsLi?SuZoJ2FN)r0iH-uUsQHR@$ z_FLy+Wbmhk0Md2PJST|Cun$*c+A!VYuqFcaDodXQ@;BV9Minnuhrk@H31I>UM^8hy z3Reg)eJD(7p7U2N6g9Z&eSazbx-^b;0S*h*QBL_foK_NLQaez{Tq&3JG9)mC6(0n_ z#KvqG9CMNC!=+Ch%H}yW$&wF@5b{!gvxd~hOa-uylAE*)6it2Vg(z8)7NePrFqNaZ zAsh<>U(C=~{XrI5{Sc)H&?(dW8U-wbJ~%s>PYFg_+;7*R%vnv7OszbUE_mfv6v%M+ zKf~~zLs^3X$Z!({GF1I%;Nkyo1j@cc*{uc0z!w8D^!;au{GUPNz@d!88Dt2&Sid{k zrUE}->{XKm&JZQl|Kt$!{0XP8<<UD?4XjYHn(Ni1YjlTJrK?-VQ>XL(vqwu&e)!j+ z>?dorY*_@7VbP8Ov3SuSvAJ5&nPqZ8bUJ(TK;bX7HhQXm8a~;9uaB%nDlB06ItSC| zgfWB3BZSnGa?=GG^tPx<42_h5<(zc)ilgt|GVdI;z~l7j{`Okbzy0<Z-lHjnZ&4_G zJDK@Gu}vjM{``!ri;wJMqq*9Wk_sHOi(>SJN2J&)F8&BIi;&cTW!-7VaxjxW*_}j9 zML^+OF6h1{3KMexP62d{nOIQPF;R({!?+bnPEDuJYaFbED59GC3Az$sDz60xm!_3D z!AzzA98UFTof-WbjWNb2KbkW|<~O6WE(6muzL7(yvS2PDWz?B3Bx4VY+qjOf-m{xd z<kFc+PJTIWjOjuo88B-%qAYd`N`ci}xu9opx9IY(tNFt#rW-3H@><?We*d?@N-Bj! zdxx+;3@L+uXVmLjuPNbAT(s=pFQcXUY6b}}z_no$0Al_mf|yBFgM_&*560!!VPf2+ z0;$%|*pPlb*O=ob!4E>-g@6%(iPYf_&JMONvyl<(lkUI7#pI@+bL;5_o`3%$xNbS3 zYHzRf1acMQ&OEh>>bq}<9dbXg1jih^vI;UE$*VwVIw5^|U2Yh@bHX9Pz4KxSWB_;r zqu<9>Ouf`2;}E_6;q+mn3+>mXM2g+ww_pFzT9|=5_0RJa8%B5)Fz6~T;CbN`oehw% zO+>@*km;A^vydw~%4Lhn4;qGwM7iOOM5(uoMA3;aJjzw)1_2$X<2&ciXY*p!Yg>sD z(7swH4w3IaysJMb&Kn+P9o~xEfWVRs2aOo=b9YI42~={>9bxEE^eEXNGaeM-{(AKP zLE2k~#kDPqgSfl9d+-n(g1bv_cbDMSXwcvq+zAq-ad&su;K4m;fXvQ0_q=!Sd%yW+ zzL`Jj>s7U?YOl4sdv{l@k_x!=Eg6#tHdlFZKc<TMF^8`^@bEB4kYh&+XV0xTpXQ1r z3>><DMQ<%tx9gh=)J=87c~={+;8Ez*D!E=}^O=o7Jb4ucyid_Ec1}gONle}MANP$M zGxRvsk)4hoR8a#tk0RbAs#9F-mMwL+XLY-zL%#E}5Aq(-Nw{~JKQT5`GQKnxIddWz z9d=<ju^<_}bYY+#qBJUS`WQv7SVtzEghH;!>B?my`k4&7j%+9tg&dpHRl)fG2Gx&2 z<m0B>>i}Ma>Go93#8^Db4SpKO-yc%)2@~w8hKPo9tiHP@GoT)l4idD*Ib@gmB{6v! zd9Z2yzRZg)jZy>(8)S>rtk2SK5-woc(D^iRe0O|TQmOl_|Kiok@IKm6_>aT?-9g8b zSBDu_mCNhF*bOL^ZE8|^bPk;6>e2G$^+ONA8QM_Q#>o3UP>zA3lOx<%_1MBsGjAb- z$$-qXB;|^=>+^Ekq|{QZVtp36oBauM_co0CVT4Z=)t+-bpy<C7f!vd(gr`c3;5blV z^Ub~fIU{K9Z~Xg=z+m{Cc=(2S{3A`ZRrJw<t%m@){$D9T0<2L{YMT$n`iCd@8}thW zzE69rnQq@kbU*q|(M1pJ*_~9oV@kc}@Ar)wxpz$`6|x$;|5fubf{onKspLYK1@zn3 z<Hbq+{5L{w7m$zwvx%uJ68^w!mCi2_KPieLlH#kfCa|Sfe~YF;H@@uX%<2ee{)}Zf z;`~$|`(<3Jsg`Yk;=AUJhP3VG0%Z~+`-h5iuUbg-Z>udC%vyF%r8DkY>qPwcmZ)pU z;6;`gKKmoRo&G54dmi_ASz6Up&`t3w6*P~Fm<@84tk7xxDsfh&BH1*eU>xG(zVX4e z=x&Do#`Cvme-AWyQUN*{{J`rzcdljFvz6A&V>tegJuNC)O3Tq(VLp8)-_6T=bHMCI zBSnk!i*HggWcN=TCtc^GdnUs+Rci;EhLjc0aP=W-SKnq5SGDmCn+}{Cfa35!&o3TM z44Z$a?Y8^qdpbBWL<0NkQ>c&cH(nx1b8Fxvqq+9lu1erdvL(?IyNIsm!*##1dqg4f z5vDXg`U0&WooX;X%FT4~@%)v%yJYTen(fdo5K<S8vPq`S@O7wvjVh!Y(swgxvMwBz zuv@pxZpXep%*QD_oOAVj8njY`pq@kTiU|5x$M>YxB+LJ#Cg8<Gi*04asGNERo;Hg- zd<ZIJH=US{;>xTs@{34fJ8KEal7R-p3yoiuyA?>cPwgFETd9bAVxUM`gJ&{{4zGq- zyUfSO#o6kBM`-?9%T|(fQmua#5}tC`2Oc&2F!yM?!V$2@{2Sdc3q)Q4Yf;<#KKGlW zr752TvraVI-dyHN+kp9%=JQKmgLYNUf1h#ey9t8$_{F?NOM3+OzZ7UAk$Y4gHQb~! zU983#tuD3LKy<FN2JjU9a9gv!px}8@1Y@Ckbckq1b<Ew4c4>VD&M(xrbZ?_QJp%4Z zK1RPdy*CF9<qa4aW_2?DuCP6N9*Q;h{uQA4-A{Kz(K6aU9%oiecoJ-2MxUpJyzu-O z=b0<<)$XZFoCAX53onqPmiR65bj`ERn5%I6{Ct{sE>a>%$dAj1&{ZG-XP~Dir*>dG zx?cfiU--IR30e|~SH100B(S4p3%!PXBNv%_t+E$1xS3jhX!g9&QXJdWRyadukNw2m z-i2V2`i5h``|kiRWck4RrH(@!`rkeQ_7O|3=M9enR_VwkiG7H1a-Mo2!PQs|S`uGr zs5MNxmInHx5-5#HIWZjMuajg|Eg1Ey%5HUF_)#Fm(bP@4LtNe*xfif5?5MegKr8fU zf=b%JmHMd**a@%Q{C5c>FhUTiW5J9cbv0vPEN;M)S=1>P2b?HyLu+)OdN}w(J>zAk zV76ACkj4%lT_PgT_|&EBq!7leUf3nLLy{Tsx#)-N%F`cMb1BU3*k!_kQ|F-bPD{mB zls^SKS_kE8S3|QyCzsy3J^H`dIQx;t0D_UJ`PrBo0cnIAiuv#W)7sQWfs51%riK_b zWJ(rd#qq@Epey@jCqSbreCis3r@=)^V{1&bwcXut+lml2VzohPo?P{OJ^$V$$~Z<g zo#O7~21WK%965FMc~jY>!!ZUuT|vXz$F8tIvR;(qXJDQyyedjcX9M=2dEClR4UnW6 z2{Y1>3HK9*i&)l(w;n9sNP=+xW|c=l(O6+|;U}+(Y=$GGPPavELOKIX+6@NX)|wnr zm*PyqU(*;X<K%F%B}tt7u4$XKT4u(zRR|Js@g5;F*J5k1#yak+B4b>-ikO7!ibL3X zvqRD6DoG_4s&Dh<8`np=4u&YHfIKV(!pw8NR%@jif!0I*;DF7|@27~+8eJ&I?_{wM z_L_TKNT7C?mnC6B;=7Q0DHxzAv$!yn#3<5#O`9NGdx~P{BaITlN>W(cfK2HX;~yDM z3O`@y`1^H-truZI8J41i(Y#5)H+QJB6FcRI!)ATJh(`8~?Su8!7hjUVV*%-39%19V z;$OjfkIOCfv+MHSz@uy%zB_+p>Q|e<Z#0nsuAu@WNfA0WxWXz<p)ZG!MiVO4lr}R- z#~@BAYt?2hux3#YMjSQX4h0+3WuI}buE1E-IQOowu1tCmp4kU;s_@#GD3HZx_`k1H z_C??@^Q}_EQ9SFTE{n1W0S)6RpCZJ}pbP+yifyE1X}LA)uPN9va<ykQ==I#WuhUU4 zncTX^$u=^h8#&Xfeox|WY=3a;mK&qVGJ3qd4_f>&N3L7zVOdy=H%Y;028}yV`o7-O zdqPUSs!CLCP)ayT8Dzchp3SX)U9KcExoj+Vb%a?hV@T4zu0NRi7Q`*A?)TKEH(dBl z63#Yc5gdQ1&3c#W#icVHZUoJbk;s|-rKcPRp74H_NABC6VZ1C@S}!4$zQ_~}3FSjE z?@Tj~11$X+D|*I&Z~cJci9zf-Wf&k3PIX^WwWSj}Ca&~GaCK+$t$yq}WF#<gzywSz zUrh^Rj*wtik%D|NcMHH5-%c=pO&51x&1|2d*a!KWRZw|2gJI|3c!^!ND6BquNS8_s z*HS05q;t!y#6wFnWr79zX~belE$w^i^9MhX@UAasZMaZjx?XCVWF?dylkeo@pO3`{ z^)!ckK!G33@Ld<}#$b$YK+Kd6HZ01_9D<h;jXbl<lm{W<xIbpWg`L!Y!q`#BF{go- z6$w=*5^4TsJzTR1kFl#+XH0SI!-tB{BxpU{U3sLcpcYv6YmbX!eKeO68muqPAq!dX zeQYt%IojtF%D5cK01a$J>xK?=Z`BeZCwsI#P7)5qv78m40LN+=BU3^bP!J)O2^p$q z@zy*7q=?Ew$0%ZsIw8`_nn$Si6Z`vIUh}pPQ|CR_cj|(+mSX+9uU0;HuO85N^qC)d zmt?d1xG9=Gdht7sWHbHpF0QCny4R2Qt8n(>XU&A-?EOee=T3DPymt_SraY9PE+X|% z-&drBWf1?YKeK(*?2R;R?sPHA&plp~7(2Ff0y>5)CP_1MiX~I;cJcPw5X^Jlbv>OJ zF&llyie4QJpKPNa+rj6h;4-+s?S2th5HnWGa}fJxAYE|&s=0uUI<~jLWmMf&U0=WM z-lv5>kY{m5dg13t&ga2$)pp~wfvFi%%Ys1y4!!%!t5~wzkpaXguvM3A6xf$i)dh0_ z5`<2EQC5V5FTjpCumV1#8CdCvFKdpl4GoX;tXGeUCcO;ZIbHEj+&MLr(a@K;?dvQ3 zR`ZB6#MZ#)2cJ>ZB2j~hi-n%sigW-y#y0eamfc1htT?%eqrHh3g~Z%tesHOo26c<T z&6lQbtod#VKGkUP<3kB^4evP2@?JYgDM%CdJ-K$k(bRTk9n3B}w(+l#tK;%KbmlI> z!%Ic&A{Q@BZR!tpg7#lp^U>`@AZNC-YT|b<%e(JVsHtR4-BOzmN|tPleMe6?d27ei zI0Xc*i)a{L=sbk>-3hanEf_PhNe9$$mYhtN)D6>mA`PT0JggQgj*y>l5Hrm|vYip1 zt$Rk==D1eXuhV;0%u*ADSB10S8cX*$Lcl}J{Qe~OS~S>EV1Hgk7a`gL!mLQknXiY< zT|1d7SsREpQ74RFC$=iN<z?+028x5uXunS6xg$dIoa7BIuz<1TsKF1d7DA0bOGhhn z6PTdwKI`~Z)smq<!K{rPCI$hCPt2Xd+P|E0jeQ9*IcrMN29|!>lAmMSUq`T~jwSDy zA`@4VS@#VE*Ai$NpvLZ|F$%qV(B6>!FcNjc|C=`;V^;l*GDLdTNZiX<^6rC$oU)<- zq_L~1&>frlU)&#eU;;-d%b^Z4!!;qk@)1yJ8YRfq$-y6H;%P#dm4YO4WW}Jm_f#|> ze=3IcYf4-}{E8Au)MOVqLu&mYf(wKx<@L4fpnXUbC+8aJ`N~+eIv*6Je>~I@nT?P_ zwssMNAyJ`vK-*CEmMUdAJ)Bat5<8=S*S?JPsuuBHOdJ7o57pnfuZ>c?a!Ti^W<}zN zdfBG9<__1H%3~2*_5yU%?ciugW=fw>BYn{q&*EeVm+0%({Ym>%xI;t|mk>aka5jWP z^08+K*Zu_W7LhbA*nuwIIO0vnaCq09h$7?_AH+M7HUEp<96CEKDA+NMa%9?<SXr*6 z6pdK5_in+vrHliXSX1s5O@IygsI?>R4ab6eIzE46M1fT+6zGY}z_fgioy{$j@loJ= zJ99GB6PcN5`36AA0w_y=Q*2C`wkX(i;P82teL$3M7#hg;t_f#bW~g0E=N6Q@!@oQm zA`&^qk;&~IQe4@PivzzKuoT3g-1H6+1>CNWi81DRYV9Vc=7I`ejHfq1URO`2l8;Y& z^@1QEkF}`nty;T$xKSemjNv~P5<=&P+2&O3SIXbiPC*LIP_Al<wZaNNZjL^iHaz+8 zkhb&kz4!L_(BPq~E+q-A#iB`EcpS-0vr5|_9mks5dD*k&x4s&S1v^!JQ~na4B(mV} z8sgcRCLZIh{5UY>wB?(-<mKQlOadZBFoQj8RYr30y@1g380OXJbC&-^+|~BN)s@5C zncBdg60l!V+r(t-1)4b})oUs%A#BGwK=!>bmDbzLQEEJG5#}4<t1pX9Mn}(CvOBvm z$@^$e<~qbW+NL~)Zr@Y*lD}AKkcWr2_nj8cT=FGa!^bg`coBQ;9r8l^q#<atuI|So z-UO<lont9W5&F`1jk$^+*~oxpHN;W{Il{YdJjCxP`dRq8BRV|xqiTNnsYLYt6VEY8 z&`{{TTF&`vVA6Z{qmT4=D}2oE_41kgZShOW4{o2{bqX<s?8GidA4Y~zH!voLbAA|@ zh)~-kZ~s16nc2U%MvyZbDRTkxo=vS?Vg~Ad>qXyxpOD{yK&z!<!%|XJ-;Qkd|KVh$ ze5>M87Clt)nm{RUC`LCS_xrV~RjR5TzK|c!(`tcoE+$=jcdgWNjqkEz(3`<mt(N7> zs>HF^x7G6V>^G)j!V%vtx2sxBsqfHa_nYDr)8Q#F1K=NgEWR+8P_-I>tWF#wE>Uq^ z9dOGQD&ezcxAQuj>PD05$ym)@Q0tpif+ET7G{)5EO5{GCIHDX6h_!?ETAlL03$4Z| zyf#p%)<UIKf11E!&Iw&JGXbIpGaXapBh%W+QMLeMr~KkCW9JGmb_mf7v&buO8EbiY z|JXVOuJj+;`th$pM-!(TrgaZYudmy>%x)9HlOMoSbNe?3t?i^!MzOINIoCGq%C&)a zSFL_s&)TTBEFXQg9b7i1-Ld+s5sufn5dPGYAa?!O4rcJUzyG}8!RGwYh6|-#GV?Yc zD$S~#>bhgbTH;NCiw?(#Q-!zdT%F;#dLfpzU+GY_vhxY(W-Q>3HFR?b7&7A1T9oO4 zDf7*{0E4_lu%NG7CwKhnFmTjh>4W>7DwMmkuw1$+bPS}rgSWRm`#}A4;8)AgIMkAK zTO#%anw#1l97zi35~kmR-04(H?~Kc}#>j{jY9UO!HRQ?vut@`KDAxenK^-Ccf{Q&m zI;f;G<R=@|g5kIM#*}}>omAzs@k@?$<&YEaG<5koyiQK<*PxV+6hzb!6d-&N3@(Yt zBb1yzm70#Bw!WjXzN5BAQy}WhsF+9|9e>+99#`}p5=*2c0xJkEMqP9<LVW;3lsg7X zR0@C(09XRx0l+5!Qb93TIwk8^FXpp<5>wuJH-x~}+DQ;ii(nEhmvc}}3v(185KR|h z5=|EXkOx2x09gQh0U#ZdXgD=R^4Q!*Hbve{i`s<$B?!oUDI<}@F35_$raR?IdfyKf zx(Y>k^CZG6f0OMa%-;D-h}3Q9z<|b#QiekcigmpnYEzEhFVA|tP+>P^qJogZM4*<* zHl$eb2|P6sQ3y$7ljfwIb_>de4KAmI7!Z!_^&^&<SAkZo2eJj=9(6_b_~3fuDHNYg zg?0*AP2`OE#2Er-bRqJt-&YtR3wjtb6oolicf1*xcoSw9#I++@XM}YIoA`3<pd3F4 zA}HFefrKMCnC}%<rY_q$`$4X4dL~_Yr!Q8*H9;~5zDTX5#)sTei?jtX5V7I=W^H+t z<l?KVdQelf8zZIvtBl93{cENz3y$DGPill+AUA17K!&5Sv}|TJc}#Z5sy{+GHHM6F z?y~7J^NVq+gBY`svK}%9*C{U|h(ZtP{ilJStRm<pG7m@5sJ)hO5FFah@Z;<#)?|@L z#&36xYp`l_*h!2D4EgdWer(~dV^cavaqRG@GWrR;N5R}0NJ`o24W%t5dZGv&IeBzR zFN1-u55dG(T$5#oI0-lDrS31=3V;imK6a?*s(%W1nWo`TuN$O1#e%vU1aZ@K_R$iA zOi&U=IKA<?$?-%;cFw)Kk_ipz!srX9exNCE(RO|rGD-S|ZA9MWR03l|+mS|_q#<+2 z`V&Ir*UQhD09W$ItI%Fqo>dKshZ7+`hG1oMA@y3>rsXKv@(<HZU!dzv*{CFJE#i=6 z-h-jA!M`-i%8BJlL?&ceYC!DA_??jgER$+RE{K^~I%nYRd8;^MujAbQ2rAi(x`+{$ zH^|@sg0h_m=5e1J#H8^DlQMH64z0TLWj1Xl*Pf82%)<hBXhU%_q^c~Ft(aU%IrF4~ zr*4THLMtW;vCQ`<IK*^Pu=j6`#q6k%{Yr>`&+}-rUAO0nxHoeNvVgi>M?aGZHptMe zXLKA4nW!MbF*w3V%r!{^<*l)$@eSV3NVl$r%XAZ|bnPKKV8QkBIezoyMT4YXit&h* zWq3%`I{$tu;>k9t_6-D6RlpZBFl$(bwV%l|6lpc}<9q<EqpWYyrHnt=V6W&ZJ_#d} zGfp%l#rj~R?@41%WB?TT1Ik5q3ZJbPp0D%TclC@o%!oI<Biubb4;_~7>^|6`3qO*T z?l43W$I0KIMwzEmhRau!oVkDjLq8ri=o{kNhPWik-MH2S$9}aKv-UU8X}hT&I~N`; z5qJOM+y0sJO?H1=$EfO}rgl?bWE#%`><*-+X|35v!`f_w<aOYjuxzjAlkW;jM;hfP zUq;mXM2F_INIa%P@gP~VR&(Mxi-HA&Cu7X81^v3JADlCSF#a_WriUHo=pAX1;?;TR zI5XeaaL&TC7O|mW9ClfaY<{w;H{v&wU~lw}vFrHHx(Kqj<m|aBxUsPHxuy3$0_gWc z09F1A1qBJQw~(CyxC>h!Z@R6P!COYo&X`NL9T8t`O}Y*-+&YIj)dV4IyK}KP)izXC z$vJ}@HLJFqQkTL?@cY^G&{Vz^;?(Sw#CuMh76o6`3gPS38fa-Fy<WplbZIv4YZ?-k zKauhhtzq49YP2Xon-EJkMc3t(As-uk@`_Oc(ZBTbBITTrev;Ao;=bIDRZlI{Ex0C( zq@vrk)~*Keb!_FGh<-G8DDNTqlqeQSf+B%)vv(+EUjWGYE@`VQdg&eSyb@|P%1%*F z&ekbykLpsTopV{Xks;yWOOMUPW8&}7UeyBuTjz(RuXdcLckos`h_TCXX5IPR-Ch<L zAo_tjm?7SC8iLs6Fw5@zyIgOJh%sBp4~)+JI`7~}+&?H%WYzziHBEt%CKI#X`if48 z0yA%A8xJ?Eh+5zpLZ%)PG2WfEjHuvVJNli}Dsb044DEoQ&utlQF9L1K-1F8&LCZ9o zyH({8Z|73M!-9N>EU!FtHf(h24QbAZ66kz)8CoO79+>AV?R&fO;!lE|KbpdAOn}g# zurahIfB|EWckX)TO%cx|LxxDBy{UrXrqepsaFCz(U49N;d(#-hjpGmAlV>P(4qfTQ zi_i+QvA=rbOwmIZ2Y-B>-r8>qovJll6oZi1<|(7nG}j-CST3_sUCN+H(*}wPJ|!l= z*;`H!ZI3thmA6gV{IL`cm9(MEIL)&>&qGAZFn!mp0lWJAq+(nrAMLv?5sH7J(TFr; zlkrM0{BwZCg|SmVL(w*464OoPXTEGTJ#sT*0P|K!>J^D7ythQ{!uHOs03=V(3C#LD zXD;<2MI6H*zka)kp`mv=91o}g<q&~?m^!KfEv*&itao3lpu<^xEHpMRM6e(oIQ^8L zXVniVUPW%&Jc<Y<Ry}oZeyC<B-@1OYV%YprqJ!lq!Lhupmey?0{4Cdm@7N`q5Sl5t zg5#`-xE?lE9qPM%m)R@RJi=EWSRuFfN7Xt!kGsQM!pC@e`gSM>kb$V@HXP2Zzt^v+ z^6P*)CiW`1v5F_<iaNvDa+J}Ey88a|-|b8%wAG_|{Y>&>l~2l*b%t0KD5I5ihx4}c z_kN)C_N<dFyhwlY)ex)(W`1?zTcH(v+THIBOY*B}qTWWSsSmfg_Zgl!61!noUCbOe zC*)3Skw$P90Xn+JAgf=}=w7}f&zuamV`b|X%P{6y0q6!HfjdXEO=J1%tfj63DCll$ zP*J66>n!1}O<AKG?1IyZ7z8<nYG4w%30J0feOn@Fz>$>CNV_Y;&FI&0<PhkM+@PK# z+S<|lex_2aHz;Us4e)TKX$th=oY`5UZtT3%Rn!DohH7ynAUPpdrrYi<5&VKb8kk)f zz{6k1p#TjF!GH#r;rxEeQY?5t!)FvgLpw$Ib+8~U8^ncl@8!=#d>j=+6`Flmj!01- zLy9z#p-x<BRQdj3vm+y?7$ZlL=!EvA{-(HTh|0HvY;R)+5H{bA|BQ#MWE*5-iyasS zFwjpGsLD5kY;R(JeTSweEb046XLU6ex!dX4CHv`!y+c;-nR92k>Xf!mAx@_I$>jNZ zdzqz&whskO1NjYiW3|LFx)@LQnu0}49bqEoF)r4?*P{MZiUJK2a6INPr04)S3QS7m zGM2<Vy*(ahCoe_WVQ^61F;*kYwj^R^2nvV{4UWmpn4ruwltt8ww)Y1Gbx2516fyx^ zIh2V!hG9Sr->}JMj|l1$Kshy*$H>uMCD}_qH_nrCLxI+`tD!}hB62`;EesC{`jTKq zPzDtO=#jJj7~jT2f-=uV(kG&cYmeA_Ba|7kp15tC_v1UDDEW_~@fDJJDG)L|Ov@OQ zxabEAd&=IVcbOr3J3$FJRUv)u&h>^-;+vUZ+kGRkI#yW<b(Y7-@oJ)$0YYCo$5*); zTXiTjh1Hhhc$+jRiCaVX;mHbW$Q64v$dN)g*d!AhQmfgl%=wocffIbnREQ?(324XA zdcw?X6^-R=qu4=zX7NoMpbkoh`;OU7Yi<eN34TIrlX7ako0AZo`zFJ<<y!gp-TMG? z5oU2ucbeOf@e|A!6+&UyL6-x=pJs0j3Ip~SfF1)BsYF6bynYei86Ha~c#~B?dF5u{ z+n<QbGwZL;UkFFVWCcvurcjM6??NWTKUcVuFQZq$B@RU&;;%oW6oZ<RUH@3cc{|O` z9hs~jjNtLBrwo&;m6gVB`pA{xrcx`yn&*tAvo2I#EZu$Gebqml`F{%#G)T_9Vd5uG zzHm**OVJ{yyLtR+IfU_H_hl;W;5V~TPIhi`sTG?t%+|usCONi8XSZppWT32tVB44P z>nN#4X%$C9*FLRTFZA4O^tm6J_BS*am{?|9@2<`*bvtuAx>&~AMhcF%j`6|2Govc9 zVAC2!px8j*cOn<rsosbi;EakU!~by<*#@Txo%|Yo^_nAmbS%ucy-J)Ru|{mISk~3z z;5zX+gV3?`C;!|+atEZ)`%GrMr0Ob#rrZx9*~jmlkP~n;&+tuQBN_WH`X;@*{F*y5 zI)M^&7b~}2iYu?wDjNYATD@nW2>QH}fYc?gBX$vf;*{3a!LupwN9`wV)Wt@1qdxHW z#&*_Bo~3EP6Un2syUN;zx1u2bWddFR%x5m04zXq?l~j?r*`hX7?ogK=^)-&5Z)slT z*R~GshriFn9I*p?fI^B)Q4thI`}90-T{6=Vbas$|TYKloq2q@O0{wPoDOfn&wSV_Z z&_EdU?M>J2QMz3U-FUxsn)@eR3(i~50&!7Dpax?}H|?d}$?5Z{qLmVmd_zDUm<naX z4Wx5;d`6-~claTi=`s^LJ7c-)^!_ya)QVzjEP9S-AEfy?`}eXci_>QHXr^*S+lW18 zeuYcUz#&sw>nu(RNM2G^cU5soEkR3{Ur1<$9F07*1W8XFR$hJAP4Tm|n)(iTKFbw) zWo<+Eq{A{FtblU3LH;Xbs4S5bH;j!au4F6^^%|!X^Vs1?I2s!I(86!@zHKSp(9jCK z&`^0@31#Pdj}+iR;b_vw!VS~p;klgNG=h0d^*IBjA_}n#R6e&mmPDXAvNJF<GM4t? zw%3L@@AuHih%)KI(h=5kG46VoKR(C9`8m4h=Z4=HVX3Z(wvgCH!i?MowFPcAW))o^ zH7D}p1*pP&LqTETuW4TmSulu?2X?QLd@=xX7eIb}|20jedfCW0P;Cw6TsptNA@CKF zQDeCnTEWKev1r+CpjAf<%=|G<r`JraI-8d3xMPTUo8#-!B-X0r0R3%AnU?)2kH}ZW zm-|AM8E%o^fjCU3vygP1eroourW@>wteV1Ko6v7pO)6C{gg(^{6&VV|yaSZ5-x18e z@gOD2n`iAyb7F(yKsHmU_Uc%IC^kInNaF$sAoknii}%S^2Wd{_n2?M5ptdNb`@8br zXH^c^n)i5-%Z#6>j%`3it2iD$x)*UV%QyYvi@g0qM?-4^T1RM-bRJJ>V*`q+-V*m) z4wO@Cs^}_d&3-S}Wy6K-y=QB>kTq~j-lLwmsm1*>iAbTFFI}4@A59W@zzaXCcfel1 zL9*>Qw@Xj*R|B5aalcoAYR70@dirTgNedDk6z`rVHM${i&8VsB{UOGnhz6YN-*Zh) zMsgrE2;g8ufz|8j$@v7wD?kgNf!yt5nd<};x5U#Cd3FwNDzPQR8umN`nwCgjewdo* z(-BsTf2ekK(IoZJ&wo)se=`CksQT!g|760n#xJCA6X{=$Kro>1?yVeQ^$=}a_OC;h zi1{2b?GD8}{^isZWp&`;KgEQmS?_XAp)i4EyJbTlgX!9q;m=He|0fglG%MO4CeVL# zK}_t7F#Wa2HTW9l6OJen=HLTmNoR%sz8Vw>%XR5C6!yOfMQ=T-W0L%TrhxugBgFqt zMgOJef9?W`IT-(c?Bd@jY416q;6X7|1L_1l|8}Fhsl2W<V21vUA_DfRL;CB+-$62u z`NTl!6L{<5|0Ux<-d$HY#L%soP%4S}*WLPu1<P9(^FLT_<4gB3{(}X@TNfGhA3Q1X zrD6ZYBd;t~o9roPwR7F9>fcx28tNA60RmYGo{?huqeDq5dw->I<am{I8+4^*{rJz} zy4UZI0-4Iy4VG(mr*Y=ua#}kVgFU8wv+*hN8ZR#RirT4X?msc>b^b(A+qt19fw-e* z9Pug)Bne74K5<L6p*qBP+z_CC1&<UW?sCoN@Z^dR7v@t*i61YoS@-gyHb@iFPY+sK z;}lZ57npLHWPMsy=ft*#M13(&e06m*e^&Zc>7Sf0Y48%;k1oN$?w7|E@k5qh`wB%( z<^n}c<cvg(!Ih%OcuT}a;$y9wD#)j}04{_}tlD^7#AYWMuzuleLS4(eg5ra>p^78b z(QugwiZDm>#bCvdq>z&7l=w8VjN_#k!XvPx*?yZ~>0uw42qnZ8I>u$j&`iw^XR;tC z>`h=<(s+ZHab<zTE{Q}Q*q<`jCG$kG-*NV-Ml!DzX}q!Ae|dP(c$)&Pin!N06A5H< zEWu~UN(p;50LqH_7y9Ew=9=c%<E<5q_m_!Ay*{duIeYHU{1aPqr$Q6!bCp69%PxBO zH`0Im$V$FMAGx+NRll|G^tKr_E#jH>!!Bj)fr%}F#^yz1jPG`lfwcH26ZA+Yg*3tA zLNue#Y>;e7JPShT;4Z@S3Hy=BtC-~)@kkfWCC1n9hfV$eOaYT#-j=RfofX!OFEn!C zRCs5|Tpv6wDDJR^pZof~oDg7=N73`5u8VS!E{l?=<%RGTiNL$a1bMka#y1*R#)rB2 zz`221^~V)2+!&U(xy0~+_aj>gMgWa*`bcG}!6)v#Mc;+JjYVrCb>2z^WzZ%R&-AF^ zDJG(aWVTyaoha_SrgzCi5}g!oAC~vCiYT*zMmVN7WH?t%U-Dp~fc@S{jq0CCrvI93 zLHc`gj-UD7J^dN$q6P$xVZ%X>KolmB*@mX-q6v&)&o>QB*O5iQFhN#ye9LteVMWz+ znzLO4M9u^NRiIJO;0q5*YCa%_X#?GiM|^fd@UI^HE`3OHr^@Jsy*|fIz_T^gu57vU za1*yf+#@+-_f7g<;k`MJu&Qce??oqeGMb!4&&<&;rdK{10X~1%*zIK#wc`)N<sWOI zDorx7cm-f+Cfd~tV$q_ea_ZZunINc?ZI?0gA#tX=6!%)57nO&Pc)=6Pv0yBu!d+f( z*m)Q6f?W!JWk*OXmIl-V2uVlJKo5B~k-BJp{kL4bsl7*ShKckwyTgWN=xqI+aKgDc zJAAcsK~wN?hah|5{&QI2+)8?3p#eElGw57&G8P*x+3O^f40Cgiq!=jau^e+1zve}e zt8HEAfp9xi9P)Ld2fyYK?4ED|22A^AGprss@<etmo3nO&rz=HHA}7Qz<``bTTvG#< zf9^2}dCMus&L-t<$wCZq&e0K~rjgW-W!1?!7qHV@Yk(qHsSknV`C@T;It)y1j-V*F zM7TpvnYI@<EJ44baTwJBQHk^&5h^#S0|kckxBQvTvQq|mHcS>ic%<y7R8gX(t<&q{ z8OMolSth$p5~WsYtmBK`)rHI4Nks0<sVnv70DtQ*D?=y3g;Wb3%!RIw7{7z*<#5Oa zcjmq&?t$pfqS|&Dyag1g1P2&1r(e+{r_r&Njs%z?19Ep?26L`e{hB=#ueMj;0efEp z_WteBulXx8u=jQ>`{u$oz~1#aN}Yhccik&K{@MFA=KtRN5el&P5<gV@P5Xjcg-QF~ zFP%WSVB#+!AQNKRtmI6gH_iwnWj6GyRe^^vh*V<qG9Gdlo|fs5LkbGX36@Z+2sRY< z;l}h2IJr4g7y><|4_h1&6XDrgK)40bx-Zej3Zn1;Jo)Bd@0?|I5gQAu>Ff@Q^q5jK zqp-eR-h*>h&`w_iTok$^s-N(>v-0IOnj8%Ac{+|nO;0$@xTdba#eklljms{Js0~Nj z6*m+<h=s+m`C)cvkdx1*R`cmcQT7MA31yeFsFuyUZRXj@ghI}1+Uy5CSmqB=<3}#) z`3XX39y}{c_G^|_&A>8)5e52+AGWrEJD<I+1}wAGm#A!okQG>F`CrS#Ox%t&<y@zX zP5m?fzdm4~=7h|xKGI|a?sbkx;}CS&^on|q@6k;Uoa=*n!JPU~|M^7%zDI(HTiTYo zpVpkOESf)CNSQac7tP2<xA1*SA_+g33kVktZ72uJbN21K<uE#!9|Q?Wdl0WFtc)-X zl$JJkD}7)T_A)oVF`=)($?g2K4$2wS_o$P*>X9~B)oczZh@(v3*IRp77LR-FV^7$_ z2#ef93C|Z#{*Iy7Xt`JU1rhVx85N%aeCq<4+e8_LB#eFd$JQhi1UT1{#?*zlG?ZN^ z%DhoAEwRD~2#X{3^x@1)aI&g$x;foOU8kpnpSsg^A9U=3aufk_fk%jfH>itw^vEwl z)|6Zr-7C|GDL6g7Qf=M~C9mu%A-7;~$Ty0Je4o))u(+h`3MH&y@CGZ2XiAE~RuDeF zOg$p9KCD2=PGCeOvOlyyiBsd4!Z?_JMCGelAmTBNcrh9z9l-RJ`d=6XU0D*!yGY)V z;Us&(k^UrR!I5r|%dbH&KLtHJc6~lg-53v-fz-5Uh3BEiy9fkDAyq1h+xi<f_1{}K z(!ek7Pdq8OknE<yi|{UP(8cx4fjwCYg@Ne)*`=0X=^0(m{Mt&h^3~(k`M^PlFHtV~ zxtpJG^Y7Y6jGo{r`jbd>G7;bzY8{BHS{Y&l{rnZjldTcd8DHxk<O3Ogf{a7{jSYAN zbpnr|C#V5{07KsRZv^`9_?0)#;(nA%{*+d~pc=GN{$GiF{3Py|Kkc7y42V>O{Vx&F z|LHQ+S>k>sMZbC@&XDH|2$%2~g!PSpFPA^?<+_E9LSMp15Vrp}f^s{XcB$E0KND{J zw-*IvCEvl`!$rQ_X>(^QSy|S4;Rbrv-r3rbIxx9#+K~*FqA3yc&+zyOR^(z5Hz0aJ zOi5SWNSWf|<_N(#Y$`Y{YbH#|NtH+$3*_dAX3Y~SIH%PL2^?{6TB>&uyHq<VT8jP8 z6k`1bv^$Q85$(%V66<joE|n4_^pV5JdSd+@2$=WD5x%li*Hi@<E+mvYomejKWhD%2 zaH-CvBE0e>1ZA)i@Q1=8ey~F3M1XWi4eRP3#F&py)TCh3>3V5C5qQLmn3*bkfX`rN z8O6xNabq;=RroLjVAB|xlmJGh_#ylYGs_}ICMy>sINdmDVTMi~nWz5;n!#wgSJDC` zy?iupKOGi;#V0Lr(90w9_gmruSXt772{0$nUqS$2-AM}x^dNa;q5dDl0J@yCR7<ZM zEz~be`tMi(#a|c?c2@ne_^H5baZIF;H>vOSAI#}Lu)^7*7(`&s=emBnkGW7&Y)~Sn zJyy_Lch6+;kcrX^O4$%TN08-`9XT*1p2LN{dRHk259FD?Fd#RaUX!$BLa&T0Fg|NR zYpyWQcwDAlBuQ#%P>;n)cZlOY%{Utz`|w_QI6Yl`o}*MInr}Sn8^MHGsQsv|4P`h3 zzMUAHbk>@3MI*ny|9Mf-Sl_~wg@5x?5;KM#t^+dp)R(ZbZCq2z;u<STT8pXXWWkTA zYH-B$@gOyV`uIdnYkj#KA$i6S7F`)eW?dOs9WGYdsl4K34muXQ0WmqI$ll_;eHF3M zOWWFbHFH&f5)DvjRn<nfxa4FQXBjAIQ>3=luIm^WEu6_{i*US?;}b^<of*Atl9M@k z6R^~xB;;gdY1NvO+Xdz12(x4n871jxi;6&;jQVnhRJ6q?O2whcbw14fG-E@}S>xBW zI9#mH^;h&95LeVHUWhtKtDzKi5ef*S=wjQN=8V?ZVZ;2Klnn3D!tfJ|^Astl<VQmD zo>g!R<x_*XYa=S@u!FA)RlWHB2vXPO{SlPe#<c(h6NbP15u^*lPbtm=DXLJ7jRKN2 zIEGq)q)TKaGayN=?q#Ecz+^Wjrc&1&gFMR2Dlb|{mBh>@FFHqs4en%y{T!uG*UJp6 z7_Bgl1;*iG;vAs@URXFeD#q2O%Z6!AfS3B=er7IY(L$&s=6CXZbHFj;BJ*BMlziPP z^S(l~{J1MP9`u%pc_bK|{Fa58<ISYlWLX)F-K5%NeOW&<KQdooa3Zq+AQ_AWKK~;r zTX)U8M<YK4+@M)-{97g(TtykGimA}7=Xf041oIc2(G0wVbXaRjD-DrET5CotT@lm8 zys#`cL7&&pcOg+DJ9mz)+Ww^a?ZUpQox*%9Qy_GZAfce%H$3p8QwXVxm)dRS!r8)^ zqPM%i@4pio4lF*9C0|@yv}F0Ww`HVWSY0@I#w@=3@!QY)b?SP5<x$q#=T5EDu@lH^ zh}4g{zt>ey`9FCL|J!M3A1`C!&7-41s`g?EcL$m~(Z5QgWQJk|LF<L+)S+Rv{*RJI zL@FpDKZT}IB@oTB{ThBO<|yJtk1Q!ccWJS7{rdUpU+kP8o`7(&nC2wCYqV+kc<)Lv z(SH)JKnNoD;C|WfJDd2qQMwPB`u5vl^p!k+ca7~v?t%0QhU;+ZdXJ5iPR6RxFm)#^ z7*zc&c52e(%1pDJ0Z4OrpB(MpWJU(n_`Q+HxAwa_7OUETE6|$S!qI1HaF1xw6*pDC zPxqTCv}*=XsU3X}kE09Q1j;@RUGbY^z=0fTMdOHRYu2~X6MF~L2J#%u1j~2X)v2Vr z+~fp6+(Hplbi}P@l*?PaZyGq*e-#N5`L8NLnBA7-RuwA;N7s)Z4IW9Ahcv=(A(_7X zLcrGCj6{Ql^?JO?gi7nKt^(hHd9wNbbT9CO&>c;y!2<qK4Rglh9jEv;@h-ocHP0#G zj4R>%Rw8xuWpr>eU|C=#9I{$cXIC&<HI?6}bUtnex2fRk1%t&|$(xGdHx}7BIj891 znb1{A!knV``qR5^)4K^UEU%c|1Mf4T*{1mFy5pL+e2=d}`ubdMfA>uUPYUG?coIW( z_*S<1f%A~j?X0ghK8Y+X3ZcN0@;y}~Pmb)XGy@G==sBGCW1bK9_T}y5&g)U9|L#F> z{8tZxD0ZL+0RfxGeR!LcCYMBhan_}7cYm64l%iJUcEJ}%Mjn4PHua8-kgC^L*$&3z zg(>Z%JPpmKG#7E8g4QI{#`hE6Uj*|N<m~jKUoCQfsg_w2=Yd3!a6OJ7{^d$M7g5=S z0p&vX01mo<)*P7PMa_%<a1{Q_PY5mQJN1&}qYfxzVG#ZL+3o-65e&-fKzoqwP`Eu_ zN(13WOg>exE=AL0F6`GNwl-|oX<ytCow}j-rwXcSC8Db&wfya{s~lVqse;gkP7&M( z0=?9BtYu+)FJ3pD=3163+~4Xc{$>)6-cUD{Uobjh8^GV}5Pm)Rr>)WcW!r)&r;*a0 zZriHqAGcjE1g?ddX}v$>7zmnZ=#Ss-&Mcfj`qyBV8R<%rw|$&<eKsU=J>9Qikzd0( zr)IvVg-0mbdGA)F!3Qf1anB>djYGm;CIex0ll%jJT$z)unUUU`lWZ+tak<&YxvVb@ z&$Jiq5J+E{ljxa|C>nKNR}S%h;n5`BB@iMUS|pI3!><uM;fVcl3o;(!^~jAevx_M_ zLe|c?C6K;RYBVF+rQcm9+65B(Q>}ysXW9`i2!_5Vx!8Yp;R4nSCfl`EB6p54`#r+j zILP~@GjLxg&V@@_n0L_S^1rV5)0{;9vD}|-)B3AXyB6CmZW-av`_4t{bc?pt_uGLp zv_mZ(oC6>ui6Le!Q_tHqqu+lud<cyz<xNCo%#Q3LezU&FvZ`Nj9M@*uTgQdoict6t z{bp-M_n!J=B$X;tFK_bVS%ZFojO-d|9A^FEM!{cW79C(b5%<?vh&o_3S78<i>x$X5 z$!&;pMNp}ppbm&dfNkZI3$YF?f(GGsQ-=AGP{JCJ6i157@bQWvJ@Lq4)6?y5ATS=T zGbm=IC)-gM<yjSSxtL-F`2V~nr>jk=Lz_|0W3b&Rvq%(_ryh!1r5Z^pn_C@3-z+G* zq9$UrecqCYB6>d=UoePHSX6dZ_?yA@Swt9f@F)BqZ%CBa1|_TWa+`!79tg>oR}nE5 zor{!L*jXm1COkpR1ids;yzH<5<F65R5`r%QBhH=k7haCYf2RKstD=vU|J27ZBU@|a zuvgk+JQy^=IeV1c@%0O~+kiB0Ni}lJg8P802wxs0juZod4`EXw7{V;^LYVh@r&7dq zE8d5#gbgYUF!c&{TehXp4L{?PO+{M+C(gPde9i`ZJ!p}&*s~@TLDr;=L##Ud_Hl-C zbCg^)yId~(G;kg=;Z<-2?#nsf&5vq?dKeYMRpxtacHCqnNdL^tx}`(&+jkDRd!@q4 z4nfm?QG%vL^SBPO?W7m^%zi{A8d*{(N{G1xf}zWCAKGK@78UwIzQo_UUZiJ>j0qtL zS-*E5Q1V2&YYnu_`T8<?QjE-5guM5*0Qpm3(JM}PNm2)f=mC$yv_{PR>N8E2FoJOY z`WUQGNouw4YRpU3Jp^Pu<!oS%zs5lsIhxc|6)O^Bf9;C-OHEIOo;|l$M)U++wP)=L zXe*3ul{_(vQruL`w+P)qxlz5|uPhy6MwMDnLOSO1E>J?CnzVsHoPeOQOcf7R?~)-p zLuReZUmMYys>t7Ml0vCdDl16fJBEGpE^5!G{}}8H5nI5?rp_y62o28XkVvd0o&6@M zt|wXf$!cC!+n&K;E&>WrK>dV4%0Xai@PkcN;*Mz9!W`s2n6W!JatjJZUHXm4t^b>T z+4&{cxDOyGw*P+fR;I3~aebGg<gS}yHOIcxTmZapn&o1KZyj|hml;dO!@lrq%)EAW z3UXpBJ1=5!h7xC_b^3cSHgVUf5CcTL(Cj5Y+n6)kE}A(EG3Z=Gs%HDo=$}F6Ly$s- zXyTjC@j;bBml2Kh0tqJQ=Be^7aGZ9h^2G7F&;Hh!8kAqVCbd5`*E+u>01J}_R!R=6 z6hs58G{G4AB5o9=In{h)9ga9$Q_1QfqUQ^vlIH~hb7TiR_b@M_scjRn=x04H$<H8S zPRVSsta;9JUKoyZRsd*VIBLgG>FKyzw)^|Zc(MpKcPy9oRud?xM=dZ&6EuT$PUEf7 z3kpkaF-YG9iGVG6*Bl3_U-E%4K!$L(lUOM)6zE&UBh-SzOL3pVQ>a<u8Rbt@&7*J6 zgJY&iXVUV}5QvxdO~q`k!L%o?pbrG)xNf+$r<@c&R#D+b*UHS<m%Rm^0v_yc?v+LX zBeghSQ`LV7Gp1fXCIXj?O6=wl@DTNw(PyHQ#V*@lcK2I`gfnmx3u>F_R5ti>c6-Rb zyrw;!<Hv4brUW!@{P7J*1HK{Bo^U%)7~*dmfIG}Xx+bzoz;4YtVgq!v^m$(Ev-4?e z89Vqa7I44_05;+|g0OxY^|JF>tT8|U-t`R~JqPhqrLK*F&Xr&@PYKa@2T#D{{agFx zAMKz1(Y|vwxtc>_>iJ}WbSsHO(ngJBWZzc!0O<OoJot}t?theHJAphGQyo8TO#CqY zKvkWaJ0VU-18&KR8vn6J)4z)E7_?7lNo#5O8XBf(S(Or@(HRvz4EClpy=<J4lStUo zpi=va%1Skr9U9S7L75Ukh4+C<O%($llg-+eiU@vyoffCil9uwF;SSc0rn+M93j(5N zN@$xb)=sgGqS!7JdI>1hjCPw>R_uGAzASHSytA@wGf)oLsj`}8{d2stk!*7=4JBKQ z239N;ji3q^8I5d&G=n10P+%~Ly<%4`6;C^zQJL0U!`OyO-qd<~D3yTnWI3@I7T>b& z10o@berng$w=vJ$?_Ig98c^z}Vv541LcRjC(scZyS2d}G(cvJLj9zWNv|{p{eDA%; zVH-hx^Tb_o$qc&KD60%IZ>m`ebo>nbM-|n^n8KZmI_aE<@sU2s5;b8B4acy;o&67F z-n~gI=-3(lk0Po^1ZYudvEF-#Q&WNp=Dk0lB`utJ84TeL66ghsZ`c#~a?o*7es1S^ z$>vJuTryAhNtA%XgjF<hB0_fdBLPj=(tsw}ClS@Jw16gEA3)PPAqDg6BS2Fjpo#k! z&?I!ruKHMxj+@cFQ<IKZDP43Kv)Ct5+-#^H87V1dIfKl~0H&BThtl$M6fMP@>Y52) zKUB&~@r(v+hK6r=+0T@*ogD@nh8y393qha?a;-D}$%^`OksSE;zpRAO!hn#tCYHfp zzEvl~K$7#GY<tN5(!T9eZF>%@RoBGWNa=!ux2NVi`RI8v=}O-JsC()#(g%VU&4LNr zbNeT!xm@C3bx(iRfloIwm%sxWInjd6504Yd?>#{zIIzW)FIVhK*oo&!wpH&m7r&*x zL%vb<PzUQ-Pka{oJ8%HX@qHDXzyjzy-oU-<9&^7iLJ3M2y1?%5>+L(sr}Me%E~};A z+!nv$RJQ+=JzWRNp6b3@wHz6QL(XEB`JJQ12qU@Eeb^=^v27?;#(zE~NflT$olj^1 zoj4R8CuBzQYWa0cr2o2JT>Egg;HshLsh9dV^#i)aZOhX}A@Ho$;cQth=TPYA+^goJ zKCRwu4F$LC<(EyUi9g1{mG@u9!3B3X5zy~ZYVsycxpw#Y-Jc4f{}c#S3}+r4DIjWI z5Miwgc!_R}K;ZWGd47TcIjvO(94OsWF003He7?Jv3gcM#(U%M+hPD!_@^%v$a2tXt z|G^iBRu*!j+KU4ggf98AA;g_tkZ0emk+L@gr=FaSnqJ=cylfm*0ESf8%p@mP;DF~e zE`Pc)3t>c>gHzB<2(V|D{JI+W)pj0;31=`QX4hPJg6y;Z-iK`sYQ!1tIbTla6cyRa z@^4EpZ?m*nj@xT(	!l#kD8JY5nmP*KO?Dv99d2>1p8fcZuouRR)Sq-srj|uBUyE zD}gd;8NRl{mlvT`!wrGsCsmbBL)iTzBqIF67wBo9AFEN){`pzy4e#(rQ6rJ}4c(Yh zK|=4j`|Uu$?{+o^h*#|3+KfvF2h$BkNDfC7nshF9Mr0__jBA=>onXQdW`Z}^k}cTs zeejP!`MH5NbU}FdC`f<m9~{UsYQ3$~hoOb?hbaw4AuEld3|@z!4SoiI1eP{f5CDAu z`~b)TpnZV0V11xC@VS`waimCl(?48rQ`8z{ywnpRLf;)hWi8SrYAw<PKs5lR0OSIY z20$DD;k7n0pjt#3PgN-=3_?QTv{6NW6S=<+)}9kS5@+5O8e;^gaVK_XmW@{-vs2x8 z=I6N`v-cb?XsH2>OcH`+Z5xfp)kiBm_2k`0E%cjoKY!|r5dyuUKwEeG7D0zO#uq)? z9jeyR8*9cwD1qz<MAC8c4YY8pmxv9xRc@vtcwvjvmnf=5OE3GZHXXW}?B1!N{8ZnA zW6$146yq+3Ln#kG^j&696CwDMZ&dQ5fMpwsEw{&KXl&iL8z1L?Y=FW=nvQlM`bppI z*Hts4p`I@C5srd(K5?9f#2kLp)(<(k>yB9J=_gCyReJe3W;EpLJIL{9n)bGc;|Iyq z@#pp-Og4Vfv4wlH>jh>7R_n(65!`Q<E&2fpo%&%TuoKq&Ex|_I{HbGWLwjqg>Dtpp zU$NgjxaXU-koYTfZiYs}PK@!_1{*!_rH%~^?GeiXEc2jhfF~u}tYzI-q0{US532yc z(+co_hxYWu03Mh|fTbbBtR>4wq4OXFU`Y_DjdHH-zdqo2EJ35g<3hdYM+$J-*FIAg zDA{c2fq{M_xf)MIsUtK`|M~W(F}dJk1+~#$?7BPY<E#w55=0Gp%5D)3oj<!UA;_F? zsPk}Q*(yFPiqO9GxzOmR<tcTA*Z@kS+3OXX&)Yjh>3mZuf_L{Q1XUT&6pG`5y!qSY zFoitS9{Qybgjrjc${{nEEAlvRdAGO85es?VDgi^tom}KX9&jdurL$Q7dlD(9LE#E+ zjqxoQ#=TI2j{JV!Wa$CQb4Csyka%PQLtLc9X_D#BPgrKEWZ2Pl`~((|GYrZxQr-$& zqsPw4u>FP19Ap+8R}2-<YIqn4b^Q^^0}@4*XtFvY3ZqzNYIxX5b^Ht#kgAOGF>*Qz z8l%TGfKV|r2df2#3u6VeIvz$wUH>p3RMH-+;4NaF$o5=<K!lxf%@5+-hfI*|3{I!A zRxlsUlvNWkAH@P3Xa-scy3!?r6r2{335@O2Z(ypZ)yXh2u%Oiy^hZycli~Y|`)hEp zQ?B`$_JQkiFbwn;wa3bOizvvU$Z}vZR#U5yVE_#_)D#3qPrLy3!hUBQ?9WmBM7Y4- zI0y#%3kqT-B}G8Yaww7<31wx}s+d@jv;8rV;*#p$kR!w+O&EBQA`1<_5V_s9Bqgzm zX}wR=w02QJS*n!$DC>UsMU+=gClar~RZ*`o*>wF~2!d*uH?nHW4;DQ+j>|8ip=G`O z`?8{x1tkRD6BJ?^3HFGpEhDMcj9*y|-bP?((&&q6IQG(l^yFk)Y~e5qqKf1rMipDl z<r!_X{^Iun_#@No8EJ3J2_+(!64@x3cpej3ii?c?TuTy)PGX<VxUM)Er_vIGvb^#G zvd+rB?aBw+b|f}!d6Tt3T&&te+r@Vw1SXmB1FU*ujcR5eytZ|b)?ipXj&vJSh#GpM zm()PZboRAlO9fR$Pwo>GQp<GCwerh#RrABRhW)Ak7j16=lt;I1iz2}-3GN9b5FCPg z@F2m1ySqDq#$AI4w~z#PcXxLQ?i$>0f8^i$?eorgRqxh)uZm)>Ip&;W&F<9&O*8si zZETsLnqBdhxm`uaf>l^mNLu^yRTea?ZvMFCxh0>j^b*Pq)qkGQG-S~ETf*Sg=g`ed zgzKz`SiUYOFhkLuHM9i#d4|GZA*q{;*hOy%_CT)UVylikFI^`{rXufAZv;Fix4>+j zd297I)9!DkeQ1?Dtcv2@*ElOGvyXQYXxeh4l1lZtKVFa0u)2tJ(yN}tX9aW=O^je; z*BVT!hY-B1m4{VUT=*Ji4Hhg&psCBrNh&q_|JeUiZ9>gzBGO5#3Y`F!6ih^6W0x9W zYlIMBmA(Y;|3j3^Ueb%81uOqtq2^G#mPY*D#$7@XMv`WAA>44)Y51*3T-EEcb%X0$ zU|uqC4#Pgv#jBBJJt+9LTr&$7-2X-V%uy}TzzKZ@VQ#r$r2Wm!H##Xs?$CW$3mc;y z-IpHsI24Jgffvwh9PH<e!A<cfm;*xww@`#Bi*AX++Q76wlF?S1hZovVB(GFf@k!gW z^70+N7I@<s5(+!HE`)gQ&&S6Oe@;MXYny}uY1&gatB^c;mogFt^Jk8f8JbPG*0NwQ zmiy1%_Q@pmGiY{>$gkn?%-1+SQVdwM{RU_W2sl$s9t4M8rzlwUU>Xpt>oF^4SU>wA zIP_8t3y2lqeg}gd{+t6QobOp+$s7)X;ZHpD-9~+H@{w;sHbD(dodR!9K}0qrslK{a z43A9TT36PT3*MKjLvQoY<M2g5Pp3MbB1}YU26|&+t$Vh+-|Mn{9QZgjea0U`?~M+Z zc1U!C?rQx7-VWlS?#hOPG<6^ivz4yw4kG~a_~~yRHbQt@L7uEokmms8DF=DVXK@gc z+VnpB(C1sf*gJ=25^|NGrHq6-u{b`&41O`t+kh$3hPcHme7eN&)AGm`t;wpj#!LqC z66f%>7{+z+1gknSQ#^ZlzA3rX`FfvQj!S031pM(!7Vqf^`rHQ0O8{B?tWWl%Zl?+V z{J12^V%~SogIHl(>4iE%mZ7+LVc)6q(5J1H52tXI53Ra2D_G&9qP$qH_3b<we+0zj zQ#c?qur%er52<F|6hl(&LLjuqrXb-kfjUUz86Wj^IhwXpk(nlarPcs`IL>I7I?q@1 zhqA<lxIg>SNUX|xg0-8~lO2zx4uy3v%8n_n(1*Uz&zw{8e)s8qs7^8=dSRMmMp^6e zsFhN&gh)}ueZupzU)(%laBn^!=o>%?qr~@+(60>h2^fB*0oSrg`EJF?l$q$Ynn$_A z;+5x8$$9?l`Q7(%vLgV#xX|c`M7=rrniQkF{(A89c+`O}>0{FTg`LSfY}|AeBHw&8 zT2|3o@>=^rUUGiu#`J8T<J^TEv+mW#rPW65!AC1L=^q!~4sUSjS0ZAu-=Bw9j1_ul zw|9>1tpLW_OOFfp7Q6`MG#+!)mJ0(#bxOOQ@iT#LaE)rI7lV|McTt~=INi>~bT=JG z`Pr<A?nuQlZKAF?>g%uEJlspA%O#6d&rF#;S!ZE-(y53qO@*NXx;W%rcVV?`{pRRm z*}m0L&9n<O`*@HxXF+}G^hQlvf^Kc)?2(^NHw4f)Zw;)G0?)}mxaA(03!Skca9mU` zqPhkP9uO+k?S?sRJY1gc%`${;-21xocI)$-cTy@9$GWZOiD)%@x}2_4&Zo~iyRtZ4 z)N>jT9K=|+<0XGAg`2&5pQBP6aK56jR-qpIpv>``&m!eA6d0;zT(lMvo?WOPPduz{ zj{rCsC2%#X-+8EgE+QBwEpyoB7*9Gv&)**KAmwtGfw>Bi3!69lo~Rgx5y4^Q=`v?P zf^nK0czZCIUZlLSm^@p}(9oR3ufec@aywOAbg9hE@a^%SkFJ=3kDdf4ee3c!!cofa zrPHI~bj-^$<?<sW%EnL0bXI)brq<&IgL%ME&5xH1+6|o9m0s$rcazukmg5o4w~V5M zbQXJ-uxU7J;x4GrXf@-f1QE41nA~!a4pR2#oJcp_=}VJNBZeo7)(qNd;bPh4Uyemw zHAvN4szZsWTrN2gnkszxuMewNRrz+snTqIs#0a?f&v^`G((nJshd)0QP)0NXvj9-g zPI5rPIyUw-;1KDwcZi7`t+Cyy$SI;WfT=zbA$0b02yEhFH+NeBqKfE%RW+*DtjB=v zA)OLwdYEW;h?Qm7BqgK6<;hS+^E=UO+z`11#CxoOLp~D5vq_fiHp?L2uU%|k>dkXr zr186-Zt~NF<I76jNH?^`J|Cug6A!o_5@#V3Met@xu)H}U&cY-b?G<=EN4t=tRo(RF ztj>rr$>iA2w>RhY<FFPVAun)!m-|?K%>c-jCbi{WA2PIH(NA9{a43Wwd^ZW0)O->2 zoNFJB<OaP_=k8Buzual}{ocbDOa-sdltL<}1oC?X>F-NTp4V0vkKZU8Z}tGC^@odu zz}fS>!1~ZmJB_TeNP}vaBVPZC%d>UC;j~E6A;*R0!(nzNxP{~fsyB^0j|C{Ln#1#! z40TK7x(p|W3WqhfSGt$$xupJLl=Vk^OIS9SC*PwS($l0$-6hJFzU+m|a-tOQnU*RO z9rvMs;W-Ij%oba+AvaxH-YN!`n#>VR*Y>T*wVlOGF<q;1IWdWP6dj!N^^%9(5{|w! zNEpH$dcMon!Yx-9_xTWciNH!o-j76mi-)sk@XoulW2cuyr9jk>v|W!_i9kh4O8l}# zFLJ0ICbTd(h}4%GN4@;H)72tu=)qL?9SiQVzGuYVhcEl138pZilR=%pE<as>iiMAg zh2007`2!B8FqQo)FWM>vbgqk-d9Tj9feT%g0uB)fe_l|hG)A``_XSgjPN07A?&ua& z-34_N(NB&XqMcD?W|+{|^h!igkq0w+A0rfr<RdEPwPuqF&}b>re%|vNGrbX`Mt>(Z zM_2t0Qj<aSv&E1UXn)F;jZoZ=4abI*$wpM@lM318tVY@8=qp|@(FUx4?1bL<$X$h} zSJGZOZDpVHvNyU9wl6v)wTL`U-?-GtpSL8@rFi<0GxT+88Bd0m>b}G09AUehKVEh@ z#OkPEwoqTRQysND8K=DKN6s-TI*@bYHOQ$6h*7`j(k6Mi_Pen2`QL#lNrZo2d#Q5m zcx@?iaO@<sNZ*Nc_dR6zTBI+vD5OSt$F*PhHshCL4v&|IEZErlWEJ8ui&bf3`V!rJ zoBhgp+h$QCw_TxcJF`CnC-pn@5Gn}xmI@<ZGxMH951#GM=plL(Vgxlu*aEZNC3x?T zrx95G9DykCggV!SD3bqQss940<;m^!!TNCU1otecczm$eUjy6Gfqh(=$?q^EZPmhj zBlZn)0@X2+!(N0EgDWsTE9|F6^t^n=GF>>R6Z#~?E>8+I6C0s}+DRQZA}T}$b``7s zy!fJ`u|*|c|Kg)$<NhAYksRBMEhqJ^MC4TSce;RjH<|fYCw0}*6fX0)(&#UX-C<RH zsy&W&K+yR!2qt_+e`yW3QWy|g9dJC=-nqAA{;j$<1cnD1C~YgxlMNY<`Nd_FxiIkP zlDIf%UGz<CFur`!{Fm)V{)e-oDk197BTx6Kht|-1Mw2#}pSRy_>5H1!qLf$->Y>id zeo<e>?{p(n4yP%{ohSO2k-R?ytlh=}Wt#8aYu~(Xw@uzI_n_Cw7oGg6)6+6?15<@} z$cI~^dcsAW04y)+QyX{gQNUw1xbrZX{LiEJ2hWa3^5&Ep=y4^F4{hHYb?%jwLLhw* zB85PLAoRWr0vRYZJYQ(dI)}4hS^#G)U-kdyoQ^V6;PRTSb{NhAj3zH^!LZS21${cS zhfW~Ug`s&><14a<GNV^>tI=ZAiBj78w{F4pUv&w{x-cYw@;~AHzu{$coI(bj&=rs+ z8JmHH>*&AO*uB60Gv^W$4`_ANzddAX*gSFm%f2oved=k%rt)uZ^D}>Yv)q4T>tMU6 z^%@Mp?en;-1^zXFSRZbciY6~`>Ho_iAs*_C3TB$rL?a>#w}%VK;t@z=-IO)dBzx4{ zJJ?VD;Htk`TiyNT&9T>D_Tr(-{X`NspM;jlwo{LCxUBGX!B4%gQP)tiyYS1^OR5V+ z1E)Xx>+9>Y<<^E#L!rR>iZ!Tol=swQ@5wPk9lOI%#cE0$xxWoZ9e35{ffTWKUm7IJ ztvtAIKH5KeO15Ja=q|8xw12r(z6o!R!={;P{H0m1yrwYx?NMx4<M*x6ebFhk;T7SZ zYU)cCL3YhT8wvrmvSzI*R|NVywCg<^_mKOX*S9QP8-uXVfu*}VZVc05igSa{ua6%0 zJD@I4`{$D(_hCk#V7PsqF7^5!->f;xY}&A%GiX0_mtXwu&M)pBd@<hALZk;j6T>NL z%pf*|dZ>MAe7OmVp>!fNo#SykQLND~kE)r(25eyLgL*&7Y#y_jJfx(ivg&RZ9DN)! zX)5h#9wJBqE-|dQj_!varylN(&^LkK^j4e7soi{y^_qMfD#OFoIF8N5t9`4Rc00_6 zY}XD;m_Je}j|#$r9*xZzFK}X!$J}Qoj{U6l>imH<4$sg$(k)Vc7PN=eyB~LHO_<qI zU8(C_*aP4<Tj#HPEJlZKri1HaH1b~9Q`Sl^q~Zaoo`|`C%Q?)$Qt~!c|MmUdijmVs z`U=^>pmDU$mxT$F?=K0>H@YNh1*w#&H>h(p3S*PsZA8S`QA*S*<fcd$$kwT5dZdjF zBIhP!OR&?#S}DC-d;%TH1$T`4HfiFll&BXACU$>1l*{iJ<&F(X<R-^SI8((PX0aFp zxh%&2eC=0CxKx(mPWEXj(zweNT3o1^;~JJv_Zbz(4QkQ2YZvZ&NR&&(TCvI1C6!^v zhG$s7>SA`7p9?B<>B(QD_aG&|ipIP+6PBJC$K=$Nky-bnPwheSppC}lQYE82D-)Im z+c8QgdlZ(w<;hJJp9RSB8~|pjTbcD(D+<HM;FPh!oPGZ2@!-Fq{~l4O3yr;}wBurW zs@aT%P|cdh9Yd-VF36tHL3#*N*=#NZW2=Yc_buhIJQYf?D^&TEy@<7Z&ML6AH555Q zode7pG;8@2+2==j*4pR$eL*6NN7aIVjfo_E5(sWdByo3tZU0k_p1v5MjL!G&u4&If zvo{n)9c<#@EI_fboB7z^t4mHt85mxXLd2G1W2Zv8wO%4U)T`T=64NfKCM``FV5FUr za^Wh$E>zAS4W0s%i_TuE#V3Z`<V;`|mog9Ye+)q)4!A70tLqMWPep^l5UR3i>Xl4L zY+vLFO(upkV_2RI!7Q90`LT^MrZ`UntM3+YhUD+&KP)`^GKDFD_%%(1Oaj$^5Ia<y zISgE>0rB7z8y4|(zie?bN}^9MId!W+$1|k|iI_7Q)6I^I(qThbnv!CW@g?WMDY#6K z0l0RM;vJ(gRPtzrx+IqNk6?=lAHKri13UB(5L`k?hN-k^Ky(k4d*RD8NKS0y$8WlC z?7(Zl2=8f$@I}eMj{oMQkJ0`^_s_LefV9viaw!N|r`9%^8kbR}Ot|#IC8Dt6fDUsx zW;XHQbW_FIIMgoc>Nua8F$Tfh4M`4Qr`^8tXactKv)v&@Ibo!pJNPL=12+WWn~r|) zU!H{-Yu28{({cIspC|?`YBF~0nX{~hkuerwMYC%0CoZb5Fb(XP>kViyrQw&4_e9i^ zF%}mGGlkxb`^=gebV;$;JJHzZ`q@Nc!5-#@2U84L>B`AWtsUfgm=hh{e8npUe&Ft% zaCr>4Xy#J;ID3ePDNp#GyToLvp)Kiy885@Z&2AUrx~kDS>mrK1-F@0MwaZ!^omZB3 zZyMnbsnDH0df}%R{eAQIE|P58gA#SL#@B}&2{@dM{7ODNT$?A^=h-fV00YaU<5%2J z!iRJGUOv#WLC`@&B>>c0*bD#*_Bq_w2WUl9uMl_}trfM~t>es<<ny#|-dcp2r@E`j z=k-Xi9(sO5fnnBD`jPnC-J;t*uED9!7n66eIP_Bqrt7t%S=A=Z@))7md;NL`A`#Qo z7b+Pl+BoJ6cBy<7zf02bKUUoR%qnvTUQ-=75y0m`F|rUn2A@{@92EoeJRuk0(CoK% zwJX+vx7GM$Q?u20@&%!B0Pohi91p^wfan4AFqn|O1*Up!IaD4E+ZW9Je)!XWzd+2y z?ZhszsWzQ3KQ3%|TDV0nyKyWo9ySxyjtTQKO{t|*4Gph^pTWUn7Ji1GDpTQyi=E2W z#T%I`_^?j45ALM^-XYnNo**thiXsKA(%32+#(Yb~ocXv#Nh6zB$=tz4y5D>#G-`bU zObKC>63Ws}+~q<Ud-hu?I`*-XX?0CEs0k$-80DqvBZ)TXPGat+Kxc)9Nxc&Xjh=mq z9W)L!vqN~PY{pN0XX96UmgZ+8Ifso|HZfHUxN+>JW-o?-95`oK`|P<nKi4mJ=Tj-O zma8o1fiuI4^leqFT<L{crP39!dr8L`0qVN<4h+hzf~8AICHzD54=Mxn@k>5yP8s{2 z7oI7c!U60S1P6DM^Uj}c+0hVTgIIguOA7l<D1+Hr3++u=v)>|Hj230q9K+84il2cV zp<z#Sv|jxVj50c`JuGK_e)X)W(2T+>Sr-|q#?{`7_*Ip06bxcyMlBTk8XlC*DLoYT z2MY{3xaTB#_RvL~zww}IJo93jjbMW;FLI%*6q%vVV#q$CSS?W-AOsyqe1C!#8pshr zI_@ZtP9_niMsDz#jUd!QLuohq^Lbq<=!GAE5sZrz6we{Xt18@YPYEM346mW?{gn8j zN;T)PTEfAm@}9MfKS1f-_EUKgPD()`eYtN{$k>C`5E!Y*;{O()B=_>F>Q@rf%R%L{ zX-|_{O1P4AoHr(FqokjN_T;I&8b>Phm{}3PdELg*Wd+8ca#;$uBfn?H0ZmvfS|)1$ zFvY)7fO5|?g%cPLc`zYR<NgG_@Bbb9*(aKtY*UkHcDNGUZ%WVqR!(mJm%_oPLPflN zj5HWc(q##s?{W8|EN56SFu#>pF<VOO``xG*V75)?ZoZ*q_<Xng%nbeJu6%zO5E!*5 ze&=;x^G;yA51i8t3hrr^mn?<*V1JZ`vXF+}{u9hW_|Kqm<lkVcUf+WXs@q3oFo>h@ zlJ@(4WM@WREf~~gns_xw$^%!&*YddoQSF@FCo6F6`QMX8ZZi2BF$73k6@uP3d{#Ks zj#XlyR468U`xW19;W?a5_BW(g(c4P*Z|k;eKZ3{lQu7ze1%ixs5rz$GG{xk7uJ|^S z!rzNVA4Uf#pWSv<`MX@g-xMzsC^8Y8<)GZfW6a3frtke-&it5=br=MiC`1$MnqR~7 zB8S7w48IZro?X{9zluzo6@}h^+cW)7X<+|9r9IR4aIR-qJbz37wA3~8Jm)Qngqe{f zQNeW8S=1&8N~8G*mSW^|B|a=ujhsVU364~V11xB~-=kZqKZ6&X6cpr4Zi&l7u|qDB zs~g|E57P)7WL#&sL|8y+X2Qq^;eZDiUo!f$mpDN`Mzm#RJN&c+ecYY0ZwEf*Ryktq zu(J0{`iAyfm!Z7+Ui#&S2oJlI*FuAZo-kEP1^jJ5$bpAF_6g!gOR}>+%xc+~ruI4& zYDlI3{zD3fy}7DW1S_S-m|U0tfbeEt^u0%fZ0l`Y`!#^+O11cPnnn}>U4Jw+Mo3ar zMSymp%xA^|mL|yXq~KLNak&p3r2$;2$sn>`bQE?+7Aj3p_;NvpJo@5&AM&sCALkqn zxNm46W}4*5B;Y?8Wh|N>u|n*BN_^BJh1k>n8i^-^d!Z^&xR!x3S^$7Nn%stPm7*fk zH!1>_>{Eim2_E1ePcVTBG`HE~y9F6l_oJpdO0x40+ZAtVejJ3W&1W#H6l6@TRfK0+ zqF5^E(7hl%9s4A+@{>3Eo&0<H7)R4gB^)jL*xokQj`ylAxl$A;!!P;`R*-m-XnFW4 zV*K;c4a$!9BcuQ&hLG6qUIV&e)|xl+3~yr~W`q36B$GWExz{n1p=7yG?^Tb!_R_m2 z6^I!7N|MbN8J58(2(zK|8=zVFTiC|+?utY=CcRhnO^PP=f3GSu%-$m6l+<hBfuYz( z;P$HQ;3I{3X<RF7l*c<T$^2D4r9oN15@61VU6w@;PLu=gHHT(kl0L9G+cX~a^_!lc zq{S?iO8Smx_No0=x6Y?-1{FDTu9MwtRAC$UQi*)-n10vM)vOkt(GYy34_Rr$M&iV4 zr|5<V2c3aLU3utszE*MGn5S&5WX1*vKhHO(%e8U(Zv$r&D>TOD-%r;mjJwMLfI|i8 z)bY8TQpH&4l-np0Ju>%zQmhLTJWXh`NB}nPXy~dn(+)?}$i@`+`_<rg&E(m<K`-k% zNM;GERoKZGqnSzOv%AIY1Jx|@71%P(?@W`ixU8hZy>S`V@=q$kJH_b*&ItG~sIDu= zA__HTUk>CNM_8T1vY|=auQ|Vr0&@Wb+U{3$4uh$yCN13q>2_CHZ}C{0LRyqnkC|#Q z0~yq)<k#|%zEr}I##Go`Wr+~7HX(Ui>$P?pa1b?_{1gj6N^EhqXzk9?1zmB8@Zv>F zBu#q+4g#>8EKsIt^lO*1#4>l~vi?gobnS|cye_ep@{DrtJp^?Dn{uG7ZLp^EExcC4 z%BS#1lZLi2w*?ryz~bTy@)}j$6{7_*;=p1`E2ZZP1tm7jR<EPmr!m=S5UrF{YVfOP zdRO*>ld+$%)6g!2sYh)bpLYx*`y^xISas16*k8G=8o{*=BBQXc;Z$*c;k7OISvK<b zPqqy`B5F#jQ3YpjYXb&r&|n}gKK29cw#D*ItJ2Gbf(me|1UOiRC4!<ZF`M!+u*cL_ zPetM!#-=CIR@KOX;C`oXYE(_Z79$AIwPh9x{_%=P|I-_K^Pe7g!v<zreC|Zi#8f<y zzp_{W-WGk9TO+TLG+m)zpl5-E{R#N!{&w<0-`EhJySDHPYC{c|cz7fpH%<RcuO<8X z@i~i=Qpb#{YL~Q?<eR|aywY;AKXZLwr|st1z$4wLRJ{D>6n|P5seH?@deQX$cy*VL zm5+?!7oF>iYW{?F@Y7=zF<k*U%iho$-VX5K{$zba+^>9FW`COka&%sN!_zS9-)=kT z+&n&KZF*kXSk>WV)yx6THlv04*OTG?PwzMHU(Z$BviG)T4hlRNSM5*h9+hv8)^>3@ zz`i^tj`akLVW%;wkXi>Rz-7V)ycv&Ixm;NJe3Czbi%6rm0$IeM5uISvs+JK2jaO|x zZ>eW|1Z9}K5s~79bWjj^J7LL)RI<G3@ZRaQws*EK^s^<#pVntnCd({EdOD>us&r+F z)=`tF;!A5Z#Hik43Vl{LOHnbSEmc$`kGFlNYq8GIA6h?qfA{BN(+t=gX#9oJI*p?r zdCG1RUa^i7$C-Q!CBT#TV)%<2ate~{9U30msuDX_ICm4P6XD^)RLzMV;d?96P?TFW zdA~P1(^Lym?$;OBHn%&QyL#7-Rf2Us+YJL~C1Ntm)|J*@)qv1as)_8c+;-o?LnwYG zztI#n3#&@tim6jCD6t0k9FCwiG6Xe0OkBQp;mk(QXzqe(C0`7mOQJm{Xcol|Z`;wt zlP!>2-b4?7nSX#&^N03y^+##z6+?Eqw#A9(u;icy#hFj>Qa*I-{S_*+4*xyJ<CSic z#c{ZOeSc_@q_5WT{O{Me+XzJD&2S04I8vJK(}v^A<0<gzH}L7eA2gELH$OQYKYvF2 zrGkSGpQOm?RLjxFwD_K^OH*R;J)erjBH4I$-GJ50BkOSsK+;l@kw3{YNg7+MUTVGz zl?>-nrkjcJlP~ekwCOjpX43_;q1fM+y=-W@*s9}<LNLba3=#8exu&Xg{VZrsQpS0v zWnw!&F@7ts$y#^y0jEYK&jfCi)N<`nwBy4v!qln9Kc;Z3J(O~+Qld^`T_bsW<7?uN zwOt`OQJZ(mv=N5hb<k~px(<hy+Or}4IK?$U@QS_V{bo2J`&*m=w8V>6^k&WK;rZ;Z zJ9;Gio;_Vvnfc>)0Zx(_3Z8KSV<R=edbT;4N$1E`4V9IcPC(jWRcgr6+|HZk)CHEi z*mPUtxnL$a+K38FW@ikFM&65e`#dX_lHu;=KiBT!?Lv_iobJ6^gHk;jI(y)ZIJ?C8 zM5}8U4X0n=h0Smd{)rxC5Dj9Sy2e{1Yme2G(P7jxmgpD5=IpZ3lJy%U?O@&*UeJ~8 z+S+_GccLket^mZ)6nzz;S6|n$+j;q$W`hyiHGx0gxG%k>y>(q7QX<;zO33m1-8E;5 z6VFPa;9g&aIX;8?4O$+t*yVSzT%X))Sj+Esv#cpjM7^dJ3O1Mk(O@jUYruE}%6_<0 zh|4}kOwymNE}J>q*R`Y4FU0TV7!@8SaHYBF6WQjd^fCbc9>c!$**4Q||5j0Mk+VNy zaZ=X`;&=zEF(R)HumrkES>A69W35!I`ABD{k||y33x2-~ewE_%rQjWQX&dPurj6m# z<%%_Zk)J`>4?z)B00BZCF)0nQNWn3Jh17L93&+8girhOre|{4EzI4A<)9&sN!51`l zD>w=dL@3e#H=hz#h{{Uj_ryPWvNm%krCG8a$UsR)EWeP?ctj+&KR9PL=Jz`)q%fxw zafB!*Fd2g{@sBalzmqLmWBD_^{*^3*0G=+Grv|aW2Jsm;WDp<?cB;If`O^BRC_9fa zOw)&Mw`s7EKOYRdvxR4&3?W?)qkU%~5{!)|9m`>qjM-_7rXsi6V6MWVq1|q5rf+M| zmZ%;Wx9Fe53V-yK`GBbS*;}I=oE%lvwtijJlb2NFL-^@0hFJ*4oE8Yu_URYegepGx zE#z8a>}l3ml9tumNNUAx(+}LR;;OrR=T~C%0A~6WZHkZqc6rQpI!X!D>Xdg(3wBwv z?S-@vWo5z)hK5Mw<z*csUA+Xt!860bM%|t#o|vL3>XZpV{pk^5I15*l^(gEQy@ze# z0LX7EfTNixr^u0IAB|ooi6a>-D7a5fq^{G!oF0May+Wk%VU^OkE2KBIEOmh@Jcj#3 zR|Y(ny#O)bG_7bOr9AQ0P9n+R-iwgbz<H$$!6d=m4Yw=W&so=vZG8CzNlw<6X0!iJ z*J%9CzJHZu5AD;LimJVBKtw<xQL(RRgCg|za6ed#EyuEYMtzPg1{l7=#MXj2`~kC$ z>re|!T=tRvg@DlC1M!Vg#X_;mhc8*(B98jHaDnV*#I{sD=~}a`SQ;y7&WnVa@S2FE zAjuHywRhi{E%tLb>1a-^ixPT>V%PG@<brq1QxZ<h<@Gz~K1>#&DRxzQU*)iT<o*vg zh52KB$!CMPLZ?~6Y4}xzKBxmMN!SOTek#D>Z5~l`K~|#rpFlb3YkNx04Zy`HuZQ_g z{Hwyl$VX|;G|_isVZS~xQ_~MF$FAwe`@B@9dt1aIF+kOg;fVaOfsvH*XG`3!?<D^y zMCV8*DCJL}0O-{gl8Iie5$D~BM}*m(1b_0f3wgdWY?Sh+n-Pq@CgT<?AZt#qb{Mvq zPmD}!aQ5#R&9yOS*8YYHEVyni5Z2JCH#NXt)fFGylqUM7F240j9?&55er}g)6k3uu z2!cY1i^UL7%=ft+uQdpI`~^9^LD1U&x!s~O2+~17lf#v_bFKAPe{QS$eWBXpJT9z^ zR`JW#XN9)tSDG|0W-HH+2YBoKxSeK=n}MlzX6L<^hm(fsscIO-fqcA#D~)yTK2c@b z?Y!=6dbdtI2xE;%)db<{1p@P8fh4ar>smV<&2Vs=9wgg#v&kc)iWXW{xtLK<emj2v z0oceWdI-RTf}*`mshh-o$V3oUpXA}0=jZ|jzQ%+f=0Dk7I%A8`dWDDH)eU&S>+|y1 zlkx@lp4g_hs$dK+Bt))&&#{der`q>2WvW|Uo?V!!GhW;i9M1aaG>G1(RFeuBtri!b zjj38yf*;0ed0*P5Wj<Q;b1J=531&LnF2XxB`;!~26%xMMpBJe*2=u4xL_i|J$i(+T z=L)Jk?!o6Zza+V{Z(+fW>p6k>rO}_jiDqI|i0D_mYNwL06UR2qut*fw27cz}0?q?F z&%^xhVcyXXs;F>lb>m#L%Xr`XFeKV_p*qa_8Xe5J(O*rzRBb9m@HKK>+OJ;1js~7$ z&60MY>Bb<+IG2&Ls4Ec3s9<y7U|0FuK803^$|ZHeZ{g`OcPt0%-^m#OVL7j9cq;#6 zUTV&AA>vL#`t}FslT;+(UdJ3d3Hn*4%mEU%jQXplXIbkvqd0F9P7`H~A_+z`jp$FH z<s=kHAMQvo`}X+&{`xC@rg{}F;xF3HEXB9F(v(Mi*F%f<UI!Blg7qB?!!7YnYm0`O z^%YeHpYZWsKQe|uRqY)B&=y4r%q68F`p9fTh`KWr(<h7kUW1PA@N8AO!9H|vaG>|^ z)|g>ttauQ0?AM@R3FnbTT5<mPJ$Jf@_ytupABWSjd6CCdQu;{=%fe`l5|!J%SP5$W zz!^oyp8Gf6%*+KHGFy2kW<Y8q3a!_H(->SyEw3Z0g@ryIT=Fa_fYLs+q>a<!<bDP- zvtY#wPP-B2GuS|h3KrPYfd!9Xfrl4Zz)T32?COt)%AH(@P_o$62E|t09_1Zw8I!HU zY>n%yYdn4lRmH!=+%lMU)(a&jbCVNQZ@B(tTl9OpJS&Z|LNqIydOyE0g<skT>xHl^ zdR;(CtsQV?v3(sl<2QoY*G0nKComnwru^wBGmvlKLv<+|;AM)q*fT#;E+xKAuo<sC zNGK@tgV0@;8bMQNsf*wuUYnXoP-dIZ9hnA1mb(bx6SP^toOeX-9S~%-ivTA<`w?GI z#)Qb-lNLnQy9g)}wEOTt9wK)RIs`ydXtRreEkRow_rqoPBK*i~j5YrDc5gM-nPB_o zpU_LeZKP!V$jOZi^BIjyUHj`e@7HI3gQdn7b|b77MI$Aggh{7?P=P(+2I#yPiNZxm zKIOKYK#NV#LeIuXd4Tvj0ljgy-NW(f^V;aX%q_f_9#+MnuJyatp4WEdF+#xbM~Z0k z#FX%!YoP?8by2dwv}P%?>F1n;k*5e;AT19%?|hPQ(TXh_!4^g+dNiRak((7uu!hi@ z1?>>2F!3wn;T)r=Y-4$a9!c!b^4BsSiQJc112u$JC1h5QQ@LN+u?F5Ao4P)hEa~EZ zsS%;qcE9v#U9ErYsJqcJ69vNG+fSI#WjbZzxJ~=3Z7bo9p%NNymW&E2-;S*Iam!Bo z;wTebnr1kA7~2{;5}nQ9^tm~1j*PcV=S7A$X{MXLA|!_AMG5drN#fw@fp<n?vtWq| zM<RD?K}F(Z*0p$*Ki(<2XjL@xij5*<`zPz0)dAsBfdXkvLdSYeJzroZ@l}@CN>mUt zW(RyJ7q7*{!DaxOp1xjCTCot>kQ6Fm$8JT5Ty-)gTTnRCYc~^&U=HTj7SHp?TR~QO z2&>Pw_y-7U3CIcyVb$@SNrcMkMu`q${;A=slKQ~9>tx>yU&?eMG)znO!uWj+IMado z1R9$j2Y{}(uDLDu5o<Hp$61xJyP^MM!w6>l$ARk($-(-fE%rU1kRdUGv_opCI+F1_ zE5qIG*IlvazXq-jX){Rhh_;LRIYvYi1Y}m<3K$2tAgJ-Oag1n|9eyC3<#J1*MRFtF zXeW{JQNln+cStDa9uaMeNVAgg8A3fnuW)myQ3sZ8Twf`7&fH5e^KsPbc-fGuh#{Vx zTf`#u56}*)3oNSh93JAW*b*WjopoBjIULX!UQ=?$i>&9Ca#`rIh4IKG3g%`eb6MEs zvv0oI;z{FnOgFs=i$S>wyKPx8W`X`bfXcXpZ1(%4rrx7#t?B~*Qy3L9vv?%;Z)XyE zl1)IXcT>FodeNeRuTIZCSKzl+@1N}Trb70&?1mn@*Z5ZC&Oq3_z1{Ybh0=5Vse)HH z?^OgW1`Od!1wyeq+^A`SYPo2F<X$R0mt0X5pv5$Ti?iE)U{aF37cT71R0mW9Ktm|= zWadepOz0ux;hE;15nLrnATXw<B)ez(j!7Tl1=xcQ$95SF<jL6<KbS5z%9DaU;iOL| z?0}sB4yYYOqOm8c`0JWFk<I2wH7#}FDi0!u?#q4VmVQ*CaRGzKX1vn#cym=FY;aRA zFJ*5=?~Ne;k`h4nZBYKf8rlDq;#0gIK&9fjj!AJR3Oww0Q#iLZkMe|k%Fgobk4i?G z=6SvoXIhqE(>s4{LG&2VK9sxle!r~}L)guq*PSV$AvL|Tt$C%yeq0TNUB#bZRS10A z1%b<#LAdrWOdQyd`n%8vXxH6hE9ogg*`j>0gMG1&WcJ6&^EdJXS|E@*-$&L?pQ0?B zqdZeiNdV4COnb#n<Yc7~idt`D^&(nJcR0=BtlAs{aIAj!1<TPse8pc!3R86GP_ufp z%w6)cA6BX&3ROm_l#R~n)CVgh)P|x`g)fmU8Yd#blP%<`B1R}Fw4N31MTE*;+?G_r zUq)ZA&j@{CGjz))+611PFMI96zgU)5Z+fon@PYGJ3v6Z8JAS<{j|`~{EY4a>OM?j* z+L2n#oR7K}oBJF4ljY59oUQlmcDASa+@%v+(4n`1*LF@oV@=91A8vR+-k?rpO&C2d zQrc4OHNWSV^T^rAt>PgghGF0gzq|cz8eU8_H7t@nZ0K?A(G76pY;NMKdz@RiS=%@B zY`!`-ayr>radL8=<>8jhoBh!^-#%S`J&IvmJhYU;ug!b3v(<UmFL$w+65BkVU+&DG z*6dN;y01$I)YhbsS`I8HTQ&Nf8FDt)+_;^cnU$t!^U+#aM`%5s9a{5S9*sz>s~-HA zAKL4_Mkti883oq(kJt83JJ0n}nGct}Wf<c7LyMCSxh%DlE^+zerzS7;;5<n!HShba ztEW#f(hncaZO{2L^&a=?&JPljMawA<k$1P!@9p%xps@{J71p$D=14bt(A|tQo9@?$ zc-SRoD4V1=UkLoU-uukK^Wlx|X(ON8pE>JB^EBQ!fCV7q86jPEf%<E-H#PE$*N4}B z`BA%Ei|)s<m+=#bqr2UlUn6UtJ@5)@&QEwK-&p=GYnr6FvJkrww$`vFxw{$;;|j4x zXUSdrI$T9g-2ch(6>)F)p^aD{!7)U?daxD}?8<w`c6G_`%&%_k|2vKkx8GGiBzbny ztj1LDb_+0aIPlzc`oofbD3~AGzxTUdh7&kP#K_EGUwHBCciQ7)Pe>uj-S%)Wd|0)Z z%u<`8x7!Whxw{XQ0~z-5wfp6eY!EjQ@*nJV7gpNEz-rjb&RAOyY9(XJd#cYSu`0$D zG<yXKHt9e3ZpQfr4*3=zFSa9V)3t{^RcrJfx;+8;iSFqs3#r<rp4-l8#mZFI{iWAr z<0k2D%weUvrRq`BMQjEf`sV~n?qnVC8@um9akir7#*S8&S}dy5FfcY!^X+Cb9GZ!p zV*TZ~*h)oqdh3DAFkeo;sW6J$vDs!{l0c<b!(WVC;tjlS(e+Q;$NT3+FE&>^-B0uO zSkr)PQmsalX_ST4!NI!~w-v!k^#~i%tNiIujQ-FK<;z=Z<<QwhyA#`!k}IC($A{aZ zwt4plP-C!~_F#6wJ05Eh7eQyVCM{Sc-GyWM6Zuz1B!44~3Egr$2jKW%HD595+)SJu zj#G7B1uYYL@`h(j8PSDT{Do0^p?cN_?Iys*-Uxx~;jn#s)Z3{m!U^MBe?>JZ7ug}- zn-#VLwfU9!PUq&vFRpAP;X_@sC82+6_^MjyU(LWF)K|3g{S~e*L4>O=l8Dy5D+hVE zIQ4<xgIyYQEB-<5IbN+Fj<a0{NC&+`qk`e}`BjBZ5moRbQ@$eH&?C}LeoDZLfH0Ft z=!$Nlxh1`$lU@^tg6*cR8Fv^jzFGWj+)k4-K_)>$fpnM*83a@}*1>sU%>+(AT&0yn zX_9{_RiR~BZieavFvq&+@V;>#65})z^Aefy#)ptvU80Bbzd>)Yv*S=<GuMrdEaXqP zQeoDRV)@v%qBk~jZVWJ_ho?1vc^G?~zf0h^m<by>{PQV%*@*ZGKd^KWaWJZuhWfb= zyxNlQ(&zrj?ls(l^o!rtdVZGlm;}0F*8{+^-}b>?!jaKqvFliVvz5r9HQ<`43AokN ztI*Pj1XZdQEWS%qD|mh$m$tB3VDi9C!v-`3zwl~W3vand6$6};yM@sla3XJphhS6+ z=srl%DIJ!TH#h*&T1`Z?{GVVhK7~O!;8;JaDnB#uTT}=;CsD-sefe#YlFp{8S<z{E zEm)>gi;r!_##$d!VOYD-V_H`7=yrvoo1(;u!H$9>s(1Nt6wVTUSJs+O{cSqYj2I~? zDfGdv|J3V+lQdx2aIG~5qDxiUqa`niqwSo5RX?b}E!0XPo|O<~x@=L3<O?RAF|+Rz zFer*Q7?>kY0MrWfOD`<^dNe#KcAq!o3qz_8RFR_#clj;hW`FUzzb>0xm$j&zf6>Hi z$5S?W;yv@L>nTZHoJ{?Cb`!Q_a(&d84OYDpIARXAaJ<PYe5Ee%oeN7c6;$@>{G7~R z5>miMh}q(~u@KXt=_w5HJ;`63L+-N=5$d(yUA$;LH!#zonU&go<Wv{Hm$rR}KV&sK zxr==nU5Ng+{pYFkFLhAI!qJ&&z;Q0koC4El6XM%z<FuzN8=ycW5uhL=3ko{1V^^?% zz^^O&GfGiE(L3bp2W=$YwNqh$1kQC|6^g2*;i|qKB;W8G)BHe*4;(|-OD&QtSjxqn z-PL)Y0%^yl55ihUU+OljWsnaUo6~>{j{`Oz)CCF}d9bFm4yKA<O=*#!nv-UiMe5S@ zC?e?nM54b%j8|(+;9>a;I5NuF<Y`s9rMiLy!9LuY2{R3FymJbQmEOm%F0eoi>4=54 z<GXT?N$D+u7J<DFw5+o`4JK%VeG`H2Y0A}$e`Pvu-N;U*(r8>TS-|}R>#GYmRPbT( zsU0{q_yk<s$aY;2&m*s9pJyJAn&s_ru(Hr7koFY}L;#j>OrtkCiQCf3lx8OI`Fx4^ z1>li^do*Gg!spNJ>^|eDAPvfO;`U|rexK6YYQVvL{tGE~MGBpweRk&yw=f>MKf}IL z1auZkU>lB;dMiq_zt9SDOsbu15H%69!c1ZAR35)r`oYQd@S2_CL>x&WaQPY4`TS45 zz^Y^MA5F>QD(e%%H(Hn9SD#tm2CPERL<tZiCVI1k+@_9(YmK&27#KR;Nc+B(8=rnj zAMxnK<|OTdi%dZ1C03g|xc34?=}GsBO%*la6OQaD4y=`S&?lUB!x*@P(-81e&RdT` zndI9JivE?d-j{kY8#c2BNVWEyIzYgT0jCC=s-Q&~5q&c4Yc^H%girC$lW7C{DI|k5 z<Jt|C-*y!Bt(4K&xRB7!ArqwLuS5)Cvv4W56Q|OcI1~kNb1BOfX```EBh(-1j84hY zt6;F>7G!FakXX^H2-WKFE@+el06n6-8dY*a?Vov<PmuKp&uwN!(MrSJYAmt?X8wk1 zt$7y~ssrqPyVcY(aA=_696ZyDi2>V1>Y$(m4@8!cz>3yKaMXtU1y)c1VPFQM!<F3j z(|tqRimksmM=a^B`-$24pHZ!bI+5`Tbni`dHYr;-`On?7vB1AyNjd#7TPC3R!sXl4 z&jXjf)%xFQmB29<6KX<<|6y``ZOzTx&3u@BZ7H#$uW1UJkw!X+attjaT=+T^*D%f? zpL5!;0+8<<&-2lp8Sl&0SwF*RE@?aQY#87CR!EzfVk&Z%4QgTWyD(4<E0T#_yf%fj z#JdShDK%JqXKStRX0H5y810gSQF~HQ#>*)U%6OeDI`_ubKpF2=HwXzppa2k(Uxz?F z|0sL0{Xu1K(YuN#WiJhK;ghl#+yAe!H$?ESvKQ=vA%Qp?=G~LHmj+oM6!*%RJc)as zN<ne&KcxwX;eW-wPvQK(%n)(!zYph0+zTOuh<qUyBJPFQCvmTcE-3DWa6v@9|7juO zUPxOIaqm-mpt$#`Jy6^WsfLJqvqt_A_x@`Du}|XOEJje=`=3Yk|61Iu-aP%X=}zk+ zp#K*Fp_E4Hd~dl0H)8%zJmvyzRU~as26tCO8CHODSXx>-jrUgR`#Tc_0E<jPY9D-! zCY<UUe|AjU^oKg2Ia*v|?#%}Mr}xwg!0;V#_}kj_s}GxaYts6Bvq)(lgXlrGC-#B- zq_pO*lWgO`$86)GJdbj%Do;YzFRE91yJ=m^-}SMF@P1HTOqWj;V+Amv{H9+}5T^Vz zlZSt}l~B#DT)ubxiHFUx7(finU8?(hyS2YxVEetiNo-g2G#u^0amuR!u=#m$oBmjM zfBl<y;IT<_VW5~R(!<TU{)<gXTDrC6j;A(f4@7l4Ya@x;?LFk$21j<AUieeR^=xGf z+!5xu-QH&PLs|oXz)bddwsyA`_}x`Ig*5K>cy;DPPffUNvQKR|AWL}2CTQ6;VCgq! zQ~kn>S<i}mBA^~EcMv0U8>3jO<+nQ~uO4S}IzRXVmJ+eh8?5xIY^?w9<hH<n*W3P| z%57=%|2O2ez<<}<{&!LB|BrH8;Qy|-t;?DptJ6{@vfm5wga+ld7T2%ik)<ONf}d>} zwvz!UZyz4+YA0UdCQLWS{P>)qKcHLo9ZRU3UK-urLmHh>my^@NZ)3gWMSM(qz)NZL zz4;WPsaj6X0%v=>8N~kHosN-$(3o*P8Bk?A1*&WTP-a`l0qRvVRUukhW|qAtEiEMU zKSW{=eT+0yQl$UZaE^jh3Kwc`c_3A>jffB?P-P2Y0#&vUY%l_nUv^0~_*6JH7+aWO z@yw7gDA{Y+MG#4#O%qd67%8))R*wmyaYaf7CAAS0{~@^DXA4dliNxg0B&W0!l#yQd zD@y6v>Bxb|Vj+s|r-1|WlRkD)3L>4AV2^=FXQiK<gkmEY96$tub3IK!HAn@K=NcA& zZhJ~A!+lcW&h9@6YuW0bG`NHGPdP^l-<~S}Es6GG^;WOg*4n;XxGvTFZGubt<81_P z=F76T5vNQP8`Ou^eC)mbc<~w@3O^p*TB#>~d324&EGq!o1HfsLR;Qb#BDZV?0&4k- zFg>;`TVWa>pEsDEbx3XDG~MRrnByq<xJ|3ihs5Tpg5Itr5Vy-K?Av;!OG=IYJT~hm z5JPt!Pnk!_*Jrzfo5L&B{>~mKP$)UFR95NpVIv3G&^z-C*CZBy!`&eFop~XNO+217 zAP^Qq^Q{6{K@ACV<e)*#Ykt*5WyjAt)E9<nKGZO^-pAuDO#yFeEm=TyswO|d9EW#s zphAv!zoi$JrS-EzC7DV3dDw$Jlc-#zq8=(u5-VQh3(zMZ&s$&$GbN<PZd8FidFH*% zUoF71@`{?P6n>QoW)!gUb?FmOJX?_pO09`ob^vgdy~nh3TJ5&XK1$=eJg9Bf$VIX0 zk|-qJ>oRj}Ml6w8?<*YnjLoNGl8>pc>v`;I%DW}#HHruUGH%>+k+s3i6jN&JZ|@yU z^6)mvAHJ!WMu(o|v24D28Ul%NRtT&v>t|aTNLQ%rHu77)3{X>AuFRoP&jQhwA8r7l zVF}oK-E0ToKGQhY`=LaxuK8gka;&hp?a+3t*&Rx=J&R?TgfREkJ~Ay1enB71Jk*uH zxk%7H+``z)HfuKxIj_7(QEOG~bcHq};3KEm3Y{qTISU*KPzWN7dU&SRN~_0npG<^M z!}acYKyT6yUJBfJL#YX$y-wjEQosdM{4N8_B*crS*i_XQqjIDG-b@{rP1gN+PRoar z8|*XGjt{6GTduKQE!LE&sfiaiY)vWQVMBXXzX)%hryhg-RyBiK^QXxp7$$<^@c4vx zPN+$jBkb)v<A~;YpPni|!msq!Bn>t0`f=p~Tji5`Oh{*PzyRUl%ey@>_e`LK!6_<G z0)+`kT=b}W4DWbZx$d<1(z3;+;gJ5~b)q`2pF}a??%MupWas{BsP(Ds{CQn^BdM`d z-Ely8c51WRZPAXzyD=4C3#gTcb;9Yv`&%dCsI$47%6g8BipuvdL?nw!aZZL9Vb;6k zL}S}VmEc={xr`Yl@=G|FcS`^gtsMKy!$SAkQ@)%qA3Cd5lt$LR1{k4{;(s#P{nxG9 z<m%?MlkoQfVpgj+M8%?(9b<urmG#=^7}oR>r8ym(b636xbt~(~Xm=^E>cT@Xg{${$ zeYQP$rJpaBbR%V{k8=n(l;cqbS>did8%5Jkc~xZ?hOOAQ;(iTq3Y=qtk2<Zlww^)E z_FuE}*X(XRi?h3`@{|UjiC%U6HB*1hCd6=DU4Vuv(E1q67wxRRviF&@D)0|F`UCo@ z$iKISk-(>-zjywcy}#xFH163`Y*zt*v@Eb^JJ&T*<zGcr693V@+mdaOetWAwe9~tk zg^=o_G_Qh>Wgl1aYwk?(*^5FA<kpMD&)_%9PspwMg&ErZV~A;H;3HoDsU2kSFr<~E zPT$0WIz;5cZfvzknhFwS6W*c`x0EV;$-?fqi5n=YA4;G1Y;&j9BtRd`s01Pu%!tLz zCnTP}ezE?d9{4<$Rv@Bke*3wQxYyjW`Bx>qY<_sQqqo|8v6<!V^c=dXId{$!8)jkm zrwWohOH@mBlVq~Ix6f#}PROEka?L_`4T9-l;7}+QtsOiM@9=2LTpWLh#Jil!!*HpY zV|t-aybw|`6)eIQ4NZap>_zu9Idp=p_;BB1zae24&9_<04s%tE7L_M@dVxCE9hNrY z)gkUI%0g*-LA>hy&<B2b-Tv|vqB54=KL1W*J5B=>M;_!ib}E|hX@am!OYJ^xVA2G} zVp=W;Z!7H$;#`jAg#We}wIcAQFD0y6h2M?XSL_MxUf7<!Haq}Mh<QpTo$$5^n&|?3 zM2Gm9W>!0`SZkd02^<dY6BoaUdS|~wK%oD&q3BD4XyXq@B+|iYGrG`Bpi!TSUU%U+ zV3nWU!ek!~s{Mim!;8s|KjVB+ly3J9jhCBPHiOZygcjStA*wOq6hgBS^h#D~k=sE; zpjU&I^W~;!Ayx-k<Tx=w|GS*8IlGkL^it$3S_~Ekb?I75FT2FpvNV!S9*<MXz^1 z;357c6o<%r5N6P;fK&&rwFw#JmJC-9&*G$uft4d`7Fn`BI#h(Hrb#VAN88`uBN|1G zs3vVjS)io2t*xm?gdJT&W+MO94`tm<t@XB72t|~;pMmES`6aRpb|+t9r!mi|5qCeU z{J^bk>GR$TcEf&lPE9)zs_|^I<y^QC*~bkVXIF$?(SF%2OAAh*5gFy240oK<hF6Y( zdO$S;Kk6K|;LQ(ZQ;<C38N7M}tQuNGhE}e>TXa1I2bfj-^|*IzHFkXn9bH4)N?f*h zOt7&BSfm7d{Gt4hOZ@&nJx!kf^ioA3-t@1pICT_1a&lYnXT&u;GU3HaV#eB}dx+J+ z=nN98irU{!$VB`4-phZTU&6DnO$sL<Vv<*ikN&#wt=oIgG^Zdax~wcLcHu$*09ARu zh9c`f<KD`=t>&;>cI#94sb%bK^kE94<XexEnPipFA*NwTXRfK4jc}EMdKs}D0}Vn^ zo<$x-S?b)^gQ#0e@Dvzwv%Y9CmwZNf`3yWgAuFs*-zMT${1&PQy!XsMyw-EE3|?7Z zG6NTYse$6J_h1cr&_DwPjEg1G@;Zql#BHFEubuna7k#@8lIGg6M)ys|D@H8r4SVlV z#btM4qOic0d3t?9++{75Whm3}X~mf~$!~ozVXl{{Wgv$DSyB;UXvyb{9bRdIP%ofq zp<5UqE?Mp{vzrZ%*~9L6(e68XtnshV)|&KYfEt%Xz<m~e#Lm<C%-}Sbd#CBz5Bfbi z>r0XZ<xcrOYk%~@C%w9nmP?=6C~m&t-JoYxL`+g^Uo-fb!_;NYlED3pgALF9%6fgj z^hVJ<&!6JuqeSJ9-vNfl{lDdZ@*F(K_zxjB!Uk7PIhI*IjJxQoZWS$1tv{=Wz8wqz zP%h!A^MMuEr1{C7^`9v*Cr`6QAOC=AzI1EH`?Q2_oK;sT4AeeitW9p_9v8g8nTLDw z2<e-ed#lB*yDklMX!)s1xRyqn4`?leHN0EZ)izL3ZdG(phpZT8P(?>P#_mWcP*m&l zFw0AgS#Za5=c&<q+{NWw!+TYi4Mz2VOxLYG54^#TV~l}k&Q)Db@vsL2<lOq+os_bU zy;`C00&P8=*sgX0J4sr>8E06v!m@558f5QyMd)9|rp3l1N?_1SKFg&{c<VEk-TzWx zfB(IbEETTu>mImCnF%+I24+qAALlhLVwD=;?~oH|om%k6^D1$Hoj|Te!?ip;011v~ zJOz!|pMsy%>_9boM{?-8k3_ADc9@2&`GgB|poZ*xc;)joR?pguDuqt-aXV0r-fjNt zukrqCR6t`rF-M^_7lrbl|97LW{d;H1)FbvKVAF`b>}3TTe@vcnn>c{{hI?=6EpA$R zhs&U}o`JMzNr=&KYU4!=cJXX)$bdM4(&&E5LuGm_7?v=QrY{Kz7}tRj$r~y+-<lgI znoe_@PAe-S9^Rq+U!1*lSXEp1_f2<qii9*scXxL;Y*M-rltp)!AT1&Y(hVZrtw=W# zN=P^Ou8rqB?|nbd?|R<rdjHTp$9K#z)?90QuCvzKV|->RJH$zg%AeOt2yt-*z6J60 zMtu0))C&!fdJD*5EA<C?Zy$!78#MtNVN0j1>Gy-vuUVrqfi$D&gpwIgmEj8As~ws$ z?y+FmS~X8=Y+h~gX_fgx`o<Rv6q%ydW0DmT?(~Q&S}p0S8Nd(KAs*y@1>vz|(E_#m zAR$8R(&ytgv@du}V;j{HUJ4ive}fqIvXu6*B<ow0aYN`EQhNOmGhDnr(}??Dv+ZjK zHVJ*Bv6fG608knf)5-am%KIy_DrYsns$^SaEw!qy)W#9`75aI+`Kd`*=@M-;bC8sM zlD$sH7E8jAA#0Sepgj=(E~2puuiJUijm~6_Z@oLW)Oks-hN*)Ey!jm#sA2ueH@#i! z*Htx}7y7Jgab}$h+j1|gJmuYt`|a|F{TV)_rx_Kluz<(0E(*u3_o;TrZ|7kbcL<Et zH?)(QiQlQ4un`RNF)*$7uG&83<nTDzf0D4DN(EV>F~IFkSmvI{S90M@c^kdtC$O4+ z?^*sTL3vjo&cvtZQifViHsOc5P3{SDwFk*{TA|i^j0c17Oh5>838lIz3!?XV<U6(N zQ+2@u0X%?Tl$r2sB>#ob7B<IL{ieN2QEo`k6-2S*4)uMGj|quuy*7dcP`R5XE|vBz zA+`&wqTKz7jg)(07v!<v4qFdaQQq7Q<!L|QsDo9MH@pi>8yVtV9>Y|6L-vt}7rXW) zQ34Mr!%V;eT?r+zJJ|tPg_ut*xRn_D16n2tK<gxN2AO~rT4<f55?Ci$BA|8+PcHax zon(ajaDMaPzjcy=G1?D<GHU;nNn%UooY+0___s_lWj#&2KIz}e$0C%HyN{v&tMajh ze@lH9QZIe!;9ai`)>PWAq=`RC1pb{Z{Tr;4^!ayoz7hWxcIhT`g@xb(=USM6ZVKoE z`@wq4iTG@^gs4ykY~j5Z3PZ>&j3(}CKay_1?dm@6OAsM8dd&GFFhsTsMyE>CqZkOj zKcdZ$wsjjiSDj}obuc+1+E85cJAT%cz<yX80%Q_*htzt@%kd#IS-tQ`SN1{o7|t_P zqgEEAHT-d0ajx3*)K_89MY57fw;p%!3H}gGVo63~OLb@JSxw0MTYUbKkRINaDI#)S z@Zo;?$B$~w)KvV0x6u@27Y34_R;xoY6%8ew;x-W)JPg9Nf1J?NJ5eFiDpx8iTZd1` zA^|WS1Zm44LeQq^sl4Po+n_xSy27*tBgOboHOjKoxJ0If#0jh%-%bmP8#9r6a9F-N zDa*F@u#Z?V&v;3JE-&Q)!70<j{?^B}%q{RYLp#eslf3<hTH~Z;@deq4r4WxN>ujZ8 zM(R0?`llT%`*PC6gZ$qDGdyrf^_o1jQ9v#NS@KtT?Z)`XNI!e(=vF6tYDyI!^cM!( zpQR-{2|Yt}g&7Ru1%4+v12pn(S)s|C2HTA96Xn>RU1-|UGGD9A&siR0N$zWn4(T1_ zIQ5MU^{?QPNA9;ofBG?(FYts(h3vLy4&ORZ#RoBqD%C`bZ$qO!`jjaUkwIzQS`7F; z{$9U7%d5V+p~y7K_=Bl%su`)>*QsCg2|h7dUVn^2nEoKasR;)XN^vG1nzL|htv>B! z8h9?n_`&ne5%$9!IgG%TU&03k+`Fs??T4#CuxOHokt?ih42PLr8Z4TmO$%AlV{54p z@ECb4nsnL1zRy3|x%C`AcKjYQ2^?Y{faWP^I(!7HCZ#V+?yhg*JnWhL?FjT3XCqK9 zUcFKi6qB)*k80YhJ!&%kezh>)#JyAMI=?XAV09;V#+NH^FMr9`a`j5_mvJMV_brNx ze2d06C#t}`a^BV2?{637-EO<X-`WbFEoPnWG;K)91^PCD6MiS;)d3ghyPFgf>b&Cq zc(3~}Vgx96hf~q%9F=>kYXfBCy3dnI5N9C<1cNCENHlFdy-!Upqb1#PqY;BYxm!N1 zz170#KL135Fgsy@Gx!eNzI|cr)x5&D?+M>3i84}t_%>~iTfA{}8S!c3HF=1`Q=Hdl z-Q=*tf4N5QX704+e(w&TC&pSB`OSZXal@~EBjjhIzTCapokwKm!v(v9u-}38WHz$t zsM+9S_?z4W82;miUpOKz{*o(~bm3+-aiMmJ!J8N9^q>|ZwQ^a1G&(ia<;hJ3+A-3P zw)?j(Pfr@qPLYAy^|%eWSiUv&bNyd0q-6<ARe?(14ZB-Za>1f+^F51GBPPkZdO`Io zpZ%>FWGZpox{@U&*!ntA>ap5D1r1b=6D1`o-gKfki3vL9ww=j5cOSoafpg~3?)Cc5 zv?)Ko-94WvBOC>>p&M*`qpb7U8fI&6deg2z{`RDe@HtWLR2%;;9{=jQ%{x&u*mzeU z_29_ZYd-Ivg2k(i!|ffM{`ekW@lp5F${gRrL*!4&9VitZRPCF{*<a#b7YjEi9K1I) z=BkzmbhZkbZ+R7z<>`gQ&Bvl-nGyC0r*6pMl{^ZE=i!7!f5s-`Xv*=^&Kz@jQVXAt z8bMhj!6c(|%v9lqTuNb?b^2)Vz$m*o8i!{zZYZg-$a@ZLVG@hc`BNfT+c!LjnJrGy zU2zqPTA3eJ(K)vFhvH!BM&84XnxOT8xrLZUr_HcQJTEZhgXW9%VQtx~tT-Snr3ALW z!o$JY4v8>z#zR;fo{kF`woaBA-74)PIQ==I#CR4y=s#d>T|VZ3$$?8S`z%FwW*zFw zpn0lof*{)oZ!3+W9U;x?fq4_wjtl<Y+!Rh0a_y-aC$S83U5X7g6w-hP{77Tzq5k<C z)($hl-rOXv3u`8<rihGqW3w5$%W77PWx26CLtSbwT0!Z=3YtQ@Z*_NgDLB5}6Lau2 z0!w5OeB&SNulty&fy1z(8hK~;W?ok39Mx|p%6w8wsOk{x;|({*%msn$vMplTux9+q z{wLV|>oe62?tG>rtF}}dYFA_dM<kKPJ#+o@X>0(CU(jMcglNq+kq6>dB>z^kIo4@7 zqhm;<H&=u2qM8R>TP-1U;;ny_aG}#arxS$>rVUFi6ObKWb1l6rH7C~}k@s7!dpu9E zu7(#dGC^4!oS6*WRG6E08k3v3<Mg6-qBWFOe@|;^m&!}7f4Z|6UL9|ODf%lREO+d? zlg=1b4skc17VjB`D4q|WZXG8tKw}OjnV#&q29v0a$xYvB0$>tNY4v+B2|h2m`l%fX zfK)xdi9kBH>mEX|&y`;?Z^6J5FItimT2bgwY@H|#V?6d%?3K~VZM)L)clST*M2)Xr zE}<jsZ9d@d!3o|Z7=4Wrq?L6<YB;TLZX5r$(I}K?TgvDe5ku6p5J05A;@$eCuKBBg zT4>uIZ!AmsNP%}x+RtA(>mRx*)zQdp+JKd>YrL&!M$sx8V~WU>W}!t0ZA@gJ`+0CG zoav9Z-v?uwdE?FPE{wsDH}{`mS7gwyZ@&jHO}tNLLGg~PxxXH}q5~?v2F0&X@d^~Z zuq$?<VgM-CLd7#s<iW1MWdOyOpqK&`kI0&V{xhr!A*kvLsvb~vADR@a0s@Lj4M>$j zA0538Y`ri|AVQIP{i$xA0!Hxqxud+TNNsc!Qyg3@^$U4$B11NMM4g;<Tv{ykA^8Y7 ziWSqNzx)I1Dw7Uhy<cBD6!}nD#nWMIDBwRsY17KgkRW{ZtE-dxPzZ>XcJd-RyW_)i zS7Y>z`o0+~z4UAYt0p<R$Sx&0YSEs3MR`M_d;kHtRe6BYo|Z};dyGbMr`IdeK$LNb z&6OX~etCkYCnOcUAMrBS+d{%TNpikwRB`n)>hyhY=ph#7lX`b2iPbjAka5Kg5qod( z<AS!LP-JI{CzG=;lL`P&sUYNvLuM#sZu46GGV4RRKubY+Gxn&dEWYM&PSl2K!37z1 z>!PlRy`%mVLOK_N>{-ESyKQ-i=iBJZw+D8HYTttQU)$aWRE~IojkuAVXQdPkEJ#PE zBA)f^lU+$aVL6fFyzoh2hI6C4umdSEvLNPhu;?BLNKbohtbikxl2Gc@pS>j2nX(oz zG<x(%;@NXuNrJf~kWML_U|1B1ERs6{OJwP73d=aySU4~Y$=d4Z6q*Ph;6!rLVBmm} z_6NhVX2Gy*Vn}C{cra|iJs5^z3WgDw#=&M6(sIC@dV*5DF(`dD1|=U*N_7FUNETY^ zv7(lS>PZld++;d~e#LvZ({(M7Rq(DaJgHiYDPYHf^va=!Fj8D(F6&)+xsw#}8LdgL z2kixT6!z8#H(4+Qzl&PYXjfxT5D45MTB8#nz@;eb80y0vI9dvMix5c@BYn=(BCsKl zXZ$pEO+&_`RE(Zjo?^rk-3$+)h_T1|-u7c7YV)NFta6An?o{DTx(bD}tP--ki=<K< zJevZwo5VRI7@w49Y>~325$09;g$|7W>WMCgM-h{R^*t60m-cr-Q~<-j7Q!W&P`Has zu>DkUW84tbnM#hOP1tGSB}2uM6^T^h@o?`w7HQh0=_-uc(HH|{!Byw&=xKthKBi!P zHwdo!bg4E9Ty>tbE-rXfzdYleq&1CR&(cw9e0k%R$*yGMW}Hn4bYc_=jb*97;WU54 zWgf%7l1xk$L(n2c^WM0NJdZ!7Fva}fHn;hbbl?=~LNxmi7hq~v4EkCC*q1>)&^T?V z^Ok=UNg&Q0hwY{ibQS=KFF+^q6wMRRiFM;U=<QzZnhJ3%2)IU}nAH0Mp0Bu_xyZn} z#+j#ms2O`gbAH|L(oHwU8^PKGc48%!<$3-EcMXDR$_14-(LEi_6|teO!^uP8*@OUE zHwj+576GfW!7m5#J%t4^8f=E_37O2b&m+JDr8HoZWGwB6n0?_N0u*RvD1^Wkc&!zj zF{tegiNkxINc|EBo9@R6nQ_z<*r$G<@Yh966yBpoxF--e-G3FVXP_zIA4SEvRS};? z%$EDkZH~#6QhQ}V;pNm@MgT1`_e5>OPmHtQvwk|d6INFUJiz94FL*7`=+PqC^JsrF zTpC@?Ca64c-H`s#s_uzfm5yB<bf)k;3<8Q?60}Y5)na>a7xeM_8n_C}$>{qGRgOJ# z1@H4VR^2)UBU50JP3Z9beA3xp3U>g+e<I+=Ih9D=f@p2wCMstEa3=r#<4iPWc&wyh zm9_ak{~rf;YL<88SONovHl46UZ&5`8h;qTwS+h8x2X;rH5<@`3m^=8ATzZL;bd7=~ z0~XoOh2AYNW$PCB`Kqwx{^Y{t%d0E@vzxJN>^gqC=XO<j_vib&KmV4g2C$?i?to>g z5zm&)f#>WybrZ7OX!(4JW+rlP@(|R2FWpgzf4LdhA2`*wt9!E}o+oH5G730%rhOl} z^5cGb3*4EjKi`?1mA^F4HU@G`$9@xctc<dYi7t&FvS-`b{p4{(>92Lz*beOz-_hT^ zSiv^`y)7@E(=HU?`S9xetR$cK^tpV0KwH370MH>6aJhNC=t~~pH#lO>&b}j0j(^=~ zs*C*k>XmzYvyi`kgTeT7DxxNS`6|HwvMIlj-yZP<!++X4_`(6_+VjgdoEXhcn0C9w zz`Xbzh<KykWryjK2`_Lj2He?+wi~cN|Kfr<^%lS-I0oz;uT~RH;{zZ;?$7wUe-}Cb z2Ch#Q56<s;N^bucncS<F9{mxjTM2A&;0fHQEHK@vs}e)6E(}4PChU*hx(j@8<8C`q zJz$(3+xg(=EAG6Tb<5+lf2uE_(s2JAWGn$^k)4B-!oVNTsKut=kaEve4CYG-Dj+Aa zf&FuixykF-XOXh)KQF%ZOr>sDT?FyX0-j5;E;d5@+5^!8QT=Z(ed}VCsbKYrOS<fS zFWXN^iLv%(Q{|bh@YV8TUoK|53XDvFKm6BsjcF!F2$=F~R>!|Tga^+3k1gjl@czHb zGiTXma`o&zx9DX>{l8w%^)+5V06R0Ccq+hO|Eqtev-`uZyX8iA^)I&v;%bvV0k=0> zZS#K|^Ui)%87JQ^nm@6f&3|&pPBgL!(IMJv?t4!%E4e}2I9oQyz&Ur7#Hv>S)|A!w z=+QJ}qL)Ql)o?<2la3|aTCs%4)-}sF2Ey2QS><Qj8&0}&&bqvf3!+N^T!^uo+hr%O z&tg{67cU7@hZ0yU(f}-n-7GMfMU{85t#y^p{`EJr==O!^#a^@Tu=C|$ePEZ_!7Ep* zsvGryt_!2zrLp_Gf=>f<OZRE#%9nkT=k~6kju%b7bQeOPBmJ*KE@f`->@h;UPcFaW zgO#Q-(EjRpd(`FQu`iX}yv4v)X(@}S5fG(};p?3=0+I?zzaqG{!CNQ!Ewd+qqhkm- z+D-&VtKf(lMD(Exp1Qpz_1IeXuU-61P~_aif{9zPSObnO8KI*O;E10dI+~&aN9Wk! z=n@<?J%FQfEFfyC5fLsj?qYM)6~^J{>a&|nfrCdw88S~QNH;WWf0Y%lkh$ax%Zn*f zaGl|-os*}dd$huaGkib#`;Eh5L{M!}#5(uID{T~VZ^!7@F4JY7LgQ;W(#9IQ=Tlr~ z1N9Wy>Nu`5a2kL5=cxL=egYdHcIlH-0i_=1^iB5513&$nZ$;1{edL7R4Stsbt_>UU zF)hZQ19Do7j^$)m*;YiyO~GQO|2k6-ui;?%wt+ehx%w$X6c7YE(M>WX$3X8_9{{G3 zA<r#en>svZjl*^Jx(WGrA}QwM#7TQsq3?NrgxWqkcQ$QF0Dr-C#Acn>Ju_wxv-;2B z?)kv7mcZwEbKPpb7QK_cJ|L!y2_uKXCkB@xGMB6uER#qxVovW>!?WtG4h<mT+|Qwq z!w}Ah!0k~Bw(PBz)~BiQv%vNDWTihq1Pc_}@dOKjXC6|>${^)Si7j0TEkKf$ejl+W zvzoXUu<AC$iA4n!qVtgPiCn5em>+lx-Y{g`u)8hT-piG9SpkvX6J$fOObvdx7WS^@ zi@%X_9PvlJhn(94C*w*-B7k)qj$j?fJV;b)atBKpiZhg+)ldiH_Cz4aVUXjIa5^bi z^j1R!I0#L@?}modWF!RD^2nz5y(k-yjNj@8(&g4=)OLHJl@T9{wG=JYWBbBH*@*Bj z>J3!pzFBcXh3dTR4b8tiF-e__Cq%)>Et!m?bK_*<-`<~O3eHP^YPK*5$;<ip%6D>U zI%mQK+~+)3c~R=MZ*)W#g;ErzWp7p(c{|*YirZq$4Z(6_*$M_WCGZaQl`#l0GQEYs z@Ozi~1kF8F1vyBzaLpe{5n)`=<PTtK+@v0rxfx^l-G#S~!aS@$G$)OURhpxG_NwLy zCU5L{x|wcI6~mP;Hj=Z;HVKwKoXlaUt*4Q<v##+y>t<?J&8jA)=qEyTdsNOpgNkYu zs5qbkf2F=AP=c)lrO*FNO0NZ#hEJpv8B{oHeEx==&cjC9ZrNY;xCK~asXLv|YJ(Q1 z3qGvzd6(L{8C1#!E$%);Y*G}JGmNRdR(c0%CN?fxF+Dx#!z?xu*&`#zrTv*hF??t! z83&?g40i1hYpRFQn74rXm>1{0G%O5}h-_+DvB)3=Z`#9Sj<J7;2`TD(i{94<;wLWA zm))KK(Yw3ku&10FPQ@`A%!~{{vaJdyomC0A&pHz!7+Ocs1t_nwFau*mktsXc=K8jc zekS89A$a;E^KZH_EekO`*=+4okNHJvao)I^@~d_?Pc7CF-xm|05cs9Rh2ZX*(qc&* zfph&<ahbu9ZrWk4Ieg=ov;FxeAqIwo`{)9mcl04&lis2zL0-_5rhQ{B`>suP3lVhK zPuzN6Bc74k|6rg76<9AAiXxm)6lkXS!n(9)Xf&-`jp>E?2D`PbxC||bbm&Wg50a*S zfhu%p6JpUa>p%--GNXtm4PN-pGnsd8GtcqDJzwbP;C-M)VnA=!-WODk6YPUT#MUi8 z_W^%QR*3jT4&Zm_p_^L0ani1&eqwKRhuSQm5<8~PMzf~Z7c1noWH8tnD;P~?-iD6V z+Q_b_vjOQB$1;~un7%ThCXPr9;3tVrBz-UV3fEn0(-SB*CJ{R%w4f7XK6GEB@hL%) zx2Th-YcLU?L+Pk*E*@hE@KEYzU}GP0BS$h;7yB85jO(-%yTj;&acxyCYnV)w%|a+s z?fyHXsG4&>sf)<Q#mK3W1E;IMT`Zxye^MXGIL-7$O$(O<0{P`T8#MBsDpDs+q)&a@ zF%LLSOC!J=CMOlRPK(%DFE&2?jYx}4vq2S02p0j8aiC~;z<~~YZ--ae^~-XBKVqxf zd)x!n%gW^fe3a^h^8OHR&0`D&x%yYF{(D960$3fi+_82X58)<!PIFGA;qlQKuzbgG zPQ=*|4lHtceG;&o{|Qtzbt)mVPv{h|r!ckz3M^9-2zclXd<t{z3j`lF3f!&3gCoXb zwI(wS=EI37Na@43cVk2Q-3gJ%_`H=RAyPKPMi=EBlsp4w6g&xK9Fx`aHfUt{Ol_03 z=7$Fbf+t`KmGEHtT$l-zG*kQes^3176u$100`IydVt4#y=9;gI*_#WT%+bb^iIIT> z2s4F%(^fPBe@5uYO6Pv(wS#zMLhk0~nu9$me-lBk3*l$X=bU({ejntK65ksAvKsmD zY<(|`lEJ$Q2Wy2nr$;Sx@ns&_mx9dG_$UWo=e4*<@4W_8DW1)JGqN)5&*t+V#Ae)a z&+Be2OTUOGv1sIP=EmI^49HAR9NHBEHxFSI60Z}Cxqd+?u{Bp`(nTCGzVBSo7K!!W zSf1A@zA9}Ohhv${D~gJ{AgPILnArYVc=4c6E)kG`$h81jqMQ-Sj)`2jr7eRy5PUaa zpE%`daO>1a`QmqC4qE+AGewbTf2ZX^9jZ5&NQ!wfkJb@PG!y|Q8ZErY146@p)j7pY zQQ3UufN|_al&a+2-bOTqj5;TJVZxFWu@6UD!t|H!>C4l?^f$+HnUMt19MZeC`HIYZ zo4d-rd}+e><#)_vKfjG3TPmJ~Y1}YMSj&qT$<3$7qI#nOHSn{#q{0@W>9suu&d4hh zU;exqCr)T&xM>gceCXL9n+Hbb%k2Qs#XPv(oaUCxjfcW6PvCFw*jTDsZout;54#N& zv4I}L=BBFG>7T2PJI#py%Z>MbtCP{^Ym4KFYu%}p+x5NWyWPk-eLL6j{Hnae32hOs zmBr<r)!Xm$ZB!GhUl!+p9%-`&4|hTFh0H<z-4_K0m3)EbY#FB`k$neaQ+xR<_Xi~h zm`1@Op-;R`3tlhu|4BVma&8Rts$hq<hE46Zo5bgqCkM2Q3(y0{(%Rmv`umqtGesSD zJ^`YCj($FL5b6KHXTIye`UUL|+l2Oqjpx5!#r%6$EeGuGV%<$9v5o(|iC*;Vd2&t7 z;c*xChVrB5%To@|0Qz>mtxwlGF54?FSMQey+nVx!OVp&dRh5-Lxwh1tFdm0?QSqI& z|JhZ%Y>GH(xOXv$QLR>AX745CY0dtQV$^nX{raaZ26^A`uYySs#_#`fad1Us$<_5s zA^9P&X0mZ*0i+9wHWwX%{~UmaoaT~_x34F>9VIDhf+iSFC<$~S#J{imTCKK?t>DC> zf>tPu&ki)}et#FteZ1f04NqWe6m#9iVQu_&Iqyo8Z53OAU32}-s-|zo*by|;`vnnV z56*HgHpe1z2d%O<eIDu!tZT-^3I?r!Z0=WBomRrHtM2{k+8Jx-wk-<8*@z6C>KvIs zqrMQn+8}sXETWGuyO1W<If3Kmn^kfjU%)5O7?%}-iH+BUvcRR-u_BG*<Y{%Ta540p z^suF33A5`=FITPGylbouAT@n_kseQi`h4~v*_1EAc!t*x4mIFXZh%nef}}53tr$v5 z_aO!tiYYPhN+~{0oOFsHcU@7a3v86JQb+*_>nZh+SZYNrcL~L>Lyvd%(kbsZf>NNY z^uIxBK0;%OqlQ$IY3B*4@9`8<hM}4EthPvM=3t*GG6<UzGbPh~6e*x{7$5?JPm)sJ z1G$y4X<+&%S}FC$(DW}A6u+v?$|`|}$7WJosOVduW8%`!rlt(4@M)#dqWP)$QsL(m z$YOslzeQav|HyhrDv^(@7q=<FkJinm44)}mn704p++e&~)}K_d@O~G@so~qFwin#* z2KAhU&Ppn1j2lArtjm1{7XV{`^0&`tsoH{HLA3=Cuw{ic!dmh>tc|4bMGYT%%;@(I z5;+xUZ53txdTo*9xF3iPVJC|zed#mJDv|Tyt7lz)Zu##=?>9X0$Lca`2A@70MgQQ< zJBr?)l(f?XRJ<vr4XDsB#qKf_Hgz?f=#;rIQt!NTs2VieyM6=y_45rNT|KMz25WX} zY|5_u#CBQ>x~oH-@r%3V$h*(ZCJ8aEUyIJ|&U@YTOU^Oys)X~Nb}i<Sa4CE~q$@yh z4Ir8XpHV_X@jf3+Nrv|BDXrswJ|G3aQ38U>QDX@lh+P+!;Q&Ku1;CKGE->U|4H|+5 zPBaV;?M2&5;DgR2$HIF9YYqpzdHMwo4?vA5>UviJwy<EnQPsoA{uD7n&l07o2ey6? zPQNaPy#yOt9*ti5a<D4|%_{Y~+dSRw_<uePaitMq^0S1byj$Ov(NOZbBApt@0$%Ee z+BJxh$)9ej|1Ntnsq*Ev!vFfjhVR`wc6G$;tfrv1NHmkLMu0{pHT5!PKPEPmt-$To zqWZ<gmoHEJoa+$lC!Dp@y^l_$aEATU6jo(@5k5z|xXMREn?2-EF`RD$7f}wyerGjp zoL8O29v>d7gb-E%0WNXAiFSCO{O(75ARy5_)dK9^sDgHHn1Kx)*GgbR$At~p<MAk& z>wRWphlS$XkwARARyA~*1vm{ADiMJs_AW|RqYgVUun{6)8|<&xenZ^}M+JcVcG1(n z-5&qfPbdXGU>j`w_-wZlLu{4$_tRtN^$NG^V<X7_ug@;vzZM0h%0n0T?`PrR-^KoG z{~w?K^?3KceRb8Onnk~mc00z|UCEEwnf(5ob~K3pY3n&(ZHmpl*4=4Q|LyXI1RHAr z+c@Cb+`Jv-Z1L4^)vNYq3`-vE=r^Ae;dB8I*v$`uygxWaDE+ie|2oE8oULp0N{PQ5 z#C<a@t9<;RrCgjcTv@P>+MR%d?@=f{03x|F2T_HkXc+cUqI0Tg={%&cl|dwT#{Y!m z9{3l@4FQqd+)M!~k3{aozeH}%<Zl3o<o=&sApSW`lpZWh*X9fTJ4mesv&DiX_|OIX z_u4e&q2=YcZ;!m%;AZgiAhzA7E%`>v%(ywDu;rAo2*`4s|26>-Ec9)i|9<~ab3+Y3 z;1BTAMrb*J9RP#^f=)VXV39y@YELUUL*zL>`1I%oK0R)NPmd$+9tKD2;6o~7`u30d z3GG%=rD8#7^}o*B)c-QRMgJetfBC_aswLH69)q?C6o1XhAp-Khj{UX&^HOglbf&Iq zNOiu3L`Q9*3#+oy+mwQry6%}r_c(Z&g^j`I(hcyrwEuss^2gv!e(Y4xig*jXOv4)Z z;8GPyUp#&T(yHKT{J$RHzg<vVI(Q_o;b83P-@$umwhic)QTX^}lzp7m`uM(<g)snb z26ySs?jMde>rtOapg7Q+WqM8C)Mg$!p=Y<eky>`#dlvVs<(ONQInl1JZ)~TCq44Ww z{&I<74EmMG*$<U1;5k@=9ehVQY<N16D~x=jczJrcHBmm{0J8%bJv;dMl_TmDuSZp} z%ik{Kx2;jp{I8dF+4GD7k?qIL<Ifflo?Ee6GDl*rSGm{3n+03bH**HNEK!N@RM8$m z(YapZiLhR|RSZD}tbf_VtSCXU08CAR`s0JM0UiJ|0s@2g!ybvk)ke!U7%-poVD5Rz zCF1fm9yzcx2%W9sK_sc~9zn%9I4}(Nu)P9QkKEfePS~Sn&?)>kxd=5(-Wf8^=G1@D zh~x4flP5*t-6Nucgk33_nr$hGJwnH~wyvUpms#3h`m8fO%3ABaKTN58CzP-3$c_MO z@~!>}472?L6b#Hu90F76V1x}r$m3iN5_kWShlPCA|ARcdO9TIi{r!hLTu%L;<YD&3 zM+opg$l}&7GLM14UuN;H6bUp^ZdC7#->?uh#1VWy@DG~U%k;nSxab9cPY#T`EppgZ zVtYK|KNMo+;m0E?PS)3gxaG>%kF4W=n8d9Lk4sJeC(s2BMD_Ai04DB*1j{i+S%pj} z3rbA*>>;`7_ch#J#z^6n#6Eg>`=9m`a|>_f8H3uZxvf4Hccc@k+8gZI!0J~pYQR+( zX>zl0c+p}7I~Av)&XV*rSXtYepb0f<4Tm|(`e~W4(Af4DTUfGyuSE1#ayE?4Il0+o zv5lE*x@9@4%EY(B0T<+=$@A{d%posz?>V1)t&)M~v#tBmA0Fc&y~OmQkZRdTUeYn0 zYrVT?Vc~f|=ZByfc?07n5g3<)R3A6AH%q%Vuua>GFwNfd7RV)T!|`TBZhK6cFiZZm zGgxZLXinhk5Mz87m?a^?>QHTKIOuzp8EbNwCN=o|H3CDRQ|>uav!8nLKxz?J8zJvh z#$2x=qyOxy0C(w+L{w`y+4!_OITWqF$%MW3+$>SYT6#FNfgs)FGl>7?s1BJc7(ugw zCu51iB>MDp2G>xsn4%Rklu$=pbI*O(L*$X~d!0!*?N8CVGz1byuuStHQ1VF(-lGc< z2!r~BAQMnuuH_@@L@mA+BmsYGL=w{Pf0Pd_5x<jwJpkh{P$=-X7iGFS<t2>}`&+~r zHaxvHLdPAJ-cydtx>)hIcGn?{F)MkACr=bn@GxGn=!R+4rc|(Jirkqo-~l?)^aJ@Q zF-P)!c3gMb`<lwEfatGoVq;dFXWVx9)1q=Kl;Rq{7WPK3#mdkq$4tIby#5vQGxL?; z;isRkEd_FN1bV=d(i%qGwElN88f39}l0Q-MQIsODWHf~O8A85Fis7auoJ2q68?6gb z5_FGvgYt+yh7Q1@&z$vV;PUb9{G!21(_T_D@O2G7@E_K>!2dL{zJhxE2oI#gM00<Z z(TE4H>juVi+-d(DJ?)BjMxqUr(u>clU2scO=}=dco(=W$DF;t*<3!WgQ4d-VAQ}1@ ze^wz{sehIle(5-9y<UL>QjUQV6{1SF<e~0Ge88smXk0w&)0gO^R`sm?n-(%dKXPb< zB@{JGr}c&Y@x$vc@0gh`^?Mtg*5+T{aTfKXPyH$MqZZwa9^Hxi4Jz3k?mPus>i1jP z`X+t)IiVscoVi?Pe1t||7y(l(%E>5g2(AszCgkQK%(p%okXgUCqS5r@&rl#(*`vb? z_r-ITE!u*ee}BV6fHx|$D(|$cO6l7%cinPID|q{A({*D4JB+<%0OiAElODw0KTaH$ zILe_W9@PzkF2s&*xz_h>AA|>I2NgITD)7U9@tM=zjNN9NzCwdDx9l1Kc@O41?eF^a z@vfB6#pv|_&#QNaPyfXT*JSh%tHORf{*LfvAtZ4f9&8;bQ;K($TFW*#;{2QiIzN6q zro_KWV7tonN(IgPkH@(<S8A+RnFL{=sabZMi+804dU>Kjv$*US_Xvdbb`?@N{R8;@ zuu0-~98yn4HRTsxeBR6iNMo6sAAsmyC|=k>6^hmU^z<)Kc$(!ufx`Zo{{a-{4<Gvv zpm4VB&VK@h5#9a+D4f9p;%xsHP*|Dye-VULmOhot>Ei)^8N#M9!Nji1S|H!|5fr>Y z`gmx(aYLiesm80DN9SAlAiS6jgcpZ`OyAxyrI74&kcwN8>H$60v#v2E5MDgv@dz(Y zS+gw$;l+Dne>udDRN_Hicd&@Q_Y+-|p#!z;QY#4HWw6r2>-_}O<8ZsU!a_~CJ|uJ@ ze9k>44jlx}a@O9srGhSt*d~6kivH85+G;Kjd{nTCUP%u(?NhA=mq!sgXo>0JzOJnG z67t~2LawY5lTWG{mg;ZDlm-tyXdwI7QdRvAYcO6P>_*5W*~%ue|6nnj3PA7z9o&^| zf0Kn)FqF`}`nr)ax-A5KpQuQOoUs%%e?MTc$0YdF177(>r<1yM^?4cz&dcCuY!(;l zTyrO>A!`jyV^?!Q%p)Cffmmj<i<A@{6YX20HAlNX`?f<@`@tv-dNd@&=H6_J9zN5x z>f+<#ftk-C2Z_U9?Z0Nx0>3x|6AHMmYLw*B0Oh^Y<H%M0HD434{t0(KByqiJ#dW(G zG>tn5OQ8HE<c?y@?g-*tLJ&y*O#V3W(-q}j%(16eY*!*-vDOu7M#TDawTdfB?$~3` zv^Y?l1x5PE4e^={-+i|Ji77rXBb~}RxTR>!pShS-&d(&`fcyc;ok0zEc)n#A<3luI z2P7C=&;#dH%{yl_@g6eGy9Q8;0W}(~tKz;L(owuVbHn4?)|5FICrMODa5JNfHC!bQ zVnc8)d<fm-Fq|%r#t=L@H}=^%Q#59r3I{uT^1Q?lB04wm880iSIB~E`Kow+kZmKhH zfE|?LIM}t4=Pkxidwgu1Iru!K%+XWlItS4<rHHaHGhf<GBiWkm?%CjxlVn+%?9<f2 z)@i~t1ZR=oynWk?B<Djy+&k#h>2xOJY_T2r<&eH6IGMU%mYa*5BLGW-JX7#p)tI7_ zg>|&MW&9}8=obVqUEZ#vi4}Qu9~%&dxdBI*jM@B-<Wb(U0FUz0%A}X3uInws_Cn_< z{_6@AD|lM<ICN8MJ_|m#cYG)vRW-1^`14X^<49zAgM@1Zd>p4T=AO_s<8OwLW?`m@ zOsU|%HdM2Mqg9P_d|^$m@l}Rf`7B*H8Z{2w4Y@5jOJWFbjBbkf5}>2!Na=0nlDCC> zrpV(dWBw;KvvUv^Y(&UHO{17n!F?UA0cMen+Y11*XvuI(pQZEFpw8iu_anGx#SJ2u zIAGbraZ8@{34pl~I4j?SxfOB7?j~pVz|<!_&2w_LaBSi{*)Z=So!GGL;4nphya?jJ zx325i+CTgT+`DpDl`R%?v4cenEw}4L!X2{3EIXGaUgFg*YSDXv);<JUqn#MnEqX9Z zn9pF{_Bh^AXPDsPVTiOLBX%ys;K4LuJs}es(q-uCRK4$al|>CQj>N!>rW?tSn4W*B z1G7+^3~LWTBYi_OB!TV#2@)25iRRX}#M$XQO1_x_(2}}P>tndt*{Q&~yx~A<jvulz zsiEyw%|Hn*sPlXeQ?HB*KiPlAQTZb|*oBFj6(wYRJO*x(oe4vN9KXA{TOR2xGZUPo z-Hs%z{Tn2tf_NqilWSUd)pRm2o;x3=epMQ;pwZ=NG<t}2H81O!!dI;f+xHIcACoXz zVm{0RNenz=t%h`!v?<gjXm2Fu<|&-dxjN(wXBSjGv{m2!uswB{+j!-JzcgOL-&HYp zN6*Z)OV(qEiyrA{9A;m(-%M2Ydr4d;-xH8~&00<U%TB&j<MmEY;Y|)U_b&)Fnx?q$ zOxUlsFlwFr5fYN~8jH}<d`e!abINn<?>o)nK#>>`56*dA<b%fRfi>xKL9~g|#Q3|Y zoB2)O(l4|dg*O!VcGCk9t}?F|1@@V|OT`90lAhOClj?Phj{8fWQwm~z-<jhq63e)t z`DMpYRd|!I9r4Rk<c%iPh#B~5-E&gowYq`yy<z__j6{<NEy|~0;;7Fvli9=p%8>nY z1b|D*BJRts4+J5Y^X}%L8y5}&Bgc<UixP)B=Xw#3LjvJw{Z1@tfzcgU?h@8X5-}Cu ziUJ3rxFC0?Md(+EbE%WXA(5_Gr6;H6K!SLsvc^5_z~GQdih%gUvP3?8{&a`<L>A?1 z)ToQb7S4~pA9q@xae`MZ$Y?B@-f*Ez9stE)HkFG-X)s%6oC_A14N|+hL`u!kZ|&vC z5YkDKdOtIY63j_oM9z&1&e`YJlS7H)Bwf~vehSX%cn&>*q1{Ra@C4{@o#Vk1P?6*3 zr2*&6|5l0v({Z&j;L0VLM-WmgK)Bk9lcbY~Q%_?{X%HM#Y<&R)50n!tk3dwNs7}|P z=(yG7HzWwV5n_+oC|^EiyWFIPW^*l#eax1NB?%reP}~H(9H~>wZjUDr3DO9mtBQ<( zt_o1`esp5mJUWpz|2j$kI;XuJoor?ta1cP@Vn9(ICXSkPxe^hcL(Up7^Frt(NuGMG z&jACGxQL{Wgqf>LA%)pRVkQaVd~zF_4F25U7v~ZY0!z|UBYr0UXMr)W+fNTV$!_5j zK&O42ix%h%tr72qI{SBhXhA2@t#%CPG>AJS1D(<};t^11AF#VkMFK+=-2wgi;q4-u z>+kK6$SV1&)to;Z0iG8g7r*AsClc~j#94{?JD!Lh^XLC*Z3Z%*+g;Aaj$H41a*|J| zEkm9cDXWK+__{nKQkmaFE;g^r>*hyJsU|2kBCo6BPi(xo#8xmw9;p7zejB+yKcBjv z-JYSc(?7lK1FHDzV%4#m>e@!O=ijSMrC;d%cTG_B-<qJ0Fy1T-8?NWS_LmcPTvweZ z{UyMfptsMRG1iP<d>0`$Hz6FFEh^CmYjI92-2*(&Zc2QCTZe#1y^uP6PNsfuejOJ8 z?Mc(p_f0Z#-o(3;>kWVRhR^}vr5BaYIS=+>kBe%{5@GX9moI3!=$l>FAO8UXcbxwI zXVy1gd<Nk8Y28g<Ki6BkobsW#`|r}Axj(JP_ZK6oMf3Q+h?EH8w+C-wk#v%Re^3;Y z9t#IJx8+}Ta<g_4112V>Y<98PBUszJ(%839>K*V8_U_g^zr)PgU-Vz@-Oc?lHS*6y z7bIGrfz5nm%z4}u&6w7oVRci%@bWlRZO%U{A^n+&ls|&KzLV6C#6Ui8Jr%u$_P%FN zyTmu%pSQEjxp*;S<1>c2sgm0s>f&^!>wXNuagJivOrJd%IoWXW5%2ii4z#>Aop9A# zXmHJjtE%8T{jJmyE54Mvm<luFkC=a;kpFL^x9+Avc?yUD+rD=t=GPxH@0g(aV;C&% z_su_)*qKe^>gTPMDK_2oi~JjFfve8~{wEvv&6L(LJqTg^6q7D>nsRkNbE8Stg=sO4 zTF_nKpPUM(v5}qn0@EC$r5(pvS4Q91+om`u?Z|VV+<!EvcUWYJ<7=yto(_Li^a{Eg zq<77oka3HK;}ib9c=JH<>+5zF3}-JNGgh5j2_c=^O1(8DGrt8zTIW`xtMCt5Z|Q71 zF~j3u6`7uwr2A0mv%s0*o4cJq>>S$d#gtR-)ghc6=O3u#1J*I$#?Gr|8uZFvP=S9o zb#DZp$UKm;uRlYNDp$GXxcvcrU1!=pVZQ#N+;nGiv<fmxBv}xcCU45RGdAgdx;tTb zE9VAhDvb<o?lAu;Dq+fzQS$cXoH~_kO}a0=vS8v#b!pLOQU4R7yqE9tzR0&zcjG_5 zXiKBJ!N_$4gjISaU{;cre#Dftx^ek1?#|NQK{tyCrMzWd!Z_JeH`)IFsx~w)mTB`7 zRXM))J4z-VrBB=o>E1KsyeWjo@-G*Vfs^qfaodJ)Oa9CP6T0z@`ysA1LjGd@-byiY z_;$z7@R1RbOBS$>_l7B-w9pPx$7H)~C^nZ7-W+oPqLnZrF2vIux}}s8Juu%Ac7;(n z{@ozE=P+;DZ?Q<cTs7Rcr*oDZtwRyTaNx)GQ2D31`=$4N<@?}9)rC9@B`)X?C%APY z>(h}WgFj?5k?NJtT{LdY*Bh#O`d@;y)mP}>?)hZW#@dDBe+CNgyP*|%^;*V$RgcO5 z%KKT7r{9i@lPs!<V!6hd`V1Icj=&7e*$DJEc3`=k86rVG{c(<B4CS2p!`I0<^PJmR z6P(w6Kh0xxIy))%ogTTRBiq#EMyUFG)&R6N&S=@q-^R_%=hfh4nN8&6^Uc{Ou+N>1 z&c~@`#=rlV^!MeiUVbUSN*zK}+3p2g_(F`Yuo2JE4zuzPM1<$A$IcbVCyvq&uCI>i z%wM`m@QaJIe|ENZeR9~u542XsinZEDEc7jZX6y9sn@C_}t*KpZW^Q4F^|2}o**Nq0 zhll7p@VsecwPS!4kIcg{*PWLsCUxy|_;@1aoH=Xta%G=ca2xsCLgFG|N<gHLGVGx% z?3K@K^<d)Zb|^htGufqc<c|y6^0a}zD*=HA*!q}{<T5Xa6CKmvA(u~oR}_?b-ACCo zdC1<4t#4oDJE3oY`7D@ydUlbW@!o&0@vEz6nb&g-Is4z-ZCQlx*%oq@>oUIc23xzI z3Q7m)y_JDiXj_+Y`Zb328~{QM@ceijs=k9;<mr*3Rnom@u5Wtr)`?XEV$(?fqpmvq z-AP8mvyXNw6L)V3zA6Nr%Mb}={m5i|$y&_uBZIzz_HAy1Zcb<BFx>$h)9_LOvC7;` z#d+lmTISmGPV!MX(Y1{iw5J;J5S<oh-ov7bs|70BvZY23G@H$o?^b}8!aLy~^(u@n zrYWoTH67KPB<}nQANDF<H0$lTMzPJKq2yz$DMkB8ZIaPm;>imch~X#IAHhW?FG(I^ z)s(9FHb#Yie;t6_a@+e(YPn4pF3oJw)yBY+jc)oG8`pcUkS}bFdd#0@rjl7gGtv;X zEstRu%=nF*qq+&>zA(-JY)K<JFB)89^k1C!ITe34$<x~F*)=GhF(<e+div&L+O*c* zb6b{l*N^8<gw;yUFI$;4ne_CT)u{`UXI4ja7+0mfsv(~XuCx(~sx7P+JkV<}jz}#o zmxS>xJ|Cj=XG<+d5naT2r7DKyT>So*7ZxFP{m)m*`~BpkADLs<CIML~a`nsVkC<P@ zmgRqZ?8A;et0(y>y)1A2@fit05wSr@9hWt&PhLt1iDTtaKo;7Tu@Z+sZN`Qvi(`_C zKEcNn7O7(cv7S>J&7y5T_h6%duiHbL-*2>wgYcI1Psm1^-pP$gkNEB(Z7mEO<`s9$ zU-&s^Py`SA&LlSdGKT?fxV5Q<L^p^ArILhql0D@J5@1_nDG;7kNa7N6RDPiCa@?<{ zV@y{&(rkxslU1MN!z47vS1}IpU=nJa3Np5CT0WhXSLKceA0Phgw>xT6D5kLAy$(eD zfc@?3o4WLLUBtNf_$;y{B4bP*Dbe4b&KHd%3R=T?;n>38PZ=%(e*(YKp5XCcx?K*w z!(|(jE&k-84v5?lUFKgVAb-r~+#SVG1L&V8%siy5x@})d5!}MS>FFIRbL^9&+!`XN zFSa3GG?m$f@Occq_p=a!#p;GA^GzvcbUdX_+ArXK10Vh2KHN?K{Qu3DkDU|YC*+=y z4X<b%oBH#b&eduL09#QZ@<?U+J_zeprZdxk?N*Hx*Rc)#fUW24v3iTKYlObcN?)qV zzUcrxKc!vW<WbCEn_o(F`yRrlZxp%!4d(<tB#*)ARAl~b`Tn;_MQT!bQA=|BQ>^rG zGNBqLi+2o8_|7YZwVKil8JKZrVb1Tzgmv^@?Dp1nF<+(T10J(Xn7=a*epzQnSG^N9 z>~2tG5Z`i9@>qdwqP4fiU*+<(8jldUX7WW%ImI&I{ggbmtT	iFF_jYTpV@6T-Fl zj+fmSl$$k=ev~Xd3mF&QR+{gf{gTVNUfY?P?{Nt)^gBotfo#5)zcqKRWjFQitnQS^ z#x~P|OFEkjxN5QdFp9}7>r(3EQF=8G8dwi#ZXdk(M0M`&IJCDTvuMP1_#}1iFf>`X zWhw@7(p7N@#pS1mDKuF;WNcDp`X=xixRj@3ST*N)G>=bZDn{`eXw-H1G<EJ&z!2Gr zL4tHuLPBx<Ov-Swp9C#dFFgCw@>yQkRF)F9SOI^nQ8R~luNV_fXJNcM6HOLRFp5w+ zo8`m*W^n3m$9$yGS8=Y)Jn`()$zu5c#x%584Nnki+f-&Ud-P3j$1sWOtE9JpZ3F*g z_@9C_7fm!zp&0;ZivMN(pGH%U+MUTi8K(L4r@;j=dCC?K5;lwx@`~&041>0Zth1-= z*aTrZm%h%w$z+R13Darx|A&ywY#N@gOdfrs+cBi#`aq^O<Uh>JZ!!O2{muT*%zune z;PwkUXf|aU)-hRQ1l)liH0aS}^D^f}I5&4tZQg2FM`w-UaR+8-(4)#GWX@B7GKx)% zhILfd7!G$}ga$o|Y+&Z%9mmcNvW>rnH4xb{hUFRPqd|`>>yo*+#6kQ6Dc31xl%pxj za(n_~xcBKeddV_e!8gg;JG5Bsy6%m%_U;WGyCMnjKbaYQaL-=-oL{U2gS{!i!Fjd% zps|k+HD2b`&g$j-`h-6imePO>e-`<>p^;1^!@x!2#lC=Ff8#F=q4f9xm0`fkdS6_o z_dG&_B;B=F1r0ZNH7u&xi~z0Z<YT5HHO-EX<&J?YB~AMCe$d&q5Z*7`1o?e7%RMMs zACfA8cN4OQou2pNy{_(02f3|Q<p8#vMB^Y0-Mtdh_#lq0z95aCLvkFtiPU5E2w_ZB zNMTA7d?6oiC>`WzpN3I~5dcz$nNlG;jD~eKJaAGZQ3}*Q5>)8?5>jN1p)=Ow2&4|L z<e(E89w!hef)i4p6KtRpa-ODhbb2)zJJhkIxZbv{c?rdd<km4Ubd?5+$5g3Te_C8) z9coMN*mF<VIL2qa?7shqC_q~^yGhaao527n;uIK0ca!^4HDI`Z-@sI-UHO|P?N)R@ zU4^k~W_Ipe0n7D@z>)3euZAyQD&;*qdrBxwhr1kKJDVo{Sc*^=Y)v!n8JqR@Dr&A@ z*G|Av-F}#HC{{0NZ+)6d9xZ>e&&kyynRRg(BI06AKGZWXxQS%5FoIs&oa{`ePDL9_ zp5_3!J|A3{YfKgijHCDxzwOo(NppF5;Dxn1vvYKi?9sfg{i)&o8`nM0s|y9er6VT! zHz$YAud!C2Fh!D!ZS88AwO356FVb$gflJFBT6e>e!Kh)4B+pk1;H8a(EM56a3+(dP zVqYQYcD=S=kq%E-*zBg<nqx4mKxmv)A`A!Mx=_?7-pM?7@pDW@p*3ca`*GnxXO!rg zEKvAKmL|@sf+RV1B-y^bt*#Q>oOIyX1(_l1YO3iID+ZsaST8HuV9Mwy3PJbx={nMs zf5P4gZ5zIR7H^p(;(@F5n$#@ZY_%wU36RK+g-7K&>Y92=6cPHwihvj?stkrxfkZY2 zfU6IuhH#iKNGgRyZPGNSa&#-n;cp2}eeX9&*zmrDj0)NnZpBGU<8If84D8(vWDZ>9 z(TqZ_)zgouc39OK{^+Fr(FuRh76>EoD3?1eh&d@Jn+!#ZOp|i?ZZD>zDW*esqp^;o zJy?+vknDRfI-0=Q^)%HNF`-S1_BRhKpuEWfE8G|xwjo>c%&k7%LhHk`O%!Un%6s{* zVJnp(bUr6Icm^*~q8*o#c?wy|pGJ!cgdr5kQ_~$zYSO9hmM|+6A3Drvg(o%dl}b6h z!+*+?^kYCWEXm?n2PL}AjX8yWX}vgvZsj+jRLFNNFih#A!dthYk7y}=Ee<HCK!!XR zs$4|l(9QdSSz+V6n3`_x1(DRop>XVbRO0lqr_sb|5lI%2MqoBmwiJ33*|HEiGf6Tj zu9Dy3nR9l>vL|tx;)99bq9mT7qc|htQhqLk(>0OItb3r*SRkVjs**-5YmVTMN02M1 z*Ol=ajYQLNC$v-}ij#2Ii`oUea4;FKHK}n+b*Q_<@;FlL;*_KUyA=?ab(N~sdE<VN z7G)$+so(`G5#sOn;LyJ8FQxynlO<E2wS{nt(Wj8d`3v=$lMxJ;>=N_m1jA#b0{xXx zhI6J!Lag%VNQSuOWU0lyp24M;G0q{2%7LrZ9GrL&Em6#jc5$5qB#S_F2u^6tXf!^? zguMP_O*cV87=CA+i89TKsAQ}er>Q|Oi@!ZNjFQrrM^co-SV=#s_y(8GnU_<JQ`1B_ zbCZ)+VZq2MPU~HB3Wwbbxup8^UXpMbcS=jFjku@aDRK@+Z7_G|tdL4s<!_P3aLeIp znn-8Tii2TfR&fB{yXFv%oiMqiX~JHVq?kQq&t`iBMPu<eO|hu*$jcFIJM&7H@mAxA zgEEdxAB-=!l_;^-Z-)jlnF1a-`#3%HX40HT6%VU9vAOZHItRQL5ahQ`LNimB0w7Op zjktmn_qtFMhQpCK8CqBdL`ErW;HYME@hQ@k(X7-wI=%qc_A?IpZn4&<h8wM86Y*7i zKwU1r8L{`TQpYBEDM6u4m!~wd9i!RZ7Z$Ol4ltXXpeehiY8e^JQrMh|2p2LG8e~Eq zNgTe+P#Ltrj*lYXi1@?Dd|lSkE{dg~86E*nYJvdahmRbn%tnBUFg%=;I6kPbfr>m3 z4oaSIa8gycphN&lO`)Jt4httmg##+LYqFN6-Gmw@kwHO|MewjKxdMKg1_o}31jKkx z3$g@|Q0G(oj+niLG^6KA@D;_TKH$6aISfZ6?)mz>EF9Xa{xtKNQSpd9cj)X8-e>qy zMk`(`k^;@kstgtzsfqM8KrNM>+Jj@9j||U@1S(!f;V1`MSVe<Us;28*YeXb@IF?Lw za@3X4A~+fWeovcaqZp(O*rO$*_UN$@rWu}~xD;*-;biDo;cP4n!^85%A%_@``f-KX zydeo|emIek$lVNHBNEBOuymkDqOP0*)1bcu(<FmwzC=p`QF{Vl9%V4k`VTOVADG8z z0^afkGd5yd^T~TgwWaWv!fH#cqo!y@tghLJzG4`<+w5vf8=u?F@|Mla1S+O<-guJy z@$NVxe`anOp`w~j%4MzpmcjURa^7ImzL22vgNE>j@wgy2wnkXI)@;0}xt~%*AHITu zb0aK(CzJy!2cR+oDtlu{3cDUkPx}(=evP4^G;M>owc%T}Sc57iJdvy_W2CU_Gr5>a z;0DZaxWwbs=-R$=63q>E@N}%%=@Pwto`c=fdAK+(_wz8Q%689i++iteInM16!i+=t zB)U%wQDsbIz%-a-V46ZO4T#kT^L(X7H;$B3m}{_yx5-%&Bd2aENfj1vo9G`ptUK9v z`87rI|FHFzQFS#zmoOUKJ;5D<LvVMO;O-jS{ot-a6P%#I-5r8^@ZiCMyOTNOd1t<v zwZ0$c-m1N8S66rFyV89OQK$>_PU|k7>>K_1mG21rITgclQY<~|mMFq{C(p0Bc{-UU z&n=>*kVA8J4xMU!^|N=zf5d^p$%XCT_pSVs8OMaI*#1RTgTq&DUPW9P+$OY7dWP{p z(&6ESo<pNOn02X>m}X^RXKBrLF(S9x!#1<OSdum`+0v&@;kA{UX=@Bw+E;oaBJZru z&s8=AQ`W1IOP38yWlNHUniujh`*>V325fajs#tVCcW5#cUV9M*A8fcWUNKl%ly36I zVp{^N@%Wo6X|nQ2T?eq_h#|IV`M7@tN0oeG>%gh=T8JR;wFp8X|4If5?tfaJ|FotO zFrgiFTa<#^|3l;aN4x(=Q~a0ulJH;ZCE@=vWB<!+NK@xG4)$RQg_rhX_MIs1DDRi! zPyPT{nC(Bc8{5jlYd2<dU%PQ=)>w59){*tEp@m^v!%MKo)WNSku1Z?!+yzUfeDhke zketOM0odU^=GU=$)Mi_m1UsNJ`TaGG<*=>Lw&kOhLfNY@hpO&52JE|A#p^iwr;gpQ z1OAO9G)rlF587-ClXortnQDgF2bRO~pz6U1)6XkAhwAbvCTDL@=5j|HR9t}nwI!h$ zPTRZFW*eNm<MGeLHq74K8%7q|v%GV{^!4)3p}Bm_%vm3lIo(<E%N{#%5pgGhmG-jF zp#k3)o3k<~bNqGerD`+`iEztaQ4k0~nLX(f@t?0<$gb4ASQav3_P7@RI63CYfS5c} z;YsA^*fEADy3$D;jY(KhjKbZlxQmdNopi31ykSLT*WGi?Y6x$)x?4lrGp#WNjcR{> zgp|j)!jDVEy$t2u-BXd}M^>+%F_;e{r7MKS-CS759MWiY`Klh>gM@1WoNq#>=51fI z+g<Z-Y~W6GqA!0QH&qGx#+VI9_^WjZPgJ+Yi$T`W6-ghC^hlzz6Mu(5|HI`LX{2f^ zeQ_C$AwRB!bbi5v!PcM1ylkIyEo`#L8D5{zGG<9H15JfCZt48~34@J4(JI+X>UB)0 zpJ^NF7MdPRlK0%_3Zj8vO4*a6oeD7PlKzK1FzXDTkK3!(UsIt4)WS>}{Cd@Hs<Xdp z2kI6YU$vfdA)^5Wx@)|o#>{rt1GJOp-|unZWRka+-s}3Yj<~ejv<`@1?s(qxYAD5A zU>l}xwxv{{qN;~6E1j9&cj(Ul*-+lOy|@AlQdiqPyfW_0N+;$m9lBGm%+1A5gVd$A zxpI)OU{*RZ_v+9cc_pqd>J3uo+T30V4Q8c3<~$v`{k$7S%tqG*_+*7?n-OfhKG)xA zB)mj5_b!`A92Rc0bSys)@Dn<oS!yHaagCc~N9<{rt4WNn)X+{x16<Q4iP9?zQ7S*X ziIAv1k4C@z+}unoa$;s~Pwi+&=lVn;t4&}}P=d4BU`f$c&$@Xnp<qq0zvFRuBI$2E zz1rSc@|*L@`(V|kEzv;7hRcDOz8<B+z`N;(eL))T;`mDR$S1L?w6%)zcL#;$ZkIRt z`O8y>g&v0olHS&=t3Z24N%B8EeJiLJebwjw)4RXwCtmdy|Mcmge#aTqn=FC)Tk2*~ zV{111yeEl!PWk4j7~QXqbN4qkZmat3Tl_O>iYQ++c|ZKcT~H)dNTkqy<E`&GfJK_n zNCmI_TRv3;5~|4;3TEa30f3=(rWwtJ2-FpYgtA6~g~H}ZHqRA5+r0U4^<lHX_4dn; z;(!SkdQZ|DdJ2_9l}18DjxC;45wdFr3b91vMnYNT<_U9U>5kDMWwT61II5`Yoxl2_ z6l7=erdh&!+BE#=y2XhlCHlIkuy4=HXLA#DiWBo*U0&>*aR_aoI&P6)jiWrz<=s4g z0%ti6oq^QTm#U;}iNKG)iW;~>6jl&18Y&2-RJL352Di||h~JC1$7#igb;K=hIo7rf zkjbA`pik3A5{A3y_HQ|c$ugjB8p*sD=P)22%p+o};m#DniWcLxok7Hi%^Y&Ff>UBZ zwY=-!LPyQ57Zo=JXq^d{jj^Nq2&8McL&WI7TvkzFF5v+%ml~KWSN6U5Pom`QDR+2A zR7=MJP*?>DubT+N8zGvwe;MN<iStg)M5$y?&mBhSQaZ_M?p%2i)ey>PD|#$oG)b#z z3m8Z-Nah<z{UVQ?X>}!XdjC2xt0?Rf#Uu5B*0^SzZ~S#-uc7%rne?T8fvHyhrBZQD ztE8|8=hn#|w|>UYv>kF_5IFZdu6eg`Ws)}v!S+~3*xS8{oT4dZ&u85FEAV%C48V~g zcUr*ErTjlYNS*{Z6%Tl~G-56Ys|?1b$RnNGU5RSIhJk@Jb59R2fmq7sD3-6b|7*?M zYWE%d9ckts{}HRBNj}>4{}C-6{0(X5?*9=>qgX!L2LBOW9sCt(=5GHHbE8S#|GKYB z2o|9ch4ImwAddDMSidga2v#d^PVqd(N;ov?3j-imAGauoF<D1?7(r!2rwa~}(~4%p z>!6ebj?QBMoiX5tMg_&oQ5D4tgP|01esaoYYM>5=fzQYSM#ci(heGq*wDpR%ox=!l zZc;VnEy$f}tX#PDpWWn(uDnjQPqz2GCZ0YjUUvJ}Gi@mizC^6xtSk99>i}<^&N%!v z12x%q-W^x=IE-Km|KAi$t)d8P!b=$sGhpt}WgT6>=v#@7GJl<p85<wVDZ*~?XntYd z_l1-VH5VdiW;qxS7j(tp6I;{MXhHsIq%4O>X{3}^{VkmuXn<#M4g+6chX78nBy;6} zZpIvlz<Pfu05a;=FdMD#Myhgvu#Q+DgaoQN0WAjQJVu7)+Z;r&hj1oUvQ-qRv;|f~ zH4>Y=sTM>vDS1OI(eoI(*p)8U;1@wuOq~_c`CdP-$t5`JHo-4p+pGh(e#}_x3W`Qr zy~Cg76pd`y4WEX2x0>t-piuY<E}D#4d+nA}fvnj^#`nhC?j{nd0}e~xPFl%UKdd)U zqsl{lczU_N2jn9c5G9knaZ@%EDM_Pn<%PvATyRqklV2A}+<7pFo4UkS9?_d5;5o=0 zdZWb4(_8bN2Xkj%9nK72{2*ZHhYBxiuQ3A;`i`&$2q}S3m>LLSFoIAz2m$yyASS5^ zVm+_e*=v|N2))q(AtxpflGX$v$Jb<fAVkItLb;l7{RBP-3Gm^Dydop2zSdz?CbX@D zhS^(4?Co{!&EffD=L5=!pxp`Dqvtzx246PY>Y%=Ec{lDyAMW=<*gLJ(x<nnO0x8wa zC;eYTjkCJErj1qd35uIfa)$`3(kPIR5NSt-)<g*StAfd0+704Z>fh(mn)<+*pS7b# zjh%b*^iEX<<i{KnCFi|rq7MJB5ZWp}+S-d&Fv~HRWf9DRcm$4V_sI^tSR0hAwylTk ztKWYD{4VJ`$sm-iIO+mNmAoyO{CzDr@Ti$kQMGUjA_OC^VPl}I%@Ir_s0TMdSI@`L zE83P;z`wpwc;6Y-v(M(QpM8GV8*_@iB7m<yQ8nt~ub+OI<=h7MZS=v-YrYDpuCwl2 z73e*>d976;U3S)$zIsp7(}VybOX!#NrG<sy>7AE-UsTxi-e0G-$A>-pwScR1IAP39 zLL9Mt=1b_xqqoe+W!Fss=;}ehd)!@rPS%82-sa_HnIP^$jd4seWR~%Q%@wHo2B$h; z<ccHg>KG0sZw$L}bOmOk57@tYO^(>TbON@e4l#{E3^t7e1k~+;wUj~RyYOQhA-;#O z+%`m$)Pxk5@}lj=)_|Be=^~D)go;qSR1Tmkhsb0M0J{i_PP9)3_f25x8yuD<L~m?B z2jvb<z>R!7SQ;d}2lUOq(o6-w(&D<o(&UW(D{bljl-B&OH041YK)3>I`%>Vw?Ev0N z2pceY#mdLmw*E9jmAK&u*E`EW7F9!)Y$N8*gJmx>zV;$F?tOp`SY=t$|0Ps5fWY4U z%RBM6f$48r@!#Gf!@;GldGo&)zYWtLEuBts4x9n6Kg?rKb@XBRqfa}LT`Z@-<W{@T z?9TH=y<z&brPF-QL9M^n4D;B19rxa(D^C~y4xYu6cILU|NQ@zJA<O#YmM<9oc;Q+# zbmQQld5AVzcX_#U{6|x(=BGo@dFQzXlT)f|sPBrKKACFIR%L?e&#U$n2L#Rm*-x6@ z5*v4$Lcj3|f-y6Hk)KSQXb=JAD|6xID7dVU(fgg&MoSLjxESXRxEQSCI^zAMP>R{L zL(z!j-U;F*g_BK|B&iM#f(C|}tNzw{Q<?CtpVFCPka~iopQP4;a@$WFCC67{-kuwB zRE^lw*O6o*<su?6%rjLIj<R0cx1;}swF){+;KoDeE1#5{3TZZ?So#9&e7$29#`@)q zx(8(vzOxGd6@9^nlCN$V>or;zWlK-^sG65h1Z&GV(aaJzdaDaU!7*AU6DfiSH;uwd zRL}17(U^|v6J9QYJ!6y$WKAEGIgCO?G|p!t$1yO$$d=v)mGKAmAnN`Ulwo@wWAo!3 zv$6K9@n56EMb2F60BMaDC*nIEAEk@?w&}qzwmbX>e4cQn3+;B$yZGlNDP6R@dbRhj zT#nKOK?mqLKfHQnN*7+Q9^2!q*Q6voRW8VxygoP;&eWG<xZWUNR78U+hWCPJFlJ`q zly;cVq@TJZpY^+MK_^P@Th2A`=V|xB1OZOA#U{w~%jfk^^*9%2$+-T*(^-~mWYEI7 zXv)_16xQyv*1gP-4JD}v1Ob^3EXli^xPLkw5xQW0=0{PPL6l$jP>(Z)KP=^Bl5k{# z|3>BZr=7M$U4p(XzJ6yWURO`2aJoth9!=ouN9+Yyp)bk|nHN0DR(2kMKNr3YPaJm0 z#vTN~Wxr2L-(yZy+)InEo2P5FuhZQhh_kf{fBl}qpjn%>$(FBOV}At%4E6QxD!Mqz z*O{3$4o3~E(kw|%?Ndo6GBo?5H+{=9E;Gu^zXd6c^S#B;saaE87@ceyy}ZR6HJm?X zENf#X(a>c^i$gUaIcZ=9d>#&*uf61cAW9>beGBHQLRDJ7vO>vSF`Sst)a`(h&$#~K zW<>r&<lS2)CI`(uk2J0Y-9e$w`^~|?M5?2oH!EgV;ghHKl^PC0m46Q}wg6wZ7iP!y zd*9XlO9bEG#@^!L-1{Xn56Iaa_|>!FaHkvQYEG=CcRs;8*h0@ofWRjYH8w~ScjLmO z=-GlLnmq2tq(>hFH7c0z{z`#G2!Tbnr0DX;OG*2he+9scq@QA`B@L=x+?t-MTRS0W zmapC|l$Gr;rv;olZ=bfd3wCu_x+)@R+|C3s@n>vtzKqnb|7_g2Bq}~Z6eyBLqy3t* zx|Z$dRQ<JcWSqIH9MIGkvEP)OeAjOw*nxp*^Ro)g3(0F8;uk=Pg6~@N5LlQewy;Q_ ziN6%_#?&+(%0cb<rn=9e)B8$BD3<@K?1KeKwv6pmb~VgUa@v}y)@RCqgFXcFF{Eq% z`^}@#x$&ie8CUnW74HV%;>j5N3zq?hR#nR!p)7rUgX*1y?|@rL!U@F}ABRs5Z{7ih zrpSlMOla{x0PWU~fg&^2au#qBTnw=!c}k7hnFUC&e|FFY-*1h~a*-g0>V!%Uu_BoR z4?M^`$0kdUiPp!JY#A^}t3jwY)W4<cr5X-AiipY;AUeaTdWEQ_`)V#-t*7Z16}k*) zNXNh*_lBG2005H*HU@lTcTMb-A}u6|foX`=cvvkrM197HC#{rCn3<nl>!9Z`%Isq4 zpA5`f$PcoeRmeo~{Vx0N{F0yK-)##lZY>=nCi~Q!51lT=z611&tuqwfrY!Hbh0Oh8 z2{;lw#Z3(l9?D2~|MqOf7v<-*B*aOYLf4qX?m>~E6c@lQKIJO5F6Eb!Y&SE4E6Zt3 z)dtrRM!npAoRwwx+*sprMUu^Ve{S#Q*F=mjbX){9z0@>WS`rvn-g>)vxV3cFv8SJP z`}(-u-`pJCAU!><!pa*Mbau73J=N<7`Rq~$23p#97FsXK@qL&R3fAbTSAoUZn2UF? zsE4t(BLawtItgZU8tzV3dwQawIjpmYyFBZjZg<V40Fsh<uC8B<Rf21N+->a@)E{JH z8e+cK+{~9d)z9r+3Y)IF6wTxA=;)4h`rHz}9DVr<y!c)`-S4JMxjDbNJ5G9p75t(= z&HPp9jO*$2C13&L>?^D<rO?vK`^zK(S^n0d##o@}iOw@!uMy4V;cMDqSvF_b%oE+5 zb|~gI-i(Dd<SZ|-iqXDrvs?*3X)EMM8)iHwv0?kCe*B=QSV<}=n_tZgcicc9-2O2= zcT1}mR>^r{cdB{nVBeL#H6|~^ywIdsr(yc6>2A{cm%g|uQS|Ea$7OaO;QqDCBm2}= z7N-Z0CN-kjjK$U>eH^o3=1lc6(JI>Nz%!df>-_8QG9VsOUSu3=7N69y2ba`QWR|}8 z*A6ketCH?hT%EJ4GG6<ge~=G8#m7xLV{tdN+oHI=bu7p|5B0%na)#ol#3O$PhtmxS z)7#epFi7ZOnez(>rnRLwx8?N88)~{7z5!19`Mjf{?H}9%GMI2x|9&o}Y_3e#%HV0Q zw6uJ2>*{F5oArL!>V7(fcqxV$V^b$_)HKoQZeMn$?4AYRGPwL?IrD@9oWNBRjkxUD z9_vvd-VMAQLZcYf1sk1i%#|4-1^zV&xf4P~^~aa)ET7+J4nF(4svAmNtln^FhYlDY zVuT6$NuT}B5OjOsph4mJgy2sj&>M}hRV5Lhr@wp<?C_AhKRaeLsLQ>nSKlZWW-A;j z5qYd69m`c(FmLrsa{v`NBEQurx$WJ%%?oVn-I#|56HnJJi7<lqIRb!SxKQ1_9;$w- z3(>xQ;im9j$~%hdqhhxY+P0HUsssSZ1xFaaxd?GtgNKJl&S@h%<s=tZNn*g-I5zjx zsoVFB`>mHvMbl;rW&&7`@>Ks9!l-?MCzOsNpTLs}`wQ}n$MNSk+_RSDMhRv2s&<{K z7(ES9`|@NO{eIdZDiBXKNg=mbH~bQwkn5^2%qQ^$5!RP`8ygTW9uPUFh8Tds+cRvZ zs-;|&!29^;)1K2@cxF1!sEwVlMC|h|A3nhT<;DLB-|09r|IBhxva7Q$I{dGV&|egz zEh);QFL>Jey<Oq<<7Nj4Bl!XL@yXvYy9V8xXVir5#Q!d?T>4AVPBV#+bA(0)(<9P! z??^=|f7pb%(}j4i$=KJcq{jt>tCNQEg=OGwIw~eGq^P}EzZaPMBrl~kiviR8QH8wx z03Paz(a(ovr79YwmdM{zmE=)$@cjyU&Gy0}L`0*^28(EP?Ds>C7)tGY(%FwInW4&) zm_O|uG=)=3@X`NtZ<^TrSp}c>;*h}U+cbtSbgtkoZmQ&mK3&gE?qAXh^as5UVVTlt zPG?rYfnuD&@)tzTqp33`vpTZs9w$hW+lC+KZ@7t)vKAvZH#VMi-d2$xv|A29*T?Or zXo8oKIgB~?E+kVN92%yfTdGB%V`&pD8;Hl)TB+#X5S2ClM17oMJ6`>KS%H}tktKRK z7zkVA_db97K?9w$r6_J0U{tRh2-CD_Ir+FV;jF-n)%2_6tBDQ0zenlWY{;3#6+E7x zbGGLPYn_LL1ntLJwXv_!cKsOo#e}tzVx?>-Z&TmKG@~!ZvA7PrA+0BsqhG2N*ZNo~ zEJi34!y!r(@|)99IW^;rem+lz4!aKu!Z;O~a#)uCBQ1LVF51mB2EYQ<W&BQfgYzRa ze21b11gc}v3ntAVhAhGgr{2j|+(dHrQPEj55$;GG2(oB&)(;p*oK*GbVb8YjLVu`P zVJA|v=pqjm)mH8w2$wY7WWLjLF!nZD=wD<0^3lzSY`I#II41y!ggV4?v1cD=^ga-- z|EC(Nk`e8ks15=TkvI?xUuu^qk6ehpAM6N^9(XG`(a#)dGQ*)S>PmfgSMUx~hshFS z%Gu8wMu$m~B9ZP#p$5Ed44n#srm(xDLV`v?gm#&zF5@+QQF0`frxQl7hDFiyJ`Upa z@;7t!e2hYgE5r&bg2T~)xBUX5NkcRzEF5z0W6TPLQ#cS59NmEZtSw<$X2KDZ>q`9- zlRzdJuU-}AY&>PNkkByqtW!TeAq;3dUV=}q{o9p^5u9i`Mdfb$44fomVp5;$Gid#N z)Kotfks?~dWnzy{5ceUVjwS~f#|Gu8wWw5ASEV^jMo^NpPqXqO-~iR^q=X(U*>msU zt-Xp;@<e?F3!DLqQY!Kv`kYbAb(TeFt^!1#Sg7&S&qrU@pU=OB_?R7f)(bo@AezT% zyl1F-M8Vv3Y9q!cwnQ)BT}HJO-xT46FI{XRCJ@#9R8XE*#x4gH6k~`9|CJMJKrL*c zwdS}jHdE_h)ap<eLvNvfc&IszJX*#0XK9JGp)8}O%#u0qq}b@?&RDyy|F==diW><0 z0!%}$G3`^57Lpn<i91c@aVO-SIVkn2gd7v`$-jl4o+<Du99l$5y7-x#5-P$T7WE^H z#gyfkS0<JHD3Eu5V`lR!wJF-SB0Uv@n}BPC@h6xuLR3H?G5tQ0^)jjbOSm~NI|7E8 zIHvdV8jlA+-u3a+455rdssBBh9HN}T{#)Vu*a?UogoRM{)4YOlzmmm5;*dWd>Ebpu z>DW5iElcUDkaaV3p$mpg5?O89hbV&$IA0RT1lycbygibW?iDd~)FUIAS9%;>YUumx z`<t@A$i{YVljs7IdPi^CX#)mPXZ)cv;3cTn@u%2;wjK_g-9ow*^E>URm}5(tz=8?h zW)2a&NHNAnPMQfGYe8xZs!pht5sPB0tjgRD3Y}E_e6<4ou<Z%{mHbkcmEOKmm__Nv z&|~}IMGfsg4);IJ^jv8c-JGW7cMI&Ax&jlbS816p?9yfo1U_5NH(T~zBk;JkD~B#R zzRBqYczK!aj?1c0vi7#*4=!!(dMwkMf@n>h@|Cw%CEnI#V}26<Lm@aBB8l&hF{hCU zk>S~(Ot#sY&?DWe&dA0ddH=SdU%oKPSc#RAiuNa}-o@;XdGz72RVm7aN#yxu8f+LY z_#KYqN#o?~i=S#~q)G})4q7M9k5Nko$TTC=z*pgHQA~OH7wHC5POk#j;VDVk$fw=O zkE-KJ2Ol#l$0G7d^LT0AWlQ^h%4K8v@Wg&7^Qa{devJbkMAbS>t;ZRlFcGC@Q9cp) zm7P<~x!jcsK13O7cPQYGCv^MVu6^_1=wH$Fc8ba$X5^{bqcSK*I&UJwVt>(mHW@Wc zDFjsFStIbJCzu>bBP~oJFqGa)FnonbhGJ8P-h`=_M)b0Y(1J*VN%-~Ik`O*c$BA^S z*;dPwj%+hv^Y*O}vX|!xzJKZUNVDRG6^n1%niN*%8%>n1-=4P4_`D9J!{qd*q}t#k zp2Q>6Nf<FR!*VhFha-tLNnusFhIQ!IF|~n|kEdhb)$p1?@(c$gO+sX&UBxgg-Pm<# z^%R|Zru4%<VxyJ@G-sNLkxvij@^cYZZ+gQJo0Uw-B_s;uVSg#6{!_^F$$HtdJwk}g z)PFW^sU+uuCLU)g)3YFd2%r8fbCA*y{#uDN&VYbM@&Q|Q9G(u1nPVtYw2M}qVv!*x z?Yr`O<@+P03ADyAil?!IUsY%ZFyStJ{v*3w9$b4|nvUY@#k+&xa<SOjG_Y;7Y4-Z! z^7!KEdEg@L+UNW{V67o-m-!OdrR+;{po7K(J{geL8FVBLv$Z~67&lyBZ0v7bZyG$( zySQ(qhG3xMOG?2x7!YNn-uk>{XzI$$s%rE;D(dM?QJ)gWy3L;2CRwLtLd;Uat#m`q zIg*=QP`s5&BY5Xjb?Pe5<+i{{w@rPT$;qO^OYjH(tZ|_AQr$!$n4@eO(+-JdEaPh! zfS_jQS)ui!!?7zzlJ8Dd{Z;L-Irg%=3g-*@ocFcDqwC@Df#&h|=`TnFnlzT!+#}ax zUp&M!ra2#5yQ$-vvI&drg1qnhSR<`w3DE*{d@7bdCXtnzLLJ3yJ`dG<*!J$NIbjQx zHVI}H9XZ}1op$kJcLEY&P<x(|7PWUgfW8Jl;?QpYD!Q|(j_+K8Px+1B7yD;O2)AtC z3eI2oms}UVcC<l_n^0%4DC==uOlLt2>|TEyDmiA?P+kr+OJ?!2vS5|g6$iy=G(7)q zTF;($>gumo!2M8a!}wg0CiU1a0Jp3A=PR_-V&I<8%?*6^t}g4OTFzLU@k9qP0kDrl z9Au(`@uRKNSkR-A<KwDLeH<)Zsh)Gd#MxXrCZ7k5-5yMU-1KfDaaUB;M@HSx0+O zM0}YL=sQ(&%sI2PxapG;GF`P+OAB7VKUuxybFTlb!01))24(kbH9di8Wf_lam(Y*A zY>WE%cKU?!$$fA7p+e-{U4_UaE$}>_i}Ey|>zC~RY3dDl2+DRH^Pcq7HO@xo`{lI{ zElQQO88@$GI~?|C(ACdvhaMhXzumCkUp|F{;0nE|2@0K~Ak%6y`;8G4y7OvTyjrGd zV%0ZeJ5mUZGL^fyDd;TxG$N-0z%%&T^S6t%skLf|a5>@JN2PlJZCF*)rV$$r%qM1Z zpAaMCD0Y}ndt4-Bi&-#>S%XNNM1cJC-;{xzRq?)K!R)X@4U8qKc8CVQ-u4q81JwF# z|J##+3B}9xET8=nw}7_84!G`H?~2=KqPuulzpn0M8&AAuf$gj803mR}g%{Q{uD&)- z!gJ=;Q3hpSMh*bidb*g5#}_<^FVbOa6aL5f)k^V(?b<Ism%ZP*(2@@`3{O%uNlpbb z_183#c)*3cUdcnj~lNwZ%<%_oxKUD7><j+^o9-q*f?Tjq<1iu|p$V_$w77%f@A z;;J{IIq&38&oZ%A+(CT6Dc^1w2@2=&i^YyyJ{Up_9iIZekYifU;{G%_r{h8MNUo{e z<6C+5$GoF}uz_3E%SH1Ts(>#ca&!q4{I%Igp6gyc4*TF3z8n>>9LR~c-$!Ke9?Ax; z=rvI5I~bVk3<i>wf`P&#uYupvg}-6V6~knn+eF{{3VwQNs<|Ky`cP~<K4L5qDU&J( zY>T#rmQ^TAca?1(pRh@$xBGFRVGaA%cJM6?B<S(^RR2Zhb6)UJ_J6s$G(6tx5;5!M z(mZ`GPN`Of=SK?1Jq`$=X^dDytt&dnX<VthMQi$F$?;AW8S-5bN40F0NnbMcU>F?= zgL?5IOW|;hu`K&=wXtlHshG^=42_=`P@nU%`so2@anpmKR#q-NOE~S@Y@&jjSwoy{ z5k<z~5H4@69nn%;oNb!iZagt<#3Jt2tqqR2{9(i)4DOe-cmc${x=YrSzeZ~LqkuZo z-!J0t@%Re*c1~pc&*;GA|KNaSlPMNy@68r$3o^mm-_EY-jJx};X6YD~LoDnQK!ULd zlUUd*hx?n&Oe<mZe*+OQSihyo8$%s~2xa;kQ2}Wgk^V+xphQfhzaG&Y=4u!p61_M5 zG4yijeqX|MVgBe1nGaq5W&0{+au+HqZ<wH2R%+{eEv33^oBX3W;c|?KT`vOhDxu7v z^h44Z7^g5{j>%C{V8R*QMW8m3bmAZ1?}choiKK@*ZT}ESwj3)YfzEhH*q_Nv{Sfyy z0>QAp&2NMZ_i;-a9jnu-=`LA};btI_l-hUt<d800>nM5$Jy7n1l%3GXv%hvUNH$uV zx4*N_HDcQAAR#q(zeG8yP<7*5Cb?Yr2GZ$)NwP8B3P4vcl%Yb-#V)zXq(9oayhc_D z*{@0ttnf`(gkAjJgH&W7If2ZO_{wc0wXauBqHP7VRWjFW!wq2a;&n*jF0Z>CBH6jG z*M>|CY$t}^a7{jlW+>D*PxVw#ZoaQR(F?y`6X;pzITZWAXEmo>b8-T4o&7v0ls^z| zqiCZd1`LEjzbUyra(i)N5L9!akA6$fsmCVVL1Nh8MMX4nteBu8=0zTx0-puH(=oLj zdXX5a7;<5-e=d4IBuXRd#q6q0D<w5{U*l_59;vlv${4+~Uk)Xd9v|}oW6Y=Ayoc}| z!5-XYVjgvn7g_^Dx~U7?lTl^pGK$kmmhosK5-=lZ=MmncO2$9YF2=#VF`7SU!sQpL zb@Zc3lwr{oK8ngIF$6w(br8p-#-|0b#;A^iHnkt+JvoD&dVOYy;{*8owTSAk@De}e z3gG5Wvk*nDABz!1j6X0UeovD|`@W8W6ZFSJ0w<{Vfs(ewfLwsK&4o_f%!3)Hx5qn) zmJoO^M~3SnAdjec3mZ#I%>FG!0R4>zOk#C<D@5Y;pX$xA*$|n@mt!5e(%!?eHxJ^t zCdyPMzlCx12H2IUYGI0+tW-EIYPEPImOH|rIge*@@1mcw)B;N-NE0@f&J@`!4s+Ga zz~g|Shg4pdvoC&zEYsLOnGDu+)t~*11n_l2&?rZ9Mz8?Sx#k!e#ke)K=x4a<jt-LD zZl-Zw2tgmYE-$UI8zaiQ)z6Gs9dQg+))vuu#|%FOR;rcJMjHrZwPq|tN-ICHFV>~m zvbVV@&06r7=Y|lNhjjk1=j7<KI12gcKUFbhO~Q#u-QaMGWmBKi6T*k0UKIMBqy%tQ zH?AB{!2A3ijaP_wu5bQF!JlJPsn|a*twVEs5lo^)7hhw38cog4SWm5~Vgu9mSwF23 zpps*k;fYEka^odwdy&82<ArU)2m6zGJX>C&7TM}kgf8q%Y07MFZDS;j3`RDw@5EPX z_DE3Nsu+n0P-1W<i((p!;eZAyI?!!N&sYnzQASVcYlVpuCWMW<aXz<?;_<931|I(S zZSA$aUX${6H8&gy&t4M&ZoNk43n3A2MiN#3kDqlRvb?sx_@u&PS@-r?ZYQRViL<}; zW%5gf-+RTOW}FRA8@reUvX<%P=IfD4yTpV#L%I}6>-+20RYvvhpvb{%CIZI-GS)Sh zAxMmQ9tpuAjx-ARf22#cI<_mo4YVioi_5k1+%Ze!)<O?c$~E|w50Q~Lw9t(05)oEi z(Dlqc+v}R)-^eT>s-;oKLTSP4G~h6?U!K#r5!=aW!qrshorf5>%EQ&j0whW}Oh+9l zDu$9PsP<5JY`oXwYJPYWp8|!RV@8io?q&iM1gWR1AFxe^jnZ$q`HC_Uk;`a*g))G? z)&)1;n=hcR{cA^DbXbu$O)ycb7^vZiqan1z&t&Hk49DF4v6r7bfJjb@Lm;k9|2_Pz zd%&CTV<iMUVF5h6^~qC|ifsXI^sJGprkKUrNfFe#U#KK3x2rD!NyH)X@>$#dk*Kg_ znP97}1UDPed23EHa|tooE)fo=G2RcXhaB(fYV*l<j!3_9TfsVE9O0Z8pc2cKbH&t` zz7KUyB|=L?y`ug_78UWt%OEjpmh~cPekV!4P~?<~?la+5yO_ADLU5#2Owf1Y69~oU z52*y{ZYHJuhHw5H7_6>?>t;E+^W%qIOr%Wji9<0kTJ$^oXf#;0H*Jh}bc7_)^Tvqf zXv-D96TNG*2%>Fby+(u*xs7{0jJcn=ovyp`(!+HK2by_efAifrk|SdGAt5ml=a25R zdE_FbX`I$P%%=gbi$6W(&hjbf-Q2oTW@=O%SV;BU()iM)z(}|7ND3T*bnlO*gebpt zVOc6)Xu7m(_5|l(_{~$40+V>ogc+~)z+|~y0_m3N@Vi?S%9@-otY*hmbKUiD)uH3U zG(BboM^44BMj6-Bj!#nqigOQHm6)|dNVhOp+xc|DJZR%kqL{p}(t5Ep>G*I8kR$h& zxagk?>4dj10luF8W=lln3BJ03gfTft%!P3T>LPbV$dUO;7}D?lMG%pfdO?wN5vl>T z5>BWIz2W`ZOg;T-Ep)<5vRrV|n_^ppX+|dW3)s1~*I%Iv&hoa9xpZ?0>~|Xz`1?L& zCJ|H`>n3k%K{p^6kEjxo$`*19t9{<m+HM1V7eZ1%cIOq?*6Qm8fg~`1iU;(6YkeCA z{W{P$=MhdGcV?%`=O;6%^b+lN*~UpD%j?aIVrQcjN=X#CUy8|8hYg~wLM)%F4bdty zM@ZE8mTage5OAS72Td!aE0F8b{8Nnqwz%0Hg1dAXa>MKs95(6)Dfu21HB?~Y?W+CO znh$LmZaYqwB;!PqZ76yb{BL>bS+zxJbcIlq#woQ$&o>I83WL*Xi{iMT0SOwvWfs>& zw{>qnJsd^I&n+7K9edw-QM7l#mb%9_^48!e(zv8Q$R!nB4o+K?;kzXQyUOZpEBA|+ zcUbGvtV^R2^^XT@0ZRl{;PnGMsJtiOb}a#C|LPCFu3euV&UP-Yd!l!yFS|&PZ^g2n zk(g3iTiSTKT7udb1V0EFtTG8HWHcQ!tt&2Q%z)?XwsZ?DE{F4*kS^2ig<N{(<{w5M z?63X3YIF==ygT?GJw5849M{^}nJ4#F>d;8z&0TMI`fLt&fS&1|!@iCi^<CKtMg$5{ zmY6uywRT>9zgg%HTJ``BpP}9Lq5^d2D4W8sWp8gMuQuMUw)U1a-k0-nG$GU&1~MsA zdt(mYTISeBL)$w)goL>th7VUq;0cy!RU~1#Y`-^EfxJd{3raXJ57f$Pjzu`C-`A;w zj{$!Vmj>@g!0FjA4e#%^++!EIzrCO#*Op+QgYwE>Gw)C)mSm>jx>zKxuBTdq)rJwy zOYGH{$VUafPbQm_#E{<G(TD2W#dvZh_<B#nr=9*N_*yS<!;pQO7^otLS~rS0<DC@C zM0HCGZoAvezxO&WH?>5u&x264YYm%M)nxeWo>rb801+Q`MP+22WdDS}yuy_kKXkYb zMqC}5x6*inkL^CB&S)$&m7&RTThIHU7Gp2(`G%{ErpUV%mD^HAYQl88ic!p=>Q;x( zuB9U_@Qwady!4hruj2gXZ>Av0TlaBGAXjoIOtpl*x6ulUUPIY8r8-F+OHB<&MMd4P z0ZRN^IABP`YjLR<xtrxbH!j!aX2S$g1jVp%T!rBHx|j^{C_8Ms?tZl9N`=8g$7%}C za3~$^Bl3dF26xOUJij^&@Ry=i)?v;auIb=Oz<QlO>c<LZFj}mv(PrXvU^hqZ9p~;* zz#f-dTnn#+!o*|OtLnmj<BCVBm*IA2X@f%sCO3XY%B>6t_jEp-kGB)r3Ov6qA=iPw z&l3}I_*bs16}xLT5S;t;p{?Fe)yMo^5G&cffA@{iG$XmI$BD?Xk6H<DCOl3J7*0q| z6%kJrQBU&;Pfc!C+XkZX#(`s!JKw4^Rmqn{yI)KM=OQbgNQqgkT<Aq1mVe=$?~4F% zJ!1i##afM?Op<Gd%n`7#8{o1%q~6)n=%msTF9B*%`0GXe8@g;%uGsA=>lR^#Tf z8B&kFw}R8l&^EsHH=OB&%T?T3OITLEQ#Xz2Qpn5M=|{nhO~d>qQ@i+u5cMs2JFLNt znu+(h#{$;z_*eE&S0|=$M94nwlf=MT!k%kQ`b4{VB6+nsqTRLUCU0LG^sjKfMqSh< zr$uW_HeZq6HJ_J<YhZ$-+lfOW?u%{P;IivPf-l<5Ch8zwkXzW6Pc@ncekZ=|GR-kg zI^{mx-sg#XO##%lI*fs{YtBrU4Ry5n6H4C@qb#gS?`rqGH42!+Osl!zhDYFmm}=4W zspdB9b1sSAQ(%e@8ETs?+N<oBJ=D?3Hss2&M14}@@ov<OF`s5f{a#s~<6y>;w}H>! z>2AEY?r`f9pJ2~cK8>EDy<B!I->(bwI#luAZZ>gcty4U`xgr+k0@f*f`4-IQ15wY8 z%{1ExpNKd5T<wE>!Lwn3K-bRM-gPCSU<eN%`6j<t_c!Lt0bkxUdF*CkJ76AML;BQ7 z2-_L{vuZ(0=$}<1ntQUQC_No6RqSvi>t{J>nN)b}f_dc`_-A9Fr{I1)dMSDd=7mBd zh(*yyHek+NhOry5PAK6my*$bsi7ZMw?u)c+I=O5*@RFFUOd(tH7j(&F)1MQQS)`I# z{!-8x2htj!OMUF+%nvyh`i$w0PIdH@m|PuAYkZqXPu59>@x%QDdNcZT^{@O1lJV>z z#l~|bQRk|6_PW4tBFqbxMELO3aijZ{jQ9n-*n&}a7{A4>2;eyYF59Bcx?}vbV;lb% zk@N|83~$G`5~u3cB7AukGa%>4$7JKZgD!!Pq(84BIji3Bqz_<~e>#NY&*jNRG*U-; zxrR2_U<1vHf9B1r35)!SBC~_0{y&ra)eJ>=MQvXLR{xnn`6_{5n%S!#!KboZB|Jj& zt<>=X>n&orH!157*N~b%63UN=4gn7VWv%2TepFn-e%*5!P&S@X^Bc9BTxd%!dr9}A z-02v-?+Ce{cF7*k@w<IIP`kW_3<Tbst+n(y5ZA^hbysB}!M`Af_g0;D=Kv4)RX3OG z5V-z_-D{h<e0LtY-|&gFLwh#rXfA{wb8v|OiS?7h8FpHn?#dO#Ss}YBibbJc-*cvI zr3s+~<()R91)@+iGYLtGxYfh{$4EkcSINyIn9R-WHv{f(9jtdKc9L_mDl0^-ZuF53 zRGu3rn0VY5$oRO8IHFdR7ADbI=FTP#Umf&w;Sjj9ehfoyBcKxt(~nIi>pP*A97#Cd zA98Ood#SaC#aI!2+G$55FLLWoDrI1EnE5PWoSa2CwUIVS0L=mf^C1`IeO}Dw<NgMI zX)Wqv_C!Ze(NdToEJkp5ANjfq7PYFee4KJC{jQfba<%$KMdy=AiZ7v#ydQY@5bBH> ziR#$1As*l_(NY@o>W9UYDHpAn4>jA!>sG^?h%<`5Rf&d>`iXx+;=2VL9Xt8`G<Xr` zHd!lDaod$q0rbHSiCr01#v&O7xgn<;Gb@SIZ~wb{OG$KYktrGkY~|S_3C0p?VaR2f z;D$mA*h`y&h?v=H^2kNp-UIJMaPPv%k}oGF>BtxH+mUPJ$WO|iz{7oPB#T*PhA18u z-LD(nw(ddD?1Jco>3KmL-7#-r;4C1>xB(VZgq}bG4P-b;9O;iTw(%;^pVctmMgO5i zXphheVk1uth>c(!Q|@mu<j%>8H^><q7d)<^S-ANf{o>_uG2F095VR2S*m-0O?#lSZ zBxy(Tvym0uK*iy!`5;KK(sL|hRqNzMIW~mX_ct#G>qI#j?<#E8il%ITXVu$9dJ8NV z$0DUq@kKMu8S(dqNP2?HA0N-y%!%v=^5VMi*wKR{uT^JWpWh82^p>%>4vrawmIka_ z%i-pBi0gyB67I>jMO428_!{v^bjQeIHA^CTMtS0K)uOBV%&Ucz3fO<g#?mlHPsfB5 zk0=!orU!3PB2$k-c<&D{AGrY(^&}6;-Uuf17iBs|h`AlUSF9U-k16NFfo=&ee%X$k z--O5u%i5G{P8s0>lka8l#wj~EU00L?vmX{}nx8p%zamRcWQ=i{KHN$!4nMzRn-Yx` z%dnaZPLw^8TsmSnRg9I|Csc-&+7>;eJDGMWB;B?Y*R+-^8QZis%p4w&kK9rLqY}X= z$k(Xc2r$YvG~G7xooVfD^lMZlSZKa37_<%s`ALI8EV13m`{?kj4ILL@<Mogm>(Nk# z?wa)7X&kLgI;TXIN%l7PmWjV2O>^K{#+N1;T3o)ky0$z=pFy>Z$9jV9ffHqmU25#n zIuK!}bU*nhY|q2jkiQ_(em^n?op}~beCBD-T04bMydO$DNh68NyNLnrl<@R(i|Xns zJO&H6b^apxSeYT3=@JoQm_n+^EgtX5AC9lRA0l=o8o!55ft2MK0q&>}ioSQ{B3UP~ zU-uGw9B}ORTf@n}h^WIQqmLtxyvkj!0ebM&09!vY+M9hN%0yx{0NoG%yHHFgI<}7| z%V%k{k^ajt3Byc}+y{zXB$1jyI0{g<(Xn7O=-y`ZB+>SW7w)QMyj9p?N*eR#&>G1M zy-3%KG^R+vN&z+GGQlyzw)8$Q`;4-{W$s<bJN>q$55wnp$GheVZIN@6YLfE6kh;*a zJr9-`LTKt|{zz5@SxztX7&isf$HpWD`zAXq1wjP!?M6bI_dEjmAuZJ4V-j1ar4U>! zh|uHaev5pc`M`6erNr5?TFqqbY!5BYh_{T$c8N78Yd@M7(vm8M;4)9}8hL?2oqBPU zkAgXFNHQkCdE!z6e|#yQgat54RlXtH-#4ek&a>l#&HK#6iZqsAcS2e$H<nwyk6DM! z(AqB#5zWN9JdrOKV^z10`R#{*{_=7t)8&6xcq#Pl%<vLra^B2N_H5_a_>AChGKu`U zRm{wGrBN&nOsMZ8qItXZJ)}9Hu`5heL>F)BCXJZZ>ah(9yZ#victl)2hFX3R_JFkm zP`$r$ZW73^M>Vl>2<Z2E1To+z6~o2=3&JXLS}K@t{47PnlsY2m3Ysc{=Z&;-BJ<HC zGGYZOotT0W`eEx^_Qj@mU!iD0Y&)h0<^LC;et=;OtQnwl#7jv^6d}cT!;0>|c?77} zD&KwZ<85ng&xJumq7l)$SnEk1#Wp+Pqg{eBA24QN)cm*q!sAgrr{<8<CGRhYKhj|7 zMbB>Ul|WP=Z?CB6z|~6)3Bxdzg@Z}F*xV5!#e-y<tKSXvZXUO~TcZ&{%Lr*0hN0R` z7YcWgjSdpYR{e7TY$H58N$xlbu$sGF-jbJ*JGO(inj7-Pi7^8I1aHD}&y<DO($&KY zd~X_DpiLWsT92vBBBHbcI#RZ|m`;g{jKHkIa@bH~N6x5vUH!tvgK#Yt26lqHQz+y) z-Xnd$;wKM3(xpu|MCqcLzJNQVIvnAnhE_rFktD3Jl&bC~!cX9Z0i=#KfH-{r8g(Ab z=h8q{(q%?P0rX~Kau}1-5M`)g?BDq41G2~@@Ol^MP&Hh9w1K}L%JDIUe!frW)<+@3 z^brZhl_|oCAv*^ug=d<5lCuAB3wS9qPbw>DC{UF??A7uYesUDnJ_>8d;!`4}m(^+w zgA*Tk(ON0pgvrkzOCd-i1JH3E&Fw4&u_B^AYFQLS2p3#WZd?`ZP@a9f_0dxL%|K!D zXmf?SVEPTu?3ojPQ07deZ6pwiqUYztJ11v-?yz(hvp3@9NLisJ*Sir(hqJ8xnU?IN zCi+hd;uStpnR+zwWV?D<kQncRhD3ip@VR#O43ycNIe8X2ewvN<;0Fj^vfS2C@wXYg zXALFi{lmArnJV(cIMu_pGd^eL*iRVfjpw%n24gYB5W8UXPMg}xxF-@PJ~tH*v!_T( z#(zz*f`+4^CJ@-|P53tW%lnC30DOsujDW?Tj2Pk%qiuS5egeBDSs-N*LoQ(Qsf|`( zUcx)M>WecEcQCYMJg^i9i70Jx#sCfTuI%J1Q`fX!o07@K7BVZon^`y>t(#fc2HT(R zAFFU~zo9<0@MZ=gev3OIg)NL56E=_}S|sevjnngG&<tl^HvXANbddWqkpOk91Udta z;AbL|%g&zwH`7YqTBILfrZ+h3f4X<w?`kL-Qj|*2nrmm~!hw!&A4}uM7R@9{7R7Od zJY#avT!!1q5^gj+3MHL`GYL|1T}Gea*}@d_MR_%4W_jt#&0RXKeDUcPA_-;?9(}$# z5sKm6++A#cIRAYdkf77CdO+I+u&bybs$<`DU5IgD)AKcDils!OQ{*Cgi`}abAmTIx z_z9<6o?|G(`T<E#!pNZ`LrD@Tmop$B5GvDICY+m(`}gwsdvnN_5ZJ$zhUZu%B^3z1 zU2h@Pm7A0<LiqxtmbrUw%fR@ZTS`L!r0t0Qqa~Cs%sD{XwHKtFy|s{)F6LMuqnEMz zJjz*(LO&dbsQkq29y&Jm-FpkGt!DyY&*8U|Q|uYZn%O7*N=rKtlkp-z?sX7wA~72s zHKGVL6Yo+@3NON(B)%62Q-0B-P`$A9Cb7OBP!(HypJ#UUdom>^`RNPBQNdH2#Fm)d zeq>G=3f(z7^q|c7z#glQLkRg4mXIdQ$1TgS=%lS^JRNU`5SvL5I#vN8zyrk6G(oI( z0>tKDG1J#Dbr5<O2cfQ4Na;1|BM6DULM5*d-)j`$=@1e$20{rSBuSIBg-7M2>*;l2 ziNuU3Y|p|PnagtdqqK?k*5-2+`R#+QiRFq#mRW=8A39+0ZtU7p5zxk|u`er&uxlL3 z-!(7A<l`Ueon=`OCnwZRAs?62Th?Kmmz=PrwNp0dDMe{)k>GBmmza-hu1_&6kJW>e zwhn&FPT9~?Nk{<-^5G+z>qE^z0^9%&e)|a|n8g1PMIhm34H90mAo1;0@#!A{h(|Wp zo7;m-gB-}Lyh@V(F<T&^?gA3$@*sgl1xi}~5dl%n^%9;S!AN{$Er@VP&gTKS<s z(p1K;whc0oS`C^GBdt*r{g8cuXX6k{cSZOh=`9@f_3%lW_*Z3=BXPZDd)e|yJ%`XL zCBW7WrclWS;_FYEwr|+hqU=-HtOu<MHG*{=G@YW;DLBcJ!-)<b3!f@=3sA@RHTw$= zhp1e?z8{0-9ni2y;GM&}g`In*9q<cPzg2H+N1GoMhh=Q6|B~KLns%|fUrlwu!)qo7 zQTU+Zjfpd#Qc~*|nfZqmXLp|kJkW700QLBEc#v&n#F=*}ttCo>_-wWjR4^`~`IQ?> z(rOE8S>7YFVBFO}W?5b{9yDU4m*u&@faEbsyCQx{Yb4n`74HF@dB)1$&nCO)OxQ&# z4~;nUEfv2zba#i@LD#zkXI`Sh*-wp7byt}XahA3Ne76p@MvK3RR$~n?d6>s?Z=-DT zr^$rIarnlVrp43+GKOez+8l%WCBof^M&j+D<*~^c2b(b*c_{KB_tcQ&xn5f?S1g0( z5B7we8{O9k;5dJcqgF3vOgI(%1H&%;6^&=X<dEoS%;hum?2(u~r2BjC{Eo=16q;Fb zpOJA!)j9ephL9xfC*X%i;VOZ9Fx(*FZyy^k_oqD?<9nxs_gCA*9IEZ@&#fmWAtMfn z*=IVx_uB57o!gvDzl^vHkqfvr3{C2tJMA>i{a{P6Opx%#v`Nef-r62Hj*m5;`Hkk{ zS-CSs#CIr=Y>pCMt$+`1f>y#$9=l4pF$~sAI=k*Wx~HN?uL9blR7AL{F0NW*Bh$vL zWZ-EIy*Sjm141HB=r7;;ES8;J7h^uk?-{uFaj~aGIlO1WS^pSu=;{4^%4L;=2V9b5 zZ*x_(={6XRnbcB8T}`%sq$d&AGoTJ@j;ST1B&@<Dq*)tKM~mTB^#p$%4!~ar`o8nH zkLLpjWLfbKC;;9;71emguF+fGXZ6lu%e(=!PI(2HH+-eD4ode8I<Y^9XAE~3gN0=j zFfUX_;zdN$MJ6ep)f;a`@(MI=&Q(Ux=s-w_5`>sVLFfpC;?Y5<>J_qlg|^OB-poZ4 zQ(dXd7@9xQVawWt5|NlIe>zYRjR&Z}sD;<42{0-pkC<xgLqXR_WyCjS`}iQX64Ps1 z;~TPPb@!~dp`Ufke@GFit$HU{yE1^#H9iPE4oDGPVO-IUM^#>V+)L<VD>_Tyl2|SJ zF$v4!2ut8hNmC`%zF}J}v%j$QB(ID{nNvo}0C4BJG?<6ff6xIPS_p_y2BWzSmPlkP z_0xrP--Kzc$Md2Z&}&oP*L*I82&&a<Kz_iS6CFw|oHp};htz(S5L2GdKb!*}l{kPY z$;>O*2jg~uw>8_Fq&MdCh6K4wBMU$KH@3Hy(y!~^vuctkTL^HO3mavd3*VFak}^e= zl7(?5MK;>s<e+u|8d;>TvE?w|J*yG+KHT}=HT<DGr!1bTqf&N*R;4pg=cMLN3&HCT ziHbu2?E(vbQN@RJ<yd?ydR}U^rS$Hg?{Nro5v+OnrlKja2n;2E{(ng0udxWTDki<j zaR{pF^1^bColM0PZ-VKF0OX?YMgjBtm@(TDfpkQ$Mc-)yaL+-n<!`e4-_nuiX?nvT z*3;X`m)}phn|?l*#%?~h=7)FJo1;62!FZ$J_5h(p@7B(?(06`0-I&+^bH&u?Zfwq1 zk}CVOobF_gG>76(E5$qjaNh6J(0Bj;++PEX$d`gQ*w`73?IuY$c)VUlr8KFjsi}L& zeAqVkxHDkmcYk<&akRZUe$nT3InmA^OFP=OQg?Vgx3oH5VeawYmizzgxQ8kU=~oIm z3@<@`G4^mh+nw7y$RMuoaeEwHy0<A?2)1r@+^w-LuPDY#Ybv{J8_hTbD!{9)p3b(r ze|+9?ZCcd-c@ovJiFG5}%;Mk29LrR)e)gE1{d&jB!D_>?X&c@rH>n#h58vkjv(HQH zjlNw9Z-Bd}lU<wZo9%}#CgzvHv`3(4#{t}Q4-x`5-GMu^A8+&`X!S$z18v?w15r$S zp9Uenur!Rba6J0edzAr!SgK5!_3Dt9g=jToWC8z+uD6V<YYDyuad&rjcL?t8!GaUq z-R0mA+}$;}yE_C4?(QzZeNOJZ|C#sR%zUWdKDByP?R^d(*xl8&I&*qUo1wAbwo-vo z-e0?ls$Zh%Ce@8s>#>4?YW%PU`I0ww?DzFP5eLd3n!7y~Ll^#sDYiWanz};)-Pdwx z6OXYp+{%`#Ju?T})g?kc-+e9AkR{hx*!Ppg#JL*0*fB@DWhYhwq8*e*(B1R5Q$UMC z&w$pZZy=uP<3Wi5r?>{7%oP!RPDsMr#)^;jy<==XiESp~PLhg`w*Fh$8{6vmK&FQt z3hqDK#K^(#|Ae9A_*^Kofi$k(8fe<TxTX#DcP|8EPoA@7zs8^RChbG3$S48}1<ML* zAd+y3A;E6Ib2Xn}DR(ZHU^*oLo$fZP_E%4i9NW_s;hHgPi+|1@Yryy0Svy?k&{=g5 z{#Ff|FCw_O?3-5J?m-W6a$0}mcQyZhZZ1rTbX(YmRa2k4Tx;HNUj`G*avP~#to2}x zd2P~b9Th9H3)QEZ(%{TtKvvp&g_`-$j3BeXX98)Gna;69dJXqQPp|;ob1r2~<U`Vs zBy9B+&FshK`9~=C8rS<zU)Lc{VO>8g!SK*EoNqHOd+_*l%qThoD+tD_|5gy5EV;+p z@BdhADQ@)fpWdHdo;vxOmqP6XJU^WNxwu%lz<zq{fKS8h^Z|IfKU+5WJxnZY_1+SD zdqXJQR;88VE(QW$gT{J!g``$g5bc+ga*;X-c7(RpKMrLkEbCVuF6XV;N5Tn4yM9-0 zZms*Bh#fe!b$4ZOcC*>)mVUy88sQh7c7t_@>iq4ev1*5%^+1Y6+Cs2vzTN1!a#u#~ zuT{dHv$|v?koj&e`wZxMhbe@v_{;$j1e=O{UxGexD8B-1Dh^thB|iJ?R<%tPly&=Y zT#il+h^sDjbgXU8W>X~Cg@iKvbWt;gNDk1nR8IeGw#H-Pem|8fl5|g~b|v)wruq78 zkHT_o`h^*~I2}NA;k|p`<9+eT^yfQk@(mVIvUM^MqyeQ{oxi$FvWkoc6c{?agrtA; zsB)vg7_uNhBGIi)yN;a~!i4}VgrKy*Khja>M6V#<ZCe!~0JL<Qj$J>#UY9x3-Vh9Y z$uVLAqZmw!6~1I5TgL(0NSVb4$q7NQ3&r2ZA1N&G8f`@(0~%fJyBT&W*NyRyO>(D_ zC@rB_qL=}rqNi|<97AQekgU|9d<Z$6bQSiS2d8JiS9Gp-f@(NW)V{<k=0V}#r#mpJ zKH`4yhP}aPh&-yQP(sNv$EfVZkxOkVV8cBrvbfI5@UmxY@7^*HGLF;ma#ZhkSMVVR zCD_a)DypF)2KjsFmZ(8`02cz2UvgHQ>rVVRosRQ``}Z2)d%Kd6LzEX+-Qo;Ol$07c zZ?_?U)&VUB*~OXxjreeK#~q7FR3=r5E(#K;D-{{;13Bzq%ygHp$u3RWyxlXIj}FBh zcNz#N_0K{WGKUFl2mMqiyC>l7i?H^fDH2`R7a{$74a7YDabeRY<gjTdXx0jjxadMD z%TnS~Np)XuHyzz`F8^+Rcr%-GkU>Y9{!j%Jd5V!i)Nk!9r}i#CrjTrtOk9cM_>02q z<OMm91Z>wJ4w9yA{U8~{_+kwKM`~dVU=d(s6SDU8-1A<&JmcRi&mHUSNK%zH(_43R z8^5`R(_$_~SM2O~TDBn*oVvs$(pM=9LAQq&<Pr-WSrO^m!9;Iz_&~<#&vv==B&!3c z0k{c~#@-YmAZdb86#2~gl7)FVQ4||ccsFv&vk_^E<Dwd}>$5M^E^LHpv5>MZk}hmY zt<1q?>m0UiN^Q*f)cNp%e^vMrqSeA|^BqCmAGl!+xDFOJtySFa+BRV{7|4sbmK=$D zK@_@eV3Rv_q^s*?b!kbpX_g3$q`82b>HhLs6x+)?E%{&1(iUQZd-)-C=P0&zB>0JF zDKIesT>I=q+G#LwGlymYEVNBO=tj)>8(B0l3MLgWj8v`I4Pt(KSWJ>OkglJf)Td>w znq=&;lj+mwlXb3ZQzd=P%ysq|9?;2*<jw;_6zNb8=TFT9Ez?RglPQ!<C7}h(mEl&V zIA9&p*cu<u*+G8gpl&Q~<d2KM#lQjLS%(&@XxJC5$X|!38;2OrjAPd0`VE_c(Z5K| zrL<zB-m+{&1UI+7N2QT-ldx(r?kNABX)KNo+sP;KlShbwQW+fb@4?3(aFz<bVcjKw z<T6?dE}w>KQD&j;4T%d8VFK(E0HvBnS79*W4#mq*>RD7nvi4BE!hFLw6Ya}VMi<2j z&5Uy<poSxjG!AiBP9XgB*Fg>oOX%I6O?TKP>>NY{%aKlAq!ML<^kTKU%q1-jEU-O` zlY_<Z#;gVpIcv;8aZnUxP1qnK*-j!tsiya0>(&4Bo#ew7N|ofHUIzdT<L)t?81SVe z)mRm5aMSJ#WGCj$lZ_?p%t>C>e|aMZ3FR^`hZ@|Of@!1(0tR8lQ@C}{H3wlrq?sTQ zjf4g72y_Q&$dk<?a@1c276r%R*55&Dg&()E*r>M%A{<xjHm(+WnxA%9=E_ug_pbWa zRbi34gxh1P7kEZVOc0<!wcquKh(VDP+tDtvJ-jNfInqP2EOJd<@s>{0K<9FZ<XHpt zCTdn2R$9U>GOaPh5Fr8Bq0e8KqzR@P|4c?@8;=vTzZ8M`n}#sOU(IAFTt-KDnB>{j zWRP7pnUVw(m;L)JRw}EsUo<W-jRi0bS9BUhEG}r=+Y9?H0GDzZEiQr|pVcyQ7KfsT zD;jwB76=nZsAeg|el0ixdK^(sYNV7RqXEJ6@C&2RRW*K{sMH9f0qx=|9jOUJ8|9gC z#cLU=#|Mm2u%YPW&FI~J4H`9FM+FniR63l>-9}1q8oSDbJ{l&Q5YZvbrEeOJWn_bN ziaMeGyTfz<v8ey}7iK`d4Uk_P4T^77jbh`7Xx>)>M*y#(vx`$}M5+nX+?vv0_%$R3 zvVzeSVYxPs9zsWV?ptkH;7H&?3O|&M)-q-wWAz1t7N2S=*f?>0<Wq`#w)h0dIS;2k zU&dc(G4csZzFNE!uQK9W3Ph$|Co>>Ko#h(aktxmphX<$ONIB{cG8H{ayO9G|+~xSw zb~xtq@`PVZ6a18rzgj~!q+Rtwu-(*UOtH=C(1xOQmZQ~{)IE$e59m6s?Vca&-}@oD ze-<#!El8Uhg)D`r%NXH!nFAC3>W8a=r*}1K#j!^~z`*u0Pv4cKW2NsLt^~|W9DeU~ z<W$yO2Fk?Zm+4krdo|I~YKaXc2M`wPUNDC#^<i$n=k9k8!VSLJUUNLLk|*z)JyGDh zV6Xy+`6+Y!X3QfpckTWn`^*6Hzew9(#O*KA$ONGNi{$@B{GQ?_`zz7>!Oz8N?Fy1d z0wKj009rxt?`Q#o$L$*Kq3U(J2~$1O%*fJFf!H7n)ic;9O`!1av;Kn?uAsfU{a*3< z*tOHl@X~i~@E};FKP9{rdPRFENM`66LA0_`uukql;NPA6#i{c_3?1pTi<ZE8lgYjO zjZH}gWD%ynTsH9S4tmqb@n+#yI8aL56(}Mp0QFC-q#4!rSG%x~7jZbe&T~C!X=)@> zQ)ddsX(|S%`1yZ`QvW(h7@{au4VDQoQ;2wM>{GbRLC7B|YeZ#Ju@pP6J!IVBREpzX zQ#ASGy(8F9fy@^bw#7cwJWc`LaUz>p$(r&fDcX@OH1$ojzLaIGR}{F3EUK74-8f5r z0gw&^TmAt<F@fNqVV1l=1`r$h2OCC-I`FtLvDEmbf-2Fh%``SNj6qk<@O*Zdzz}s7 zL!`+;e#XPh*4*oF-Uc=t!<2#Ql!c9Jl=9|kBZH*@YCM87Da}0<8O1L5;nhe1U*TUz z9&L7qf3ScYKn(!pMTrIkQMaW%PS=GBk>!|x+NZ@3TVojS@i6l)UQ3N7GE{;|#&Sm7 z0Fx40Kan5(%~?2tH-y7G6&b_%Hgoqk<%}_+x$gU4=>Z!=?9KmObYP&%zqTp1TL&4U zyF;Ku-ynNqV?E*u<&H^%6OjJNp85g6Tz_(Z@bvt+Jb&?WHT|~X`&_%~<>}yZ{o+vL zo8A`b@V`m}gz1<d=KL!SAmBIWu(Qy4(T80^Z=1>Ji!^N@A9a64CiroK=;wR^b#<7n zXvT+9gWA56D6wvU(VIfZj`aHdO(6%rr|a3n*U|0ObftU_aEi^gqQqrH1(-gp_ePuV zu|U)i2-fUcIY8=3<WXq)LxDlvDd0&C)}`n7)auuAvsC2E${!5H$6#*di^d;7do*w} zhExwg`Ot(eT(jQPN9#`lP&nUkwF|+zt?R6@$UUX23J_F5@i5o4<ml>us!i(1v-rL@ z8S`?6c>sn0G7FnI+HOC(rZ;0J8Nq3@RhR)hbog(Jxgf!ic27;A{7K|xPY`wjKaJQ> z(ctgGJ!$|vvPjjoyFY3c^(&gMzY}ii5Xa0aj|aOV!;Uwe5qZ!ov?C_!?Q<v-N*1Iy zm5lugNBgtGDN%699we-sE49_QC&XWQ3MHal5ZXizsEeO>yBNKq_^Msx9d~^0207`Z zV*4UNcz1U&J8!y_nOspJwzFZt_0FaZi$XGM1;ZErNTuUVuSfCCn|rfsR04W&-%P+c zFC4MFb|8*=djP(iRO7|ZiRf2+h7qw{W6=G;WTue;`PBik@X&i$=@B>L$^C}c_Io3~ z;-(jHufDw$z0vm5-(Gsy0Y?@zIOK^I%~X5)-*!E{JzILcB*zD@;~nEl755G1)t%tK zfhBZQ{>hf_IV`O&AK#zHUwrop1a9B*&dobe%JT6krem=>)(K#8?d<@!`-jU$0+|X} zo12Ri4uEA{sLfJBF;LPGRZ965_roS`{6sZ?=uy;kKx?Oiq|FAjZFDT&=HHn?<3X{h z5I?UEyNC1r#v1HtDbB=-iD2&j8ZYeJx%8t$GyV)Qp>hMx47k<!krXsX|1*tI|3oeH z+!Uk`SK4&(jp6F%gmDloITDdP-{SSMp=j<(kfrx{EF#r;`?cZfI~MR0!nPO71z`FD z$m^m+F1er<;7W5qh=-ob5xkQ&M51?T546{kxr>AE-WVFZP?Ym`3z-JFBh?gz6z)*9 z0C|bC&IilWW8G&8eB}+$=d1YqK*-*?&(<Tm`#U88fj3xubW%=!KAP#K*8l8S`nc-! z;Qsc@k`7a)m{fII9+RUf(5wWImriei1(#t9IT;gZma4Q@LIfG#i?$y3lLXGD@A=$E zzO6btzoA;kRM-9YAdGlLTA(!9Jgp_2d<{o(D2z2i0*teK0?aMYoE3mqpgAi7uRwGD zjiItBWJ&i!VP7Drq`z_(I`<ej_uIV6`aLDJ_sdNJeW#`lz~kvoY2jdB9Ij0GRU8hl zQ5^=aQh^3<UIi9!UI}OlK$8QS4A7*E@j@e2#lhqZd1xepR|4<ZQm3+=_GW_{)OoW1 z>6);}252=0NP!$liGmQ4YT||M{b~P!k)(FggpB8__dl{77QB4Txaq=>$`+6S@3YTI zEV&X0fyirqlmH?K8Z(TD_v0qdI^&FZ@$7_x&<=p1oji?aPscFZGD5g5Trjmr`qSKG zc+UV9G1aCV$UHu%^4C97nQntStk)~i!f9Vo3S31x(98)kzI#5@v=HahYJ3Wy;*b*$ zRilJRr^^s5@bI+zIeot=$IU4Qq%`e@qjU5|Q`?3{;-p<cE-UiF$@hvN43siM_yczo ziNQ=NAr(9mB1^UyE0O4;oZt3Wy^`mxnr6{m)hDnSg)n5|2xA4cp)u{JVzC~giAp3> z4v1<5dD4o;*l?_4P>PFjDQK-*<vsCZt>cgeliFg+%%nO{@i5x63zuL3;%LU}ilq%} zK}GVQanbN#)P+MQP)O8JV^2jw11j|tP2PzINvQ&f$Vq)t(YkUttq%l|P<DnAIR}a% zu&hU5(6QK_NP-ufaUnJ4_4|lWXdC;voS#^B(!1O6U1-yRvoF|8BVk@jP_ku=U~m{e z8uU$aEZN0N>`A%B4`pgVI;olL;)?5h75U?u3dncC?>MNDsx13R1fXez>Mzo@w2(=| z<RM=-If{jkyLx<RdP`B{1`03`+J^K&sLoT)CTxZ;v-!-icT~-!96ePN#PXjt&c=$T z<q3leZvmxAv;~yv{RuA#)OW@DhyH4ee~R5Hz(^ZE#qyVVSZO@~8KCIeM^{gt+S8;S z{X7ovOA%iRq<==Gg_eTrPEfvDcn<{Wuu%Cih-DZF_frYGAa=N~Z`jqk^K*RtV3@fU zN74m<NcGA06(!Ajzf8&Vg~eh2@?;_ee_-iNVw`3-As~PkPB`HEEFko1rUek<3sxE> z*xV&g{^sNQyZf6kpzF7wJO`<>2WzaF*^Mp#Ysv2VZ;iCziJh4i)%32WN&fWw=5^xR z7lN{h-9pR9$&{*hR{O#DUkri@Zd>O)tHien_`o55!1T~7xJ>X}Eb3r<C=4Zdr~tZ0 zI!f}`cL$ernjb3!DN07!?XmEBxW~o4@@Yb5Vb6P_zahN<b>S!ru`4R?t<x$2`V3?c zH^y!#LQHpZdDsyi-x+WSZpFX%o9TXz!L9|1LEG9lBh)md_6e+%A9zF?<<=tNqw;qa z=+iy9XfpAny~3`oqxOx%4NG1Vwry`iP}@)oLoiq1;rDf2B=Ps<XMIsgWv|*cEjA`^ zZIu*a<(&KhXfLsZWR~NN-rf~`AH?9IKJ72T>}0^?42-O}M{i&|rs%+;A@}$eXa#|N z^o-u{{93eeQ-#&ZU<+h4eEwLO@}0fhS%mA>p=)WS;HiWDljTx+Z9&OAne|oS(B`Z8 zw@U_v>|`=m4K8;L)3F8)ca4bkZ!7!Xl1+cl?th~NB$%5vm=7uBQmgppT-DF~lw-Fq z9_>t4=u&5TynHRVc>qBy2mx(TQ!j4oR^5O3MfFOTdLg53#FuN)T8t@L{1b&vhfJ*v zFCaMbVx?dwG=?&?;wL?wj+R>6uRtKiRk#y6MGC|u>2zY$+Po-0xjWY8<K<QC<!!rI zCjkriNhV*I`2x_CnbNDMOWM2w6Bv$AsC6Qoj8@&6oDaY#wWeH@nlTo7=QY6RbUB4# zLoX%>A-+<)mMJ{U>DHG*3^act1=9YxnLpesBO^9{#6b?Et>2nA>nS69HRWaldVl8U z56jBPluX^_fF9egd9$Q4vO<#<6XZYu?f1|5;SWohb15Z62hmF1`qkl&cAAHypdOxw zT(?`Q(jeVuk)yBd$3DM^Tm%^ztONAnCJ>l&>%&r&;N?{Eup?nsE|LV|e6+O$!Me1> zN1aR0N%!XZ6OfNX$U?1e@d9)bk-Be$_igzPt)m3Zemw-H8RzRz;9^z$=1~L#P%R~X zj|r(xHqk;t&te8mJd0)>5q*?rV+eyq%JLt(KL)ZRsc}yYLmzp#{E)HHDZ3Y*{d%|V zKd;NBjSyfciHAhKmlXb7h=wM$F_MR&Bp5RKUb5HgwkrmsgF`L~D+awAi_3-^Qy^8R zsjnXdouNa)Ttj*3=4q>Y_J<d6CQ0q+IYC@$yPp^v!&M*fK5(B#S$`nw9;dJ_VPgVL zZfi1*OF^q+w-054zJwWV6X%Jy;*=hm#K`RdUjJiHmmEJTfusIFQU<NQ4jLBNUBX}` z9^{xH*|^RFONlqKig9U+1<6rxASp{TgELG;L~TP6l%F73zpe~Ri8}z89|RAHHeu12 zl}^E@&!-qi#NbN!Aq9s&W>{Mk&%muEDwBdwYeRvKj43*3J1631m6O28t+hN_@(W6j zS9u@&!NZ6=lL|j=#WhYL9(h?TG}<P^#_;gB2$zJQVJse3y=8qsIw|yu>>m-gZIps) z$yP2>6Z3kR9UNMbEYwcG>rDP#klf5hSWxFP*>o}cL7w}T7ZZvL3x7OZs$)neT%)m- z^e31nW?sFh(7rkt3|TZZ4YpH)XcFTqoK|vg!1jLMm_%6-f~j>Mm<FW-Nsgz3Qvf5a z*a{eM$rF|!+@B~*mqz}Va<dhKp*k4!FAHSF>O4iDpY+!UKwH@Z0XQWfAo&jg&JF0h z0DZ1{;##@@aP@9zYRxNZQyTm{1mOKx^}vypniJ!azE8~NAt>wO{j>@~l>?VB-LCln zlUERc!PbU0c;4nwHyUhXL?Ukv#ADt4mUXI1FrK`e63wdC9U{&jIcFHL2cv8&f-43% zSV~6yy^E2)%LAsSV>7J$%xON@z~fuO69ck<Rlus|CxCaupjbs4LoVDTVTF)vP$3D7 zxBDj^6kqIMsSX$(ob^xm`rmLnV7PiI50+@tS<@Qq9m>^&P?~m56XK)ECRgP`I{uRe zIiE}mvk^iF)on`%pmK5>acfll>2?IxE*ebC&zPom`MDD8t9pQXUNaL2WGIqWd2ien z4je|u9p02I*FbGFuzn}4yNH5=&6&H*l&;OsLd&W`4^taY1z8;vrKz2y%Pg)OdQmNo zTTd%t8G`^$l~_#e;r?g*`n|_<OYR)fJB*d|9P(R5gcj`rAk(Q#R)YuY*wfNXj7EW3 z;w$-+x3n$u^Bfha&h)qMzF0k=D53Z^ptp`)yghB*TKZKJsuWrf=QPw$A@z`8Oh)h! z1`RqSCXwY)TTnQ1Cron%ZY+H%)5zRlVK(0H!3$e7FR<x$%0j?HFkW_2zL2h=`rsJT zZj5M`5aTeA0`3L~*<n{6USJci{v%Q?O~)%{+mZ>UTTqYkpi*L8NiWo`P<<y_oesH( z|KTC`u@2m|Fw{BYg2A?#7IXZwWuKWkoTjdoJ0nJP7=I3x@Hyp;6Al>oDmkYz+NvwH zRPx9+*k&zZf3SLyt$XB6PNeKS4ue&s>|+Vgr!BTE_nr+GfGC1$q<QklTj?X6q(|g6 z;l(>9?dQmom>C40e0bof;W&;vvB(RHoAJjAfkx(7^PTIQ%@Zs6KK)N=(DVLcGHl^u zBeiO8DU3N4sGz_QoAdc@cy{t~iKQq507GA$w7;~Qvae2w7XGg^SQ0IC4ghxB82Rr1 zdD~dIzdt?w@#qxxC}cqR?)-dueQfx=d%XY((yH^mx}61_&AwO0Kaam{&D}06{QouM za5B%l{CN+nSoo7VZeYhy^S`O>+5acDHwtfoQ1h?Y9$@Rv0kPmewLK{LiihC?y_$K0 zA591~zNa-O^<N?X?^5A^mBMS$*T5{lv!SVGK6-8+vS8ya8CRwLs)4n$^Ph!<)!rC~ zcTF;Wr8R4fBR&`QiG78FJsoY@UGJ?x(e=pBo1tfeZI9dM_V^>9I^WA2fZACuoc@a} zHkhY(hc>{1nbZ`nW?@C=sC_@W=lo;^SVl_i&bAZWv=y-Y_+4FMcW0$IWAf|TUz^^l zY=B(XuIh48;i=o=<Emx2jO)F+VfaJCeLT`?ju{SN7Xk?>pjb_zq7Te?bDTJava@G| zt6p=x)0VlVBh|fW>Bi;(pcfz${Ea#OlFAIP)>2={OSxZwAQ5(3U*CQOk;PUx7}Lf8 zzo_B1Vyjg(va0Cwy0Hx6N@L0WEZ}CY`VcsQZM26M`PrR$Ij}&v?ggbz#m};(uh-or z(D4rT>E{j#dHBCfUlpPLpB9@Avldul1aE-%%Kom^nan3dwQNtLW@!O4;C?TY_Whyp z0r_t&ON0IOas$)~xpahili{<=b7p1>I^uqk*?qhs)}45Y$-ZI?2`CHq=WkDq9Xnt1 zzC~G$iZFW-mf3;!Ppqx3O!<=EDlGUj;$7^y^P93+SyUyBR9FWYS{zU}1@G+X0Qu$} zp-eEWkXM;A=ne2=36}Ldrm!wx0c`^^B5~%$iBjR{o@oG?j8Z*6fi5LM@=rq01swO? z<na$|W-@^lKg;<@>ifIzpLfEA)a>F7?$@X4ERyJ5`1zl)K|#b&<;)eFFXMR<-QGI+ zLK2v~Tj>*B2d`)$ObSv$tQ>z70Cfiu(Q<lMZcp~73+31)F#O7H8QYI4G@ZZ<5g2f8 zxS&B{TNpak%RDG9Vx2w4Bp&jou5dI;T`q+83SD(xTQz@6gRH+e&0(1S%FR_#4;Xv< z<$21}J>K0{SmmqQ>y~iorNY8>#+y7p?0H!ZUX6h)_q>1hR971jodNYP?d}2F>=PV) zcXOYX#Qi~l*H8V=+?I{`Ri{7p_L-Zw@?xCC0`odW5Sf17rOvu=^B`0W*+1MjgV#aw z<h}=Lsg^wG%n}Tr{SIVB0Ju302xF3+1scMoC%^^RJHw@?(iZ^*CG5=G*|X){N_tYs ztaRaB6(Px22JeO<X#sD@!(X<qw&XCiih^q{WiX@3qVOhi4{xuEBfeBeJPVZVJ~l<b z$>c1woa4&Wp0qs5jtmLg=27_e=d2eYs%_bjBpJ@xUnR#x*5Tr(k#VuSn}O&5ebgEk z-KfcB;pk=I_em&GSg!X6{gYOl%(?*F@8AvgTqv^tBm;~LEk_|vpyH<i8UmC96?(cf zd6|aIYzzaIdv`MtgVAhWF1ltNS-FhC*TNBP{tps#T|oB#o$8-V=VV;9um9WqKnEd! z^BkJKlC8}Xg4FNiQ2tTKzW78D6w7yOeA$3KRhvPOxW0UQW3l-Ee&L8{91u3PJ6l7V zguh?SB}T3e6<jzpq&pf;;_vfhPdU<ZeFqs$n{FY^m;U?Ab6=Q-BoRPxQC_%+F#i5Z z?z|(#%i*9Tg(6!}L1cfwyy8(S20kl@3C;1Ev@*s2JPic^T&rb7E3+%_U1jl_xxP(m zjh_D@qSg8+sZ=QFWy!tP{HiqfU%|N@DSm-mB;G*4>&FaJ=`Dp>f}7lpTI0o2Rzx%5 zs>)1rAsF3ivshVy?JF7ZLzMbVGiF>wvqBEtD%WMX#eETEii#l)q??K%7NmxXAqFIu zNTxVIA$~SKlwwkxHY0^gA|s_4Xk3!OE6}*4fLEY#NdvFa8O^;E@bZPdY;XvLy-aWu z1-*1|Q3btJ(uvEZG%}EhNkx7#vZ`Galw}*Kf(PS;zE|#lw=tJ1hX@?#<!hV5EeL!? zMYczL_$Z~EMBxPZDx)*iB?1&>w9ixS5#m*6{pejls{l%0m0p9CUShihs!^ANs>4&L zf<^H&ibxw%iwBaW;tHzdXO9ObnplG{EO)y!KX=eySpyle*&1ColF6wWtYgw9YQB7D z8#oLf!-xX{!ZB&`5I_KXKYUC#6A0MG05_r!ipw$-4GPPi7Xk+PWh)B@d8F6;G4}j+ zfbBM`HXH=25v4_9&Xs#4vL4rl+7SbJNiN9ya$mDvAS&mj<``zO5=zCK;Upr81v4b6 z&8Y4jrQ<`7s{$=0HjIW_VMCfy>?d7#DP-8ol1hpTDHP<SWHM4>5;zzMDJ`{mWoH{* zl8P)(Xk_MQRR9Skl!Ydt8cN!RxR9YLhS-p1Du$Slk}8JiMKS~$f|kVW)M_~c;dFtc zlJbxAKwXfTP|YI~0RLd9({=qFYjGu4GZ<%v8PrazMb@50>e4vu586updQNfw5#MyF zUes$WJMP|)0fUgyLpHNtvxvBmDHeBFM9%QYCn`mT(||~I!x;{_MyIj4d@4+uls}?B zPCL1!j`koQ8@6RBY-YJxlLEn#s0;dKaljX+T!chL6y*>>L4KrBhsQ-}D1J6SyL#Sw zZSFMNEsEtpYb%wLM~6{Sp221$RZ?51*5~BOjClqAe*r%_-921X0oGT1{;)w;v9Bh} zJ}4X%TuKSE|A!@TDXoaKW7lwooG<9N;s(Q-Xa6QN8cP2i+?R&JTo&(~AVEvVj+F3W z`z^w1C67qwxcbgRI<&dh=;Q_^BL(S&f|l@3MwRzCvFJ3<9^c^fB&~1YNA6-=s6j9A z{MS$U=}rkpYvE4x(Q}DF9^-%-%l*SM1RFD5+R%$Cea1fiLe|V0_B3<;_(;~*DHVTD zMPn`lbC%zpWZ1?zu|es*nIpe!4D`=sRZ|0OzRJUybYSOzCRC2H_TZ0>E$f#QszG6% z{ZuHXC2U$7!sY!w>>@5jF{)OM9aQNU2e?SFfRZ+YcqB}Ou1~H(Fj>o&4zOu?XA@@A z!vh_Q_QMmCzE2(GwenU3#`75i<J&n($V&(%?%|ab%DVHl6l~+ORKIorT=+R@9ZOC< zSf`o`ly+=dWMab5e?L)7sg(xxo)}!qN>9pLdz`h}e}_BTLXn5T-ba@-5Xu7_^NFID z*TkZ#fI~1rG1**^>4Lc#$~?;ur8dZ?J(TE*;&dXS81--G+H-1Rl{{q=*L+)Q+q({= zTSLP)?lVEur%8G=u|E6J#`9tlclIsE3Kkzh$*-Opbk{<3!FV%DEnO*dt4D8xOVo?| zq}GAa$n;spTLPDqlzo!~EwmaC5KkU51mZvn&BF;&`o({uF(+Ahy^haP9|Udj3v9YN zuWAbdT7BXS$<Bl{&$!HJr64MyQx^%mGJmMkY?2;`Lk{@p9hkUiwcmA-fT2l^G7bw9 z!mtDq`P>ALXvEQ$Qid07@cI<&m<>#)1%5C_EL%=@s0oW@Lf?tC3>Oc;*LJq=<jh78 zMl-Tj;|S+Lltyn1!oR#nP00N-rPt{flX<!fQ@*mCU~Nbp$0Qp8BldK@SwE@7D!5L1 z!cRp=#)Uf<c^!q9W+y(Lz{Lz4V}g%#PFXKIKK4}cxf03#*2XeWDkfV!;Y_^W+p{IS z&$_E>Bk6LPr%_IpC$ubp3=IKjECvqr=O5Uyc&}3u6kd4D#`GMFw*POdTGa@wB(1}g z%YmtCajVwDHsY)H%e&Ar+q2bTJbc~LQ$Uv?VICyI>gK*DaZ<!|tB@5Ahi@9U1|HK| zA^}`<Q1I}yT|NK9yC%KA=)gwl#)D4^pm)rzLq%CFL}gh5De^bjbcMVF^rNG^Y()FF z#c<P-q_69N4(WAE3Lm?1gB`wX067}K)6X84@IdpIHek}u+!DbO@|Q7{3CR8;>p+AH zh}>*qD(`%hjIROx+XS%L<SO!f_j<gq!pE0fz%(6={<_?oDln}8W5{({w79e3-JNmC zUOoD4yLjLT7P63Np50d&^(47tyjfJ0WVv<|)f9zM)df_QM1^v$Y&D7J;OcNfRL<uj zD|A`)B$ea-HQ<m+Iajfo#FvojtBtfO)H($^brp^L4%C?N-Abq1n<=&AX(bCmWVXZc zUx!B})ixhfSb&uMA~v~ClArt)K~X4(!o#{8B&H^u{_!ev5GCypxXwHNN%5(xBGQg_ z(72>T{!win!sDaAE=dY`x_|*FzyRSqQxi&$IF+m&5y@~E5@RN^X|{SN{!tpmKP2R8 z86?Kl^F=_0Cy;R<B1yv~GOXJq1I+OUm}3$U6s2q*7}XY-m=d3SC?e^Y1C5)%54<lY zH6uCw@1Uq%ab&iPa`fPdl<dZ&H?_iqdL>SYw$RiIkzYR5o)6SV&^iO@b0am#222LT zomxZ!pRaumiuWr$+YV=$9Y85`dgmhd+r5R0KXZ*^1s#W3SN8|6$eQ%dNi9#pXTbH> zhK<ahz*$}H7i(|pcT?XMubxh=DrNxibT{A5j&FWE_WJZ_mThkiZfhJ;w~^zY9qnma zo9+gJmCgD@1caS}>(*O#NN<~T`-uDqSO24+e##PV|F6&j9vfoo{Xg0Xa`v3mW=+2g zqEwXdBimX#^|H70HUYZ!gr84`VZ_ieDPpDDTU26+zW=Hy{3oJ7{{iTO`n#Vq0|2&_ zcX2;9;ofQ+Jd2pikYlt#sN<31YD1}SDxa^@+PsqrdC~ZB@#j16J)60QV-ZvzE{2HT z`gFXeopPg)3u*^B)?uZo{T^H2?m@3=rV$>2`wdX-J&3t%K6lUFHIekL0xWJGnj=FW z$W*CkIPb58>dyl_R!tH+7Jwx~!*z2X9-h=z$9^9<J)RvHzU#M<O`^UzANs?UZ>j9? z8`Yu_N``d}5kEm{{CvL7p%v-$_DC8Zb+~uH&%Y@Cr@&SMRA8g{e-zjNKnb=52+H9M zFWwsW8#x{a^%Ymv?sB^7a<hZ-z%x^%<_bcLYqLfP{cnNR@J?17H8yg-YD&xxO2`~u zFaJz&1`RZ<F--Gjt~ND}l~h6|+^L-H)BJ1V1_|zoB}wh-TKM^SeH(1fE-3y0Hx(2O z8c=o6u$CjH_dLB1x&d05*Xidk(<l`V&bkJFsg;#Q7G1*^35QPh^vv-wL0du8M^*;* z1vaAcU*b>BNcJWJlq73UbS5_hp)k<JZ(}s2<jaQN33m(lE+6u$1<7T;=s0l{?duSa zB@-^=y%76kK>GmSY)G6}zgS}9YR|F^3({uuI^)k_O9Y+B`2d8u&mdN&pD3b*6B2Au zF3F=_S47*p=h92iiM8-u<-GdNsqQ^cNP5Hsw2ph(Fl=sRw3X0=yN1DpeKorfP|SvK z@?5~t{NF?zbw{rWa$CKVvF6|N<%`~OE*(-Olvm@xWZWz}oH1H?@<Tml?|RV26TY~R zI5_6;%-Bh}u><I*P`AnYrZ}bf>~okd$OW=@rGt&QsyA5c;%#s4s>#?!ri)x+V5L`1 zM~>M}V-ZrV1C6|I83fa$%}o<auPzYUt)!T*{6W1mQ8pGS%6?Wox0fPQ58?Bjr(v|< zrm%!@8J9|$+f}-rg>~6V#*E{T%fBX%N@*vN=W1R3_yV|XCfrSTmgyMuQQ#YrZ@7@G z?l)@EVTkM`G$tz1L-%mHkl!_Ga%O-p-1vmCj(XYY*~OGVq8@r7{p_VUBtw_iY#6)j z*E8b-%9TWV$#GRMU7&)`*yz0y*xWs$RGuQSgquyS9zD`5XSkBghlb#~_NiT(_61^S zWiK;@Gy<$u%fsque)S8Vu>C~aVZ|-|I_}VoHYoO3<`OSI^?g|DCed5UU0};>i|vrC zVZB3AXCTQt9-z2wwiQxo7F2bK!i$wdt^&qSAOuHpU+1y04|YU+qIJ_t`an!HMAy=y z@Yd|y27cy)do=$u2;}8u*7!*H$;bH>pZ9BI`x@Y(Gs83456#0o(@h)1ii(e_@xBN! zi*z2uny>wLDI!9{TiXke<N30|H}sv%DI*QUYJ^ia&#hMo+C>}51*pkUKpDje)G$mb ziBBnEV2p0Svc4gZc&VLNld>xRW|fg#0jpuokR!xAJ1@|_!7w`?Rb1xTR&rXlfbk{* z3J_wjog}xN{I;r{WNi(qQof+^c=X}VOtuY=bq$S@T}pFvtj$(xuBgXo8<bM=g;5~* z*k{YCoSbvv3upAc1Ya%N?6WhV_u@DaypzzEETCr@II}_Oe7US5I4;P!IQQuIfD|^O z7>3T!WB7Ch3rQsN$$OXxmx9KK$-E9&1@3<#B2?yS=!dpas_}3U-r0sH{wV;qHv<|n z#|~r?ME_-O1DOLn-QV-D6lXk?eUycv0ZBLcX<da%3m!Ay-Bpxu%TE;fZ1G-<njQaH zXaOacA=zY0hK4ue%3NIAJQ0v#$u`fZdl`25J@xz5k^czSnZmxPyrlx*hMaRnc+LqJ z+{RugdQieO4Z0eB(7o=Q>H7A?qXYXC<ch!+ghl*&1K2R&Ax`d{t=4Rn6Xex@+?Pnp zed81yN%nrqWkd&UKd{X$g_D-c%#@4%pAE=UlX47!EFTTwqU3`bsb-_KkTImVlw=04 zlpW1~tPp8Eyb#Mo5dlUKlQY2Xh+{3)k8N~YJM(k{mBMXWGK?=091AE5cm?U97S*KM z7U9k5;-2oxp5V6g^4>6GX;^*Ekk->VOfR&~Tp_5;Qvc4~w2?bZZKPfND9{K=$H%u& z*+g9A5*;8mm??I3@Ty@18V>HA6n~&qlKg%R+|o25AsGZjjS!uub#K%!3E7U^-{L>? zGtz+@@ZBUy27g`ok;Z}P`<TvMsZ`cGYl=Ahj45{D$vbfjE7LJtKcil6hBK0U2)W0O zmSo99S*t}KlV0nkFH!5oB(Jr8QTTDC#g$N${3Cfo^z>7aa`6dYW?+M>k^ukGFA|yq zr5#t%Tq*!C>%xSQvZ-a2?;aQ$@`QpgCL2~H3Qw2-SLG{1*Z4$PHhr2}Bsy*Y1OPI0 zl^{qh=yeDy+)y$k<TRL)Cp`VZY`+}f$=7ZI&wSfruw(RUef%l<b%DkYGy<TV=Th`f z0Id>eQCs@<<E)1LFkivb7I|zgP67N^G1H?OH6mWH-hOGYA(|6XXvv0FEwEB)t6w=} zS>14P{%aQP4Ya+(3eG`JYdY<~3IkON??!3-5E7!aH|5>bU$)FR2u9ecSFIwCl(t z%WCS^)CO!%)7|io!|}?5Gt*qZtY$^!O&V2(%qkqiR%Y?N$uhv})rDlB%L333Zd^bP zl&yeeD#Lz}mmc)7Gv!~wzaDJBCXb+XeTLyM5@M8zakbWT9g|SI<beyXG@VUl)ks<y zXHG4jY|meO1cgU)6;Ng~_E7~VN~0ZM^2~)pzGOuplpoioY%KaKL*{$r)q6`8skxPP zB+;>kaw&&s-an|hp^IkFxd8ZT4xB83GZ?-JbW3;*oYJwDodKT`34Bd+wD#czT(kvw zkG+J@U{#e+@eJ{5H=dQit_pm%9~QJMY2ueqU-)I?q9ZectJ9?uVL}_zq9awy@UhRx zk)!#xboV63bMqaoUH1~;r0`SKcw{AL3GAtU#f_TvOHziKW!P4y7XXIVrsdn#pl{3y zl2BrJSTkXZ3o?GF@o*Ke(-2(xuEh0!8hBr=612qIMEd0#^j(KF9JId1ENmp1C=ler zq!$Tv>ZFLv`c0%Smj=Z)ua97qS*jjdxSfCcsUU-V`({C+Nfp6@`N2ZO70ghn{<B|O z{Trl7<fvW<vahV8cL?B7V`GuVb-^}s$*N+i3nou_!E}69ZvoMF$I+Yej!LfOmzP0( zW6Npt<Ykk3>ZE6srt6BRyQZrcan8EF>#6KAm(GfYD3^MEb+E%^qBA#>lYQAw0Qp`% z?{I9;DRIzVZjR2WbzzVa)|&2$dZxF8u6|0JStqT%7GnBMjyJ%>Fgdx{409{-SifEM zRN{wApz3jU^I-q_TNhd<eZ0QpF@7`fw8TBBoiGOet|(>8mjApc8lX4xKV$MZT~XIF zwUk(4I6`njCOXHa!S6nD3`jHSA#l5K0wk%P<x((!*iNuxiZ(DFivE4N%LPeU|1qvZ z8dBlMizB%0>C)UW_24<FjeH*A$DO}``(Gdt7YOuzOG@sG6-+$CF_9>yYpMiEW!47= zo*h;V^b6@4Xz1C+HSbV3%h@99*}z?fNa$<Jr`@-QSRud6ixRnIQB3G4y@+<@n?n*O z%QTE~I{yOemcwZEcA~HcBLJJCS|7B$&B0WpF?!1Bc<gQWzOZw<=XOf0n0udewT~xk zFXPm3|I(Bh*~cRWpno5?Lrq()#ty;+uw<j~q^XiRXwJ5`qf~&L6!iuq$p&=9Ev|%U zK}u6YAr+}1tcNsSG7ZuR^L}w4DR$asDsuH8)c~E1W+#w75gyQ!KD!aE1S`U~=82o_ z3k8NA{0-&a1d_p#+)1l`!`H#Z*-h*S%R&=gifWf)f5#+wZym_TK;~Zu6wB8a2Cj@E z74TuL1gMX!G&JREy3kwqGY^6X!`OrO=LRYIU#ZEJ{BEh*UT&AG7$E-f&07;i1*mkO zKfi{BYa_9-19k8-TPFNxn2#v)sqM;b)la@f;!zd8B_Ino49H3t(@}A!SOl`7fvhZX zAPaW|$oezk1el-F6rUGcEWo~RHaXUqCmhrMVr9-jc1l_^6X~Tx<V1fO!(T?w<V=Km z9|sh)?P7Fn#dMX5Q1yS|{S+R)I?q&U$~vGt1iPdcD~QexNw1iKmxdl9Wjzr|{?QY% zTZ@nLKCV1Dl6Z~1G_9ok^m+Svb2UHHlgc=F)&qq(1rX~2J#e(G{~dkjXJn?+H9;ic zTQ4VNcVTd6Mcv})>vJ+*cV$M<R>L@TbVH77*GdMVe9ev<Kd>mQNV~XgmVt*b=T42k zgw)km2u&CHXgP29ag(k8W~^@4>2Ysy7gTpxil6ZA+Z?`C<M<U+)Uc;8;-aWsrp%6P zVPhoV6i}VH-wseSPHpWfluYVY*s-g2bJFxeW#YaVxO~`u^O@s6d8^r43p<{sT2*jK zM7i&|<eSNmTl9Ysj3~Afx?y$W#>U@^bPvKl`AAtMvzj4WC=i6`Ddd`=m7s1}*kd@2 zd-c{C=-DD*)<|U>J002Di+)SG+&c-IyjK{X1$@5g`Qq49<SYT(__Q-Fmr6(L=`z>l zAJ48FgX57{F1g1^I`5XYuy4$m1VcJxG=1OfgtRR8ajrH)kEL;@eY|+<^Lcmud;)pv zWn3xV-Z0jz3&=l!Y1n4sd35f_PNWHXXAB;7rA|d2HxSCKK&Pm<BXk*0xApWHa+_%Z zPIbz$&q->1k**Wcm~Gk~thc6ZXB>d_=cC%Qu(2CI^-Nc*iLT%akI&zC{%4PWlyIBk zjeXj}Q_S9#XU=C*8^U5kd(^~FLo_4XH6*K&SkLxvQX}<5vO5KJiHLnN*)}UTHL7X@ z-F)i=brwKw0r4s+sb&Xn2fHVG%wa-+GSyw{8`rs)n^slA)n-5b4|V~6&T1}IjfFq6 zmFfbSLSFT+;vcNf<@y;EzLi*i@GFw39HL}NL(<PoZjNUXsR#6`pDFxxK<4Mrasi)a zi(42SH^p1G>7Q%NPa|cyQ|7UA(Y@gETs=$7=mHslwx@(s=l<a8#<mV-bjk%liukBe zyGg|Zy5L&PR&b{*x&PI=4J)x+izbsZ$%lo|ll%B1H8FFw;;uE)23QRQUWX!g_Ss>! z|Bd?7xdep>q*UJ1&%|BwB%n63kThH8QyexM*4W0>Yb&1vG4UX#aZSU58JUwSPfdkH zx0fwPPByo*`?gs#|8u_xy^=m)(}JJa{f`gB!5H^<EzduHB=??+5|7YnmAdJNe<h}J zWBr~o<D0f>fTmbeUk#}G(Y2A)X^^Au+sa=v-&L<`*G$(5yIcc{wv--aBTJ9p)n@#z zHn4j1RG%gB#`de0D6aH=F&3xzdSc&<aG!%Xw(f@v4JG||9asTzu_|6P!0m=0nm2Q* z?!l{_^vH<~P6^2J>qbTU*PbMcSdw2zk97#u!lDo=Zmmit4v?}W;q?RWs!*EO!r0;~ zJA%o*(Z5gb559S*38CVaRi^1K@oow(J`SR)X!EP7?kZ?1{J=IT<@l<)JE`;UM@`jT zJ>h>oHcl&NxOM}Alm>p`(&)!ztJS<bB<{Wo%SEIbQ&Xy*+o^U^TgcovofnC>ZvwaM zLo(9-%W`jR>!#;&>_p3H?egy|is6kD!QuresxaHh3h=ZF+Q$ACxPJ2O=JrqHle@%A zu8t7BpMDNrkMy}Sv&GQw@vnPVzOBRX2JdeTnHqKgu0SL`+z9TD-HlBtTEI0U5$KY= z><v5VQbj|>`0c^aDVypy1kI2rkt^rh{oKol3wU^_nzrQEOLYGZ1kbBI_KpuGhxd}C z@dY9Klq=2DqqFgr{9R~k;ox_btHO~jM}rfhmvwt#;`U2nZ&>0~bRlRH1}|S5P3Sv6 zZG-|qjVRQa*sx*V{SiVZJYM_5qDGnk=*#gqj&N6AyI|N>m|>p6fqO$C6ELa@!aV-o z6g8}qIX2|O(cb>>w{o9)3;U7MjNl>LIT?CgZo1^mUIWrq`UHSKhuD`PgLe7%+g`Yu z$BY$Sm(pSiTuTD*oGpopvhYL52X@UbWS9W`#thjSBipj;rJHE!5bUawS!-P#y0`nV ze~EWi9&$rf^vvS_4ACFzxdnT-$I#njqT3z4(uqU$Xbzo~@3Zh?<S8xG|M9Yqwi#9% zyp*Z=^;o-Cz(U8T-<pv<3hh$6$IJSkg9mhG|Hokj06i<V|AvHt4jB7?&R3w5@voy- zGkJ`~G5!=Tr)^L?3UikM|1yjHNo62#%{+p&N8P0#*ga%wvj2;Bt9~WFpq}ry8~;<` zdt}OKNe(mOg>j33tf!cl1tYH|V^Jf-FXnK(+(kQT#v4)nfPDab!EovQ&&0)ExKXS; z;WJ2;!nsouPT|vbcT5A~I--@G*u0r^!OQQwwFF<X+xML9eNkF8QiM0u?s8ma@U=oF zP8@AW`f5cCDZEU`Q@^rzw~Z5?QKvF!M|)$dL4-h}I+(SKTracw+jU8%R<&G;d{I_} z-m1bZB_$||Bg6-UTx+EDL#=-`7Z4v<TWSv4l4B-Y=EIaN67dzR5Ryk-n2GhC!dmb) zUv6$!EC}Y#x3g7e>@w&vV9exvKz0|59O<@*y>H<{8@G0TqOyU%{Hf+2^ID$jy{E50 z`F2_A7<W<`F1#l3T}sE~z)&{>Y708WH(PsFX7#&K%$hNvDZgI7meWl~GfvH#lPi;P zLoy}j)b#2aTaDQ}0N})luxm=p@&RnpSTbtz__9pl>5t+vcW?J?3BD&;54d9L=VoAi z8sOLN^SSeqDrk6*t7@Tl^ca8-r`CA;;+NIMXRv9b$W+6uXjjc8_jnS~P~Ot*yyf3h z4fp1GVK56AQhF^AxQIM#{ODLp8O}u-P)eanSxb!3CW>rqh<h7UTovnfg1<pJ79;hw zEehlEmb!8)Iv0Q9qP!t#eR9h;a<~!a<ROj?sMw0(gsB!(@tSMj6LFZ$s8CW18nCYR z6I(!Oe&OG7u42anr>bmx;iqKj94^Xskt4=@0FwY9C%a8EzJG;7D65;yV_7oqMUG?) zSljgyv13wP59&lV<(B|$;B(S3M4ozKv=6$7&8A7=5L&oqE#hbE9Z*Xg56ptfWa3jn ztS0a7QIy6Rw$w^k{y{<|{o@qJQox-y9s*roX#gxKCyX>Om^u{Z?5CUug#R^Of}2NY zPm2lwhr|f+gcpBtsazkR|9-Tj&P?Exf-{klMr1z|*0Af!=rvVLz5BcE;)c*B3>7{H z=i~>tW>WC|w@s13z#|zaqR<xlU$bNo$eH{6D7I2bkQaGM<%#WmSs|6?9v2-L9(rJ( z{JYgU#-s46FF$)FmKED`$_17%#oec`M09=w!q1<P0aG9KueshACd1cZf{$<9j*n16 zVLD6R6Pj~`Gkll$Cg2eDPET+d)lNz8oyCWOSsmO@lk0+3ft-flzUa++d@-}SJZtw3 zB3`^Gq|)k4O`em8PBkt$6zl^M?>B%%_0<0%8hBY5;QhQAV$rthBw%j>l;*5{1?T*@ zTU6aUNGoeA4!~?#5d;6yy)Q2p1N=w84ZmMR{N<8tBo)?b?8Yf-KPHRfrKXCAG{Iwk zRxy|GM~{tfW5f7WL=jkw+u`+5ckKG*J6y({nfkOxHeCJ>=X6Gn$Evl$p5H^$SW2$b z*KXS>;+oS~P8J5`d6PN2fa$DvUbM9uI6QY5QH!y)2Gi**Um#El1ipw`C^=Y6eGmXo z>Vu5#z#whhe_v#?nCb=s<0?<0MPRGs1Q`v)Qr}-yME00OU>H5UyAU!Okb!^$M)yLY ze@ds99@OOcXT0tQy#ud6n&lk{T%ay07OzAaErt;m1+ZmlDY#7$-3(444N@g-45)ek z6*7coB9Lxkzn*1jEKn5FOa#l!NiXfpMT8W-ArGFtjb*~MKW{qZ8%k)pF76?(sWQv} z1I>Uu6QXlA2ThU@R*@0aQfQkZKE^By@+?cL+<-1MBAjU&v}xLSvQf1f6l61xMjZTr zJT*csQx+58-Mo50_jKSBK}aWVpl}3bCoFD9>4wM2_e7k_bcGu%1uHLY%G^X(F2ba! zYRqT{C<8vH;-4L5?G+!@_^htwaVys5GPqX81C3rLttSr|(t(pCE7h4D!OkBaF@L9~ z+<GEX_74GoQ6?>*3K`-}@h_p_E06$CRab5;7X6p;h*>60s0A6q$MRnaYapW*NH7xn zmtc=wCjG7l8S={aFCmvITzAkJ5;F~HD0I|iFjhEJRxt0GFak0DB%C|Jam%P?J?r(w z>+MJTmlmPc?v_ij%h9e2k0g=%8Fl|IQ9Q9Dz%hus`{E7Hz_AMk3t0B*>=$1D(o9$W zSr%SG$SC2`X4e>`?X&s=W|r(2Fa(#UMf`TDFjLesqF-X&Bpt3=Ftd@Kbpp~pN=HYJ zy(mJ6Pp-V1q)ghqEnWP#<Bc2(2RXoZ^6t|FL0jO6KCXQYlm7STQzs=lKR*LknOrl# z8!w>&Osyt1bDfWC8#Sp=PfdG~(s<07!;uk?yGy8+y8A`efR*(!asDb+%5KXk-#0^+ z$Vbf7MIina!{yvNOi;FBRaH=)@^@E0TRYLHySC1DVq-buL4(?#Wqi8-i><c|h~j(0 zhEcj(k?s<t8wml)rIAjN?nYt+1O%kJq+7Z>r9<g%Bvu-v;hn|b|9zeh&j;^wuIsw5 zd(Q06IkV2r4mnUy?}A!H5gAyL9G%i*Qs4WT1OQ!ARd)@*{HmLgX)C6$P1SLBwiuLs zGth|HwW`MTl0;L`0D&!cgE!Jsw}~P`_a%Zid)1h;DRv!}leUWY>y0uXo`|ieyiB^Z ze6(+4`uZtFcuU)54#d!~C0D4Vd=z;T#zlZ!_y4%yHF1GhQO4bwoIW4*H)BCt62Q^~ z6?E`AwO79BrEQ6?L}Xxbu{w6p_vg@(&_tJD4aC>D#l@<x@vE@DmopoTr)x|h0R%RK z!f3p!IYv2N1U7tVK9PpT$wS)jtfW(kE|B~q1H%t0E0);4s@b+oo=gS3rsi#u?r~dS zSFAl&9nxG-IPS=_Zz8*iej>u1Pz_X4koZJe87Gs~tz+5IUauAw+igns@oV(Sw}utN zQ;=&+h2LHh73_HwZD^)HRNozBdwC2alasTUewRjm>2}oFIr?0b_hjr;Jn~w&<r4D3 z8|SDvN(AX7@|C|vXZMh1;6=CH3={TVQRFeH<GUj#i&q?BL}^Ojt!GN*`4)k+KVA1l zoMY$5SO+iW6a6X!bg2xp6FiFCRpgEMkb}_dN_|6g*7NqPEXkflHx9%3^c_2B>Ic`p zx3-wmsyjT<I(n1LP5ygZs6(er<$$v#Bb$9qpA|~UZQbbGFmx2*-}RZV;d?i68BdM( z%Z0>{uFnM?%t>~0K8W~QP8r~D*AR?H*Y=|b73y=_{83p7#{OD?71E<YLJvm7kJZ_N z1SAF|2w+6On41Gg+`)+9R*-NU<8=m!(pZrA-0B~~Vz^ymq>^;>O76lVlJheQWQ^A{ zTTDYx9UV7QaxOxNpzv+T?SUII!Ov`)8-M{#Jx9v7pcx*mP^IoU0b;QVP5b`R6T~yd z1tPSkKUU_}KfM*lv-fv@&dH2-kMtawTQ0^!x}`ZvCHCl|kv~%<u4iFmDe!CY=k}!^ zPr|EcmtP*fGM%Km)n~)9AfP|)^>nAo;as!#E&6l~IU`g)e)aK@IP`NA%i#<G>L;7g zU&NyV3}4}Ouw&pp47x?+i17?^M-Fl$$1yDsMm(KjoDgQ7AXTQ%VYhU#BzLvM+KEv~ z;4bElEapa5A7GRthNMVCQs7fe<7mUFM{=k~o=9<`#k`IDtrGeB6ZwlRnVh#_g>TWt z!oQm2{>qk`%tp+VddoD<_=}qP7w}YtnnWQSi*;{TP%QW>CqjwxZBXokPHXDdzlQ}` z$hw=|6XV>YO3D-P>qUDA;O#L{V=JfG81WnCRrn&~A~F6u4V^k7`KkK_Eg&9^`?OO* zBPUqFB|F<tmQWG>w-G@i*jlB^4^yG5_p0l2_#`Dgk>GH64CWtaJl;_efZirogtPPK znqQoakx*QP<0~#>n%Y#<Agq#HQ~2im&*5A-s3b)%A?z;v%ycBPdUQ5v=8##L5o`af zZV#rp4ssUbjqkQyZ}%MWG05%}29WB&yH_%pWp=*ABB6%jV)}(E*`cUM7zu-qvxYz9 z)AY61yfg9oC|rr?giaKu0O%R9DB2(_J<pro9;(>s0t5n9ZX!NB*Jeti{T9ELwi8}1 zAZzb48nOZqGPtUh38?UCImZxpeU05CyM4i6?W`zlJR)m_?yR^-V#U8^Ni`ZG*qstP zN%}jjK|<Y8Rd*=`|2&w7p-5_2z%iq6J(stIhhY!TT2VD8$$8yQ1^6Lr>t(Gt`Eoif z*NtPl=XFkK?ELL?TH*We0<w_zqai}lgbcNa;C5HQ?dtTU;a@~DD_YU$Im<1+hsfDb zI=_3*k18CNP`OuwXT=uH`d6)z(+jozGu`_<H~hJIF~XPCsYg(K&UaR#(X4cn6`TvG z?Y#6fdAtO3T@si~Ky}6u)PhshN;;ZVZ8D0J1g-rysMZn8WxvH_s?IrrI)kE8G^-yd z>Y}wLFo0IVIVV|AEI5Mtf}&<LYbhwEqP4&M5{t)1G$<Qx%sl+9tDIp_rs%a)ovE6{ zpbgXQe9qUnc~#RPA)efti1lfu=}Ns4(OIAhSE=TTUvTI*_LqWLJQ?jW!ug@0Fiv%> zbYpby+z~#+&eSr$$X&DM>6nw~x<v#8`<jn}Ix9r8I5ecQG7txUzZ=8XB&Eg}HecYe zY;q6yIy=J4zlBdTA@TNHBk`&(q#CV8XpX(L#)T(Y_k<@YT7j@Tl$4m~oCo<~ax?>e z;gdV`L=AmY)xT1@I)WM&JKpX&;A1Q<?#)>&85v3Zu{ENptwTjRusXM?;bpJLs{Y^? z;Ze*Y&XG-3aXf(OH;DVy93{?o)up=0_psIQ{oO6q;?)(q$?dKE&kICuGCt3Rix)_P zzcf)Dz5P(!yx>1YG?!36U0GmnvtaQ7E<+Z_`NbUFxo%Mx`_D>!BA0f8R#Yrx#YME% zf4E{K^u%x4yKFMH_mPoajqo~c{z&E*Y^D$x4EG(4zVRWEGDr!@B6%tq<aC<BwfP>7 zMB<TnCK+_{M0_+V65R&F(~>^Ot`O(NATp|egvh%Jj?KJeqNX(oQ$`B@O#tKSva>fT z(adBgh#)%4n>`w=g7#k(Ggy_kU=^<as_fu`Rmi|9fB#jvUX#g1!H{%{SPYrvKN+_n zHUFIRW6puWWTRi6%hATX6bg?POJY_6r7+29&bawmY}P|qy+$~pF+cqgsrU<#-YQ^g zchd-8TM1>fC2%XPMO^Nx%ScwjZ;a@AyEdJovsyZMlf2LE2xYV(uq#d1A2e^c;Oe$q zpH`V=T-2dyjvI47G=DeIQ<_tu(op-%jVa@9c}yWcL|584$H968sw(olEn`j|zy7h_ z{B)kTnYSoc0=*qsV0c$4&v$v?O8Cmxj0v(Rh(;1fQdz9n_QX8~em;mHY;@L@fETNI zGOd3}HaLCuKgP_|J$1BgxvRST0KCvR+8h}xZ-1j=N0SxzM!ID_^9K8SJwfipU&f12 zMnrP^Y#hw|9Lm~6>0}y&7e@~Gemm4;Pl|$t2W=>1J_V<OKZOQ%P-Mc_RetY_Jr!P* zq`VfF7TZIL<b+4YTV11n`s+;Ksp^n>TqAcB95x^Bm(fw&sOzSj;ZQ#WkA@Jj8vBS( zlPzQ)e9>t;x*-VJ(#{CESggRI$Yb%zx5FGb3l+C{mz0R)b~$2OT4jBDisER(dXP?5 zHx!bgCHcJ^pw<e@AE_jy#P*%Dm`xp?OfjZj3xY)Y<hznQs@6^>l+Gn$md-ac^Q8$v zlP+|W>bf-^sLzOhxzuv!PQOH?I#LOs)3T6+PK`$qR}g&efM6yVW#M9K$xB#utKvLW z;?eRCd|PA8idpnRUK}ND$_Qru3YrsGK(l550CUSvgYIh3{o&Cat_`}!KzI40+jdNc z+$1)kiyg{;e-Ild^J<fsPIEtYoi6E%scM8xqn&Z#-?ztj3X+^0(r()LL}omFhPE+y z1Dm9C+7P3pb5bBfR*n|m#gvc7&-k6oEgd~mw8D5a*(5@c_5^UumzXQbsUqzL0i7Cs zhMJ($lx&U(bh?rO(lDo+sXI65bi1vkMa6Ar5~T9~^M#&$cre1A8WLhU81cvd5gn5M zL*91ANr6lG(EDl(Cd~M<)DKJfd-pk*V81w3YAJvBJ_ifz=cm#w<?q}BIoKe`PDNbG z-@ebm0sG%lw-)n%-{;_h{gl)ni}_pkIe1_{AvJF?fAc;E9~JX>n*oo&jjH}vzl+|j zXDC9TcJCCk@3pbBkJ{n20#+UOrZxdZQm)D^E`KDRvbLR_zJH!hjHDc;|MC)Cx04qX zFHUlNO8PkJ_cTyf61A>$ng32%p;xG<1WMJI+QCfw(Q_yq<M`v{q$T{zsQxWh`h{R< zry79yU4&Y*Udx)I3|UE^r{d&`-jYe7PXoE|`FKY$jgxCw_}{gUN0Z|4FC+S=SbxvK zOe+}Qd0{3qm}!Z#VjO0Qdo=ZTFw+2_>ov?JdyS@TELmnBuZ`~gsv0ewXYjku2k<Kk zUvXRx`6;S!-Qq61X7#(EyBLZ0yOLA}hJ+!;P6$7RcHy)HRlo4zWF`#YioK47H`<k> zS{C~>PcIF34#E1A#}(*7_vC_8?qTWKc={p-1UO-<%Ox9Zx4_?5A&<@jq<WuX5XQJL zD?Q!UAP>mX=zYpUYta{4F02t%Q8TRdDW>QA+VG{w?plOpN`9DpO1=;rA0)EhN%Q@a zAT-&Od<H*WNMt7r3gWHpo7;$2h!!@Ku5H<E)&;pY`_=P^Rk5Jh$+1tOwpJb!x-t_7 z2`$7KWr=?i^h3IwL<J~W(EU^KSh&9wA`@p8OXCEgM&~1-V{*R4v-osMjr-ZyDwnDA zO~7y@0`V$_EP`<ot)*PWPf9L2KO}xlJaM9Y`4rIIpC+66WHdz*CnzNL(e4GaGl2H9 z8Q9i-t31VYpr`X^=RbhSf;o5|na<3(LE1py6uLi6v|hi4oSin#Vw@3mjI2NtC4Wc7 z<EU{5dPwzgNR5-G^jazTVRPyco&Q4!WRHkY|52m;hj{)W$$v=WA2R)iT>qiZ|4=-L z0Dhb-bbokU7jcKr;1oL_{44`L+WdcrIPgF8$@rODYS{#0XLOL<%t)1;nkzWQapj^W z?Md4d#pejp#LN&r`6kG(UH?@XiM$;%@%mi>aB!A|XNxS`ygUWqPX2E?fyHyP#+|C; z7UIC`4=7mNKM^~h1nT$Oa&wYNKSw|&Fngg{;xXgD>QIqKHI{9P?%xg0BNGGIt5cLf z8=b8Kz93q5<+kES`+~YS-X%IXf0n5w4_~%X&WdxqIK(M03*NL_NB6(mV&&uPfv%|) zgtMWzCDtd|z~;am516OFWKs&71Fpc-rN5E8YgpFf%#q(f_g|V~<(-Gk9AubFvp&fj z=JMhC=SqHb4gE8zJep|!nf}g#CWhUYKfroECBr(a&dC}(-&M?}n4#06`Cwge4mp(w z?E1Kr2>iO)`KcwS@?}O7kTOXkeR8HK2$v8+u#SmP_`wN5d2AC-tLbr4)q#`B2R5k` zs0?H?--8Et{;rZY*uY&dLj6~p;3NWR)8(ou`Hd=S<R<)A#r)k^M*LSlb&hjF(EaU& zo*~@4Psty6j&K9-Fj4agr3{^2U1pq{2YkzshV*M$Pymte-`z{o?>dzl5u=x9wMZMQ zD;bZbrz<eDjZ{D9nf2e578&t1bY=mcW2hC>=aH2mh+a>5_|Nns2#mAGt-K+KYF9|X zZ5z=rH6$hljaO53<EN5(EqHpUiKXYkwOP&$%F3v*l4r-YWKg!Eo+XvTlo0isN-&}x zSIh?{B`dDth=Y7NOISN4bJMkoLX4bW@?hNcywmj$kr5hD#qa#BZAEk~TULjFkIT8H z%JpPh&x+D5q@MYfr67C4qq&EK@Z0wnc7=>Q%L+dVk4&c=B%}(C-9j{(y<4Z+IcJ(9 zNu1MdFB4OsTBIB^m5e|2W-8pyBVA#;_XTJyD>5Q02luZc7Pbf9G}Sr<4FV({EEuGc z3Ts}M=li?1AO+w5QVeBRu^e%QAac!MV%_DW!}fk2nu2ClPC|MuEyUC%na4BBLpW^2 z$A1>-rusQV&brc?Iq654Bg<Jg-y^O9@qnGf4zfco3V!^w*^D}SDzLQr6MIbtm!7%D zVn$CaXNj^Bde>EaQJwZx@%Xvh4?`ltjHLabuZpM7-9`+Fz+Vf)!1;5xDMKQS44X;o z{v8*<c;+rp<zm3B6_l<p4`(T*X8SkCu@7dgqh@OjZ;Hc%6kgr0mbDUxtoe}SqL3u( z-FK#YVd6F4TLXs8zoG^(;TpWk#ZBDd<`eBFP5Y7BQZO0<{t=oE+@@v%FVf-JTY>`! zjA>$p;2anX19}eNYRWe(`ZuxQ7RP2ND}~_p<pqd28{y&H&v|72V2RyWMFc4vz;(3x zfZKup+4e1m3T6%d&${!^x(#U3aJ1z8uW<c;>fS%~^j~49pcSX-h9LxL@#-J(Qizxn ze1S%v5G~J(hgL)nkafJ-hQqKwc-(O;qbz5*AXi=3jxIi7alz`Sa0+`I`KLh8GHn-4 zZDZ<$T=7!?u6qw)Rs9?ej`NuyvJoHL+dIR}>-L|!?fUoOd+q&GK$aTNd`VIZ@Xg%o zr~h5ZTPeJ4u1*V8yxQ-wpS)YryKr}HvQPBM**pAQ++L#Q)4W5%118_<r~h7{TPeJ3 zZd^ZPCR_oJ%Zuj5<-@(R<yRLC^O}_!xNGSCBl1-JKxaa*5!v$)^r43SC&$cX|NFLi zG*RPhZ~vqc%HLuYaZzHDXx^TtXHfPkfocD{Byv`9W;olZC>f&ui|;KJ(_ts^BG=8A zW~lfyhT?$q)yKe0@4V}u1BtrKG=`lLPqv^RKA*&g$}kunG=KH>ydd%`pCKO9Eap!4 z1{wvbkC(QV-XpXbL@^o`k-DC2VU6}Dx<}$(ew@no&P$mZNL&!6F<gHAb`^?T6<6*; zv@no}{w132keue|JV$>}Q*e5Ja|p5LpXr*4W9uauj{C{hQ<Kf1<~|hf?k)j2troko zSdK&-n)l1%y+*=AM7qN75@I+xe*^kGy-6(r_R~a8w`AVj?3IPHB~U?Vq-c%Jc_N{C ziReW_=yfgYJ{4rC(cSK6+wbK@<6TQ_ojxu57Jlbex_t!CK6wV+`yH;Ttsir9<9-+? zZ;}-2ZY#}Ahr+6>^SX&P_c_vSu4u;k6P}yDPi+|sAe|6~pTF>og0Cxps|U_{n>K#T zL0@@dh?U>(Y!0!uMzgVv_VBf^B|?C!fY4sN<);e7@*b!aHI<|xW(9&>RRUEMktNpl zMaC6&7P=@dxwdOE+*gyh2@t<<*<j&Qc(PrI2N~{qkQs4+F_Rl41zRp2CAi~jclHW` zrh81_kK<pHg_s2ib^%paMI5_P$$4VZg4HgxDVMt_L-`rKxf1jdPsw(Benndt6wt7w z>J!IeB|k@V$vu4|oo$&KQDJjp0alu404v>q!}<UYt1$~Kq@4~HDsiSwae)=`1q(6Q zKBYL$9f`J3%mWL}y@*$~!`K`Z<NPoVj(8FHDp_bfD0MssPn-mPeK@$qn1Q>y!4z^> zXps5w<OQL-j2e&4+aK*e)R!@<P_b)tJX#ucVw%4yB#~~NzF>SoZqFdm%E3wD6sM$_ zY3k9Ej^Wzd@6x9tLgE**cFfC3R{i2~K~`38{7Kf_*BtMvC#A&X_T?$L=x<^PrLXj! z0&>B9x;trQ1AW?iG?x&!ayP5P3a{?Cv%lai4!=sX4=}zLpSZ|Fs5Rc$l>@rZx&jv{ zIG&U9KTG`k1lk}&QI3VjC!)$MY{x>sKQYA-Euu?C-H9(o!1pZHZLpIgdX$e}(tngK zlq5`6Xkj%;rhu4~+E1V0NBEE2bPETCT%Z~QE4pJXWWCp9e3XNqejF7kSjOm3((yfq zWx;b&{M|)=3ECsK?1svu8ZPvR5Eq?JDm)?~l|?Ou{#^eY>TfCzNeu?_jYkc?8rZX> z<Gw*_<Ip2X0*B08??ld__fcH~jqH0{i}sbNB^yekqi+s|S>5|ecCTmlmeYJMuYhe0 zh0d)XU*B0zLOsjId4G1sujtTe8VnQZ@oh8kG5Eg8v!%W#T9))CvZTJRZwLYZ-n_c7 z(&+0s{Vnve+t?Ij@<X=z4<40P)DUWiSl*DYBmh!a<8jAvLv6QT2Skvvzu}mi>31(Y zc!?eh=V^Ul0?DDDiJh>6u-$$Xl>-oqMa*B%@{4sV0WqSlluQ!AZroV1H#~BtXVGKT zV{zVCYL%W$%XIM)MR7PB8J1!JrewVZQ+EGL$&v<ByulPkrT<dCf+=e<V9L$El>Q^y zD12;XPPav)FLTEoxN{$-C83Zi;ie>j44X8XjE`ZRufyz5!vIe8h96DU#$U->x!JE| z^)F1^gdHT^7Bj!B;111wKy_zoW`W`CFNVaal^gFQh9i(8`Xf>aj&)7B+!k&A6?aUf z_ARyPjab0s5F+QN7P3?CIZPgzb?l}x>w}jz?JEtv{89Zv$WEZ=j|Z^o@u#cV0#)cZ z)dH;G^k0Q?YF{4P-UwZ+e-#cidJc=h3Pb-@XbrvGs{OCR>X$zanz-#F3Dp}B5^@oJ z;r-!4gvUd+0(=L2xr-i)UltpbaND5?&Kq_TgW)i_nc%p@9+Y`N8Gz~1xb3Kk&KrvV z=|Tj@VUD2A1+#lBcBML@@*R6Qfehz=1%+_ic|imDqoIpccdY)`_ig89ih)+-=~LT; zLs7kls<X!7#Y~yvAO^`L^sy@cx5Y10cHy60=g~GQsl;v~hD*ipYZxH{MsH$n2w9~K zMzsh+^br$~=m{Eaf2nS6eU*y#cxN<X^d`FbIjhv8?27mdACyD>llirb;*H-#d%gHi zjz&zN0Oh>@<V$TMeUmrQLL~pmrHId1K)LfjIUg-L{%g@K5Gfgx$VDt`=BQ2{EQHT0 zYcR@4ds-U_PMq^9u&JNDIx&9Io)sf_dTNlj_a#N&B9F$r_b@x|MI?N(&pm_F8`r>* zshg?azc)sQHoEO!!mY3v3f~+UZFioJnE70}ZF5~@ukWR$g7@=;ZOu(>*-s5<sNLEc zOm$Ce?cM^{)tjNY9U0%+FD<WbkA8HXq;_v3W1Wud+5Ry8yR#enmt_C`;T9lID}D9K ztxVKl<@+-0nC1+$K5-}(n@@A-!hEXs+R^2~n(^fK#?91dJu(|s1op+c6vb_w!P0>X zGA7~f8=q)4tYu+Q@4I~CLsUCo5dqzOZo+l@)zN{(H+k^SfMw;<5en9CBH88C_79=Y zi-<S&epg+(lBe15GEgjhTYI^;Sx3y#cVXGw-u<(2@41L8rNkMuyKZXf-pRF6@Xp~5 znBIPfr@phFnJcqnds&##2+Ymj`g$cr&l59l?$iy|v)uK1wqrH;ZziVrG7B%|9|z^H zd?Jw%q_`|{0M2xsNn(6YcZHV+mA-uN5PBoQ)iz9dbepU5XKJoIci>&Sh*W|(gL!+@ zTPWZg>Ql{}%B^z&Rr`|~kLf~7{B7-`z(e%G(RFG3yYHfX#Mi}OsD`&Ar2tN_&_#sa z$Xb1D*x*mp;{yKbFl@t-@KBNQlGfXMh~JQFouY}JD4??P5qjc;*_V`iv#AHQMLei6 z-t}FC7AR~wI;zg`QGN7jmw6fU`lpEB_FTHiD7{ZgdYw{r1%C6X<uv+^yURrr({;#T zifNJ`wCegI2)rhAF7hA*^|=Vt-HdW=H@Mv+|HX|u{SfN%ceKv4ifY1$O6lj^lN+47 z2)mVM5x@Yq)@6Plg9T&P3?p$$#&2#dj}>2u6Z~|fu$2#%7QV$3MyaO6!w=U4+W8Yk zYug30d@B={><_S4z=oLR{+{5FAsxwC^ng$LZG=+56@0Q|E5oK0R<h%McgFhgD-rM7 z;f93Df%MW?q8aj)dDxgAnwZ8tD?V0!EU*PySGadC`OB1dPv9;BO=-K#yFRW#Z{F&} z|H+!ZQ-DgH+?4eHNuCZf)Q^SSq#Ci`zY<oyoAkZ8VEC(C5)$}}|L#2G^|Y0hFTbMi z?VYUAt{a*+CvCS!+Wp?&+lw?a(kKSe!+HhBAiJaeya4is`uWN&-ri`BlWH$@=dlo= z?Lxxw8X5~eL_kaU?oM`DMU;p^^guwiw~V9g4EzXxJxP1e-&9u$a30EihZgMVZB!AQ zY{Z_GI_jfcIksnTNB1vb^=(MasqL$I6fW&^*$zsl7^aNhHtt7H2XDK(oHo4(O6EJs z@se>i<j>#K+CFWs9(7kLltR;9fd&BO2bd7ABojALJ>l>2mJiF*Hy^3)A`Vub#SExx z9d^ZeDaI)VUd1zItg372rMv-rLf;>Jw3R!l*5y0ER9V)UQ`gc;>dM<#u7I|M@2YF9 zWP_Ho*Xd|b?Rcj#%c`P^<qu33Y0T+p3vI8qmZMDnT&mCBDXve`azf|jDFMV3eV<FG zWKY)hIH3iG%Cgs^wb)~aJAYlda=DCK(bW!k<OKx}v=g0__}`LylYhr<Z8OXz9QbCj zgk2*-+1_q7Om6OTG<7~((^^Gy{5ulaToe4*%r|2@fW60e@yGkdM6^Yrx3zoQ$<?{i zjdh^4qL=LG;bW!9QksoO(+bd@)(o!TE2Z|+?WJX+4{6I`b;A^Y|9%`idq7Ln=4-1j zk=OKj4o#gJzw;4n|6BgU66+nZn+T2hUGlecbEgDrO&%F{iDh&9IjZvKR%_5@jLk60 zT>Em~c6tT6i;uis*OzPl%QoY6<9t$g*vM<wy{=>J58DqIzyn*K#|?NBT$`=6(DF5c zq4RRUC0?OUTz5Z*&&I@bZ1*K?7Q4#{seort8cUDfYdo_qr=_BGF2A86B_C#yQ~Bd$ zr5<zM!wF*H)9A}#{o~{=GmUg68#OzBd70&OX46AO!g2Qkw5w*dK*Ci;L@Tk`?4=^z zc}r)xB>!FE;OE;x0dD}219d72_u{BXAy;$?Un|l)^tImVz%LtfZ+;%zP}zyrGxe;E zEvef1DAs&V->x`WpKoSGJc-4f`@%3}@gw#_Es4@IlZ2rlQ4Mc;2hXb_&N&D3LDTMZ zCNHNZt`FFX?)iTjcSqx1^DcqIqf5YSm(-FmctvurPUX{RbEyUd5>h5&0>u?l*h6ny zzto<R8l>s*-WI(Pahf|Suv_a^3N+0T(fvXc6MhX2M~t_tQFpL(EP5#DHjCLeKa`^A zgrWG-kJ<NIC`G|~LD8i#vu{EuMc!+ragR5K0VqNr6@!&_ooF`gPt0ff=UNRK$pyxc z-j0@!N1tMQc`X5dv{t^*n5(=Dzn6EjJA2m^dxI8n(G6ikE8%D{_3+XU1PZ3;l7H>K z-5!kTDmIi~4EQecfz~05pQ`QpRiA9<KI2@-h7kk}5w}lZ!no(S#}W7D4M)%UUblG9 zc}Na5R-pfvJXgI8{#Pa~5l{^?iBHhe-X4;{o>eqxy@)-a-r%t>M}bH84L4N!^BJnj z`jadV5g7_>PiZ?vlzSS{tnK+fTj&dHql%;jRWWbGdji}O)4^h!_q|DLB*TiNgq8Uk z7@`m0D5!g(9+y`c-}vYacCIKSKA#I6oMt4Tc81U0?^{?0Qz%8QU=E68*ajXr8ubV6 zXZK7%jsb^Qkp(&7c><-nwLVGpvhNmd`?sIJS)T^l`JQ|6xc#*b-syD1czuh?K%DqJ z<+NnCn>u!%`|9VM#9*6Xal1tN7TY)#x7Pc6XGK)m-HSBUnA;MC_pu7w?aDC+3wa>7 zEsu|LTb)DWoK~Rb&M19xJpMW7>?RKt<$mc`B_NPr9RZT(FcLl=B-egb3gqMGgWMI2 zLx*u&FwVIZ<nCY`K8)LiaTAju_hbU(NI<S0rIohNC5UWmr_CtEA<nml`^nn0e8Oe} zyQ516JA_}Z-6X}IahPMoI+OH{PXRw>eGEvVXGhabZ~V2lX(0W<4b3aPaRfTdS<NM} z6rroQCp^*OP?A1JI>u5h%r$w+t$<#?m^^YZ%(=lOk*?HT;{Z*cTLeW>uE~c<1@pkW z>*3#iX33~2S+5F>b!KbFY!odLw6k991rp~6w%!c?4mL}sO38|ViJfCMWfnlfT=t8- zFi`cm9sV8uJDD#fXBnoBR1?avPYhFxr~s4ftl*=(J~Ad*{*L~w6Un{)hqwK+xmC5y z=`i(3?X#jY;rtP*5w2kF8Nu9f=Vaah?lFvUJ?kj68=BPDDdvAI%4($pm!d~VN4T81 zXHs&ymix`XE%BsSm#P59=B8Y4Plt&{YI_SS*sA8TrOd*f>FBD@6V7><<y&O&NCm!* zp2rjLe&xKk{9YommSrnMZ7iM_(;ZGRZJOuZsIKSe9{K9=ub?$;s|6{6_gmV<3qUyA zha#TAExma2BHOz)cs!e>Pojku_ad)6k81#vPa~pY%-i)6S9`7iydSOQogeM&HO+uY zDRm5ZeRTd3Ubf;G8Rv($8>2z19i;;;P0!^O0cM{GxscOj1QxtBCqD*hXQeTm%CMny z#Jr#Js!VU79}PbX24$!x6#VrKBL?X}61xLJ@aHFz9EM~9R>+_IXkK6=MXWloe`5Sy zL?gX##O^@*zOw@tAVovM`El#ASxAP06k$qA#P8qTfs)NGU5bcBy4&O@hNsVmV?<CV zxaSHV{o%k>-K?NuMx~tO3kz2@jRBv7x<j6r4JB`+geID#oob@9x`VCQrr9a43)s-R z@<}6V!b{rmDoMZ^RZVAg_6Lf_xfYC(2WdN1J`e&MAQr|LxdicZ5ck1k^pRr_UO1_< zm%vo?kv$O3fG`rKqK#}I+pP=Vt8@<gY??VUaywHr%90JLbn1cdl#W}IqH(}Sw(*bm zs#!H1w-80qfUWHAm3ZpQtgA~I$bp>P7}~r7>PUyQm6Mt(FdI9|f;!SDZRRAeIvYKU zfjUwJ_9awjBWM4hjFf<VA=TON*<qBCT(HljIvY0o9c3gP*=&9BN@X|sbt-Pw+)2NJ zog~@~PA%qcf8@=3RyI%nsO`S)zPK&-_OuL+4?XZ~A5XKsUaEAy5L3evKFY=-81$nc zOgpVM1zNich)d{~ez)9FwMgBtU+YUgd_cGK%D0fK{nX^*BNgpNCTd-jsaZ@lnOCwC zs8pUCMsds0LUqZ0t&R0S_1scI%kY3j?)5#q?7mZQM~Uqdzr-3ueuF&nx#+~L=~xEc zRXU#v0fRh2o7Fu1DIAYlc<7}#)hErOZ^WKVeZZ8Trcg0fOYZx<(3zIWpIj%ZSjF(= zZZc#ZvdEJWnnix*n|Y+F$OikL1dn2{3dqV;yGQ%w1v0T(=GR<S>Btm>4DyiDqZ6I@ z$vkQUc01$+<J?;0Fa>DJ0|PaM;=s!AmVG6YpJ0Urp0ZWJQQ_d1i#iMs3S#XS2lN9h z)uf-c851HRhZVjBfZv4;!_BC$yr4+3oOvN>w&?an^98@z2cKZKcyZI-@L-Q{@gLu{ zs6{xr1hA`mC^_L<q$|0-P0dcoP5s18ks2aRWH0-NH%0nS{QEJED1N9OMJEpcQ9lr) zU#yCS(yh{P#llm`qf>Kr1Q5u#OPkRH*l(UaLoi!+MU2qa#?IE)#7TC<rZ&)6O^QFK zoYw$-7nJKfkD^HxrKzkqEs|E0iRXpb@TOS5zrPHI1LX(IFW@Go5Tc*2iVn_W$KFs{ zqf)bV_{8y0&>xDw!;>`lbiru-T46NcJI$9CxNVhbk0ftoZ7CejCv7>v_kH$8tg0Z& zBRn=Lt!B3N_{|R|q^5}Cn}{9}RS6PM{u9#@(a9>j?OCm=sfV{tHb1_G(e)8)MK54& zY0Vr!{phqi-Cws1iL}LKjh2oF^oJKX-j9N}Z0Tl*F-J9QY>`+E^L_rDTSJ#i*=tYG z;*BoLcPk?!7fgZr<tfu^z@RNKmn4*yHcuj&py!f4G0~oCH;4{AZB@`Ba<px1ZRyH1 zamc+<6?`iz{?#7vym}U6*AWvHhoz;8v*Bg@Xm17WIf<aXH|f#N3EGwELA(5KnB5Tq z+RI?}WSCu~J+*>>!9$HG@HO@~01C1EoiDxh5p5I4^NKztc^|jH7oP|bFSNxQe`NUb z%V`JG*RD}yCDa0LE+~y6S^+zn7lp8B+W7ErB)>#j7vmq_BU48?u4>VB7e}<5iib|F zKBkdjxDe+)(1?YiL<1VpX%%T<MoQrV(5Mi_Ujj4wA0apcZzjx>@Ke?aoIx*oSM><! zMGQF|0llwKlwO10=d_9<4D4vBl&Q!ko;z{5gYblPV!X(k&f`q7@$k$xpKR{?7z)*5 zm><+;?`Iby$KDmtY8(_)Tvd8fWHc$t7PlxFL*F}#h(xBxs0(Ct_4fVt@>Uh1D>``{ zXd4NPoL^$NzfnzFS5%JUea#z_yp6lvj872A8aaQQ<~yr*Gjhs}x`$myyQQrRez7lp zhs%#mtQ>Dm<a(7LCy$rqM?cT`^s5sj=ar$Xv(EtulI;tqGo)H8gTdCs2aE3unp|h6 z3ZuThysUg-f7VEcew!W*N*;9J*Dqx$EHX4eBaw{{=OhqX^ov7T3X=>@Lz9i~_85kv zl|cMg9mG42_*fCde_(j>5v#!PIt+I_;z|gJXJPpJBgTc{Q5a5o#EuFe?t$UYFiclu zT`*4!D5=Jz4MaQ0XCKi@v4+e?>wSN9mklwIE0G}9)Cr`ktGUL!Q4P-5+s4d;zGUXe zk075%BmLw=#vf;wDP3BW6Z}yPIaBXBRvyWYir3yw*8SuY#+eX_UAd2E@jhos`4oKR ze{zslzmXc2YZlkJ*dNL>j*nurwz739m$gC-fXzhZTr%R1(T4t6dZ{z56Xg+Y1`pOh z3g*EZ26EgTWpmt-SKl7u##`gIdAR0W5Lkl$e!V^9h_lA6L~<qQ_EMUAMgS=PqU}OA zkc~w-bVp2;^yYA=<oy+AU&t}f_*Aw+MH1(Sj+9zkAg$*!q?pxe@Elx^n8&p8CPpg_ z5W_p;$Ca$KY{&;^Cg=0#GXB+$AVzI`#4ka7>ICAVe^?a6TrMD%ZF<zzK<w-a;@yAP z9OiceaU}rTUUDlsZRb@pO7?7FA#8i28;A)z3I?B5W={+2GbK|RcL9~gZ%(?(DJKwa zu7v<Qaa8s}TXD-lzF)&%v6~R-z**uwsjEyqGJKs0Heb7zrCSl{z`6II==)E+|0mx6 z6ESf9IY0dqpJqKe$z>j$3jc}zp!gKh1R&D=lmv%D6sRBdF<m1!xslQZT@D-|Vv(kv zR7x`XoBB)yqCiO02>dTr#_0deKA0tj8CiW6ZtfO)$sbR)_*TjI=Do8hXr?W45)B-Q z9J%@VXt*J$6XD&Kcr<LQ&0fxW|1)?i885td23~^>$`EkJ8Rb&RNd|^b!CiS8+La)^ z@6lA3`8j}9*7F(nf<InFhv&~O*bBuPpFsOY1GY;}knTFfEw^-A@kR~sAEZ8Waa~uL zG|oRDN4cpfAtvFIz4&n=+YHK^-H%Q#+@I~m9TWfm$%fO0_(Q*S5jg65URLl{TIBK> zf%~~r0J&kqH)68GRbA!9sUb{P@3oIsE^z^%6Pz~xO*71Y&WhegBlmyC7mvoi|BS{k zqwJneCAg9H?{t+>8wrwYvY|S7wKR?|S0-2)52AYGn>6lg0L%uBdkYc=i$T|A<)*f5 zy!YT7D(wL0ke|dZ(#OdZ!H<P}u5g2{YsyW2<h2D6<a<hFpPbV9<H~Yp!0HqeXYaxB zP<--onqy^jJr3HF6Rb8vm+Js$S881*LTHVIHP~Qm&9_*h58C#Db46id=L&4#?9M*a zXKH_5p9=qGFOCXMDnmDPIsE|U&;o0bPPgJ@Lm^21jN;lH&-24ulS)+HJ~g5C-<@=u z6-Ym$UQQth3vAJJ`dL$WMv(q(DH(`QF>+pvJGI8rff|zX47W}uuBF2>0-e7LnYL$0 zYurALsC1jnSXt%K&yw<N-KGRgE0zmb&HmAWluja~=H#atI{%#C<&nlr)h{WEC(aSF zLVsQRe_XvR5h>%%o)$%PPt0emoWP~ZkF=UrP)T(>#)1s>j+90q*CB6Yn`pqkl#6xR z$2G$&7eiM56Cg6uPxK7>BVmj?h|Mcsck_;;Md0&cV%-RpcN>8<)_HThoBUkZrIh{$ zFBF1>zc`F0`&n69gJzPU2}t5WEPm9y7M6?&RV04dZuZ`{KVRj1!89Na&HJVso$UmN z&sZmsB!)}U!%ZOnx`A41ON?IGCYTd6C4nYRs_1OMNeq^h0wzs@N!rmeDgqU#1PfUZ z@GtJzS%rqF#)5aXIDLX8rGZJCU=k!jGIY>SF>@Bn^{JFi#e^~ok0l-xGqSKB^@|gP zh}FyjD(&eNi;FJlnB>l10%=m(!8uE)6tYfX@CO0*G70DW^FBw>w0r`quP%WgQ|-i@ zB}7n7g+K6vsRHw_VXELN)+Hzw<Sab_#S-`f0455~-yMRY(CWcOifJWZq*M%jy##N& zbc|rT+Mkj4(YXVMQKg5`xueGfUZ+~LmQKQ^8(x&C2VS7rRd{~WnRb8-y8+q?j_}wb z_GdIe>n^<d4ZJL?-S?hT*mTTmvye;phk@`j{*+s+xf61My<5e~iwMLB`eq5Y7U>AV zX0<<g@BMNH_M<QlqjN_03A{G6RxLP%Oxs~rp&tf(ptS{S?f|s5C|0_MgVquWw`R~< zqV`7$X5Eb%Iq;)tm}y<pngw=~_?>d#?Og5o6L<u5GGa^X7A@ZC3dZEJc9gKD$}!W6 z1WhH7>%CE&dm364VO*OBFRk~gWxk4DQ^EGEgq4ij#BOaWfv47lI>*p<HxpM0y}a&w z5#hwMJ1cqQa4l_*+7FP@q}&}%T|tYU&aM(xYpWk|lI`>3;xxU42DX5<+Dl&L>GC?4 zpI;0EHFc*aL&GD_AM2|9tJ`e?R>Eejr5)^fuOe?k*~bzx8XH$bt0Ns#UUwEuP!?BO zch+7zS~D9vP&LoVPRlA@IbUV0_2<b4ZCg*MG~ce3Jb+=3CGwRU`9XJ)bQzc5hQrkD zRbZJu|BIhMT|IAR{PH}4t<L#eBdX4jEj4_|r+0#JnHF<+KmO>2zH3h7$h7T4dQ$4* z5|s(T^meoJe`om@et!A@xkj}+BNOu46GrW>fK(?)VS|*d+hf)MNX3Da?zc=xy7OaJ z6-b$bR6%+sWW>SE4gia#ft(=7ou*|%?ra~^0znEBq(niA)%vlRJ-m*I1H4}456IC| zt9*TW@Tmt*`M<d8LRp3QI<3dKs@7T8rx=NKqY~2PkFB1UOSR~Y_k_*hwS3CAoXG;w zWDoQwh>9%}<3KdsBR>ctpv+P;7=*JuCEXybvV8s#MDso9;L}k))mhHifM~JDx(-B5 zmWhTSTJ9Mt2T_})rYd5KDV;{gO9R>XRm3w$Dov<IV_V2`DLRiQJ`?#q)COht>*o*x zHcESXSDKgc)H>l!Pu9)Gd8>XiW=~m=P}<UepaDvPLB8rqm)ST^6&A?v5K~&yYtX#> z2-3w*vdzZ1t9Ti}bYe<NdMTO`8<5U^;%^4-uK|4~VR@qLnRvu>u9@kUykv<Am)LNP zj+;-l0K^d3!>-l(!PWEX>nKk1$__@QG>!EGS%Jy--P?*jv}G!6vFU3eMMR(yuX?T0 z-=G^ls`f5IP5gV0mB;tYi6b~u*7l9bSKl)pLei88>l#0{&o6o}&b2qSdN&IQ7?QOK z3LEM#e+LZ8M*-nEy)w2HgG1p$x$^tjt3Mli;1_4axnEkh_{Q3VyzlG({sDYiZl%X| zS6DOdTpGMxZ%;;sS`)|g$9Dj;bGIMuX|bPMmhobib7?n^7M`<3VkZRO?9W;9(3v-{ zV_zhSk{`5Ry<}=bjtTRqYX^qkKZEDE8Cw>Xcy9na>`e`Ue<2>sp=cAp`K8nqf&DGo zD(bDz!}Y4iRa0@F`IuqY%j3C7k*}JYVm~XXWt^!-T-%V|FO!OT9bW@i)qKHhhAiz~ zjY@Vi)6KVo`WBkXefK?qa6zKSp5t2A<p-msc7Gp4ESitkR}?8m$?f+0%M*gx{zfcJ zY#*F`tG>Ygixn|(YVNb$?t3rc=1W0*;le-bJoTzLqcxGO_{5N*z`is)u`hV#UF%Nj zR+#xpG_jM?>c6iY0rZT8GDQR1g!Yk_#y)!)V^{5VAC4wYpNq0)P_*%D`8r>wE!Rtj z6+{xpUw~KTPgiYR9V?yhj<3zm?L6C>4BA`uzIit^>a>aKj&`*#dwaLfU;SPE(RsXP z@D(^(_~_dFdho6!@}uL?@2fQ^ALcu3^V8FTIjaJ{LmtcRP2kV^Ogi#5bY$<3nU8Cu z^X2-~g{>Zc`I=U3G9Pl^its|ow_&z6&$)Mby7&%PW1<=Qtv6xaE>6dZ)nO&oh7X)K zr`_qT+Yf8^!842N137&z1k3k?S@!Q{N>YdLc6<iN?~b8-2f#)S;7~P}=52c44WLkd zjJ%BZZ}&jn16G3QHm;!E33K~wn$JrnuxB33eeMmJ#vj}g@~f~3C&w1sZRjo+y1&M; z9L;Elz4y5vdobs9rksUDbyMa=-%Qm&+toGx9;CN_Sho8kT=MN|ybDO@D#V5;%UW(o z=;tbDq(sGZ^-4FTQiIoK;|O>~#-*9Y8R&C!D~_W@0L5q#UMjl4@W?aS(6eY%CMFy2 zT*G`BcZiIv@dk}g3blUHqg{}OdNXqrqHJl2SmBgaWz5Q~&Xupuo0Xjx?pJ$PGP7}c zSk3ziyeEVAlJr3}`DWkwS?^!?6+FI3D%Nj8>4BwB*7lK~4z-NlmW^N`B+R8>>EDo{ zumH}&r_FW3I9g^Of4lF0q^uae7(Sf86f;UbPhP3L@ay2b;A*$Nf%hB1_?a$5iSY`* zvQec6t%Rsv+&T3tlQ#}hBI?{Qy=hGYwL@~;h>hyou$NC0LqN*xkxCn}aeAas=ZEPn z9;w_Bo0xxDAO%>}G~hXGD@>X3YUKPN^c#}?`>!K(!tG+Lks2vT2$6s3?>4z>p`(La zlcNYeR68`2%vC2P;T^($q1r@E4Yh@I`nUW%LUExz0v^^<gn2}Y+~l9*VA__InS`#^ z@Uxsz^@;Ib@rC;-A<g}^-6aK$8>NWg5UY!~UnIRmlx&-*JfNPwM{jflGxF-r<y&?s zm9Ks-BM@GQp{W1<Wb+!2PwY-P@a`9&-?STbe=~EMB-nKEdVG5Ln0)schFn<U>UZ#I zQA(mVW%l#=2f+0VwT9Hn14~iq-Ky;!^ze>ZMEk0xa)B6Uc{aEMO*cx{Uv^mj92)sI z$5wRA@Zc`E=CUYt4DOvVR6t1JCQzpI;VSrMsxG6|+zZ&p56tym{$$e&XD>!H8$!m8 z<Ys~`jPP!j?<GnPaf2byU}QVyBBYcH{5XxUw1#^0eXdaa<Bwc{rh6?~Rt7%e^zKr^ zuV(*tXUp1hw<UR@iFSDyw-CPs`>CtR{%-K#&HJToU!g{=4EY=40{Hj0Yje)^T^_o} zvWX+tYwXD*dz-g3bf+gbe28-m>CFI124p)Y<`3!3`wgN%KM|&z#0G{Br`x$afUzOY zZeiocGma2AG9E~z2rX`Aj@iyHgx619CO3ssQl&pdq$EmDoS^(vQ9H4COa4UAikBSH zOCwgjHBO&<WMzrMoX9{j8FSK;D7&zUYCyMy4IbEIQ<v@%mCse~Dm7S^an5`j>Stu+ zx0ioMxQ7~RB{w&2gqsk(Gjllb05XVzT<2{~h^8&wLyWZwmS}^F)7>J8g5s~zg_fy< zj7M0;^u5S2STpkY<TSPS*L%0iE`t3mu1NDCZL9mnlsfcA?yW)?l0wJ7*>U!Jyt>6| z@a-|-e<`+bVMq?;{wd=NGPWpKevXvAMdOj0(7DhhRzsu2nK)Gy!WZag1W2db1YLag zGm=hs2&#W<U4q;nTaO^V$JRUOA^@g;3aSq<Hey)DMDpJvHiOrF*G0dK&WliYS7J|p zJ>OdL+K26yLOz-ltzo~#*ZH=##zh3v78>U;<d=D3+=9yd$D6V+_LUT|KltYTaemG) z!#Dn|7Z}Jy$q;>cJi0Bj`6^L8USGwv9=-U$<@J<h^S3>hn~%i?P3<#%t&S~WB8Tk< z5P0xyD`<87t_!|v8+vEPk#J<LU&BQ9z;`Xe{?{5S_^ws53f4${iV!T53a$m;wZ592 zsAo?E_dK4FjZ%wM|H(#WO4IwC>Eu}@&dQ_&2$x&LGVqtqKE7+yHt%K*N#1Yxx*Vdm zW%TyC3H@w#^gg<duW>oBv0+8F<A+!FoCq1AAt^koLsLZlq2-e6loGCvhSk*8Hsm)r z5ai8eQSDPW-gn`?kabumEh=>2(?K72isjQML5Q-K`<@VGH#Yzla$upG5CG!_tPB4P z3^ZWqk$8sj@;NifboLvb<IE3m);F5veX?yYHi5fJ+}u`%Bi0w-;~`Xq-38rS@DUJ% z_TS;)uv81Vw>*adGaQ^hHO=B;6%%&IPdXKwDG(5b7RSi!QJ!>~A?=Kkfh%9gtiC;} z_}WQ4E$<68;1XON4Iw7@oE~Z@Xo<3O`iE%ZYl<zb2!TS!Z8!u6JtPv!4fux`;%l5L z&CpUR%Eh9KpiGCW{^fkDqu*dc|HRKg4cqm7D#m%0f}FLx$2NBjlNyHe*2o^){596c zwqWh`V_Ucep{AS<aSs79EgDniem;9v9dZ$Zk!}01mtK;;g5e~3Kg)=xyjoiD5X&LE z>zyz^&32q0Ahsppg|EH{Po<m;Uv)0C2zM?)__uE}Rs4R2!d`ynZ@-`Y0_~5}SC-b> z9*LhTszfZUcRVskJD6>DcliRk#tzQYFDgqH=CPNjgGIVy<HktXn!!^{xDU$r3PidU zjFWco`Bd|NxsJ%b<^FGRlWl)N;CsL3Tf1+*^PVHoz7YO7&{pu6>(Dv>P2k8jHP>UA z!`7DUFbQWmME?j}5#>Q0+y!MyTcN`TQw3E)``>l1Lin5!_ImaL#L~6AuCq?=wJsWM zR<sNaH(ZRqN?n9&C=s<ee&3{TFz<73bp85=6gtO0J#=w#oy(oS|4aJA1Mx+!&3$H# z*&lR(nv6#~QiK6K=zs72Dxd`qdV<#}S+J!lT{`v@Jm~RHEev2wl_EK0fJRIj(w8&m zfnZT*F#nmr;1#C$)`@9=j_S8dLCaL(&Y|3!tUBO)j`;c)<-_|0gGw(;+XIj4+2f7{ zuGsO$#>S0S*kWaW;86lDO9E*^%K#H>k;;LsTt2XstNwB2q6;$q5VRe^2Li4!=b3zD ziJ|S?@NtcI%?+oGP%;xr9g#50ST>IUqAv-kv-*eFutn-MY~?zDtz4<FmFo~(xj31? z<II?7zQ{cYT<36>^FJK*f(iZA!yjsG)@_X`X8{%S)HQvuqhg-626=4L*Iqxi-_}?k z+l)1`$2M~f(-=Gf!B()wpt+8O_=*ts08BsdI7<!9FW3Dm+LWlaG8AH=3-u!z>k#@H zJANfxQ@Y;)F^6Ne7p(L=!d^V%MH@v5)H*@uyLy_LtseRc4j7zyAkZ6jkuCw21M?vD zYk8q(GZZ15Bk+4d!0ER;{t6#{rf;HI2P|s&PlYs!6%gHu^^(#4s1I-w&K6aDV6ITh z8&Wqa7)&hcP>g3+^GkpAK0iQ6dr$${y(%pk>%df&i)?YA%1p2!HgCkmfng|hcU3Wg zf1)#;#TiTlwD%Mc-J2GZv0h~eRuvIP3BwdGP|>(n2zD#JO~%?+N987)FXFTitWnGR z>0(hZn6>*;F<w$FE1e}QUt(x#IH;~736da<|2XHq`yQ@<H@dsFx33#0YAnnPEq_8X zsEHyNGcUF+C9@a7dH4JCS6d&O?=3|$rB^`2$p@anH>lMqBTJ^kR-Eq1oXwa*L;aDW zcxKmqKO^B^vc!yEsqI9ZegKb-30SCxg;-c{h6OcP;DQBwFch7dQtH@cJ{~LiqcMIK z42rNY8V&2g!e|t%2MeQ-U;q>&!E@SZ1bBG3WJ88lH^C!H33;vtV>H~)q5u-^XH+oq z6$}tq7zu;*U|}Q_)(ZvCVWT1c=WyUMkuX|lzs}Dtk^jc4_Ul0U!n*8mTe4<1s3Uy) zJawZyFYnaTLbv>LDi%U~<OW397z)6Pe;DnXrZL{&f{S<WpWQv+w$|jebT1HS7J|&B z2az>wN?%|tinM`euG~_IoXCN4WVc%Sywm>>Rbk6k&vdlwPTSkgxlEj}zuObvMH9Vw zeS8whu1#ZYbkE!G`@5jc=c}6bvFo1gZ5!OeC~ot@upkKrU|s>%Rfh#VSTKbJ8!+s- z2&1{Ldkdo_My}g(A~9^LG<eh6<8$)UM0Ta~)4>7*EHJ^sOIToq1vc!ibU}{Dt}Xmm zycz*qr;LjsYGAN*K4qM@jD>}2SeSr?(^E!Z-V*-|>~fufYq;7OqfVI_Z%yD2n|0bd z%K5+L%L98CE(=y;<}-&|>b0e<8<-YGULRD2Gm7eJ-CC~1z)v}Kf}i90&YO75ud0^c z4x@H5hM5fuik#`O-?(e8cw#NCBjXN4+M-sA47Se%|0LTU3R*`07B@=q#y#y-cLkuB z%GzC_n92eRFJXZh7MNgx5f&K0kWLT(%jv-;uB>XF$2A6AW*j46AruyZV8I_2d|}}u zEVyAv2)R0epP9_@ZFP{gd&O$JdHeG}I9P$Atr-S_kgW+U7=Qt=)q!>2!GbC*C}O}1 zIm%%m4Dk#j)qVdANy8y|3Vv?q!-u%PKljEy78#XTAjV#FL#pGa%R9BS(4Fwp9feb0 zb~1tXSIPT?`&WxoJsV-&D$0uqsrscRKSF^$T2>s(W?!}tQ*s1NjUB26?}KIg>rM{4 z1=bFDCwiz?X7_6Pz&gRaBn;iX;{p2P+Qqr*YRC7%sm-8m<^Q_#E!%eJ*4VzhK;fa> zA^9M(PTAe7xVW)Vu(?U=-I;I6o<*(pJ+I+tg3=xMSfyGihyT}ql1r%nKi1wlDvqH0 z7R5DK(BKXsfdqFS+}+(Bg6rTe!GgO4mteu2KmvgQ26y*Bkl@@V`QG<i@4fraUF)tj zi{aF+ed^5YuG3ZBHP!8#;j(n<j?e4guJ^%YORg>6`=1!zf`R>3&u6d5S$5!AzpZu) z4HsI%iwZLZcWDmr1g%qv$725R9<ZkrQ$wun245a*pJmO;%{HAff(K_KR=d2e?%hcq zl!+cT&(=vMm5^DYSE`(H{XMVmosMaCj40kc)nCrnw^T`Y2-J|v6%+V)T_aCUR<nS; zTL1j!^`5cEI-?LfM%gbo-MOBRnH|sT<n_BTy;=U@e=EO8aAA9MdxM4i?Hlv2o9-Oo z3Itu3k<9Gk*a+|tLFl^oC4&A_hhff>*O%5R)7nKbp_-Z&g0t^9dMGG2xSoiYhf03A z9P#9RXG;}ikH10){$9tpIt^%A@&(@%R+P<ZEJxRT0oSEz99Dh4?kDu!pU1lU=`prp zJicr#D(HyYHs8PejzZ8|zJE9miz&lK{_x2;VfQdA;z!Q-<73_v`~3rO3FoF;>+lDZ zx3wnC_nq5`n5b>x3Eg$(IeCX(Cx7+Cz{5i7RK}Q)lG*a=2C#L5xcJfN%X{!N_Mv_o z*HskNRXx>JBGpVMREp$Kli1d5`KvquiJ6eTmg46F*1ocfXc9T$)824x2eqr&CIq{? zpcv>CJYnTAGK=OSmVQ+;#aC?yVdwgVfHhM{K%L4}$SKsjh4`$RgmL4e4(x{|J+vNQ zyf-2%><1!x&}H4q8Ws@)cXu%CK}98cVC4xxRU0;aEHyY;xc$XcEK%uvpoveaVH5GR zr+D1*!Nvf_Y7>vrPnLjVc-+U%mWwU@eGBf&L+F^ruhc`eP(rNF=(Q9FH98v|WQhDK z!0mZBoI#l`6VMsxMIu%oHq=Rs1f-saLWL$uNo_+0WiX9A<*P7a;RGX;31Ri(n!e}h zyDc9NSzBwOIX2G}D%~@kBrn}Jj((&&Hq2Zp+0&owD%san9=8>o61l9ywFpe2#D*AS z)Z?;(qWOp&#g0HIIMb29u5x51V~nW5*cieEQ|H(M6S#c9u5!q}VpBvYiWeWnt!XtI z-XQc-cc^7P*6f{3u7GRykD|K*-n6ToaUjHE_12;MO4%td^4gQm<IR-9yck#~$$ePl ztz6GrHIc?@?(Z2d=v(UH@L&9F^nS8mAg43xBf+(G_BRq+^ZoDv)ToT;P(Mjyy^gSf z?BsMi>CvY190$M%PS!4ywq`I~^g@p^P&Cz&5aWkm8q$*%0B_KemrGlJE__D5We=F) zqaJ5_kvLJEg(iCyQWxZ8J8d%4c5TsisVDaA#(4w2)D$<$qY;EW#{j@G!+cylQ;^&C zYN>3#)w)vM*HQhrRiVi$!+u=7O_2TIYP58*LH?^1({}EwR9{QA6CL_x_EeAY*ag7` zn?G-H!M`$OJFO%ybLXdhKaKp6FIi<T3K+M)75stVSE_oNq0(!`g_vjYQb^6_dbDhv zJu7^i4JWT$-Op0pr5TT)gmqlquSV77GB}rO1w^BE)+##)mGfKh!i|$3+-1V&j{d69 zznc+0u0iaW;+z#c&W@N@iMPbK#`TbEbt|Z~4{lNEaySqipZ2X$bt>{&Z1l~w`J-R5 zEV<wO`j3FxNvmvYT%W_#v3KdBYpNrO#bW6~jC>OzAGA5K&gP1G>L^UMAug#}^XSh> zbuEA1jaKKR88f0c=D;8wFSaMl&mb?*ZTPEx3}~Xchydb6yw8hU%UAu(cx8y^c*@8- ztg?F~7B6O1zFsP1TI}45N>pCBG-}+!TUWmLc#+XczoJajXn^$6xILC~+OsLD<s&6C z`$rf(OPGT3QO+}hm*&pc(t&0nC}M&<)@kmo@Y-PCI{Fo{V9OmibYNkI2@$7WPDTvJ z2Z&~Qvk?32K$f-nmpA}?;cr<J#SIw_B^eu)Ad=kHwA!JLlW&8J>W7+4JGxu4Ob!qH zgD(F;UH>4bzYqfHUlwBCJYKft4mCz<_#wNiLPwM&{RY_DlBMr`#aBLdLhFf9{rn&R ze^C@&$?lpcS-SB*pp7|G{Bs;dk&7J9K2TG`N$8eJ*MOj9%Kiydn$apPR<bI2(_GFC zwD*$fk}JAJq20!kB?;IhU8T+8y8_@f^q)WunHe#(>TM3-6o)L^sZo7OpkX+)O6x^w z^UUWDj8<e`iQi7czMIg5x5bN{n{kf3MjFY?t`$L2K{FC)&E^Ul(xsEh<{AXoWSlvw z4{Sr0@@aIb9Q^tNlzy=zTR4<khC))e_z8n+I8f4;{m|N9;^Pd_npqqx%*4ttpDv=X zpCzk89r)JlI_|<`OENrx%aog~M}<Kv%h})HquwhN;}$mRiIpGpOCtIHRS0l%YIKy! z3>sO^@IfBxgxz=LRQY66oa;z%$B``9JwvWIK^_9Lp(H4cMXe$*BtIk&7V7nJ9VsW` zx8PvyNeOYRzqu%U4HDsxMn|Y))d%I1^*@ur$0*NiCxCrF^OxNMu-hdNbYqqP^JAlp zF^r`G4N?|?ooi{L82u12rzV4ZmNPmc%Qxd@4r65oxxdD+aVdvs-Rm!0O2n_7Wsn+* zaY7W5n|LRsgJRgysp5$2E2_p4B+>Lg^&VLd7*AO^IC7}2^}VnJO9H;x#^t}uPZlNy zOJ+f3AoNROK{4#<H~{IOYAgZ?jh2j<F>rn&vbXf-g1&We5A@Y&sN@SS_n9408pN8P z#snP3bx{p6Su$uGFDI-U6fzY6Z+VG<k{J$t_LCM#x3LHuZT86)$xO+OR<!vl7Fmp0 z92vy|G_Y~>;6>W`;$hn5SE$x_^Yp{?g7oD-rA3Oqaq)7Mv&y(4!5M#I7kM9D9|bdO z`o8}a<y^6L<$yQS2!-u>3_JGQ)Gct)D-<=R^7t7ogfU@8RT)@dFL7N}nU*e`;&NK> zi|$0B*d^&Fl8!{!c)rx>(8I&vrSBpz?Ws6HSB6~cNMN{XeoTb-uB6yyYBJCI9LB@L zj*?0t=z2bX|BWX+*gc~zpnUgl|2M&p>Z-;trAgFCSzrP?HJShD`Z+1{pRP==jg|jn z3>!1O{;O*$-m|W1GJka~FaE2mZ1l6P3Um_Bx~i-G)s^Y>v#x)A|CLo$=C7>f#s87@ znR5Ve5YcE;@fZWa<;8z>Rabr1Rh~}bSyzDltSb=4KV6w#|5sPQ-G6nJjsCB$>Z<>v zYZ5h}>pxjj@%~5F;{TDA4iOG;{#RD;v#zOl|8$+@&Qi+@wr0mZQARh)4stf(fL{*) z^i7alPBU%nqPBkQ?MMDqgq(jO!@2;IN5q6haiK;Y*hVpE4ew|AS-@{X!5ikl5U)t| zdR@)uOyY|>eF8a%?`7P%U=%sFX9+?Qb=J2{49<-^H8HV;0#)p51@JbIm20%<Xp5Jb zU<-y1&b-i3XIoyrocx&@+=Ki^U`4DCLklq1Wzpa$>P`Wa+gwIkI^ykX6u*f+;EcLd zM@L0P)?7?^8ibzE^3hp5Ei}|^F9{&O`%5-@Cd;A0aU1+KO#qWh9u2O=@Y&qG2frlP zC^katFPryTcvq4b(=6MBpCJrvwv;&1%yX00qip`<^_-}eHV}+~T1#GN?@JP8T6j>? z``+7f=OW45m~SvEB9y+5J&5}Zr$(IN7n2<H`bAN|=6+9#B>mb(=re7NJuCPw+gMh- zWIeiyG66V|fb_G8<V}b)z@$nT^c;SU?+d6DF##`3@t=_aFVQi$p@5g@&DVV?z<FSU z)&#Dfaf1uvk9S{6sBC}SOGh>5yf^ayPwKzAc`ojb`|Y6Sl*bMfPcJgAa2;QH_XEZ4 zagz>ej(Pk*ampg&64&z8&2w=}+!qHm2XLOaymVx8B<g>1KeHk&J!9<i33>`E%kF7G zArs*YS?}fVw~<)#la!Ar*~qA67u?P9E$XsKD5xA0{3OPEP7Y+X#V#Hnu6|S~;xQm| z{+U6h|1(1o^vgk{1nf6+_DNRe#34UuWf4aF38s2efun2+lSBD4nem>lE||=zP_)w{ zKgj18MtpVZz>6;KvK1UUX|;E&KQ%J5D}$w%*S}mDY)Ui~Ztc!Y`%nRw;KAhS{h;sy z;&a-_t+58FQ8zFYHoxrk*=t1Bn1Gz985jsFKbvl`x}s9ZWl-p4zZykVgrZa_zMGr2 zE&wzBg#`c@j9diZ;wpdf0su#O#$o>AM>+sK7l6A)kPi!{aI6r?$Q(7bW_{^^+PSpe zIZQSjP`H)iGJRG2Oxq;&rb5FimYcOAzG4>%4Q<nyiq*PzAFMBO7(j<_s97a3g?tFf zVEmEMAi!Qd#JtyK+#g^rzy^#Uk~KBot+k@ZVb}@JLhR}=u=~}UygZk0>JMena{Q|9 zFu!Cl6W1-noyFaYb4FAGeUtl*zZOvG%LE1Ng_BP$L3YHsFJSznQYqR@*252^PZ%!> zvJgVLR1SpPl9lrlr1!+RQIY(Mrv3Ly;~&34ISCIBK9<U8n#jadfdl$6CJP`j?vXQE zph;pfzeZL*nA;P)LX1-zIk!_t_#Vt&yJ{p?&u7!)wIb7Fz*33S?CUms`l0l7N~)c| zbYF^vWncOPW+_>K+FvjBIRL)o&w*F;38JgyW?#eLj+Jxa7K#Lr{Ig=$`OwxI{Ot3R zfuAm<uB(L<Yk<SwVFXvRiA?@-wcWtr6kD{Qgl+zTBId7^1@!evHh9!J3nc7Ye?^6k zFa+;4U?($N;b7%)WA5j{95Me#7=|x2D3|&kxK31}^o{VS&a(UfZ2K3seTG{A`2HW< z3c#7qu-jj_?HLAl0JwDi^GFeQH3)&{l1#KrQ2W{2=N@=;x0eDyH*faIQ!D<P8ZPg$ zGoOdb%@BV@*zkZnHC!N3pmMj3zwUd_)bh8Q%s<QapB44bs`zJ({Id@JS*Sb!4-62m zM@!e-fF=qiogaig(*xsjT;SI=YN00TE|)@|MM6$o(Ksgj6>-8<fU)`jFzy@#n*VFX z4F1Q04Oba(AXfat3k>@(xMja8^zI9N21bKxlCUlN+{?RoGG!NwjH_IirFYrD*wKe% zaIth5XoD79FzHz2wwRK2;u=k>{@nr3G0SnvUlAB4{>u+Qh#y7%@FL=ALYfnYIY<Ck z%0zT})pG`S*?qmALIu#1j$P5D)&wG5p1+Oq6k43Zg<U+8J*R4iyeWsdj>H;66d)yV z*~=6>{}KKFBn9yQHY@!9lY)Em_`YV-_&(S2u3OA6*AL1s`sc8#Yr=|+*6*_Kw=cO_ zL5IClE1>|CHjAa>Uq{Y&EP5@(;OYJ#0)6hitV~_wBS6;{_}jJ3qk)QAvskj==bB^* z8+X}D|C0v(@nEK(dG!o`dB3v!o-4Zit7!O~5Ex_(2Z09svx;?lTxB$@D`Zrm(Fe_* zb-mxhZ1a-Q<?Is|D}q<VBP3V7#elQtOEaL8dqRLto{#Mis8pR8lHfxFMiyBO6KjMO zYLdbfI!GXe@n=AT=w9ClF@WWv33I?3(ECgM72UrU@J;?Kp4-KHPvlr;0erRJo~yV@ zJ=cWkDr){$C5gXc9;mWMQeu;*mM=n)m<b%HKqkn%;$3b#CX=svDp=Uv>PsTnZ?4FH z?)c#U)1LopZg(re<`!plcMGdWaHM&>9FtpJYBGc4E*lQX-<Jb88t|+mCXZavh%Ou^ zzl`s@jqR2yajH#y9vQuOEx>wgT((<cR`*HRCK!`v<`I0~)YQU)R2kHQF%J7pH>bVX zLx^vn!lXsX8JWa4pk2;xw@|!_*n=PU*w_pgax%H#y@y>HzWh^+e{PPpvAxj)^KW(b z2}~|7*khLJ9580R?cDKELr9#0&@(;vFa7)oppTzS>T;8tLhBZFtdL2v+&t1|z<hat zI|a*ZGDqjWd4na|RHQX|CYS0)=@QM?zy*^(`=lAd#m>AevA3juNZk3z<8B`Zfw_!w z%jSB>p9y&7)j^`_;O;Y{Ck4-~yZ_8(ZdcdhUN}#;pZ#y9GMsfmFqS6paF;Ci&odiv z(-*+Dq=Bo>bLhiB&{ZQrF>dCfp5R-2Mw?my&=~-~{RN8vP?MW_qHXyv*a3h(0Ql=K zcn*M90LZuY7wiSVPyi%!1l&eaVZIQNBW0ay)NUUUQXL-9E*d%@yHfZX28K&BbN2P` z$zL&84b@|G7DZ~Ak$S-;G2>X3fcKR)Wbpu)pU~TJL#5F4Q+k;%f#Gjz6UhM$BFm38 zk6U2q`eR}2A`y<z()o@5fU(+6hD^qY(5<v+(b$2(1>hf!(8?M88L>J>x)ljJ%EQ9z zIX(xx<TRn?N(WVf*}u9Anbwsza6xfjJG&#bj3K|^a^bRJpJ%5)wQiiJAEURRzuN|; zDr}3P^Tj{RYyD{RAr@J0v(z(=k`oX(D(rDBlIfELe*@#<WQQbsRr4>xLN6y6zbJfE z@R7e7l7b@^Y#ynXi5uy<7J*qJpr9lgoC5{gv3{i!5~-6RsMm~|1%A*j*`Pb*pC7&? z;nfuT#1o&UItrfz-l?>>rwaRF0=zj*@26}2n1<X&=Q~Q(>(aw0uS)!|&CvVhIt68W zBnqJMCu&W6<o2S0C%v@Ipw~Ad+|opXo2!mRY5emAl^fd{!=5rwXD(2rQ@8ZltOm@C z`ACsNtLF*r%rp{QdUYI1<Im=2@YsPe@YPZzSme;<FS-YyPylsoc}AI?0O|@r_5BOp z1K<MyVt#!F9h?E`Isk?NAgpO5Jp9B<Ku_kGnom)9Y^*b7^*&AVxQ+!q+eG@zxbMrf zesHW4py_|?g|Y#nBsNuAP$RO8tl4G&(t<}8plpD)7S2ubfPxmswi(hvKiIzN(wo-p zfAMINFYXC@1$bedOYyc;wfv$>uL?{*|Koq~A2O}eu1l{>S!n@>_|J=#LokEt+QADT zp1%{JOAwlV4$wMmh(fl)g1qJr5FD{XvrGeU9D#xMM2beaOt|*hbeM5bt=;D7f6!~t z12MwJF)f1Ti$~0x<blJ%Jc~99FQe*H6aq)IJ+65&c`^`ppk#u>2YXdBAS`Sctse*| zlB`@fYq~(gsMz+ZRT>+dM$E32I?S7fuCgc89lAr^rW*dp;y`9n;OhYtZ-Rn7Sr96N zaGwxrKe^0m>M>9Ni9#P2sA-_<knUGS%ylMvYWvF5rb*#9ka7m7dMhoKN}xN#-zrRS zjh;zqntIgFq$TWsq|m=4k$<FzvuDx`&=f!_{RWVxwqUvd=l{(?;J-<z)`{!$ewNAu za3H#vOz(bOEt@*Co_?T{JbV+}MutVv5dqA=RtJC?*oHSS1H1HsIat9h!Z?lkb9O5F zXA`#UPC1r5_~|BZ7*)e0tMX6f*x0w6yidM=7cBw{<yz14ne6^<EuvND>vRU4hYtQe zI{4jkFb#%U-Yj<5S}Q$RoP!_!P|S4aWE&li0xJriydQPoBK4hq)gt>o)%yi}^*r6U z?EO8qYDm_Y*7@k=-{8{%EVry(HDpl|TGD4;&11HDTJi6N<$1bXU1a4MS|#t`;R(3f zIo(E=4A94W(yv+VUeM10^R(YEl^1|LpMLir{086Ko<oIa2@ijOA8(Eha)fXF{M&fv z{qAiaR-XJ+np$d`Qz+2p^=oTcx-*}=cfh|495laGuFgEJO(}1TY%gLlIB6L@oX$S% zJ`NvVw(qQYMhKG~J>9MR&azr16M9<Idb;(xNjRAG{9z?b#$rU)?RWm+*Y<hs4zyr) zzW}V`z6Y%C?0Pr?U)J4jKi)WlZ#LH-v$_K~U8zon8y+4uz3f#efJfHuyb`{;1s01K zl6QM|-k)8cjs?9F;`Q@8wHFq?eK-u++&)D6*05Vkf;}E^5kd3ucFpBmZw+qy&$~=A zU)TGi!Nc7GSpPpCp4KXFu8>;5?!Gr3t{=c%e17g;U2PpY|Gis6yyU+4``_)7=OvdK z%RB$s<mE)irNKu}5OF7lA%UD5p7IHNBAoZMFAB|E(U@JhIr6%6y_7D`eZ%im<FRu8 zWno^=K>w)Y-g~$9u%)}I#>peMHbBq7Zzm5MORQmaeiHRwgB+Z#adslpxLursOjrQh z?)rQSO45xv<5zCC{-d+={Q`73=2!RK?b_Gs&<Xxe35S`+8p@nqPfo31X}GTI?Stim zm_LUaP>QZOPxYD={tYAbpeIjv57&o5?ufhE?(3cT!;sF2Fh`*-qwT?N;rXS;lE?F- zk#2Gp^2hu0Mbd9d<WJpa-d8tyLQhjqSjuwn2zW3sFeorQ%JONK<M5I2z&|a46Y!+) z@YFz2-V}Tj7$}l+(V=T9hlPPTLV$rm1{mgM&MaOI_Nggjjz#bB!e+<Rw5u!$N<#6J zD>(4MHm`6ZBW2j%#00+9jEd_q9~O9+2(l)KLu=^Vz2?7yq!KEPu_80*YlcFkOqgW* zrapXm&raG+7^{rwL8yS!lc}sJgqBp7z4SJA!UfE{6=v6u8AwRx;;Rqguwg;<q_|~r zGq{+Yu3XeIo~Bh2ae|Kp^7)#S0_?|Lb^r7cEOx{yW)yRq3XgP!HN65<-=X;I!qA|p z2$t__Sw3K2%6ng@IN6oEOzk`7v0@H?HO@=T6Sv`L!<wJ?-=tF3qB~UZpxFh~l2bn| z6TwV;TiQ;a<DI5$7Py?fjdxG&PCnBYk#eW?80sXF!h5kSjI6LFRwJJ%tsg3f7O@G( zD7<VzY(Pk=k&&6&`7WPnw9QA(=8v`D%Y(pxH@GZO%P?H=`?bkkq0<p;owkKaknnlU z@?3K^6dRLapJ(nTCh06#QjdrjURnL@mQp=e@`KUaKf?JsNeP=Lov`_H6E3vh*h8oY z3S4;O3AAsqtK<t4-}-JIg!n3Q&A0wWXZh`A?pZstwsL=K>O|oA^jN=b{u}xKC3Xw_ z3_Kq!=Cic%A0_Dum|<WpCej>d;c3AJsD5}7GfGNqGFH<0L;|@rHS_1LSezNOq?MK7 z*eJv=<@@M{SVcZoVZYDs%Uzd5#0b`>2AyQVd?+JTfqe(+aEklx122kmYTE5nThZ1| zPn8^Md3`Jf?XG;fJUG(&)k*B66dTR=WeV)RI$2O+QIz~a?nIV}NL8Sawp<Q;R<;2< zNF(={ox<xkkp6p8FCtbJjrMafoy7~XOwfFy%r+g3-1lE^U%A~3vZ|=4bZ<T-w7t!I zN>%kFD=jMIgI*Cv#hM|5s23wSl}J}y3ZW7^Ptz3rtEF<1CX#h>0e@C>T6=%$EWaFM z1p5U@Cam>P4VGApa@?bKGU^F{KNz3oCxNCm6Mm^qs0lclN#5zXj~|jA<<8Q*VJI@P zV;}leBw(?aZg}&R@=dp#rYH&f%qo*o@y(l@#SE86E=|Mx#h)Ax4(1C(8^<4huX>aw zq~Ss<J_IzRb~lwRgumn|j^5sKKg^w<k-uQ5Pz`Nl*IU$2T6JnZYndtV0MolhzRA5A zYwk#YsUQ}BiBr!6ow46lO8F?l!ZCi9mzJUOF#|$Z8FR#P2x*O%l6AZNoa0SS*l2|W zE^@7{F+gj>xBR2<e*8~XsQa6(SEpI`tH$KW>E1!YqCz8G1%z;fx47n+vW=YJ-gYtB z@mKA;beV)Vn=ZqQvojs(!r(M&?7mT>4~iIH2lCe5LKftI-&O5+I+{JA?FQOQ81ByO z)ZUm$U2%UHnji@{Z{g9;3E{Tf-F7QbHGcdFj`v#VwA1<);<5AVIHRxfBrz`oI&?40 zx@o@RWN15vg4)FXM0r=Iw;rbx)G^u@o9(ef@!)Xu_EMqnhT#bH?Hsrvzn^y}?_u;) zgB)e8Yj^H$R@%*?4ZF<RZbsVOgYJ5b0k2<>ci7X?#Ky&zAN&03Pe&c)_1(<ZkIj6F z7qE)8I0)Xe*JJ7{Gx{s?nPeGZH@v$UHUVJ5NBM}w^!;f$!Qc%Yk_N$Tbo1N{vTMr9 zUGGk`jQK<ul|cA2O9pUv8hmG4uG8b-Dkg4NFZnCPFrAyEO@VXSZlg6s-dCLsv`aG` z(c_G~-pMYe&74oRG_T=W7xIRmFg6a=YpT8D;)@&EYX@<DU|L#QZS7cYBi!&bv9ljt zzDB&v%Bdc-=A=(1#ywi<tfTzwYe~S~evPY+#IVh4`6*8)(61WYG@q)pbkf=>6WP~n z$=ylimuPi}u`cb2*PhovHM@`EG<-StG2myozVXiWxVx#QjpfxTgSlsYnwA~=?5{U_ zS`#$ywA@5<yNax~J)*dMZ##KA(b_%YxSjIV9kDET?r<6L-!hK=%GH@0dVAXPeRO#I zvuykBP*zxg(`v^(cr@V5@?Cd}RBe|5|4>$^M1^?Ayi_sYV21w#1o4KqdNkni>u9zi z4}7{eP2#3ik*lJiq)GPtD#e&EG3t&&KyuOR<GWHk+H?ucQn_I7hVKa1$@$-l&qkS< zrV_29Undh&2E%HG<GxUTMN3r7Caqk^MuzUUV+?+4;X|9=0+!8oWk?rioDzzQl&gNh z@R$WSIBbC&9#8o+vPIl(g1(p)^2T;$ybg-LzXUam{ycLq=h=qapW6euhURRJJAT&p ziMCeat$nT3k!BoU`~u(JEdF=P%j9|~Ny`AkU~lBr-{+vx9B+oaOAgUs1D~_87zY0x zgGX)FHw&3X;A5SPxF3gbt}j-@xA4C2vh%;uP9J+Z{^Z|v<Jt8I8lRb*<}DbI^_wrx z?y-MWb9znW9oN%-cOc=(&%>&xc$>!`6v^Y~qxalK1|6xQyn-8JPuHC}H@nO&IXWiS zdRBNBKgLGK59rmSy<Dr-6ZSLa1uL6)f99X88?4snD}jS~S5CiOe(x0Gu2rf*j<t4u zsbyXIZXuvB^;;}zI;Yv2Qr|g5``}*9SJ!_Mkg{y*z;#j>%}d6F4aZ3BnRH)XAuXEL zRZ=p|WV87*bRDsdF%lrE$f!`8&`Jzm3#ec`ZnGA_Sq_%ht3!Q4md)z4Fh2DlHMAn# z4I4LLXpVwQUmfv=9CK(pRo!DsX{3d!<ENUJh0;kh(uK(mvxPI6m9deho>uf3YRpxl z`AWPJ*N8@C3VD9%NWLVF#>V|pM>~L!dd4Uwul(xyHO!VYQG~)ug)S#14|@9U8KtbM zbQh8Kek-pm99LljB~0uw^j$AQkA>wJQnno!Y`pu0IAnPUSvZRMy|$Fl#W?ZX2n~`W zVvkpM-;K5ShJ-Nn78~nZ!g~*dawGo?%DnQ5)>U{-QknMh%VTJ=drq%po$!|tB;W7G z+;!$N8J8ySUL6q-7;Dva9VxK1h|h2_e{6bnUOD3Wxw<yaKIXO`$8KQI2;&oK%xTwp z7FfFK=C*=tZVh7CV7hd*rR{n`zXB_C-h<9?!_u3UIbO98Bm<x9zL{%i>pT+c)o>C< zGyj&j_d9d@^*NEerr&Q`B_CFAW5h0e^zdEPknWFrt^o#uqibr&3^-25Je#UCylrNq zO}R&mEQ1IUcu+f|8g<pGK3W--yJcK4G_c_hS&&R@n_81xF%dG?$qM6OnV<2&V`&tt zA)#5JTI{AaRg}t~(XKe!@U@t8RDZGe>zJb%Odm(;(&>5AZCCqdqxO3i?*2rW5m&M= z9~xKiHhCl`*)U-S?qw!a)s*Knn<O4(9#FVwm)TXUq}NE6Ij-LOmf3j)4JIgXXoE=$ zq*k_<tyPoS1jv)|-;|yyEK7Zu6}WEe)iwFD6Y<RpA9dhvLS>~6#Pl93x%&0Zx{9G5 ziEP&eURrF_?8%~WU2}^56Z5;ZZ|2*!^36pHGp4^uHw+?1Tv7coUj6JJ(Gsj@$;we; zJL%aK85!Zczd=l|%8BFDmu}3e?|BDi)QKC3k<N4&ci3J9$!q4N_d<+vJ;Jm5_gue8 z73pVDs^#Er9sgqZ-dnaDI}#p#NouDpWnIKK#w$$T63O&>o(FPF4DtVY*>1W>ETevo zU4xWKu2HKuRb;z+RbuNy`s7EWfVEQp2Gi<C82ex*8M5M<D=R<O!&ix)@!#*jTnVWS zMIK1>HXiEZ1GHoD75nMM^D6aMH?KZ;OxFxOm!v+Iw7y8@;ICi^=oABJyu%_5n>``V z<(%k1xotVjSp0#+uroQdnJAe<5c6%1BHonQw=J0uKGX7&DWy_S@nMmvB1<lM8=q;R zSeZ<6{PXwoDf=$q&s>5ho;A?@s|z0T0QCsFBHevjAFM@6f`^U}lc?_;h5JAE>k;(m zXbWBJv#rx5F9Ji#HYSRq%WU<%3JZuKFOXHBn3V|?xxJcPif&nw98)rl6}I6Ern4qr zTned(At66Y9|Y_|lJ@5IJ^ZuE)M+xekB($MfjHIr<N*C?GLI=MI>xCh3`uhLG~=w} zL&_X)t9&)4=pz`IAdx$K++7jX4y7OF_q(jPAgdgO-amyZdX%Cl`Qk^0qL6GrSiE!# zrZ{ACM7h@ak{qkN_jb&M3uo(5NY)@Me*X4J>WV`1P0%1Q1dajHx2Z>~G6*LRL>eR6 zI59u}2K=_Lf^I!3m{=uMtx$nLW&7+D#BB#k;GyHQm%ESzmITFFCnj50GDGeGzy=hP z19D|9Jecjny_}8w#6x(Dm$jD#Ahsa6oR<!bQJ7&22cL16r|4;I!%fK*UMNj#(deGo zFQ{bgy{9K(I4HuYcE*PKS>R|q66Kb)NP-(DWiA>e)VW^^sJx4_P7NtLkZZTG>&L~n z1y$xO4$JEmy01r}aTId?EY;8faaQ)>Zrg$E$Usf!Ko}AIxXbxC)viB_$Ou%RYU@!x zwxGkDbL$2P^*b-GLV>ul1sRSNYQ8#70hJob#@>0U%IaUbm*J<xdRTGRMQVi>;7_`) z#u8qIq;b~CA!R<T66&n%0+35$NYPJk4s?7eR$Hv_S*qHpNG|@UmF%(<$il(tOZVBx zW*+`KshG0gcEER;QjO!_&a&_e2ncsQs?7$pm<_snE7<M<_OfWKl)Ol9NZ4^|N`bVl zUlzTM+jC)n94-H;RH+1YHoAZdA;b{epQU6s^S6`aStOc;-F6^;4r&g($cMeDQ#*%P z$;K&B_4-|A3*7R>h?&fxs2XUb2k$QAtX$TlcG1I0yU>oKkRiwJxY|Gtdio3V9mI&U z@N>4m+wWJLw)npNa&D=T7mcphM%m3qaSNh&H7<p3I185*qqyc*o9MtwVGmbN5;O~& zDSHOMVf;&SotWXE80XmirN6~k(f3WYe%Wai8lNFix3z((nU~&#$BJ>d?h}!@?y~Au zGV1*6gVpPi3wEG!ASC3OGN=O9>ij-2<ostwr*%Tft%KAXtxb>(F$5j6ur0(|9QO`_ znw=GgTVe~kBL$^OfvcM|9|VXENf^+qLGSn&woEu!qJ(tAs_nBGfvA3wK9W@$s<7XY zYyky2G(ID|wnlm{p?<^x5glSQd~4ZKM!Fzm%y5P_eHx$nc_|}p5cC@s3cD8#D9r{` z%ae7Lq0lI;i%3Fs+aP&S5mIJ~*v0&5_{%B(Rvt&;Y&IC=q=)<E#Qa+2u1NBtEqM>V z(gxqW);@a=ix@)kvx#ev?M_|5i15>%YTTYe3}f!QIxMG8Q+pO1?OZ?4DdKjScQbP$ zK50WF%xUei_l$@ki$C!{)Rx4m=b$-F+_p$w#Jid*HMf<OGTn3BewMtbQ7i2H`Zg5g zG>Xa}_gDbN>D)akdZ(!y^MuXEPt0)JBze)*U~_oJ&b+(%#w6?NP23(l1BCUpN{5b) zCoTUArKF*A;IUhBRZh~!kKgN<+d(uH?k|}eg(X)D?c;DCRB3L9TSYuJrEyfYudTQv zXxg-CZs%G_j_V_BcO$f@SSBNdAd(bP(UF*bM25hXsW3^m2~l-#(p7?~y_{1Jp)Kgi zz3QPYC!zs=e8aczOh5n3??9iDLff#b!r!&8;vpZ$f9I%>=DK;W5Z)>L!7#5D$NwaI zR_fD95(t_X`m6AqqwpOm2%q8jy#M}GcczsXlJ>K-TKqv={)q6D5yAuEC5C7zMq%d9 zF!F(Y@qNA#GuVE{@jpH)f?hXkQ#C+V7kCnlX!y457vBDOGGOQL_%N+W!*^uA0EZXi zQ52na(042;zsb9iosd;!W<B<1Pr)IZQH7?$wdP^_kGz`ygUL0#0!iFnnJf409GZF= z3CUw5RF>v;=ru%cjvUfxm5aeop=SqL<wFJ^uh}+PR?e=GI%N-k#vyaXTo|8;coI?Y zN*$Vx>~cMl)bLHHShkk9R+0`La$TCA-Go%LARTL8cg0UdD)QXj`pfB5KH&LQx)gfF z?G=ZV*-jQ9Pv<KP0ZEsY81m;QogPEf^-AZX^;g6Vs8@jVsrpH?739r2_mOtoo)q}A z$YvEV%*9$|6I9+}*nL}37g;eB&JaBr8Q}5L&L=C9>2oN<Z)?!!?5tr>Oqq|ESD|$l z<0q$tcaG<C^7urbs5PY#`5rK+bArkuhSqH4C8xStcC+kpwv_Ln+<05qPBYmX<)sM7 z3T_C<E>tUbORonEe`sq9iXQBC>{x+u@Ws@rWD5wIC`Odg9~Y<u^p&e52JIrbB;rvq zd9OpXT_GpO47w6o{H;736Xa9an@UPHJ?@b^seu70*kkX=3hN0b#$Oh)&QcLe!{#Jm zW0YY+i18<6=n!h4*eHbgfn5y4a_Eq#foLdQKE<@}*Y9qT&97m}wAj`>O2om9?Pz=H zwpb@$_(1%rfr^YiOZ5Qm2kT#HI8bdAv8P6tUvwaGZ_Z2g&S3`bMo}g@s~HpGsVyQ^ z6<>ENDS9D;JHcIn7qH+KDKrRIp|%{BXHG_qAtn-0Qd}m(lc-%#i?a8z0{ik4HVTvm z)}NV5HQ6n)krmdzWI(K19vmTkgFBIiCPt?mAw7#XK`4td%_~l+?tpmFdO)J?;vUIi z1M5#uHCYA}E+Jl&Cz0cOF>IBX1&cgZ(hhD0V?qi*BFNRMmy~?)sC&3{@VQ}bk@5O) zx7uQE7idAJp$z#6DcD|<Q&fD)Xl0tBUUe^mPVbrWvG4_R&D<lwia+3PMXoS%GjpV? zc(Ty<{0HN$F0fG)VBvg}+zB%g+#>H^!};ioZE2wfO?(5^3?4{axbI=Nsv}&422V5< ziklx|PqbIyEeN<p%D;j0krK5wg;0G_LEXcEN;YbQiCDkHoj61jo6<%JCE$VWq^J5a zu#f%f9^s<mB^(AA%m=!XfbA@#Y-B&c&R|Ep_`(!ssX#`3SRRNe9a&Yz2O83a?JPC~ z6;)#<I%tdBX@pEjR*9QO6HLTZFig-^i<{pNObF2-=mN*Yi(@z+QPdzLPcrKEuE1O^ zed|@Mpouuj{9Qc3He9#J4gxqIO-Runv4~+A>U=Bp28a&0P<$&HXTlI_e=!Dpsz8KB zsi^20N;2w%<UkL(NC7225GD((V6`DgN(&|Qkpot+<m*IjsrXhG?nE*WK-_0>b4~mS zJv6cN3d}?$6_IxJ*B8tO*sbpo8`V<9v`R4(A2dXqDv=7vW>CFH?B9Om)>zHI(T-rG zHW0zp2m=#k)QICbu;k0gBE|B}QvFsz-(%H4^M~?*SX^Ko)Gqdjfx;ei>&ln-f@eT5 zU|5GlY~Dxr$PILaMxD+`7bHH=`U^N8b<`je3_cJ97jA);D!Ooj3T*~^LK2Eg)L0;n zM?#&C5lwCea*GsGK~S&$`kq!Ig7LS6$RR#hP_kza8*u@}I>(j<X=x98@DjzkIthK# z*DbOy5}{F2lt{5y9IuHsA1aFyYdA@Tmh^Ih>5>{rZXbKl9M!sHqi^mlSt0g&*lOjR zkOE^WY{_g?>(&Y!nsK*CxFv)}`P4oUZn8ozK3HarhcFj+AX;BI-9lLtqC8BM#(Z!f zL8U4YXPG!&He<d-G<gV~d!#89;zeXABfV+_<Ath-Ml`zkd-q6cLBvL77n6a{m@3BQ zfdplbeK2Zdg*=+Df>mD+#PPV^<lnq3Jk=p9WHf*kECvl)FJq&G1S4{c+nNbR24^60 zNR5Pvj`4v+=ioBwsL+OHsG{o#ChWm<Op>~X*kS4D)}@8tlwHXRuU%jT%M1s2K9Cin z*usu!h#Av1VXC-w1X?np6m7Xj2A?5b1Tuw*-td9ypWrfCsM_H3#oeGHBB?J6-yrjW zxJeM)x;OerF!?~UW(XV_seN{ARM>`II1?OD+)JvqKeYM2srp_|G7)>^>L}pQKLu`) zCldSE%L!-&-+Wc6-fj4oe^k9v=?y-8Ou>W*GLaSDsq=yURETZ$-Dv=@U?n!nFTF4k zuU||lD_;_{u~+&hsoE0osRdVlpe3UJvI<M-^VL-xmiyBrc8m1O0jLR<0~*tc)b`4I zgV5(|Kvg|-X8^eD5R(P3(@DX`sU7$Ji01>Pk>`9&V-Y?G+k07z3E8HFUH&W!jTqJ* zq0;XJ(ml4MXZ(e!TLW7t#k<%0C3{?ID8ef-K-;J#;%<6spFg*!!DZ&}9ivuLw#Af- zyD_Lm>^YWVLg=cosZQg8?(?TbY$0;CTTFJ{UnwWoF%j?q-pRm(NW3R2%(AA!UZKLU zp;_<~<gm3yC(tN7<3^x)<IEVovxXge)JMES7t$116(y=QEw&Kv;Z&WTHo8FNl+?sq ztl9=9{7%3}(rM0ul?p$0Kt=SbUfeccFVY9YjYK74Ptz17v<25a(h+!E;JanbX>>^S z;79s-dMrc;n0e?U;qkkCPNP#zv&a`-?W`cxj_opWw?zo*`qOBc_W6{*+bkc`!qWEo zQ)3~L$ctNj-9%<=FkRrJA2>)mQIel%Ad2Ip$T*rq*NDpSlM$GM#E&}e%FLSX$LVVX zRDoj~iGGwQ>Ns3rNi;_;cLByHC2TZ=_qkDu*cI@N;O&fb1c>n3?klHYWy1U%uLwSv zpNUF!<7@hf1uMblpl|~2?00O9;jo}^dQN>xwnp*3u%tM)ZtPbJc<a<Mfh1)5Z)4Ua zQMywu^F83xPUY=`uNB3U@bD7CPTwRJn9<zpnoHLQt<tJA*u3g@@}_4IwZ}f?&po3> zu|N)Z2QJ&cMtNdDvCayXqvNb`x(=btr=h!75hze&yqD<;VUW9S7@p`QU`ZZ4<v>mQ z!BEE7i!a7C89}KdR3IPiC5A?gVD8J9%rvij6U=dg$z=7BWu*X~tC3J?4+fKLi{HyK zBA!~@%4d%j^>hytta5p}j8T(v+{=tpBU@;h4E}%yRw%3~RKTwJq%;u^GaJcZE*fZ8 z!c*oV7LJEZ$maIxGQS*&%>oI^x<}2nH4s!qn^|PeA5rs#C>Je1%O0zZhf)tM+LzQV zP3iO;eO88R`sdm$Ei1E2LI~*%X69Ia#PG*sq7U1+7Xu=>9|Pt6fXn<;X822#hobNd zTVT2Q2?aRBBpBf|<#bvf<nFo&Idf@n=!zKWEvY!gS*X7M1h>o!!P|rUyy1_Vn2ssI zg|%3>F$`PVAz7gCB{yYSIuUO2rMH<PD|{&QQHkQhWJ}c1iSXFb609F)dtqb(t=<qZ z4)!(>zDOE8jYOo|Kqad&u6rA9#rRbtIs|O>mWZ0EqzEtN`-_FqHK#9v*5$#A<|Wtb zAqCXpx|lC;U#T+Z%ge^Cx)QOlT?~fcP>bKfEU~Qi3X*)^=C^JSN~9KVMkAtI?G;B@ zN(9Bxu){CCMx8K)^Bgg?jt+vTa&h$a5X~cSboZ=UAYr^a#iE0?e0=>z!VLXhPBsoq zpnlzwg260#N-;`{7V}b_h+66s4bdA{bB<saHmNIWqIa(5NkOPg5iA(#WwM1T$n@n_ z)}Mk#*rb{X(y3j|V}sf?FsnJ86GkBrvJ;J@0z{b73gQV{n5mTPly)U(;12v(qxyUW z7$%GOSfuv`(1=ekULqq=QuOITyiSE+=iy%YOY%0;b<xp5<Cyo{awEatxYDc53zkSn zy<dh&knJ#yOhllZtIJ&Q_lZ{^xxw`KUA|~C@Y1f&za$_iyH%bI3R5NED-}!qUciEp zV9dgzlI~@a=!0dDSEs!*9D*h{&945*xZIrTko&C~9YhlAhlb3>VINwmI6Qw9SY37V z3|+IF0C;Y%<_}UbYLmE82rSl21?g>qaZXjvJ$ap>%LW9s0-xbvs}9z+!)W*vmSo$v z_;nwB1`X~T0j5<N;JLn^c)twy6Qqh83EL0qyA|@h>*Laa&v9L6O%)#}7N}Vf$08P> za^1QOIj)ef{u)G<l)ThjrzTY742GYh%S(JmWD5l8ur4!?^kK1A?f0wJ-ZdKyc%Lcb zmQlzV;*~V-NIaHrYPNM>gjGtmwQ#VN24)WOY4&I$JW;L|uUl*%dWF!1QjgH(3$m@# z!V0nwa^vol%tkLSneSo{EN2lxaWHj96>UEadPkvb;VzaTAXEgFVKOiH_g3#An-n>} zmv|~DkEEk~3-p<^qeQlF2+OI`s_a`ozpU-|&Pv@-OgS&5RFD>9N3HC}2(r+}4@nRN zA@!yr4MbafbV-*Usr3HVP!sY~)*VyaFkuQI6=pFSJkkNuUxdHo`oY`mA5wZh%M!#c z2acP^3#^{DGDV4EorW=iqhJY6OOjq3E1#y0CLY6e4Uw)c53e9bs2^K<2ll6L9@Fr{ zlB)2!`HAP4in<b#rt*HE>f^5Pa2x4usqiOig#DH>y;l<YYub13W!UC{VC-ZduB@#a zU7t(_jUApJaP%q#Q<#$sryvM_C)G(@W5}Q}!@Ga$YQ7w##uTvwrnt@<O~glK68M4? ziy2&>-tUhcVq~1{CkbJFZ@4o|0)sp4YrPQ>#U@qimtLG(;7?9p`DcO&Q_VbnbjP`6 zG|_<UMCGluSA?$yrUAx#jn0Tt-}KVl0yUVEWZvJzJrB{i$B)Y8xdonZr2tU@2CK|! zFFEj)tnCFtkGvfCQ?kGx%AM<MN_4_e)$s`gqy~$4>J;O6ItF3-e*H^wN!}iTo7j!W zHLAhj9j^2QULmF}kMIZ$nHP;gh)fZzTy@IOz;z|%uvTkQ&r!j`+=488f)UbIZ<G2_ z6&}L3iM(INdsJUDgwge|L8;yD`#uatDe7ng2V+%uY6UHLsJDkn<7J4RanpAbZ1gG> z2N5IADvh^$IT7w`Qn_l{wY1`LLh5Fi-7b5+VHgz>ME&q2P+y`-_$)kzaI)T_Xhirx z;|6FUuRx1L>Odg*9Fr@aGTb5_gJgD(8B;_r?&()scqEw~+ylWET0zHbQaBuS;@j^_ zkv%H@yjMmBd%RYRtqdei6_?`@h~lkfn2+T2RGN1w)TnAbxMW_XOM=~s!W9UEMBj8B z%KeaxZ`+tj`eJ;x2i!_7l4bdJ5!f@l*0XNmX~-gqP{X)K4%}<;kT^5w#VMhYtzjfL z5U^JEu4}Y6_I}zbG`4x4GuXq=+Uf5-_W3PgN`Ej7IQrWQGD87I?FC^@d|tKSUh|zW zd`Q2)c&1|3>h=dkUT0|+9i5R0<KOu35g2IkOz&7ocpJT@Pd{KTX&4GnM_PZeMWTKv z|E^B(LpaHilcppD8p|_B;NSkMxpXSr0qLr9<pptEytW0grcK|NBPZ>$qqM+iJj?G( z8$O4*aBwWChCoFz7#OV^3w`a%d)K{xL?!kkmq^I#bT~<+_xB^LsYI(@Z^~X`SAl}n zNivu>?>KIF`BVVyQ`!>Kj%pxX&!OeOK`|9t9muPnben`AgHLU%tSOv(jD21)+qD{3 zfpZ3F$H(`!jXNi)#VoMs75sNO$q)5EB%^wzO~5a>2HM5b=}{K@hORA-<xPCs*69=k zbMy!9>z3U<!+xk}3>izb6S2kNNA}3TseD%wnS;(xt!0!SnS;zvqh;iS!%vYLtM7jt zL)4>|SXrxv(++b5s_AN^_Mn;u-Z3SBi!P14eOkmBx7V6uEWuXPvpw+=N2YURy-2^A z!N1e$W=ojAbk1$Q3zFLOz4?%(I9DeWEQTh?i|?LDOGId}cL=ecfxYbhB=(b%@KURe zZH7*@<hZ2Hs6Tp+#2-<C0poaLQna_XBqU-}`;$vpRk7E{i3`;^Cu1i+TKb5+KPi|; zEp=lS4pr-D+ee=zA2G>U@9xmDG<I!n-+{NzbNT|X#)sND*2Ic>VV{Jx$w;D@#oUyc z+VN&U<}n`|D03cQ$+-;<INhZcOu(U>j@6xRF&9d8-<ehUkZ|_%Ose&YO`~b{E$Wt- zRaxbweR!)9Fm7+TayZ=fCwy$Y&U+QE(Rhf#F|~R8_x-1rYcN%&@^!wBqExk%ufPWz zF@Bu}6@mRBl9;c%s%f<qD}@o`zq)1PB!?j8^L6#4O}X_+{&e2ZPw!JTX`X#xRd=P$ zDCu3l7V64n-u1Mv^(4UBZdFa<C%bBIYKq=`tGIVn_qLg?4Fq5O`igBIM$W)YBZel- zJ(RAVnRkMFfGLhD$2ie0c;Rl|$QbN`(5(gQL01*G^{0ZS9j&>oc=9{vjn*8o46rRy zJ25%?x!=4(tun=RVgdguXB{Qiw=}4!&;n>^I5mLzt(!qFK8Dq+I)*MfJ86fq%UiZM z_i#@${l%hf90pwS(`Ivn+^b0Op<R~uTs~z^&Qm)5nNdwTTq#r970ygQf`!128EtCN zm88+OzVAD&<YHQAmS1~9q%hHC*$)EOJyS54SSWB)IyD`g+D58KvLjt9UmYJOm~)K^ zG+0iPM29o?iBUL?xAnuNjYY>n(}rq7Dz3lmOwV?d6PQ{sn$Gq|4s*Us-R_~O*|#X4 zG+c!r@?Flf*|<}r*wmjRUw#Wt<qN&ykOPrLCFzjM$9Pn~D=sQvxZhgHCH}ZNM`D~g z%dEKXYj&f&n+fJL$cRhQfgN3M7iXUiBB&uhgfT?vr`9$?o<VnvnLGKYKj71Uio#V( za|jQ9b9L$D?VtXtv`dBN<_`XZk%E^=`-AV7Oe+6^hxu|U3FrhC-_j2FjZ1m>ls)x< zv=5EGcg!!zw1Y4BIQ+&1!uJ+|zvyR~P{>@WHt4@r7`eL5NLH>b_LI>>NH4dc)O3~e z(#J?<kj4AIytBNn^u?9Y){p)%SZ|Q2AM89PVw7md85+P-j^83O^lDHzbb9?g{~ALh zQB7wnFH*AdmCqt_-ko?1_~rxdCO=G#U5((*1+hctaxg~dug&PJhBo4DES2-}Kghi! zUmK?27UHx~@3B2yUBg>c9w#1xFv9aTGfSw#3b2;R=Bk}*_g7Fj>Ja9z(=9Qrf3jh! ziL<h7wl`YnqotPW9VBz4)_3=k{>sQ6;^b6PUP01W8O}kNoLAP~1OM(l;AlgfZ=0Nd zuZ@r6Ss$xoW>Lq-cA41zrYF4rJIYMjcgdb;;BCk;VjHLGT~2EM7XpbOPG=FBB6!)r zxX@W$a}LMA$=lG+bt<PaG1@UoR=*Dd^Gf-R!ESQeBp*4A+b@5Gj_c9d!B>@Y9U7d9 z#vI*Y)Rm54cyM%Vf;01*r_5h;91>R^*WL4X>}sB?ZJ*s!oOS^ngdi2%Csk9wkh=}; zji`6@H-cv){=Mp~6f-)EA)RR{cT3?V*xVvl<?&HG4A$us)7j#Fs@RfyCXm};GX-sk z2Y%&4oLYs`CWhByHA|EI_G|lKSc;ZN&Es-K!zShAc@TUqIMxx>0SN30A>CZWA*R?N za^`AKk!vkquMw6X#V#5)uT|2{DcF<bwA`-oD&9W<_G#N!^E_u410p*E(>@y*&6B1L zy?SY^hH*X5tONBzoa2BGaEsBv&<b3(>cMiXXOf`oIpJPoXwimRz3E}XxX#n7vV|he zxxp*84px9udSQHf0_$DIRFnG`^occ-N5ryq2Tr*$Z@-!kx2U(7b4>-7Kzeu5S-kkO z#~3N_NYw=@zUK1l1;mmM$d!aS+F(rHu>A;(GHl`NkB*kHY`~bq_utGgqWv-ySGG?L zA%*Wc`wGQUg_^nE(7IJq46H|SZF(cAOSBJWIsO6v5=VL)=~0PW-1TkeoQ$Ou?D}F+ z;Z-Bv%WD((crV~W5hrckixUIm9)VvO&NKW{9_Hv6+B*&-QmsKkAG7D~ncxj$9W|$w z(7Acv9PHx2hv&+yPCx0_OCXJUIwTe^5<Cnd2>_1-S6Qtz2ObIa(x2X6xz>pP{XG>< ztseS2u*6B<j~8E8IaSNQe%VHn_i$=V3CI}LBs$Hv(^C=&SkfeZ57i^DziYjq(y{1a zu4xiyNrWQFN6GVtV&E^<4+UmXtCqZ%GfTC@U~FsU4fy`ChCePrJ0N1_>|8TekA`Lp z(_T;*Zy?rLW6XY3@8eL#**7CeiG!{f`)#BeCvbWT_b&fbhioeU*ory#@3BRJ<lc&w zky6?Q1*ErU&0EC~<+?9;oMD2tJ#BLY$B`IgZ}iSr?R);zjLqV;qMWA5cUY;IP3X5b zCfIXQ{!v^#ZO|wXGIGG3;)3^)tkV5oU0n%K6UiD*n2`_{UW}15I*2G1DvDr$2ZY6S zdB}2kAg6?I1ds#>0)hkx!DAytKoP>>xn97^AxHotI4qzdpd6B5K)|I4povCS4J!uU zfcw^Z+f)BkP5)ni-P1MI)id>dHLOvGaL3W&>7$Z^HNJ+{RL^V~O{-6Grp8lG!J`|n z&l>-pC`8*`?J6OdjMU11pd^pAYVRJX4EL3`hY9jG)A}Oh1Yd896ffqFF3&Ib*9MsK zj5A6*w*t!p=~>MNQFBkGs-8$rkq^QBmbL?twf?_2&|r#q)y{Hi?M*EknS_EIBgg}s z$SW0Tl%&XS6F%zgnUXYlr?)F-*=;KQ3-tON?ek9+Pw!Z(WpPvoQbidjZUj{3MU+fw zCO@jTJWaFo41Y?jdC;sH9Bl|Pr#g2f6Q_aAy>`X&i3oG?C!?O6Hz{Xw{odC|Q*kAA zXHHh+GVY^0%%YrB%}=~HN$U8T$=jt2-w+p>*AW!kv3FV1hai`qXxoSi_D;7k>A{<f zBJnHSA$DlBo+6V~TEVkU9?aNPb>@a^{wQ62bzH6&^f+j0ViGDpFO1bwRI;wsdXDWF zKhC-~`&wCuei-^B%(&|2zB>`IM1?o6)HNf_o%U9b*&FD$|G7~8o^HI(E$vx~oTZ+M zpC~*+`&IgusF3NW+5TY72CmfA;53JH<3$Oy2<8403HtAL0WrLT1z}ezNSDVlr0p-Q z-Vvw)1c%=Ye<l-y^#5J$@WAj!bRQT=rTDcvcghGrVLc;c(C1`9tNKygY;L#yyQtT& z170Q0sH|FJ88)|U{#k|#Mb`r~n%8|iUyN2Zig4)RNo5ndG>|>v-jLY2t<ggcRg+_a z49s8i+>Aa*_043}nq^$>M)!l(?xrC@L`9Mh@<nVoj{CN)FR3X?88rl%wLwhJ))d?X zyK-&F2Z^Y|cy7#zNiVrRB)DV?Tx2Gd^ffJ%p>K|4TjbeJSf{?ZE1k;!KBlXMM7>Lo zXib>6i|funez`6eT6mmHdng<vE%Sj6K92)D1aA7#Wf<AOJQk1&#lXIf?+?MpHBvNY z^4~Y3RmM8O1(f!5bE;SSD|%3S`i}7&?x6SzR}}_dKsKnATonU;gw&LCFIZ3$+F!l) zZC{9h1Mz+<uU+UpTc&VSY>C24>UUKzZ(&l{jrGnhLaY9qKVaVU@6wS-&6m;dnb{<s z5>}agg00pj!!3U1tw2ztj*9WH)$=_puIk$~X?MbX77lKRtPHyD8JtgMKC9&V(|Z0@ zV+zfUl7bHyf<rGLn;C=3HD6e{7>~yPyw>nl!f_3elWT~pZ<j-J{bv5I+3vvu_H1T1 z$$vhpH4Q3@KP_qrVcy5&G$JcLwg8$FnMpFzex~2Wv>eX%UWnA~cNpiyj{H(^Kj7FM zOMB)cGyks8Ra8r|B2X4N#zo#XRO6(>vivWBYM)c>r#Y<Ck2(Uc*ZD!oq)cr=L>*a? zwS6clzHU>3$T?9PxB7tXy;~RA+j~dTr;^9xJhOLj2lS4gvW2B7)cf?0^x^BaPXSU@ zaltL&u}+y0ZcEl>)y5`nj}q1sdvGj9KLq1=@$%l77h<>Y@9Y&^dUH%5;1T{ExyI%b zqbDvvw`>;9H!Gdc;>OAcJ7svBy;R~tNf$g{hwD!0DKSoT7YqSP_EF<;4*z4(254HI zsXr1|)MkbkrkeUhzp%XQQm3-OeU_E{x%-t1qY1Mqkmy{_snv#xCJoB%t!@`Ujl=bU zZMNAP>JC6<N20|wJF3G35`g$(q8`^ww^x+WyZjz^i4Hq;)Z_CPtOQShFLuUkfmH)I zmD2LidEGhJ&jMthmQ;@sHR(LwAY>iG=-jp9SK5ttGOl90h1OGyB#{a3R${JrVF&pY z=R?)I$78xqqdk!Cq(OBe$|F3$zNVE-v3t+hd@0n-!jjZZkBuI-uNfx!vz|eJzynos z$Nrh$ps9^NiCbd&+qy0);O*jPELNj{HEt@t!Jz@{-Qjj~rVmM!uB}DgM^dQy^m~P- z>x<>Hu#VCs(+i543nrU{sLwg#S^Yr6#<^}a4<DQGAF-CHEkl{--xT|ii~1gjqatTA zy3bzOHM4g$`&{vvOJmzkA9V|I6L0;~ue*zY2tt7^-s|@j8?8LF#eaRjA8+@ah`6tQ z0PNp}fQIp9V71zkM*P(BEfY3~?B5l|-!1|mzEA^nTC@uA0W7$4$ur=L1${7Di+b|H z7Zt_*Luk}G{;w-QJM3cBN-dT9RsP!*prhg9rO&zC(7g!TF(g(au~-mq0qPn@%J;y7 zo0phV@gNb1>jn6Ey?`OV2@h%-A&vysfxMCM1O!`;gb5Oh<!jr#fhDXy{|g>8uvzTq zpQeRx*xk>7|5+IJxT4io0e{~#fA30=sHP+0dm=1+?n)51c9H6vNFIe+v()L=(>2b1 z@e<TLJ~Eg|`v;lpP8A0muZBXEX`)a@e>M9$+HHI^0W@FY{x-QN6!HH+FERYR1kiM8 vKGFyv5xtMUhky)gGZxh1!vs)sDf4tOQ}{I#iv^eSajU=;>Ths}RRi^3PFku= diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml index 42e39a31..fc0b6342 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1223,7 +1223,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:50 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:08 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v index f13fe3ee..c52644ac 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:07 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl index f41f47f0..e31a345b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:07 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v index c2c923da..5b994be1 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:49 2017 +// Date : Mon Dec 18 11:27:07 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl index c985ca0e..abc4b996 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:07 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v index 05ac26f4..43c79ac4 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v @@ -64,10 +64,10 @@ module system_design_auto_pc_2 ( s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -85,6 +85,7 @@ module system_design_auto_pc_2 ( s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -124,25 +125,25 @@ input wire [11 : 0] s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) -input wire [3 : 0] s_axi_awlen; +input wire [7 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) -input wire [1 : 0] s_axi_awlock; +input wire [0 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) +input wire [3 : 0] s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; -(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) -input wire [11 : 0] s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) @@ -166,17 +167,19 @@ input wire [11 : 0] s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) -input wire [3 : 0] s_axi_arlen; +input wire [7 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) -input wire [1 : 0] s_axi_arlock; +input wire [0 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) +input wire [3 : 0] s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) @@ -237,7 +240,7 @@ output wire m_axi_rready; axi_protocol_converter_v2_1_9_axi_protocol_converter #( .C_FAMILY("zynq"), .C_M_AXI_PROTOCOL(2), - .C_S_AXI_PROTOCOL(1), + .C_S_AXI_PROTOCOL(0), .C_IGNORE_ID(0), .C_AXI_ID_WIDTH(12), .C_AXI_ADDR_WIDTH(32), @@ -262,12 +265,12 @@ output wire m_axi_rready; .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), - .s_axi_awregion(4'H0), + .s_axi_awregion(s_axi_awregion), .s_axi_awqos(s_axi_awqos), .s_axi_awuser(1'H0), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), - .s_axi_wid(s_axi_wid), + .s_axi_wid(12'H000), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), @@ -287,7 +290,7 @@ output wire m_axi_rready; .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), - .s_axi_arregion(4'H0), + .s_axi_arregion(s_axi_arregion), .s_axi_arqos(s_axi_arqos), .s_axi_aruser(1'H0), .s_axi_arvalid(s_axi_arvalid), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v index c7b8a5d1..c54f85df 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) (* CHECK_LICENSE_TYPE = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) -(* CORE_GENERATION_INFO = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=2,C_S_AXI_PROTOCOL=1,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ +(* CORE_GENERATION_INFO = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=2,C_S_AXI_PROTOCOL=0,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ _WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_TRANSLATION_MODE=2}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module system_design_auto_pc_2 ( @@ -66,10 +66,10 @@ module system_design_auto_pc_2 ( s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -87,6 +87,7 @@ module system_design_auto_pc_2 ( s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -126,25 +127,25 @@ input wire [11 : 0] s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) -input wire [3 : 0] s_axi_awlen; +input wire [7 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) -input wire [1 : 0] s_axi_awlock; +input wire [0 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) +input wire [3 : 0] s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; -(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) -input wire [11 : 0] s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) @@ -168,17 +169,19 @@ input wire [11 : 0] s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) -input wire [3 : 0] s_axi_arlen; +input wire [7 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) -input wire [1 : 0] s_axi_arlock; +input wire [0 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) +input wire [3 : 0] s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) @@ -239,7 +242,7 @@ output wire m_axi_rready; axi_protocol_converter_v2_1_9_axi_protocol_converter #( .C_FAMILY("zynq"), .C_M_AXI_PROTOCOL(2), - .C_S_AXI_PROTOCOL(1), + .C_S_AXI_PROTOCOL(0), .C_IGNORE_ID(0), .C_AXI_ID_WIDTH(12), .C_AXI_ADDR_WIDTH(32), @@ -264,12 +267,12 @@ output wire m_axi_rready; .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), - .s_axi_awregion(4'H0), + .s_axi_awregion(s_axi_awregion), .s_axi_awqos(s_axi_awqos), .s_axi_awuser(1'H0), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), - .s_axi_wid(s_axi_wid), + .s_axi_wid(12'H000), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), @@ -289,7 +292,7 @@ output wire m_axi_rready; .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), - .s_axi_arregion(4'H0), + .s_axi_arregion(s_axi_arregion), .s_axi_arqos(s_axi_arqos), .s_axi_aruser(1'H0), .s_axi_arvalid(s_axi_arvalid), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp index f02cb053081f0543d6a1d92f7576b65e8c62dac6..98e46e823cc75fd8db3588aeb434f9c9723178b4 100644 GIT binary patch literal 271819 zcmaHSLy#~GtmN3XZQHhO+qP}nwrzf6+qP}bynioS^$xp-&LPKCl1_DX6r_PcPyhe` zAOH-slccLNyy2dK0045K008j*%Q|~HyO`SQo0vLVn%n6cy1LlwI~eOT={vi)8qvA= zswv8EF(CNe)pI<6R9IV*53IL@L)s$I0~bOP$|@g|l(jk>U0<Vg>GO{@9yur92X%b; zyy^CR*|>IwV5{OQ*tCJEt>x44*U+n_-;<P7?4CMkqe8!vk@B>Fv+y{~IL=Lt*tF>k z*4NJeb8qGRCzl#~d`~rvW)%u1r6&<K4WT;F+@LUkHN$!?Y}%%?B~+YZ^A}W+#|ady z({u>x^a3^qb@1x9;Iu70%MzYtIW(X~7AtBxg~{WDqGT&j4F<itumM*?qZ=BX38vOc zxuC{$0Z(sMes-U-v(4)>XXpRh5nS&uxGSPo%v7boM6J?#X2D_h<=Qq`*X-!>OYvHx zGGEoMk^k>wV_Lch-tAFWZPR{N1=Bia@{&k9ze%WXI<Qc6S;cw2j?o*{^m|V(nlUK{ zXXy|>UU9d8?YM+W&q$@gNP4!dc?{xXbQ1BXUuw)?jszE+lNz4Thc?sDw*dCkmp~JT z>?W~ooH#)n^O8@(>aiq@FcShfFaatgQXu311`iShr9cK$h-Ar$0*O|k&q|3_sjX1E z?z&EP;fO9m;bUmw43$sOj{@x!_@t(mN%~>%iGc=$xzlunB9n%DQ9>E2UMju<A4^Sj z*H=@p*S@vbz}M4+if%#12k+KTpNFx#;a&0|pArdf_JKMGlU$JmRzWRoMJgc9c*N@< zwwADBXN2)i`=DA>=c1aY6A%koti%MDqBhGFj4b!Zxai{^=E;K?m+1q$UjoiqY)jv) zI$C+Ch{@TsV7*-a_(<;jq>D;=heh<+IS}GL3w?~B1li8BFeSuuT>Xx43l;r8D%l3v zufcwG<oyi#t|_+pW&PDiP|pt_p=alIuF9zS^T%yuj_J5M7mj3OxI4Xd9RTeKAT*LE z4GH{(kY{h6pVIS2h*0gshL~iSTV0cLUAZ~&Wb&EfDRHq_GrYtScSWj+#z#V59=gH{ zcfR)|zmt}=e~v$zWB;m~zAjk4;1&MYyaZ96)?tDJ0C1B701*Cv=Ec;|$;Ok;!^F5( z%g}y{4dG{3-|_sF5D<skamnt9kFu!;!huFOsU2j<U>?b~IaM^aBnM;U_v@BoOfni4 zgTqd6UxKC7+lOn{yQHX7lTC_ECllDjvLk1B$pUkZ4AO^gwdk;TK<)Ou0CC%a72}Dw z-lX}c6?5Md-_!8f04ctCfMmQV!OpaVljZyBsZT8w&tju0=;~y}Ko#y;5W7!1O($BG z7hxtHR(chy(<bWV{%$i}3GJu63Bc6x^$Ym>2Y)Ea$pus?RVTKHAeS$IE@8}x(#lrs z8St`Fk#*}~q20N}4X6AMHi>_Ktw<Frf1+{9-v0S&EDDF9qBUerWP$=Z*^@<YH(t15 zSXYqL>T@U`t8$tmZw8&yqU~%<ctn$PuxGs3C6ngSlu0vef+qg<sXyWyHV5?#Ve+(K z*v#6%!;`h=U;N#^o<UAI-mvRJctO=aw|NimnU%bBU-V^R`3ha5{wEad$J+VVS%vXU zhG|?bjcBB9NHxG}X#yK4azbTr0t>6Ln1oj7TWkG}lh3JeDGo;xmahhed!$V)b8wo^ z%%54%D{p-b(b$*jwj9FZ5lVi@W)|%bN!}``!1nb6%rfXx_&x^pYenvvs5>4)hsdAa z@^yx|W(TZccg0JfBXE6&>tmWwIw~@^6f!KUNY7wHC_QUC=8BXa>s<;pWhGA1*_BfI z`LRVS5(6vXG^o0Ugmp&@QZ-sxxoOW|is?4^1?2&3k=k4VZ)7-bSbR{WD1=vypN6bu ziRV1kBAx}>7P|**oK3;D1}Eapbeo(@eA0o)8}deLcZ>l8eE}VA!-)G8K#iq+j`gZT zxrSa!IJGpy9Nk=DKWtOPZBP$g92sT^B`$1ngm0YTrF}E3fh~ey4sYlIn`@BG?Co!) zvvvfTat27WC7vrpqK^3Thig2$VwNsXj+L0{*Dq3uC4;mv#Z!>&>{kT2Z$F$fM<(Iz zg&&?+CZ349(<Kh9Hv&n*sbHT(@(W<Lxxot~oH;+Ipc`2~yZa=~q#>5R=qC#p&&Mc5 z39^7v&YqE_eK35XxPRso*MpGF^aDbdWRytgJEG0oi(HXUm`<?BJaA_44DgFQaOg_} zp&vxK<eJaL)$E;*WUl5zPsXHxy`~nil2U3oLkg7v&11CuS}0ctsMIiZJPrF4OU^>K zvbdtUDz=oDu**Kmk+Aj9yTmrRFT#X8UDV$R7P=>!pm+1x3`oTiEu1;PUKY{yNm|H< zNjK|Z$(5z6@<B0$KrJz~PF1VI_Jb83BN75JB87@V$UC_GkDTOI&$Sk|fgrgZt9A*! z7l#v0&w8cf%txgP5Nl<SxkO94!N?}|SryoN1V+&7biL;oghbt0f4h%%w6CUZ9E7iA z5$5#EZVjstQw?|@<*f|kKVp-QiYhw-5!OJbT#&sN2kOjn?N_W`3rMgOUA!Z%+L>pT z<6SL!lp96GuKyJkexm<i8wpDNNJbIzz*{d^;|L<^rqZv%&L`f^&Ffqis<C@?<hF`y zet0PAUu_0KU-7aHrk7G2vB3$RuDfK8@r8rXjVu)Qv78I(X9T!?+mYPVej$CPGvx1V zwpl18))+&E5QBI(2H2`7m*8WW#2C!ryQ%iRP%@<*uk>25QstI>r6pqc711-3H5nDT z^ZRTzg=O3AG$f>=wDm+6HPcSjv>H}usUAhO8b}76BhZa(8bj62P4nm^8Wv4*s>T!a z4jW1!m<R)+_QYF<RN3Zb$VN7UEB0yyzu`y&%BUj@w;s{R3iB}DvaO<H*jx}Q%NA%G zXW(m@RDDwbTWLORI;|k7J(Xv{8lGB4h*o2)ADSxp`mRc4^O}ADySCYUTZ<~BL?f=q zMCQgTMWzHY75VsXisUlBwrj()Wr~6+yAKz|`tVh+LK9gNrGAd@Dz|->ix`|M{Ulnt z8F=@KvP8Es&1=t~V-GAUnZtoZHGN{xzA9V~@15|v6|v<M(wRZ`Q3^Um84@o*9U)(5 zomYMD#ik|gtIcZ#N&YTnPXx5ug}?B9EBlJKEW^qnYVRM2rm@mKiucA=Gxpo;w^uUv z2)nH-4J3BtQ%xH+Xe09JdX$&D$;XKy2WPFdzS9}XcDCI~yCWFJF=4EKS8KMuBgV!} zcX~RaL;JW>M<hY)BSD_1F+|SvdMo=u5iRXzT=V$@lSt0i&m7K!ncdt{Iu9r48yU;d zu${p!>5<3d{a`#c>F)6{_8LC<df)K~>ASbRmf_yu!p(!c^;(sRt0HC+Nk}eD=gae_ z!qRSffo{33vf~w@j(&3gTPe2OKyT&0HOc3A{~QDFMZog)Jd=NvZRJLK2KGbgqNLIC z4uKFMGzXW$e~*tybm#h!0jMkF@2<iKj5%#*a({PEyULO7|266$_sFZt*xonf|K`;b z%&@wW13&<*@Bi@s=2bcmyA&@_6`h$TI|q!MeLM&R0*QN&@cjWuknoWGTRwpx5O;TM z5($Sj1HoXBw}Bl@1G8O{Kh8hyvz@2g?)Vp1SJ#y_@3&2BH&<2r-3>3RC#Xq@1>)an z+0IV?Hy(OV4<7W(9|vSLkev2j*Q6U1>uHw@1Lw)eo6yuT*1ebyypjBGCYq_3YFDhS z$U}#Hnv;x`vs|3%RqHd*9t4Me0nSkkAhv<tJhvnLq|B+fR4P4$N+>WqW@9LcE<3E~ z0rr@mLf>Z2`y>4-cY*koeF|zVDEVg4PQS#0oBUJs9d!Z5@z0BI9`%)(H}25@90HdQ zGB7tcep2+K9Y=QQOM7tI0AV}$V}*j|W`{ZHbA=Gmv!9q)4iku5(C{HS8#9%u3N_Kt zv#n4)y7}V>^%?~L0W^ZTRgiN869|8Txh?X7Qy&~K&8e5&o*v(GWrQj%Ps&T@4}e>4 zY7XB19qcZN^_GmrK<n20z^So^{IAPaegB@SlPZeZXYRL7Oy1mBuq?jyXLmD>l#}_- zOF{TGy8X+WHQ8Np$S-K_+&9`(u{Sq$<s%@4eH0#)RZkH6P}fC8nw?+PMjG%g+y%sz zDpu&=Tv8Vt-_3gS%uXhvRgg<TdSost)jO@>SGe20qeu+>NDT47+t<4;&6uCMDyrT> z>)3oE?+54$r0-sR6;aep20Pkuc3pbDP)z~C`)<!B9R4A<b=#W_U>IX2F~J`6qD}uq z<W6EaMz|P!ZqKW?g0I(NH}DIO=ngd*dpY>y>AzD2ilw!3(RaXC18?WP@H0H9k~*BM z@M-y#q*fxl9FEqp*?*6WqdJ>S-QZP}n%4GG)aScG8u(rH9V}a(-Yrl)VF6~B;80>l z$9jt+_Yq5W1G@c3XD-`P-8(s>A#vv2tz<HRY1E;Hx1HO>8OIrSG4|g@j(b#UW0|8_ zO=~v=aR&WI449}n6N5;vfsqPl`~Bpfv$0ZqoIWoilf7;Yt}3+>q4AM~jMMbR52wJt z)xysvuIeg}7Ebm=DFDayEKU+tffwT)7G3~bvx})G$i!OfdVAkqH%&oeH*xJD$2KK< zdb{RTB*=Uw(#uYRG8@X1J^C4nO~}JR06YP_6G+y{vz2=6sGBtJC70XuKC6>y|3Vtm z`_#EfpvS_CoCG|$The1f-R;(Yjvv{~%2`bTSn7%vE4Mq$<}&oRg^+E1GIEc)Zol#v z3zqphjExUZf3+9;q7phHJlsC{<}J8o4CfiXAFG1dKdUg!!=zs^Uu0z>M5#9b#$y6# z<v3x_jA6xdoSJjXevA%_0-lXh^LLq;i{r7~R7PXwfZBH-CC-r<pQ~TP(hLnn*{`eL zeN#@<EiOu19%t3pMC3rNJFTA}^FYeFM`><OkP*|L3l#}5MP5m4S>l$$y*dPw2*t;% z@v9{}G-YDCYSM|2pY1(h!f-LYsU2`k#M(Cub9@ss*8Hp~B*NLw_u9m5o;x9{xT`it z^_Q<2EozH>No&(uX}&TIai>=m3{Vf7+uOl2YrKLGL$N}-0#?<q9UfjKGbqX4loiuC zj>5i%AkdDo(G~`eSSanTT}jII&6bCyZDh0JRIOhGg91?7wBK%%L*{V{ua|x03?5$I z?|~Po6|c`5;T-wjI4e^3RgcG7!?lh*gV#nk>H%-;g*;A^!>RUk{9V{g(7w-W=6sF{ z{psfCD9iHcP>FU@+krSRvF>7(d<MeGN;|mH0P8W%18umk8T44R>Ml-0+T?2c_YwSK z(spso;&jjSwr5F4-;t(R^~w;A2C7|TOS^e3+Ge0iT29Io69qC^d-cZ$0AzzRac^yj z`<W6fNU}A=oI#0N-9c(BT&qrGP_`$Q8_14(E#EbU^7hls5|~h0ifX)-zE^~w)Tyrq zoDNfe;Oz(EZ&X6r7nNFErxYnfAGhoI$_9-!DHGp=%otQLc>g;|_@<av6|<m_OD7?9 z$3nm^#*I&q_{=qut~4Cce7V@idC$)ojC=5#)l$ISNn<R@<ShJblbi4=T&xJDt^!4& zhbQ}pkuXgkbriUIQFz6i+U4o|y9l>vH*S`oV7UeNe0V)3pCg`(GX0v5yslOqWG5!J z_mWrXG&8t~WmDf^<=aUwLCn5>B}vRarJ()Gldc5LIj*^(JLkHt%aoG4inEGQ=jQO^ zlUtglFK7STfY4W9rKn04TmjPYi69#Y%`1zYfT`x67I*>1No+UD8+N~A>Ot5=r7CjQ z@31pNsu^hECt+J+g!KX#2b8*A<0=|${Eo>mG~j~zEoXiz<QZ}Gmg;R}xa;<<G-$Zq z)6y!!Cit;^RkHU}bQP?FbflR}b4=5HK8%_e$Ya;&6YX!6SG8;an3X@>b{|=1xUi2x zW}-QHs*epZCKTRfpn#`fp<wogXNR>L5eTaNlpmY2`5Gk)6~!HGJy6l=+s}Qvz3qM@ zQEtP7y7xiQ=+0p9Bk;_=r)qg0DTM7Uq~hkjH}yEz{YjEGVMAB^ue8fOXY(FMl4HFN z`1j7B#E)7v(9M;qAsD#=4=#a&v~^ljv|x9dMql#0Uy$dWSe*kd#IVxl<>aC#;&a+6 zpB8e|CL>!}>eh$6EMpsrW8&y5xru|Ba=CWSEbpUB8`|#LS{2RGb}ewyO=u*~Lk z$x1yIUbK8-=#@kV_h;B!ENBIEr8r`kj>ioUvoV`{IeYnZPo@JZZVMrI-^!}PhOr%m z#2Gh3ok;}%Yd;Y{XpH>FulB~uoXD!wND`R;DpM0zxXK`$l!pWtXI+@N0(sHRmy>z2 zIr^X7P3PT&9bunTIiUMW>NP$kN-H*<>b=#(`n}CY_p!-FkI7SmuE_Rwr;U4)FJ!cj zkGi7r*ztHOL(gl@(M`G=(x)#fRG)9WRX&<SNCd(sz&2;b#&!xVx;@x|i-3i5UD)xQ z1v-!`l1)jA4AI1wN`xoQ#sHzul~1mDap`${<7?J6FgBamt2aGeJKxKm&Yc#FdF%^Q zm|AH%G>>W9%y{4Wqbqsen)Iodp;2P>uWxf+!2~$#3w*o@Odb1QDZ?6i742t>M#8&4 zvS+f$1`v+4E>*^hZBY!n$RyS{MpN&GAx0DLM2a<l-)O?1-B^2}+1!tc{n59%@ZBWf z(}Q46cG#N&rqaO+RPVUE4^`i|v9^}=)$Kv>Uc+kYteRQVnzdr<zN~lg4dC$$DOD#6 z`-y!(GKL&`NYa#Dfyn(z1jR1JlgGqeSpxV!o`lkn!YQ)&Ok;Co)YpzwTN3BRDNod$ zMbiO$sIRN$btU8%t5sVT+OSNkJvtNe3eJiwij7z&6&Lalg<T&mq_AHpJV@_Oq-ImC z%04raYhX2@F)cCqB<FIbsE)2Sr+>|xL;<7r)<2~pt66H2$)u55qitvbUNTMRq&^c1 zmYIb*Q!uo$0aur;6e&#QkiI=ge=eE#CUg3<l+)+3o`@|$Weu?y<&;l<hHDah-Oop_ zgsjd-&xh0w2+vD55y`cthjjk+hBmzcMEiK|-t6OxQ-?%C);*EVGSu-lH=)Nutxt7{ z1`6z+mJuESUA|htJkBBQN+4Mv`H+kG29jY;#7M?qVTj-dT)teN)d~%XP=V)jx~15S zK;up!B1xt1|CWY!R(BR#s19Vp=v`FDOgOpjw*q}cnaYa*S?mvrYpzHu5*qtA^L#zi zmHrdICVW9MwJ*q^8`*oSbCF^l@IPou1C!tn){&ypr&kOs8$h(itq9ev5a%BvZeiJa z*nhKX=@@CV(HJSQd5?c=H{iEkky3QBUX_|?pgED*aR1e&5R00zvBdgC{JlfnCeWgU z`)Qru64T;vCWQUotYxm_&?((EuiEPS5D*2`5Tdr<Xcz{Ik2w=c`a<TL`rFh3pq_(3 zWqu`+yPMOdiwtvvUX!$=Vb*nJUu2JX)v2TcyAW`iohYNnadL<7Gv~XbWE=kzVN(7$ zScj8UE?W+$8x}Y2jf{^{?92qxNZd8Zq~Kc=kt6jI=FO473%bG9M^QikNK$}<5j+*J zmMGr)mTIOCXeCb`AZq1oq2A3C4Vrpb*9BfFsIy#qI6M8SfY6x@D=S;L+m-7(*t7Hx zAps+EYJjrVLB}HD*xJhu<3QjMf)uoC%cl7z44ngdi*F%=*Rg8g+C`U`<Hvj7&ZyyT zuD^YLl)Ax-_0oo_r)*oZaL7gWkviq+<%%e2i$u%<EUYYZ;o<SozRPQ;zoO&P#x7FH z$8kcShS~$8&7A~d96kWT4v5&}48cpQK8XQw5)BBVC*l`u68w=;nlbE2dC$BP>=i9s z1^wez=lu)tJLb?_tlw<yB{{M4`#WH!zH;UtR6qpF37Iq1$0Yl}>J{?MwTL1Hv+iBO zrI-rms!o|PRWEK^D5&re5vtMWx?g(FKYD~pFLDN5Ervpvq0BnJ5ltrX9aB&s_n?f_ z39PC>R~aYylUICh;i#;WTPo&XYc6eoC>$lXmY1UfazV{Y;cC3cZ<kHLaPk;$IG?4K z=vDDhyBsU!u0Likd7iw=o>Ztql$V5h@a#!R4C`T~$n1!rT<>ym<l^i6Wi&<IR3Egu zsS9i4XjjBSkvt~)t<b{<5aYh2FXHq>eiiUtDj^bbl7yXJqk@uZbglTKL+bx)O>js^ z%F7Ev>P|&P7EiO54vG@@c|7h}I5r81mEBWO^#58~O_^NZW02OQFwJV;0G^gfN?~+d zZ@71~L)0wV*gYB?oE~_oj5-!yxiHfcx5Uk<=7*4u6CS4DTl^TnComW3OW3O<Bt@fA z!(F&tf+R(b`$w@1Mnnj#?;=3bi;4z(u8+6QAA0!Jzq-OcGOYM8M_$fY0ih6q^!s!S z_0>GR+e{IV??-uU&+a{!%2o~{z{3(su2W-Bw%<0mj&kTRoD^J(`uP0nc?I1blJ`{M zsz|g^u#pz75~mKTG)oRw$w*H%BE{62H|O3nF{@G(8sR$Fh>YrF%fQz$>sEe<mmO2! zJ)GwcPJU0dKrfXYmVx~clcD`1*B{~_!rWKMEmqs)tyUun$_m6~F?*kU%1k^MrSDJn zIRPn%>GSiX+c`;Ya*|XT6((721Q}wbIl{;*-(`Q5YPt$6(9DNxL~9~372BTW{_BIB zMi5};`XgBUtHy2oH@>R=@X&J0<DR}*Y))2VJ-4c{!s7fyk^(dbM{*A_?|h`lhDaOP z1wu0rGVrQoOQC=$Kb1*aLZO#m`#~&W8$oO-c@&>;OdyG}-e3~*OS}l~XSK9W3Tcz# z6?s1v5Y*GkC{e~rD*A^M+3&(iYP(L8TFpnnth%6q%48o(a%nw3dW2w*K?%QrEL!y~ zmoT)~RE6S6W|=}hiMG->aWDQC?-pq^8QApe53|@knXzJ`GfAT=AtwE#uh-h+V+{$U z5@J7x^F6vXmUqL#Tp{)y$TevlF#uz=QS5a^!0R^w^=8WZ%XoWz@SWNJn$`KkBRl?; zIaKP)MZBQCnlVU3?ori?*o)qp3Jr#U)6H9Z+?Sr*#(&f%RP+I&3!VLhA?|2dbM1bj zTXM2ksV7S(J8T<{VQC*q*)s|AC|FHo8O`n9dP6cDlFLZPrb>O5NKV-NqG=5Iw}r+& z7REJzjGusGewt|`W2&c{XQ<pOX_q+rltMk&Tvqa@L<}kWZ$qT7B?&T?>p=VCEtZ8f z473cvo0k*)s7>GgY6gu054%S8Na73pL&#uRB>Sfna}{bV*O3c7aYoOz9^6NAuiP&K zTCWZ3k3%zg=vY>W4Xb&*a}<q3+R@n`PsOBFrhw^1cqtKB+g3H%r7X(9(5kSE;zbqB zQG(mhz7;-QM!$mo&N+UR2iHG1fsY9&D&CJ#*aSGv%$>OJ-Ma_)R0CWlk=i>?X%mIc z$Fz@(uW-PKyA;gH6Uwm1X<|hZs+h>oH*E%t5?|EbZ{^}buA6ME(=1p#KSlaDjy3Gb z?<<$7q|`m8d<jczuv2f^Y(BA*=16;zmfN%Jhe;dtqcF3+t5XhRwo2%y4bRWVtJ2%s zCyJlo^Wh{4JEf%mTqe-_RWu1~2G*o)Co;<bmdxNj@$$Ev%XYV*rMf_6z0tF!J7Rh6 zJi9Mu9`C6D`9A&-#*2x}6cU|$`h1gblkE<$5OkrqHofTga=yB~vRaHIL~P+&pKjK8 zLuPZxtcb{ehXh;Jx44-)d*<P0XkC>|7QCrL^_}bz`4uT6#24lZ!Hkd}%eoGoUOwSL zq;iNciRU=++1b*{64CMsfzJ}HtD~^4jA~o#-pR)F?%`s0*@uygzV(+?>KCUoG{i4C zNB8jSX?<{2`m=VMBT@tMRRHyvpYffu@{u*QLuD)Vi91<6hm!NXcsB#wGwgk8x4XG_ zw`e(4>$JF`@kX=kq&YlP2%NfMcWotjZ5(`{m+ZDkBWq23sk}!C3U^9$raGP;rM-XM z@Ff+Yx=}95QndA;-;^gY53@&|`q8=A6^+W31i9^qQG7(u*X|6HC$zm3s>w?GOUSf9 zf`eoNLju1dHYfBl_9eBFt4<o5^O+VPJVVDR>HM#e@%(JTpp(G<uX3yVIRVWdqFJ&F zvfAn)ni4q+qL=*4v)Jwvle0;^Sh`o5xm`nuivd&f^E3Q{#sXAwlI1IGd(npLT2liu zl1sX8!5Ukrd(D;P^0Mqw1BB$<l1uBjKA7}Rcbr2tMI||bh2!Y-&BA&n6!>{Xc4NcF zGzjt3yfR*L`^j6S<ut5r5ODCajK(IsKDb$`3O_kvGUxOS!*WIxz-L*u6DxBA&NQgJ zghUtxacg>1546hDfE?ED?SWh74Y1P6aIxUT9wdBWfDCU=QJbG9vv}ZlOZ3Cv{pU{8 z$G@9~ap$DuZ~8ORA@ti3^_!O(<$wrED~_0B7@G9~LCE$-wBx=j9{0~l5uCRE@5C!= zb27rg&=r4*n2zFQPIDpuuaDq1Uo*nHwNK>5av=?y>p$uu_Ybro3z5=&TJa4{`*NOL z{hNK!+g~c*+ZWh$>!a`2aM497uvEco$o^bUK<~!vE@sO@Cz?V0l<v^$huT5uM8aSC z7hrdDyFeqBtg(iwMA}!Z|4-0fKNfK3ex*H+-oMKqH7B3#E`-V$fhhjTeJ$np<gM0w zw045rb9&P<L^pJYUPA#?z|u$_CmRGcdF_<Jxz1_Cc(*$pr~En4u_nl^)IztxB3r?D zQ_)yU(U?ndY%PFQ{N4|~*g{H(W$-f(p=)NNTC|yaOSnf+O*pT;ZVt^<$QoAH6`P1- zY{&m}BgvMDOU2IUQ(@K4ZO^|lfS2Iyune`!xe{yP(nBNmph0SPbz6MOS()hJ#ew?= zVJ+Ga_TL|ZJ@fj|TSL>ubEo^~HzkV{;bbJ{=AGBJ3(VeXc5>Gy@Z?{`mV3qZoB9d^ zRIsDDiKrjcqWD(frxi4x7rDdjZ_Z>jPpGTbDKl#5kNDgw2xX+L{2>;;rj~732Wgo8 zThy~t6U_Q@ors}4v=g7+D%d(Fh4ciOmEQ~e9!M`UiUEJnGRQ)sDM7GFg6L{^QRWpW z<xON|q;Gou9mpu3Pv3j<OGUnw`<&TIu1*JEbP3@p?0LPE=qJ{&-ERdvIXfz(p+|fj zTN3uEz#zA~=%whNifQi!ua~D4d$y-5$H?0EWc!kRKX)i}C4v@3inOdM&(ejl@1N9Z zER1U^pk!*bl<I`w4{oIPmp6Y%*!dGRxh=KS^TwM$Ws?u-L9QAhC~5sv*tv3yC8=y5 zfxl$1(oK}`h+z#q0`)A}R3cFeS?Jjl(p{!9^6S8zh%a$3>{>@{;tYM5acO~x7_Yjf zXW~YC$Wa1Sxj6lUlo1!ED!rvJH4kZS_=V~16&F*{KeYUo*@JI^U7Xw?Pm_~WfNr4h zk+A$&x9INAJx;Ew(i&aXNn|XYK)IbD+=?kMq{8*?wQ<^7z%iZ9W)tKARBRyZrf3)x z{^{%cEv?Ocu`ud?RI|x)xhbQIkB0fJnY0T_?%ME^O$%H>l!jb|N~E<ooL>er%r{TT z5mZe|wh)oe`AEt2wf3M#IlL-|K(ccT>G-np{A+Rd<9F9LXE-h`AQQc91%>)t@xZN} zlo5O1Y%bZAKWeQjqmp|W@VJaFuLi52up4gKtQq&`&gG6JuZeLM2r`L2?xNm|)xyXX zJ1WYV*u#27Vho~cAF0-m<wGIM>s?TUSv~&M+lV@qqR&xb+fx0F-j}PkYWyp2E3(A| zg7jC-kDgGP-U<hV-aP8FFU|1{;4&TR?YOw1{-#fjGML?BU-W!R5!+{!Sni}jzg3fW z3Xq<y=D$9qnyfQ@;*rJL;A$3oyDG|V+J$O}TH>-Aeye6F{)=a2=AS%Yid``w6)v=8 zq?C&k!gX|1`XT|G2gA<CE|`43^`{{xU3*izT8Vzjgx>?J%l?mRg*kj!xRhOA$D>hE z1B*pMA@S%~z+{P}OCdtH{IkayIA<~*)Z0|*ZvIK3bzgnbYPUAUC)aj&vI{ddESgm! z+(aDL@=1+^nMT#WTxPCic#r<4t<)_MKe%@!Y?_}{S&*FPoh=EM4mG*jFs0G;vfS!< zHJDt5xiQszE}c3(&R@@F{Yw-HG#YBDR5P9+37;9=W;$8EUT6-g^e5)(WnGK&KQ@X4 zYs0towtRv2?KosOd-OjMpLF}B+s+p<Kx|*lDa>24jpuve@j3efTP55-L>X?D<p+XM z(6U@@CwDQvDa%cd`27eYsF*VYn$iFvN*|D7hJ7u+B6wrBA}7_QK=GXN?ML~wi65Kw z%|*e3&k#Ei^}FqB;%nbUC6(q9?A^Ad(iq0uMfP}|w*0tX4L|3eVzeBo3QYtO*qZ*9 zF`we6;9kf#b<+U!C6E1=T}HxMT2GusZO_StT0!-KRlVl5J~SC!TzC0MJf!za$%Pc_ zv%~-Pwq5}<E>|Tq<$t#X1I<4+i8kXJ>rYw9rsKEgn4eZ=7Yg-S7H=4R(~C2Um!K(2 zwgdcYUxioaeVL(}mQF6!GqFds7?L^xGL@~2iD1Nz<v&VL=<z@-^3~Ta;<#*x3iUu< zH4ILAdQ0`(0goHrv1-$eG1YS({p*zaSFSQmpH}WHSwbQ#3!zDs&X=TpEg#9)o_uUY z;69%wPg>FCF)X#z8dqohc#%lqIxW)be3L{;20BK&!WM?ou9pjJGfEHArwWHZnL|b$ z%&s`G)2zQ^g8q~K(pCivX{3Lu7iY;|iL(`)#t;_Ebi#N{X0Mu32hc#-^|;!dV9ug5 zVbFEb^<_O%SKs)*W9!Gqt<uxj!TW22V0JoY^ySBwrq0MD8$hrKw+uG;YUM7gricZE zl0{AU9pm|%8Gk-V9W$4~?}`6_raP(M*!{(H18?#ta07zYT*(!B2-JbqXwHDMMQ_&f z8eQ*$181wZ#GpKePA@-qlZQe*ia@8yTxm2%119GBt%v--3<i8%!RvHaCjwF11-Q9y z-8$P{qZo>;XQ?Chmfs;5Y%j$n?9t~A<sYG^=J2vwGL3B>p_A2T+`Z!tU$#1&ne!Lu zvpvi>2p%i)QS<K%?D^5Z>58+d;@C$+hW(&2(-mPi-trioOK<J3IF&44?j;#SDGo^^ z8)i~u&a6Zz=-L90@|NIizrhO?1*~{!QA^c};$pG&sWs=+lVbRoJIm0sKfKSk87yWM zi?I#OP~UQh`y|TB<oGgOERqD(?=BcF6lN;meRI<-!6I*>3`8w86>VlsM2IB4wEyW0 z@ql=dkYjg-%W)O{bUBu#eny@DQyeR$tE(;~H7Yoq_KC(}PCfk$)iY12ABV%6_=IM` z4cP2G>!}SkSJiTr>JE*5qTUN5+*s(vL<>6}JwJ|WeFS2!+M=Bkbc*NF?!eHEZ$GJV zA->sBZAJ7ORc$5oD=v#JQ`}$8*$|+aIXu`N17tjy+?<Ka%-9*-&d?0@>xwR-$(0qv zb)fkAn921uRflU5Pc@f#;tV}Pt8b^MnQrYb#f7>iSOr%S9MV_uqeM%m^^Z+7MCh=% z53PFa)?E-xMLu_l`=JT`=}8As8i9FE2yAKk(_mEzuXH)?7;HL}D`NN4k8KW<WnZDa zqqR0?4(<@d$tl!v^r%_<!heI>SnxgbDKzEc(vi+h947hxi0bZXCtB8jzOt$BthlkM zr_AeAoQxJEM^|$K&P$K6K@wZh+tIe*H2Jbc-ZucG+X~Ss_j*CpHqxu=!_*A1G7ztM zs;_GDze`j#zIW!t?eX`!kP(X$n-x11F9umgoXb3OE<33=8DqS$ut4u#w8zAGvadSI zY#rBhhvy@g7dEb8rzDBZfs0x?TK$*SdYg7eK>uUQLLB>zhw>Je4Wa$|V_yvVs<HXF zTu}@Q9y<EgMz)N^{8HHC(MdE(B1LQMTD`gxX4Lp_;1q`zJ)b+@(xjyAlx!X!Q5}gJ zDIY1VLB8x1-Ia8r+Lo}P=XCVroAmH@0CxVv-F8ZpTlkJO8ehf#t_cdAemJ-DR$}?8 zZW;Gy@W*fb&S<aHFJne)YFHZ{Eqd1A=y}fKZZDMh25#S8-@qLZJU?AEkf%L?t3Juf zw+X$L4c?YW<CRVb8mDQxr*w9b*xV$QmhEGY?yjfVOlCCz9aEdNJ29NCyKyD>lMWo- z6od}kOWPAa($VH##OIlx5rbkAPtpm_GD53-Z^iZ~f|=Gn1B3d&H{3?OxYe0^7fht# zN`jJ=i0YqEVW|Q(%w0@M)-*-M(*O4cmouKHZE+7YK=p3VC6)k)w4~;dBSw1KZxRr` zdTtxC<eD~V+<S*Cnl}~qLd3oSt%fW4r<xmPi`;Hrs$)v9#Do}-?>w)4Pt;2@hO?ZS zh+!=3wo2Awd)zFEtSonbg-p;SV#A8OpmKOxE03aq+AHhs{<$(y@0g1TY??@#D4blu zUiHH8f%vf^ZB)6y17zJ}z=|#_<2T@c*YnqrnlD5D<Gy3J+lCcXqrONplE)P^Uf+;u zy@2FmYc1rB6FW!(8a$y34d$eX6UGnsv;<hPMS>@qnc%~GorcTp;GRSO<RvKYoN3J+ zm_#1=hpC$q@Tg?81|^$Arg-~!%XAr&MyCFxtE;UiJf`9cZC_g!-ud;rE^$YPqNF#F zrI2;3tm5s%fgg0dWU!fPE3RiN82Vc;jDXq&Sp_f)jiZd00ur^HNXP7Argz)&k1&V* zbjS>LhL}x^VPWAw&P(+h$3I>|z6h^AfPB$|VWBZu4h`b@)RH{M?aa*e3Gg(z6Dp>& zgWvHn{Lc-JAV<ib6B%LlUA5ry<0lemkn{O%+U7p?0UlbFqdn@qQ59ZC<)BUZYI*?Z zB3gooI<_aB$0_`Qb@YaH-R-k}ZoN&%+|Jam3dlqk3D!lA-A`x&=o^FZPAEi(N4U(f z#xLDcDuhgAE1zWMm;d1>`FM%{{nvj?KjbfJke|UJTw20`udKlE9oyv(D9hhK_;_WU zDDd)#IlFM(XW|b_K2GGsY9LE*{&E;a2L-3)kGKL6HWf>P!SGr7cdGK7-zX9-4oTTq zqO5YzM+bIF&5I~CtT#yg$`osN1#Wi+U+2eMz>B;U<szw`KB|&d@wLs195$nQ_-O3B z#?9!G?ih_AMjYPpmci4|z%cFon13euZ{wT&YlVLrikmUif8872v95nczIpg*>^#TK zD2wj6j4<X4KE$q}LH*yF|MVp{BWeFkwle~BY$%7bZJP`{Cp~W0!Ct<;2PU!xjGl6( zjjAt%O}tpQpJ<migE}*hcg3>~hY^cbQXdq1gDk*{PvHKlm=`SLIf_6iEy6XG<f&63 zXy5c7xZX+k^GGQ_;i2yjpNL<`J~U`>0n0j%4J0|;Q6$)%I=|Q_G8za5WotsKmDE2g zVNfi^s1BPKQrEFWO4Ji!{>l7v4<6!skFwXjLw`Afclm<9;J+>iJ~&Kai@4#Tet^&a zlYd{c{zV3a5cu1t|KTTm)x&`#!t?mD_izGknH$=y7eBT;S>+UGhdpBF8{)ofPL_R( zR%{!u)9jdJypsIJ+2GgEFni16QUBC?`jK_IWKi689wa0}*A3$!1Jl*KXotgzh)FkN z9+;7qwCrHZtbvqWDXT1NY^(Qk@tIHUv62$r)ipA$CN(ZKVWm>jPuK_8kwMLwdEmAA zi%z%LX1U`TS_>O!J1<(d%rRTSyIR(uGIM}f<zESY#WeQE-%}qR&CGNW;Is1C=}K?} z<~nIriFV~OR>Wu8VNbKk8=!lgtTD=h1*M+I^3j&9+`?1M0TX)4?US?R`#poVkIAxs z70k6{g&d>(8mrU*b;)D3=(|3xXq$9=xkF5qmoN}W`Q3knf6(S-_Vit7EjW~c3w9UG zHlX`1gH(YhvohNIfX7z8*SNm6{$fk?UcuRGpMv6Z&6yFm-)1_^D@M`JjILkIjcJci zKOe)D-g%BmszgB*R(zi_L!s&gMwx!nr{P8RT76zcY%yE54L%jvetmsDf4U5qH*ig& zl&)8dfDP@{R9@`5bqt$Qmme|eOpfb$TzR%LT5(~*f1rI?j242+wdA2aRjaOL@Ko~D zOcO}WQWy4?uSTkAE}Ma>{a`mnA-<?Wnh4Zxf&B<m#;T?o(v~y!Hk_r3pXuTEIT-2# z;)$S6iY4p+g8$>3_<QWlJR4N(7Oh&HepxZ<?jGAB9#Z4Qu@QT^o0D#ABZh>`7<VQO z#?T8pVNNM=x-;pD>19!s6s4qO#PsHz=Md23ON+{c?MLrM?0&HCdeHSQK%=#{Y|LYI z!t~|L_H?mFEVPll*mV6A@nkN>_unwWftUM+Dzp3m$XcID+yn%IF|4T*=X?R&p6%`? zbq#w|i)~E)m5=f&MF9^&{t8A`ZO|02=9wd5e0Q+?fUZ5|tES#E9fw-oYEhHV&Pv<- z-Yi(^fREGJPujGv%CpOwcfppu1*}qt*4Fcj`x?fP6=dH@F#GmA7|_08WY+6KXdD_F zycxa3ERl_&&{+X}lTX6%NZ~Q-Q?4xQo#xPV_Guwp1D2rSU?Dn9Zyec*-TKE}uEt^W zgawC-F{7yzGQ{V?GH^p47s!+5;0V<>i-4!2xia_C00c3$!ZjxG_%jnLYyjut+?NK@ zcFwSxFnhD|pI4iJ7lb@|i~X$pvHS|B(5QN-_0al6-eZ~C=N7(FpRZs{VOpLaghB$o zLaG0<VX=eWO`UDJqYZs77-XR(_xP6vA{Orh6w%+%<cd4h8!Y_aDmpe08I`LmIaK;c za6KaYi=gwH8tWa6I1~to$$+LVVFBdcBT`?b;KbN8mT=0?yIKlQ8g}PMS#P1D8bjb& z2(Cu{ylUmhG<~3}g&S||X&LI`rU#>2N=xb*{Na86>yE?KDBXV7q5MA2_YM~H4B>n$ z-0u>SUIIWYW;dFBX0Z0B@`WRxht2yjN$SL`{9vvtf7i=oWm%ct0FDa`eMqQpBByf$ zhVX;s%jVExAWu8z;cCiY8hq#FBIdvqMh8oBPPD<h5Pi$=_tD3Gv^=3t2OPuUGlJj) zmPBgnN*Z@h$3q^BBDyY9qAW!5XJvoCI5XVTtB6(Dsr`W{3AFR&2KF+o=8wy6A|@Nj z$$h{mP48M3Rf@^<dCC=SEw(8(>UYi?<+1F833k^%Dpd&loVVVSP=eR^tcY-PUP`nX zCV|Tc?H#pu&l}d);G4V{dIMbJj3=Iho!V_g94c{OVHOd5&~VfWf=!GfM<T70RvlAa ze-EWkw(ToJD1iDabbgJwi}Dc8=b!mHvN@z>{i%=E^{2e!9j&;Hm8VCEYW?|8YQNtF zw!Hf0nC8G{xGg%!+UB$d<Wl@%2Y$+vt&hIeF=3OyDwxp>Bg;OCcAv8Z))_&=Lznu# z(7F+ME|_-<fs>ecG6x>|>LoRY$E<WrzqTqw0TSmPw3R2!l1uANU!gc!8Jt-ckUttU zhqDGUNVZ$O7*HZkiUEpE5JH-`pfg5m@nDK_K1z9RbUZ8qOZYHEnPS@<gcwfn6&Q_H z$9LfUggOn(6NPZd2!DCw3nDuZdeHX#KIND4h=(s9S)#*P|Jwsk3|;oW_blUxYV5K; zfI7A~&wY;Pas1L+HaUL-juL8xvJN}hbC{60m6;_ScPpU8I%6b{GdU8&l(i|f>i0DP zR)QN=^_tV1U?vy`TB}YeH(s1-6<&NIgKgz$CsH+7$$K&sjhH(a3|7q!7);i@(YoE_ zP(|n#xeVx8UW)c5D4Nkof2C6`4iFOsuBCOh05QRpC&^tM=cR}EGdNNv6<MpwIv80t z@COjMvNrx{U9@^0w0~A)s0CT;Vwv4E_h-$ixDAC|8=L^>$8k$TiQn7!MDk~Fc4ux~ z=EzP8YNY=uS%-LedrbLHb*3<teoCf@;>gC&`8QTEGoC5M`|>x^P@axl@M%}Ok)^_u zijQN@qCpY4uYtZ@%0}`DF{s=XK9mGG<=O@ZcDd`x;-gTwEPW&hflHVTes4B4z>8Hh zFwT@NkSD`&WthC?9G^CYZ+ax(adn%4g^Ut3=YsD{2r^07Pbd)^vn$`@@$ip6?8Jts zM<SvzEml9cHl<4_vtXXg=G2*vODD+K$Pm75_W^GF+!hO_buA1*fqx~4p|`Dn#QH)I zqw3oVa9Z+NJC#UBD{NC+6@@k1$g1Ao*X+0{K$wn<y5K0l&E?l(N6ZE~$OL7$v{j5B zl1H}Q=OePDZTMTLyI7O+*H|JPcrB2a9R85ky$orZg#Et_hJoJoKz=qrK33w-s&UkP zmrE4Rxhrq*G>*}N?+ffu<uCi6b@L!T%T$!aRQgWzcysY*?$$L1@wcNO;dkUyjnWqG z6|+v|5(d~yT51tK*w>+V7H6lD-agnjgnkR>ms(#2l{0QvVH6{{SDEgABZY$*k<p@C z9(QdUo!6V~%m)P<ku3U%TKGl=s`YcUh#_Wns!T(DS+4(LU3^$&1srCi3H(D3KOatk z#Ke`b(Q4y60go=W&l4U+r0SU3=yz=JVw^}>TpT*gw{CN^hk=X%|D3}U(vu^)cLop6 zfV<<*!1FdRgokz-BFKv6*|YKOx^%HL?t=|f+$MDCQkgasx%B{TiQ0@9f5-Dijc!MY zs%qO~k*W)cmUpAu>mTni<r%&eX7h8~0{rVbVf(0qwF32JrkUc4Pb(IQFeB>?axOQi zmW;j}bKdH7VVK<TpLdRM*qDZWE)8ChEC*kNUWa-8Z!DbQw6ig#&Z**u{8dUlU<y=k z{$0|TzX|C>{y0c~S<h^dcic=I{F}3V;*2ME!FU5z%UXY<6y{sJPx#NGt@<rYSFSfz zB_;vH>4=dZpvaImG_t+|a1N@`jg>?Z^jY9yX|Wjzr<kXm%1neZ9tjHzB=+Tudr4jc zCb%^qNro<GyRmb~#EG(Y0?EzGu=#|)lF-2Ry%ne%z6&Q9v9n#(gqQ`O`wfegQ=nYu zl{(Zvi6U|O;%0XY$p@BDmt5_|dJ*_^e{6}W2iDv?b5ZO($-0i|@^2`8IMZs4+z##R zbfa^(tAU5MEkh&xZzzg{<8*n9@N*b$ihM&Ebq?J4Z~qChckR*AdwAx(lF%4+hc#0f zjp-Lz)ntXfS!7H_IRX5r6`L{C4Nm(R<XhZDL{-MwCFxD4%wd`O?UL7$5lDZm%><d? zQPCV>*?@mwS@b4wa#?h^j@U%SU#r79`#{IZ_WYU-;Zg-$ydO=4e_(naclaFznK9bm zf)Q{jpE=Ej9EBiyOuUG=z9_p`xr?6>u&+m{(hd$m@F~^ei5>6|$2Cl-*bnHdo^0Hl z@yto3(5hVClVc&EF5O=KtU`(hNWAx7sr5Xe7;?et2{dxS9_ew-O6~?R#wJXg))!FR z6Hkn@69hIxQn1rpZ(;b6z{~6!IT%tv;BQC5TDP*Wove-@h(cH!0_uID<Y1;aS{24n zV;SVOza^UitI###&0+MBn(|RBJmLyMo3TxD<v%vDEr)WP7MOt<8<W7|8JFppc+h)s z%%G1$4b0np8s(Yog#^}gSVkMbAC3V6|F=@03DrFAr^ttU?*rW*jR6DyP*T#$m2tMH zsfZby!)_yT$LrKNTZ^^{#O9WC#Zowp8z$SIPPqD?b$8-VIEGuHGHhWrh$_50sOtJ6 zZ)VIwnHH;>B(EjEe>oPa+NCdL+r#>Aad{^lJeWNWE!spOMRc$pZyN~^HKTXWcKYm) zF4^TCRBtH#tP+f_3+xe$nNkRV5Dot5qRp-GO@s|Eaj93VZ(8@hDUHnwK}n7<qjTD% zS)^Mpk{B9)Xj}lkcGkON@iYNUEtZ#$w*wXK+Hc!kSwe(gieah92<$^f#(<PV_}`^m zu}#fwn^&r&)-QRBP`LsY-|gk?@q~DO9&ZH0u6K}g7Q{BU^x4Bf9T>5~ZhDXEep&lb zU1yK6ZP;3DD=ck>Ev`rK^>$?`nbG7FYE;o?ZhwRNfw7z~AudG|`TS|uAAFX%ARX(K zxU!RTbiKUVTcbW=v3A0IRXW<6^{+K%gsQeLs824%ymuYk6_WsOQAZIT`u+K?T@Te} zkwel6zqF-N#5w|^mIOMc9yGe8j=p5lQ4G`a(pd_G`g>zwCGbZIo=CfopOWvmMUp4T z<2?%STMmGT&xwTBSRWw1lo5SY%2DeY9PgUp$O1cW0UUpA*)K1ewPx#Jm_Zt$1T{nu zxe!3phy}k5;RG<m5V2W?AJdnHFjB+6dBipQOAIs1uCDVUb7fL`3}R+;?YZd#AT4zO zK8Oyw-eWy0L@XAUU8L4k2YL-|ozDM#?6LSy_BQJ#`^+3QHlHC-V<>TvIv9g+adj$a z>R9~;RyDg#13=E#UL{TVeDy~B9%W8EBty$<Z_H`;Yq$n1N3i9?E+DQ#tP^D4YbsAf z7foqrKKpU@AHnS(aH>}-6B>5zFq&A>ehu9@KI>aMi(s<PU1E=-Ot6P0npkaBuNfRV zO<omtp@E<cIshmha{%Nsw1?KSZ-t*-y7%vasx!$Sbl`cJGC|BfCn}6|($Y4bz#lqp zLLyxXjo>9j1(w5O8oKlInik@1oxZ`Y<L$zYmY1}VVk*MG%QnJ+vo=I)iU-GrjYet% z36tdYe5+UvO@Akgk3NB~bqHV-ZlmHsOWOe^Z_%No#re4^R@5(<tHbEipbhhxq(hI8 zU)xFFa6}c}tOBE~#*7W(e}NW!^+7kY`q^eECNB^UvdtS^B^I-`S3wYbBo?=Mnml;Q z9yr%UH!$m%l)hJ;GcZILU==))Ws{elR1_#Q+82Njtm}lM)_Mk|ZgPt#Ku<w9;K?eh z#_~;GdDDGRX=SeZ89bX6*$<d)gMMdF63iOpr-3nzS)AgQa9ODGtSW(J+w!S`Zg<_9 z{aozUeOrfss(%zpjIv6T*M`K%aDG!4Fcj?Tq^qx50tiB^d%nX!s?<P;B(flujMd?^ zK!ROvdha9TCg#pJZTHf{9ou#)x~7c0K2-xba3<`Nuv}QL>Y6gwYML?Db6L+<Ggbxk z{-)%eN^HVbVnBx&(-ry<pI#c_r>X_D_}cOJtDDQk7er`2x4H`g`mDyWH#$6`P<ac@ z$uX}Q5LQbRXBaL@3-Pjo^NXuj^8}p{p7;n)9e&Ehh|7I@Dr=zxh`CPPu<G**r|P`i z+Zbb@c#J=f#jH@NBX?-4FBU}7YHaOwsIcoQ^f%+*(n(tB{xG!IvCVDl<Q87~5u^ms zuds}m^#q$V)}qpZWxJ`0LG9<?3Ahb1Tfe(1p{!S8ozq0R$PP6L33-kA>jz899-(Jt z5Vq~}5R20}Fr5m1u3`XE+bEI{=$Xc>Au3cp1)$`&UvMZ=4G`#*HBi^GvZk_XEl}te zcO~R_U{wut3q)DLPM<Tx3c1DL6}Ku08#C;>&C^prC9aJVGDqrVny1l#i$OjHvybs> z!yumlWCoxw01~rwj+*AxZo4~*X7D6rsn~I)MqbwSO>mH^dBO<pyw8G-<-}eC2Tt`t zzPI{d;ESofFV7%B5ON?Yk7|rI5)n=Ak^Z#w4RkxwD$g#wvnf`q{+QREN-u6}#j;PU zx{xZqIZE&yk#2y~TlL6)0jJjdnjd9GT?|<(OBu{luc{sSFE8yZA_<i%(0+u`5OhFx z5$DC~vpat<h^`rkisCC-CHfWs1D|0AwnQ4nw*W6wdo=$8J3z$0Ed)zfWs7C!Rd8r$ z6=Ge|AOdG4(1Z*f;uZI(2RMKculWk1<ye!fJQEJ)baw$5`Wlv>%5D?4%*`O;!P|-+ zs#49S{1i>{O=-lWn0kO)VrEaTbXHG4oltPXK^8*K?CC!dM(CMQwA1ieZdpvp!|6l2 zhJ|J=T*+MOB0_i}<2RWA_7?PByeY*WmC9&UvVQLre(s-wZPH@<(!tWE7kV^YNAWd# znq5Wa(7u+mtZz}ugdNX*ZuM7mP(k>1sS;Gt9Hhk1+E^iGr{>^<u8ag#R0l~hq&6mq z=czV$vr9k0B0%sd9rj9?FODbG`Omcma6#A6pj^;m0_c-)Y|JB%r*wGsPwCK)UuUBm zMT?NMi9pmGEzXHg0buE_3Pg6I^OO$N=!*zN8xKU{lnsp1RUXe0sKa=IZ<HlMxRfq} zv=l5t+lKB%jmRXQdn%ukpXwp<(E6c*u7g;)pjoDvM^$nw_-$fdLY^q+9cz_fktKgB zPf^Je8Cvv}B!iGqd=h`<Tn9NnOi!P4lT$o!d$({rMxX}+KI+LEdt?t5{6{zRHyAfh zPtaD9+gO^Yyzoys!OPtg&2&v~j<G9QZji_Z+q-4X?bnFBbQ~3r(d_9@kc}dj9xnwg zp|lA#3wvUnkF7Bc2?wSyoM2vqX}UOu7C*V1yRQM7sXVRD8`k6it{&Yn@r|hBuUC*o z653wgnx31lu?%Je<vevj_787+achOB1uO=sf^wKV(8d`&ki_MVas0EFupY>p2_J-- z8E?_f!=GGE(>FdqUsv8^d|A5Bd2ELy_NGqx_SsMEjm_7KQL03C(qhVWZz0crlHOmu z*3aM~vIBO!sgt>5Q*YW%d97a-<u$&HKD>)G&HG?@a&fXic^5dXxl5<E3b-@dXWFIf zAII~KA#cICG3{W{mpD;qCpaFO77XpczPfV+7I#ow8ciSM<`9e9?6zvC=H^g~d+fHV zdrTm**GHEt(>8fIlvNnJ80uA6H_u=zxOq2uFu`Bh{G}ejD=Bxz6SMg%Xx`%cK&jPC zZ;RblO*qP(@R=SUPh`JS8YpO_^W?QORB-nK0D!x1SxmpM?X|jKx7{WqhGi+XOV2GJ zGRRQ@U(usH{9G9CB_m|ceIjF{9^faq&=~CR*k+%1Q`~`c8F)q+vJ!fCH-XW2K;dn? ze-O;!+t!dr*T33oKes(_Kz+A9JsT+Y)aYU&CbvW2GVkmzR4nUG?@1&<C-y{+Rkw8z zfpyp__U^cb!(%DZGht*u(kuR4{Fqg;-Enof_x*H4ox&42pbl?LT{SrI9rm;i_PX$X zjs!67u53b115SRYG_4aNmVOsHL08;CbopXhJOGAyMUod&1QWZID@ByW(RV%*bOjyA zmuJ&E(!k8S^9dHU91JMd{;*_@6x486wP8ZQ*Jb}i0$3K@a7}e~=Sy|>X<Gf=an+7R z9iMH(`iGsK?I#O$pF23N8gs>!{cL>c=$<{R6N@4VqP<?Wgfk!BpHVIXuJK6|DN_~i zi%dFcju53%v2Rwn1f2|&ahDh3LpXX<b?Vh3y&5T?)Z_1SPQA-AX^yoktd@fdMct2( zUX8mun25<=LB3O&HvZ)ADrwp)UCM=?ku_A+v%N(M&~e{Ba0nPBt@A<?sG2B7_EXyD zk_IMu<N2}gbN$Z0#U~nzVGNfXB^DE{nn0vGSzN#X$Jp$t$Ym52agBb^oy&xvt=c<> z(bR=cEA^6jolI8n=a-zg0DMjvD8()v8F2@vI*{nDeOerE1_KwMUR>veEO0|iygyPR zPTRAM7JM^2P4~%YHkZId1**QQ@M%(-WIsm216Q$>PYp=!ZTD&N9q`;C2Mc->G-(Y~ z2V#2fpcjq9iedDZ4gkd$)29%+0GOMkRl45(jWoP0mw4{vi-rj(;Uq{IgdW_mlIt&W z$$mT68;)t%zcb*m9{&1CslyPc%irp5ZZPOJ;&JfLm8WzV8N(2fsng7XxNi~HI+N&e zex08A6HBUK3eUrjXRY}6<t2=FCn@s88$J=f&ks{JSAz!&I@4d}Klm%0Q#6S`AD)dw zq4&q#Kahf#=W{&Rd}+A0gRHEOcYHz%7TuZLCf^;txMA2>tICUh6JX4yetT(m{<GRR z@H$NB_P&%cVcjpE`%oBMV6qy19rg=iQ_8rwuFnkE=};0Qx@MoGjS~jaxS2^lOn&L< zxfq->?Ib!PY(AZ30nCnscVY`vP!Uh+CZAx{(EC#?WYS*q_&PXE^f%^p;9YjI1M=$R ziS?`ocAAmKfrgT-V+>cI+*KVlc?<XaSQVuO1B?tes>G1f>6{RMpyYbi?Big+g{f`t zxvyj=>bLsG@`(WP%*f{v4l=UWeN_^&+WlNP&puw$LL5bQYJ649oF1INOfp;y$#t1i zEYK5y9de^_VvPmVJt1=ESk;|8D;Op~uY9!&eDGsa7aY4-)|(V2fG|*13`=03{~q6# zQ<*pj7VXPpYd(RV<^xp7uf7ljYxbr~QJDop{ifqyoL3Y$;6U?lMbV7OJ2$JF=~vjh zcI1p{e7PX3ZSd8LbOkAIQCRz0>*gv6+tTI%e$wHWA4Do)RTOteUw+_3$K2@ema{0v z(WN{i@p+e@co5<S2o%eC#@FK820Ifo=)FPu`40FY{}OunI`8KWQSh6T%@0-IKU8r% zJA~NgJMQw-HNW~kO-X$1%d9R`hhkS5IJ$tV*gB$+7_FNwFxz9Eg&s_2tW2D|6d)jo zW}H}Mq3;{R{IfXn<iuyXRdO}Q8xQ(se^D|=z8x7F8T3PCtZ3yC^fE}QK0-zu<IV~# zspcWMMC60)!`Mc<AH8}il<-`}bJT&9INZ2M#p>Y0rXPAtJBRu$bp5s+@7rLI)Fu0D zDb8A|Cw$<81LD3p6elR2hf6_HH|#U4qu#E*4rg=vM8OBf;Wts$WY3-zcD~QjsFdsT z!=UwX$>)YefQL`#$^n3@#xl(o_+V2?_Xh_>;uYYN6@7#qTM_B28tBwH<(AT<R`OHN z&x2W3YCo0d3PH+?=taY`<(e%Ig`UvfV#809s|UYWp?(Z@_MepR(SvLBr&$yI-1ASb z-cLJ(`h_Aa<BD2F8o8r1)q8Wf8VS6Qlj0sEJ01%};j*#5WK{p6BAT;fKj*8h);wla zw?{~uQBqeBeSISVZ_DM0(X^W4ZOeYW^$hFiBp4<mS;PvOFi%0`pKNjI$tBYRXWwqR zw}*oi{ZxvTt4{snJ#bHe&(nJSZ28aa*J~MX@N)Q@@jd;VSF4%(ofE4Y`fC!Z9T-js zk6FN?z0lA$ov_gFP)z@mGB9jH@QY8H+LbCT!8M)}9jf0)XU#dA?|zRjlLk?Gvl)(S zbF1WOiLZ&j{P-sDW!7v?Q;m+=*Y-Si4TXh`Z143wUK1JAK;3GC@XL=Qf2PKO(Pbwf zM#qa|q;A336x3B2BY-c<{HGK8M$}c>o4&Qh!QlW0CNAub;5O=8^Yinyj1AGpzO2n8 zw}(x|0pko+s2!i%cy2d#H3PZA#fWaD&aKz52g^T=voxaT$QhydayH}MQlF=<QTliO zLWY08aj`p%0bg|i<7YA8k}HoMgqt~T1)YUYtH9T5#%zi%z|rw7KHuUT;zWSMSIzYM zq}_s^?WrJ*^@C~FQK<70<L9NfpETBOW)UMkyRpxsY`BWSRc}E&A)%Hoq_*02YNfsD zZfrW9u>uyMOYc4@QZ7uh8p4R}#sWw85z)Fz9pnm0sCy|09swwpHdbneKYV#@b$Kzk z(+#@{(%3JbMX-hJ#zIFAe~)GmBXT>=fYHp~qhE;8Gds@Dqf}@`)55>zBTb8BDSAID z_j6|_;8XPWh)>4B<uyYhP0J}i;-r+Q_d8k@ary9_Na$ZZ*Pcoda+?VQ>WzF2h8s*P ze0?1Ls?W_=ouw<9egASUGG7Hoqdz^=^CA3Io~MR7sQ!-3b%FJP>8x&JNG9tLANW?} z%;mK@3q6R;?QXv$zXgxlF!E%YwZ4|E$^GnB_?9BKYk5^cwiX>o_P^M8@2IApsBKh5 zK&dLdgHjZv_ZsQag-}GA^xiuJA|gS0m)?=ydyfzZAYH1o&_QbGEeSWj_q*$^b>H=^ zmC1Vcv!A_Z&g^q$=KOPRrax%PDsAB1yJxR^;lsPgjv{j7pEsWv^`k$|Yt6o~b>sFY zFdg7KWmyff06i9wOmOELN65ojbilkc;U4Iq&42lzAJI5`n1=!+bl3PpV!EqLFSULh zOQc9Kf@uBeuf0w`B55}HR|SU(du$VwL&n+1zw!!y@8msy!%syl6CSNZ5o~nIw;P@q z{<TC2fG5R$E4>OEME58sT|LTGTOk7xVUNCb*rTuDAX<(nY)Q1wZZPN<$MhSYhF3KB zHz*tLNT`z*JVY8Q?$3G7VnwfTViZprCX*Zu;|LzU&gc>Cn<MH@kfOP~oB3xdC<Dho z2^LAX3LWjSqF+6+93;z+ds*ln3YEqju4WG5_t^MlTXYBx-N`elE6L-7>1bx2bp!k# zIK{r)U`_%+H8DV~Q}Nmx5jf9D27Y3=Jm6cW`)-@u5iwffv}ZMFpS&bEP3r0Gij`0z zvL#Xb@7mQ%rYD)tt%PWik^_zpK)`d$&z@p5_>rh0iGIW82pI{d*zY$i^9NdtPl5u@ zUGuamlfZ2_H&XK;4JF(pMC{UI^m_r4h?}SUm?xPoC(_?WtprG1ZrnGikc=eEabHGd zt?1WJEr;;mF{vn#5rYY7C`N;|PWAk9q}Q*6HeU?xtk)rwbH)1JtT*9fejgb5aQKqe zi8mPhlRbKF5oQDalf5L^N52vD0=iGw0Qg4*rDBPtIj*R^sn@(q>OPUAST8w|A<B%~ zYXtlwgT}EGlN~SC-ZWyJD<>WF7809+pU(`jlh)DiDA4CMXxqDeCUI!)6LS^$EQ%!; zH`8^m(e<BR32LB!v%&n#B>R%HV|vK7`!&3yhidvwb-OT4xHkIXNmuV#78QaF`R2qG zKbQVq38~^u_;!e*fz@Cn(U@P&qyK*yDPh@BNii|rLcNK`LTVnNhKXD@D%FGk-jPD| zOHGTW9x4|+zkho{jFC$Y(qBC91XgTBy{4#Ud;==eA5hR<H<FHws9)Q2??diwxT_`~ z8N>w@khcYR5pVsT+4%n2vbwoQw#>8Xf=KneVn9}#)<l{y;`HC%^WS8SUJ7Jevon;W zyX1P(1rdLy_D*z@%OM*X6^N|QLH*#dSaBvKDsAWCINJtuJ^1hSOD)=a%bXLyN{l}v za*@9UCzx~F^H<yeJ;}BGMyix;_3~T{>3L*ZtQjj4Q|zO(z#}y{IG`6~yCUTHE8I$` z^^`a5Zo6>X^!|M`{yQ-HE#)fdNYEjJr&*M@dnwQ5anhvv@Hqd~x#9NR4a6`GA$WB) zu)gp#_($}f{=F2<Of6=n9k=?=i1AMf&y*jddKnoNjaJovoYs!#3E|s%XHqpZcXKhL zPOvr3QLHz!LP*rWzTfy~TbnA^HYayxMPmU@I@eo2X0q7ejZcL1e~sN`30pT~_IW-} zI>-__(bLWu|FT%d<*p60GY)(h^^>yk7rekd8~5$3>BOVOA8=txF$sy18&{_brM3Xl zUf(YFP={r9o-&7$=$79S{S4KMb0hdy#ceF`q_wp>3Q6oT{z-c6-o~(`8+P@4xr`*Z z(s;SxylRf}r&(9?>yA0csCwnDr4zRO3vZ(zAP+Z{{fl&rNy&InR6tPnM%lbug<+Fv zONRc%t;4;!izFa@am=GpmWlno<fa|{UWUDu@M2-*z_8|U)D#QOYvwL(-gPyLYG4hb zO04nB->ua$>58P{f&Yir{X~37%GV`w{l}+Y+^*QKNPS&TP0dqSj%kiYE<E-Fr-!SK zRb-f#@P?Sel=!U9$xmu#hZTqSo6y(8PJmz$qgb;Mkqv_e>5rYJMvu&DxCdOfMJ|lH zT&#!V-RI7rna=XL0nT&*GnoR<AWXO476ZGCQEYo#v%Gq)zt!y!qHpCd+D%;bdFMc> z0c{%<(~kDv*(Q`J^)90u4lry2`h^Z<o^DeH>>IJh+~FiHx2jf^lr!wHJ-B9drq!2! zE<BoyriZ&+RN%`9((1X{Qs1s-rKlN4!p-$NN-2B+pT%Gt0exXWk?CUE@?KMneQBy= zw<ievl}Z+_DJ_&)*?!$IJKSFrUzb!NQbIJmL<$e$of{59l$jm{I(IEyG3;-3&rM^A zd{dr4ecsfdyMVTTZ~QGjVg|n_UsLRDb-NnyrgrIKn^D)&xdpa4?{8&L?QLba0(pHq zyG@U-XD>W@_6K(<c0}2*ZzAB3=-uzwAYZA7xsS-!!S5N@%@-axm+TuKa?G%DjeTqn z6-jlT#0)s)Mq8spNL@LDhupMLnToFjqm;Iw;ron&j0zuxD6y6eCGm!0;hk<p)%}Jd ztk9Y09;F?A=T?<LoG+^0^c45DTQ?KC52!Uoz|TJk`$!e&6SGauRV_s0py$gqUQc9} zFVK?(m6XTuPQI#ID19QmQy!BvL0GbYd?IaAP8{7E^j4(UzfOrbdV;Waq39`Epdv<O z!m)ON^>t@x-5N>22fa+<eCX$<=Sr;*jtpOb$OAdvT!$&P^UG3^-Zb3`rS#ppPtK9w zOs!{ZRCdAo&hg(ES!Rmg@A`gp{_$-^ZU+AH8tM=lSL@RU5!*G;b^h@6zCwgcs#ZTn z43;6VV60O2Z#?;vFe&jBa{kHj#3x~gr&oLP&z^PRRE!q&c8Q9-%+jy0iCvSV$h@Z4 zt$-Kq-WWK4c`<uC|BgVKu!1<0q#{NGaFv~^zZ?gQ9(GT2=Pr&vCdv`HiCsO+WW&5~ z=wq_}aa}n20vv6)9w)4ZBEmAZKdv{h%-1$<CKs0r<us!KFV98s$)yZU;g*`I5$+=| zPdX{s66ZK;E=B!>`@g67K0~$;&ZizNZvF~$Bq02o=nu~=T{OZojAo}>>BENwc(~U7 z#PG0PyEM>iMGfn>77f{k9|U+w_V_BckbyXE`kpO|44?Pdv;kP#vsq|0WjL(vVk+Dc z+ro03eq4l(=*Wn-*XQqXngUo<vEx;-F+?C*RmfuY1wueBrTTm>e;!`6<HiUcP0Vbb z$yt9h^a-Ecr{te)m2VidW7~BV9sYfDar--trSNA3bl~mZo!NaZKt}YBT#RW0D3=Vd z|3Ss-$8p0DRXC?OmQd_w)eVcEWS<4t8Bb|jHAY{M&g{?fCxZOH7yk#of)u~Mpl)#n zoTjjpKU-dYX8p>Hp@7V)G37!lzJ|EN>D1eNPD@GB^!~{W<XIDIAwvx~E!w9unEht^ zSy<(q&xX_~9*dl^3*AfilK{fWt^j9SlIS_3?-L2GPqm+)R<TH^baN}P47G$0+MpkC zIrUf8QZ)4b7$L~apb!z|a{60YYu(UmV-q%trP3)x1i74S^yecD&ADvCs?sQ$E%fI{ z{wKtS@b2|EU1kE@#^SI5ok^o~?7~Q=KTnB`93{xi{GVjl<ZXuL!~b7o;{T*^HrJp3 z-P^rDe%5hIjs8%0C48%UmUAw6D|9BokvZoR@1GMIGb*`V7fziCE2p=l-{+>@_+`>m zxBQ5oq!qua7BVH@hi-a)f1UwUaMz0Y^sJE3s7aWqy-IKKDqr5Nsh_Dm%fP5`<G$Q^ zoT*BiP}$3-rWp)sRpQ1>Fmx~Y5G~tRa1T$IH!rLoU}&2XpfyU|>yzk)1<u19+NO@q z4khl}<8tjNjHKgq!F?=k(=N11$@%XDx@uvC*d(#ykY-Z0bz$MTPE(jbkwf6xqT}?+ z{T^*o6ev%r>WgdTq}UfnhiRqL1=^<9q==OH-rIKCrkk#ACGLl=rP@Z#nGVyDhuheW zl||bf2xmDQrvHe8d@Tb%f>>unuC-=L!!T3A4*56gF`xQnj0!~>8n6L^ASzdZt5CX8 z^ah@1Tm(0pS-G~PLf=%m^Ok8D7Iosz=qJ_8lv;1?3p@Bmd^BuwX7|9%{K)*?rneaQ zlG4CA=KI<7%w<F=LuqqWAod#jU{?o<XI*hsnes0so3X28Ve_}+nNsxXov=e3N;c*b zJ@{kcWgF8>>CEAPu!H5DO3bI};7^5@4Y@O=O$R%|4s>^lF`sCI4GU+(%w|d{*5-uk zmiK=)+44d{A*}}LvEd3mz`>nw&zz+A2++??|FT5BAdQm)q=EFmxA(!qdKg~j(i8}t zxS6{N53wZPsCdD?Mj3t3Jp=CT`W-5hldqwoB_zOi+PI36fRfm;fnasn$uZv2LRcLW z6=@M9TTNDbLQd)fwNEOpqQd@0h}>={L1ZlZ$pq(l53aM(-r#Q5A?a&7!m|{24pY&i zK8eO2gQaniqk#onMGZ4Sp5LEv+xWT2H{q3rA0B&CoZhnV>VFXO);kR_FERUI<=y1> zo$sKPFtWayk@UHoSxeq`$9*iNpg|bfUHzQ&IpnpYY{I-qT`9MMfkjW?YZt!f{mCe0 zw_(ChwhdnkOo!9HTfAX>`7|WvYk|WsC3%@qbzbTADXueDx5yDX75)>^$ZS^HL!Tzu z_!*Swcg@}!hI{kEUuu`k=L+|Rbjxp*S%H-x@;46+Db2T1Z`tR|Z0kK=-Ez5K?{)Y| zsXuEL#8reCk#7RXH_R(*lc~u2O(Yms2qe$H7SwPLHL(N$KTIzSgj!4tP?G;O<z(au zk;zyBkOTT<(kGO4lvm+k1%_-HbntYhoK9Q-ixWo~DN&bbDHW`_F>iP4Zd#2+75L%& zlz7kny8s1wSC+gq;q#KO1*yl{yy3e**~#IX>9c1MoUc{I;{w<e<Om{ly4AW^6u$ij z<b&#-Jr@WYcLlmWFAysJ<<E1`FV8wkE&x>wig?DtulU<l$4o%sGeIOZFJ+XKJZrd= z#PwR;XAuRHK}$Kjknt%21^d!CVne(=wea`k7w#;X@<Hj(f(m40tlUa@=?cD1KPNf` zd@$otcvSW(ZyQ?D4f_6CN11sXtQ-{f3|$zTmva9jpyJuz!q}y>d&q4hOT9As*R#>s zu=a@-RxOgrgO81L_2QeMa?MfW4XT6NAHo;c?+aqbZi5N;!oM9*czYqPGJ&}-1k9!< z^AWB}4B1}j<7uOCiHCbYc_qj#ZGJT~;{oAc`aNg4O=#_xMH7A(-~QHRiVYuw=>Na5 z-1~o}O+;LL|F$k$Z}_Cf{EuO?bTsn6l>f*1UxNQvX6hFeknJzsb2#>YVz3F)-8%#~ zd|E#G4Wo+u(ONXY3#e*aB7mcx{%AqVFCRLWmO~%M`$YePVTc(&s(fvZ2<qb3ye1Ao z@S%#=T8PGlQO#?<80!w{aU=+KOcRVi;zIyJsD!mRVz9+E5SB}WSwd1lphBpWwHilC z^`V34Nzdhb!2pt;Q6*GEAANH4L5d^gXinSq<w2q&iBg=gpb!bK1CMcQ`TA0GaGOm} zScUkQ!+wgRjMBKV=cZhWR@Qy9V|6-p$5w{#q@)2UMyBm{|7vu`418sLwtG3aQCTuH zms%ln?u#p&^Qo|O#CN|S(%Ilup>_WF(Ehhd4*$b=X|@^)fqJXPKbPEt&b@1Pr?hLU zf;5K>Xws7}c-I51A{#$1dH25T+-|N-;FEicdEuP$?S>A9e|v!{AhB!VAPpN`*$joT z>=%$&`!bV;jD9|qU3=%HjbA63h!3-Q;_o5$*kn5YpVH|{?LRdczT^uk?+*czr_A#Q zvNFu{K0JGFUL2?Iccq7L%%L3qI${UL(`&n9o6jeFS&s3K^n?sOPs{V|wa&FaPj!{z zKr;KUXl`RSBi7=d_&+d(WfhR1eAwbR`#qn|$j2@wU4?a%`9Er9YXjui`e@^8in1Q# z1bx=Vzg(nf65TZr`g?VaT1({Fd*{Aba7olbrBR$4O*xJ!*$rR2!1nxbNMpznRu@x1 z0&tOIq#}`|@~(BaJiT_4DlC<cqNC7Y!}m{d^MjT?>R^bJnD6k{4$Pm~*r9fjRAewU z<uxUpK)fzD>}khZNBYwtTi%fpwVl{;{Fvk1`*oV3$nbHcxm5o5BDwc_f9#CCan+k< z+2W3paOzgn4v&TM?oPPV?P>Upa$7buxxFqcmA^F^Onq#Y6vrKo_h@>udm?qnu5DjX zVBz96Ir_(Xw}Mijof&K4ObsvgKr}y>G<mr&moUk*#Ifls$LrFqDTcG6?Mg$-9MA9Z zYcBD>zyHuZ18Hl>eI)+UFEAX(WfidgP!xH5@`diYWmhy59MkP#=cM=XGn<29VT#=l zZIuE=!wbC;)yD7Zh~S$7`TMTul__K)JF2T<(pPY4(TxcfM11Vx7YFJQrZ#ah3PR@A zKVjvhI~k9iS_@*@6~f<pP}24zDQo-l(Xo)IXTem~=u=HksR7B+2MjwxcTSa42V?x2 zo{GwnqpS>DLgQXVQ^r-#H3fC9JTqz}mh5L2yEPjYFWobC1V-#3!yii8xaG5KnuvTd z#_L<A)Z1k>8`|+CMwje8G8P4X+2?42OWMQ(#YemUPW6j3U+ZRVD_(kL-2I)i*R*3Q zNjL1u-Uc6T3oSYtl!!NXW!cO-?+I}^la-{0(n*feEDhSP96>Za{W>H@qyDW6C6P$~ zt2ncpcm<X4Ev}lPZ&WHV^jnLJYe<)n7$tPv5psPZ$W-nbJV^+Z@N^a|@fXUJ9K|kI z_89w8uUOBm0I|{D#a??Eh5&@V?P9)xi#KL@R<psW**$~5rE2P+%|%o2U$bY1UQ5>$ zius(gdTdVK_1_*!^1QTqY)pQ9V^6C4Nb@W)Kw|V_vA^FiWMjulkLg5ggOJe%)B}s* z_4{^$TS8}se2-<UK|O^rykecN&D;PDHgSC2!|xl48G+MX9=h6B6O)R|Mg!#D;|xaA zU4F*eSGy;Q%N-nPfwu~tH3&rI!=#Y~Hz#dJ_(K~L@rg>&q)`d4c*9&0k^NZ~8|h4o z**5vnThW=ysHtd#XYon;m_YaOU#sX8Bq<&EivH9+pGo58e8P6=+KMX4_FK-u=9HWk zXk*`4PRYm@kOXqD^Rhq{sSVkk9J#U;a<_v=u0N(G@VEB>WM#z9twb?@w>AsqTzyYf z%;BLYW;Ne2LAz#JGJ--z{4xV!@EvL;85W^Y7BIPL%%Gj%G1K?Ekcn?UOHr_HtH!J= zQaJkEZiy@ElyJ~C40B<F@PM4)y7G6grfj~Ff0cIK@r#rOam#)h&e9^U6c~IjMpJc! zE`%#X2e12T27(xzj^gfqPSnD$^F)(MzM0-zHAY@tC6qu+@7-&jbjN`FcK^9v^6ex| z-Yx*_FFi^!yC!0+8YeE}sKHl44J8tBAQ!u2OArD$x^iHA>Tk~Y<s`zDPv+zeoI~dC zbsq;!?zO@OnVBnSeLq?YRCN+j!!L6(1Lx@eecg9QzD34lmK+ylX$ptEp(7ob8-$&} z|MxFK3XZgc6%xe>N%bOwA>ni@b4VFTI1QtN-}kj1$d!oHAo3kd1A<R|<m$%@3#46v zxdE_`CO#>LC}XTBqo#{gR{e?FkMe|YGJi4{9o@<x5(4R<J+jDwHmqqvLc^12NI6XA zOOEYKJ&|sz|1%V9s3wRwlWt|Xle7mG5kP9$5Vpom>}u`j2wS9HnROF|Ez+#4AW0y; zLY+x#*~Gr0OH)W5z1S`B3Z9QSp|Hr9Y)Y{r-A@ybtdnD62+5Hn{VYFQ?mgc>4JV*G z(aJP%cR+5`Z=)ctw>~z!Q=`|g4q5=%bA#?mp!<XeE_!4S8a#53S1}Z<fiYNSigDCc z(#)<o{A1eT`yt#Q29oqCoza_wT{pVx-*wj8#D-T`i#C|e%Zxd{b(HaI^$4KL^;h25 zzvS<BG256rasn*`!%Je$wh-KoA_3RQzU4C}{9}+Dv)Pd=<iCNowYAuG=c?|1?%Q|D z)}vgczP(~4GE3SUM7M4wio*9waWe+!5K#@(i3yo)mhF1PnMUU^4%zVCMBm_cM(dwN z#eQ1=?;diu#HQ+ItWp+{+4K*;!3Ir@uH$0~;g7B9J>WT|GUw4%*}t~f<b^qvB)Yhm z(K6*Jss#70v)#CYX>$)9Hw;jW=q|pyeAZm6vP6rr<MfXswu(UsP`<jsxEL)x9{U)~ z(Di4vADh4+M^F`@poV&Tq<@aa#vpl<tlJti$fkPQ5iE#tlUKo<A>dS1$(xHP2h>Lc z9j6nr4YeJ%eo6#?uwQmWYLFGGw1}0dwV4%qMYo{_wq74Qrh~rb8sfd}zZM5jQMF2L z-7k~YIi{Nw-uuo92i}wVmYnutM)0>3chD<>HKWzbKBM*f+O3i)l%~T6pYFSFOngil z_Lremz<bI4$&T&U@g|{_<06PoybcjV@c1nY6U|-1{rWL_fHd0i#aLTYZ@zf7ida12 zJ9uxQw>1yqZmA)76hxSTAF5M5aeV;W#9Wj=J&E&|K4hd_NxBPTzuN51uM1KcYK<Fw zO@#y}Y3r;`Rxy%Lw*H9z^Z0o1wHfj$4I4>!?2=%oi-0Pd6hwGEhJ<*s3_zE$8t=}t ze5^qdes!hd?RsbqaXLtMNP}y#37;<I7xg?bOY$Yrn6i(nC%qS@`N@LG)YW*|kvjd} z<4$*!T~u`@-eW^ogG*FJxbO3nZYZIMnsDXkGhG~lPsOyVwO-}2kB5tBWon(uLvV(F z(hAgq%6lGh716TSDwaQdRHZ{q{E>eq?@NjfG5$yKnRj3Gbci2*6xM6FpV1M%Fq77M zbw68{aaEI0ZhrrxEaRvqrF;^zP?oV@lT@CMX)nvztw}9+$J~}>Y`Mml%LXs%YMivF z&OCvdly6@h0);z|<IC3q26Ty!>3}mjms&H(ZeaPR-w(@ZS9~?~)cz)yuLY&*HYMZy zC(S4yLPN?N*zbxRJfPm?8AGOJ8B50>bw&!z%Gs}8>CC7f8S7ZyN$CmRsg^5U5SL~2 z@#d8Cqh6F{^zf#a|GU#E%jihe5%$so3cHW%2)po>ILsaatI&%-X{RUH^%915*o512 za>|>X-<KE9xhC-vShm^60ogD|i4dvE^z!dpHHoxRt_C^`%M-ezIS3VRFUJdY<(5yj z5(Q04#jh-#2gO)6jyFBWi22D+Q_Q8r{e!=gCGe73P7WPI#HeUW%uv#7!Vw4XIZs3C zCQWqqvxZk@wD86x1POnJ*t<7E#ygH3f_?8cq@_?X?zK90W2%{wa!x`ki}_GB_&@gh z&nlw=ad8-9yUX;l{8N<^3~7)l{RDYN#GmcvXRa|g8FFO6y_Ro&j_2WWNxFNY;eHkN zUxNi`IId9Yr)uUE@4ET>%s1RP=92{&a1Nf=Fzq6g0b)W=N=9*5@H7}+m7{CLn7QW9 z>g>L+?hLRP<jV>$1%kgD0-0W99O!^q`yd4f`i%WE{|T!e=yQClF!s?#C~seD87WC< z&U)Zi$LnMP!lBO%uIJCq&&X&r=uw&O6Z&=;!#ka#eZR{#+?wv$NqT!dbBt#bhK@ka zg7VQaBp8Cx;TtJC>NP|ptfTkcg9QZH`mwv%N4w3eGWUy!=}FdJFdcvjwUTrlNcuGN zksa9J`<ycP6{u#Y1=0$lM^e!KwUH3;SEY}}L#OI$SZU?OPUT?CPovC@)Vf*vb~jde z=ZipN+UB3-irKG#8y{-;_*j5~clK-yua?80R2(n5#;3odcN1f?FN;Q#`S?OWzJq!b zc*F{*B{5xYp_%P7DQXYa=}9jbm<qoYcl+$~NITOfovEwBK{5Be)b+E^<n^wRI9qx5 zBLhdz@#s@@OD`2wmIW_WQ$laPO1%QbT1C5D$*Vf>fmvxvjL?awu7NNcc;i5;8=$qw z=4@)?fzbS0*}DxG^OFTjsYXNxmQ?Orv#&MGy5#uAzSKA%_8CxGWOE-r(BqhIE_kR# zH0o|2=MD@0tb&725+%PV_sVu5_eHnaWbU`oFNt{U^tAXrVQX}a15d5)UmfNWYM@nV z5BS(f5(r}&?ZKa}W2Z1T6LvL4J<r4Y&{ov9vVmDvm*YBMmT%&!wCe6|OSZl2h0>b2 zx8bEw`d+ThOt~Y@75vY@k!dG~MO|3O82d(J1Gv0Mu7_8RR$%Jo3{7N>s>3g^Hi!v1 zudGVz((tjofg12*n*OR+m)ISlMj?OCITN20sIvu^c`r=9b)<XKa0MjRZsXD;IUd%< zF=tdy$wW!%jh!~=wvA{3x5NM{DR(F_J<an%-L^lZK;amfSTL0);T^uJ1FdvubP^nc zNz71UQiDerg3VMk3gcKd&g-G6DE+~|@$Tib2*n(#Q=gOD@L;Z+u6SOS4X<iwIx6_d z(24Lyauc+yD3+_MY{RPsT31BE*`YmP?|JW~vaId%GnQ-{14+bNK9FVLfTJ(ige!PH z3=H{$O^iEw=6(nv4>=cBt-7JbMfq-29|p?&z}_mM8)GH0Nr#y7M96TqGDFEII)#lm zPcl_PGv$s;l~%`>#5;@eDm7JT`snSRnD;R+mpyVhecD|BVfN{dTc_Z95aTTy8}Wps zbamzgFOU7;O3JkR!jhS;&PHoO8RRtk$6hI>qOs?V7uZ}y`NE9LJ`YjWI1u3lmQ_){ zGvl?V9(>c-^Un($tm6FGCRK=N(`sRLx*bqcbot0rmG+Ov3um{l%eh8>YE#Jy%^sqM z5+U%ccMKduXmK{;T=5(U&78XiHChW#dhaaFE87$yU0Wq%{QO+QKW;f(>k_!==tMjW zeP%gB4~e_;*U^7$#IzFZdUszLHUb`JPN~xRdH9$6;7j%kKM^Ux&d_GP?%{Kv*nJYG zoY(fNLq8{7Loj|S@|rvmC&asPDMHh`>#dBk;Km~OT6l>Ar3{B&0}`eq{3JKKT=qJo z%z^su$22qQLYKH#xul%?5U3N1oWr`AQp)ifd{u~h%`|41QD1buvpZ6-x)iLA<EMmV zvrRGH8g@;8)(tNIcqUSo@fDg}{^q(*cV}3Cc$ys5udC6+Q6%Bm>`+|1%3I_>AR{x= z)}SXKjGLS5&^pknYdfJoCbYD1qbn~3FP4bAG*^+A%E9iJmg?mV_Dsn_m6rPD`Sepd z*}y<(Q9k{6F>N=$@JxEsl`3ubiF!E;U3f8V<%w5$K0i>0IL4oI=3Sb+4)HgCftg=F zLxIGG0WW9V(n58zBkn4TWclMtXh{UcXKq@x)M&{Cd9kiSV0Ow~(SNR=wDn%P<t%D( z#k6@|R^^_aFdbrGfauKU^jFw$x-5smktJQ2l8=_~=glfz+x5sYNMvVv`OP&_H&kKe zI|k<*phnAh`tF=9?_T>4GXCzrqF*KAL6#_kh<2eVLadt^)S{snN0rd&>f%@$8cgbc zPFl;i6JQ>DzCrFXNbpjY!}kG=GD!1snnUd(aTx?^lI!qp$-4|PXp-qLu@qDWnOx3v zKrUyO;cYD^IONS9mf=}RCprwSz{>DWM%9HYUGEa{Zl#kKzF!dtNBZ%a6@I@G_z#pk zlOO>#_u5-PCMIi|pWlN_CA-+@snWEpU631mZ35dbVB`yFal}*KEl5Pve@2V{sr?%( zKF^mexXleKT1aywCX2Ed6I2gigOagRCLl<90#BFth?7wIY3i!Mg6iX}mT4h?l;|~l zjF$j}?RsjEx_T8$@`VCIqw@_hZw2twZyScF-|mb@#1T_Df}C3OHY{O^OiY9rE*VOc zD2+S>M4UuQU2wpgAG`J&qa%RFaW_0jU3$RFVL4F`{Tj`Zfl(E}bGxm;lG`=0{`N>U zD<X^Yxp;oJNi#Kg9yyqS21grpVMU~HA{x*CxF4zxe?|@_qmloB8nq&lJ!$!mM5z8p z(%rz_@{?Sjm_0bXSc{T{KVSuu(u5|Xx~zx{&aM*p^ZF4VD+rJ)AOJu8BtnZpo*0d@ zIo!J)4zj=Qjpu!8#wma&eVaAz>3+SgJ@EOxS$?eSTa4b`9EHWPofAfWUuWU-_XJmF zGwSY<#vW$=d)3M~l5iXQ(1-EC`~rCG*UI*x`PP`DkMay822*&j7L?I6|9L-v66$FF zhu~(AFF_>uxK~%~^qlXnNH9LE=)C~m)vfr+9X0!C0{`wD^f@%sT$O<)Gz6V#Mbvef zi+z_?nL3fAE8a+$T%Kam=oS;pLm6#D>=UQmNmiJE6*(4;6Wdj88^y8R&oT4YLE+u3 z&J&`&Oo|NhUr@#Zcx%@K8)#Pb^;d;~rGR?<h!ay#&R_Go{McZMV4u$LZ~c&H&$D2q z&T%XPxjoT`2Qr_tU1uqWJ#9Xbd5Y#boixvI>r9oqPXwu_ZPOpOOhB2L=pKEyBBHv= zP2jJc%6$jVbH9H>1CvFYTM;o_MV(!IMpgl`B=F+zv<9h@_qA9pcU!@k(GTS?5uYwH z9Y=S1etF#UdlR892a@<Zm%s|}U#{TSG}!TmTm463vrd5DVM#ztG)wW?_2WlM6J{Ki zPYS?s(dOP5VFA3?w>MTqEobA2{GiG4SC-T{U<k@G&1(pXE1!m%n&w}c#vbzW*zd_{ zV5?}!;^tCPrl@>XjLIIh)G;r+C9)HofO>rW@-%6ca7ZhJml;4TlZzs{mO5=%rCPtB z{7QoAYRCg<p~aD&n>#%X4l|>j9Ebd@<2CQ$UqqqYH$V9v@&(6Ev=j$>q;bl_k%CY* zn$Rf}r4^CMStj<HW?hxwzeJ#KXmD0g>?c8ZSIm}QvJhh3;j1x&)JnUetd`V?$Eq>_ z^`59J6}KI~I9%VzD_b|q&hSvlM1JNeUuMg^;$uzt15HFubVzo$iLvr8=OOB^`=ZR2 zzpBh~qt}{(r3LVQ-+E_fuvf~%zr2Ii10Cm@hNz$I&%Ly~t}vS_n+l>6fLPx$3{hY2 z8E{xWD?>y?oBs&L&K|+7-XOKZuE9&o+5%Tac%BCI5lyHr`qYZ(-}!I?f9Djh8vKJ6 zl!zu2fc|4eM0UYFL>;@|!U1@e7^T^7+!4>OKJ5Dn`eol)qDGX+@Laj?n8ZoSnQ!%X zDpt59C;+j)5t0G4D!?ar%~&lTl<>x5?~}QKW5U+hX!Bf|h+M+?{y99;$moz*#*``7 zjy5g>BeC3^GPX;a^ab7A^fHQD!*q$)jl;U{>w}Bs5{U(zm6&2=1EiX9$P}o_H|d7< zAwyzTL^P*#3GT&zk3Z=D`b?RNZ<`S`UjJf)N@qaa08vqyH>fu4ZZmnTum5bzdQU8L z|1Oy#D@TpN);|bZ{}mAq91#Bu#rMvly9`WlADbs--Y~9bdT%PCzQV#w#!jD*N-~u^ z$PJt3tS2P{4XTByC~dOAzR?PdnchuWWer_g4vDoKawNLvENZ>n$Z7$^N1ruy6Vkwf zQI9<si^US$*%w{k!<pHj02<p^v@eJ$m<U&3lSyuk=;rRjs{Gxt+!`qjtN=7u-~NGK zHIT^q1YPDzU;I6#=?yqWgj#*eprBhbO-Wy$Z@cWH&|~SST&e@nHx(0~37juU`xT}| ziIQf1b4rNu0w;T%1n}H%^z1?fD}$d3Kum7Nta06W^QFgH?_$bGNr6uW#m@FpSvTlw z5y_pdY1e9S!;esM8e8)qRUXI*k5yLKMO1=2<?Q_sBC4SlLn<)Vdy5znyWa!9+8C+0 zPl*<+!B7c|b>8L<iP;{syyc?4r1Z|JyNm(?*wy{GD^}N^EvOT5uax-`PyPGN*)0YD zp7dv>b$&%VSW{SZYB20%qGzpf4|9rR1$Vm@4I?VaN-e*nBPuIj#`>0SI5i@gD<7;0 zPP?<O>`e22A9mH&pB(6D>}j)wnt(Lj(m__#k{|TV2RqeeTL%PicP``RbY8o0aiv}P z6*D7>)I)x82;e>;9VAx(2>{(reyNACSPD{vMi1-83&;Rp*gv|d`OpcQL)xa<X~M;A z%$PUm8}1`6#Rd+*tpCA~XwMN$puia7&Q(KOzC}HZ^p%EruTOd;m6A2*>-iOOhG-Go zx02~{DcM82fVF{vV_oF3hU{-Qpx+;9psZ}1dc=fjdZe<?s4jD@QSqk3v3kT`ne@c= zAVIb>-@)V&CV8beVkpq?;qi_Dg^x*cS*<lxz5gwPx@=#p)j1Jzd6*L;F-k5QJ*c}n z!4G_Zxls?f8Nz049w8qDvjD%`=c(f|8>RRDa2BM1sz=W`i-8>oq%VbWB|EGfc9H(Y zn?4-CgDF9v`*nmhTO(bW<wORPG6R|gR8s$SDsJMxt?0Qmg)z}@-N*cVKyx`@aY5+A zbOM4~TTaS(E+EUVoZ<;fQXo-%VDTmx02FG}DDEw=FLtwZ1U_z5#Ntsw3KypzZz4{d ziZ|gW=>$Tv!@7C=!q`F=rxS3A4CxBhxfg%^9wR^@>6BLX&V~s{{YMxWBA=?>Pna!8 zLDD#`ixPedEC{qK-n@)ge|p%Ho;V+vWIzmjHLNPZkoD%@`AeG6Vzhx3QPZUvcEwl# ztH9sc-&5UGe6Ul;NRZPin?0XXQaf$QpGb@Di3uj6k+uX4)F;xB4jkK18_6=@kfUFF zg!5(BRu&D6d}MtAkNYqX{~qu)+Ek!B$na@=xJANyY8E*L7%tjP;P&@*vo)LiO0?oj z^DJC%qPORF@sj{}jhVj1X3u}Y4Ic(Rs{xXi#MLdixy(-=9P+zOx8%#Ps26hhK25S1 zRxL7)f0J;EM}wmp<hY<tFeNxd7iM2?&GvC@H2JfCcJ#xa7`}ziX%&d1PL(uf6_?Pi z0g3b%yn+I3rlxF`A97t41BrakJCY{%`)iE#<+dpnu#3J~js*4q(rtC#f5P=6rBPf2 z_-C6(01yAx+CFqGuUnJ`ZGs}OX7h<1N}g{^ik5{0|ND52w~`XQ!WrCFp9oO4RmCj5 zgCpetDI(OTd%i4%%28&;m5(R2(_bj0_FD+eYvmI|ptprXMqy<&)@%u}BgqQwLMv+K zU_NKQx3gEwt_<u$KT_;6a^6gO1$AEa1`9az8P6W8udu7X;pU?8Rw%Zb_O>F*yO8{2 zv&fgwP{}&e)cBWoQ)KX!+z5X)1IyeC!dtmYzV6&Lq%0`+1jUi8H{tcMxNlC_iirPW zao~=^G?bT%#YfnguW#Bn3d88MGMxOn1G^g(2WRfeLt?qD*(Rz-lHYZ_1U@=ERe#zj zIY>=%95<Y--XRS%pLR}<%y${pec-KD{B3bG{e_FDwQB2f+M6{WFqR0y5?3~=tyS4r zLaVr-yhD&7tyOFa`-lrLOp9ekcNYf-7t6CcH{hN*osdrqKmY=${Iz)7sFE*oIovsL z|MB?|QK@lc2Sh%nO2T4XYRC92i)EZrYpvU!@eWd#Ynfx)T<5<mHSVYco<VYL*YRfV z5cxOH8}10hYG{M|k;4!(0aU3!aU6B<JW?G-N)tSR#DN@(f^ydai4m69E-+u3?kVIU z#8nVfv1UYsaJpuPiPCoWATJ;_BA}EtE@FiC$8%&DS9EaO+Axus!}SXoIDLG}qVV4S zJSsXkdo4(PpcoL14ufxhg2AHE$8gLeOV60Kd^kqb65L(qkJ!bISkk%M_WYkxuIu@$ z5pS9C-EcazNg<QXxmL6c4CK2aa;tkL!P{&p6f>F+-+n3ib!uLnU&NIb{jBib;ruKb z6FXV**YOvs`Y+t3{)TRc8qIt*FB%<O+eQ`i`Mhu>5R*R{CvvN-1c0x&#spVR0)%hf zmF`EdT{k<$oI1_855h5og}HW^YP0Cz>dCvbeWaSDXJXyW?_G{e#6{Dj7XPiN5@2S@ z5-NOazuko%+bzjN+;vTk^WBmv0j72VwCJ~m_g3c(F&NMKT^^Sm>dXNmfXnq$m=<mD zZ{!ptmmgKxwUT8Dds_&wz4q_mNgLnSzcXCC7&PAD_&YupY<ekJpBsgKdvNE9@Vt{* zyqZOdUj{TF%mQl~u2cf!nyzdENku^sYih)1HrF071M2QSNWK565VNMe9qiFCnJb#x z-=qW`L&D(OnuTVr*LeSxY6!{wU-dfHB#98#*n(bBch4b%ur)?~St}VqoaRlM@gYvA zMw_nE>in0GWRTp(ao1~m*f@3YEb=XE{O@>ww+M`voiNth)V@cCwW5&T`2zPg-gq8$ z=;WPHL0M`3Am9}y-9T~_<{l0UdXnUKVNUkGn(!%|#|x1kR`@c>#)LTv)ep5^-7|1T zX49uJWoP}!RbLw)FD~7o0@j|TO%>d<P7x#Dlw@w!Xo~(R$#!WT7h8_c^=`Kx_h_#J z?0B7lSL{RlddM9+cN?j@HXA>qw;M~l4jPeN>zjU^dyOBuw;R*Cj~Z9Ud_E<EeLa3` zi;2H?6%$u<l@OP66&DA1X46NsWM^ghs!vXI6pPvABo&^9C&Bm-LuG#B<ky|YjcwPz z>^)ENr?%H$m5lZeXl6!fWr<MbW+<H4vWK8JZjjHOu`p3S;@Y%drP(Yq_f(T12TA!8 zTz~tx^jIbV#COelD!bY-l+?qKMEW>aPUZoK)BnY_&?#nhYKW}IHlE|lF-shNt_mZ* zOjI;G0p?&{`XPwKU+8-2<Ku@iaZ)cpGX9URADsrPpm<)bQE6%>Ts@t^Y?`krJn**@ z6Mo0|LX##QKOFc}Gc#G^@0R(o8|~LyW@O4{$ZEx)#%je7&abP4yU-ezW8CgpdmNqZ zv*V{|i9NO@u1oI)FR%YT6V;Xzz|Z<9TZDFh%Eoto>0OYc8=3!^+l!D+`_gs}*B9mI zLq;J?9v-!#>z*$3<@;r>Pjmh?zS(`386zhwdBOdQRMMG%vT)RG_ew(CMhn|ACyVLM zWvB1Hy1sO!)O;A<5l%+1Iku{t^;MGY%)k9BQ&G3MSk=1~yiQSnBjmeNpZtQHPHDf_ z{`g3~)7X3g#L(a1-k~0s)#K%-x6k$@!^vK5GOh*<O2h0)PI>azvzG`_5Bv>~=*{I{ zEs24lt`SfwkYF3rwbJQxmItaiB;8RUt0yjSx!z}$tV|4F<2Ehj_!2-)V$bDs9(E^z z=&wmmPgWN#`I)apI5?UeUvf034Mr?8Cv3Evz8vsgR*2n~h@hWqA``E6Wb-hdQ{QZD zR@rQ=P8f7QOdND~&K`6(k;aNYVZ}38F(+1>f)#UN#RmV0Rk7lDtT+oRl)(y(vBJMt zp#WC+6D#D#w*PqDmjl8Yo2@)5!Q{8W#UGs_6f_UkBeLcxu`M>j-GWTKE(Qyw638;y zJS^0(P!2m*nFKPU)WHai7vgn1SeQ8&QKhokhjEVVU*PbtSi~wt)i?W^*#M6jdj`fH z$3=&EtUac@d5$d996K~C{(gWDRJiPV^YU(tJR!(2a<H3U(aJ3IWqpnM^S`NBHz7~~ zVwusfG{mLX@ubT{KRmB(bGQ~SZzf1s8!1=)4W`!if~say^-Jdut^@&2134S@>b|j7 zW0m};ZIPju<0)Pl*<AkKZq=;w$;M9`%i0oM!?#qI7!tVt`C3(fTbpc4{NuXGX(cIQ zz_RF$4bdFbJNMG5E@%dNP`u9mQIWS@W!(SPc9T<6oXcQsNWAR@$<7w1&~;a(RX=W9 z<da}du1R<8YPmMRZ`EGoL@w>Ke3fnEA6z$Q2`bM_61eiu>r^DVvbj_)B2=tQQn>6d z(^V=>Qn{XAwW-W5$8$~k0;`h@z?ll`+--^BB`JCeK1S6^Cg6;sErvGDu%;2cCq4!M zO+d20)klJAJDe8n90vI5K#leDWn+$sA44f$x_IXznyfw9JeUT(7o6LSpRR>_WG4@M zvi)Eh^Zs3($R&%ms|NZLx4l@7+mYyR`B>c>$>EuO>zGh6as1D?<T2H_-qMv*H5o*0 zlhZViXYOAgn<qu(=(u<NWWrSl#-bXS@YJ9BJk>M1wDJ{c7{;M`D(zykXF_>L;?R)w zm^jF(I;nu|lzDf<Gh0Ao2q#}_#9L1Im1tOyYxPu>@aDsbolOZEBef$^^e^KQhvChx zJf`G*@*|y1X;_ZbT$zd1%BzDePj7iU<rV4wfS#S!5k4AeT=G?NQ`M$>+|i>cerZgv z&t2)QOjVR%LU|YqRiB*huH+UcV;djkPe{R_F)lk*|0BI!98ZdTWBMpPd6sw8aw%%R zIY0aJQa<S2UNL5P*d5(pHG#j$8Q&&E*#e1WZ6k2ti3_XFcVjkipQ4yH`sU)&Mv$Bn zgImi|mRa$Br~D%koy5Qv=U$rxC0y>F*?@mqxQ?1KVB8qjWe)ywB0g(eZ`H@-E%Mt6 zmqEzlMO~>N`J+wFmmNLiwFjvcACE8U+hv33qR8d=-&b4I(G7DZca&8=Dpmf?867Z9 zt}d;w?>>dLrf6DLb76>l<3VvJrKg`7B5qCbESo7RTR!An^?Dh8Y0V1)HV=xjY>Ft! zUQ>R9$q6!RZzjKn%113bmUW)5ACKw1_ck=dbu@rm?0gV=m&vp0-`1{;($jyq;A~A% zxooO$J{Pn(b21;?7MYX7Q*qYobQ)PplUVV2Z>gT3GABY2QTs2aqV+&_k4qlGZLqfZ zw=Rw0ma#3eJm+nNBaF_P!XaDPh2rv0TjW0(R@cYqG2>++krBP*76yO$`K9cNyyNqF zf-Od#iVrR}hG8D02?qU^cblA_q>^qkCc?KkF#(;GF3(+4^oaaO{C|GPORji!+E!1% zef*~4!P!wgf#5M`#pkoJdIIs|*A>6cX6p$6u5T)sz4Q%ni$n+3sX8H79uz~}`ue9E z6(6U`2$~AUae`$GLJlkH=B0>U@Z+){D<hstaT&}-9RKE=4q~HRhHa-Cn{agVR&Xs1 zpDcuq0^8a(Q6KboQd8G`$wag^_asg%4NZ1Tqvu{YF%Hi#Y=?a`CPiNwXX`J(=Wx)o zSRnE}m+D;2)0^*~>Jc7#-PlBJme~~_-D|w8@@a?jJSVH-Gb+lD=?mt4wTmz`Mb8V2 ztrz;{gf>)0(10q_%rc+;9N*Ks?uL!BPZkQmQn_WMA(((_m*-)|id(NdC>(+uBHOBm zyq|4`e*A)m-ZnPj78#yNu|Z$c^lZb8!*b11XYy^N_cCGIxBvM@XR2&WPAO~KL<|g~ zG(5cBKZSR)IaQC%%vfv~FFVh&yW(d0dU!X@zcwhzY#KCzZT~jTc9~^$#X+B9!9sjX zcyMratYAfw@0VbgY97Ig{wq#$f~~!`4Gti1@54glWwz~<8Ec!AYs@bjm+GSz$3JG= zZMLp4-*D91+SNLN`d8^G>vuSrKu_;nL;h}#39Yf2pkfMts$GulJ(;PnCBoa2w)XML zcPkXP(Z&q6KRAx@(4)pCHWEpJ@&I-N+jPxMnTfdHGaAJSOH{Y##>-X`+l1R;7IJSl z!^*4D_LEsj{qxhNy_IT&jV&4JPUU`v5gIx1M{(=cDZXz0)kg`O?`ze_S$y1~(YvV7 zrLn#E4a!YW8-~-|`it_-yd0j<!DqskPO_2Qd9{j^&Cg(zj`J^Rjl?XYterxlxg+av z*_*HXDcj~PD2;wu5UM!UMR32rfmZAu^n+FWAe|pN;Ut1mqexv?IBkx_^)nbgoy;K8 z3WiTB^9#ueyQ9mo^ZUAX0vVuTbo7f}n}jISFxvZlTSF5aJNhxfU__+CQhmr(tI2-H zK)Rs#wGc=q5h>pi0%<x_Ksa?N6fm?T>cqdm2rssCa(cLM1ZUq2vv@wWt%R6HvP0xe zOFP}xX5ereHA~B=emT?Eln<TFot*0Ck(*$i`F}R1o@SQN^tB_1WF}&<U&_|tj8;VG zaxrZOG3z!+*ncI6&E`H!qJ-c#^m_h-yK`Y^{@Cdtkh-g6&1B@$#4w7xBV*vmrnO~F z269Iu(~I<iDbvdQMovQF_(7FxG>|w!Q0E$1;(fz<@jW!}-+lVmV&zfc%lobM;_#6> zzNsZ9Mv>cB+a34!0h7SDCUT|CGp+a0R){A*)vim>S?%Tce>oEH|B5EewFbV7bBj|j zUC4>(tmt~tb!!@dDK{ZQH+8TDPz7)V_+|{ge{ge1=C6q!dh+L%;e~%AS{>ppMiapb zeMQq_3MHc%VuyMb%-9LhWSSvDqhqQN8PV?=5uB5e0y)uNnh{~q%niqyO3Vva>WzQm z1Zm*;R;;}!k%-Q^hgPh^Akm~SddvD>uLhr_-8m0EQN25Su}q_!K5{XrU~pEez_l;K zuGUdA<UztubJ-Ii^`&d*{jVEtjqRWhFSRTH0$<mH36m0--YfNv!Sv?0F>=DPEjo%S znX?pZN}LpI@{|>?AJ+RRs>HEzsd_cC#L4Te4Ya|dP(Qf7XX*(_6zNXx#y1x56GL}s zN0C2OZmV>(Gq+qVzmkB*2q<FguX)(1^J_j%4nmGC>!08LreFgY=}pgebQdHL=k_BA z?;w$nJ_B}Ww~+qoa=pchiiJ8dL&EE8QE6G<Mqg0ivd>k&e$b|`7|}B`IA3uq%;hj_ zY@q#NGd1n?@T!&2{yibPKY76a?W4{@R@PR23p3fipY{4Fk30u8<p}Q{OS3$TJACl+ z(aSF1_?5>L@$4qJ+6uY{kG?W5^L%CQH`V^f@Q;(A@6qK-;9RO}Rr-i1$C}Ae;8pjj z)^*+X;?_uxwDo9)G@F`@$a@Wy2(-Qg+fS>Zk)fOWzSwbcp7fk?Kt+&w{5ZvQ@TWSE zre3V<S&W0t#you!?^hXvHiGm1<c9qFPZyqf<N9}@6RAKMhTHF_Clla`Tf>P|gS+kb zGO0Qw`8O)UEwVuE!=LUs&}mb=jPc|WS)ift&%B`zv2tgH4#o1G<qLxOH^9TT2~cGg zabUXx<p##`x1PC{whRs`Zm%Nber)HHzbpoPuhhI#5r0l~!qf+N4wgl+oRF-ies3Xj zeYK(r!U?uf*%BQJmi~LsdbiOxm$3b5J))1aSPVU5mHQ6F*80ukK~VYWR#6+|nsYrV zpSQR(_Q+Yv-jw}Ltp51B*qy~6W7!P4h`9s|&z~ALQw8Xie|-%{j*3+Dm_J#yp0Pir zJtS${=FbTGD7Ofy)`(M#kso{R#`yMq*)-2RbS882zAOrG_6lLMJq#Vvil};@A`is_ zRH7)ZpKtN@#BqWj2Fu-e8~enZI<7YTzU$dfHp8tk2*&MXnURh}|GxW0mvZ%0^v&@@ zSN#>@m4Z&fPCb7PKcjLk%p%a_1pH=(;+~<b`ubSiyZ>HzYy6}G{<B^A9P6i*U5%VM zE<4h`PuMdJg94WeF>3x~u|Xy@f2kz%qMc$GbTQ;}(nYAJVp=zrNV?xlAqXM=FwgFv zQnce&&ReAU;+4_L)RG0!%5;IsHxGnJi`)0Ca{Xg#&1`dCT?cG!O>{cuyg3kk%^QlA zJ_DIUwf)~59B0}R-)a0N?ZJe!f#+RSylXy1ZgabET_*S+O{nXeKE4|$Ci*3#=+APH zThzXXv<8ag8CIem9OPzrJi4p;P5AkKM9OTwgcCt}w^NMzC8Kd8GY{n(f6_Uf%w^{S z?54Wp9c{qj72G1u`1kwj7beBOcy+6oUQST{#$_I=>8*FiRaSkgKGV7I2z^*q&B;mG zTK>8q`XE;N$6k2>AVqXzKK-|^+*yo3q{#v97FAxG5sjkYWx<=pT7=~a5Lu*apl7@5 ztzBtZG2Q(lBT(aSXi(FsXDz^L?;&#Zw_4uKha189n)lv%f$cd={alx={?NSipCJ4L z1m{Lmgmew+rI7E>cAG=JExlSqS@hhSW*vXw17GaL-WXVS+SKhN&%F}_%;=2+xHc}L zq#gJ0?Y4hnogCJkKk95p8bWQVPwD5uy6}D4z=dhWi4kpx&}FEA>F_-8H(j1#L9`mY z+W^i*8>r*|N~j^leZTHFnY1^!%71n>qFCxn4gby4ZiyLhmCFp&H*~q~obbHmDcR-I zvTDhO#Z8ujjg+6m4a1vs2L>6ahr9we4@}ecG6u{>T*J{Jx^t`;%V6FE!ej(Kq6YPl zn)f7ju*2G!^pNjD1d*S!7nok1dKYpk{r^z%mQigrZQplWtU!SRrL@J0I}~?PT!Tw- zhvM!53KVw;P~3t$6!#MkZpEcQa1GudPkLV0eSdkL5AVCytQ^1j&&)Bi_nsUxleKm> z;=opc0M%^V>|zkfEiSBdV*>1m1}G6mYnSxq^lE|Aob^JRzENm)zQ1pV12^cC9Z><5 zAe37j*kO+mgAs;dDTwtJ4HmJX37!8o&tQaOSPUZa3WlSe(ZQH|^uU9`O^n4UeQlK> z?+?&O7u4%3sF_JlN#+ZLG)%!Hr}Q2#d&UyRQDano&v&GkEYs_s1vjd3)b2#RK1_c4 z?iU8{uUXH%$2c-#HbO<jK)ZT76};aZ@i9K3BgkP1HR~1k%tr{YOt*g&oV}(iUzqe- z4hrb)H1d9Tl$0D0^xzK5tC>)H#J>)P0%UVO-wB;9L)U}4cq}jnl{hRgLFJ4J8>K}o zfyHTc&pT(Mqt95#IjH&1I-td-ZZlKS(Z&Iz;P<4yZSNC${JY?clb&kh-yQ_iEpsWV zGjS2AMWp}ur@=|y;TRZ0iH@|Hip8GV;Y2mcDr_hSP8e3R10IZ^24DgGbh!m)7N0R} zA?5Z=zj=wA^8F?O17PokYL)Sz2Ui%Ob9NQf*@=%!_q%1SH-+=jD=wSR2h0oq9{(1& za}6LD#5q2r)AH`fHkm#6@dM1-YyLzn1^>@8*lD3ri7@V;axhBft`rQ;9{}L;3GoXl zCCsI;mENpbugv_`8SG;9v+W_-N&+C>BFcT0to+QAufQ>Lmr|Wr3?O|+^R<;BHN-NZ zbSAe2*h0YVm+YFG=6Im|RXT$c9bpIiFv+J~^-5GvfH++lC=zWJ_5=P3p$6m1bF>tG zx8XrooqM;iEfCu=xs7>*oop+<KIp~OZ4VUqz9TX7c4MKZ=%}aj^WQ(j{xE^w95XEO zb&by+E4HH#S^b7_KBzO|oavihhRe&bHYk_VQ9qrsahFUB?`2F3@rxBG*y?L6!+NY4 zyIK_rkQ!#Qk+LHo4v_JtyDR`7iqELD2sVc>E>BMI9MfG=Cm)K<eD=mY>IHU$!LPFz zcPD4nv$4lMsauI(bht=-Jz$y$wk&a>UN~gnL(i#RLDko5VQfxu;X2cSj`i>)x8)js zC|P-N&6TVdWXsU>LR;GbM^w25=T;xqxUmL3?(P6jpyw2<kguq>pm@c*$eg_|QhKJ% zb&P-T-BB?E&41*l?FOIXGKQ%6lFZrjnb063u49&4&6&5sM!d&7UezsZUQO2PJwm`N zlt<|7#6~KoUssT`90+(%be`I0^twywBzivlhOkTi9*d*R>l*)*c1@x^!;AQQ{3%`! zc#qYO-R8dl`+35^M&nUii)~FvhG1BvpnL?wF9<i|A^=otGP|U}s&YKJi%(erXtlRQ z@^3xVJC&~I!&5J~Xc3(Lo#sZ+iS#49^Ljd=wlnhWU8Qs>ee|KuGX{rW1`zLWBbbZC zXBV`H2Yvq`e~LxGZx^X_-{D7&RKDpIiR-v#(!`yUg68N&*0#dFE)Qpar#HmAmV(zx z)7$u6pVKAu8;3hne;9OQ$aN)hV+=i#E*jApil<NP`I)DlBkujDYCYp0a5jk*-W;<T zNnJM$b*3L3UHfHt;aD#m`~En@n66pAd3i24JxYlXItry5)357NXb<GR7<wc>m!2M_ zM~M0V>htb%e0`xYm_Zwv?cx=6Bn+hTN8_^ZR%Z;83;2FZX6n(_rQqSw&?R$y69K5P z(?2GXFNX9fGuRs(1Ap5L=449sPa+5h+kI+npyomFv<)>VPj_K*c`#RUdEf{`&U4)4 z@}L4JeUP$BY%mF94k{Z-GeLk#3&9aAnk=&rgw-4bFXfyl%6zuVqzvs2*J{Zhy<*f( z@fL>7bk}xw42OZshuZVu`x{$uZ?WxTPKL_KEPR_d*%Q4xyD7#d6m2yJ<_k}#eRnZq z6S}sl16nL|6KW1}?tv0rT+fD~W8HJfR$Kn)sZ)Fe!m=%;_q=o53CL(i;3LM9T%JpF z&Az33q-mjl*xD3rD>csyU?(SnC2t%<CxSc~Zo0iam^?8~)lSgYDp!cwW$r{s+jQ>U zpGiTLgW0wGu|N^<q>U-)`j)UdxC_N7@1E7G9nQDGoZN-3tnx@Ita`_F)&R{3s#bqQ z1(n0wHg(h-q784fU<j3QrTP1I41I|f4tRT+3$+su%*yF#)yK~H>}tm|@Kk;L88Tj@ z-ulDb&SIc(!Nd%XgB@A9yVX%ve0s;$lPi$>cel@Bf|H|axW-*NcdBRNu%*dS4a1k& z1@}boZ6=t<hE+1+bG?!`<9NMBOZF)6utVe}qSvOH_7t*2-18W|G%?_9sO_)hbA((+ zy_dikXB`$R;YZ(GtX7o0MRwH|w9Eb8U*a*Y$5^=)*=UJ%sUBZB127wMLd=X*d^t#k z<nBz)f{Q>wr;J<DAdF<<-p+rv^Jdk3#-k#8FN&^dCt3I=UZksQxN>l_mp|yQEJr~D z0_m9n-_24P=aYTp<2q0WPt<*xxSJRNFAUA$q&MDe@KpZYIjDWlMA{A6pMI#2$;8|R zAGr04w*a?-#>{8ace9-@CTug2VY#I|+P0MUhwyhxh<E3ta6vTY`hte*#H7B%!TYZ# z-0mN^XB2fpb~x49#azQ&xV}1YS&*mKLfJoa8MO#-&y4w^juk@L#knThJ!|4r4bc$# zFoTU^u<xeu1K9I3a`~~H6fRxCOXq=B_db3vRW_!`1wFeSOvVeMP!~n@pPg0MP=&Jg zWPtIuT2V&$9T#4~ZcHc~4$K$WRYWmXv|EUq<6Ml+bYa&U^I$+<LnxEyH@_~pObwpu z$xB2zl%m%^4^HfLGS9&N&9cyi{_Lgtpg5o+dLE4<D?Po>ieUa@m6CqU?W@hQK6(NL z;_bdS^Jv2?EBjS_9-Hdn%Ct(aks<^sa$H0Eit#Uu^RX6{o9qOg9bwp8ju?%EVFX6R z0ZR981b)2WQ<pk!zYi8yk-Bp{+ebbIW%WlweVsczC-FN^CtBEgw=>MBhaSDEck1)q zOL7kpSXvFAhUhC_KbVn|ojr1A&I9gxmXmica7zQ5=+-O^{`KX~cEOf0083Q;ju{#D z*S{%iK57YyK-UA6wI0bqk#M{X8z>;?kl_ins{Ed-+2=85KyT&~{2$hbT$oqRXe;9> zT0mL5jO7xT+Kb!bXH{mLSpfHG^yrzY2;A@eyf$;?pW~qcH<<kf9`Fg1w2=4IvB5Fq zK?HWbQ4Ka45CE`kEp>f#J3b+?dHQU+A$~JXk$-)Kq8<>~J2@wm2Au{x_GV(t|Izgp zJX%-Fx}v+HT+0`gl;1nZ6>g{w-El1|e$4a#!Z<@0VU@B}-!#(vc#aSA>|R%SxTS4a z*YU}==D+qVE5=QAUJGR}>AFgQzxq0Txj<dhrC@Jpv$r&LGbZx+f@E&%ec2)!Fk<qG zM}cXg|1O}Y(@XN0Lj0n9X;<tbsWjE(Ddf-V05w2cbBprc6Vuk*yFzvS7?WGa&93k# znv@T{{LKFJ2**A(voFQZ1<Ye7pLx(N;w3#03&zd9vwZ!4W^m&=4U!(c+MhRn0>?f? zjx+K|m%h<9d-vc8dCI=Un7)_2Cp@1>0j_qualfexY9$d#XuVYjz5et3^(m&lj$c|? z=e#xOKAlssmM+kD-AHwf-7md+j;MO)qOY>hE}18%ELTWe`}+xm%9FTbyaDXF^>aRL z6RT6yZK5iI@t|gc(?{RuHPLBcp0CB9&??;$VD+#!x1#;KR;F`wrdBIP<z&i8c;!Z0 za`CrQ)$CWD+TH}WqA<Pg8|L*_hPfa@WUQiW&Z&hG2eGS@zv|TYe(jYB|NK6P2``?q z#3cX;Mjs_qVE&w7wG$Nbf_B5uo;;GZikO2#mQ&eT_lD*2BEBPw*-p}Hw!Fi&IZT5a zTj{;lZ|)NFA(h0fbQNZMtxF;j^L`Z+ttSTBu$bv&ZGVX%S@C&`Bc-`pd#Lv$ckYg5 zE8KFwY<lwW1B}naNOElQ=cKzxTgbR3hh_^q-03GAom7a)ZSFQCue_ChTE+YGUV5}N zbhp=#=^&KKD#Makn8r<F?-8nJLh+&1<N^)48>k>-Kv>Uq5c(OYS&t^n;?`U5pGT5^ ziDi(qO6k^{;VM2qw)dv>w|d;9yMUm&>o0z{G1xzoEyfNwS%{st2jVN_tvj8bdn+8Q zB`p9xx86j{Jd(r)?A6I~`@PUF_TqdMHe`a@O68L+Ub>;<IJ3fT4h4*Pt{5w%g7eec zlP&oUSXl^bwY?QhzEB7F9tg(V-G8Oi{tTEi+0tEwlQm-IwAZTqzAQlXMOifBnXtC^ z3vOD?`VZFvHbyAf_R!#0Ey>j>JBC-b{T&ui@}pFyYC_^4EqrI;@g$MzYWfnYeJ_J# zII7jL+mm&*tr?V*oN>@%I8P=hI{Jvs7gEa5beZl;FIaz}v{OmQ*qa)r{L%N$0h_=| z)pD2tVYMnUFg=(K0|49C7iQIM56(gNRPo1J(e<Wnw%>qWw?GZH$+Z1nrPn7@ytv6N za;<=yE=;JdF`rhz`}wT`V5-xWjO^b#%i*%t^I(c^9T{*cr_}D`S_LpgNQc1&bowiy z$}3+hSd=lv=dlM1Xw$Gz$qLamB{XhpK?%BQOi|X3dYv7}oJ7nBc<##%JDJ2&_b0g4 z3bd68Wo8fV(AikZ!VfH;kw&k|CM|3y{j&pA=vhV49%skZUl#e|jmFJdQqCoPXR@Hj z1bE?)KGFLtrDiowV)kLEfNfChfPgf2#}}JtU9a?RO?vFc!=}?Hf5#VtXI%&>NR8b% z*mUwuF}J4~-ic_C9Tzg$QfS(;XB1HY?t&*R5WTZ~0MjzGubsSO?{7(FgaZM5K!RJY znZ9oToYjH=Znyp&ju}*m3ru%`*zYF|KH}c2+(v0OIQNBcn=|5Bma065H9>Vv@e5I& zHd`R^i|e?OMFS<^we$>F;=*LlFmO;}9#SM@P^&Ly5RNkosZ#R?nyQt08^{3<T#M5C z8$^rPChKS0MJ2}8v1eDRc@4Bk5taLOL0w!h!g~>(9!HgWL?q+H<o#!ZgknYF57!i> za=MP`UJC)728??-+y*Ze0(cGB7gE!9@UD#%wXv=Xi)^1GOklA!0LVSok#%}gma$R( zwYEu|q`}RGcf)B|$B!i=lwxTEzAg8;c3i~iY(-ZQa$yCO>a16TUSF@5=mcoqy*^^} zc@#cdFT%!p9CY)I*Nz(V#Xtx_dHT6>?_V69rgKsozWk8bUUE;xeVSH>I+jr+M3YU} z5oXohm2QRg*xNq8(IsBp|C91_A0oV1ZJC9&=)LutyoWW%Sh%LHBG)wd?M$>`4i!Sa zPPyR1E$>iNdOq=OeK?VA6ms7koBVc0-}cS9_;ef%0>#`&5`-4^YU&}59uW-bVo<x3 zed3+=EJT1Z;guwdsgnZqleNn6okIILkozMbvX25rZZ!pG&7|KK5vfW3jH|tKZg?`X zT|reNew|^A)#Srx9XO8pcsE*N6jii;oNi|hmtWnoZ_{#QtDF&RQKA9Ng^Pb#KYt46 z79T)i#)*J0Hy<d%8q_F1oU$U%<MXn;#=?y?%BqGq2woWSn5TJXcBTP~>z)AvP}eQr zz78zL7=O6=2KTL5cX+$?%}S-qCg+^9*FWoAC73>~la5;`c|N%510D}IArOELirOz0 zukXF&>A^#HAZ-}BwC!O}>%@)CviS3*hMoJt_{;<5nU_}C{`{JCzzi4B03|V5w`*Uk zF>=htzD0+PP1<oz;9irAZL(PFk6na3xNM0hhR%SWFYJCGPUIFY%Ix;<I{y*8tFrD> z4Of^xBT*LQz5sj5_BysBmli%l_cJof&}Sg}D`L+y9gKz3{KriPF0SW@)xZojJt@CG zVPlHu+&4e!o+FaDwRB7@MRQx`!SDAkCl@SR(ij7GMAfe$2`VY+erahsfje~ST7?D1 zmPW>1i(spT96p%7AeCh!dOF&ULA+9gw-JQebd7O8{0HYN|0S^UnR-#CEd4MTXMY=Z zF+H^Oni6XhFd2I2aL&Q7j&@QBDvmx)?l*cDCm8TMLUos2nDO8~gkC1A>yw5<AmctQ z^1Q2%oDrDH%!dZ3Sb2Bf>_UB(1jXr5X6Adwu2O|(SfY=2Z#U~M%*@Oe_@D~gRjpqu z*C)P4<d1tUupfZJh$XX5YXWBPa50eJWc(MTkl-{MgJ??t<oeFuz4D1?&nt$<Y|d+R zih-)2^HBjBcY^1;j7H3&!mx<VUiD6CkULa+<R}xa$w^%-{9Iq^P9C|<+v?Fwu8#ji z5Azr*Pll%U8XB~)9<ehSq5I;%cx$TF$gvS=&`Og4u{*T|Tc)NUzXtfb1ro+M6zwR% zbwxs0$|T^O`(xL&>Moxk+rho&piGt{<6C#p;-iuaspKd@3QeWiyDFE0@nX$vP$1Dz zSgKU#0%3mD#k-hR+^rDR`5saN_pHf0RX$@8<vYcNFvj9dJ|$H)^z7nH^lXSeDX7iG z{!9dl;ykXNTyN5)D}W+AvqEy~0DC@351zt~7AeTY&9*q90HwMF>@hP@;30UR&%Nqg zP=$>IP}$X#p09s!zrX}0k93Q&9iZQgfw@cqlv-$R$4f4@c(vG`BVNG-H-1BdopOp9 zQiC5#OEQ$dR_SA13xO4a>6v7Dwvy|+@fcFkkdcS<)*D88rU?x<jCR)?4|%|ZNo;0l zv*97aOD;lgG^?H=%v5)UP76RUjtcU}iTyiWNUvqU%BV)9?kIaRVC5h`so6W*5$V;> zjfwE#$>`YDgq}s!-B&+yL0H@)`Bhe{v7lvJuyV-GbjhJblcrMlqsr`6(K3Vf_lLTY z46D5gea!2ARX&+1xfaf&`T_^?f&#d8%|t}2cN{KM(>RC3z2oW^w7A!wdaV4XS``)o zxUKQE^q%il7si#_B5>ey$qIgYZd9y~ldh+jHt6fu;o`nfobHKwO#-&<79E>K*;rOI zc%Dsu)p}I4^(1oc*|$y*AaT!gzRdmOi^BE9P-o~-PNE;rB7hPx*hhgMAbbD(+(mH0 z*E}HS2Zl$^%(wkdcpmC28`dIO7ueGjln*nIfbdI&h#bl>A#Q#>>2xc(3pSj8c;j~z zTEk+@pCT`f2pxB<WUg87LBpNNBhMC_oCPQmczwdn0TGvgL`#gt04l^pU)Sr4Z&%uN z$Pd1{zdtuH-7BTv#BQ?O1#_QL^tZt2twlcy37I`^*2!OY?JvX)J#w4_+>Yq)JI{oq z?gdhn+359o)$SvHz^(6sZ?UXBUaw;Xd)*8CCPH=O7C##pwq8<256C5Z`m=G9d>FW{ zUWF|xb>)sc@+@t{X{cl0*TQu+lt<H_ofXsZQe;|f9`>C6cn3Obvs8H*dvI4C*rnl% zau&wTPz_0k)^wSeUi2WBz<X}OtI$Z2`$m@!w;CdiOt&>U0nbEDe=&UP`eaMojl5kA z`Q*NIN8zOV!a}_RT-#~>5#}NLQL<V;I$zv=+n4y(#p(A(=Vf}Kea$@x7Q3Mc!`)DW z9{13KmxJQ8MbVBgF;s8%tMCd=E({%|SLu7#B3qS%{mlPJz`tAxd$rb@>abPZ^UcVl z#^JwfGgqArnF_G~b68_lN@qY0oDG=|Aau{c^j*H(qHSj#30VywanB(VvioyFU<*nA z{I<`sW%B+s|0Ky=X7n9FdZG^7<vpSVakM04X@?1Pp1XWzWhG#R=qbVWe55=O#k|RW zA0VDn^v7WXuH)d{tz1-%;_Hy2$8=V|-_(<x0Q>Wq-n>P5sKa)kbrRL)6gSqQWpaT6 z*ab8!FVQ17vz-mu^?UD5xf+mm{_b+nqUBKs4F;WTcO2-LgjlusuUV-QJ0M!#J4z6i z6=Cz!kFW;b_Fv+guxG$hBqYI6y@qTfA+=<Yt+ekMb=d44a!RlZo`eokNbldfE4+F9 z<fos>#WTX{ek*b?=ThWvC(F{1>8w9r@TsvClAv3q7FiC9?s$8y)5jB0n8Ib>KI<|- z$<m<jfYb>Xt5UcId1aY>OglMO>t|hx9!kV-0t5}1V~}y_WcSsyHa#%rXTC(*gyO|= zvLn2j<f##CuWei&1xWr?lrJtedCoV$sErje$A8!jct=luE3~yi<k|kKIG~rDfp0LF zC1J;KNTmh8SuuSis}5S)e8TQC{+3`pZO30O1%ApO5DCB8a7595%{BLka%A+Lp61pT zwv~VlNNYqMU^QDPV_8cFAF&>826|pT4)SC6;LqJ-vK8GE^K0HwIHkK_jptO6KDS~Z zPQu$_9CQKzGOk};uY+fLx|prLJ*lwaKB~8%-m=3IjV0|GmlGV8^U`&y9xF3p2ft?O z^vQHX4k~8q^&h_Qj5P2?u*_G@s)>@P3L#_c4TQIdtfSY;s^zBLFU9vs$h^g;(k!K% zT2OU$N?>Fz!oFTV%j@l&r<DrXJnKk92#=x=(<&K^;pBp}j1R-I<+{NqMK5vs^Luvf z3da<^X;tkzUZ*Ey7e8~ak2Gv1(iyFO3wM-cy-S20Y;q-!h`SoT?ax;Q<m#sAz0fqe z<KIJ=x4b?G)u|Gx0>e_uX4Tnn{5)Y1H8-ES$gcg7Gf|$b?657J^VyiO&3pz^YT&~^ zEc5hV6JdX7iIS&;qOyL#HYJtET`0%t8B=?`*@j#cOl;JJW~Bu%(p^h&94a%heI0G; z^;8i{YTkn50^k0;9!s|B5oH*;^8H}d!dsz`qD`3I`o%_E2%YLN;)~5cTk872FC6`I z-v`!yG~;tL5vmcUo9V@e9$v2R-y<1eC+Aa&3Cy76$+Px_&~Xo<yH$p|9aTe~Vydn% z&U5+#@Sh|y#dqUg6H~`dJwOsfviO6W`JFL06H}B&6@eT;Kx+@<?KfrlE8efWXM+vj zO9r+|(WomLRW_9O7xCMvrdf-ZTHE2y(^&;tRpRL*^DEq`sq`w?9{DZ|tMoPxN@}t4 ze2M}Jt=>?JLcI)2ZMoqRbBz>|WPLD9nkv<@C1HqG!!<51d)evWE{@kR5A+!AgP<<a zYWrcc$XpuwPMHTfr{iGGonmu1QZmqy;uF19BHhk5;_fc3cKYA~EAJsx1b)m(;B@Q) z1x2ncG1s*hYqdKK3W+)KlkC(jrh^<;Oq`FP|-YFPvWbjqs@>Q<V|2MsvvF1z!3 z2Vy>OSZ-{bYwHU-9mNMWKtr{0N&|pnOFUtC6spz!9S03Aky-ksg5pBT^V7a{Mn1N= zcALrw+4X3}z5M=Y&a>pXyb6cp_xVTp(6Y`tPH=K%-FJqI=LSm*EUMTRteKQ53KJfQ z0leELtC81s9KMZq0+1MTf*ZWjAc-<kSUiZqic-edNOD4L6@b0jd5~RbRSI4dA5SC{ z5a^JXugvxG`T6B>{qy77q^@RZTG!eD%8{W8tJB<0@xsxR7jDLqxY0v__yVu%A_qU( zRseP-pLR8RCrYoYIs1jD2=C352WyKdTtjyxs=8Zz8f_jIRrC+E9&RR5@L*`@2i9>n z%2DDPH_=ZqGdmJ!ftrE8wkj{mzj<YZR}R{4zPOzcr8SCl;_=>=pdLIM%CKCsQjmV1 zkemRShBra(S=j>Ov`ohvQ_H>VXe;U;<Yw$KqsSC6N8%S90z<G`{-AGlo4S0Aa?}QP zjpE}M4C4c1zt+J{&*4oK_c73QPI%MLdsfDPsFC%2$NlC}-(wue(D2+sehr62N-$<7 z2}hTY*Y=OKa+5(SA*D_-jlFz>?S%yQLEhG~S!dlIGauntn+8jW7}Qy}#q5u;VMjL| zhaI(vP(e4{xdSyQqpuL>#-4zhZ?wsPYU;d3ld&cyCER13SDLEZZ@IAM3T|&^a=5$9 z7F=2F*hbnY+G(FDxsBjWLu-H82u0(Km}>i{Ne;B;wN6(bOpMfV+WVS@6*s;j^KNFK z<>Y4cBDpOqq6PC`Cu&CYTpnhA8KaX1JIgfVr!MOrM0Upywz4d1{6?NQcJ>ce0;<vq zHJYq72FwL7jXblWzk-&pIH#}d`MNy<pM)L0S0)9-WEdarQTTG%iTJIu)-+t$CmA1Z zPzb%}RqP!oCt4`#4lVt4yN|}3R`&j2H%f8r)ww+<k!zk~QfX>WCvZ~_<Bm5Xglun_ z#^3#$W0KdzW2e2K-Rk|i5K808<7xbUnd)OLr=~mYvf{eCl>dx7G+IN*#0bz2oF;ke zYgWfc=SyA3N98L~$4BFfQb&^Vk-YwBmkM)IF3&jY4RN2@t?8yhxC~(&WhdB+<=mn# zl`xK)TweJ5t@vh7UvM}E0p7<GW#PzM=!;)dz1jElNxD;!`81p?2`YOp!UVtf?7D8{ z9+mdn8PYMZ6M|DQp(Cg;iy%0sn0H!kyQ0efC%I0J|H7l45^vWESW8Os@Vel|qNF4j zrB7evIPfhG(G*KKc|-o%aK0r~I?j}t)-1EmtiGh{M_p^F2ie)R)fs)A2xBR~Bq<-| zi;q4%k$-5SF0*$=v^^{K?#)$Q8O7^1UKruW1<UK3a+Q;!1*8@{bMEa3N8sPko=AX1 zYlUggkImNt%K;9Kst)Dk7J4}NtC&-Sclol`8BRqsE3{Ek?cdWAoti2H&k4p8zx&3Y z{p`qovtH-cp-a_DoNra})vm6jG5jQHLP}?<a5!I(s@14d%3R7UQOaHF=VwtZOUK3z zgg4$tlZMn>fFmWrFE7kL7jG#|Dm&J^S`B?~F37)s%_1^LV42QodY6Bt#m%Mz0XRm0 zu!bYql;7@8_{wUne3?=qXkcYXNK>%TNhiXgRMn=}r8``x*b^skcg}~sW^K&xp|RPL zWHxutB*LLmwW9a=k}{){Km*pELQ}d7ujsGO4|FS1Qo1Z*S*y=~PIIs)88<91q<1$m z)k4F$GDCL8kL&emtRmlDf&Ghi>pX8?Rygel(_~!LXJW3Eaf2gF9m1~x;sJj!$ujrk z?oiW+<iwRCqe9iE)L*ks5To(f-|F*bF{ZNFJ6w@^)<`C^!Tnu%MUw3PJhWU2xiYfz zQ;*DqjHtU7CMSJwWE<`JBF*j_=;9`mxhUWkNZR@tcU98c2IcAnfehmFBii)xa+2jt z1azuL;F9_7Ma%4u136k1Pq9b2ne+uhi<#$(mqV`m^V@0)74(S~Cd)^`2XdaXX(yYc zn_?%%Ix>y3k3Y%XU`lSEg*H$6$QZlOTa%zcKf{icZDl-XgPYCZa;~K)dGv{Mb|Xj1 zho3P#=^bP+ZkjN{S~Z-0xV2IhmBi)W<Yll{=0%U0-AG_2+QvX!V?UBB36HvzjL@9h zKk>_!A$YIRaITX0Z*_f1X-ZeIT-aO-Sxy@q&G>v!S68uVM_zjP=DW;RPaCl~Z!G+# z6zwpk`b~9^U??V>{r~vt)sr4@V%q)0&oSXTkVmM9pTFs^c@Vqz$XNPiQ3o2ppcsgo zkpFEb`JSn;DjS>$PNFW1D(<Uc4~{N1n8?o_I=M2xz4vcVdU&Ix4MngGvr~4xUDODw zFMVyPJ_RilEDzGx1=;T3rQ$kSA93SX+n%0LWndOY5l5L2#(R-vxYa6PnJ!q-7%tNp zY$i^dEyz<Fj?l$4$`wYtiafRUI~g3E;1AkWw`bb#<B-b%p)WUo_w}-DiPo0Nv}bvi zkqT;h|8HvPjWirLb_9F5A|yf=Q=e(~@iLrS@>)0l?E{l`ZI&*^Np7FR?gfFPX_E93 zMD4(VH7Q_qv-!kA4Fz(x@G%T40Miw3p^koyCuH!wz@;Jh(@iiLXmeG~Ycx@!S`pLL zabc2vO(bLxAaKcBTH*Byf#~xsK6^(QX2_kK9Bn|AtcVrI=dwqpp|k(a<0&F1^&hiT z(lROyExORLZ=1WtXZ#FdHgN=rVVjF=ULFhd3~QqQ6fW(MG-5@1hC7uudWWnAZIV&z zm)SqQO6BAJr%<<AXteF51FlkW1VV6bw%!Z{*U0(ltG#a1<6Nh^a+lk$ZHu4nrMs$^ zE1cEVcUl#qJhZmxxNY_PxKElfn^7%9A=hCy-2z(mhUeN(d!Mv!3Qr7ov86=!Swab; z&xA~?qgWL-AE@qQ7Y<G7!MAAm{xV1IwLS9f0pHgX?9{Jo92G#{<~dUe=37WR#$kKO z6C4%<dINnJOT9Ajb~MQ)$Op3WyU=W*l1`N2pD{5sb<tW(B~T6Uz-B_f)r*@KO^$d8 z!p2r=_i9<ZKWqUq&bEKMK*M;(TbiT*jWwAm@uJ9>ouY;H)r4uZR|5|=$QOeR^;{{; z)$R4Tz)ORaeZ&NKbmWr4@m|lI14fJr_gBDPehC(Wd?5pQ4y;0aJY!1!z$T{*@zYU^ zqf6DsQDgHF@YMfo5ds{2$`V0%NAHSch$)u0=SYQO)IUX8SQbWRVz>4vYQjjEBWv?V z7c!XwlvBFsq3eX(j^1~OoXk9Eyb4YB>w>hBMJ&q~pTr5jJ+0A7FMGG24Dzajo@$4o zMfcT8AWf*g3(MfIR3Mk6mah5WZIaA2>GDn4w58~A1J%xq;mz)h`Tm&8`VE_cQ_3B$ zj!XS))9`d&7-$*mE<Nw`BH&9W=k79j0{iq`qYUgY>=86#1}BmX@ZWa6^T`i5BCHv^ zuvh+vcfM(uvoQ6+c1R84*9lA^KfbcBoBbN+=&RJa@yMeE9bETYzJS+yu3ra%1g4rg z7>xWc?8~>y1Cf;jj;}8aQzGr*_H`9@1&(?c%Wnc=fhl&!aQC|JHpPxfFm)ji3ETUO z?HuJ4m5%L0^SfD(bvlLOM&y8b8|IpR*DRPneW=s7iK<R2RLk&NRzi;5DD+I-tm#kV zDx64SJ#>&PV{h4f?Rc1KXEmF_bnW;Y3FH^{)zDPV2iv1m_Q2c5`R&8>&SUqxx*|uR zk46iZ_82fTm(JsOkn>CvY?xRWv`hjId9{9B1k&kk>Yz1RJF|BjnFoTFP3|Hgj!6RD z+L1p{KyyvQY7IEZ%POk8w6A+}EPx#8#4iM|j!ok@@+Q?cAd508&ylysuE8;h)~Xzo z!6M>2)ig}-lNgCozH3dxi9fCUGFX7V15Lwq)5S;>@`ECARtAZBe#kvq-LEht`WmSA zWwKoNmjeJ}{<%Gh-xc!&7UlYqB8n&PkIXk2xdB3HURYxjN_5%c7xhUIMg=WPFmBJ5 zXfMWdiN3Z7K72=-HL3O_FN|}AzU7D}d`H?f@%FS^xlQ_T3VQ$OTcS<v2)@_VH2$$( zBIkme4SlxZ+Jx0q{t35eo4XM?wEm&D)|<uQ6tw=|Z%sCd<XmUwcT*?NTEbb;RGI!K zLHikCrr;Anc~7#!w%BO{nf<B)w|UjpLx$Cc-}6ayBVBb#FwFp91AtoWe^ZFS|GyMR z`8WOF`v0B!ss3L{JQ&6QZJ0-^^XFr_(+9F~p2w&@G@U6r0-$HU5iP?|ByZv#FZ~~2 zXL%Akv>jktts1MO85{daILC|pTz^xbuRg*Ge}bkn%M1NH>qIFaRW$oSz%%i5n~naD zL{MbKSK^1AJEcqdGc4vMxqNS>OX4#Z<|VQG79|>^@~`$%?CS4&X^43!bIQM(Ng)!Y zKJZYkSADgW@=f}S6m?&%q<oR;u&QEbk%JWbRLq1kUp7;YSX2HnP5QB9AV_aMvN7Rn z3Sr^!pkw7%<_Jqc)z&^Y-Kn<(t0$802_~(jGUT{>BO(>Jty-OhfzJ4tv@}1b6(+;K zClZA1(|(l{XT)6kIo}pT$5qrE{(YL@*jj1^*?B@dqsezIZfYF}KBfhY|9GT>@>^?3 zafatVw7Ap$=qBk;%4twB9J8ly!#^sB<8+{o1=kUD?a_WEC#CO+z4me4FQRJ^<~O9G zul#CQCwuXc&IH*Kpab!aXFbo*a+5)7xtXX$x>IkU!NY8LE;P`|$NaxQ`*(Q44D9FW zhCw@GXqfFk=QHH^cotzjm;{)1QuT>a=@`zKl#Tg|!&GCzgtXTSkvlX|+;~cfv>y8d zfx;1g#(ej_`IZ!|92dnar?|)L)9IUGM(`Fk7uu@p!(1a-n0{ic05R+oML(DSRJWMR z@$`+^+oIaJJ}D$Tc4<nDFU(x)!PzgT-q}FxZDgHnMAlVJ3N=6Xi|r!?0m#~W$@DQX z=4-lKpGO$xuL~^B6(&1>2KsiRxOHD|qx=jN#le`Z!(f4+G}2P!>KLJ6%+_MC<fAmw zA#(w(c2DMbUh%jKiT4$kd>Z}FagLrx?SC5^#@KV@N5RmjN4u0O3#)d%a^i`2-Iz}= z>sC&vnL268?2{>}o>hV_Iaj&IK>$?5swz!%Fy*bvlkp>d$ki)V|CH=S1ylm0y^(bF zX~oQMp}UiW?Y#g|b$%1r=ia1G4EkEOgsoVZq(sw6*TSrx?T{~QkSpw-%b2_K$~Q0q z%<4&J^f6UQH_%@Ut@Sh7L9(q^h@xod9dURZ+so?auoDZ+^VPl^f^``X;QYlVMmRA6 zJC%RDS1nBC^#0j^(#(<D4f6?xY`y=>(x$~(Yc?$DrHf!*AIY}v8x=M-%1)sc%dN=B z!z{Z$-iwzPb^E&(GZQ04IjKMZd&Yn`tv=20ydlkSxm*H`n4nCeVtwJqSr1mCQm&3! zJ7TNElyPUe8N1q74YUd}2S-6_P8dXG`+ZIL6ZF|K!l_=k=XRSqeOwWa^x3N2X$=-# z6xCJ<5?eBU1_wiflpW*xxHv4D8pQcMhE1Qsq%)by=%bw5*OYK^q%<{*v>Ln~iby{c zl$8XstX}yT3vhdBs!A+Y_8oF<PnKv&#h8y^SKlN^^coQHr1(*_`zzwc1Z&Qs=BpaU zF@&KHG1)NL*0n#{;l^ZY&MLl`ZqIhc#VOUC)o*;+nBP`%1UQsrHJ^E>3|0tsB5D8w zLqt^3K4g`bB-`O_;n37uFH}{hZ=a`n&Fy$KM1~S4Uj=zGZH)0RL&;$^MQ~Xg$0YLK zHAVU~?lp3Fu3yw~69tzbL?^BOH1mACiOj7Wrr17Us4F<q9xQJK#xemzb-|GuV0k4l zmMj=51}66eXWM|&)AenFpz8JN*-JfeDO9>-Ile%-L^SN9()i-EeY$sYiR4alVij(! zn4c3NA#98yT1=~`WOCC~sT#ssoU5oG<fen!O3f=ITQS)ZA^mKnZWUgwm<)*!TDDR} z7V1@0e7WgW-cqoVDls@?>*Jw`#m$)`OB5uVci-?aMn_g~kZyIbiz5<Z$h+_Fxr*pG zObM=tOJ?1Lr^i4#d9B~4&c93ivj{2FH;j{+M&sS5)HWpcntzbov~NFL`dk`EyEf2P zg#-R0Yij+kfJdu@6wu}6KFN4Ix+`q8Qpk=*r%v!TpZL+>T)`L7=R3k6CWfNR8?BxR z+$$ryKNuBGKU#m+b}%bqArqUHtzH>W)Det^s2aA!q}5&WCbGey_M@ox?IDn;4i^%x z=Kx2leS*KWx+h2o=(dxWe_-AYCw-!<Ua^_X?Mu)YotW5)&*?vF9)vjQ>1Crscr`d* zENU{YNV}ZY1P$-L8LCqHt(7PgOQe|n6ztnZ7qTpOqOSGn?upNwP`$Zu`@|CMg5@#j zXz7gua|^w0k8mo0vW+c7PvHczlv@G#*h}1J5q@P_hZl{gBO0wokcF)n<9SmqQV$rc zVeO<nhDtd63=_^dqS(rIM!Tp8=%-9wlF*_<M6?hZ^?(D7oQA&m4!I5+nw=bKf9f#? zY`y;O0Q~`?h!Hj{LJwd+CnrAE61^tG?OGv!<Rt4%^};(B^}NNbrEtFG4{%GA0I-Ce z@P|Q-aEa<jndAgC^j%66w<m)q^61kg;+Vp+1J~9gYAKuov=>qjKBPL+4|d8-du6zl zV}BOrxh6}j`YESwpw_?8B%5xWMAvF;8$r*M^^{_{!774tItSEh479z2%C;`jRqpDN z=R}9En<o8oW;Mc>OR}5RMNYev&(r1^KL==&qq8C*jJ(zj3QS}|XmU+U5Y4K^v*4G? zvV3CSm&O)>2GKx9xtxcX#3n}h@dAdsp!{ukL?R!(d~7<yopJuQVMO9CjXZ7$L(D?~ zw_@CMxFA!PeeFDrf1s7X{Gs3y-MK5x*hOn>qul)~xr>gf0(%00)HIplylO@t?V7u? zboQFH#e~ameoQ&fMTk|iQ)W1%&3<}DpMO)fb&yWPBB|)@e95RV2<1E`$noPpntC^* z5cW`W+3=TPR_Q-F1IUO4*0N1PMI^)^yTJG8mJg}aBB>O5kX^h%I)rDJrP}NFtz4$Y zIcP`GR5i@-Q@?ZbCXwFl=zymgdQYj9Cgrk-lJxL0=sFX;sqX#(K$iUH6vSs?srJ(* z^oa6l9g!$6#($_vrSO#0Q%-kq+eK7-Ao@(*^axWsndf=A;~V^%KSdNZOc{mweo<zd zMV67M1;0r=EAP7%7mcrs)r=|a!XjUC3T-T}{d1>_*i9NU#80MYj&w30ymt%Ea_|Z^ zjlor8fl_Xw$T>6eEd}GL`8tK)K0D8lqofqzrIFMkA%lbmO7vlbTTG;lTh8l@<T+r* zAOrj0a;~4MsY!<pZ}{mrv`#E_NkFYe<4n9wW3tdA;*D4Mxu)6qYlQ=UL_?ZN6UxBN zpH0M3Ek@R$8ZX*_He&OmoXEBs@e+Gj8(Ju#U1q+H@xp+fF+=Gt)IhF=vQv}<u(>+N zy~{apqn|b6)fJ<KTi74Z-aa6fxC9-O9711_IMUtI+7__^igX8$uhO*H;x%CWEJD$p zdLEW}DA#C}8aH+Nv+L;F2+@A?mQdqnok!_5<$$(rRv6OT7_Pgk7wA1p=g0^bFxh_l zoNVgWf!#e6l8+Pq+jaDO_&sRn{rC+i-JqYLpb(RHe38k~)Bh_dYvnHQW|_fyD{Mgf zTNXuYgX{bVKaLTA81PfbBL(8mqGw1=w0&aocD2`TcV*-%(-&b6_Jo%~->#KGF+G;} z7csmXJ|LdK?5r|yHBY&(pUbQH&g2~=4~Tx2J1`d1*oD;MsU!-%{f%Ph;P>t1#v^}y zxinn!N3mD=C;A0BtCfS{HKtKakLeFhK8a0Ou0dIs1C`l(mH%WK(~l)-n`kwbf699G z)a%Z?sF}=$`J`Y-t23@gE6_9U9JvMk7cBn=I{pXhK3JK1D4i!oS#9Gv8Y*wyAB*2% zj}KA6+IJXR6Z6X%<Oi>PBOM@nbdj93+9Fb(YHq|*+}DxCd%(!Gd<GKVMfFUDNLMLm zkX=qOf83kh2r8k*dG$=?$crc^)6JR1%|5V%<geiL{OcXeE!*YINw>Oen#0#qRv~fU zqg-c($0>CN!$tW(P5Pu+O24Aav#3I{vPEVV_8G%2IV_50J_w1>mR2(gt^Sqd9uyb% zQwEfj<yt?(ty(+{KqK6bCLAeOeDnSD7F!hFawwzjTmU~nz`unbE)vnx4>#MY&tcE$ zahU$u*MYkFoHS9O^tvnfC`~+ElbAI-U``<Y^^Uqn`6AtYa0q>RpFN|VDE;GiK<n-$ z7?NOlo3kSza<i=by(jOj*WXsy-cFf?|F%c*a*8Lq$jn9@d!B8)jQY3K)!NCc@LxK# z87{XM@-4T&;^DJsOKMuuT6a{>7V<#~{k#^<!AK#|M-r>L(f+iukw{0`b+x`@t})Rq zw#7lnv7wUYigVunu(EM3okp!@sZsaQedKrR*pZFiO=L`-_K~nF9`G2dN8c7{{xJH$ zU0d#yb!3xhV0=<pYmjhgJ|ABZAUUE(-6l9MQWsIw&hwMm(O|j(31?b!^3(1`?Q=JO z;R*>Ce*p&xSAQV}3FlgKCrvpjlHTRVm1V1rU67YDHmq%BzaH*K_IB~YOM2gAeC?Y@ zXKBkcN1;A=*Z=sz*$(f}rwmq7tQ*U8?L43zQOUe{*uiBaW<~x+wTsrHGu-jad`pg( zKVMYQE(L#mZ@7wPF$Dk0%9K6smabYn<KvCGKxif3W(Epdxx{t}6UWgc{`w|u7Q39L z*}^P!=bXX;wcMQP0)o18OyPip@kod)bD&ebr7%MgCcqHmSDffpUn-1IRC}tC=)jS@ z`;GfL>!fL9U*!j)xc1bo%K$CxACTAwgwoBjlpn+B!}Nk@>w1;D!gZEM19pu;uxN5N zLPKC1BS4EF?C6|)P+y2S0@7hPEiA;$XeP{V-I<jvIXz-HO(xYWj_|3PeDEk_s9GL$ z4LNDTmUdpf5YgWAZ}Z2U7n%4gDV!A*+1v#@r{M6f-Jl*Q?xFQgZ>5O|D|shiv|*=b z)e(KjYS-{-u$9D9cZ3&P?~R*@ah~g2FSdEz1-mO>YN@^TDQwtMXTwFeK(TSp=Jj$V zyR{MI<+_&D;o7pfNn8l^ujRRZT`eiKMA6{(5#2_;FJ`}Kv4vRPG!x932*7V^>GhKx zRsgJiHxncHTt9P9!#W8#dfDnaK5zu~T;j|<;1ep!J7mpM(Mf}U{puv=FzGQDdd-1C zDR^z%he|r*jwhv9!LbOZvK;NTWum5IN}1P?R{Y3bT^jwP-=)2X(^T5fEg+2Aazosq zBF*EaWFs{`S0v>!ix9bK)0aN~r4IQXUBLMl6Ia1=J|5Z$4cAS&zOisUI`8T=yyzSG zdn4em!dzxErZX3EL9u?eE=Njp9@9{=Xd_uG5)+Y;$Xq4hOt@*8BJofHcbH(;TD~JO z55qrlBsMZ5feE_dU9Ebi_XT@=+`x03%RNiw%2I2$cA|>l19nEKrh>#{!bu3{>8qst z3k3Tb_9LxYnDZ?o^2Fn+8@g(<5iy5UmRkt^l35zXS{_Ig&)+u&;yCl!ob6ll>cefP z#^&<Uw*Oq7r3=XX^11V(bg=d7v7aj#nJ-evmRgB#7K5|yOwzjU(K{RNOdqEdyFi*~ zm(9%9f8Ww&r1BWNp)EUkw={TbnX%Lj`*}-qA)I3lBpzs$66K1RWo<;AQ|t^7iM#EX z3X5=T&@TP0){hdKmAbWTcaX+L<lb<!_HO+o`74{n2lhxo{p<ATuI-1ntm-8T7B|DU zxa<w>7sj1$-r*Iw0c=%7bJFx#F4y{$<NU?%$ua;jiQ?`zzWx&fY?}Akj^6$gx+i9C zn>T%iDVsN7BLktkEaqKM?n2MZ-Di?EjdPdIx9`}C+uN*YyHfAVoYF?~IMXEN#|k|~ zQnQ10w#m~bx`}SQV65|Z9JLZnw;TqdR_dZ8Rr^8SAPg#>`SHLnTz7NfM8Ai8c|OGo zx0q7<sDB{jgAE^Pzu4Mv4cnfi6wr`6bh~0+1DY1YZ*4MAtXfEtMAZUcJxtu9Gu!T& zBZL<Z<LxgG_Fcecu<iI8>T7zdH}gOZ*slz=&x)>_f38j6-*sK1lskw=TK2hD{GsLo ztg)i_2fbQ;->)U_&TMUU`GdZv9P)Tr^}DUn_DeInubH%q@=xP~_FM&B$)?+<sJqLx zG(M|)Z3p;zMiF2q?IuJn2k1ke*g`i)x+ooMM}43LZwk4$rwhm!T9<}51>RTE2OJKq z>%yCg?kgz+p4QXA>@JTT#$R0=wo9lJd7iQ&ZvP4X5yTFB2;jyBa0>D|Yo?@u0@}id zZ+aj14&N$X{8sH#X8r-nK_w9-Aq3QS^V0U77)iD%bbFLJ_6BLEaqg1Qb1FV%cxDdO zAS|Y032U;le{P}LX@ZttEht^sH}z@>SpNi@c$O9<sD>`aM%&eMjWW>OF*BT5>{I16 zF%sl{z+qLQ6%G0KO_yRqZA#IYW=?0?&B(q8NJ%gd%~Ll9{cABnjyMS2S#xtHqWpD^ zw0>GMfwR;m>iKVo*e1*){)?ql&oSMe2ccUVX6q{IszZ<ipIB2y?lN%le`SMSR)%b< z>Tg`T=06O66=GB7d&+(vHya*pd2eZ}PoJ(tHCm85nwe^H{f#(*Cb!8HZ-L1wJ;#Js z$upn$1^p6Tz7XeV)!~UtIrWK)x_fWXt9oD?VGR9Lm2A&~ZvvUGf|WeDd|S}d;z!oE zRmRxK3BmkjQu7~`epz3I46ITdyyH!-c{~8Nf)<EZtMHG#cHfUAxnv<aenz2-Qi~Bq z315{V#{5sYWaDi)4bn=K61UYILMMuzinX7xkM~v?+v3%>OwDIkr%2qRT<jsnvwn?a z?rj$p1s9_pD8H{gJ|2M&<pgFb=RhnQvYEof5!BT4Xlp~7<MoVcuWh*~H@;-FL%w8S zyohZWcCF>*JUBjz@qvwI&=RdezhFRuRvsMl5Hja{&U{(z%_MUDPorL!_>RI%yWWgy zpUC}YN#f)WaOI>X_<wyU{irQDFca0NfE5_654ghWQEHvaN(eI9(0q>3_A}QwRhamQ zYMs*-1J;&ZN608-9rEJ7ukDPKfQTr~C7?Tj(OV`;(VJ~!2rL|{=*|0IOZQ(Zh_vkm zQblgHC<-^+ypmWl1^VxuxaG-Z3Zn7&KhVY%s8+rFj~zfGjY;(U`4!yg@%oUnomIq| zByD;CS3j$QY&bGft%YTSAz3(9?LCPT7}>m3|HFT5G$y}1?Sxe6ZNj_C7lukHR@59$ zt0~Xf=|}3Ph?^avzNIF?7Q?aio4mV6hT(Q#DHrp8_2)8L+s@|wN&mHk|Fv6xEg5TF zKJvPVsCu8AR<U2iR>VW%BKO@9XrwxMbIKeY4(s2jnQ4&Q*w~6aLML-6av7~mivL>T z|5}p&*h^ggI;uEoW*=nRguw)&UDW?r5tP`9p{=1v^8fTJb%XQ>=da7xLw4&;sx!s2 zF%*fsYg)pj|M64t@;`pg%=Q1xvtT3hr(Y9@6#nZd?8Sd9?SC(1^RGjF^N{G(<!vKA zN{arJ<%@KF5}<Q67{op-t+GN*+oMpYO6aMOaZ0-=8_^ceJ)khn5R_Oel;BpH3Q0gI zH1qsuU6Be=f3h&WNN`I_h0HumEeO*XoY3yS30Pdvr-`{pbR&PdT_E+wGE5xbvKq7; zQ9naU0D4}9?4Z=yc}ba3aPbB$rPd34{3ZqBfx}Qc2oz+HtNNPjqtJv<@1M`q6h+kg zAa-ks+$r29Ii5XQ`&Zomn51h28%`H}rY0?t|105g|MOdm=ISP~5%t6GPiS%|?U2eq zX?{iN>Cf!e88ma@K=J9185(1(>!t78qz<?<+(H$~h}v`voia4$Xy+nUY1o^Af~&N1 zQL79*dBC#G#1DNA@#&LvSv!dz`m0irr0F3CG@X}ow@PAafmqhs7Pi*Q5gDjOEl8H0 z*s1+P+Yo6$J(mR(oTF`sFkt;l{j7=?1(Lj|q_l~Hs#FZ@Z1Oa51(GUIeXuOKOhj&G zD?@^CEP)Q8)4%x&T>gK{wa}3Dck?Ct$aE+3V?vLxs*S%4KG4dhZxFp|!#XylF@XIG zR5S+1NGKm<pfT7&Leiit4e$~xbhX0_HL>an3AJYYiB%U^(wH;(I1<xWhCK`>x<B(| zTYH$_(JDsqN)`oo1gZY>^D8mczI?SYn1SlMrBgR0cjB?{3qsL1PA_9<j&T%kRglsF z=F9(pw?{sU!1ta0ZxUbO{B9U0FNnpH2J?g_Fi8Kk%qX~d!}WPW%cG(jH)g*~#d<2x zm}Xm96R&k|0quCV&JNeXy_TP>ZyW`VsE0{d?@t|w4I#m8Lxo>ER{s$Y(mBsLtKoBx zJ$*`!c^j!8U!=+?H=vIxCICI?9_XieEFNF*LGyh#l*=&8%xYEw5$S==$t@9rj8(jp z&z_6@7U@AC8ZkC(;<L-6u5@1NX|FfFY-H;^?s;{1;?&q*leM*+(*0|uNd#37Z@uwN z<H_G9g>^_5_ldb@*&7AH|M&*sVPc(PigGiw)8E$o6?^@bIaiG8{~~n|vOMn9-*TqE z<x-vY0##GOf3d&QA!Jku^>K}`rr|Q@l)8rJ%0ABWi&1pFNQ%j-6I;sR`3pX96tnM( zM#Iiyxdq+38@NWOJ9mq%u))SN*H$ZI+pWXw|3k`KK(+O)(Z0nUS|}7JxVsf6xVuxl zMS{D#m0~Gg++B-%ky45mCpd-T7Tj;>|Gf9kdH39LpNz4``hJ<;Tyup%NcKv07_E&H z^^D!-s>I{8Q9Z$cEr)lGfqtw!!Ozga56pSK58Ei=SBPTl&ug|m-+kY^rsS6GrpM#t ziPRq8`iCzTA-(l1vJ&l2{0dnQael7mb^LVC*BntjUvotBe9aM{-E3OU!vn?9tY>AV zzaT2|B@QjQjButG;;Gz*djFeOCQ5#A&SD!~{r(@v=|$tO-)*e)herKCe5};)neONP z$e=*gPGY{MdergK=Ppq3|Iy_{{|ou6yx8f3@Cw*dqP_0Jn&@v9B#rK~8+fT>1NFK0 zp94hBr9ZCJ=d=B!ddS{p`nb}ZKL$gE9nhCIU-Mn%rk9qdh`(HX&CmWx)mhTWwf{j{ zBthCobsumG`P4=qZT)miFS+t$$TfWAptuy?!hI^xFB0YZ(vv==q;TJ3?l*y0Z8Jl& zcKlamG06_Nd>qLsU<%>`=BW&g-zM#j)kprHemSYRIg~3gVX6lgh1V%X`@2>jo5jz| z@MDz-o^i-74?kA!FaCrfmg-*|Zb<K3_;a+~N#h!19P-#f##OXUyEDGXh!=9{Q?TnZ zx;(x}>*}xLCjV6~aK+(cKtq0XqV(<ArTBRwzERTiB}Z@abE--yq6}Uq4UYJ~d@z^x zm!F=DGLG1(#lN6b*QEDB%5NxLo=A{7%-qrbNToxAMkjb=M-RbK=Tv=fjv^%R%l2iF z4;5be+;Eg}<c_EB@9keAjV}2JFCT2Aw-ZVm@yNn<nkU%!^L;_eOFd*xs3?W=cl*j# z_P?dPzY?w*w_$yd^`-Yg$Pbjjvu3+VQnunsbx;(T;AB80`#!;O$paz+`<b*LF=pU4 zQ>8?j1P7QRwJD7Fn_M4GNYz~BFYbvig#>77Zp69mv+njjz(3vfI)?wL7WyThp^-KF zxrNp!7r}Y;+f(sLMxGIZIQ^mAQj`iL_^3g3&2f@U>QH6&r)QF+bT@osO@sVZ&1Fer z33HAzN;4JgcbN{|@A$S_c!zU01(_miq;<%;AKTJkR?TpG+QM&f(OSDMY@KGEAaubD zws6e-7QBfW%7BObeBB(y>wa#<(%v)5z#0puwLpFg|3TLq<>fl)Vf1&(z&mrNHOG<% z^BwyVe@gcgHcE13;V>_TQ;v=Ge(I01xbak7AFnsQt*ZDM4d$&_guvreiAi@+ZZbkG zd(@lyR|wO~K;G(xYG$_bOP^YJoeeiUeoE(++SkrS2ygkDiBqf^;6anFz(Jy2je?tu z$9Zu6ezw|!nk#+qfg}eZIX7C?#T`ceT5)@TYFn2w;`Q`);fDt3bQGI<fA%c1WH!n% z#e@}q4u&_mpSXC=vLD~3_en6EzsK1Z@OBRhlI+6NZ4~&b;Yi8x>?BBdF+Q@R#QjY| zEb8F!gWjO8fhX<4V36=id<0D9Pl!byynB#)mgyIy;k<h(u)S6H$!Xf(0&1>5J*UWj zRfLCv*>Pa9s~n%;+o9~)VTqNgTZyseBFbYMk+8G9JeZGs=%$`#Q=}>q=`nj2Y5eE{ zyd5Hh((vQVmU0})6U{n)`{5a|V4y3Rjd4UUK|Wps*wx?HIzCEJ7%-NZbmc3HRAeGs zj4Vv%D=SfC!dr|k+_s+-2=0z|@39-s24B@3jdweH4&LeEtgW^%Z~9lFKpmHeprW&( z*ZfDQ-W2=5^P#_&MRMf&SDK*1gkTyHsUqta&QC#?bt~l1Pj>{s9^}an=8F=>&Z}H7 zS93(iMpxFIzy}M%XNd_W@khcWckw$tM|AJ+{uyfw8QK)6-t~JJr5C%hOQ|h5r#JoE zuW}TZgcz<dH_aTShk);VN>~Nnw4mS1g;}J)PV@~3uXtQ{%eE$e4;K9}6Jzp6jXb`@ zW><|&E$JJcT3=foIf6Kv4{TS35K&ZKS1wMDU607$`dJ*0=!QHEl}CU7(Zur}IMjWG z_5JC`)#M8K^?u`1$r+1K$P-Dst<`(-ul(K|94KAHq*F{EEg;<OgYu<`OA^uIF;8`k zc%1{YY}ZcfD^!+cmq_8BkN^&bj;YK1+!K{WjL=>od$F~}m4RB1;$wL+%*8^QV?n#- z>n2arjID8|PK-snz}a<?d55cbe~Koeu0w?RViZ`$3eoEo8(	uad*m5Lkxel|97< zar4dGHENSh*P+FH@okf;Y5PpGKl<Y9)k`9~#OIY9VXs^bopjI3gH|sE#ypLl1FC@Q zSxwD|ECUEgl#ke~7O^8yyneXQsH++7p9bFA%iN=<#+6Wr0~?3@4kPvG8~b9zCR z{XH{Zf1kZP56k+JwVR!7<m2g)x>S#$n2-sSR(q{Jg8oTh$rme4ozoN%_*#n7Y)oLA zwC5llPXIlHhW6}Gz=-!DbLGOnbJg?s*uVQu^=V9ae7D-IyzO?nEPYJoSglNe`8B#g zjf`ZTCGUlX)j&Y(oW+}0vt2<|R0rl!RdffqQ4ccnM7VchlU{Pgr;KJe3J2W1<ly=3 zux0i--lPGZF9Qa>4vF)Ey~PT4B4%@nb_ixuw3k9={Bj34y$(t9GQE~^c6es|@^;*2 z{EBuMX3cVT%x29Bb|hxM(QI|UHQ{-bO=n2DU*uI*w1)Z9)Om+>($w9AiBQ%dg^94$ zg@<)A)JcbR0_whpiLlqvhKbPE6@`f~*L?^Rp|0Bs6Jf10LG@KIXGZnaFc&JVwj8=O zl=q28I>@e-rEU6DD@)UqUK>e!Nn&<|bdXf*OWTxE>r2xVSKI!InQsoyqnZr;BAuL> zjgP(5Dl7X!uhWn6XQ%KDJorcOh~UGEV-zowZ+Gx3C?}#pSIF;v=75)m5|61`JLy-^ zpk#nmY^X1w8y-3g=*EBw0lKlEhJbD~C<&k&8)^&aMu$oQ#89CKfK?o5Bp?tGst6E+ zgZ>6|qe3wNVmMG&fEWgp8z6=S)dYy4K`j7c=um2a7$(#ou!;c{0jwH<N3q`L)l3^& z|IwE;493zi4aJ`~MdF*z$7-~ethOI)#A<D#oa5VsM7&!B+mg6cZK}#wQ@oTQa&=?^ zx{IvD6nc<Qw-K_v?h7|>QNw%_vw&pb(UWGxVfnwu^qz1_r~gU)pOEDp2gaL`UZi@b zf5U~>U6jrgTu2EqZX$~8m?a4dj`E}`2hAa)&axbM=jatyeFF9`X^r7E<vjElB^5kD zMY`g<E+&kM3eC1fslZ(>ld`ZRhYDRTM{YObt&>uh7RjG;LBd3v@&FSos1%q!$Pa%| zH^dKVaPC%swJ1}xCfe0LZ{XADz8`I5QueIEvBZ~})8rxfUHPhWYzF1aWG1;?=c;o) z24!e+lV%P@NwVb|)C;D=aRni{U5P3l=2D)ZWC`TagvLn}U{P@8d+_9UgWZSR$+1c; zX`(<a=1wG{ZpgS7w}y`%b0?Yto_`TR;d!o(4?+^%wL+ELZa~$!AcN5sb8DenjgAji zQuTRqx%{p})j1P`(K_=Cc+$OTk6$=%J}Qb&N(o=eiVlLysr=F?t(0~HH*4i>8lJPg zhk21lA&>E@e{hbmFBKbpSgJ7!6&oI`$WqbmoU?_U58Uj!Qx!$s9ytg22)%M{1azDW z;&IBqH1;w2n3gx?i@JA4)h*hdFsW>?3sh^H*WHs*;I}P85#Pl%DHCGM%1u5(DdP4k z${GxbuhC^9E*EkT*eoMW7z3gUc&Q<Eq$e0-O-RO91X|yV`@0G(1>uwjy(+-P)qxfP z#CGT>!g1f~&^yU<1iN@yW0M;o6_te`maoz7(M}}ezLlZ>hQk^P_p+iCo?HtKO-q8Y zVr?{Y({qfG2N|o#6I*_F-?}mfxp^5Gss*k=@fIB95AvfP<QDHj+spuVA#EBy%xxHI zp*%1~)6{XsU+I${+`dvwxcW+r<Iat=_uB=`j&MT^rVkZH+pGX)qe2nEHSjkI;2MTO zOPoE-=Djfva+cp3-Q2>ev|Z8+$vwhDwypBhE`0czG&O5e;un12k`O<U!6{%D+$ISy z8xE=rSjB|00#?zXvH>3SnjW?c?KIBJ0fmtvF;~#VFZ237d#+^;{V@W`N24zladn3x zIbvewcvHF1>Iz!bhUElbxyVei`tEF!Pc;|fnQe3AM}YQT<$My1#BD4oCZE+B>If$% zFAr=1;y6lz`u6e?jxj|N8_no!`;=xQzEomy>yuc1<r{f#pY{{N@o$X;D+%s*h?X9l z^IKkE_TVwkh!o8~Ra%x6T_b2rXXILc*4sc1)6JUUM=H~z>FMj5>Q<=gcc4AG93~fJ zJYES+{yVMSh1k+i9`|Tfe4)^ff_j?Gw_1>e<Wfl<_Y772F*Yf(^0KmYg)d26Mp`BV z49U)p8jGqG%6(ke)Sy6RqxcU?(WMAHykx^I^y(;}J!G-vFI3CIWn_6XN0TCvlkQQ( zRb@i{OnVJ<dveOl>zdLk%=vMX(}%D~-|2XuhrWN?u9tt?o9PN^p?#t#m$eR@S#B(u zsjG9%jf(|AlclnE!W4*+=`PoT7e_II+fhQvc-A^jrc9BJ`p08bR+TN9tx=XJk##QD z(2FCbxAe2CL_cloStF0vX_T5gEDz1M9SwYut-Fb)$p;}e+Y|<ObQ1y8r6NI%tlO`v z{JE{W$)}ITAx*C7vt39HCJ2WZ4}Pg{OKcAffp;^xO{vFpV_yvJ_$C5qOAoi&M+6LQ zRgn%;Lm>|f0}<#X?F!w)#o~(27?)~IZbh>#bfv$H7Dn(5EL_wIGQP$RHx3l$%IJPT zm;=RD04*|e;?1o-&887f?^Hu>iK{p%OMfXh9>1@0)>bR108NoJ4kYI~=jFuXZX;JY zORE*MgW7iuenWF*_-BmgY-5K*h>cofMdSDQ@=r?`vEJawI4`+QUu#NF_o<U!k@7xj z;a<qS{vje8D~2y6Lj2u%T;0MquJlL#HM#Tjys^skZ*{-;w;(%q>b)q2E?H9ErYXak zg+!R>m2}8MxmN}gh0FUHY4>*jQk15|^jD5&8u^KPq4s)}dS&xBHu3n`#f84Y#X{<| z3Rd2_Zf}r5(OwfySu9rG#qZbc3Nx;b8ba)0MlY;ZwadqRKSORP4^ZB6)@WR;CQh@V znpu4l@J$9qlNa)_g^9qIoN1REwIxErDGvzVPSt4GPsdKPp_g%P?&eCq5JN53T68Zm zWSeak#&^S6^OQ^mO|iKR)83}ghmOJDca&<_FMn|mP+o;fP1r=3NN5isd*mAq>==^c zv`w6Z{%oh+cFQ+Z!6}=YV<D8V>N`I`0I$xu2&(V86)uiXG>=CtioLk;2V31V^ScX9 z99j*jc96biK@k`We%Sgp=wd1_z>!KiFNIAkio%*6T08_K@w%}+YACtSDxzn8vkS+Z zWxd-#y=SLvbf8(4WspDiYnoOx*%N~6UR^vk!TOo2`{PEXZJ>rP=cjtMhp1W#b#`gz z*&?x5GA}P&URxQ_mZKagPBW`#x_g`8cuwwst5x|`cFC1Nzuhm5d)?xx_B50`Kkkyt zPk%`Fftw;zp599LSt-FCdnIL*SGMg}J};12%FKIFsalk!E@f0yW_2I3L@OGKP1%Ts z*lDwamXC#pMpBH%r-WpmwzR-uRBvW(+1D@}A)kz;Nk>8_#i!(HcY?gI)>7B=!#q@| z|IgRavL|R$-^B+E%>`tQ1<}_Og#t5j2p@0d)IQvYiG1(hCgME(*^?icEhR=vCn|8Q zHl4Ud-``J<k`wQvai5lUy}4GPp&CH(3NMG$4@5W9zfJi(0mWKF*^m6?vzYQMiav`! zKrr#>ue0}AWP27P?VrWJP8a%_eyif=NXezU7ZB#2DpCg<HH?nRMv8DVzv3qYij)Zi z<i;HggFC@AEs+S;+k}bIknc3h`RwSi=z8XpthbqZV+^6;^fic{(W*aE-p5RxV|dYZ zARP6L4-yo5bgESZ6)``^FJw*^@5cq=1ZeP4?;$HMA8v1xf6fV&Ka{ERpul!OdBrQK zd9|_T0Z`|Ua=Tdbc%jZ8?G}}u&Ed(6D5b_;z0&z;C3{P-2TaY&`|OOZTi18dtHr7W z4FetbiDhyd5Hw=B$I^W|aC+b!n&U7Ld8o&7r|z`b57*!>bHqH2B^Oe!P(IBL1oQXM zHFD`N|F+^=w?#9t?=tXHK5I;?LF?kn&H-U>UOUzs*^8dcO+y2#a4(A|iD<%-I1H(C zK*7kg1a!WFGsS)I!b@wBB==6C6e_nAd-Q&V;X)nsNj@t42;~q$fj$mZp4X7zU)cAR z9)9-2bHrVLZXoG3tT&L%8s!^<pL3)p!`G0o8d|@`8_+55=$v^M-8IBZUSZUT*ph#& zo{L}w`bl@a(@KtY1f=(-UU&=c&#A!=^Q=HNdgsf7ISf|e90n2kp>dm10nO$e|F+3v zklrVaGphnPy~EMs$9ClE%Ylj~m8w*JS*k{!iieBdON^;ldfEZ4SXNeE!^zweS}gP| z^k1s#y<Jq57H|~>!J9n2r3-?QsCS?I3Bwv$cRCdxug5>|%=m`+|B`#XWQBW|o_)n> z)?Ut|H9b=SNxYy%6!6Cea+n0&>jMCPc>T||IpS~NH^;>vnO;V_ILUm^@4wxrZ#hAH zlb!p%oZ8A!^-*t_=uGIc=hxKOEHigyYb20GKhYJd?rQgQ#&OX^Bqm7~_m}xEArC3~ z#EMw~{VK0j9~1OJ_S@Lzcj2FlOo+(KGDBNpHdZ=gdqd{JVhm28QzGGtQj%X>8R~NO ze8sMG8NDXm3G3K*zO23x9p2aa&DEmvT{R$jst9gWAbnm)BCSH`Ub_;53xq%1edW)_ zF8j`d(j%_LlZvGOie@EQz2@SPW9w$gMD9MgUnpFIsG+r4rq3k7pCJoJij9s_@pq>y zYF)kijp&);_^|pi7f4{p%Iw1GJ+f|~%2|$5U$-kw9mOYtj1iVjyv%}+4|3D{?*q4X zHZZG6IfbHa<BY$n1e%xZxZUl4$uiL0OIP1lc<j{lR;iD^9uvr!Zu>amY4iT4jRtaR z=CzG)Y1#M;(K{D&P-*(D(#To@o~AF~*G)Gi8CC&jY8UbdU<v6!^ILN!zI2CO%CF0k zTidAlZ5ZY4L*wgG?s!`Ky!a&2TDm~*mHxs(kckEfl|f=aQOB+fr7ubXWNgDmsN#T3 zd|DicrM0k_QwkSCocpzzLj3`0X4PbnX_(f4ZpU}<YaMQ+BrUe?s$}uRJPOaH`l_T& zULCvjK}A=jhJ*#8b&T4o<adeRfQh$q{y4PZ13kIUwYTI7sIijbzh7R6ynaI*88VO; z%Mx@kREosW6+UwrI`>Vj&o(EUsFCnb#UT#Jm)O|wVz4xv)8z1l+T!pDq{>%@HQ@$? z5(439%0iJ6m7QRX?wz-ZQ){YcSC2;GHCPxEm12E0{dveE0`DS-P&y9OuP(|H8t0-@ zV3Kr1PUM!vec?2%=y`=HIP}GbX0J$y2G%SlkM*g}==s~#n|573NCC<UXvWKm%#G&$ zHB#*fn0b!o7G##u7i8DFr~Vb0_5`#&$DYNKg6uOlQ)IF&rC;nW3D|=$jqx@aYS|Pn zy;UJ+Pr<2mP+)hUkbj9k-8EEQ4FHAZ$}kwVWn$^6-4Pl48_P^{s~2XgUwAXBkE8GD zYv=rq@=;M6FT3psE8RxA@N3A+IK9PeBWh(b*5c!44Rw$yHrC+d=KTvge6B{w%P~rw zy}Qs<^~hVdVaDY%xx<$T?K5Q)RoLnm!YR`-XpMEbd<ru+t%V*fYC<^E*t!>i*kxpt z(_<)&)LMp_%AkVp%n$HeDZ<gE)JVK4t+eAbdkjFMQUby;z@9bGsE`0xg?^6^XjDmn zIq=fGGM7yq1EJ)VdugtUCdP{rT=%rx0S4J8Myc4>-^Iv2i9t?CZ|M_>TbcYDxy29V z`dpWuLZ^+RH~Onckx#gjpYD$fFWYJ(>yw`D9i=)caRo_m1&2=jcIiJuG9(U3)r24? z_j~kEL|qy;HhQh!nc~xn`Rt^9o{jEt^m}ALvSBTu?YH?W_|thx?oM&Edm=zGOP=$h z)oh@Npp{LB&#RG<T=uLo#hK`}Cd}K(FKtSls1Bpg(r_~SL&{%Cg6MFR=)8#Ay@(5v z!L_4kwO20Vx2wrDlzP-%NiS}wx@LII-QhIcC`|E2n@0#Tk?5~3<Nfu4ev18J6K6)x z%}mC-(Z4ZX{E#{TDoDg8+3d%1V3v-ZO1XyzG^*+QaE+&^Hs#)esh)$j7a`-9&CNBm zy<4$dI@!rtaGxp4D_P_#iR_=Dv|Koul?_~_)7*6KVEc1J7+9!!or5kalm6+FaOZ|F zIhq!ejbK-VnfKU!hokbO^CJXpbANR*E%a3I@Y}om2XGEg@n!X;<k)QQih-9Z#<8sA zQyBZNMT!ML-rV^Vfs|ygrU9tSfhS*#e=R817f;a1=RsOlJ^aRLQ}y1e=+C4zHF--E zq~@)5iC3-6AH)t5{6F@2V(w!igzDs9$3Dww2mr;-Xe9+D_L<*Nw?`y%m<S<ZjE#E} z@0PfWenc<XmmmGnKy1rsq9e9DY%uU@J#6N-oe0gA-qZ0>LJuRV%Jev)!;>~L8*jE} zwRO;>6W8#&Nl-9Zdst(N5M|)+Z*FDH5w$5?%Z&$E&u>);B6`e2uRx}etbr@Y9`m@f zoV9%^V%D@WedSY%w@3576SC$!)q*0l9;~|;Df2Q41+C}Q4pf!;ra#!sW?;DoK8fzN z$+hX<;*5GBa&|&yjkt?IJ;SJQ^9wz4&Mkux%Os21H!Uykf|l?$R{&QSEkw$PmhWXh zushLT!nM*5c0OcjOowJNsK#R9Kdiw05nVR3sX`g-{AL9~*~|u9&ALSVVBXfnAWIXv zIimD1b!bjPNL2hBDLfriQpGyhC@4lmzM9E<9){vpDaY;9*$CGP!LhO0-nLW}5WCS{ zBF<jz4HOlU(1g4i8b3xCI6(GvzsymU1ml&od(5oblliB}P?n_WCEQzWxH7HX;OB)* zx#tySR{QBHPS_#2*mg(QV7lQZ5y-#mTh3Jxlx#CvM<aV6&ee^tPna~yEy2vX-<~2F z(gz;cLO!*9J8KVJLfFj8#a;|uf;0DRyDghg?BSeeeyQ#~c*iTrjzlkUfCd?W+}xDR zf$Ni9ylO2C_cIxqPs|lt!~GRYgKkfu-`F<n3EDmy-q{af5S~T(>y}JTcBiIt5xly~ zwlut9>1@rU@=zuzk8P7Ma>s;7(r#7_c`}!T8@5JLER8#CA*Hx5-=!oX4F3c^__l5o zrOdd^y)#-l5*;4fS!HpPxjNDJkoghD-4>c-=pjSj$eq6LSCTuLbXUFInBpQ*!p7gw zngsM1&Yfc7-h+3M1!sgVVV5@w4T^|6AiI#Pu34w=jO5vU))Lm?N9NNbDlFYp=?N|% z6_mslMBtM_a*JRhG#0558`aEeO~OlfOP>|8Owz7{?UEKs`UgOd55`mK#C0)7Vt?Uy z)0f+vRg^0B&dXZaRKPSkd{N0j!o%<eC_1_Q)EO@NWJxG+mj$b!n9PS+iJb2J9~p8v zPva@jo3kBlgc}>I8C?t)LvTf2p?7KVp8%IVW+{J$$M=lgBJ^|c3AQNBo+zy-gQMaO z2rlfHk~g0d=8foov0YmZ191i9s8HlL0a2tK>z$@ai&9Y%2W7w5Pavk@xkOqLNIK<9 zN4ZOJ(~idm9PPv$jZFc5>z(;G0lABT)mC`2yRV0H0rN^*Y7Cj?TH?6)6HCbxY{r^U zS$WD`4tzf|!c~FnivTK@xA7*#KBf-qVzFX{=%5U*N_@}?cHa2+sQ}8x)C=3f&ZmaC z@I`a{GS+F;{f&y#NTa+%z63}<gU5i&PRv$$>?5G@yFnFMY2%6KA`8P?DTWy4M0HjO zMo8Cf(31Lglti0d)iNHBPw3Jpd$3zPOY?-tB=V1l4=FD3CyC3s0$M=LeNKzAq9r;% zMP9u`l7`o0;obcjN3R1nnGw!BiJhzN9T?}(uhP;@2Tl$(k@za8A^dtf0c97VE(K#g z7|ZPT{^f)ER(W@{H56}^!@abN_IHbI4g>PGy2ufPuZB(HeuGHV9U{H+CUV+7Y~1rk zKBf=^&}jcY%4%ulVG*H>6?A%3J~h=Pv@EIhaljI5j%XD&F+6LeHOV+@WHe#EN&mgy z&BS(CBa`ru*ki`@+OB%NvwSC_$FI*+hq!;v=vok5^Kb)6t?9ghB-Sk7K$2^+ZXi)L zPlowF0Jw@beUCKRm22%j!{Dw8g0ADe@sQ3WlnPEOZOJ*U3F?a)bcOvbl%faC&&Kpy z1JiM=>Tt%ZJh*EYRc@bn6{iOJOVMdg;5*n#EZP{L(Z8{UD+P9Wld&@i!jk1GY0dPb z8mTH3%&X8UlF}6Q!uz3NTQoY#daeB+ROUoIl3voNM%v1#eh?aSvYt?{T~s4I3w0fK zm_F{lTpDAYN>~wX6P_7klsjFep1BdKBA*M(!W@A=@s#Wg|4Yib*TMp{04rcMd@JrI zI@lGE8u||TD}oCiSP|F+91F4wwhPHb7C>_09Q-NXu*x5vZjJufgbS_*=mx(-b3qyu z01pG%5EkHC(FThEivTO}CUHKXN@ym0E8!;1;3~4;r$p8*O_q$8voq@w?k#F$Y+8{R z|1U7%PJ+}jiz4#p*d#ye7RwgeYum}1+i?r>_vg**t1>;Y2D?T&djkb&YCe>E%$+|J zmgUQmb<8(D^o@@o9*1F&hlWj(gDp}<vkRvU7_zWb(?=9K<$mHTxUS1nxMcezY8W#p z!xzQtmEpvfN!X}N>!y>jw)mRN9vPdQiFz&h=Sdvwxu$$Fy5OaFG5V!a9#3-HYm(+? zjiFD@Uc2#cmdhd*53qjNk2<?c>LL#b8!9c5;XlgfA&c@1oj3}b*Yf;{8uY(b62A>} zAh#qZfx;(W)@HT_a2&N0ApgF+2z6eVyH5%4vb6NXdgC{GtT!}wP=8)Af5xc$DdYeP z>2$+;A^g6n=-uEW^&eS`qjkJ6-?Rm!Um?FQ-7(0TM<&_v8SkUQKli!^6mqn?uhvdf z4PRxOzBUgw`N~1i!uAqFc&1lxO;C&=&8U|hJ(WZ3#?-;c1C2kq3ZwV{33Dnn&J|H4 zgr@sqEMoSo4d>2MDEf#0i+GC#*IzoQMGF%z>tjRs&%F<^E_khaes|=)GiDR|#8ZQ1 z23K>ooGNR3RW#1F?t7!Q@XL-fe;+HftM}{ns4Y8}2!0ZA^r?SOFX3vNc#I?E$|m#r zaYdh3B71mu4fb#4y|BNf3jksV?s_GTN8S3uGm<$%iPWE7CdKjJB$Xy2xocB*eaCBb z{8h6R{wspBe@>rJ@?9jX8vIi=Sz;)w`v^B|pDRZHOPp%exgximMvjOUY=qhDU!qbu zlR%Iv-h~|@BU#$zYjsCd1(X62`RT|ID176mEc%bP8fwN)IuP&7(i+6Aa83O&3n_nA z2KKNa4|3W)5}>!U2JINNls_{AyGnV~8Hc(j`cQNtlPfvZ9vkdb)T_rD2iLthSEl?$ z1IouXS+yFO&fNODs5br>f2&t+zvuFeCl>tgzfLp9^Ii8{r+jxB-dATQJTz|G3V7JW zT<QhE&WcSNe7#1sD)fPk`~}7wkY!;HTVC$cOPy&9rS|A)@=4HcE@V-iUG7jt!&k4O zC<JsY)fv<%BzO3#N{3X-mwykbW?2Ok4{Cg`b||8=zd1tF_`{8v=fYFO!^44k&skaf zMoV{FCqH{at4P(|(}8kNURnEEOLf~aKYLPZti(r%|J6l9@sNLc4R>g%6_55G&4(-! z*w#cdP8G||0kG$-%zIj9l({R_nOmljL%jJ;bvino>9nk8j3;{)#I%1bn<|7(r{7YL zi|zUPo&9=Th~Sbs$FE*8B=?pA(~xi>^u2pBBredS6GnV&`IP!u(h<X7`V37vlKV@) zPLqzz2*^paOQ<(ypfYBsyS$35j@KaeGV3ngi^=fSXdDC#KYeMUZV6C97(`C(toPGa z`M_r%?Y{0UtDOUO8H``I;eO;+W(}ehtk}Lc!n3{B{>3R_ogHKrFijKZq;FwMU-RjN zj#Ofd+wMWI*12(4A%7Oc6iSj+0{~?dvn=iS38<T8Rqtw|ZFA*Qr7PTN)wwdNt1B1z zF&)OxGZmMa=X}jn{Kzz^HCbY}ZJxhj?j%=UyA}OW;bRYFS#JtE4d_d7sH8?XYdkfm z^&c`3pJqqK#+G-#9K4aL;&6h^aFrgT1{M8n)5O#6=^~&+7NX0Grj}~|H5UF5kgDQ) zHd2d>(e3g7ZHtITm-52eKV_O6GHuppV+EJmoFl>w`S+am2X+Y@mP`ApNGw>E+SDTr z`Bmzj_GQQ%mfz0wR*|^3xc`2Q(d;k{o0Q1<kKxhmpM+D-e>J-GTigq<n;kOW!)~;R zEw-K3{VQAcU)dA}|2RgP{>e`J@UMvAe;M!oWjub48e46vvm(|-AMU5`e|deBS88KG z<`y<^l)H|kbeueEK`&BMFkwu1*=XaY^rdgoYe`Bm?|~EZ>(n&lJx1Osn)SPyxD0DH z9#N#&-MyA5ONrmy6DJZiR|MW5W?^`W&<wflve=1hL>{+nP@xz)tdxfjSmip027Z)P zXH%$p?XDn=7SVfWTk2yRH8GFJBS-Eav*TOm{xM?rR?+<xEB7Slh{z6C5&?UHkJ-e` zFi#VKLId~;-o3L#d$<IvxCG1Lq=DGqk%YBjM#4Tc>?_yzNa_{n&faURSjJ9a1-<y? zb2P##3!i0fCe=~~-nl|z{THzV(>M4+;ZIom$)LuhAvIDAHXyA9D66b?`YNz~tT0sy zOAq%UM}I=od2z;q=?6jChYGvO^{?9UN-Ty|%IeqYG4;l>^EF)AULs}{?=zh!Tw2t> z^X=<@yT!GXk6HF0iaJ!%(=cy$`dHR)Oc|(VV1BT}O*<QwU(LYKzF;aQlL76Zds(17 z4|~GpGuuaa3Xz&O&|gswI|SR<(Z#@L!YxXqQPx$3h0`|0)_&}7!XrqY$L=-9#rW*o zBxjCn<{TA{rYJX(*LG`^LM`DFD955OFBA10b&;lys+UiZMP;5KiWYT|sgA~Mkw!;p z-Xp3A)iBnhJmn~^lA;)-J4un{Bo|=lSi20z6`8}l@InC71#y#MkP{p&9v7PV;>J_% z3J1&|(t)-)3UGw;W*%G=U%}=|Z6+8p#Mr6|ht5iP+vasNs;w9>II<@F?_{PgGTe?f zvxeoud=(PQ)~HOC$RU|<0%$Jin>>SbgFH$bptUajMq<4S2R-^-i3B#9e;<4;^F-<= zvh}UUSsJVeAlw*-U&1d({`Ycacfvevg$`~jWnd_nvwB^4+KC+W7Kb-8l6XuKYdbIE z>=AuIMZZzv59_`|5dIn&Ao3dlCb$nED;^g@iqML>`F0Qu92n{L^un8ZFhhI=6G{MX z4(Y(!JOn(UQ+ia~U%QM2*p?F~cpcr6SXZAuaR8JNPCr(Dn*XiC6#UOY(^+ekPA)jg zNR;5UKVCEOr$XEq;b#r<mz)D>0~6&#Zz-K0eXg3b;>rK(qqUP%IiECktlZ0DOpRpl zKM#)W!fuOVX`L!_7re?~1w*pR5%e8IV}hC@7V@IVF)}b_h93#X4WAl?eXb}(+`l3C z)*3<Nmm-Vgz}G+>{b^#<5aVsbBxMB@RNCajixXLmLbqHs4d74P_4q=$-IrAj*i06o z6gege3Xy0<OxDz@WoV3KOi4_+r^2+6Niv|K3-FSRNm)#i3|bMowS#I|W)k)r1#MH5 zY>l`i>{$idJg(+z1^hxcuo_cn@mb}^AEVXprD^I^&11Wn!|&Xz%%d;#PMB$$6o&T( z3sUrjq1NsINcURzZpL@~CU-*3W%_7lyZw9cb?KDQU$Rh-&NSA4XJ&XT#<6}rvVp$n z^HgnKB1@n<KYM3Wly&sni+<XkV1?Oj-3=Iz3!2s{9Hc>2Zpn{-bia>qWW%?a=*0TV z6Vhq|N`QPo<1Mi|jkj@eY?vWJrluR%MhIkW$SY>NC)gFio_bgveV{cm9fadrVz0=V zZ~F!mias&q#=RUdQvd!)NvsR`q3!eM>Sw-H00KFZpm22d&FU$`L}&fZmnVdHc(N4f z@p~EJZLb;@pFjNT0zZ5$e{pvcjSG;c!x{vz*DR5*J6~4!G@I&u2}I5o{mT1^5?~#V z9MA^l7%VhWHCdqj!!K@%Pxz&o+zip#-hCxZSw^sUoI5Q|t5jGlwdl;!f&gscQq@K` zWLQ4MS=sDZ5UdmJ$8>x?o^K_3q{*w&4HNL{dcTqhrJ`rws1&B)UX<xN3F2zg?P=Dm zxvh1P4ctyMzdej9N3-zX!_V}PYWxQb@*xp*q8I2YhWVgAy&NB;5-jz@-oFr0dTCav z+8Ls$tQE;ITVmYv6E7M2c@k+V19!}Y{PdfMZPfRU$REaB5wR&{*Ipi?Dp|{#ekL%_ zRx=AAxJj&MND@+O+27#Yt&Tnu|0&THtXSie7L3kbbK>ucHLk1n`1L$3q3+cdyY0%y z?#l4<)YJ2NVY+(>KC{-e6N=LxARDFYiR+B7EVC1lvkk9S^^*H{)Z?ggeu&n5lJOHt z#x0=9qD!lWX2ndg=c7BBX@$Il%ehSk@Z<P>GD*`8CQ@FnFGk-a2p->c{n}#I&FF^& z+dRRU6en}uY<aakx@@`)TRe7@Gx|Mf-<Sk0=|1L(Ilo;-A2g#Ke7CUYTTlt`je3{6 zt#hw9TIEvLOOJikp6Hc3hZhXhGm9AdWN|^A-rL?et-E2DRg+vUUN)i{nN@D}o|Ay< z4YS?Ihc~-l^kc%`&n^~>B7BRwANb|%c29ps=!D+w#shmApQj(|`-XPE@~ZOpIE(5G zx8hhJUa67_?e;jA>TJD|LqF-XwarM5bIOh9Da$So`8Vl9ACo#^N8&yN1586klq#)o zJT6{;LEUj(CQ}(rG#AFrO3e2tLn)z2=|M}ctz@=$9sAJJerbgqAXcG|*1~5S!y#kW z=k*2*)PJ`tr9!UHtM9ifoeWeBp!1M=%!+&>6a{wEKglHF_tC#}Z#SUYI4vnZu_Tmo zi~!z_f@j&o0(|HftIb+<w7hKYGg87IhjrD?^iEDrdx<qVdN5==qaT}D;I&WQF3#T_ z6&72#gn!8MqpoQM-K5+8ETA-N$M;}s<-})OW;s4)`c$@Nrze^(E3o==tAMz-D|MLB z9`z1LzC6WOJl+j8DQXORpqa9Mqv<RTmbpv&)EMb2^s<Sow&G(gez1{r*aPmow$wcI zTQya03X%u@t0paTBMYV&!deGT{8Cgs&tB{0rUkHs$Qeo4y+!U^s7VX%K9OeKw%Up@ zC%!ZG#gb65In(-zC?|dphMq~U!2t15T=`J>)6nRT`%(3SA<c}B2EiSud~aA0TR$i{ z@PNuGAjHNURpQ2o#>3%&X2h($Zw=<HRmJ#UtadHDXb-IP;h^jQb`)=-K}Dc3Jk%U) z*!Qjoe=u6yigJ(@7)c)s3RJF7TQaGi)Nv&V2(SC27*TVRpnhWcWX|l^^d@#7h49ZI z3614>!vVch?jt6Ybk&nD&7nn3RnVZXFm#HhKx>uc#|KUeL>t<KnUPHr!%-!@ME%BB z-)H|+Su(CdKq(uxN9gHi`Qb#vSA1i7di4xCX?2yg&I7%6ncSn?DK3X~4oI|yFC40F zyqctxSksvtCF?_cKhP3xpo0V9yafh_#f{OSxZpRk2xI^>f`qWW4-2GYuF(>41VOUS zqHnQvB~}gpZ$IWzOsQ<4xhq9>ymf^h;`)y>|2s%(NsfVy8P5cI_9SYyJg6cIC#4Pb z@$NIT1>-T}LVSs0oBf-hc307c!``(~+g6lQ-4QOXj6V=N1A@xFj9l`Xvq`Rbj_(s3 z-|Kpp&UHz)i${vh!&`Uf_{NkCf50y&{6IIJIkr15clo6071tD~<g0D-$3QEmd-LZ7 z@mcPUEAWwg??k9HfdZI~0L3v?VJ-;fIx}OvnCec;W*^5A?IhLsPH$e04+bF-G8Fe) zHPPg&XpN_KhjKl<R4Rl0si3LWASV7ONtFDu(SUnPb}l0P@MG;-54%i9;ztjC*Q?9N z`*OWN*q+(X9ACH&y^U0KtFP7*;AcQ`9)FS~0AR;jx%n_J#IQ2y`G^P+lf+;_Te;Dm z7ZQi<lOC*ET%FbK_MIfVD=52o?3M*~g(zvB;bJhSsZXYxS#weA{T~mKhiawpzHBl3 zjMxC(Vk)!ooTeF>?usi1bl=AYR=!)KFQ39i0wI>qKKy5*d#3NtGYXz(;KF8HMm|$Y zStob*;*RGBP%A{JzUO&`?$S=~ef#rvu0{#6`Hrz?i5YABxNY`tlQ?_TJ@$E~PFd)e z!1`auL(?F4!ZXnG0uPp60dv~V%Nz7r(Vxx9t^0CG3`}U@#GXCi>G!Inm0J3|d-tN# zp)0UF?tFu-=~wh{RIwKLiHfANMJc%q@D$GH<J{?~H(%d|1fwtI>N@<ZGVE-c_DM6N z^)R=V;RknD`|}Mh?TSi=xLD(N#Sq4dyM<@VVe-tw`+_&AW!OV|0S(VygYUXerZ9yu z(f1T?LwQoFJ6*T8!kau>NvoV!CurcE3H4ro;{bc^X;zK;CQajj%f$7{oZMT+sya-b zfD_(NWSX`tNU;?Du?-fsv9!6Ti8W>dv<4QO*7pbOHS(&18YCi=_vOVV?`;+B&cisQ zyT`8bUGTV=Qk%FgcYXnwn&<H#8AXD)ygeqzPwpMtIp23hUtMH!U|>OzEUf7<50ejm z83~yY?4KnaPkxa@&g57n={o!H(#q<kue`_lzIfN=wyjCm<sVzsE{|<n-7b$mw)9;d zYqmmNO<Vg<BR4?jdJ9T6Jq$(RoUyC5p<mx0#|6$y)~2Asdh}Q%nu^gB2XK34s5oj? zj~$q3IErt2b;83u^O(&lBzvQ$Vry3=dbxjP)n4H41LudpCkN*=cCmiO?7g!JmHcMR zGy~C$qcIV1KSyI>11rsJPHhS<iMSqf6c$Zo<1eS4;<8^HX|1u(L)G)3V;+iXOJBE) zY5+Yz!oSO2*VCa!Q%4!rio2Lq+tGgss5CH_M638bpB<*HV8`AoC}&4#Mn>DjZ<a;F zml=9O(}ZJ&kGq&!JBCXF)O!<kD66L%b*QSxA4MiUUo&ZpO>^LBlZf)_QdZ7h@~Soz z&5-^An{tFBY$Xr2dGa7MdleN+!@^Xj9`>Ea<E@!Au26`oR?56}FD|Z70>S~U$7_@W z#RKbJz9A@iU+}fYv0m>au23ApDXoXF;|uUSS_hoCIX$kW;sH(XSKK=iGg{m`A+sXf zI}|fz8V@eBjQ0yFlp~*gN^o`P>ZVYcQ|AeLU2ui6YDc+ZxltFX>bTKhyRdrHMY_6r zv_-Z$SJXwyI#)D|pB*u@b_kFb(HTZ^bl!)|4I>-3>9OKJfaVviw1ZXR4p=Ul;KiUN zf=vVPV(=3ACKK2Qd<M`BeTU#eJ4hyegz7>M_JnVR-y8#Y!nHCFE{hlQ)B0rP#4y*K z1?8BxeKIOFe^Wjm&g7Bz{|ohg28IFtEC;1|f~f(rz_{Rdh%Tg?h=cOrXy6K}w;WtH z@&e)lya2*iEEj@JT(Bb00Uk;>7>eLUG`I!WhHaXz9R82}BNQ7f84=Ur#Z;|B-%<6_ z?#P?tN;u7zd_)B2Pd19{cxdZ(&(fLc{})+k_|FZGgBXc!wMz8_Zt1@tYP3_EMmIA* z)Zy9p5z|97NWhCB?=W11z>A?BL#k_33Tw$NE+sm_2^cQqn;@{QxbdObIj+x6#cf`4 z?ljzH9KaLan;sl^Mj@C@ej8JbPB)a-<)YR=x+y1A4m2i#lJ)LCAhH!88qc*>2fcGc zZp9ch0v;hliJ$UPrzB0H_fI?rFAPj}(KURG8MGyuM2eW@cMDnsVJD`um@!pEgofN4 zO_rv1qu=J!gX^dfEM$=f;xOlH-V_Dkf7p0vF_6ASaF|Dh(t-IyJCHW30FDT)MLF|` z3I{gJ8%skUCWbz!Nq?Bw*fJF?{~j35WWPnv@fp`kv-uj?Yr?>5LJ+oxjjWE0Y~tJe zlQQlu^gWLp4?quOd;YY5_&wfxj7`kJQLNUSElD#L0>Wsd0rTKGJ=6cd7-?fVI!(G2 zy#La}^e{G`Q!^jmZF+}ge?v0ZjU0(iO3q|9eST>OZXOlLHqM|qy`5k;#e!{pu~Z+i zHj@KzvL*EWB(0oT-`k2Tod^w*h#R9U*gFo|19Q+^>%T3raPVV{jKcp1u3;5E_J{V{ zmS(5CHouVc=^W{Z`})?9umEkqI)pRbG=}a!@tCzHyBPW^sh3chLk2JA7or7E&%ZG2 zO+o5BkHSGwi^0b73(K!`^Z5d`FG#DHzEv{yzzzkbb=Ct~KM~sdvRY)k${s{pjvivA z|HNVpW4dBZI?Jd_6-nQcyw0hK6&vego(4~1h!ECcR>Y-BUsCUIKUPwdr__Jz3q+^R z$0p533)ZcMinNnL@DFq0eY}z85t}^ml|FU!TdJAoeB@J+Bq_;@othZ1vHs1|;7km8 zi)Eh~!Kc!9Fgo0?oD_v4MN*O*t2r^iX#M+jgEKnhEs}j^2%id&q{I~d&xZ}mq5j4E z|I+Wl99KLbP&hymA<@UN@1VO5VGI>Dz_x#fX8#UpN`^hykTE!3y1h8pNOPi^(R%K6 zgDpCQ7wIrP#6ua_UThjr;X$ZDr&9P?xJ;Kk5DP!Q-{#l%FG_ZGad!r9csv~0saRD7 z=)r%CeCodqt=%)B92@)Hc-#C^i06*Vo>0#rZ^dPDlXhY<T0gcEW{l%}OLEVDV(&NU zB(lFk@{7PCw7wS^uc;@@p2LhpY5p1Y%_Q3wCLH)0GO$uWk|dCc2)>3qEPHDhu2t5; z+Rsz0!m-BCNqjy&kD2&<Z<+0!bmk`t>~_@o&KXF2lCX@HBG?=x-!$iienTFSVw>iY zv0XOVUoq=z;Ky)z{$z|FZUL?pbJGRv3gG89rTC^xg+j3TEre7LjDX={3dCj^3`P>D z1)vak=_P=w9u+?$;JKJeU@Hv<qX}?OqR?%A3nNVfBjEXQ%j09H(Yt^nNDC=ZYzBeE z|AELjsv6&k{U}|)A*6)>6vjay`hOt2*sFtiLM_MSD#oF;sJ8>^r@8r_cEaR8-j>Hw z&UfGHk^U~_3pah*D{zh}fRKhfRK)jl#(@-3FKa|eVWz5QlN!v_^lS=!Hj%?jIL{_o zm}!LqW&*?A=^jU0kS3dbdz|t8O(=`c2Q!Pn%%2Er122wX%SvO-cl+qVa?FtrOgg#a zoVBE%ff@$R2(XyvI}`?su^QlvW3qw8EN&3WY9DCYlL?Y{F9<3Z`ITq6VyN%)CSR6e zV`p`&+JzP?<?L-v{CpS<U?-IWebfKO{qeTIDW(k03T{<ydO)Hu^_j${i+k(RkTa2l zvr0$9`*^V4VqE*=H@%Kq<5^=_vyrlAC0(+CP1cNp7{5K53aSsPp$5sWgVhkm;JZ>v z)z+8HV3aM9q(0ARHJH^GPVVDQYBAOlxksjs_nXkQi4##MlD?nwkDVr}2et|RkF@$x z%6S354=__xnCbQjzH^MgB5_Do-+8=bz{o<=%LCFbmN-tUMaf#%>&dqRnTM!7W9z3d z7X}ebNq{Lt$S}`am<P6WK<7sW<`@yJoChCD^)DQkYW|n|Z}SiS0VJspee;qR3pLw# z+PDMc<>LV&@uidh^z`!6!|EdU>P^OJhtMo=l*`F|u+_KB4)Ww@B**EP-VBhZYl)n* z<TLfoOD2{_X3J}RAoR7?xR~JnSdRXWUr=4S?{71XvRwb4=F~?J`9CwY@(p15blNx~ z;iW16#H!MjyZ>Vge7P0zxA`EX?)~dI;xV=q^h+|zhv@*R{Xr^H<MG|wq_1y~+Ak)1 z9K!9xnda@2TD6X!hMhmob@MgZrL2&>r7sGTXjpJ!#XJvF52*bz_OqLNsbVy(INYg* z`hCmXJ3))u63X7rKd<<{y<9gwL)&-!$dQf|cEKs-H6qb_tI#POc9A%5-s?kz)z>1! zgFDb@LT&c$jvsfxU7UJd|Beqg+ualfD7?7ljjGg?Iw<_O=I(OTd76DBXjy^{pC`)t zh|!`T?VrJ=pJT(MViV?Z%cp|Bst8otSP`-HQY!iK;r2G@Qd26yd?b*f&rTUeBxvzO z?|Cre7e=iK$+_pBhkn>l-~Nk3*b=9}%xgp~inYjRH`b%PYcGRFBJbcwLk0-ZPxJ@{ z`Us$S0qp`%phAIwV+3dqfC3)s1ja$S;Q(JDd(#XSh>KFaO#dn!S<G|CSQ))7ar%xS z8{@lco)_Ee@$ijSHQ_g({)<R^jJb~G8`AM+uupsiY10TujtF%C;2?XW4z`DOpbSbP z<h?R<w+?%->`8~JEqj+932S+hmkZ&{WJT_cNxE<6wVnMJ+1CthxU}0Yz5>7ERV`O1 z#o%42-;2R>F7?N+Tj#m&B?L|`k%|z2qNtm2kE7iaqiFtTqPcDygONc?tKHs66j>FH z<k$+4A%28|{`VQWlcUc5XDhipxSQ&Ls|&}+WCu2dozQPstE2Pdx(44%P%vm8`2R$_ ztK-KlC%WxRv}T!NQyud}DpW%oMW{Ghbn|t&O9Hy50*4gHQKQJ#d_4t_Z*{+31pCO$ zwmYRZyV%nvMfzGf1s}pgs{uIh-uz&c&<+z*ZJ)@?xvR+gOSo2w!OlEVguF>)@uG_m z1tEr74$!AbJvCws1q+}J3qveYQQfzr#K*6eZ}U=IQ6#GGBvgDQRqkL%ke{3>96PIv zy+pP0U?W;9ALSg~CL|0SCE8WpKFOcb6Ct#<A_P|p7<pzF^}9zmffZ}c7VaT;sS{oG zlAux}U&)3+lXxg8f~zR+FAl)z#eCX%T;ZR`Vag><p13kwDAL<xLuyq79%+EvU;o7( z@x=2~iGXQE%B5Xo;_wgHx3f+GlqrRi(h*}}SdNM$D=B;(toDPYSVWDK1$^(PBVr&Y zO%3bzHhqhe5zR$dA~mFt7Sa`Bfm&D66_<(nPIuzlS(g;eI{6KceKgg51ZdD&BJ=%4 z9=?zNV6)f=Nv6k5s2<*){ryjLNgIh+72n>MP0tT2a(L(w;1~|729{k@kcg7|8Ir{E z9|KyHG3Vf?Bqh0@VgEj)&F&<_85Sl*Bu#=fh&2=>6>Q`fu^5ZYTncqxa8VV#E=&qZ z(g16qYbXE}808p|G+9p0xA&_0Xv8)w*LPPJUZ_6N!RmKdm4Ve6Sf%Kl5*vio<KC(6 z47lt^EZFUN*lnNnT?+G{lEAE!^J52Ct%6lESdBe7$B|Zja)jCGeQtFzRG*A@c1>u7 zANu@KS?@g1wL4>`2WV=`8;3m@)vaj%0-CxN{a@fz-~OldN`0H|FHovm;OWgkKC}mw zp5Z=&P)_ODUqvXs6yqNywbU7{5_I@AOLBVmFFbrH<^J2c!&A3{<wO|}p7}ZUO`VS` z*&O;71g;$XQodV3zf&wh<V$~~KW2a67b5(5%J$&<`!`Uv05W%*)0w56)#m|*++hCb zf56BN)cBb;GM^^M75k{l>-8SOIT_l<p4HJQOcOUv{8=ZE2Ahzz=_Jq&p^0LbHF5qi zj5T>4E{yf_d~g_R$~-WPHDSIdj5TSVGK>{GpBFZoI&T&>nm8{Mar@ign@sVH*epEX zr`n^4+cV?ikM(_1do?Z@7aD1wZUuO%$B*)f=d5B`ac;J;N0Gd-|Iat~LOW15!vX9s zyhR7k#EUg-Z{#GiZ9Vkz5QiyQKClhCiW?(CHNdGs9fX_G0Cq%gxWO}EHVV`_Er0?I z$_bZaW_*V!LQnAKUtg*Hv@XH<jv)f$yHno(^*!5vi3vaZ&x1jcBvA3j&JC|zV%u_r z8Ek%Zlyc`0NE=m*zQySKmY4OjGCwMB?3`OpoSTZ}{4<@I?l;%X#2h`H1FTOx-uYn5 z-)H_p!b!Ly+P03Iu<jH4AI!XYIMna^_ix|#J!{#Qkg|kqV~s3h&u)~&4B2DsvW=}0 z$~r@K#u76jv`|tQA(LsSgb71wjEr#4yg#4c@%#Sn@Ar4#|K0cZI9`YAah=!me4f|! zI?(hw9j}xe8S9vAYiLu2w3eUAH=7fFYo}$3ZqapLuItrf>%oPJ4Ljc+&Zpm<S2=D1 z7XHx&p@OifK5uS)oehETr-(}-YhA!KSMriIqk5U5V#-fO*0W}Pd5Ts}YBb+?KFs{~ zXJq}|Igz#J7ms%ioC~t_PZym)hna!`$;C9uYtM&AG)ewBkzfnSll`PKuU~H2&8bv) zrFEY><cn=>Tnjq;{#KpC?A$ZH_jmUdH{|UnxnFQ4hyhb)=c=3n#m@%ilZE^xKQmDZ z8WG>}GgXqUW~Ki<ZvT7a`!)9BfpPuKu0P)bY-?wFEB`fW+ayJvYnTpMbo=*c`S0=S zzeaK8;foJH+|cIc^OwBx<3a@`A1m8PI%R)k0p@q1mK&Bu<x=fkWca;DsrD`CTy+rD zcFt~8M2nifp#sW(=oNJP9;Xp4VUtTU3Nc8PgbsbR)QA!6w!8v4_iQ{1Np)#i=B6&@ zQx`XQU*-D{&QdcML#UaCdDN1+r~JMHl&D4Kf@zD&v_%kgQHo~kP0Jjj*$z@|dug^r znynXA@2b2-4GB|2r@m%)TNY7OI>|L|g8%Gyy4CfceLhj;rwV@jZtwZ$I5nl}RR0g_ zSFitb%1dhhI?a>wmj6uKdjC1(jZ%SsCBbOnVC*L1GR;(&oEQS2>Py+7M%o%wpPpBK zyy`URbt)9n4rBY03NRYbBDqwkxHBXzE<)Al2X^L&)9%J@*Mhk@4ScA^hOl!~f>A?g z(LidkQmQIk?Iks_m1>2jerB`0qQea}im~H=EuuAlc54qaX(>iM{BLTCo-i#%ohJ08 z3H!L9Mx<id0(DSpEY*ADAIESiN&Hjg*#=@Wp9UMZ%srgLzS@3k;XJC7UbKCB|Dl1} z*F8+x%hJ)gPwbsJC_bco<J9HbM!o&F6trT)Uv45M@2KUqbW_)Kg=uSc)U|)|OsILb zUu{7f8Fv=U)o7wfs%VV{vx3yyBT7@-P}Ntc>N<aw-w%3`p~rGyBSz!%7a9Fpi%uIk zI4YIrFo=iE&mi7}7G5_igk-M=8(W2)<qo|wA6a7^R;XZBm}}7bTe+kAVl%&+b(D0A zjtH|3XoE00;}sO>RG+H@UT5p*KHbcJqflV|R)>~J^SyvV#VO?uEzRccg3bE(r&@H@ zSaiysSWW3$guQ1E#as!opNM9wF+ck8S(eDRWzO@$c=3#wrgO@3U4DhL`;r;+&o1Aq z4z}DHJGijFAU<94^isP6rfuXa*YH;^ckx#XE(eL{{ZFPAO8z7=_!qBiY9$%LI_nP- zFZx^W?l}!hzh7WH7%=g-{uwJXygj)v^vB>q=iu+ybN(mMk`?o7h|~s1>*SNd5x9G3 zk!t8q|1a}vxg+;2ICsqN&DFJk#29bS*Q_1({!9}wUP!e{xeb&{wKBGDvnSp0yA;#q zRWW}&kWUsnYF@er?<7N{q$y}wk=Ds>%L!-;=h9R3@5Q?x{6#686yY_=702Vl=EbU0 z6gw4%nS@~n&R6*lex}C_NxP9c<A;hQ1EhUPCqJ9EgxBs_raF^3eq&AQy&k>^v8^mi z+EU8a_X^{>R(LWZMVMcUeC^+r>1=FOIv*3E<=_=qknmP)Q+fZQWjPCeB_>4r>6?-D z=byW7ao2iSAXQH_CmIKOyfgjI$yOPf8!h{WKdxbJ@NQS0z+i3{yTIVXt~U8N_COCC z@TEYL@xQ*d*nNS)g03C;IQ>8+!H*4TzGm2)0)zLvT;$_~1DkBY41uEKr#JKN_^Nfc zu^T#qO4tUiKqc%F5xJ?+{n=f14NF2V8^;s-%evkQ3>J0ee;;yTuaxh;dB;~abD~pe zOXAb;Ec>BXV<cms%;hbsm&OmUTrY#|wi;zu|1xa_zcjv!RlhT&+3n2^bp)aL$;i=Q z0dmo3xY8CR4{wI`5Ev}!Ld(aA21eL!g}+?7i#574q|ps!huVYo`N^%L+e%x)c}Aw# zcLLBZ8~q7-Xl|FN0{L8^zwH+POTW9=dfKZw-mpO(K*fUO_R*TtTc`4jE@Ni}ps=nc zd9rq(qwUtImo;~>PwvcL-qL?5U5@>I$4IeTlnv?%(i9-)j{3=N1?CN1!I}#|v${0p z$*QzBjp)$cG_py1(}*71pd%<kAg*(CNp?#*Z^#~t7l7t;4a$=>0^@DBj9wa-V-N4( z&vf&$4f=wfuvMybf4YNL?B-=3bOXuolOK&5D{i^uB?GWZ0*4Q}iW&E=_+OIyJ_xqG zqpN7Mb^C*aY<Jk@h^|qj99S>UUIBb}w9#^|c7-m^C~(jQY!GN@x0RjiwL(w5xhytd zmcT>XEyb4<yREfcik-~!@H6e5qu~ne#iQYJ?P%)F{b&b53x0F~#q)hM2gM6~^aaK9 zf3ye13!;igx6ib<jczNn=Z|j7wLhWWTd)%K-g;8Mhym5Erx^(Ww;i54u&eAS2h@h% zPz_KbG*~{DE>ccC8&GWV;83Is-HR68)P7v`N=yTe4@ff-2l`!jE-eR+$TN}#7U?~A zm`gEuUX!bwsuSR7^`MQnt4Vg^0vmRgf7OO*>rw8mAp;p~(XT=e)u?+`k!IwGJ(oAc z2P^_U_bX5~Q4P?ve1H?~DwmtE<HV}*uMSw_8U~i_K%MuOY6PH#T?heab(axCoZ~dk zA5=Rd7S~@Do$P?U9yLW_4n*4&$bt7urlERuRkLYBqCho~v>_QF=l8T^KA_!3+9XWb zL^$Ay+{7R=Hiv&zka4R%clR+pInv@_neI@&?pYibEjzK06DtffPIzuy+-xcFd^opR zNBa4OZ0KdSE={>mX7;X5*$GZ|>;iuu8#7jqzb}{>i{|eeWyZ$y_sOzg_xbzMSg^eO zeM0ouV*WlBR;(j`-!)e3G=JYqR%}(S7atQi+@eE<L07ZxZ%^7}akGNWa}kR<m_h)j zTxhyrmnDDSA_MkYu9qA$__Bq13xkz$vR^tg_^O3EmH~B%cGHdofWuDD-7V(o_yW}A zCfYc#o&2jqEMPZ_EC3zKppHS8?y#`SQovhq=H%DcpfGmt)7`POkFSX@*Diz2Ejpm| zWW&Jdh9#LhllQPzFH_2}iZ4^{V}oC&JiwOadchdMVHO>q=~3!+A{08DG;lKm%X*V* z@M=-4zd&4x<ifBlIQy^eQAL-8JefOCZ{lDXeaBaGZ&(gIaAgJhvhnS+zoytzyGt_c zhVCgR#}?Q}=kc}d5^}YAIbBb#4MejW`ZsD7cRf+it?lX-@HWLheI6YC5?PXP)dHJ! zUNfKF(0Xa`PFJ)7>Ot460;-@Ze|61=husG#$>DqRechgHRMSPHA-9}a)8J<wgcCXl zw6X5Le36lkzTWLc;?Ru;!t)EAIH<?$9Xz*!lP})>0dsC{E}M<OtL3U_9xA5gHV2r# z*I%!Sp1KNrdy0#RnO%VStqqy%1D*DpZrzUFfo|WP&bjdVu;F#*dXnl+P2V@4goM6O zVc&|0clqSWcY;QAi2)y$xXIyN@mWJ^TfPB14A2|cXv%|_{w19@M}Z-0cNyMfWzrc8 ztN95l<urj2!JD=uGr&qp!Mrhx&)H_d3lDI{+#n<)49(*2Y|{<#lDaWO#C~=->yT)S zH^B&jGu@%bi&Ml1c!amv4hvpjfH@|bP><k_xj^tmR08zU!@2Nm17~6w3H9)Ia65z@ zJcs}y$RUc;j3p`O2y6z7zMKP!G2Da=3^QgP{u{wa(2hwa2*pT~MpT;O0Xu0+JVV@x zY9tZDBxdgxGBseCX^20Ok(5Y?#e^XiOgpoY`M;034^;`Jm{haQG(Vmp&Z2%Uas$Q= zE^2<lKE#%IhQvTPz?_*nWZn7=Uk9A<97)7TNjB-m@W%vZX)JmU7j92}UtLAd(n$p) z1QCvD#%?itQNB%tkWUBOUI__>7Ti$7`kZsQzgV=EPQR8Iy`3o*!MDN<dS+Ij_unJ< zS3-<>jizK`Blr%vK_g~cdbvj|-OH!R68|lNh1|>4nNbPlcOrl-fTOIPz#&o5@p7|g zb?pnU$phrUZl7oK6C)Bo?nk}3(x0mgW$^xiT?VKzd0kaw-Lr;#oq|chjs-$WSyx-d zc`t@En5IIVkTp?lneObpE(kjyd;cr5R8CSS>nid`9BYS&vZlFmyggb!q|j7_cfxm8 zoySYf$qS+Xc6|;H*ks}ms;It0eu7C%-xaPXy_4t}l4;tuw+*gtcM<8CZikR7H8B-l z{pF!j(5r70Bir{RiR~*gk?p@Fq26zTBilR2aB8m(7QI9AO(jBqk=ckrhz<rw`xs7c z?gaqHwCI{YVgQg*@AZXeT-gR`#-h>YlJiE^WO5v=K6`z9X=&u!AM0s7zT)UKXjQ^$ z79!+{aTWb`l1e1_m*l744P)K&(buL$bAu`kSO&~j^MeN0$E8~<F2>z1+Cp%Q0-OHU zWUQ?gwT%I*hDc!+Z>}cS9yYj_ONDOkgo(kSlCI|PidCn*l6O*iUz*GFG~RBUsk3{M zs7!Lhc)-H%&g%^b&OH#ETWEXA?-ALV8OrYJxI;|z87usA`7<$Ye4HnCulZHv+@Mga z3#sQpaA#?nQtOvnLoJ}st_AtUkuC~PKe60?|4D8{)_T~X=r2tAKvnYVdBT~Ci=}Vn z*2BRM4R^z$zJHCn21IeCy6slHyVYAIy<K$9zUbDFq}hXH<AoIstBXD5cjGx!CXSa< zVvC<TN&muAa*I3vIM1n4#>^}kxZMa&rh-zg-f%Tx111a;>pXu*E`XI$d<X6Xf5CCY zin+*2l*OFR;$hJKXco&X-i%34dwsFF#`H+ofDRMFIxpH>3pivHUp?L8Z<#E-K~Jz| z73Q3?MjX){eSv$z4TTMOFw;D${LN?po<4a8?wp>eGRKXvVJ&5!b3q`}hNGPr)2G~; zIpGmfb58Il!W&!!3055e!j&vCGpR3AoHJ$sP)(P-3Rh&PZgsLY^*3m4F;!BVqsNHy zEV{u3g%?>d>pY=~7$S!hCqaqzRC<v|vk`n+a?S@HB)lkrY2pbzgPGyzV<hEedU3=& z20-a4ShGR)n5O^;ee!p>JA+g!rY6le2D8l|)$Sx=Ds!>9&y-cAmleJ*)th6=syru- zf%1gPVLCXZ7(=o%$wJLJfI-H@1v3cq2GU8*bmwA-X8M3?uLK+|HRk}I;^<={m1UAe zno9ucbVEPjWQJI$W_`GxWN)#lo?47H{0Gm*X(wnF7koi#4hWCq&|xH1WE!x~xg&h( zhc@8J%%Sy8E~cFqo1d6=2!}EduCvDSH<tnm>4(0<kqmvV&HQjtsX2T28ix)ODI;@$ zbM6X)C9NhNlfr;+#sJeA^_z1{?S)ktNmZF-(dKSILt0HNhMqykwV4TXfyclJem)Ci z>S#zfoo*|n%0haOc`S!v%PL~ho;PFV)b>Hh(b-30&M^44VZNp*8WNn+k?w?ZrX_rw zyYE(wIF4XFce$l?AeUdK$n4y^U!d%LUmwWp(G=1@uKP{+dU5pq?Y3(u*d<3>3q3Y{ zM>`9>{@o8!--dwC;zQnUDEdrK7PV{47kmW3#3@NuxN<<RW>$zl$IP%$U9yVBp>r;| zfsbf+X7RuG0&uq&_`DccR1EYf2C5bV{}cgV7Xcp>0YOE;b49@8Lg4#CU_~J?q!6fE z2xKY*ekcIK3xMGTz)J-{jshSyAJ~`=jL8QA@_~H$z$F;49R^H<0c~JF5g71$9<V15 zn3e~0$OB5|0XK4iPji9Uxj@%kplt51uf@NTi+`Oe{?%9X3t04vSokZi@Rvg2uNMWs zd<%XZ<o_zo|D~1xYZCVBChQk8>=z>Mm(klFN(jeWwS0%algt2Q&!an+uJV7*UmW*n z_8b8yeR0`)oKG3N{CiV6iVfJ89SSk@SQ+7=h-+7IWlHlHiBUocYVcYMiRXFS!A19W zrhi8%)P<54G;b7qoE6Z%Uj|q2WwNi)Hxj&2#b_jv=$@sqp~kzZk?cA0#S}Pp15E&> zBb`HJ;RTr#_LwYyAl-ZvMuEW>iMeg6BQ@s_e<vi)+MJ!q!WPp42%>k3$C#$GC~LDg zrDtjg69lrX7+criW(>aV7-iD~o`Eayd?5<I(--&)2H$23Z`uc*0Ux-b5apCpGAlPf zfgx*{^+6Oyn4!88V`G}YGvEyWEkxnDs~&g_(Z*z8jfkKp#$cS%r<@5AriPN*SKt?f zDB_qnR$q0@O^#&dkjhLXKS3yKn5lQ(Y=I-@KER)D@f&=T$-oRTO%I8~+)593At;&d zeSY=K556Kq;lh|~+sH8)SRowgAyF7^2B`*&Qkt#-!79DTi4bHeAsJI*nxjJEhwt$W zT!otpQTQ+htaw>Wst|<^<Hd?s#iVl#@rD#;h6{$IW-T%HE}Ee^WAXtP=;mV`|MH}h zT?oFWse^KO6(_x{CHme8vv~G7O9Yy}BL;(KAhuz=(~hGsMhvm81bb68$(T;lLuC>R ze3fUw5nd@&!4o37&$cZZ5|QP{+WTJW2You(nV@58Cq;srLRCqsa0Q-38@Q2BA~OcW zYIGK}%`wD9aAY--#e{PVF@@aAlooEz0%$UL5#Vo_&T|aWg`{Uni-ve))v#(Wn>n(@ zWCCXCvbOU~RGb8}Y8Xj5h96uQbesuSO@B+0dQG{75?L@ltVVK9EdWPG3f^p*Lr9Gz z1YedURhcfRX$w0^#m7%`##94b=;q@voD9D8m}{n%Jc&SfAcsAJD}lpo-!}H>stOan zZGMmcZB#&u!j9nXnKPDdn@IeHElE(Z$EksY35?_Xb7^9V{$g}g@8RAv$8Q|gPcuFQ zexMWh0lAdnV!R=4$|D*Q*x_>n!<?p(BmH2j<K1@Boh_foH^eeN!rG)_9-E#bCQEP; zI0%UFoce1yfQTG1!|#a|$XG*3b;CP}rxw2T<9FaeOp9Zg;_&ZPSw+IlWi^S)+m!Rq z8a90qNgdA$hgKo&lPed_zK&k`ea)j<@{Ef-^U=8x`Oce8p7np5RB{Zic;_IU+Pka_ z2E2T7)>o0l-S1cba87LBm*Fn)EyC2kg<snbBQtzom*mkHNkc}_myc`YJdPTf_3nNr zh*<zE=U68^J5C*E-Y+qis+iadFi!K8cHEX;i7kbT_S3Vh&cDacjYVOLXZwv;4I`W1 zz;`akF_(Xtu)DS4T+sinrtb8HTGJooXXpB+B4I*-Z&cH5CQ1rnup}M`8(v`%3oSSD zEhNb5<C%u)p|_f$2$_sw&iHsEhj?vqX;#AXikISjb;eP!+7JB;iF!PHw|2W4#7y6q z?{(#<7HB*$KWfhz;2bnzOEx;@EWRQgY65Lu1V-r3Y2MT&3v7mWpBpgk@}C87cjP@{ z`~+>6*b@K;S8xxH_AL0D82ERs&u+OYmJOl4H8%xlKK|5k<t!AUFq4om^l<9vmGqWh z*wq;1Q$Od0)T*|?m?9}%I5M-UEiwj0-1k^WpPJV;u=D9`-K1L&6{)^?NSf~(iPkBe z;#=6<*!b$BUOzjwmpwp^M!L`3{FdnAi?42rjcI+l<m{oh9x7NZi$u@Q&-X7{DdlfU z#l}V=<7O^@OZ4!qu5L??se8KQvM@X~f5yPfXQ!>LBsvyWB)Y)5u|e>uu3s75Lkt*P z^jIKxMkYT-CRepVV+x)c13jSY8~jr0NXPj;bhH$olmc>kZhoqt2UaAsu)eYQuAir< zh;Ko3BXrXz$0{d(^IeqP58O@2MHMS^J1ub_Z0448LG~lDLM<)vAdvPz*Td(^f<fAT z?5}6FYR>;Gg_E2!_*^n>`(_Z_GcG!3bULUQOEj=~H{||kV4Juuc2bobmt&pt_Q_%E z=MMp{UbU85HUG~g-g5gyi$&`7Z4s>{>w<m$mE@m;LM!$BD>Xr%qYI!#zY0K~O-vA4 zHO#e|?=%~3-?&b@9BG%Bbxm@kwN=i<g(I)j){g2;0)a0wxnxR**Dq5k!MEq(_@KrM z-*Wg$n~=u`y7&dU`uQhK#<~Wc4o4j+4mk>k7?32)MErPs;wMHtfG>$G^v3$+o=d@; z%jW`@OBH8}f8u|gAcUHfUtuX*Dh>ra_g#`YuiyQ8aVz&4;`D2L>6i0=c1BYx&vPwL z*KB_$nBs;W1-}U@xOa4QYmPwoB8AlD%te_7HCZ2W>Q20xILCTAzxvc3AGkNCG$7Gb z#L#fju}h0`0XN@2RXBiCjE&WaMb-fIA`4wS1lKo&V}%!P$Lho(mw=*?_O2ds>l=cx zA`9}dIx)!Mnc;60?MRlXdCd)Zq{@bBH1Zf|9cl08(GtyFV1VV1+fa=}n#~x0t3V>L z{VdkRF&%T?v5g5V%)-;j@AY)~`Qz1$qq4Qrn4<pkhXIjC+x-ULC-CKYVaWAGB@aL8 zYlpo?9#H7g%J17th>YsHZz7*0F13xu)~$c|?Wck)xl~@W&k<R@)!(yDB;t3HyrVy) zls}?eDEWOH7-q9kvFdX$b171)1^H>pjc-zT0k~07kGwMTW9i6G75ixZVz|dnJpAd@ zu213!<7g~u{lg(q6B&KUgPbz0s%#SBcaY!`wR9$<+Pkp0p<`@{+{K?!-Gt>vTKy@A z7oEnIY?u#Qnb&<Dn<ZOph_6wLxsbnX3;@l`K97}r+i2<gfXEGgwa50T4#E5^oAr8m z3bUlsaO$?WG~a?kO`G+$__nA=>U5t!6$BUPrswfB`#$;+#k;?COMf4SpCq)cYUtP& zIjc|kzKY10bZ<|In!6Ucow&wEZUXJyum3|jcrOBO0mYV>Ps5`7USG{ncLA4w|JnY{ zXELHu>QSBNLrE9cs<!zfRV>HYhCJ)K(0V9%gpFJd>MMB_4U5)6)iQgxcmku+93qjg z$t3}8LTXodW>lu;vBB7jEuM<{Tu(201XYFyHG=x?Gp6TLGj8gpsJdU!7osjeD?@`? zKsxs`X$$=-C{Tyz-uGKyzWH>7_jG8hx!;xK^Qmh4YTqLa8f#U^VG>;rg$hElJiGsX zhkv2%F{6V|O+-G2nYxg^!d%(lX`p|O>MJLygq0j~ehVBK>tFopc^C;itEs<@zUbj1 z8Dydj`($ib^jCdqUfdd2Tm&+7$?K--#J?*a(mR8#RkbKoVdY<7mx&Or#<t*uDm<IY z2Ob9Dob&jfkM(=4?PsVvfx}d_Sg55|Bvr70G1Oy$`n3G$zUdt%@5k6RSCHhbNTedA zBOqV+P^nbxyLVMvX^I?HU`)3`;8jvSq}|XF<U4TbV}ruSWW~Y19fR$c6<$w;wja87 z&BHnz6s)F$i$A**`S_%K2k7q__Pi6vU%#xX)#Fn97ZtE6>|JGBK%7&ilm$o#9bPCE z!?yrQ_Ahi*QOc!a%T(rmp{s&AS1R_E%CRqwfnYOLt=BH~gs=>VJ2*vEYl6yc84%!B zu`7tJ$!f-f3D=5!<_hAYayc`u5&PK{Bt~PfS|4bnNq|vb2R5vXH5f~=Q^<}AUfwy_ zO$jR>Sr*?-F}df9+SEvG5_kpQJ4!i=<r#Bt5MV@5Ssv>!=H4h^PGe>42<)iTmMi<? z{$YAR0<%8YQdLXRYkB7|qd~~K#+ECWx=<5vbF8dEpuT$VXjsh?j{V>YdKOJSMOF=X zb+XW7H@w}WRVo(MIsH@ZMXL(xOsQB5mF-?|2zl4qat%)VJ!f7TIT7u#<Hle2Rn=ni z*ZdOwB2*QnTPl`aYt-}C6@Ra`YZ7<RKd~G8_E@s$>bC-gDI?Wa4;#*FOFdXcZbW*A z&ARwxzT)}U2lb8b=Tv#JRG-B6%GWBaGe-@iuks>r&7q5%{IU^dxzCu-?26nO_vZVr zCIdEr@9J##(5EeyGWZfW|I3Mt?SD#JBm#Q%vc&F7(dJVR_|(nLRDc1!9d|<hu+0qc z*Rji4INIjw=JRn2{{IyZFaJ;VNd5n>5^<EQS)%G6c(5}#@OOCU?(+V&!P`T2GGIvq z`Eet$YN!yM7seZFwC2M+)A22|9%)_GW)+kFl<c}-KINu1aMcGgzt<HlY^9eMrWtEQ z*g&=o4TKrKaF`8U3RoKV`)1(lEBe!F5w^({>pLa!Y1aM6yv}%a{80ST8?Xy71=&gF zxby5qi1V|G*dNvqLFbh1agXyACZI6H#=r#8jn4?&X0<VJ#TPe`Ss+#Gbet_=<S~3R zGUT8ZI`NoP)T4iC=lzDI;$3B5jX0Mxf?^Y6yD=!2i@x_m4W7FB9sU*1d=+yLcNfpo zi#v|PO@|*YLSzPs5cJR%stI2chQ5I#K8k}5;A=wBN;qO(9P}ApIt*=%Bi@UHKE+Fi zqFHf7vp8rPp4^4oj>FA|8{!~JgTw$dk%+3sqxx{Vak#~B?@tiBK}a}yatqah*G1v7 z<Gh2>3US_G^d1p~#8aoZa84qs4F9nU$1pgF#`WSSo-AqNbd`z+P|0&A(7^>Y1Jh;K z8oY0TI!sna#4~9A&|TB3v>f_t^GV(1nc0D$VSM+lMkhgI*7dzhq_z82CAVVKT>$j% z$;OZ?+NHI_vurBwld61>(?eC!=nwi@YHD6WI%tj0;0ftV*LiDtSVfPAxA-ftmoa4v zP}18(OJas3yx28vg!+TwC#;@X<=I+3ry8c;5F%p)=%!t_lLtG(&<OlT6b>-haUHF* zh1&4Ob~a~9yQiw27!H<;^ey0L<KhPljyiqpVFoNGoyB2MtsxN#iJo;id$DL7G7k4C z-0%~Gbr2GPCT^iR@ThLw$2i<Xxc4F?dJqzfwkM*x@w&aZ^MmT$xRaWu&vk^BlZl-c zznPMRxBi!x4_eI3vp=?Z%(;RhicN?8R$F~rqy2{JlDdhSC?hrS;l$mPA2B!Q8eaxK zX^#;_UeD^t$3C`h18#D7dvyD1pxlK~;h@3SCN+|(19R(SL0rJHV!4Fx8t!{kz1c94 zuA7?#VTBQ)Clnq5oXs*>raO5Z$0LGE0O1B-#^quY4^ZY~A7{~0im2boxUV1yMU;^$ z*gBU8=+^1%l2Sn#8^=GuBFQ_%knTNlf6%Dz+<K8Pj&C`x3ahcZZ{!E|I6w)G#&u)k zI=iZsQ4xRR6YgV&$<vWNSYr~TDVNB&9M_6n+J`jd5sjAP2Cz$ekQ=!~spYsv>=GGr zBaf)Q9EZX#ks#~2MDFD{BzAZgvYtnbUH<3-?mR&K9wWC#Y$~8Ul5or*=qL1^2+nSp zy1O9)j*?4cUXHtmt=WepkCCe)20g+46jVxj*>n|ApxbB#N69DNT-G%OJ076YLC_Cq zUsaS+67F=j(Kb#)5EnEI>B36yLCW)qoXffv;Nm|hwNY|c#Go^n_W;#4N`^;BsG?Yt zaOb-5J2<2WZhe?2-i=?!A%$^6!$gB_{0c5RpBTOzH#B*B6=#)8bXbnd#BTqD=)s6_ z%W);x@ZS)<eBy=WxO{B*9s~^|iY&)fV#CQ0bUsmWIW7kqPJ+b4h%U=<<yhlikobIJ z&~jWc)_50!LJZ}f;0HXO4kh8TP=+7Sc%{!Z!^D*CzF!bttjeunqFHwz8N!<fiC-pn zVZ9De)}yG(2&gMqJsB4S+T6lPi+Xb|lkZ?F4pB;D!AUq5P}mx-Mg)f(CZ6in*@H~O zI`Sf*4&cIM+;33Wcbp%exA`);4olvHXy$dKM?kNFuMZQ2yGto3k1_XeI6pz}Eu5dI zcXtHT9DFZA;`C}GWq6XT^YJ$%8H`e2CO1lUA|s%7;K4t-xO8E~nwBbbQG}t})5T#T zMBndT1k@TVm5dt%sgWR}Z-1R1CK`PWJwWY`xzD1LPou<#iRRsI`w*GDj_2s)v#8Kv zqG0#xA<A*=;|p}MB8nQ+K=no(0>CQE<Ob|+63zp3s7E}BTm7`N67A2qu!K&QLk%V4 z(4eq&+^`@{A?09$S>IZ(Rzk%mbtH*0gk|}2czhZqbF}-t7__+_jcR(@mRbaSNhIyW z_hNPbptL~VJGj>{NOgpS5~^<)@(la&4~iY+O~fg}h!M-Wm%(jGxNF@VB#6r>xjdp$ z6(uzcsldAbLHz)EZ{vb<A&(*sFN5upanm60Z@3hG9B7%`hCL=hE{vhZ(USr=z_PA4 zm@^3{*$vr;jO0OvBMyDRsee%YpvraJS{?)$acB-6IYb?R4kyv-d^pKvloR+#GETO8 zau<>cgH%R%pG7?yhU8&W_8@j+<opP4MO1AvE~@+JJI*~9k{xkq2lh_J*>>CSL)u1l zC(%dhsFq<!AGYxiN(WTAh5HDD+>h{9LgfuZhOml%P~0Gi9h@!<k{^MSM@0@3Wx5OZ zAeCdfuh9^GT*xxY4P2ju)9r?kA-FMeaRlxR%6=Hqgw>^>Qpe&lWnS%GJO7!@M6q!+ zuE9UYJ|FTlf^+Mc(MZ+92qpZXkB(vNw25^(7P;5iFi>s0z2j{TPQKaZ)@uej{F;@J zfNp$!dGM*WW{BdHALiq*=9WHQkn;EOki-@~V21<hfgOCl#7v&*TJMEqzEw{N#6e=t zuoH&hIKU1&o+Xi&@DVd;j?P%(9#Dw6K@h=A{-^FHX&A%(AG(`t1I95%1P4qkB0il5 zv1z)Ht&y?K=F3){j%4-~9AJrwB`^>|F$WkaIIY{sVZclA*RW-PLJ30%oY~IkumpU; zyff2}bBHBTM>I0ck9kOdqDhd#sKLvl`B@47Q)`om`Q4w(NV-Jm$BdYtuo$r>>XOb9 zAeak?)U0D>$_+v-{Dx^nRt=94ccLmui0~Fu0#^b!rb+V-F(*orJPBHudW5xE#2P>z zQwi5o6dCdKd04p~#@JibleWzPk-&8S8QUm8|H(4C^S|pu{$C7!S$<Bv{x^Nd|BJy| z-@h-7r}_kjZ~REokr;}7oMfOk+T=i1_^bXMT+M%d=+hm3a%>0xP8ICboN&Wq*&hl; z$7kOcO7sk_HopGL263#icXRfRBe*r6LVgG@W%aIoi);hFS@U)#TynM0a2));&LH)} ztl#Us4$tCPWBe}MN0{KuI^W0MC$y18nG9f^7bJjb-O%eitr5TD6P&gySoD<z2tK?Y z_SmId!LwN^q~u-w>kIR_%o{D3arp<n9`&QaN-l)Kf6x_PFTC-nJt|l<L-`4NA4l{E z!{#`031cexCb4L1gPR*tqcMHYcL;H>L#(a7+|V^Bl0=sLWUkY#kH2J1cCsIf?D3-< zJSEj^e~9n9{61!<W;<zeG_rMlyk;8vIiyAoI=uGnqOa8Ypm|@H$^tD%eJrwZeOx+J z4<`gK*GN7TmP^8GB1%n@N&fAc#~q15If(EaJOp+L{{qne>jjyszdOnNGfxNPo6%4~ z{s+&)GsI5m?(-$yMzV&f8pKYz?$agS^e=1v0DOKhUyQ8n9npRDl4~KDz_|;uUM$8r zoGB009%Op6)8ts^KrVS|JAPffbNKS%j}p-z9VwaSbMaaeQ?dgVi16Hs@~3J&wO?~C z^q-y3?HgCP9VNPg_qpSN;T`aHx>xl!*vs!hVB_lXO_SN(+Ec+^+r@S=Uu?Xw$xb%F zPk=**0yv&-NIFr9<VDcIv^~-iOB5#A5=P+hrk!biQw1+Dc8GFQ&Fo{rM1B&0z>L8m z)-ME|`!9dauPX);Y7wa!HS|Nmi3TKXLJP(Pu4L|*QNw0LpLmHRLWsl!A>No4XG=2= zJ-XV7&@+p;b1Z)1B?CyMJ2ECgF*|?}#v@&lHzoowLVqMo+Qf94jc^=skltZ5%|_Uc z7)ZY{(`KpcM`uYFFplOX%t!JhK}@l^3Coc(Nfc9TYQlBIN6N(TnlAGm>64N%`+#M} zWId8ECLXX%pKM5iU~0{lIg&X^lNdd-Wws*~k`hM7Je3L0OA$z785o#OgW#}dzIs34 zCLQq+G+X{OU%PN_e>TF^BdMO!aK!bV_j=T+hfhvL1CKYwU9VBlh3Y39Die*S2Q1}= zV*XFw!CB3C?;MMu_l-z4gq;s5+25HHFZi#R8Va7eucSsg1Lw};dMhYQp~FxtvcLbL zbu@fs&38R%4GrCUXA*h=I}BbW<9Gko19s@Vs;Mvph+w1`-aB((n6<>vt4alltR<%2 z^HfmFTB0L)P}5~-9T{sXh;j_^gjiDH2FDOfNCFj<IEFYvt}agB{d*74N#|8Tz963p z$Gl4`dwj(8c1MLI03W+)V!mt0F~mlg?yWs@pq}MN*Q-ptVUuExSf^LNa(L>#zY9U% zl#_OtevV}J5Gd7Fjl+mDB!y;sA23Zvk)!F&5KZ)xc2s?>3qi#+dX}ocA(SY9*`XD1 z;V_~nplJozv!q$Im1qf95O3%v9jFO1KmyS839Z1g5QPDQqTZSup+qLkdzzj;OPYlg zMlF?83*e=vC{Qzptr4vBM^)6bEH_{n(^71y9y?Bi6w?bdk53%QJRxD!O+q;EtRacC z%zVH;Lq!=){}^MG*4RiryPk549$baCwLK0zb4V0TRt<<}sCYn?`PXBl(iCa3S3(0) zm^-w6sAh#TY8z9l*R)`6q@m=`%ljiR9cizr-xhDn)nYi|-88f79C)sfD>SnffF8Yg zHPwvQiC|?~PurQl5Jd>HMm=4v5QPb2Lj6{l6{d(`8mW;Mj%22gAnK;cT-5RffV&;9 z%lo<BR-UJRLG{=3jxS+EpJuyPvL|?FKf0^=veajb!$R}zDtY0ZRM9Kn9ozWxr!*+O zJZM`Sm&Pr>M`uJQg?AE-J~1oXlI=a-GwBFlFl$>F&v)2e41R}UQ*ME`F4$NrB|`G# z4LoH{3|@rYm+vlC;HX;}Dzg{(^w%lwe!v)M@6n^r1*aCs<&(U7k8_Cr%2$!QF=bFu zQrI>#UL?_xpo@W;qO+Hz282oB7<Gi9IXW$z2Li7&+u^_q4Y0=q5v(xWi2bZ^I$suF z4qpZ-b5V0^%pPLXEItFtIm8*m=F2)j`x_{00)W63qfV&6=)l{|MNK_2r*gLGq)eL- zE|>(&$@xRNt$+UJOVss!RPnRRiz9DG%&d2oO=q7>@_VpOH~jb%oF69h&zRRXv>xhj z2_vdJ@_|7N3%)p8+>?OkAXZU!X}yaj7y5e_QTIsVTjyo_p#>jz1pcz#R3%=1<f96K zHoxmWEXA029iE%JxX*p}JQSo@ejXS6<sxsj@vB?SZHOFm-Z2&A%ffh$yNXbNV!0x2 zO;9ES-<dYdp|>QOLlk?;HDxqwQ)p!TY7T22*}Qo_M}4fbJm<q4cIEt)!ersN5#56h z<yX89X`!GCR|@gQc{fA1v`#g?UXs8b+<g36x;ij)EAH|Ym!RT_b2?-{8l60(#!&yz zT`CzdK7M^ns{mo3>L1Y}R5;e4MmlIqx>OiswJAKZ&u;1VW~M?c72-MXiXXOdxdfrP zsPK*kY*fgnf*vmwep8{6uBF@L-8r4OCp4I()<@Di2c_PpmT06w6%`a|@PG=hX+YbV z6%A+`%cjCeEfrX3P)>y&s?RdjC+=q29Cqj0L-9d*)6gw6_25#p4Z16qiw}N3=q*FR zW?s4%e0&1xeJEUSsbQt&Q{etotOKI;P43;@f{R)P3w9WzDY1zFj|^q^jN8Br>0#%j zGq(KOcKofjKpzeByXxlY=Cgq#kKQ)Cnrak~DY&7<mUx=_TR5U*|5Q-BDXmw-1A3Mz zwv$%dA|`W3Y`Io!UuNYv=yPhp4bhe3gCx6OHMYNuCw@||qIXWFv%L!u*B+7w{L*?C zI&VbX>?G=Kuv8^8k?5+9Y?HRL%@?@uexUSmxp?u~9P`<A86GyiyR~ut(w*}UBy}40 z+D<k0N~gsqC-0VcX1M&;TcB$SNn>bf>`hU4_28rE*Dg^dlQk`AS9Xoe6Y0M{$`S9| zR66{p&NalQN}}S@9(>fi{_w+q$&|Cu>4v?0s@Zlir!p6{VivXH{+qt*43B$LjHy<A zG|-@e4kHzGX+Vo8QemDJF`xo7y|b4|X`t<9C=DJ_;V+#^N9{PZ8Xwhug=+7>YIF0* zGh3y@g(j(>N}f?AGH=f{?2TwN#71|X9RYS7{l0kKN{}gn&x3cmfjwg(Kg{HxF|TcK zeL|5fWx_Cmw?$5-9*e&DxNOPM=S}P8ptiGJyy!yYhWI0^&>!L74E%hTo79}`aN1_V zDx#^A=d$7*Kl-M;{r5Qa?{R`Qb`09|L$jYA+eAOJf8+BhVc_W+6v@-y^HV!g{io02 z_X(8CSRW?(`sQUvXxN!a(JiiIA<@Qr&o+4@tAFT>p5wbI+nJD2-C+H6yl)1}Wg^Ti z<<0E<Ah_O9cXK&xX%Ec5<v2!pd`~#?$y1TGws`TkgU9>}_q8=kS3G+@At5Z)u=ZKs zRlP1i{HHvj0sr5ReVK60Se^j?UknDEWld6Lxb);lR>qUb%$!0vmaTm4-J5X&XZ^c7 zo*O&L)dpMB9%nOSZ`}MoyLH+#9aNc~TtQPawIQ)4&y6q3`G-PZges%hv2SjEx5ImP zRlp!jWT5zgGmf2HZoI_(-1v%||At>ihb!2qi}%C00p7jK4c5U(P7%M_v@f(3G4_!G zs#(}R3t{r^z={X#G~SC%<bCk~KS030Q5hwUHN1JPI$Ry=HFnHGj-$%sMZwjewzQ#A ztB*h2GdeuL3SD}$;|4>Ov{nNrR)Qv1!77fq(?N}>j_OQ@*4?Ym{p{p6W1?T^s-d{B zZB&VF1_TIJ>GGiM<w{ovOr4$_MKc!$SAz1>haOPP8#5ptTaE#m)V&Pk1sDo>Gi_yQ z_c-W@fSW*^=|;6wiB-l?8<rtpx`8S|1gIR9KjPi`MAPt{?ZC=Buet0YpVN1BT=o7d zs~$Ub{B_;9go1A5aKNdtV0!4B)TdzIwsC{%d%hg8<t^A%Y3cs5fLNhQhOKa`nTm`o zm_19JXnhFxi#Q-ypv&--WgDlvM|a-y?EoQlzV;fBU>bf(*~`9*0xM*Vlc*0F4!8$e zNyASli?g}6V?6`V=U|P@`N9&6TftT{4+1P<g)DJ`^&vF@eZmsVTUPri5XvA-*2(Bo zgGKzKJYVUrhaGC3Euaww=);|O(H9d!hs;>>q!B~_x6t7!Y-Z9(EK^uB*73RYm%|Qq zPr0#Ry208Vv`yD*m_2Kp%yI-Gz+R}5Wy^1$3!*y$leK@e^}#j%QI2oT+J&>iV2`mi z1}JR0%c3h7b~JT;3(p+0dwdl1siA*+S0XMUL)7W4C+FB29rPK4TH@6x?P8l!nSn~u zGxa&+ZVj_KI^osCr8Tt~eVYf>bw1O$OA2Iefw4yAh2P{sf$9v4JQcgS+%@GHEgPY- z9*d5UG6AU!3w;$kA*&J9ZpXXIve)w#XQs2js=+^$?e5GJ08j!?0v;%ASj}>LGJSs@ zSk^3KuW}7{F5moW-Ng#m@!-I+J3SVcwaxO3GA+(1+dZ&cc@QEfKVfpUE>PPl&nUxU zM#)aQ$Vz3#z~(_>kHsv3RUwX7fVt-E%vtdki?-9ik8cGg>my3B>NY~rJr?f>VG3R> zc>)e)A;QTm7Wt=vPu~i3)K8a&NXt*?T&=SwwFoHM2;J<lz!8EJDwy*=Tqz3?O>Q1V zn$?{yQCPIzQJc}Wc@WrRkwfrRs9?(bW>-cK8tSlEISq_@D^OjpR~jND&!K*`E{rrF zz@2H4pkNnM?B`Zzc2~LeTAuXGJKG1$dCs<F7f&Yw^FEyB7kM&jHuKx|0aIS?Lj|6q z8aG)UffwiOB*l$7EF$mbCSU#blW<v~?leESXLM#}#deNYV65qEB$(7Ju$N)+R>iJL z+Nj+EfBM&%Nr4+yysF)HcmHGu=RK)EEM?0aUB6&gC4q0Vs6PE`^}T>m{a|Ucl)Q1p z)jE%uCIL&cZ_q~yiPmT}pqRXI>D4;Nm^Oh&r+<~c7dWb`ENvE+=P0^bml{(qpp$9w zNXw3^z)Be?XCox~WE39qRA4^S;xaW@gmkMrZ6hS`Wb`f}RH2nQZ#S^)>3Q*1i<G;{ z;=y@{nNnLOvpUy@3do{t<$F8=%rDPILbN^eT<<D#2Iqa9IjyjpVWH)eE3RYpxGo*` zxAH85mD~506SY^D_Vl{4LM*crHmtkcLK3KB=M}l3!yc~RbG(jnjz=G;;D67mhN5t4 ztz|@`@X}uXh4(J09Rn#>Zv1}oPVlCD{b<j@r|V0gH{lhvL%pZV4=Lw`3)`+nrT}*` ze6oq>E1`czOw<!Je#gNcp$)@^H(!S81D)@_iy!i!oR|)8eyG!!Z=JHmqmSVD9G3n| z@5<fo-AL%Fy>T_A;-37c>l!ZIQfs*H*3wcNxNF)0F}V>XspU5JPs!<Z7MK+9ko6DV z<QMHj&Og*Lm_olDxfRnMQF8CzjMlJyhFMH+L`h)T3~<=~rESdPh!U;J8UA5=2G^L| zPWr{`X{x)o{hTbNr~TZ%|GG2+yHsP;Hs17!3J=O%@6C4m3-=3aB4r%|m*G)7FY;T* z&tRKik{P1z_sqM{5hdx}_vHH=*7zg|(Ysf3dAL;9>7UK5U(*hcNjs6~>8GFOR9$De zq|jU?@$)-8D*Sm##za58BUMH))N8K7ZCAmgYMwsHoH$sV;!s^THoVYWRlZdKkMir8 z&74Sh>p)FcFf2v+7<c0H!6Vr*igNZJ=^l#>&<%)^4lqWDLvw!y>i>d4gkg-R*?#&k z-+&$|0n=n!%atrZ%EPP!Y8jJtNmns90JZeV2Be#qCYBUf<wwhYv!{$&1>4Sq7kRz9 zm7LKxA>x{Ln}%Aql~{I0zf_Ao6U>YU{dC}3%6swu4c?z+L}+Fi^HPKeB?!k1V}6Pc zAsRlNZOlozLbw2T%rWMs%)w<9vLJm}+2Y|RBIh(>T`*vm4U?=Z=31Dc8!Agy9k8gZ z4tnL{Pd=nipYZ3~PPcYqnA3Qo?+P{44{+=;#58AK6EGK2jWLFsOUjRtn^6EGda13` zk39MNT$@ecD^l`qcbfYF<#Yy9X6K9LLK~e-Oy3yTw8{ZpvNTv?3No)P+RS+(hSQ4T z?z$$|Wpns45tLcqo-J*@f-p`iin;6hq)s49gDK`t<~8RluI)|{ra6Y=Q}3shwfQh+ zJfU880;1*SvBnNRA{0hV;&W5C`A$BcIqo<A8=doKo#)rI)VEs0|7l@UHq2}co2=4k zg#$-NnrZZ0jnLZGX(HN+e0tC7{I{qK`*9`Xv#0N%N5K$i%MAv1p0!u)Xei!yzO=-M zAG`d>*elrkcC5-8W0ePNamF220#uLx!TK$V*T&C@{Gs6`pL$<xe$;%71>8*C!ikfA z4#3pM_rJhMyRlqLEr3J8xPV;RXs)2vOS6I#irC3#t>c`aziqGo6c_v|7F{_}dp*Lx zY~pXOVyvozulkH9p>F}cWYbrP{)vv-REZITyD+3WY-?~xaS*;guPyPmMwru83sD#I zg$%?ndFdu$eOZ7vj0So?*PX<(iWp-YzBnG@+z>(Z)lz>1S1OcaxZqD1QZ+o}vWi$@ zg1<QCg~Bnwv?;c~D!>K~DRu(sd98r3S_~6>mLb*F^)cpangh2gi~6U;C`;b!u_`mc zy}$RWzTdDlG~r-UbKlzfo?{F3Z)Hcdfo>?6xGiRuYI@p@O<hT8?`2iv1M7`V*`y$; zsP3sY=HQspMMVYdHmthr@3ot}?ll1&!iG8rGasZUQWbiL2&~H6s64XMworQ^NJ+^~ zanZd0m6gYsgKe38Z%))8aolxl^x6-3T>o|4^@)k)2uQ+6C3qR7A#yRT<f87P-#Tn> zU0)?AlX%m8L80ne_Yzs7txZPBy8VgK#Kr)&Kh!b|qS-S*G4KfNeNC2Z+mu~zmFhqZ zjcshK_ym&B#53*-SyOI$Ue}>n^Ygg=4Qn-sXv$bd{*;^K(RKgyfkb_e%e^m+<6^6) zFEhP^)OHUf4xF6Ffn8_DJY7;;t$)D!))n#xDE{u|UG>6-dRM!Iz)5}JHhhlGb?qd3 zNMA{0y3}A;8~$FN`{2R{OVQ9U{3q0@&D1i$-;<fO+XVwf<0$=_>kD1z!b}Bn%W*uC zUeECQLQkKy$++~<=}p7u;U}Gb%gCKqEoMimiw+8J6MKlR_uK1<q?L-E;Id__KY7?1 z5~LPJWM3vf!b<N$YV(O&%j7It>(U=a<X<M2Vx`Ft|9m3CLZT5XO@b`Li1f?kYOLQb zL~bl@B;wEyynld_8I9|VI0S<C|Db@NK^(eJ1m`;pDZp-%AVFhsIkcu1E*W>b8^4BA z%q3bb>jJ@O3hFXwa13oPf}0$Mz_8)F5SOvI`iM$JltdCPrn~Sb<icp&5UuO=iq`cS zoI)STqC}H$ouI*w=t&`*&M>45izGvyjOo5dPx9lOmdTY^<St|+pU5#H6_3OsN&N{R z=o0$q3~D_Im(*>vi4(~so}%@=91l^JAZRO$oOHG7%%_@>ei-?0YHP^!va2QZ__gZC z)kUYV0iVq_&+;%|;mxRy4v;TW$F2Qc-vBJ-j%&(lZ2vKm8giqBdSKL9D|IGJn?0k> z%G|m&7Cum2yqu{n`E3N=x9>WiX)M&z1kF@|h&xq~K^0W^Qw6E6)Y&tOleE7d*WA0^ z7k1p*=l8sYj|@&IsIjPL`m~;0@FA)JRJn>P%Yy_9hov5QjV=0*xOS5lrrk(d#P+)4 zo7Td~S8#2Fphb5}nc0u3l!vH4sMRPL^HxF-$KT}_9A7m$>xxxOMs~eiOx$K_wTEHH zk0aekN`)PDCO5A*C3LjRn636TS}~U{R8X{<pM9L;rOk(VRXcTbdSEH-r~a98Qm1<m znmi(7BFsKn%GEDyqYX}V^=F}F%F;5|X;R~Vq&J0+BB}o%Qk4QU<qAzH^G}U)G$kud zxkRh6=T|4=l#;qbb*W8MYJPS+hjSyTIsAsGt=^6}K)HdSv$T$*UJ@>}dq~>VZ+fE- zzMJUYN!33|Y=9Y0wYZTY9a`#&qoahAt4dl4D^;GBGV6C#DHj*YJOI-;C-dyX?}Nq; zgT41PuBN99row5D!<YWU!}xxAF67q;tcO|Wony{KW>OU4`oEsWuQ_W!%{B@2XBy&5 zq$ed2OyKKg(^<z-6g`b}#(zJNe}owUoG=_+D1V#2L_Z{zC_!>3sAEjbigTn{jYJZ; zNFf9u#sqLecSL(qeG0Q|mdbg=O<KVC)1I$0lh!e{W~m%TQWQZ#F~TI{n2*9p*heg9 zrifCc2xvr;*$DHIB1r<%X+FYoq)Jl5xFDKL9kXkgjQA4)bvvom<Re@kPCJ&j*Ef?p z`D9&am2wO%YHsPCIPHFFR5jD{I$s+XsMM_ee6AbI|B>Jah0vevyNFbV3)26g;dsJ! z#7Nr5$RTc+rm`NXlk_nD=Bdm_3M5fXt$8ZTkqRk>;0QO#K4zns6L{gvIVrppUqU>5 zIlG4CNQ6|0i7*}EIT9zeVLDA6vuYTOP9+MEEC}p*T3YKOkyoyy44WvfZOInpu~&Ii zJgomc3N48H?Nd~QKO-zPXc_kSeZSQq1w1}`AQ1j})GIy3Bjkb--NlgVjy+Wp?>d!+ zI(Aii^JeKJd(Ghf!i(dN=y(>DG1DB7FW2Z;Ap#-UfK7dw=hSm(+aqGD1irv08SH@} z>Nl7#hUA-;2zkBAqvu&v#V}>LM~A0nqS#4jfXcJ7a>-s_cqGTsq&^eR;u(xsmV2al zdS)Dlwk@Kx>hc$ODl^3lF>>+IbF+l=qrr~>Y8Pvto2jG^yM^dC30+iH$1HHDue39; zGBYH%V4NM!-f|i?tySy26U`~<Y7ci5_Im$=nMai`qydm{TV2zjdB9Xgjil6c=3;ZU zsf=oG?gf5HR~Pucu-EuoHl9`m%piyQmn0_E>r9E>h=a877$<~jger*@E+OeEkJ;py zWYm6X*2kRahUia2#yd%+`?(Y1O<zd~aggc&BlH7PW`k_K07R<3)H}0S=0rb)ei|~? z>3=YD)n8Hm!I}kW0cmNKZt2FQ7HL*WN(AYY?hwhP1O${^x+J6<6%Z7GrCS7vrKA=V z5WVj!eD6>954h)e&O9^E%ro<ecLNT}J<Bz)7e_iV>RTX7sWWlWj`%9ra^)yZXb+=4 zAL={N1(AK(bE{{}254HSkyM#7GMf5~4wXjALv8<BQAUb;NWli1A8wDoQ7l#V3>wPQ zkfS%wIH8M-By#z_BZ@CZVc(@_Dy1-_kbs+6ilP~Yd+Jg?W7g$*cW>iG?~Ql(gZVzJ zN5{`;3*uS+fBefEH);_|7<<lg{L*8JT$=HS==vE0c5o}hIM;Wc0y*m%R&U95>Q7_F z@tx}M=7B`ioIyz6&utw!mV3v8i+!{CsV)c)CC-uV_L3<j#fkqn|9%%C;M_6rlO%X= z5<Ddd{x}IPkOcpo2>+Z2f0YQgO@xak!m$(Ks04U!0^B(PE}Z};NPthp!;9kK9`SH! zJe)Khz7Pkmh=cpZ!C`T5syO%x0$z)NhaljZ2sk|gj*f*l#lj<E;SXZrw_@QxV&EMy za6}CJVGNuj=3q1FATsITcG5v-;(@WPEU!WqK@@q-)XfuNWFAFNOsF1O4f>t3C&rE! za}DVpYuPJ89l(g1>AkyRK^1Tv_3E9)+y-YPGp?xge#Xh`Y7}c24EN8NydHJHO;pme z?uHTTNM>w|(l^0f5qLg6B$(xw#(BIE8Ukgdd|qS({vMAG%z7C410Q1!W|`!lV+At+ zS-;Cronc*szl(#rjL^(b3w%I!iQ7v8S%V$wkmp9#MvTe;OO1#Y3DI%j9K?}7urU6B z$kA)XW}GuVV4_py{CTY4%$w<dG86@|GnoP1pdwUNm^`Q}uZyOEvVgjK++HHcBv99v zs*Mm84eFW_EfS!ggSsS<u2`55P&ZSN6{~XtY5PDpKqY@0(#h~^`)@}P>M3;Z+Mly~ zf?Qrq$Yx4PNIEYD8|gqQ?4dQn?ZuC52LEK$j;ak0l?DFEsveO!37Q1IkqS*183hTD z+n;6&(9F*ddqn(OES4FL=7HAW{mE1&<Mv`l8c}%OPUpeMBFkf2Z^TgtkfL7pn#HJq zzs2;h66nQ_%!P<@3(>BSMdsp(3JK9#RV(U1GQYtb=E<Az(^kb?I0_r~Qt$ZgIc5s+ z-WM(3;@S<wZP$NGr%({9v!S@aIx~sTBBRjKmZn{CeP3<lY*I(448+-I2>MDmOtHKK zdUL`Tkuxu?8N!AmTa;=UsI#)2aZ#-|nu&c<tx{8Vv4BeP_pXM{R(0%9pps{XAE9-> z2^Vb^V|uM!_wB(aai<(&_xi`dR16u;jZ@hf5`;d<R!>rQr!tQYVeij)#5ra?s-y(n zZtPg~!8qru#WvOm-k))c^UMYS;TqZ<ioOudCJU$G!R7E{qn&7L;->YE4((b9A~?^v z2N0Yl64!m3{K?RH$lpKV@pGzkfmvm+vZ+zOuS-k(0Z?ffPGY!|Wi8UU-oeN-vxW%H zaV`TYjR?h+-`1pPO#-8+zpvKa8MJ-^q;x3O@=~AQnKc7cq6vJ`tnxS+-T-5*g_9WU z)LW;5Dtt3{`{cF6O#t!1YwfVNIw|~PnMWQk9#8X}xYud5Nj86bUmR{;I-~l@aC2p0 z1s?~Op?W6zlh5W3AFIq!evzyPjoK>Xt@eeI_MjKe#Zv>b7PakEf%j&$tCEi>-v2P% z>9p2pgbjy;FBZ<Ue`<0}$fhOssj=E)Gp!W!IHBH=@B6CVWaPBkaliJQI*@nvW>s>1 z(ql5N6Wcl|6V{E$aJjM>hEI473E7>vf%o4|r)rJSO9|fC@#%Y{A+GMUy2C!hgy_t4 zPM;d^?|SUZbD~~nDSa+dJB}C@_)E9Le(!m`b%t;bN!oC~b-hU6q@sCwkfykdlG*M= zT1L_*+tRqothPUE*7f7P$1L8vtJd{;ecrWgK2PgrxmTo>&F7_NcdXr+Dym(woqbYT zNcE--wZ&!NX3>>S#GF|<x2o~B=DvKg?T@R>Z~L=sUH`pz^1gYN<m}EvcQM0hSoK5C z_?FBvCmf?UQYL0vla0B&Q;asHP8Ci}K;(hh&_rW85V<W-SS@DucKxZln9;N&Q1mM2 zsJyzn)oLi;C%3fC(7i8HX=g#(yJ{67hChFry>%AHJN3JNcv*j%2*`fXa-uu!0RUvn zT$vLcpbRw&tZ?EsdNXTb<}%qB!8?VyG5u)E<O{Xa3FY+Ht+Q0#DKA>1KyUsPgxF$l zV^SN9M_*?3$`-O3*X(UcT#Iytlf2QJO}=XFt+VHG^*g-P!INT1PDx&MPZ%b|G5|nj zMC*Mqe5@oLQ(4&-^1Y4aJf^a}ZT)Fy;>pUKj(L4+)5otXTlv+-W;%sAEhk3Pn$`Jl zGg?S>r)$h43aeU3^``~Rc%SIFGZj_mO?oR8?l^x*9qh3#)T`KZ)-?8h^TaME!6AIX z`OB6xzo%J<wtkV(p#ETN;Gsu>73oH<1Fs2H)$pL!GGFaDq%!y2OhMU?P+Zg8VF3cp zg~x*=O<f8;GAP&cd6#?byH3r)J59}tCw23=K7rMXe@3#${;t)_mwpS)J^s5<|0fhn z$#zuw|NQS-#Ko=r=5}*@TP7yvPEtR8*|BtsV!p<Pu|*pwLzT<1$BLiFAP|U|+MkbV ze<JR5a-Bq3DI}M~nD1EieBEx&tMkca5Z~Aj*?4NcaV+<juWrLvCq=E!(9m!yIMp$A z*r5Ccj{vW`@v}h>v-GvP7lVu$#scGJB`p}9BAE9lmhHek;>q9AYngt3zt<QI`yOGo znL~5ronMhHMjQXB#q5cHNt%&277UfKPCF{BFW&j|Zg*B$Aw*;lLEDuYId_`1Ks)}s zuc}*kFxV!?bOuc$@RYbwICtmcs~w-+j}W?xl}J~TjnACz>-XHx_0p$nJ2}g4g_f2& zNlKpoZH>}-&?Z7@V{pE~*=jKP&0zAeyO=<w$7#)@^m@HhoJH}E`{Ez(GW4wx2t<2I zy&QaRM!M>Om2uIsGic)`5a`h=k+Imi^U=mgK*n=6b}-S*=UW|9hD(ccpAE4<mOGv2 zY{VeUO#E9NbB1@zn?4&Yfxj236}Og>h7lGWwF}dc(+}EEOZ=Emhl)KxI5b(rqZ{65 z9ol)*YIV<l@4ld3wHqjL+OTV%Y?}-J{Q1=I8IjpqQH{m=$?pfvC@xf_mg~r%t=IDR zhCdOn=Z`2WHYD2eURb@p@RkUiKi)QM{VsK@l2~iu$FXmoz9%uSvMk@y)Wuju$6-as z2OcxSl{)N<wHCfXo7)*u0vb!N1x|fj8>?Uc$qVWX=+df9D1^%`S(=vlbOrB|>1;dR zTbko;t1IBGA2#z@sbkGxYH{wjVGuBNpA8?hHT!5LzFbG1LDKT3--b!R$=x`0klxJj z`{9!3%jh*uYu|xS^Y_jLb2<)YU2;2c>gHwrDeM34tR@WpsnUKlI6*4uKY7${)8rnb zx{>GMqA3RZJDhjamdElfM9240ps(#>%kQP&3i@@og|2y2(QYe=!SK>I7jMt<?0a18 zYtgCWdfzvPcs4j`Q=i%&yif4q{bR*jQ)na=$`(9T+#(bwofagXCZDCAxBKXDR`iTd zFNhZ*y=}L4ipO}urT3eTzu1(TX5C@;_Ju)*rjz%e-jHyWO8SxNxQQLrW`;2)fQNij zxCTvPyiO8iV)k;QJ$NQgTt_3sxU{I7=%^o6A;?>IJ}GqnL?y#tr0t7^tLEV8lg+^l z+E6Kjq2SXO&UXTGnsu1I?2niooH%gL%3m1dwtrgQP_~2Q^u0VAUZz!^hM4!iZ0lM6 zsq7HTz4u&q>rO-=+Se_7sWbK`qD|h?t>A)bjb%2E``Ee%yMR)zeLc3rc56S%xFGLc z=Dp>;2NJwHYA@4M_QovMPH*2@&^+%T4;h6$5|N{eu%PI~LgQ+dPqX}iViae1PX*+N zBJNSh(I!R(HHT>%uoN`B)IF<|{i*$dyJ3X)ah}n>{B)@~T5GAe*t!N?bGX1stUBh) z0CDMkDGgn=RIY5@J&ZI+Xg1A3%QviVK`TXed(JhNc2gTS%E-pMl<`r%=h(Z0FWan^ zZ(|lYYK^q~YRW=sm_OUGB*H@f2*{;JT8w5o+3Y+TwOBjKKpCTTFcgx+3I0PP2Ld;M zrtJ@C<LrknVrbrHCavr~un=d|3N)axH~#ACIXBRSSzLeG_u02RjxiCr_lU@7xH#yf zypo<-(~COWB7Z`Q44*OwvXt}wWx(=sh_WC~0G-~JPxO<RknAR>^t}folG&PGSd4bS zHW);+j0?_Pcl!F}C39nsmU1_adMNd$mT;q?%I_IN{>y`GP9$|xe3i;|&Tsj&>Mrh2 z5f9T}7>H*OMN@8(pc!DBPjBYShXsgyj#4^3#F>b04#e4cl={JHR=zgyyp}IwF)(3j zirISC`{yI}2~u5|#ZDuFM%)QQUpJh5@vymlpFxyQDYaWOrOQu`l;i!X_&)`^k6>k- z$lNYxU%dRld`MBZeR~Fl37$P&p_w0Hep;BJFwb>Cjs|8_0L-Q*j-c~8+6K1vftb(* ztKk_uavxi<1}h*EUc4WqSc4sq22b0URcwZ|CBP^5&4AC{Z8`A9eMUtQ$dI)@t85mE zDeT8%eG%C>x45t$*804%se7?uwn7z@xe90jsIO23ZLT)(Wp_57KBAO76UUyk5Vl+% zv5uWNSf=Jjl<yz5E`;bwB^<&R&4btPvndi|@jsrpBb$s;gc8#=66xG(AVA%LxqR4+ zpusWuQfBTao6jDWes}8zvntvZ>MOE!lUW1!`tbAa78SFSJvXvAUOHNpvW*NC9N!i- zPOwOZ4uLIxC?t@>LPKDSJ>?P1*D$vVJ9}6O<*uP`!{mBc3FNM$En%HKJ%n=DXmVI^ z4|fDJE{3ZxWZ5!;83%K-5VD*f!HkcQEPS?nJ0cegbFJ|GvbCZPc0kG~YX7m~AcWse zR8}?yr5BcKC3;8p1!_Dj*H)A#TNzCU9l1+S$qYpsLq~+^X|>rJuu()X$`2Q(CnRVo z7-bJ(L@qu?woq-^Pf-vn;Mu6cvZP`qR+W{g6r+Z{7;-Y6l%)TL364EUA@{Pqq7$Ub z#!Fce5jYq&C6q~@$cDLtq@~j$R=p8Gc;mu=4JVx4x9pD>?-GtHS0I|Hf+fE?tZj?Q zkwwuQ%X?Hte@XbP>?LwID7uZj$u!Rud7&_6(QKN8!h+&>wR;op65CrWx-u!^KuA`1 z54RIN`sRm5eWu4P{V7QYv$$t}XheL)#u%zMo!`lChICf>e)L{A!f$}@#XAvp;-Hy2 zMXGOO1dzVR!!4Ppz_735GT(U=_izI8M!380a!WPsr8rB<3b!o%BF(?RYF%ncMiD@N zNOWo0vmp~Y7N0%UzISK#7Fvd6%=7Ga{`)YzZK;be)D5T-Cc8_=o(q{Dq|Nz$&qcGe zOTz1Upp#oEIq=||*}IkA&hH94JF8p7TWH5o2A;n2%9PzMm39dtQsN7h`QTKPJ+x4y zZc&0D0V2x5Iv<>adI-fBC3kG{YzB3y*16we=ob!&OcO}QWjl`_p`VCJ4v>~#lfxHP z*Xif%*a(9i32jK2uy<d`q$ETKx9A!D;m;=wv)%mMeY@cv^5AT~n?sZw<@{Bk`##s{ zZcn-YDOSZh{2=b(s3rM$YnJdGr7CPC!r*IYR>1x$$4B*d9A+(duqfBPeQKOIxfF6M z-#zT(rdyt}y)5z|AcqBNkBub!J?{^#4LtDK9`$h=w~^w0!a~itd2ASmN)Ah$*yg|E zbq7g#{A!xbiyk>HJm#~jiS~k)+7<0qD4?%H?W_Tyjn;(P*#ZED=7ZYV6d83>=GQ}V za*`hf8z^pINprGxp1nXl3nTq<zov^Q|2Y=ZOMtRb#K)1A1Ss_g4xG$vAfloejU~+n zM2I3talPze0mo~EOnB(pc+A!yc_y~KIuP+!jK-0c1#;>l60y9Lfrv^(33g^CP<}hV z5Q`}d0CbAuSXzVkYvfhY_E1&3A|neZ`X;pBy2vPx-HQ+TL}aXT<c2gU%AIa=vXqdC z4E+n}fTK7L(Ha4YRM6L;oIvg#r8FT*4M+)$(8lxf06K-j#bj|&+(78B8d@J(>X90F zV<8qV+4=5*B<YYk3DbRh0%T9!1ErtrqEx7tZzfQXh$x7(tj7GB5sN`wvuS$MIr}HX z1D}}k`&sQn5f#`K6+?@(5nI?5mA=16{4r#@th<vzureX!$GOVWm#Q0BVxy0SI3s)@ z4RI%q;^YWO-&x0Bq5P7t%&nt8su7tG$C8nAmcuXxWF0_2@@0{-&HKNtN=*(9zKkFI zer~<-ep6n@bZ&{z{2Ka>i$f@@mk=_pvG^hl#U3Uwntnpdyn^FZ-qdjKh=3VMH#hdD zx5qb3U_AXqRWSpn&CGO;g}@wwCUSA;=JaAm@&c8KsME0F>GTs*MOv&jsOg*=fjI$M zv0C^^y-(Q|Zrh#(?$2Ne{l*kjRoH^=<dJL)WPrp#u3rZOx<nxzxT{eWxoiDUsB764 z9rHJA&|T+L23_tD#cP0@enffamW2K>TYe>^HNS$Bz#IpV;Ai#X2cwet+gL!fpTIoH zT#~6G;3bM=CUQK#LX}{V0PLiR=GghLsXM6@;fe={F|&CQgJoD`3lI+_gP%w5m;l$C z<;p$$=Qh5?{{e~y`h6pP!BEkbb?LIpKE($+uRoIk#&aaUtReRI0+USM6?%e83S!Q| z0s5>Tpcw%ru?*nQfKn-0X|Qx?eN+lunZRX|?PZcTXVQHfK*@LivL^MiX8uyRE#cBN zy>cTj-K;A&_R?jza-ZQZgPXK{<tARTPFHT!rCWLBB2+i_C#y&e%s*YuP7O?XKdQp7 zO?`SrMun+OuR}-TrC5tbfL=%vYCG)Fbk<?}Z#Dw7QYUwJPfZHMWi0Cu7D9U+O$h|F z(0b6kEv-|2#XQKFrrT#XiZe#k22lDOMH|+I$~xSR5XYH&Be6D3P}NtDlvpz&tm^q^ z2|8o!6j(yVfp?RUaQQzSn)Y&E8IKR_<nl8P*2|La36jr+NvH<@_D@Ff`gu<D=Xpmw z>dPvW|MO;zn=I_ncz>Q)#8)g#6cFIRa3n@;ejnjcbX&H61!zIhE{r5VMp}gU3K)>p zK{G-3(BDTqBfjEds)3SQ7-r;8%)y2+_?BV85}Lc5d;`h?WQ@!9LeQ*0TST-ZR`8e3 zx&z_!smj!Xwv(Hmx~|P;m`pRXW2lieek8JK)vL+Y+@HGeW~bYt0ttfg(K1z4zLaOo zsGlaL88^-#C^8dMTFNtWR1#px&j?XEfTcL2LyZ9T#u+Y3(8P3u@{Af)16cAi5|kTY zDbDav5`evNMuTb&lJzIG{PgaamF=9#x_kQ|=oN}3><{Yu>5cq*kc;yEBL&4!$eBjc z22O;mU-zEPn%`HQ0sK`vz^ZcLa;2qx_ZQ5^(tVfoR@UaN^@OX`r?Fca+ux5;BZR|* z&wl;Bmd}UetND%9Qk0R*hhLXn{o7s91}kk@Y~Ol1g#qR4`qk2JUnKZ*SDc_W^d4I8 zgirp}U7N^11$s|8S!kdNw@SZ}%2S1nJ<AS%9mIuYgDr*Ie3Xy%l4O-?0ak{kt8gm= zQx#;5wT9hV<hIO;Q>F<7hJsX|=lyP#uUP2y6@^-$3qL0Wsp2#kvlzI36URqooRxXV zHA{tcjj5(>x8y)Djq(*{gK4BF34kCml7=pA6@H#vkcOOTvlvvbOcA1f0tCB+LRiRz zY8r0~AvPuzs2J9|fy_IGuL-+`V_g&^@rZy#B|v-zh$Resjlva*by1zfL+goyjV24$ zZ;fHN0SyJTBNxK3&NNsI1il$!3ozV!!GJnlP{%6hMp%CcP;-$>wT`^Sd%dVS=lyf@ zFa&T9$h%kZL~~T1K@AP3pUUPRhJD6=V4B3L57EV~s_LhxASf%CntDQDfUU3Qv^^TZ zgH!RQ(VIS>C#=HWMGm1$z%?-^oraIWGMJnTV9U?J+AV54CSd-B{gSMxiCMdh5$O>m zJNr!614lZi^!Kwjnam^O1P1u}=1Cjn5sp|D`Ta*!iZVEJ#z`BWA{?<RfLp<)NRGUp zv=JNO2&pLUKf0#a2$@`88i<Mp&eWf+5~oi3jA3N${R61?u|%#?88b4V<B;^JTAo%N z-Yq92@4Yx(B`AqM$xeF(yd%n^A?gJ$?w3lw3DbwvT}6<z>GLNxfHgoZuc-&A;Z(dz z)6-2BbbzKckm)`HZ6ld(E?^A+KM}B&h60<i7Gq%*wreQ@gBha8oH`FRT6Y<&GA`Z> zuiMkXP@8%W9G)I(h^{=Z1d`F{8g#9N;oWe9P@i2sdroBMX-X7bg&2l!r*DH?S4oa| zk+2baLVe^zZiEkhLwjzdYs3k5Lq=|-9KkM$y_+1dIpHzZgwlv2t)d*{c%mNlT2~O- z36yJ>Ng%%l&`7)U<2BUhMlQC{;8c{`<+Dy(L6dVM&07ICEzK2*U)>kxXjjaD%5@Sz zN*izDHGIz`E^{?(gSfP=V^?GVDGZ9okjW8s38a{jHI$@ojKscgPYX-zGZ10#mViu1 z@-Bwa{Qi1PH}#DdPUcY{CA8fd5R6~S!a~#o*`LAeA$5sc>pwZdGHr?(YKimL!!p69 z5xT@seo#@fj2)Q{bQirdL9e?F=+IL*;*@C_!uS|&I1-n+0AQQa5x>kF1muonWx*hz z*upAP0>O<rYE-o`-P<=>Wvs|V5UAKoK-+C>dWG*y5bBn`iGMh?`WhZ4ZD-T#VVA3e zTc!b&IqmcDLCx!ht&DRvTp@F;ysYVy1l~9k`~Z+4pQA+Of=cRTGRPkbSaqxwtFRNX zPbbfOJXl<?x~=rCBSSi(61vQLlvAoAHrFt;RhFT^cMag#>HVrFOlD1+ONf``thygH zBW~XG(D0q$p}oC3ZOhvq1wR*-2>ZgUI`jX+%D9mwa}K9zx+2h_hVet<kyZQ&Ga3GS zl#Y<HNFS4sI};ST8ePZrf$gi&*67;n5lh=4_cKwqpg*Fo{g$VHaekXSgoR|_;zr(* zDvrm!-tRw0!xqR+<Lq)EDJ+N7nz?izL{QMCyhpOn{qg9L<N-e!dp%!cB}}w$KywGL z*;82%ideQ&{+i_c^47RGW5F-vn9$zaq)8?bWe_%1WYhkH(455HMJQ8Gs5@gr_O>;T z!%Y3Jk@e%axD$QM35>dSJhE!xxHVdG%fy0XKlQwmu6_EC=fXO!adVvLlb`oIXe;gh zGU)B+(dzAom<S%eA#U}Xn2r>txGTihEl`C&F6H-^DT_J1yKf#+$xmp&rS{lwaisZI zyNS==ET{eMU#kWq(_ho0FS?WQ|Hh3tDRgzmarp~&+Jvh=Bi82DbYZRR#qHA+5b@r^ z7^WS0mlck&DFy2tHl45fa`tQgDpCD@lbzX5_*VpFkT?5hJ8M>A&(*lShlj?QQ_aLx zHU6K@24ZPk?^jwVds&+iZ>LrVezIHjBzA}{z++!xH#M7y@k+qdfB5~gAz_@SLMg{b z{tfR*07aD6?4!RpvD&_O@TirQ18He$V}hcVnT)ANb!<!34}>q?ys$N=S^rde^DAM2 z08I3y%BtseyJuyU<Rq5lr))j#(1vJo5?k_9zMi9;7)a~WMcS`xOq_YPevmhtLida* z={$*#R*$X=UK{-mYd}b-%8x!R&0aJMjb%XAGkqP-D%_-79;_`<{jKc99cLe)`ipSq zSB!JrccZ$PlIV!MaQl0#ZQ&|LN0GY22$MngIo-PK(oJql^BavqcODIoW72DxW9I0| z1vhHGJCQ5z#>ZqFiFR^0V-=jhdIwJ#eyK-WsQw`NxxE@tuqn69dyD>wvWMI#2Dxu= zBSHL5Yp#6&{1<%;j4s(sM^uhGh)J0>!Tts?h~6)}Tp3pSfr9xK^LL(h%ICm5Mv-pM z>|4l59+PX05KX^7o|x0WR#!*0?hs%k$)N1w-1(hVd%Wj^)GbG+Z6-j&SdvzG*SYfv zxt0#`#<Q|cauO?YEjMC@w#u-P-$Ss5*D9VZL)fF)x5$J0?_@rr{rFUFNO+o3aKj<s z(F~RHc0AgUW)#y^OXuv`Qohb$tUQj>vwkaJEJgsBK=ArTPpizkY?)a`EuHHY;oq$# zd~X9ypZvNLv$H<DMyWZ@+LK<ZV{PYMkj|*8IL_N+cuV?Aj7e=wiCt8cf>#;2m>s#+ z-u3ygvKlGhp@+)jN<B@tww@?!0gW_zd~R*IC<_6Cjx)^4o$>axz$jC-IN9H1h6v?j zoQSoONbWs<?w@Ns{?v8HA;9IARLpQ=voMgguups==Sd|MQ0vx0r))RR(|0G6nK6X0 zpx!wR*a1P(ZtT(5P3muBN;sn}o};e=+k7YUE8`EM{>@Z<k8IM7xWp3GXt$m<nNbY^ z3-VFS7AktY?pB)f4?0p*{^qPDk@8Uj+5u?w<1W^yENcKlMO02!^SOOBIX|!@L9%-v zeHIp|YSx)bH#e7+o@RffWpfIMsHD<zBV)lEm1GTg1r*2!T~mINfW8gLobAJb+faZH zEc~cP%g;?nCWLxVQfrS)=0oeYbG7@U;nW(j1rlX*@OrJrk;s4#z=20@P@|>YjiI}D zHt!`1N-Qub2i^oO;-_hbAA}pOQLVy)PsmThdw$fGXbfd4V<>*+@yv+r3K&7WpV>(1 zbge$^q!gMNH~YT#fb;HO;pVQ|61kyFw)4oIa@Xsk**x>}-eKEWbI51<3!&R~AqMyA zkJ`RCrK5Nde1w9}Dy>=_rv0UKk~x=)Z_V{PTUt2O*!OJ5(Te0O{zwyQ|M_C`(U$OD ziolToFeqca<#fX?+9-wkpk51|wrb<8=Jbe$_qCzeIs2^f>JW+QyVHe!Mv6!2H!C_3 zDhfE9bJp6E9G);gDIKNX%TiD8XTB0p2$g5UAa3nIvJ6L~yiz=rRf}*gQvM86eyrRc zDc2V5pvl#?`vXTN2?r-xxQ_ZRdAb?-4PlJ@ncooW6z_GBVS-CU!)bG2qT3wT5BCN= z63ONKqS{9@iNk2_7QgM<zkcRQCt(z$<4iZKQ(!k)OBvjJ!~Ql)>p1biBV5%VnDEuP zZ)?Bl*xGLH-G(U-W~Qs)Cy&>qJTZH0@@C_A%Ice82d!cCsn!o>TO2BJw)pJaCYo9Z z!~O=XQ7gv>4L&;aRQx3zDwU>>^%`DfQdKcvXBDK)-%*Jx5dl)AG75l~?T7r|ge%k3 z1Rg$0hrYpmsw^nv9ht#SyWQSlKJK}>8op)|+keKatYYig!N+YJ*dw1N2EGn0wAXUV zR^(==4GZ^GQ>hmi3UXSZ78agn)mMhme;_ibT-#bD@@TCUcmAoRm%Qmve9P$O6n38} z?5!a0=O}Wnui}VjZ@6fuuJyqRZ}uXHmWPpk{aooKYH;p15QJ~S$|FO4!pi3>a)m8P z)CN6{NWUenA37Pm_r)sLCud`yQ)4r*_F23xr+l=@qit1mb1|Rtk;D1}3Rd<-ljHT# zpi(xNdT!uEmTDSDY_gSCM!=E1umJ^YpM4X6hzvoL2%r@JrD<gR`pmOGQNsq~$q+b5 zGgu*fq86_&V7|gz`C<G^%FS}Kwq7H{G|p0Xp$G9aTM<T?W9hy3g-nWomUqlRskhpG z#hadL@l=KE(#vTai(ul9DZ(1jSwXOS5<qi@gLE-S({O<FI6yNAfpj-OtHpyfzSjp{ ztzb>7qN4sXK>UL>upT+>>X)KMM(g-gC|Oziz<^ijzU&qQ<@;v37*V3v{Lt}m8v(AW zJ%6+a^(z@s{_(S19F<`%k2m+Dd7%ffn~0zf29gZ�Z^)6+Oe%4|dRl*YKc{grRZd zuLUmo>5uE&x<Qsy7WPl-8L6@otm?(Zb;4IP3V)4dd|W5g4YHxKI31he!|9ub9W)9i z41Gub`pDI_EJ~d@$$zA=$=FG-r3LH!l;PPxO0`7a*W8s|*ogeq!}SN{*?>pI?U}2` zEu~tiKz>u@MT%zw5tT#X{nAy&PAo(`-1>n>qG9+QCo1D=GEurm9D=B~QVi863hR#+ zOp}I;kvE;Gf-y7MgoxX)QKO_GI^<19s+15<)}8T?r@WhPZJ!!K8+3XUgx+!C1=i{G zK;PEDuOC?FMTJ`-;rA&}TD3ZR?*(r<gh2YfpyWG=5pbB$ZPs%Psr(6j-ygc!(gftq zCsdTPEuJDfdJ=w7K{7dI_fSoCw7(Kqhuj#;`^yQrV03+4a@q+BLUUYfof|YWrM%y0 zgY4VxKRI47Cq*R1TV?+kw?Y1z;rh5OKZMoid^E_uxNbOGs-F|q)PnyzoJ?zaRmtU9 zd-w`#;V&+B(;aL?Qi7sKkn8ipee#_=ro8Q9)nz5!8OyG(0aWr>kxcwMg{sTJx-$y> zT|rc?(ZOwa6O~_t+7*9pI_ka=NAP;*Rb%(j7q+PX+*H?nBZjE-&ohDkKt1sq|GGNg zU#~?!y3dvG1_=f}uO!_#!$GlR)*Ro>r;^<(ZeaLz1NfeeTzrR5SPUs{WcY=Ze;pa` zgR{3$Y6vyn#rxWy^gN8Hfx%BOpAnhugOfpVb}eL@v&#!-?>2@TsS~`3_f-om0?j)e zBQaA%dqVTh0icN{hFbgrfU>;^atKJKr8uKNWq{zu84=0|02`EN^r&eNkb^%s00G4r zDascBn~ka%IpiK7J<Nf@L9ztB-VdV9XMtRx2O~C&BSL`h$%2%*du$jHWL_}9;pbam zU914y>xd9sOeMg%abg&dOJE&C{u1Qk2f&#UUJ#;nEI4GB6w!jv&{N^%Q(Hx5GiTt1 zctLppf7MgNC(f=@dpgxQbcFP5rzX~VnmqHGeF{g4xds{v#do|jD;7bD?e&6F4C4s? z@OLJaK7cy^Qy53!1Em@^F9M{JY<a%X4QVP=e(nTn5d7KiT^&cXO+*P+=876g4*AS0 zUl^rad42|kG!_c7uyU~QF$I4~?mkRy_0yBjjgC#b>h^5N?Fr|>zgh1dLZ>Tdn?&;6 z!(4UicYj2D#A$;M4dxJNVR@xyX83*=Aw}DY3Hq+)k)dhC1ouAQV?nt%KKk))nh-fZ z*`b+QS`Z8w(mxW`T1Vhvw<#6k*`GZWB|zoSi$OhG3f_m=I!s_`^&q0K+B6FBxCrjj z6WKSxNKo@1>~A8afTTTw!sW1a#|f-Xy7&_uQHw%6bns(QY!r3^J+e7$lnY8M<{0+% zLZuMGKUM|__)ePuX?P_QCyP^8bjRYu$jV)88C5%Oi!WpgVe6ZGYjMAxF`$BSii2+7 zdx~T7BAs{-Tag&TuQ?d$E1$0tMpL}@K_&@h1&tf`SkBR~Cq%M4wC)ez_L4xhgApz8 zcUMI~4sY#W)*if{D@g(!BL3FcU1Aw5znz{NEE}avM!+{SO_D=zQ$Y~F;=e@XKgZ9n z6n)=;8>r91&5YIe2X^pE{=otnA{KVg0swpjPP~;dj9Ft3w~wvRPnb2SSVO@b3FjLb zc(N&nlpNs7{S2T*<SS<?9Xo6EV?r)cA%vZ<uvhI(s%ZMYdOAAkhu082@m4CZC{mhm zD+FA&e*;Y_sVY+M)7zZkpX#OgEW2=CVRW7=QSgPQ7X#PX%{~malxlN$K@B`x_tplQ zMi<R?%`p2R0Bv;{ZK*h^2c+5vsr2GkR)IW;@Rg^9lEu*BQ4*x&2QF=XKtzr!Q4}#w zw906XkN5<0cLq8*DY(bL8I7PDXl+SVW?4Kz(WJPMtta~y{*@1{sdzDveH~psal{4y z$aMLH5F6fp8@{>=E>wc({b4s`OFx(4=KgRE@}VPDB|y>YF4$2y0WZQKczqYJx8m#@ zXii<($5h*3=vv=%*nyS$@F*G5Z-7f(<Dp|-6QM?3`<zLW#(V@jOu(|-WsZaI(|Eg2 z;9QZ*hm;PUNmrfi2CauFYD{yx4rxGkyxE5bgFwV;l_K2)$f01(sGX2?p-jKo8c}TP zkX6fe)Y33NE(%0eC+wR!wE<x%&bi%Lb}(XX-(0E&wlGGy>U_VFp)bCYxqBsp2gvje zu=7poTut=|EQxd9J(<wDifDt8Kv5t9Ht>H|La5O=CT^+rHQV9CgO|XZho3bm(;Z8| zMevSC6z7Zs<f9aTOaPbD{3NqhUk;q8@f8Q30l0d)Ixr2?_*f`vS?&lnfa-G-YET2Q zSR0^c5D*gL;kV?@0`&Pj2{nHIO$){c<}3!pW0N%=5`me3Rli!$YU!1|@-aq_FBf<Z z=W>A;<TL-4v-$HKAnie@(Txizz#RxR)~=ey+BR`sZu;!iZXvFk@MvCbg(f%_P%FDA zj|*s0gNIT$Mv?vjEO@Q)up;H^*w(;+zR8CN#s4v{KnA!6XHvC<8e<*X#2b0ozzNcp zc0K(g1cW^9POhCP0Yk6WmJJ@C14Dkw*Ui}WeFkiyQog{n8?X+xXhjiN7oqcqlrI9K zU(##9kSa{Xw2KG`G13h=p9+IYs^k56LtvduCLn(2GDJI^hYxJ{9AohEZ)2cM(a<Om z!UIEeKu8S?jcpQdXn}?5rGnY_NCD9X?XwT3g}^Xs+eJX=IuH_707B2f5G4>=BKsH0 zti?P66$r;7ihaScv|e!Vby5Ob?hr0&>F@;PAfpk*%^*h-18~$J2Nkv490H|q3J5ja zt^vcC5NbUPkOQ|!{bfpRgM97f@e2ZnrDnSegfKyXmi5=f>gCp}gXG1&JYhM8s}p{G zMd;vP9$x@Y?Y~nQJN%EpyCT570g1XRA_=Sj?}Q+@F(uD856ox*(bvQ!{hlsY7fS5e z<>fHEQa1rt0=A6=&um9XE|(X)a%Bw>#na$q!oX#8ys9+<10X{JiU8Ll0K5(0wV=NN zsFYI$5O1>pptb1u&x{~=aB5D{@8!WG(J-kGJ_Dx`aM;DcfOo)bYz`mI^e?-S48?ny z-J~-Dj=^3vD=!D$uZ#xU^BpkB0bg|9t_Ixe#cAifAD|=xIV&FsO7bWI&t568{oHnx z>Ds`#KN#lV%b}WyD9+Y;C=K3DsjONrMPLyg;+75pmv-|PAhzcGVay%S`aBy^`~+C` z%X&hM{wtxxD<Li7OUp!kDY)`?xrOFdMy;3il~Bx;(DIcK_m%Czz7&kcyA&iPyb`<; zW@5aWkn3u~$5#_RxDpP#67IdSf3AcHuk68<{ci<tudL9O9lf&fE1P^}$*=6i)qp)$ zEB|j`r7K_Q%71?4Kfm&EuKaUwVHs?EL*eho8YPzouZLO`3o=c8V`M9AF|d$+gV*;S zCZq!Z?7n4~dtR59AB0NvW*_C*m?b;-_O@|c+;fC{<w(T~08gakXRb%63{qaG&=BxW zr4enX;NAd#GnGL)^6k1*VT*}{v}}UNYWxa4vp|c+dRfWVFE6}YW!fV6QqR`x-I@(T z-95VrjpKL$oIZlW7NZgLcggl4t{>APXE%pkMG*LYdF$`^=1ZS;<-r9<gH1>gmN0jT z8aDy6Mw$0JmCPSo#+B^24RKX;3en~DR7$!FPModVH$tg(`9u&l(!CaRRJ;jR#iR#D z*nI(o4{MZuQ!5<Q!8_gn0Q&YlmpJ-HsK0K96;%xYq;xwRscN=vgfde#)AhLk)ihMi z41K$@z4yqf=Y;p^*R_unzrhVhqASJmw~S#IueutzvHHw`k1SmcpTe!0;IjF4qR(9& z3jI=kIzY@CRgk$36J*=+)Zr_ng?<s!%{Qv&6rTP@e9>IEW4;8{)fYoloH6{wRWUCt ztL%2i;-aThVeNE0e_rqwE;?1&#M0IiUl9AK@jT3mws1zJX08hvlW+$h$hb1;RrER? z1D_YXhKr6@HqmDK#}~YL)F`KrEJ1Yow}s}t6iaw|#~ZklhS*K2i}(V@W_^sswE;=i zDmRIJaxG!`JHEp*;VbtGpKb&h>1Nwg{mAyk>T`fC5Bmq|X1fAzGfp2J=$h(gJAf{v z&lq%_bhBMR7rRdibTxDt!P;i5J_T5^ol0Y^6c?#D!sAUDxj0Urbs^UXBGXb&m(hxf z`XQV*UctQ#u5Yc9^OP3+K$Z3oF6fMn;D*6pQ<Hr7h?HF5s;Di1&kz6?PGtbWVX366 zDTc^-NFGfBb$<!hf1*-fE6-Izr{ZncK(PI6#lEoYJ;Kn^T$k|)l}vqs)<b-%etH!x z!v<of=PQzhF%L&?zrjU(Pbi?_m5w6kDq&D@G4vvG3SYTaSk{98M?kp07+xMmN`B(n zdRyT15QmDBK_%EVEB)O?A%2;lu;ZEAMmhg10~IYp-&-jMjT7uXc9^@F_FT#jWXl9s z;)t4Q9VeG9@QE?IfqfC`{u-YBBDKkyOxMhnigUe)f0GEo8^2NnkmgYLVt96TYEzL2 z*RTYl&|@rphZI2^Z)F0AX(L-uT#3V@Qf&-BSSA&M5pW6ANf$krwiqI+55cgdR>t{P zXIzWOyv$CH==jZsqMR5ND$;qk>{@5Hso`SxD}skIu@}5@I6qjF@eGIW85IpYQDzbU zQuCC>_O!>v<!7EX5#TN49*cgt*DY7~`INTls{!So5{0^+LqXczS5B_Mqf6*ar&mk0 za`>IMLMaCkNq=~YnJ#1y81#}^k!q;HC@BGRRj$RZ;db@oXr7H}$yJ{(*H+Tmuzny> z{oSS=E8RD(jAhg+77X<ohF%VSJ}p&uLZrJ{=wWoM?lP?B&32WbH+P*7x%^eaFRe8l zA7g5Nw=u!#5H+ohuAM(J&zG`O&E)>BH1YT<gdiGt(~bnw3<otH^q#kvbXnsw9i+Tk z@9rVrOX;3x;xHQg%V|-Q)4deDIN8KMf-Z28nEvS;e1~D3ww=tpkvF(q<L`kF!}{Jl zxa6gFaLM@U?d$4_onoOr4?|{2+t!)?%1j<copLs9AZb^Rsz2T{o*S)sP^8*zmdt#C z@2l*)$I`26G<;9r<hw<R+#BP)o<rYV<X{2Y+{NA~)zF{m%mUoMZ@Ak$=e0(&$1)3y z=clQLF6^{Me*>#rcd^iGsBQSHd)Q5A&v8=wn^guqyRlr*Z6N-;P-VVs0r#|-_}G8r z?U<v#T5Zekza**8%Vo4`@`YBkgkaf)@3JhZepRZ7MkwY@PS5O7KU?)5=klCL!!tTT z7BEl;J{ZkGbe7FzA(-_sMFq6fo#kjH6$_q|`~nv0i}IWA{;J%d#wow4-1nF#N>}J5 z!czZwsntCm7+fe5A*3H)Y{f|Zz5Erl?~lG_krf3s{sVUkYEC_OBI?oy?)229dhU4C zb`RWXsO|LJNvSs;xHD64=($6vX&<<gQ`73X6H;e95PFUnENjU|@RqftAS%mR5)s?w zEzb}P<t?#@tn!vfglTz80^+s)f<mN)vWQAKLD_x1<or7tDiCTZp3l1Q`zqwrHsxiS zDiS=2d}Eu}wajk@1`|Fml|aC0=TH#+?E8JY`m2i)nXRHe2~|O;=^6Es1anLgO!C1h ztEwaircqyw?~WFgjurXq%!j=?dg3(6<*_P7KjVS09%bD9J?_+p$|W2$$%;=>ANGDU z?Y;BB#F-*)#xL$+ug8tJfr;Kb>o^Q?126wneDDorHcGPRE*Qn9b@#JZXC)mvsbhZ| z6<s7cbvK{m^9v7}6fUI_0ASN!fr8^J@Rgh$9;M0t`33XS58JWY+;t7X6kdK$KI1V7 ziz!4)6gi`A>z!2+B@OM*$Hqu&kzZ_DQL69YQ8A?Ua_ncAJ7e1nv%MvZH*?1BJGlb5 zTkMyh_}~htoL+%7ERIVMNpJ<&Z(M>8rrZo!?*{rfbWbcP{(+9<I)EYFx6WPOjzEV# z(YS%jiIP>S$cA6Hx7J>#8^c7EaU<VKy|%mW$y#6=_HoTR_qNuXm`oh{yj1@vQC?O@ zh`l11_%gAK9eLp?Jn};G1)LX32_nXqa3?7KDo`>jSSTLG0T%m96gGvO41JPS5)Ogw zVv&3SkVgV+7eewGKy}$LCM=R+03BAs+JT(}n~bn;5!l-UO;X6j=SWbs*<VE1C|xE9 zQTrgmcWsbCUU&fQcG}@~P}X16*ME|0aD=3~-=l}r!KKQbUZyZ4ToaY%^xl0^?IacV zaaA4IULN70?fX`g57(_I?H}B~+>IAZ;;~wfSFI=qG_ra*?s~i>wC>fiqP*EUbIs%6 zYA=n$QfIEg1jL_lh+pluaoklT=#!c=HY;rwv}B!4{8@6G)-6>pK-k{Zgy+!8wf!3( z1{G1Dvfu;RDkoGSZr#Q9syU0Ic{-14|N1Oy-4n3IfOQ9KCtyA1anUx%B%?+7=%-MI z2?=G9!~#~V&VsJnnblNGglGeRGb^>{LCynQYDqyM)?zilIZ<ihi0TC^Vcfh#kum_a zl$Z$dDm{y`&l-IjW?SxtHdO3~v}pt@HQ$hCMmZmoENa?I-y+o9rT?moz6SL?4gUF{ zY`}}Ouu?%>M=n5gjkgUC6?BXq4SI_<ff7G3-SF0R4M~|EomuzRH35O-r|oa0^(iID z7pbtvN&;`*TvvU9<6&vD`Z3NB3$VD1n#2Q<X~{=|0gxBOysX`i8;C%gVc9?rS~sf( zJkSywHPC?eyHNuvV2>ota6y;TxPc0^e~rBaZ_ygUsvFVrP=Pn`9j>DnVP+5Mq*e>? zv07_|4<}W~urJ#(W3PVrJkUcccm1mGwadPko1nm>F|<1<a6M*J7_`oDqm-b~Yo<a@ z2q08<A2tYDVMCY>1Q4|DkI%&e1$*h_a6rLhk$``L_Sj<>K|%3xv_CY|{TjMZrKu@p zUT}^;SSJhnkQlayb*Q11ee-o)EG3_3EG6p$arf|KjqDjg8%oZbt-Z0!+*y*hCoSZr zdjLjpD?DIkGt^Csg0o1VEIRo9XAbTA=DK9(-(G5Ezi2};pD2Lo-U@O%IC@v<Kec9i z31I^6R!|`Mu172-=AI9GEM>geigGtl^>~$n^F$3uX&rG~QC<Rd&fjooWBxa^_rD?a z|3V{R$Sy!D8=YMDPpS7S(DwZRyY^1nWkC7ol{C70^ghMEg}DBga#f=|4XTji0xBs$ zL^vB6OPQm0xq9SZ4mP!a_0q-8zz3``3Qkf@pu2-ZW3?7IhJypJX*2x59u-Yaih2Fx zOd6YhWCBWK4UR6mFRp+~-hUwDKalkwNc|7w{0G44{{iqgJPJqW)KAORRw6K>>Oi-9 zU^n-v?^3rxpj6QB83b;BdsV-u*?+(p1g2H;{X*b5xHO(Is+KyhM0kZvY)JBeWk-7I zE4{1W$`fA%NRep+gKrX)XB;8_g><=NDe;Sd0!)zC8YOswQBy$cUjxm+Tpio|J4#C& z+5>&%owH;?fIhyS-1Oc#kVP67P`z~IvJ$~abZpkWJ>N?xMYYu$(hrn*a7}o2j)L`^ z`ef(xT|gVwLgtfZuwE4=x8rsO)c>$QTm2Q~M#;IFSQ1NF14{m|&y+mK1VTkaqrI_4 z!QgTd<)yk-0C5L9%qREfAUC#|`h5`8R4>&@+y;}XQh}sZFewM~=|BaT_daD2z=8d= zUdT&T9|L2GDx9shd<2KO1_(I*mxQtK=D3OxgQ9rKd5lKjeDpVhJ%YZ1`C;f()(cum z;7~+wovq%-=>t#$1u*IxaGW$QcK7hMWgr%BS1Fe#y{C40d2$b2R;~>K_jJ7L@>=E| z0s+<xNrk_51}XopB`VbT72Ch(L+97!C49I(|L?&G|8qXcc6BYg#4fKTnqTFr?C*!n z%Q7-x*TUfr!1Hw@olSe7tdm(Mz)ORI(|X`h5~tk$<s)}Zj)UV0m;vC>zNSBwSuM4f z(7$2&yV}SPwSQ9`yag6>Gc8?GA<13s-{9BeeqRZYTxSD-Ixx(}C@_@`Fkh|}AOHjA z@l@6j*yND4x-NK+2hIORh>RGgqU>isOqTC@S&A)_=&Ge9G)-(92?2?M;IK1*;F^$! z$}!X6=^LD5dHX-SiPFyW){Tyigit$7DY%3P5|q8MWtvz~@PPUcNyq$3xW0g5m$4F) zP5t<rhi>W{h3o(s^)94Lw#Je_{+78?ot(n@7-u!d1lbFXycPs1B+TrrH!T7N@z|s^ zx<OAeP^@F7ZGZh%hP*pn{#%f|uJ|3R6%(sLn1H`J#wl=H6arSh()EQ31h3pt3<N7_ zDdrf!XqYY;7!6XCg8(H*1jpDw$uRo_NJ>U8F<}F_c1LkaU=p9=ITq+1YS@DAbwwPo z%{bvMF)%|m%>EWQ15dsJI0JVC9uAPpNlA|llFIg6AbBU>5+n&DqCj%|G=~(7%65-K zCZe|Ir0p*fzf08K+D3ZsJ`C9)us5o5>VK+-RaQ9FSa=#qj$CZ0*7{D%7$)stE`zXm zinaB|+l8n58`gx%_FVGsa2#ErKy{y(6dGu6E|U!=lz6)kbw9&xD4lBT`Q@vy&H0{O zP;uLrsT=>9Si|IZfBtTK$VB3FZCBB8<txfSCk*q@*ems#FT6CNBCGHDayRd=6wiLR zdC^<{aJ7){oVn1#pCc~l<&%1A48w?1auU(3CEdkvz1eDEo%3M)pKnrvhj6JA4_N5o z^_!<<*bLCZZa?|>ApKbL!emvqpLPSP1~ZQIojMhTtA!Oi=mdFWQpqRPNk1AD%<W*W zh9LdtrRK^kqpJM_s$%}v<<4HoOB>2p^s)XJ<v2r2o|cg&ji~0KRQm^2kNrgNoxPOL z6krki_VzDY<P3f7w2Zu)J4SvZR_G8;8B%k@Wqnrp5dP(P$!Lab^L=^8k4kSwjbz6G z^!|DM=(Ow}fclhLM<ry5)#M#}+(Zl9{IyepCKCI@3-|n@0Q5{Xv2f473qZ;JuM1iH zP5>0ET3pEDFOV8E@w&gZFwg%1fYMYu3N8E)sb9Ju&y(~MqZ(l+V`Z{A?@SjOVYw4! z*uh?v?Nb8-^ae#quAbBMtrmsqn9*I#=od$;5t#x$^A(#MxulXijWy>MfnP&+{bd$% zn;R+j{<hitxc8pvrv>XmXu?w&6v0o6k$F-~hl`8bdHX5qPkFwRQ}g6sW7CQBkENmC zbm!*cF}|K87kcMIQ(s^G)#FI7a^Vpxk<iUjKYHNI!;dQQur{W5$RF7%*DBUE<FV7n zbo|;t{@QG9^^~R(?3cBuxoezjlfEZBSU+^gST8%xHzG24G<1kv-+|&26dsHlI;5!o zU0@vYiLXXtP%vwa#P*cB{&$6O$Piz*Yx>gNLAT7c<}Ziswyh!Xx`mH?7Pjev5`#vs z)_8{w4Qx*x>w^-EyQcUo?9zdHiC1e3Lx-9sG)9B3sl~WUB1LK>$zPXF3@A>USXsw5 z->pNXrQS4N;g9DtI-44xaI>^-{m=|c_akN<{Msc}*K2yy_~fuEnf>dB0gni`1ik|r zSb9&e)4x*LZ>Fpo9g)dvAxreeR(96hg0OU@V6i&3mw$Lh5<>i9YG@K847qvUwg+t* zw*#4rHuZM90tYlcw1;wRJ{f+*?Zk8(6#tkt(vdmy75*Io5jiK{*yh@H<*vr|yEzZ^ zt$fROP5-47r@QS6ysdA4xlvlZ?=km7t9CuhZwPbk<6pVEfsqseB6>}qQK5og1YSps zS(uvG3LID2a5SaA)(CQX9b09yZnb1$YvuI1b)Y%@^$b8`E@|Q`I_Q#?8o8v0uc|$- zXy+?>@QMbiqsT8*1XYLk0R%VW5>(ax38HaDe<_blH-3@$OzO{r$$G&~uPrA!bRQ*V zub2*AFQkb)^jNh9#BMh7z06?cJe?BmDKp6LV3ZndPN#!a5wEQc5Oy_wx$kKKNS2&~ zv<pao1?gQPfOZGyyN)28cno%o20O-s9ewx#N!5QfPk@@&>tM%4um%p+Z0UoNX8>*g z6Rar$YtS|P{301(O&xjUgK2>yKyq|IvD`@nSb1`rA;QL%>~ig!Tc(4W&io?gms?U& z50t-c{Ic`oGMsZ6ww1WbMqh^8fqs+##J>zX>|KU;uSBW<kue_!71!^kgYgaKCdsD2 zD6B3ShO36)F3cBGw-*1GvV4`2^<RoDxGHHgUJpHRLz(iarB1-<lTDI+4?v(_HJ}Cb zvGjUrxcU*y%`BmAO$Jw;IE7e1fjio7uj2X*+@>ducc#Gu9CbHI-Ud$v?N>G64W6E; zIj;v3*dRx@rO~FO9UMn(646l#0=r4X!Vn;|`GLmO<@M{8%hg2#0+eop!u1mXRW95X z`12Pqa;Mf}XFH&nJZz9JiVNOL%Z57L%<w*Nw19j7ses7DfJ^JWBG|A>;AP3<XW+b3 zRO)(nm%xFYh>N*jZGaPdM~+c_0d4@yBv#RZX#E#>t`2~3Jvg*ijX19Fzh%g~5ZV3% zAudEez-v2-Ge@<s3@YF^a=+RHL39Im%Oa>w=A`#D3v9?dTJp^hoI$Qc>plO!0nM_) zvq0$vO#pzyV<N<HCBW;1R&yb81)qaa4;P~9f4itRM`iYJ%F;&emMKtr#9r@d0w|q3 zS@O;CpLD6#dxL+{T~D0`zXSuY)){yw4g|YkNNbke%c@d?f)(7D54=e5f1MS`D_GdO z<e;~S&#zq+HF}3ySS)a&jjF0BgMy#sA(tAO5`P}6goKAo&q)tY)1DEYDLHLFw6NIW zMC(*lJqZeapNH%Ql1`3QLc`I5|HIZ>M@9L4Z=k>cBVE!d-H0?ugOrq{bVzp$4HDAQ zB_%E0(%m4^4MR5r1JWsX_~3WlyYBk^^`5i$^X$FPc@Jkl%vvxd<#|57>kbrFb@kEb z7k!@tMaCBeyXR2gP;OOhAb#yih_d4{I=Z2Eg0HB=DQ)b?cObp~h<1~Ug41&-2tRCc z>Of(Ycu2VC#j~?r?Mg(l;}$x)z#Bm)D)B5BdJlpah-f#v*j!nOhk|=vFgpw1uEZ!i zuBD@U*Ak9|a;sxwBg)rBCBABDw>+T8Ej!)-^E9IXQEruNY$*Buz&ti~M@RHjw0pqv z@;0#47sQ96y1K&j*x2l>y825;JUrZUw7EJ4d3wew&#pNX)bKK&xHLka%%a$ARB3C2 z6HvEAATxoSzR>twI2uZc`p-Hx`*I6Ar|P=C@;_y4?Dh4HGvDj_`eq3le%01D&M$5l zoIIP8p3PUS&uHP@kGgucc1|pBZ6YJf*`OcC7B@_6BE9>cS>G?8S%uGT`=)=a{b!c4 z$1DM%t}gh^KNk3zMRE4bk`aCmiu2Ds|IdB;><0dG!#}%QR-fI_e{An(w|((*&gISL zobKMwIdNgE4ZDSnmFH;uw}uY5x_TM&8_AL^e<+3|il%ewagT9JP>V`nPwYjMaiv9h z+pP&{v6+ba`c1aWGIEZ3w^r%zb(?*&(GBCZZmsi+e+WEgqX~6|R(!@5|Crber0;$d zGO#`Ja1pi{h%6^}h_*QLsIJ=_`A2Sf`)p2rHZSkP(5-AjE2YmtuOgo9b|=s9lz&m$ zp3QAr&%rsoo^uMqa&GiS4@@z&ZmjN2IryZ9{#c(ZG5A?$b1XU5d}#t%yz8v3Xq#`o zT)8T<;ZV}^R+jX=3H-!kL}_j5dy_Ff>hCstdrF*>=5KB7dy}Yw$hbjyfo^++7e9mW zk!=}D|MX`)vqygKi!ieVo0nr=%pj>Z6!jKpJHK8%DO2FAXYZR6hJ5&%sSsCxN0Q@J zb?POpOG<R-J=;m73osQOBOx-iF#-?NBKOae5Z!q_n<<~ohesa)rnVSg+uqt3eJu~D zF0{b-TFvwN@}w+)vmUv>P53{v6URR^^cn5_F9_ei*gem&TmG2||Dg|`(JlWfVfcq0 zc}5F8qc<zQ^Sth#?VRs=HZT1{3q7N4A<seV{uNmFTwwj_bAieK$lWlqhc0rz>hqj` z5;o`Od|lyBZ~1nJM6AF*TXCFU0t23Bn%<JNBa-#>FJ;Icy3+skFK9UV1b93akMvPI z)HD{As3s*AzDnUlQ3C?QxD}UTo3pJftLBq+va<uLe=@PT*0QDBi0d%2Egb@6(b)N+ z5dH{3=>>5_z6|NI=sd2`ucXkt&CjbGg$)8(@n@nPV`pPr0&=$1$9F5+-eXM+e7inZ zWRZ0aSt1YbvW%+vN0n-{Z|N_cfB)2G9gXX!Tz>gi{vc&>%7obC)M$9T-4~B7`iu&) z#PuPh1BP?_3eO{!i;QjNl7VKOEroGNECRJuVUV8=m!cCKg*QuK6jJpi%M;6_Rb{}L znd8KrrV1yKQvW=#kWGfWO=X>Jidd9Dckn6qFnT>(DXvH@jAZK?r^pX?CZ;HXyhrRJ zh4DUVnghe{lJEZgm#ir&Qr014G||F9L5*A`d_N_49;w`r7ouolne?iKD54xdP_tAf z`~7d=b|PcpiOZWJF4_b1bqk6jF1myF5l%6xF337xiOR$NFb}?y)3AA|QSPd3%=Jn5 zRXWCkij{+8Furv5Xnaxa7yLPY5_;91J+jLUGB0AG2IF0qS3)v^p)T>=LL5LIo!oZ} z!R`jg2YTUxT6!EVVo`BLoG+(E_8SXgGZ}_P@dhvgNLW-&`<xWS=oHjz`0Y6vQ>2>q z8YzZ;6USnt*b=k<&cL+(A{B*gP7o*`=k{GfNHq54!i%5c$;?9^q*c<z3KZ0vUmR$v zP=ud`W%CA-FsN?EcPF_47%Qbb6JP`3BJ}WIvZz{%h5>?xxueQYqrhgdTy|MhK7<Z9 zP>z)Tx0m*aewYW;;mwRp;1~Dk>S<C1bit$nS%J*^_;Tx&`F>+PV-z7-!fU(cgZ&EL zL>%|FKv1=m{(HJpgv-|}^F1nu#$hwDH?*nBhwJJp0=P*u@}FK8?c?(3Ck`@YS0om( zNQY;jA25eE%O{2brr(FBG0A_zF8YYeqmVc#mtB!uR4N@lFOEdV%qYJlr7|Zw&66vI z9QZ4-=)Ed6K<tL@m-4ic%x4umT5AMVNwNnqGdXnv)k2}$KvY?E{~|6jxomp*Y1lg! zy!CHZ&t<2x{+7pAWE=jA-nx+nXPP!VO=@}}8c`)hZrV&L%K022iAA+ZD#|Sbj#)YP zG>l}kDj<zjH6fe?vWLQKn0p%TEa2tete4Bq@aw25kU6#pA$O9DKt+w#8da5IprPnd zjn0}`)nK4QTrr`@N-FdC8d*I@3Lh7gvuUHkMQe?$x=H?s01B4U7oS^vReW)WT0<?T zNn26JA&#%0Ufnp`p8Z2CSH8FIQlKbSDj4=8F8UD8j6b(nn7t!AP3BbYi%{}D_g8P7 z8@hUvR8pkPk*SIcopnXytOx>+a_&yJ&5@)^EuD2e%#hufKg%IizG_^2Aw*ta$aIwR z;o6g=h&j9@7uQqH`a#Djn?z2N=5ie2z$?7jAaPJ$MG<#~Rz9Cty;h1R689s#b%9j* zOS&2|^+>6@FkCBy8D`b;TqzRq^7tZ+T&d&$9X3_i`vD_ZM@g0A(AB)0uEPbDGse+n zTho<j{`AAhKA<g8`sv3PRFYEUHF3L)Xx%O~LRh{Ul}#W!jQ}&iS?5Txy)0k7$R?1R zrk=Rf2U=H4u@RK7nyAdlO@neTbP*4b!<)r_`k{$0Gph1M%sRjqrPChJPuz|GLA6rL ztU4WDDj2vkR5~4kDhjmL;g%29;%rGp1-Tb31k-G|ph%cOj4h$aEcc=md4^Fn3id>( z)5}ecoUO#2Mh(}2y$F^`j3b>vUbz~@4IN!TiDK?zZ(Ti!dW%$Dq>UYZ(FksE2l88w zk_QT#EIfn-fsgEW<~GhBL1v6iKi;ZSmMDJ5*1;|*swhU+m|>Wk)*QpYpPQot)-b%6 z!@U0S=_UwS(@?*ok-qnlLRU*yFGWvFxTBt5o$$Tfcl~(1hQ<mJbz)YOnWV8|dG^Y< z0HcZf+XEv-r*XSAjSI5@(*gFX4yIAwKEJKUV_V3t2y02Yp~zn>ze)+B*eWXCv+Jl+ ztVlY6q^la6t;LIG`NP)`8mfb8;v+t79-l@W2u!$k9q)c6dNewkiZpRuFuZoaa;|S- z*H$NGWoIcVLDi5#PQfMZnn^~CWKjq#042S1>^MdpwG$=6>ymy6F4eCtSiE{HG%dv# zVXEv&oz+Mr3~d*!IG#Z4h$Ial-$!L#_FL=Vui7|%=cdA)Y=0L`R1~!iiA0c(iVJZv z?!CBZ4r3en`+>DL<Xv@peNjn8Zc%$PuTQF~&;I4E>8tkBk_{b1Vw_Y4UTR%!U3D62 zNb^d6U;jmY74JsvEe}pQAFoJ>#qgE(OP!Dh71l4BS_U80a8Q$zQ#51r9ZgLruLh;k zm%pcT321-QcunW+Z1CDa$KG7C3wt=sAxcX;cPVLu7=q~qz6q&X{hHBA+;tx~ln{tl zqZqaOxT9cflG_t}{K2oZZ{xfFvNHSNcOuq7RKzo%rjPn9l;mtb(2L>!@VZ?Ree;m; zXh<RIpfwT(l9BC=1gw+IcLw0H03^s5w>!x&ZF$|T(EtKu-z>LLF}IwvqmJ`pS#%n6 zlK`dsRqx;wg>lQ*yMDZRxx#5<lDnLonIa65{pw2<HOL#tO0*?M`f-QLfWq)<cxm3A zfzt9*xgIGcSY-1kXZ4)dH#?`NY4LjPl-L35;YY(hASz*WY5>^qM+xQ)!7}`aL1_uD zM-XXUI+8r}jfn09Dt4i)#F?cUIJoqPMPwrXV7d63`9Z4W{A;GOQ3-53X>NV~^+BGb zXOioZ#WGfDY@W%kSgB=Rh9pNVM5p4<@R95x8#STff-Mt`MN4ZG`9n^gc)wTa2bs;p zvzcbk@$KRmUHIisb<zDeXETB%5g7#feB<Ma`A(mF7I5E9oS5g_!f{Io>X+w<&k|dc zM@_N+WLCcZK++w}Z%lHhPv+ZG*EltjYi#?XW542oQ|KGNNvr2rdWaU)h>vHAE4ns< z3}er^7yqf>VFS=tZJA~m!JzU_R!Um1xpu4q3VPLA?R<m3wPa^xLzTF;_}l=Z{QUUI z?a7S~G5aPyHisUix_~pIvUKIIbqqx6gAh-M7}jfW*+h8|3sSWjmnShD#s2jBTwK|a zEn4}i$?{ZgyS-*yFA~jh8Y%@)2}Z>U3zC^bErVhz**B6_Zi~g~R`9o|7DIiIm2Z`a zpRb~-XF1TUquV^u*Z&Q&>SdPo8IGI=m9Vhz$hF)+IeaMsCq=tD-sFgGkgYuSOtJVO ziQMVt_UWCIs!zBs!0V(ON3#|0PIDfep$7Zb;+i#^0Vy5(*1MY0%OoOH1nvAUnOF)y zSnS$oS|mz*d}8Vga7NIJ_YA2nnqvBG{_KQW`f$0ter){YOmpde07jiXfp8m+zKr|y z`Ls=>(v`}7h3jXkSSVjQrWbvqM(vNDa+|5Rl^NIZ&2gDL{q9UxrU{xi4pLJ*8Q~eU ze6D*<H^u^T`o*7DemMTXI+~5XPnCrobY4fQEC8v1BMXq%DLV>qL^l6BfQ_ti1ku)* zDin}JX1UWTkNMLv`@ch;2C(6;N(Mj>{dKDFJC9VY#ntYt#+Ol+$*sw0M}=kt$tIh4 znxjday#(*rBi~_o{@}wNJa5)BKgJdY+}M<}Wm^az&(0tmP!<rQL#y|uL`hD*Wd?Cq z_p}j2wWE0>z$?#L!v9@u#kG`=xch03?uUQ|om&i~><I+A)~&hx487)s_+S&XlABr; zEGrLvwcN8t7Z!0)>rp`^GrY>g+Q;>H=f1Xw<s?#OyavR+rfEim4vVc#|6WgzI8!ax z^-8N;=Y*Bv$>f(;hs6EZzp0}ucJ}pN(+17*dp?6}9o+J}yY<cl)s`sP2J&V*Yki=r zvh>_5hQyuEUW=2Nc+FUyTnB)|V5qzGGR2<YG=qeiyj=``+%nvE4N4<I*Rf)dP|i}$ ztoR1FSj0Gu!bYnXWs@wUWd<nrepGk@l&B$lCf{YTT!T8n=l>HWe{{`|3*=A#X31iL z8Pu6Y@@MmnceG`5!vn!c4dcDvyx3#&??c_Rv?Ko?b0`!l{h+|lPMO)ko?<+ME7P3l z&376>hZ62t*0K6~Pz{V4Qo)i|ZZxQICbNSk)v(z;;v|9<d+P{<sK;)Ua8r{=a~yGy zqp$pRSvGOLVYIHXw)mNC2W~@mRiHV|XCC`tW2BJ<KidDEwvRes)E?Bd$Hyxt7IYR% zzrUirPecv$zeF$s!h*r5=zo!lrw!+ZBE-zMT3U|(#4=ac6eqN=kZaOuMqsj^qY4V; z?UOKSU<Qef^34c-O%Pc902#O0zpvE^g(~eXS(7&_XMR?NjFUrrWXdJ4D$exlFv-_P zJ4!%AT#kPg%X>~D&V=hQX;eo$N<l<bpuaTMzD^RMICi_DGlJ+hLaSPkSiJRnv2scF z)U%$VW$c&0NoWRTF=$Z5)vs*!RqB){YqPz%NiI#Q{d_$7a{eYgMay*fz^QHZirEqG z)(L&O8udE_H_WnK{~$n{!0Qaa4cYu)KsnibFMOIbC+>e&|IagD+nr8MOj}NPU82GD zP9GL6N2(M+k`E=YX~T&Wuy{=$?30kEH}N}w4h4OF_-dk?&uY6<q<zQ_llwHUY~xOQ zB!GuZdpN+okrMJgn5T8GFVZ;pjOhE>V7~4=tuL;m8vWjF>_B6Mcbt}0BKt2Fm0>}k zr2Ch5`_s)@>Q}6=nX1{@RLU3HOnQ&W=9-)#&$woS9>vj*Twj&Vb#l*~rb{}VL{kTf zL{nSl8cyn6#}jyHM#jLh*?d4ur!`L&0A`v$8~T<u(Fvl~@7>P&<HI086PZT@K-p!D z7}IvQvzCnim-2%^#e7#lCfR&nz%to<Pe2=)M^Kgw8c;`|D(2W!6sY+}5!10xFelrB zM9nHpvCx9V_oGNw1)ECA4XX{R{-uuaa9Y7}ZJ9chG7l`wjZiW18*4GyljK*1Jtx;5 zY4kh108fApq2P$;c0oRJIei5B*Ss4z5ZfK}r@4;&rdiuB5n)iE=(1V8=l(gqLLTqf z(PZ69;WxfIQzm8U!t_P49|}v*mkl4G(}mtrr5x3%B5lK&A(K|8NpnBbHn`UciP0_J ziGC(fw2`qv$W$hl<!q-P$M}FOcoS>hioy4~0r$ocK5Dr)7Yj%E@;3TdQqB8%l1Qcp zRZyR#0&WK|khs=A2RFx`a-+x?<s5UK_Q1yjBHOW_x`~c8e{2hjFITzD>WTQvU9&Ov zYX}9aycb-uL&KgeucBqBPT5T|rPX9^905pQcKfKQ=~vdj<l<BW_1G+9%X}!N*8?XB zVOOH0&3!?$LRZ=3eMM)0*Ue+>qjjs&O24Ozmz+(XA+!1Ckb<+Ha=U;|ZIauNqBGbc zB`%OFP}4=>I){m0x=!Dw#apXhH%^4B`~BA_#6vReF?b-*%f*Nvcq&j82hbI$3I`+- zk)k9z8|f+|!_F?NqERPj#k>hZ{p;AC0ERO3`ThVs%(s03g#st&K;|3c1{Y~kt(VSy zwPcpEMxD5rhdb$q>zzg4(Y5==@}>~Wq7^LT@C4-tsNgCmbO;e$Klp7D>0DB+U*CkW z^ms=0SW<Y^L0R|AFFqWM7Tg@n+>t5@3|KD<aF5jL@I{;!Pd7WJCF!{HCI#roNZ0C= zlPWb(STDyg<_I)nCPb)V%zrzPWWYZ@us!1qvDj(vwQZ#ixFB<Mgq__G7b5^rz6||^ zlMyD6_sq9-6kkTMlK|P775&>FKF0!)qc~POR=XVOsudSdhku+I?%-!@KYxDh`*fsb z;r5dy8PRrV@KMl7&XeAv_;Wd-+<>Lk6Z)Ur3Tue*YxezyM7guSDH-9>vOfS5T0c&Q zPK?z8lhKTJUtRJFn~q5z9(nbD51QwL5Z}G*ZI>RKN5j6e^Xm5#FzL`An?J+3BVX)O zvKe}H4lc6ky$G#9Xod+XEh{`_Lnhb0|CAI0Oi8hS!t+%@)n{^@%7u)Fb5b-5;Wb+b zW&*NRU<zaJM=5A~d_)DZBhfHk@^(EkXNknA`wWgXx_y0aP_+@Uy9L+v*E~LCp`~M- zUdGrzF>meH>$7<u#s@<N%X)QpEsQ;#lJp(*wilY<%<R>S5|Jzpz*(`InQN*G7I1A) zSWp8qPw-Pj!$*O3F%|(FO>lbl5<*6EeJm5ZOV`1$%IOu|-q}2LL6vWyyeNLoYNgs< z+A8iijb19_1<<wm)j2YPxs5WVkDvBQw!ORb4~%ZqTd!CQ77F=s=HOI=+j=A>rk&u| z@CA>Kf#wSAVcP`vekrRCD?mL|7K(|zp4Y>zH<@3?DQ6CMw5}kOnX+7V5gI%sLY5CV zrM9rq7pQ!?i3UbaOZN|L4aq%ou?BF-v|^}zu#VOXeb_O=Xik~{o$se2t(yw^dYSy8 z-ek}}tBfw9ljiNC?TN}%PcN~JK)E9!9hJ&WilKOY-xaQ@uYBg2-n}3%!o|dmt34Kg zK{mMCSx(0P$yAI~i)<&ttz!N+{IAjo#Cpd*J9?uhb?-6L?@vQkw3Tk#@WzO6H9y#4 z@>hVcPXb6HK&LA8-CC{^kD?m1e#$aQXYQROk@Yfty6k9FE?T87%6b;AtHDnvVpb1* z8#MczcZynQmaQHbb#o+9guu5ugxz&vZEA%aU%rcVPVu}6d^|SjCD3dw2APhdqOD9W z1`=qWSm?85(;Z!Xa42S1SoHSMp8SrmD(RcojQD+m{M!D2VEXlm{xnLF!dg2zqS6Rh z9`SGeESoPE>viNTL76|&v87#?v-U~FECF{{V28DxI1TG{dIdrDk|hI~$A`8zi@baF z#UN#gD|J_LTz!Wx(6%tCIdZt~s+4O2dwzwp!f5)Tw^9|M&|@5i8uHHC1Awd875LFa zQDD!l2s9?vpyu1nCw3JRhUZ7pX@3F#J<wj+l^lc#MqC%IBVkiYT3J?LTR~@8nX_2~ z!_>@_oiw2ATC9N#p@Wilyn~&quaQ90r^q;hQ*i90kq6i2gyX|kt4#43I2Scwd5>p` zwg1Px`V!=C!BC|cxZ0e&Uw5W2MCHRODQrNtU+^ghV1h#%$P)b|Jg!m7uAihzpR#dZ z;gdx1LuL_)glU>TF4})QVcI3aurq>V115UzaW#F2VVY$_t7@aP5kCYUV%*p9<goR} zH-y+Dfq2;i@K^Vy7xvuEng9W1&~4u2?!eDB7h+0Js%90G#a#RorfSxqT69Sfqiqbf zCK3ai2PBM&C-A@AR3Xs9MC>|fLn2?!tgsRw#(LSo(p9P4`)f$mbhC_AhD^El7i7ul z=1{9%v2yRQ+lHBDVDX(mbF@y3?yGyv!dV(R;gO`&?`8&Xu2cuyrxp59mfNO%%V(D* zeOs)Tm#6;}&n}C%1*!_=6%9HRwlmg2c@K(IA@%dU_l>HqTzGgr5W+Ru<%H;Tcj$-R zOjG;A+r`|H=7`+e1D)d<H_|ut9K3}$U;qD|A_)*`i=G`WMibDZ#~I49c%#JUvIc4& zQpF_OO#gqC4gk6qp(`-{n=fboT|u6sG~Mcec!dYJ-~UK&qW`Ko(!n{A#m%M9pnZy< zU5*8K`A6~6#RyCEmvws#h~5aKzIouu*&3d38pwfoTBA7NnUA^9J9#l+BcB|+`%=Uf zk7bd?m7@r1kPMpqGzHA|rqg?2VayKF(OQqGrxZ-N?Ov=XzHb{A+8c`hV_y3brEFKs z0n>J?^N7sx;#23D-k*vn_|V2cbHW%z=#G$ZKi|Ut+vmQG1qfZ!KUdF7k9*>jJ=L%L z^>|aU!Xpx(e5MFJ81ny6!MN4Q-aeK0wvpT?A#-&B2y{Ekgl<RhU8}<S$*%xkA4>0Q z)!9?UmGuaaggDkc{gh(4Vjj@1_N&9nW2y|nBhV+gDenLJu%614+x+Rn`Xv{<Ir8LB zD8qwBRAG_EU3y=e78doFXUA#FdLzcaDFkpI(W-9_2hLQ}#n8U^ecqKw&xsY#x<xmo zwEte{sAGJ{_24ImuT{dnLZw_LTC8J7s3Xeqv8=%`!@N)`vRTQQuCc9%U-Hk@n|7Cn zeX`nEfo~XQA*c__p0ymVv|O=66#IK47$MCupC%=5^f>3zNoB8gYC=bRCVm%Um0HnF zukvBn{LLgDB+p=HU(O?#4F0_~?w=|WD-a9e2RHX^J0DpwZ(+Wi0)$3LE~mCq5B$XI zaZ&QPhpu^54%7KsKNPIE-94POF1g#U&fQlCO=ppEOG*+?d2Nhqo>d9Nl9F)O_QhT_ zi*kEM2CG=#&9>zvw$I5XuPFT4iyeAw$%{Q^`-0jed1Fv(v@E4;SmgLqg&R2KyTjMj zeXpHgZa9!Mt&ma%;l&>o@AHf+ilwQ^sA2aRAS`NBvrw&uSJ#8X-?hm??ZCBxDATKj zcnus~jiMbcCoQJ<{eU$23b{`o3X7JAG=|3?iwzk{4P})-#9!%9sPwUcFbe)QEir?T z3jE}<KPb=FwMXc7uzo1ddQYeJhWWneH@k`xx_TKRzLr?u_F`c!XPE?YxRk^cw=TvT z#ztlxcUcY4aur31^`0ALHj=s?UNKgz{9syRxj2~p2A}Z;LY>t5eO#KuQ}e-2YG@U^ zg!4T;Myc@ob)j~#K9+211ZMld2vR)t`zC^-!B5_2`zu`{k)f0eZ}Wd?^)`R95i4qY z+nVtQ8wsnyIxaNjbXn4j;=I_?W7~Cz<Y;ZZUPTx{V>UQTV<wzC+fzZ;oxQ;oSEPcW zQCC#Op~AUQR}>Z$aRny8&&s*@)@CzyX}6z0F_W}XeEd3XwHPC-lWrdnEFPIa1Q8;P zSeE9><il#GI@S{H&-BjX`MO-^)d7+j2_!k^ODup|`&#OIx613rl(h*8Ml$8#$@A}1 z)4iztLX>#Y-U$knkY{8P55iSyl0~6rS?5ozLYHssQ7nHrdd!TGdCck?R$J>UG!B;Y zy)G~YMXg??m@mXc@wP?#)gt2fVB);Reur3gN7h#$oo73wQTtc>YgL>S3sDoxq--wN zVzHJ1k=)x(+~3S9PRd1c4cdJhcO>AyzHy1MvW&lawdAXdEdlsgQn`U6jYUlL%ygv% z>pXcT<VDvKMXAV;H|PLEWWV}iZ8T<z2q`4(szDWnRhA-c3}!u%IP`c%x`V3Y@Obg| z-78?$PwITSC;~jD(gdE!D2_{_;>nX-FwQdna->^L%8?(_^3rPNNE%@A&lFU^&u~Bd z&uxOg=i3u{EJV-j0HEesr#;0r6V`n^&~F4lPJ!t$Hw^#7o9ranpPdkRpB!_IPx*(7 zf`512f8=fUS?9MDfXG?^mggEDi0t)XM-1Rz_j5YXZ}jn(`%PHged&GpXUf9sU5`v) zzilTu7%@RU3N9oF?l0;Z!v*@cC3AQMc-A0~LZY|il)7IUJ;QXNWPF59Y@<#J*bUtR z6~s;~nVSSO_DL>a>fUm<Xx*?z&D}<%Fx^cuLMgp;7qCxnIVjp2!3mPFxkF|D;Of*9 zi8J@55o6S;7N=oCz@A`ZF>{jyXKtO0P)u)7z@C2N({3YjFVob3?`jD+p=vtGAHTuw z*RQtaCg(~^wV!x}!bLa<ZX<dqdT5Str5Y>&u^1i-VkwaTZ8{y4jLpw|kWK%jx1A+} z^4S3~--ZAT!^c5%wfbiJXz)()3-iCo(#@NDXO98hid>+6sVwsk>hDXc&NGKuU0zX* zzYoOG>Q!7-o$uV^nWwzSowk~3JZO_C=bNUYROS-#s_k=KIfRlLhQ8&h-cc#NdV7%@ zl$%LpW8(Y`L%^0=AeQVKS(?u_4)ajJmJx;|RcIknN|1yz<M1mu0ozyqxk+AyHh^h? z@qn$@!&={e(+j*{4>i3=COh$jvudy0DI`+&jtD4!|2S%z<Y)RyG!`nLE^E;O97${2 zZ%eh!A@cf@o!ZAeE%w9GZOuYz6GiYBmH+sex1d))A@-DW5yRBL_g}Y8<7B_LW8R#7 zxoRkK2oe>&F13OhT;Dd;_On?<HpoW0nf#1n3p6y%8b%5GsVlu7q2>1?NYyFGms^IG z*`!$A_C7)Wdf~?g{hej>o_f`nW(e!HX1>+QpQT66w7pQ)1#U4#Zk`Flg7CjVM2hE; zF*)Iy%uAL#;#);>?n6Oa;-^MQZ;MVSTzi792LCrbr8w^ly854&Xh&EZh$TXW6%QN= z(l|Bxaz*8wRlxB-G|{&3UJ#J-$+^|V?D@Li+Y$Ngo;B@%@R>hG8COQaZ};f`v9tTV z$FZ=y{zsnwxGtXjD}pVvfU2M-9>|nbCs<sD>L6}Q$3COVB}+hyra2JE44W&mcn$@A z60b1=u@ddDg+&F>QGSeNl_e;PMxtp&s>ZA14r2WWS6vC5hu1G*K#NBjg7s3PuMJdg z>nZmzGS$Z_L4vPm1ikxM9{~TO^Q;8&gQU{rVB~nDQq!(q1)lYBclg?`#~DZ8L2Vf< zY#$tq)VzJHPi<CjSJ(J1Ejoojc%%oU_x*p7JE}XnjyK2PD6woW9sNfET-S!hyYQk| z+$WvyDPEk2nG!bw71^YI;k$Kfh^CHD8(NF2)eo>rKV;{A&;r?ga$PT-C%440_rtXR zYhJ#3pWg#E`xw3fPdzVyqU;~mBqGQl-s*zeeJOx~MiuD!G>wH#E%lXiDD<qe62$I2 z-$*3Sdk5gXYEa>$dZjMGlJmXvp*etjn$@unTfFb&4e~>zuLRWQ&f5w3VeA15FxUE# zD9vR4QHC?*;f@mMop4!i#%p_tlA55*OH1k(#9Q`z*aASy{bdp%4q2AjmeEag&$C1H zTjx(=X5*P}&bLlMXXkn4LUx}LM|kKDhX&-0nqmMFK8WexKlwKxR_zbT`ztDIBJ92; zt4amBQhZzA^!K9B?gzkASZ)O*Tnd4JoM-fPRbj^ABaSiEc|pE`za2~M9#CoB@REi< z&sz<OIMH93ZfLSPB?^*iJ!WRwv5hwh{y;N0EMxeC<)+>wD;)l<0!{B!HSyjz@m_Rc z{R}LxP+l`mq^UqxskUFcDf>5g(h!2T&yVYSYIf!R7lV0L^|K5jp!e|as5j%q#q=sp z;rz$8E0GA_AlZxW^vdmG(&>G@(yyy=-oJJ3Q=EO*KT8Egc)IXLX)1aIplZFr8Ji;F z8HZ%<m`)Kc;E%n<qfQpsgx^IlU665i(5VYP247tFCAB+O%p`X3H)A`oU=wDt+k2oW zpx(kA(`rKG#2ros28TkvbH~pXL}l2U6&q6qhIy11hP0_x?-=bhdKPV)f?Ieqb>y8W zUsX&AVAkqQ{;=9!{wg)_VrNjqSu_MT$l4>SE+iNp3cwMW;Ou4)e6xm*m9ZUw{8!H6 zw3Fjn%W9WKDVZj^D}Fa%kOF>cDmDW|N?tgeE%qlYIRm8ot$nKOS9?MTv|B&%4nMKw z0LQYO%B)wdCU;08MHs?kD7_FWjBt_GkbIheXI-p-o60|cuP!B(D>2IriJ_0#wGE0e z-m*4C#8*{ulKa+=ea3)t5nx%om~arRbdIF$zDM#B8?RV#Z~8*p!t*j#)sg&VZ?H8% zIm`EmTAqBIX#k;E05YmQiW=5ydU?elRyE((i{~VXR80d@SH}Ty3%1kWxSN~hd-`=o zbR7;x2&O!1TVm*JhwhlPI%q@9VteT00Yd>p#!W35N7Bwz0*ygzu{{m4n6yCpQ2f}Q zgs0m&p+|mjo#`1@?0xT2g)l7sN+5B29Ql72`>X_}Lz5hgG-#aJa?eB!!*-GDVF}Pa z2f~PzjNcM-HgeWR5U|D9!(<-HVGY%Y4HAbXd@WEGLiXXmG?FY^RZ-74fWTYH|D4>C zm`g}0lHayel6&3_t}i_Ug|<#uVQr>!YaCv{HI7Uc^F8LPL1W;5rYa)md8S4(YTD2m zvQLA?g#Q_{#V}i*>Z1qZJ6~h|XTlC4yNo4kFCqJj)<~!Q<Ny3ML%^Kx>1(Num38Nc z2b$LWnYf2)Y7`SbfH(vV-gjLY0NV5QaZ%mmdn!+9#HgBhE|I`Dz%w57*>akN$q?RG zLk4yn^r}`<e?zPsa+P#gKnb@M5blC}yLh)LUPY_)I{m54<Lb?CE|c03FZB=3_{C8S zDJbtep;s=WO*>#0@8(cI+~~Svig4D*)|;knPnrAnzL9>gfBMJ4ezG}UgsDINEr&Z9 ziRA9!UR%M~Z|=Lf9~mgL<!SNu3zD_z@x$2ZPT6|j$O$FOaR@GCB-9Zq&UWg(B{o`R zh)-P*>RXulVbc;m?K4?zfy<Se+!uPKrJ;c_!zxs<uvNLb4cR{?zA>Na$*LWQ9kcw- zTQ(C#K2Z9gGbQ?Q4Z40%!hB|!wrUvWqmTC6?1Pe#$a>Y>3s<2?r8itz&XY}W-e}4~ z)fuE==RU=#&TvRp=xHM^aVlXC7}8}kNtvaLT{4VaFXT^Dw^P;vE;Tg+yL%?juo5@) z$XWV8i6%c!myHOPRf_!W+x3pFj;vj4<-EPR>ZT?V)vCi|7$)u6U-Llnj~ER&cYm%u zhP?x@A>l|E6}8aW4D96Y|4jIKA;xPzkk`cM5bc}p{2}$QCKF`N5Y#^r`ddpyN;E=y z0_p2Y9)i+=jGbm{_DyV^S5BO}(wx|qagrdzEE6bMWLzLqW;fre?4{|nfa<QRd*)QB zwX4$9Rf)?R-U}tNA!{lDC0X3~FZm3zB)IWBV$OlFw6rL4%CX#Zw7z1eFJ8&Pyx*ya z6Jx>1v<xV667jL;h(nqvLpoFf(*KC{a<a4VLwR3lVPLPoPc2{aDP<{WU{NF{@n|J+ z<1fS}>Hp2xP=@aOx77Xzm0^nav0DFJ9m^ySi}my8cw|BFseAx3di<0WEsk7JK4DNk zQ)QtIVmv1-F)gjI_y-EQV_>|~|7Hft4dk+d|H9?Bezyn4X1w~BYx}}gC}4~A-wI%1 z?oeYh{#O>v+2a4{e~V3=oASk%6;b@RpkI)E3b^>y{|}bZR9OEhrIm0dA!L8cP=VJt z_B~FV6fH))0eZZ+r||Ne|EIM7Pc(8_^B2#XCFi+ui$Nuz{4X|gfwH?uSE0}<0}OlX zIuNPs{)t)?Defb6d>Act8&!=4_33A-NjmCqS?WnN>LprgQ9zu)|D5{Nr^sP?RR5fk zxN%uQgVO(;lks6?-Ibz#Vp6zqQu%~Z_Bu<y9OVDL>K~*_vX`egXa+GrQ93%9zM$Th z2WYHOam_sdB!z)TIgY*1<3qI7*0{UxLT%ci*UqyCUKdJ_^*+OFW%cP_N_qH@3=Q_m zalfou1y)t+VBbou(^W@BVg#O)%<oZDN7YG?GWh%^JlZQ>wVpqW<ZC}{Z+sa0CVbgN zu~fFxbcuNu((g0=`YkqbHRUlm`TlX~d22&UYHCKN-r$G~-bcxXXv2A@wh+&?mXl88 zw<lM>j!Ey|2|-A^lZ_2hqCXexuu^!;(wvH~tCC$SU@&8##>vi4f&g@Dd_l)zC@c0V zd*&x)h#uMK9z@c7htf<dszVs$nVCn2rM23DGAe=Y8qDIl?kkv#T}+8oUvTx_p@^uP zOQ<*_r%)1%8Ci3O$-5f;x@US9apcoKj}JW>Q6^>?C%9AnN?z?6ohd7|d2cHrYHjfD zvR!ih#HepaulBIfJfWlA=&F0cA9ksjFK@nE!Bp<X^d7?e1hfx*HNTEi16T-HGA45K zNIVpCTXQ4wC%<H|2L>IgRQ0?#bo75V29x_$#*q(VR!NE;j+c8J8^x2Oy78k6eR+<< zIsZz+jY`N-=)4P4IAZCQtQ+IJF`1i3;D79I{^XcXWH4r1m1M_;n<bsl%R@&*HzF#b zSn@`4dgD;>ry@A~7Jx!}s#bp#j8R@1Vqc-@HB?d35ow$@2aA@tEO$>YbF_?^<yrBO z4AZ^Y=V*3Y=i#Z)cZ3?_F!0$4-73T`0NxCQy{A5d4&5h^d>RESyulzIVesGK&ylml zP5Yi}C2L86kcRh#9ya%i*!e*ocvHLspG{21jiM+xbAGBm6+3u1o!Ex)GEVN3J@K1u z&NEKM1-91k_tY-KvBZgdol}q~J+Q}j_pEK(_F3DuZQHhOp0#b;wr$(qz5Cs_`*I(; zlF1~QnoQMHb<+L+1%@VuPxQ=#neQ;?mZTgM)0Qlqdh8WRToLz_D;FM98d{Vcc$(18 zb>;c9duL~9HQHxWTG$l9E<yzEvgSD1DH=*>HvjOyUE+#hzFrKvFejY9U766|kGBBP z;!1t-R1D#w(ZMkud|sJcT*^HNa<2K+sFXXM-f3EEFXyaS$?GCPO7RD)YA<~4&nF9< zDo3F2`Wg0eRRu3!WqKm={ze*$3yYvrTm5=9lbI>!9f<GiysmHesHW<*RT+z8d&%C( zaBA(W4Pn8L5e5gGzZvr)bRr5;l6nX4Wr~onJ75T<KDJ5@QLM8RPHwq0<#m`Q!^ceU zbzp3J*0v%iZn|J?!7Mre_S9y%f$5R$*)h=ZEc3gv%%{~C?W??{+ngA-v{Rw3z*Q=! z%R5wCsT5Sq@39o~nNgI4wB(siBS}jF$PJSBI%&uicDeaQ@_C}WZ1x$a-oM#mKf&Tp z9A_NyF^&gV2VU3Wgat{Bb<>iGTB1w8uFUu<m0QIJ∈OIoU=ZXu0xoSdEgpjuX65 zRzkT>DpPZPfy`0%;%pCF$W`zoUh%vZO2{)s)wb)Iw`NOMu<@S!&Rw9kgKRyJERX=M z8ET3O?x}B7(Ptq`=_7KAwlHeLy)D^H4aQZA-4c{aFE-V}QDhhX7Lj;%Z^zERtZht5 zk13*5TZ>FoEcp!&VR{iE9M$AM4A%&c%-x3QUP9|0Nt^Flt{P_BZpe&IMGs<&NhE)8 z3fc|C$1TD!;#DW{hT0wDz2o>vTs>!lQeb(Y>1{U-I>JB$8<^9oO{8-TnN`(=%Um5a zuiEf(Ur-i#^kb=~^HB~~lm1rs=R^&-*mCsWBx4})vLXwnOtIr}mf|*~DGDclA{yb* zygEk@4uTH7@+zDtb}i*k`#vqhe`NF-N=fk*IQ{E881__%cs~}~RT_Od6bxZbECy|8 z6z*QP52|={9?5ffn$RESX{1q_0;e7B9!*GrLHxjRtq1zP*Hw9iFG+81UAj+soBWR< z{W=0g34Y$W>{B$-l+7yQ-<)AQ^e5hIgnLtenX^=Qp6)Alr9GZ3Ki=JEj`P--j@?1` z+QJCXTi_Er9<rJ{mU$yG7H^NcaANdFmffuXX{RM=y|sIj6nD2EOSR8*-#jx%%jlZ* z)u=D-n}R;-Zx$D{KG5{_D6N~2L5fdae3Je5ebGH)N~{FJt6LVZhx3S_Gf5*MO;!ES zB_JKGit{u3k*lrNj5=(Q>bi}ENXYHR$DCk1Luj(nOL7GQiH)+u5S=UhL1jpN&MwJZ zV{M^7C!K9n?XJQmFBonkDDqqv^$~7=(5yp9Hi5M{jI0g)0QJCl><qkAM4G^QSrW4M zL*p*qKU~UqNR=`bJSr%zos>{&+s`$uG_Qdo%WuR%hh65E)QvquswaN;Ag8ukG>vV+ z?dO~XaLI;t_L}Ve&DOwAc9mm6ayUKpdP|PRFaa#Q$JP8-P|<0sy;$8=>Xzi2D~Y~w zl@qdASqpB&p>IuTI>qQb%s{&d1J}CxmJFb^h(=JECf*;~EXOUnGzcH~sd~ECiBEq< z4~~$V8z+vj01Wow!0?#24*f0Eey6?b$&`nIaEDB;A(0tYs^9<*s^lpWjmnDw=c5sS zG&a(7z+70zEoEeI=^T6jLO&&6ejO4*T^E%F86GMmi2i>=YVAi$#f9llpREy)3|C0N z0ygJ#tK~vT+4O@Ae`M2`G{Hq*XbhfCZ)v(*P!B5onmvDF_<Msl6<>|_XP406aTBFz zw#IWK2@)sq<wCRQa-}f9<~39>JmjGpwBl&CAT3Pi2I|rC`HQpb$>rbmlcM!`^#y31 z%0)XeM$R|nO=$@EKpQl+S}J8xad=$!_wWbeB7^JeNzy$RX%N!Q;B?ZLGhFJ|w!q<w zp`Oh&Qq_~g?iH)(OkHy<rh+#2EwB{0jRit;xs3z#3oF)*bLdwaf`0Vf0%oJU2AW)_ za8Day(oI3GgQ?m=b`++Ig97QVQg|Ki45x~P`XSe@x`n+d3zs($8Y=HeDp%{`prT7i zQ19>ZrYyMiYdLJi%S8zaTpo>+U*nd0zLJ3(9QS6_Tcf--8eL`S2}K8BwVPD+m6x>D zlriYJu5yb56mEr+#7)LQ6qP%)(j^Up<tT6jXRHqs!-YJPpD=)7y7ZXFF4Jdr6HWxu zt^KTHv*?`D|KJ8yN{t>P37%AKELh&n>UjUX!1#-f;}mcHD}D>_z2-cj!>tjq#Lsr| zy_0~Z5cK<=*)0A}&y+xeoGMIOIdmM6rFugi$3^BkP#7q*H?Qh=u{Nj2^a79kP~OOQ z<Dz!tt%@E$fV^=^`)LQk+y~&`cjE*wQ#+h`qO&=VSx_qQWeF3%yVfp>W~NF$iQ$IX z#vtwoz%MAuL}V}6fNGr4ATe|vU|aSJ5m|^)X)MsYksJ2c3X>@C*2LmiAt#bs=CER^ zb_iC~$J-#c!dG^jGV0O!Yecb&eU=ohdT%8YZBF?2!q=~Ts!oy|kw91qLbZHij(MCu zqtBgitaA9er!vy1+TZ8N;N*t)#kg+~Ll+OqdhPuuF3(L_5Vg1K)5vOV7x{cn%58}> zALCgy3~3+ZiwyIKlno;EMI-yAdJr($lG(t9&h<xs$DHa=Sel$tOj&Wj&c@p+fiMYO z*5@wWMZEm=5}0kvq+Y(Jp3(GhYG%?g^Yb_Z0NclDoxpf<EJqp_y>3;xYm#Rqs~sA> zB)_$iCwHqJ$jk5cV((OBd4R}N4W~!kezG~CWz9CHJDTw`%0Yn`52J1HK8sP|u(#z# z(`a9pNVycIke8g+n|wEsfe!p9R?bKIytG|Fq>nA1kUV&OK&~1GHvWjFAY5XIxW!=- zx^6q+ewjHqKQ>o_^TuTWm{x1coW?Wr*xmGZeB3lj!gvPXC;_{bTq^;4fZa3>Xfwo~ z4(P^5of7Fn#GDdo5yZY42%s!nyTN%GxUNd`a7y{bW8)O}qFPe1OPgAzA?X2*9EjWP zVICu=>C<>%bJaE{tROF}6<_u@caO0pti7lbcZ6HgcmQFyqjG~ZI~dglbz4d8x{QD@ z6>TA4vz1(tV|W+;1LkDcP!kBpv}~){#WJMMi;8AYk<%Tiw6A^15aUOhT0aF|UA12+ z2O1kW$(pDeGZl~|cQGV>K`}W<Ls^_=7(yIBPBQ=*+6<^7qB*6t8^JxzZZw3m<6R#! z!zCOy{7KON0R_w+J}s9hMkZ7elh0YShzgSnKrh5B)c}GRTx#enu{^|d#1CCLv%(eG zS{M|)Emho2YDGViO-OqWEFtue&&@v;E&x>ev7esdI1rkD!z5l*2CAW1K+Q*mXGD?9 zLoWLZi>5#+R8ttN<?)BYUA`IF&Tl8h8jsix!5%jsFN}?Xqjd%ci=B~;Fl*kOPyHTH zJ0N@=6IQObC%x7F&g}`%>q`ywPEq7tT76eCzi#aan+}*A_d1&pG<llpG2bP^`<$_A zA3$fSLQnQJ3nn^7AgdJmB(Q=IjW_*Uac5#z)Bv}BZeA3uBMz@@x#1s|Z^R*4QbDzL zT2b8s#P$XVdUV4}-yO0mN)Pjmypx^MFW^$WygrYRAU-+k17m`uud2F~?GcD9>1e`& zb47Qaas0|0_8K9)Ka{Gfp}!td$sd3rS%Wtf4#!5ycfu#g-GI0x@<Kl+iP=yK+3hq6 zxE~Rw8>}c>2)()UvoiRFZx|u7fRNLbA=(M^`@>b8d#J0HO^3q9MBV5|9xuzugmsIG zmVSdRww-(rXA_cj8lkLT#QoEyiTXtt98uoJ?O;bg9}a?Pu}NjwaK$c!T$jHW)6S2* zu>od%>;`tFStWdRC!oJMN*A~vX>Y<D5S;HzbqtKZSJ4h&`zXPkCxC~|kFE-#FAoDi z3)U~I?B%+50=2jI9_NR{B!mjS;Vi;2yE9%NqsKnVYZeCF8O+C(*98X2gIjvKCp>*l zpbt-RPF#1GosADssGzXfV@}_<*R;&ctYN_P$_Twc(}(V3*KF-ul#a4wcsl_0v*M1k z^jTXZ3$`mfoo;w!Tn@aSY)81HSztO!eW8$z;v24fd1ImhPtZ!x%OpIN=30`<X0o}y z@Oxc)%Mb2hiiAx^+gVN<@Zh@qqajF4BcrDloBgOpXrwI~8UoCZf)!CS%Js*AlQW8; z&DF@`fYbNe1W+2&>JM^&=`m-9Cr)AR?aeQIk+?*L;gN;;I{=i^?EZR=FM@hO^u<dl zR%zg+ef=ZP-lyhrVLa~7AT~S+J$zDGw43ffNh4Y9*F-M(;IZp=$~Cp<S0p>IuiNqm zPG)*<_1;zn^9Q8Fxqw{Qi-8k5#d1qi)>4ahFs2>zt~e;J)~B<9aIaP+%9Ops=#5#( z_&Tx)iXI-!N?gL85UN$f;agSUQmws7r79s3e~oV~alw!0zKnPwULF@>xMBrKCV8vs zxC+5IY4i`4m$?)A2o)LN2bhkmIesg=7|}crVH97YgU-4Pn>I{a*I<KFCj^3i*?=KO zWH|vj2d+Eaj)C&IkU%GRJ`FTm??$|WM%U<+7g3P_T67N(c6{1lIGEaLu=^ouPbEsn zvViWX=3^R9W;e|5>{lE&0?g+{4u)}D<q55@`I!_Gn)a^72`y@Z0%kg^D7?e$b@KLS zhAqVn9PV^R8%w{lKe`Ew={jj4@TEQE)r@)}Wf7H|H+1QGRWA2k$E)+c#zXK*rIft; z{Ie6k|7C5&sP*mCEOkMN=-{&)j(bqa&KP>?Q`tD-MS}ci!+yoZ7GrvM|20xQ`IaHy zIuH+usw$WTG<oQYSi9ol)cl{4LYBV=UI~lW)fSG2e@q}BrxU<IWX|3&6WQ(={hNmi zr7unt!s0(o-gJ@LNcNmBimY22FVLbaXms30W_`FX^Gp8nuyknogQ4Rg?KIDr$NHC4 zZ{fXA(8UmWEXz)VCUsR$=PGuOz|DpN?HbA9WHf~dH@RfcW1PosWo9fend0O!;iA8@ zx`hb7fQK$5g+%k2Y__+{XTRSj6!OGphernxt<Pb%J?k?M=sHnD8FUQW8~7h8JlHv9 z5X>$r|G2jQb)ocT>@bHkc>3ygrnbU5ld?PU_^^j{{16oriHXng4nI{Xl<fK^8KyUS z_9ejiVmiDUF2B!@-0(gZDF8??h#5rGXHEj`NTB_K7XDo0)@@Ctx7hISGC|J8vZ`w@ zT3UMh_*;@a%%XbRvtgBdu3CU(klw4Zm*GVKr99E2PD?-Vpco58>ZGHT*BpzEF|sh$ zfW6;Ks&lvUJzMo!!MNA-VnTaaUdKm;D1hTa0W49*jk_TzOQ*gaRmA!^T^Y?@c?W7j z`x&2n7#@@8SGY*s?Z_HV<rE}9yEuh_gz@k+ym5^QYyAqOG0>dd^`N=aBP|RWe5^mf z=o?tyVog;^yR%&5t+a!asRh%nuN$0G|2QP+6#k1NEf?6u{cf#~+0k%Gm~SbfoA$D^ zHyJ@=AZDFU>`nO;<VjJkryNCmYb()_gLH!>roQ5=BoQL0@e3HkYw+c-g=Hz;RGAc7 zsX3EPWEmdL^ZqOkZE)4JkLm6GMDPyb<%#fWX;pzw(okP^7qq3<vf?T|6aXNXGSB{% zg5@RFhtl0t8wNkd^5tcKOHq2|Jr-WxbLq<4p9l95Aee<JmMU{7tYzmt{L0wfR17yg zf~i-9D0$;hLj%#VE+T#CQlE7kNkiBdlsv;&5+^GfBglVC5Q-5TmN%q*N!s#lKwk7k zrNLmN5)UH56hoYR1xPQ+tWuN$TPvp{eX!aQZ_?28RjY%uWv_w&tD}S7!sl*Wc_lY) zTp-n#_b86x5E7}b;SgfJCx}RC)ra%`y=aXGp+Lz}kwHEE7ziSIx(RmIewJZKefdyI z<W_|0NN)t~9Lq;kdyET1DGlp!!!?aRyOO^|f}TRYRs)aLzN<&?ll-}(io*aDwtv6p z4DS(~c5!}+tu%hBuSETJ&yPfyxAfc@8|?RjevR^eneY?Y-iL-OVmgVH2k9uBK<ETj z%RjW%U>1lQ$Q_RGF2mPQHfN8?PP=mN=LTak04^i0_9Y^``kdH>&vZsUjas>4b0+D8 z+}&cs_*4C?P9r<AS)XHU5UQNtJP!L^AQPsv(f3oV=0hh;b`ef<;mw=ctrCp)&CSNq zS)cq9Ap{d42on{>vppsE%C29Rj$4<KrynwM5wn}S1@CXhOkE6(Gu+w3_~ru*yD-<B zm^f6jXZ;}J&9vT!A-y=y0BPeSmy|Qs0-6i|fIK<Y)da>cFIu-CdIQV_06h2Nr4B>< zaCld7ulc@G3$-9Q?@D?dV$M|L21QtGXn;La5FGRb7iFA!6A~sYW)oT?rB>v5q-NT< z&)QI_$ZZSgTRxy_`7>srJjW3nT0<(cCh!RuQafbsKZ~2mg2ngHEsSSY#0IwfKvkqI z`2##kX!7{NMPhb0nOx2T)f_S|my?G3GGd@rp3R~yHm}|EsINf{YLzje>ODtcZT;c` zpv+ZGbdZMEPmxzA;%Dcxv5T>>z<Zs{+W}59<Bi!~c5$Y1QQcGLWxhI#3!5S+=*x+6 zin{m=Ia)Z`(`c$dFvdCaV-IoL7598qt@DGX5g=OXr?xz<0gL%gPB*?E$E}wJ7nOU@ zYn{(dWzoNMpt%dsvz1sRf{%4Q;54RDB!i^A!ZJ_9T}R$yyW;TlH5ceA)^2`+!gU_D zau%VAoHb?j+2I#5ezl3h!;@&t19w>>tHXFQAs-Y;eMB2(09z$0#M!qpdTg%cc18SN z<+~D>OU}c>GRQF(Zxf@V)xIxzjg!4)_O3XWQ+yitOP?uH&uX2SD{~zzFBXbrsV%_` zjy@4i(qxjGYeaByAjI{}%rI*Lma?Vq_dsUwY)GC{Jm8E%nMYS6>ButJjSWa}PS7EE zzQ*w&$uk9ha%d+xv#*{n4*m*Gts^&Wkw?fCEQZZx)QLu~5^X9QY&QwZ{k2#mO<Glg z2w1KXQMTfzByTckB56$Gd3-x~`^x_5UTLdddCR`|;9fcLo;FU>LW-jmcjLb5au&HZ zR4y~OF@KrP7}?-X-0W>qY*wH8eCPJux_B7`Ai<cZv2Y)lPRW4xSA6+%pgh;~xvzo- z2GAH`0WcMl>suFN8w}~@XG8WWvB1K|e%*ZF*cwbUKLvYF?}ME+;l9ejf&VxBF(W^f zy0AplkfhUXfFfovOw~FfSYs78w_3@<YBBXwf+EX~^@FIBwh11!@rKUI)2~zM2#Rzg za6JUS`nx}C2{!|$e~A(*6?ZaO$JyfGEF((}rix}}CyF1;WNm8A+NzU^qrLHq7ECfF z78?ZS&xXOAm5y1XGD6f$C3#(`h`O{m+3b3WWz+WOmBkmb-SRa`c+|kS;NSZ0*PV9? z-lNYj%MmIzqEolORbain(1>^HNveAPtX>9G^g;$`bfP)D=9H?PGsmgUJa%$JgJB!6 z)+DN$)WS=?nj{2w^ivnQ98;OpN}4sSW+>LjcZ>wa+pCaP?aUwBZfhx-xxBIz4bWxR zF!X!XM!kJ@@B^0!dbLC*f_`&q{HWU><UI!yTSd<)HKIv`f%Xs0FES{$68~LfxL`&O zKaML?)P{<ojBF<R0+sz!U*0|_I*)~@HK4{^eD+Q!xkbrhpUU3o<-@j-;zYFY99|;K z3C8->A;KnK+krK)W$RVbMjwvAzR&qu0-EYE8Y<(5lD@-xaxE$6lsmfJI;%F_7qb1* z4-E47@r0bF0!Xg$>CoCj+j}R9si{_qHq4|A3Uo|;epr3b8z)fh?-#HGKcwhS8C%<{ zm)h830QYt9d`47wH%An_S9SWBlbT0VSZT}GOy~bNG+tU7T(8bkH{W-B>qeSB9PvdY zkG#h=(zO?+H`P3$;sH~N=J#6I!t@a4y*?JOb>~#AC_{-ETg>SdFXTnPa!zg1rtOeQ zB8jvT#Fi=2S+X9uO;ZQ-OeQa*<!)BJi#pzt!Pl>$7xUwi?pn9%z6AOM>)o_6E0pkq zC)?H$Kh+g8p^obx(mK~pbI;wRM^|$eEHtm?STmHI>gyvtw=Yn$4<n6_@IB~>2x!KC z^krYlI1<Zwx8<Mhl6UEl?lK)m*}9={g9&#G@B	$zgR^YA2-`fBAE%Id|Db&P&(v z$(t4|yRTsy05kM9GKM7I?4xr8?D7v3gJ;Xr_EFkn-afcXqs+i%;v-DrAVh@PDp%4x z#v1Hrw0xURtfdIy;!bVEG^gkf5Oi1FYb$^wjUS>WbZcf41FEMY>uHEW=D9KZ3K1A| zQFmb#rXT=a^C$E06>f9dr_E)ExK<wjEQwis7Im!d>!oWhG9SNSZg(a~)dQ2z*nRx# z-w2?l3%~?5p85`Rtf;%xR%wq=nu{pixzfu*wK+bQY$A#$KfGu1`>2zITLs07jnIae zKjkc?OEcphb6r6d7l7#_`FzY5gw}mz_1-Pj22P*q{UV<CR%0rovUU?g*gL&tOc~x} z8G1TDSSI%7dSYD8*9Zo!1C957#S6i89EbbX?UO@muS`{aM?MMz7O{#*!<=$=($|$b zxEPjyI+}IsE;_rAJo<FbiixPU@}GKL|L``)_QNc<EbMSuwXr_k&f`D%Fqii7n+Q61 z$m>)ynG&%YbN&ef#Yk3mf~_pq27Oeu>Fg3iu`*y-R6fOgE=zigoB5uSDwT)NvI!!7 zE@Os@mF2s%lM+6WC8$Y?jy~LAg@P>Vp6~=~Y!6{AucRF{sKYLH;sI>aw|xvi27PaX zoW`YnR&pJOy<|uEXHK_Weu=%J?0%KyY|@AxB9yb}q-F*JQ&=gxg#kld-tG%!^Qi@x z23)4w5gojGO?d%E(dnDsl+=A?@rZBses3=*PRROzG_FF2zn$EXn6!Tf;%aVJ%CulP zNpM?phS6g0SH?QSJIc$@RJX)rj@-U6S9$)ylddmq{%B4;gOp0BBSboMn1}+A%qbJ4 z`v_yOC#xdlyDrs6<QUaX7raeQ<UXwpP9}cD{;7gH8Fm_>RkHdG3y$edmxFig*7xy3 zuTEi`26B+81^Gjl(bO4+M#d%&V9&-PMIs2$TVJhyTfr?s5-6P%VV_2zdHe!il?A(5 zdMbP`&YK=6t4?~u)d8%$H0qffv1aY(E?i{d@N$^@HvUybJ#P84_S)Lf-u`Xw#g*)@ z|LQDYL|=izyIT=fw@2aF_Qp|2Gi;?NF}AO*C3e~<W<X7^PQU`%kVwE%#Y{j-88243 zWwA-dQY;$!Z!;jCBP|7Pa3V+!XcTW9IBlt;BP>4CDoz?nY?<!E{d+&~%WLM&R`?=k zQDOvk7<B*w?(**X{;gYjTH+eKvdev|W=HX0p0N4bz}s(EiZ^G(3pyH4=wtl4Zn4MX z0?@b+=bwwb%3@|%*)`KX1!MN&K+U`>!b!2Jy$jqVG#g2rH~wd6he;o@=NYTF0#sLz z1RLWRiyHv;)*Ln+%$TT><GQ6HTJn6E9$abz7}1zy>8p)x`jBDpY4#!Y25Q=nrv3Ji zpzu~}IL(_#ByaL(H}`B9O>*8=$#?Am&OcZwMZs^zqy2`HF?r)R7S!TS;*{29!U9GL z0v!s&`}NuV<lh6|!^>g1zGq>dvw6@Ja3;LI?hw{+xji0U%anYtf!0OAKmOuqFre1C ztO^$-PYiSuhWO>3vOy!I<+0IVjY~-j&$NVTv^%?&hJ?l$WRl*-c%jJFA4z^zxW=8X zA?A&|7=NA$lN<K_ZTR}ao^iTw3EF4Za(NCZln-E6^nA9Ug+N|vZN94>qHtpFDg`&k z4oIJ+or01$-v1+2HzyRu%Yd79<D<%R$jSY)EQtr`(KRcw!~7^p#Z!y(0z;K9GvK#4 z%lfO$Pt3i&HGN9=EhpJUY*AGTEzsh@Fd!fh8k^$C<Ck>hkUf?`T&dd5`c|wKVW5M| z+gEGcoi^Fj<U!Qg`(Ve`@VsQy!MZpi=sso+aGi$}N8P+@uvoqEqoG_lt+Tpg5yW2Q z-Mjbp54UcWl=upX@q=z-`er$eY{jC`TH$r1gNL^fRwm{9Dtbw_#|8((W{w5rih=cE zMXp%O`xIQ8WpkJybDuw2sRo=jQe?&3T#MHeFR!r2#*Pdt?}GG;;9++~b27W$ry|kN z%r$u7-aGP_QTF7-uYEyydiGx6RvDDfDEsQ>TDf4Uu>9RA$F~qr0{mma5_>vJRC43g z#c;3@`lx&7Gye>%dZGTaqyrwMsLWh$Dbp$FBz{-1hxknJQ+=ep%deu<vWU~dh&3|a zmF%%o7@L3n4h(059+E26<9%#CT<PKM%%}Hp4@kwH5Pc;oWwfT%3u?%;tyCLLi$7*d zQsau8UKO8EwFfVi8oaN4bO5K-*5oz6zWX^74_H#P;})^GWB3#sQXwLvA(9Y7^CoKl z(;QMb?Op{zKS$4E4WbZ|y#9*oOsnvD{GwXX!OBzp*ucn=F;ZK-<#?pG+&1)Lx2(E# zZJ$ABWP{YQZo0!--<>NtcR;nOw4@#A+J9fMPRndS6#27cr7-5G2;D#FMk4C126rY= z`2(d(Xzt7WPJpJ~qPJ&)o_6+$+>LVY@qWAY6{hJ7wGo;C`&?iTGA~SqQw2jOb?x1s zllK0XJ75g0{6PhsRT(h9NccUat0(0CGol1GOCx?yojE4<(T94XRu`edLvFOk?A%V4 z33vA)Io-dfToxTYMLLV84RO>_mP%){WOA??Q;%cpZmxtqM&lPA7nfJqS%YF$fz@-S z&GKB1+1szjUDd%bMKG$Un+^Hz5IyHOE(@N1A9}`$6=^4<*iGiDg{JV;gfFP1n{cUA zVjY4aI@6`?`gQ2fjYQwB(zYttznqtgY&HKoC(EIor?>IGT;{fYx-BoMu^9<YCRf9V z0ANWr2LxlY7Vp`Af;ih0{U8y%c}%19SruV<s5y-#*)DqqxjHjW@iwn;S(K6;wRGmb zZ}{DIn&8}Z{ci4J4Vs+3!PBjFJ;JhX6845r+a>7XS4@U>3Bu08_E5cuPCHvSBRvqC z<B%BZWfqpl@68o!FLqD}TSW<(iaZ@Z%UZ-JV-nVW^y}&Rn=Ic&$9O<D%|Q-@$W+uZ zYsMtOE>HM4)v>q+^TlT#D?ZLOKw^e9_dtmO4o0mN&*{YwGN<8!i?uW+x8mBiGnNxc zad?$GA*y(u?68a)&%$FDyerz$*BRoK)M0#>WSImEjIZ~RXPMpZoHHvjzzJLapU##E zo`WqzJBT)LoB=42xBYgiXG%C{WI=>B>5o8zMq$j46DFxdA90Bq(VB^&N5CaqbqDX} z1<gcKXmFR@)*SoWs>%&>_YvE7-q-Spyyoc@`=%F5jYq{>@EW-cs%rooAUu|=Y(=t% zY}wW}kObjMY3G+tUYBAUuikw>K>4D$6k162avLp~Rr;}Wta=%5uMc7kp;JlWJz5?i zHg}`KLp>ys9$x*yS^C4a_hLwECmVl1;dH=}Yta8ugy_PI)F|8$TR|b{x(jz^I>oCz zKFN3kG%lS?vO1iCvM;K>)H%Z_9;mLl=XO{V=hT!#OD20Oqj<~UQi&3F+^6S=fRZtB zh*|`xvbniQQ(|Z3U_3}Gwb&)Ke0bTwL480+J^CHVQWa*OtWoH<zWyR(bScp+9f}Z) zMp_C9M>H&)b8QYZt29xHB@BoAQ5<S~tkc#~lu+h;-;IomzVSta8HtS@bfUhG^&Utw zkGW`8?ua}>)xugYJ_S8Og~YP3lN9`2UyL{2amfF4vH=oav(WW9d&O=OpeS5%+zc3V zi}g5>pP-3@zTiPG7YBa8F$bP8th^g<vwk=RGlTvU7q!9HguU71`O_7#9(+mDw{+5J z#NH;GS{_$aqtQhTcM>gaLFa%<?fXDV66`_kL-0EJo?GL|lgQJJ;6RhGu+S4H1MvQX z-SY56S7gsL9=#8Clnc;m2o#hoNr911+HxWBLxGTR!ieWi#-r4~fJfA_@i1Q)SmsNC z<8YzPlz8DapS9&veehV#&FosL`Pefx*NSb}pK6l1ymDc%{~$Ol$!1o?bUcu*sBJF0 z8rLJR`u0XPFN5{`olaBMo~)*QZf)L4Lgih)YGPi#sv>yBp#Im{YE92x(jk96;5_YJ zI2n}tRfU>T+tWnMuXR`|U-(~yU$&0uIB{Mfa2Omy3E!-uMIk88s)(hDqTgxcX*)K9 zf0m(K{W_ENBt6fpqK1(nqJ)ZRh=2NE3|lfVf?4nM0_t!~SJi<6F5WT&k|`-CGIx1| zB`GJzc>|Mj!hqPp8WU2FQlde&JS`O%e?AY>7>vCH6o;vxh<xA;S>C_Drl0Bh7P*e7 zhd$8b>Xyagac-#?q_Bi?{({aXi|P9H_`fW)d?vv@DMWxQNBjZ+J<_W5Km3Hi2>jvH zt*{M^qnxWx2fklN9q*sU0N32*Dv!*CK*|!thJ+UQ`OP7_mJ@ZRTL;i#3S1cH(Fkm$ zOW7B5Id{D|_fIdw0x3JJKQQU6#~jZkyoN!%N0&W%S3S8GH_`#0GJ(`^e|H?xO%pbr z3P<Fgz@V9T0wzl)t|A<9G-q?{2WH$q3JeI$Mn7>^>Ik?+MnoWrvQK<CHA->RA-O{> z<~(m^Aabduj82;IV!59;Y7p1}O-i{Px;2Y`8L?%1OlG=ATx?u$-Efox^tK>!E`SCv z&J)vVE&1d`)kF7z<0-+UumSw22k~>FPLYBpXE~5WDW|<s98CR_4Qt{iYRBphcC+!u znFRMD20=uUue^pXTt2I3N|&)c)JD6jXaClo-}JAtpWlRFYJ<C%CmVBZrNim&f~Rb> zId@atkWQ0`k(08Kq`R0h>16&%^Vrt#ESlxhO2>SdJuh{j*Qf3=cBS538&Fx=4Or{Y zGVD7`TX9y4rRMJ)epM=zL*(tAw9Nc;xJP`K3XN{`9UG^<8C1i|uo1vG>@)5Rpg2Iu zh=tO(O^!I?aL(r3^(`Uc+lFQ&9=h1henbzlc+P)3{jIRuQ^4nUkn>^F1scqe99tW1 zzs(rDEre?ul}zT5%pf~Z^!bVCQfdP<`n<=UZSz?VHvI}Yq@m>pD1OtFL}CW)N20T_ zVo)rvA94VfRin4v%4>{WU|yoB*cN#${vfrs!O2U~v3MPgC(0qqr34P!n6$PUMP$5v zjT)+yx<|JmTeyhXu&6@NDBr_yT_RQ;Z5V!k_OBD??Oc_FvBAfv4}zt3HZo`6>Dt8( zoZ8yF)--6+CqZGJHF-<oHu6kDR|N}fIs3F+85$w{6}*Nwz*5%%dZLlUs)?v#^ht`+ z6=_2Z!_;$v`2CWN(p@7);w%<&4t0CqwEaPsN2F_|-cgVpkq|=@(h>6Qmf1t{*+LQJ z+QkILcv5tVA=5@F5-kB64!#^NJ2_4C&oy28FU6#U8f2O!>%bqDWi&wiQha0hVRp!W zn50mYq(EUsOX~?o_T@goxnNpD-BAH}Oi9g&i2u^q;+KJh$pujYh|`F91<4r;aL5M~ zVD!xy?V4%SQezJRQQDMOlROfGx(e6J{F2FaDk#f+CZx4*gdtQs!HRq+ysMJ8gk!A& zxr!Gqzx74HP0Z~CQg|R6m}#}Rh{b4rJmZ>_vc%6HM}}MCaFO`0@32=U0?jH*<I0Vk zYv1BzQI0$OSrWnp=LjJY<%TBd*=KdI$L0LYVtSeUj1A@8*O^icZsq-2r={9sPV+@M z<^nSJ<!tv#Tk6QMvM_i7_jdvY{{R|bF^QwtV}`SV;1e$La~qA4C^_@5xV1<eb_;mT z`1kK17Jvl1V|L}8EOQh5oq;{{UGVq#<!eSSfxL!%=@ah}h-{8g@Da?Ity&QOZdQGD z>vm{MB-Cfw-7hFAu4v}J!4JOV$}>RuUL$R{*W+y~{RohKak_~-pinGazLQjakV&|O znY*l)(9&j3TSDJjaF)M{jiHEghwhA;4h<OF>_sT#G)Ss#(+PF`E#j{&UD~STk2^=$ zmB3UZ-dD9#?4g(ai$;YxU40uB{Zvo-9fVmQPKQu$^PEWAc<T-Qse=gH0(Bng1`pZg zPkSFbS6yA$qoVOFR(XLD_wLT%bL`V&H}SeRLm2(YH)dk%0_;4{Au-}iIZm8OWPE>Z zPcgJ03fTW0&MtIpC|J1S2zG1=3BjMWGen3omkuNUv&7sx|6OD5R?CveO+&NI&TN~| zaE20#&Ab}rDM)Ewv-nQ3Z+8n<DT=d=va;DpwEHqO<g4=7x~XUFN~dMN<>nH!RwgUX zwD2}&{t}k!PeP<{qocHvKZd#U)uo-ihroUI2OZ9-%LYz&erL3W4OP)+607kA)3e8U z`;--f);oRA7eG$(4=^$S0020Eo@Rn%pi~SZ5Fh}691H*e)^Dq$yQ7n_wXTt|qq(V# zuD-LAt*)J+E+eh0k;zc9q|E?5w9pH8bP+*lUG>2zA1ll(5W6oq)@X5Nin7Ei)K`>y z97(t5&!<O^cjLWCM*T;@=K7o#jF$V-)*vNR$IIB(hAJvj&c>Q^!;t8r>MsXC#I5AL zK>!ny)>{4ZOBd8Z$}+H@eqRyX4skKc>!E1my9VPdvkXGwkDmKmQyzzq29L=1Y6vqB zhA;jRX!e`Wce*<en+=~h0sU+5@gBBvZn2VoqQ4KQos!_#8bmu%Oov}j$p^ZWPx22C zkubRlC&f7Zj08eJx=zF)?^I#>Tf-zWS=vc4+W<A^5QNDag$(_S9AF3<8>JM(ngT+M zZT5M~7Ss@7zD6I||6MxL;<eejZ$N<NbBzCY>5Pp`F1supu}126KY4P1iqPU8Nr$h2 z@XsWQBnZTCc;dw+leERpZW=)1<AMz#4*~JVILf?UOiiCzTDm7ec88LXurzW#KUNmF zw-y{dS$ed*&?|eYSRZ7Mj=Z{Foz<s#Y^x_SBN=}d^lqiRevG>{=&Dl#z38!_b6R9| zr+Ox9GJ1Ykex9E^oZrk{7QDMHx_!P&OfROa9^Ai5`Wk8~hD?}rAtR>t7e2k1KAf0F zL)W(PC&1Re@h3pvw)NB=I@Ps*+B3W{x!&CGj9uKnjBYEF55$ET-z2{e+dj93o=RjN zj!bS3Z*tI7Gx?vs7FzIl;l6ujCiqG0S>C!wd+3G(@rrGSDoAB>T1&TmvADjzyr1qA zynZehexK-V=XHN>##TD0OOw(Ao9*>-_rCDikvZhWr0dO!a$9W+9D744{$uJ>r|#?O z#mN2h>mR+%>8o>ZXxVmeO-}Xv7<aX+`L=X_cebb1Ok8%nr0$rX|Jc|U@SbiIcyw=i z1$BQ-pyj~}KVG5=>H7YwI!@jB>TcDb_WhA8I=nIIp|gNRTTwk4daAb=lnA?*)M0pa zbAQr)dKp@Js#(2}cyVRf-7u@X!uQFknOH0Dd}Y(5JyB(u4_#;mIy<lLe05UyQS+^j zKZb*%*eo2%0&LItX;*`)TTzXSY{M!%V4atKI$;^V6XbK}@AHxqvCQk>Oo0@;3atL; z4dU(anCllo>(Y=xv!dEC)r7-+6JrX5-`Pu>f=1=P8o=%@N8eLz!YY&I2?tG@hJVRR zo_o{ReY(rPr$xuJr8PAH7YVoWcfRj|cg%jRV(4SZ>dE@{PJv#{OT!9P_jqa5UN4qo zh}^;F&fZ<S>vm&z?f#+U<zdO`TfeR{tXw}A8&`#>>uqUi_vozgn>Y?m2R941i=DkP zUFl$a=<`C4eMd`~pzAyHbLnhuLzV2rHd9x*N0XWg8qWmnf&Zfvvq-0gB``J6G&NGW z$o+1;A!qyhbz$TEXkYe$|64Tv^kiUwM&i-XPpHPngL6G!%rs4G%2p=iJXS^~t7i<o zXAGl<B5tRba{Q(vLeFf-J9OCG8~yt=79hv)^U79a)K>4W4DM3t52&KyM<&BP7T?my z0;{N|(vxLKIUGXSMh^B|Px?Hsd*CPV?95&ytL=Bwl;5*ev&K~Upy6NLX`U&tP}4xN zP}7@;o6sIEcOG<Z)6<z<IfmN;UM~K{`R`+0vJx`evmK0jDOph2Vlo?ZHRx$D*=hAd zz6TuHnIE=e@0kDQe#=x1753Sn^w2irrnsdVVAg@o?sQ`<mo|(_4+%|x)BOtkMp#Dl z%c$^6v6dg11B8L^sE@I7*ciS8LhAg2AwulY6WT|M67$M*adLQf>|Z`TG?xZODE)%5 zuqa;K|JhReym&fiee<3K$4+YkK7Kxz=<{db6f&EQMG>4To@>GR<?&L3$;NDt;Z81F z)VTAvws)5uzOuYZr)Pb>-@RLWe?PqUpr!tNpHwXPrqsyD#7f8?zs2sleL1u1!eocp zo{QUnb<hoH%<5L?#)N=*Vo0s{&g*+o+M4WCSDU65{X2`x-TpG*diqlq$Up*(P=*bg zy-JuofCJuC9rf^avgDyS{o_im)A__Y?r`n!{ZZVRPDdA9X7v$$@uvKyPe4!G{6j08 zvnKP{Mrq4a!<$l()mhoJw|1lYVC-JEDF#I=ai+RH;5tO-W8?ht9<z4SFfpObJw>Qz zl77h*HF8rw31(7y%sWQTsOg=qO+)K?%kq}(kXOX2>5ei_V=`Zf&28wSD_wCD;j&-# zo_6@OJ9hCKI7T{l)Ac-lm3iFG$W|vZcHhSFCH28L3KtRB?)rBtm#vmlO{%(MA*%i) zh!Fr<z+x$eblS>}`A*KVQp#ZOwDam#<pC=m%4J4Kmn_Fx7q^M~(#QbI){1D|f~VuN z`6_iv<>ARoQHLXB-(0uwMV`|qs~{}y)!D?O;-!DX&G>@cSx23{Y|-+Hs$YHa<feXI z*JY1h0A)JJijyu;N5NH2(>L8jF(zMeePxQa+Gk^(vmI`Rwz^`6mi37v@_o)g{i$&x z(!EQLA6Zi60nR&K0@+~j&)b!<HaVj2PifVL+qV`>Jh$u$dH(r6TE9!ELG2<pYS(W> zpc)OiNS6y>;y_h{hfN{O*V^H)maZtBj-UV6=jE&Qo9@ztjPGgL@(Lcy(bCD(lvN!i zpF*kgy?AysRC2_u8fVO=;?okBS4)ebHB4;CFOYg<##UBy-LIC5o!gh{-9QS@y^}{o zmRID|060P9ygzvtMctNb{J~XtxG9HFNB2XP_Zly-)K*r1>$O`&IE2aoyC#g#_x<kG z&DKrp3y?ql9X+5h{++&lCyUZpOA2m8Q*b#M3=KJ#`8az(Z1i}FZ(fY#RQbACl`lD- z+y(o`yA>{g@Cneh3F0aKN&%1V`+HyJydZ}_@6-UXCUQ*7?K|%jCKm1}r=S4GpUMTe z`rDDM{JRX_BM=Mj1vUh%dspP;j}}k*VF3h$Obr4>AlRE9uay|EryCb`#Lom9&t@Q} z2Rh4yp7W{%rs{yniETLHqn#SECyE0+?V7DMO?6vDn8zFZ(p;UE&RI=C11lNb#6W>+ z&G-TuFE&M56WX7_A2yEnI<hww-M}8^{*i?jJ0w33Y~#c(`#4--f-%}5o^vLt9E0=5 zP{o<F?O)BkNWlG%e9;Bki-eN`9`8qrmE6vr@6OIjWCfO@*5tI^8-wT%GK2aY4DWg9 zk`OxxKWI)s3v4tnyVWu|7tGHFHLvFFMJmE?4d3rfxv?KDx|9dWqo(>%Dkc0B(q<Zh z?`oIkj9h9+{l{*l^cPPHS4YLKQlu|0x6&_Ic?0b`5oVC^Ka`N9en(*8e1H3ZZ#98c zsx{u(In-DUG$Toa8Jrv?30QLQl`_T}%0z6+S>rNtmj%J~x&nmcE(m_Rx0C9#<XO%O zcK^}l0BLznr)_kk1&P~C=|u|s2_lLbsMjd_qTOsI2+LAsLGa(8`HR$UXbc)KhCCy0 z<Tw!~-+V;QT10}6lvcM407#PB^q60Eq}U=x3esTNSLRnel)tYQw0aoY86k;cP>1_% zk*JaAXCqK$&OMcv-Vr+0cj~L<Xw#~6pDI<R)u85#ZdavHKJr|9T3pq7GQ2sz(fIC! z5IdeFK&8&oJA6v@Cc#R9F>R^V7Tc#$C>8jZChIJ=0N$4w30=nbZe3WA1hRQb1dF?w zs(<8Cuxexkm0F>iMy>gJuMm}HLL8>y=>;JLAzdiX+y9J;x`I_Z_((5z%}~8{!h#3} zPd90t)$1gQ1x}a*2q~yt765fBA|G4uN}b8rzDh6Hm@#@#Q2RMD4e?yl8Ga3V<~eRk z?(fxBxs()o47VXYsv!P1exHY=pVJg<CH~p1f7nxs+}WTJ6LrqnV(0e^`zkSqRj3|r zoZ499TKdB~U^KTTv2`0YVZt1gov^~Hqwud-EoB^r)!KJ}{C=|)2OyOeWzYRGz#Mri zSFfMO0WKlJby%xZuie%z9x;jz^xp^1nc_q`a6~H6Ax4j?Fe#4Qy9q9Ed4IzGA%iC0 zJ`~HmD-OY+`o&2c=QR0XW0qoA0Y#63oO{fBwZ?zf>rtFsnS`Z&q^y6&Gf+I2P)#+$ zx&La#Dz-Z_?x#2VLNgHmDHrWF@5!d<IY0*x;#<&rlSjyVjEKPHsLN$go@Y6m%f$qM z?ZNwYSY+LB%#c`y*!aT<uZ_ub2skMxt_Ow>{Iob~nTJs4_|_CFq9YmIS^U@Fow~-i zx6JPwu#B-4BHKG763LWOpi7=jgKDU9<HDo~CSYe0+x^v^QulMV(KuF@l?>G9AueLM zYSNL?%WC|PLVD6jOyl3*sxj!zm=@3TLFy;yA)ZhXI+HPR?8e;!EHv9PJTyaHoVP*< zKm7P|N>~mkMnDuW9g)M`%SFYWAv~4D^knxSksw64Xqz|gC8~p%$Dd}$o19njhLQx1 z%O8ny8^|YNIo&AuB)#xeY<5D3ywqi*lj__e4|h4Y(i35DuDSNDrQqkcoFqKxsN^u) zn3(9`FrJb;ce;>;XXJ^WQQxt@J_w>+O)V^81dVzq_KTV05^-+0PbM_Qa<2NJIHioZ zGK<VbKT17wY;nU{1w=p@%}njrAifl#*NQ6g7%lGG;Xx4dZ+XptH%n?SHzX>oV(jF0 zja6zgRA41ix#7@oJgsQnA6xQ{2~0@fS!YWXd(FBdJmi?3Z(HUB1*792@Ri*7P1sj$ zrim{`&9%nqts}AebUlJJ<txVS`(*Q3Yn6uapXt#Y(?0I0M@<0@`?waZ!cbY<o;`Bd z+2ZJ-rZ3o`>8~BEcz3fP9e;Mg3cOukns4&%^wRCnXs~fLJ{@udF#*-8?^qF3A-20h zHubH^GK^@6{V8Ry%R)=I{ox!^um5-er*?KhZ_1MO3^gxM^gnaCdRDHiI+w1fF%yvs zw0FJHmGdIq)f~f_(aJp>fq@M`Z@!QC@!I$0T!Q<2=f9g0{?Poaaes27eRkpW;dPgO zr;qwh_`1OP?tu9Es@^`F=SY2Pem6+I5&`nEMq=HF2mtOg6a_GE1pRd1#ID_hK|nPq zS5`1Yj=-Tlj7F<-`P8P3*i7!<2@ZDNJfD1pUd|vkT+JXp2tJvHuRWWFzvaC*3|f6O z41UUewc*rY%w!;7g*~&~jwT_SrXzbEblKIWLs>uD?M0Lag1Ab8L2m)J(l)}OSX~2T z@+#Hl>zD;ptrT<f)e?cN&JBQQE>GxcrDfL0onHOz`QGIhvIlg&H0!<-wC1V9TLIRz z9rpIq8*-|HXwIhc`K=ws|JK+&;`I7-#9#rgb?KaT&AL4ZXv6k+{_1utc4$*&Kr~*F zpkJ-^IQX-GvucMs6Mth*_)hSC-_8|)AiQ4f%ftA1LJ~pn&SCV9e0(hZJiF36SnHqA zuCk-K<g4&*qx~$-x<d8X_0hRN{|rT<feG3lJ3oxz`IOFCQW!$zq*50L(rM^4|4eJ@ zW-86?-c$o~ZoZdoac|dEw2f>Y%PfwB4{uvD$!gV-X|0Y`b~Zn3X|@H~YP1E-)ZGhJ z(Z08w!&zM(G7KQaIdNiAQ9Gk>&M&!f;VS>&1^S6=_Z8+=3kZg7O8ll@b#g`<YKQ-; z(2gOjVZ#93N<uKBMD*b-De60!G&{PxrFzutm<RFOkTEs4V^35saBICU?);dK4Vx?I zvVXarjz=DH^R=aeH{&+W1?uWR0+r{xI0HgC-O8d41JaO1DdoGl7UD;q^~m4@@o*)B z$oE?YasItcQz8mj6?sNE+o35D3#5TIubk`J5;YBc&OuSU=6)%ZM1O}H0~Z@RqA7_- zW5gbAg&bQcp1yljZZwWe{|{VYR04Yy%;G?W=4IDUUTKBJa9f)A)jL%32t4fgJnLQQ z_5D1H!1-yq#{xn#IL!3eN<!6Pk@&@6PMV=joy#)jK0O<H@LDJei69D$tXs-JmU1|p z4rwTyE^&1-(0+eH=+~Hu+3t+<*$^1J7Rz+AWwv@e1A9O?u7dF=1kQ3FRLI-u>$##T z!HKYf<D&;gN%f69^^HFCjli@RyVwx;2Ako%XR&_^SZ{i?-vZh@?zgcKl9S0G-W(%L zB+pBQr=8Ge(%4h0PMSmQI_6L`%fRzxH$5AK9fBX!WfK|aP#0Mn9pf+!7~?SG*Z%!l z_OIpsT0StwqCJw4+=z9&J%>$Fm^Hz_7%UP4O|X&!k?_@&zOz<&!eZrGPrj_EIY19B z`?Zw_56ufy&Ll}kQ<;`Sey?EH*X8*97_`<QTcmqOMm$&3X9ByUxgwj^D^+_t5Hv{I zzr+$?s*`<yb{jSOyO6ZVS{6zfu+^)QpuN^T5rI^#a&~3?e2A@EHu6|DEr!gHHZMSs zv_~D+bxz<~EjKQi!UucBw_8qjTiqL1NYSC0{jLjr&L1`{!pyifkUP>qs`U9WMM3%* zC1e%UMk_#^G~$q)0OF8XNaB#J&>|8}a>VB7D*g6i3g{K?eB4A|j%!MV+Ae)#4#MU+ zTM~NfIB>Fh%DvS_Z-;vr82SmoN=ThrKQMu+(<NkuB|yU}g){bNj?IrsKRqaCM*@;+ z9&P6dB-~3`w!-fSv|r$<+iahkUI@&`R{T2&EvKS!>S;&%Mtx~{eeSVuDqd2&u9N-L zviMNL(8>%RlP&w{@A`(QChnJN^d_a73x?b2ysmv?I1ugLk>W)DoP;70H(|i#k7tB^ zDuu2>K+5k<@p@GAoP_?A-de-}rXa6*dQ`bMd3+!^Xpp@W$UfAokY=LPa{MDhKxRCC zcu>s&YY0$EllFB0860~f0pOF?@jV*=+AM*2$B{lrjj#PkWTx}Ol*Vd^!GYuWa$C#C zVsdglzyasPkYG#J8Z7+ISgAz({E0gFr84<;a$71!NJ=d@?1;$B6kz|`$ruG)Lk_nH z1Q|I=I3SlWK_og@BYK7J&f@O4DJIEJN>3$t5=yOwcNKW~3Zd9PuL>mEkxwp5XfL;Y ztB17I2F#9_+^iS!cdiKFBgQ@(T{@WY&Y0ABj=<UIsIqvrjjESRjwON|#P(XsHX2=5 zXp>iaREg7T7mOgK28@>0m!Rv@A$;O@$~5|MxyImEAC>tl6&9GHjXJ3f$aM@p6i#?~ zGI?Th=XRw(Lz&cnYu1W@jer`8wLkr%@%66Ifa%?Bh3Rq~;bO2bH)jwy{IwVLd!(cx z8}~Ahi`$UB)w{9=z5_ak78ea?0?rBe1zd;w<#?Bx0)mu8!4N@T#;!+)@rk7-(|8xL z7SYW@)Fry0SAPcpqQ(>{1qKG$!09>ZBo+Au{dds^BeP1nzB`Q1RoOSx?P40Zvat~l z3da4<g0;3Ua7oq-fwa&H=@K&xRCHsilqskwbG7)!iuGySJ=M&vL;f7>7gOD<QOGDB z7e~*a^d%xpEcLa23sCZY!2}Hd6u2yFj=*Xl^gqnKRajk3lqQV3ySoQ>5AN>n?ruR2 zt^tAtcPF^J1SbRu?hxGFA+z&!_jG^r|8qYVRnMw-S?zt!#a>mlR>hK;#^HR%P)Nra z8iP_|T7bnO(}QxH$pNvah<+{-5o7G+sPMm5VYNd0PS>;?r>CIP810UeJ*h?73gRSm zdQHR-t=t->(XjCnB$<q`+oU51x9#omSbQ(!gQSpnkyx?C@GJj5M^!tdN=*>zC=u<J zE7KcFvJlVKcW{2lI_#Wg$F9Pa=Aoc5JQTx9q5tr9sw?DA*hyUkPg@YSB1$G4_TnU_ zh_3+M(qp;77GrGv`4FGc2OU3E9HawxnA!{nMY-gYUM~(s19w7QNQiET&p@xa986te zFn>Js*uWFO%D_7xJrF{;Uhw8rGxywmRo=F5WaxfOoVieX*f&p1Mb3GkfB`cr`l}y{ znDe*XjA(KuKy*vZh;ry)5o?^59_H_nU=cTQ4zuo);f}K6va&-A+XaO3X%|=iHqd5M z*m#PAw~!v=o<^J3IYU;cSV3#FTAz-GQ$!Bl3Y_w9F53kbsu=M8`AYU#n0U+<)s6{y z+Q!!<DZBrOM(O@Up{yd!R7y!IBaJbG4nG=K1JodB3-1rpa73Y^N`b{xqx4NoB*5MY z>(d$b&_jKR#FRJdqsd(TRa0Dxm1^kQE_X)XRHV~b)@31P2!9w`hNX^gz1sy%j-Mh} ze!|{Ojmh=E(a@(P15-kK%%JN$CZYKh$4HKuBnqF{VY95>usFcqIL>eUqH|zxn^P-$ z!YTdnw9QVyrWW2mOc6tj(jf_rz%)6m53bX(dGY=JR_}Ssein8TE4VhOYFj}i@m*F- z<_{HlaZpm?TyN`Qc5A?Md%|M2d@Ubs_po037QD>q;5{@I@^LmNof>EU7rz;x1hM;a zRbFy8KRtnoPq)p6lMi#}oqK~mtt4c21@7dk*ym~TQ_tE4?cenYcbWa8o~CS;%U(fq zzb3w=bbnt>fg$+a%D{P7uv*b8R??e(Nq<4U{Ye4;cvhqY0j}p95&UvnK9F+jJEjtp zfB6nX|Kb}Hn6UquGnBv2l4xHff;8HzDx?1+#TM&hV3|PrtjHY;T#vU0r!kyyF(LTJ zrH2A`5~xi9h%A<{+J(;`($g1{b;5xQ;{8dUfz~srEmW_-I)Bvdgh^@(^=A0Gibyem zkdcp7i4#?Z72zfd`?z71f=nea1nc@TGBqBeVufxn5J}d*7ZVFVfx(ZZLdeKykcfyB z9<%IUP#4>jr6sPJ0ulL;7X*f8TYZqR==Ht2`#{?<z8(+PK{dzxsSS&#OPbQLiTrc9 z;ptgDrmsj9b0qJ()3FIf_gr|in;Hft(Hihy3<Q960J#1O)B`{~0KEN`PzQi;Hiem7 zJy3il#r1>wa>a5b!%kNUUWIrj0|u|{_WcaSPs!+d9tgS>am3b89M^Z*xKebHnRDH? z?#3v0B{Tmxu$cQvS7OP=we6hWZLIt-1BblqaSfG&D5fyFO+tt=%&6FjW(FymhF)+S zqlHX*B5qIRAgtfT-zuBL(?!z;N^^`D$cEbJt1{2ftnv*Duw!I2N(`b#$5ZM-iJzlr zppepNpt5m4P(rOHzt@QD#b&0<KUo;YX+VRbw4mqgsL21eX}k36ZdwW=1q;caCH@Tz z){}-D2a#+N8}5iGG!4W1ViF6>jP7PNjRR=D%DBAgDQ4j9i0JJhL=qB=VBgVvr@#OV z7OXJTL}GB96G`=UT8wNw-+__x7aJO8y$#Cu6dFRvUu^88kZkBDPpk^Ev-L>hBkX^| z;@YoKzhfmPj6r|riuGErxSw{`DL~_fMA(DpOQ~CoZso6dYR3*y7xlEwMqqf`(NOWk zE(_5@+R~Vo5Kw}iRQ|0o-Bh!@k#Z&UC;2*5G^pZiIV<_=URKfX>)Rnz={LzXvrX;0 ztjW8fyVSOUbNRd9PnEAp+MoSYdZrdVhuyD^W?wqiW_);$_`#k?pICg8FHGJXyEB3q zSPo8xYA4+8eccT=3^Zs&CvH5sI?=CFBq!}|&a8xed_DYb_jl`jGrEL)wnpR<Wwgqq zosDy{d(Zmh1glURW1sTBv*?c)gfgyLU!J<vXBs=;EKc+<D?10hR#<Cbx;2$XguJW2 zsoCGmq-`F6WjMZ-Oj%XUpJvS-%&%ff!Z1H~zB_9gycpg4WbiJf($718-+1p0?CJrw zs=D4UhW2_rZU0a{&z#gw$gR=t5Kh1Mj?xuDKpw$mPvZT4{vLVf|BFulCra~;#xc*N zfhKa$E!ea?P@m(2ayO&oRQZl~p!XjxGSegds!vF?WvpQ;BbBu`Yv%&rx=mLD4DE%V z_lY(HF5;<mgmQCz#uewSPy|=1+_v6I{|K}t<P$cXI7O~ZuMqK^a*te1hi87N4{+&Z zX$-c;xeV0aIvb_vFjUX<YoAKna~+n*2-gZ(lII?jJCLgkXfg4gdRKI2Svx?*^1O?R zbQ3uKiV1Ip7<DA9jW#^kDgJp2%D`GrYUd7Qq6Dj4`alq`&9}QzU~V;xpM-Dy(BXUK zG0Fwa{<ODV4AbSE2j)$htEt$Q!NPNr`_R~8!0F@5UoDSSNY#|>T!V9>X!wqXy4$K9 z`%txfob~uJBCq=NpZh*12UD89pK?Evl28clwQdOJbld0?9*IYC$5$I-VeR@n@56l^ zT*v#n@$s@SncG7ea#qUIIvY_mW}{s7;1`N>=QDC+<vR!oUCoHIAhLM(42z&Q78l1p z`5l4@Qc1vk?Q7|vIh#%uX;Gr_+pQN7aC9Uu@b%^W>T2^L@_qUSSX^$_mEgWb>dSp2 z@3RJbyq7DEL^b*_%CI%$j^K}u8u;gE<oDRQ>IGyu0NhcL+*#b-{@#6Tdp3TP_TQ+$ z0BigMsT0t7ISAS?!o5!{z;!x2D=|YQ@e3-mNzgZ<iV0TwHKJ(bQ$&1F^eUo%vK!gb z8`>oOfrVsUcqMeb=!}K*Y-XHLnv`ngePM>Ay35Yv#m1QE6vMH#TnTo~5MRc$XT(se z^<F7*W4-qQRNyUt>j6Z20jPK#24mLZUt0}?Tn^W}yZLX=?Y3c&-ujT=wot*==}KCr z&Ry`i@)WMWw5awWelsX@EOcD3`6$sQH`W&U$@^sJ%4Ncjz6I0sh8W@fawv=E4?%ce zB%5S=StB&59VElhJId)g)2(y}P^gZ0sZ)O|0S3{plt^U#<A_E)`$_&Bp_C}nL+evY z=mdY=9n2&woEBf{H%NWwD1VSiD#sWA8jZoi<D7<f#FnWZde`7$RJ|zB-OUQ4eSZVi z3Y_Pl^e^xO&`egD49<#On5Vf>JC81j!n2$r6n?~g9{D{}_e}YIf*NE%<b&^pXiRfO z^Xld0pnYlF#mkuTC$g!h%((S(m5&`cP(Zvz;1T;!9Nh5TJMwbX_b(*h^5Jpd@x$)R zz~fNR+jb;D@8SM2LHPA9%A)yjva9fYhLK9}g1J5rIukETfme?-1EI4(<!1=J1Y00< z_Lew-*H~v@5W5{adeZ+QW2Y}uMQ8X?TD0t>JU%`lV*XEp^hi;`<A^?d?psqSRK4G1 z1$4dt8ESC^lA8E^q#9&#_{Ss+Hxc+JQdxND{IH#b;xP35uo+-vE&~4>;L(6EXo9Gy zPr>DuUMT5JNmT~k0VXU61BIj<<J+p_p3#IMnc#cp^VGdo+S14~*`<?bpSMcfk&xU< z2BkM<w6(1+&cvp1kdcvAQko)k_ofVdP(UQ2a*?Xh>6P>a>#(09+UuL45lM;)d?5~O zP|#OgWNHnFg3ym31c?%i_h*!^Ous5b=2*9DFI+@9au5bra2`(tx_(dtWDM?K^6@Xp zkN20Pq5;U`e@K<TBm(ANbcqTeJN_Y|s3oA?c9aIruXoO83`tN=FFqsqxxk8?vG<Z3 z6aTy~%=f}KLcK$=_Ec5rRH%vci)?HKE8o{O?4HQnT(g`aMjgSNGK+w(^)|W5>O38x z2YH`61iF9i=7+xg**@uf-jGn#_jP<8DF~<RM=j4l-sao&;`7ZW6yq*;M6!|h&V^z; zg{Q`cZ@SyxWY?4bRKY~mAM)sg-^h@`+zfv{8q4>IcP|9<t=mDQj=%oS>kB7Yzk`^H zo-G(wsB;xgfbkE3F803{Cw}|Kx_X(i(tGeBgkp7&hl?T@ChyRkaUMrDI{#AP|G0PS zVQLZhtl`&7#(ww{KLn}6BZ4f{DTjPC-`*Oo;f=Kck~yQNi5~<kCOju?f>D%uE@9R% zvOAh3OOmIaG5Wc1MZ0YA19z=1bK=F?p!`Ua;$_Vu-tIdkMML>f0|5|R0D%Gp5b^-w zB<ZFiZ%M8p+){?)B3AD2@PkOzx4$teKt*p**o4UpvhiB?>5#xH+D=U1biCa3E79g% zA?L!N+!Jq8;xo|hf!3eiv}R&4%U1YBcEE!_B(6%ObQRsgfUARP39(S}EPSr;9>PIY zye()hI!IV>_t_?36Kf}`Nip=eSXR_<wm6@{R!t_>No^V9omYUJm9psYHRac@@GnnC zjg*LU)G2!C7AnG$iK4PtqA-?9Q1~g#01$8S#Wli+4t-UOSDYm!%9i-lrYzYtBu5E& z4N9sRnG(D%#Kh+P4g_(MoUm5%ltk&1@h&F!sBo}W+Q9%p01&Vt06`59trUE$4I(My zBlmcGWO94v=2|3skW&=*qPFNSpynv@9~9B*y(+>VNSoO*2m(4@*vCtJjjX*bBOVb* z8x%dEtb9T>0%4Lp-E32Gx1Lu;96a7Wlg(WA{Uq&QjKzUgQCb88>wH1o0T;7M06&$8 zg{5=40D-k^Zi6Ya>!^9l*U1EH?ap*y4;CTQIx2sMCp&X8xTHN(5`F+Wl)v4^J<!$s z?E?RHN?yrH=QP>m8|#D3Y>A!Co9O3hpXA43=_R5dmKm0V*$ltpPn+`-Zeki7Y;d=o ztEJnxs8EE0+`R>Iw>nTpVHOQvFpyE?n$TR%<Jq7Lz{!%QTy;|X!wI!I3x8)DOm7=m zV}-au<)=*jR<W~hG^DF&<~&k~2T!^7B^qZ7PtqGsLD_>6q0bvBm|M7OS5f;gnv{R4 zhD&JU?TYw0_0S_f6Sk1TTW$}&M!v?uWqqD;W-H$f3F2qYt#8Q5>_<Wa?<YA<-g31t zkb7APFej*aU{1BboEXf=x7MN?Y1^2?Tlzh5%OyfXkL}@jz3nalQ`e3z>)7;wsaaBp zpF)q9P>U&u;~ksTCKd!@SKV&zVUHw{%U<`9))u=k3pK+Ki3g<=V7utREqxj)8yH}3 zg(8HYF3v<~P)w^nME>TRHDuxg*Z`Q)?$Cu(MFoWd;(OeW_|`DPUij%@W@l)mK_#qO zzth%T-{)=+x0kQ937(g?@c}4MUbs_QWD_y+Jl+)Lu=D1gS-F*>P-0`<T1;BfZUUvA zUi9dME=ZZZg|%NGqy$0O@Y`C<ZM;l{TpqKElcb+?x<-jIE_EwKH>FhNtaO;n6OK}l z>j#qGQ3(v^QJ3lNuA4End}c*40u-DL9EJK<YSJbeuF`{&UffL_f6hZv1sJ_>PX{9< z$x`&U@Lgw!O(|ytb_!#J4GsSKX)6c(F#q*q@YfISUq8%%pFv6Qf(eK~dB9IB;HT}d z#)#kLuO~oN0Jy<feimh|b*BW---(ZkJ@<uyJ<oaa#s)6TtS=h9({yJ?jBCNpaD@pL zNNc>MkqxG2bVrWz%60dKkIK5|dX*!uug781=)OkM7U`XQs;&!B^?9SF6@Ba*@^Y-2 zRqqmM!TeU~=bm-ad7rYVqG{<`8G=)ATUltPZl_6}Nr6?u%QW9V%+JKy8PB6>AYbCD z=jwr;zwvxZk>*GL5Ut5lCjqJHOj2TG-mO;dHv}#V@;kvy(m5_MVAksH<0sYVmQ3+` z^M=kMD)t+~ZwI(HuBLZ|TOG0HA$_7BIG%-5#>r>*g@D^RMda_$JA(C&ozh&tQ#U~| zT^%+{c&^wsQz@-LH)-TG5SEvmALH{Fh{;dup$8r(Y02-f8Uae8UBP-Qv9lOWhhcfm z#q&wLwYbx20b0)aX&n>xYcB9iqE*_DZ)!VhO4&z<<rTtHJSvLocic{0VVU`!tgrF( zQi^AROD!$ghyhVw{B9(J;F}*Iw3C{*A{%j+^v=y?u~6iWhoCDv_4t8hL4R;j&%bMl z<SOgoGhgzT><^ft2SmrZrU3yMK;YA47qu#HdChOz57_&-7Q~}UC9W4>FCUsG$~zJ5 z6XcZb9Y6P1e-%A!NzAPS!L3(=c~@6Ph#xChA*MPC^}U9CgIQuiTl45YR-W0HK<raI zSl<6B@N2EurYaJP;m0u3Dtq`7v~DqoFhLDgMurP)$t@-4vw|3^d%aCNw;fuk-Cg2y z^m3cw-5_8qr*EBe-bbq{%TcAz5zLQd{?a@u(M~Wik=-ejRkP~ltEX@(Pa{x?zH#EX zBuQMzgPo-J!>DPp>4@+zN;)R42N0$;+aP+A#1&=<HNz)5CRJW>+h{ioIe9Ey6}`e+ z&@2X|TS^*U3BO?Ik^cRYj3z?DWBm3kce}pGi#K+P2|R~_n7PW<jA8r6AeNc{3&LVP zzH^r!X<XWAfQnfqtY|c)O~nRmQORHEIDl2J@}d9VK;Z-1Gt}_1ZA2#&AN~qF-X4_m zm!&}CJ0O`t(b!5mR$hUOL-+PDt;w5p&rZGcI6TX@dbi<uYH~~VK>`c5idLf1B0W4| zX}BtsdWvz3BYt;ynnC9JdHzch5UA4&XreTZz|NxYEfo@zgKb28QTx=Ovf>3o7b8?! ziC2P<S-sK+qpxQ`rUVM&nHCSONXMn<Fvons5NKglGt0|5gU_v@GTssPSE-o9=q*HS z*20cBbC5a|r@CUXq4;rpOoJ-aosAN#?1|_9x<Al?g;0LdIXHzEyUPlVX81J{;x#r4 zj;EwY@fA3FXMUMnpp4SPd;?gr?}76HZaeRP!R1A_l50+W#5R1E=)>$!M&0QB8OfTw zb&_f@SbHdG2#CGj^b<~WVt{IKZP0rQ7wyQmqM;&CH*}g)!Th_=V;-@)p%B59i%tA5 zht0l0ZJq3C0Gg?qfM)b=D9?fpHJI_&=V;>@#8R|N34$N6&Wt&7U_C}J60b2vFySCt z3}0a%b5ShZu57Gh@DCZ)@5nh5`i;IqGInNj;SE6nChvA+>T2AO_!*_-zw3A{g4;8K z6~;!8EG$s06ctOwaMFG7M^h{&M5JhRin#{V2YJ~8wW#6ek8x@0RC$`MCDt1BE=<N3 z>;m}9ISu?jzFMxF-0&$<zeLk7)8ge9*DZiQPGgKX*$;_qKJ|%GcL&J%amx$aG@G&H z2?tFjDL<a3+$yK}PY--I67F6ZPg3^TNV#PP0D=J{;dNB}Yhw5+VlAytyXMW(vvvtG zRh~wxdng@=XMT086C9#~WQ2Fy=u;345~w(d^*(hV?t7r~>IS-&Frd4a|BGP)9nQ+C zF1okS92|v%eC|froESr5qK*xXAJQG-UgNdui3PzrQyQ*XKw;5J6+gr8dgWHj#Brq` zK2lz`R_0F%qpfdE(GJQl?Y(vuaooWw=!DQ>-%voH!%t6;pePe3hypPIgfN{-Fz}fN z9#}AR)lDd|Juo^nvvnvaiA`A|FbOOMMKTLr3`jA?9T3RJx`qZ#)q^uQky;7~uD%co zVkc)OQ$zxM21+aqk&SGw@B>`5H@gD``n(7xyzYzm7YkFFu3uJ|vU}%~hf{+^{M|ue z7~=MkNioJdI~qEnh$%BpbZOt(&?TY2)kWY&6~5J%pGcudsD6pn(TF08xt}Pk!R14f z3}-c~pbVj#`*`x{GxFj$QWzggclm~$#5>Gc-0IK444nj2oE}^$vtS5!1N*5lklb)| zo91L7sct8z0;9z)HP<#6@I8AZsOkLG&t!8Gnc55}3uO8JvB6*jH=XE%zM2o?ZigOq z&!6gpv~+0?Pwd|W99q?lMEvqqqr5J!ZbY#Ci#Zt3n0htH)tJXYhkJz#gEG#VWP0&c zV#WUH;4>4Eno(cQzlk&n>W9nl@j(`TO|C-gelz^MF)-5j&CTtuAi<mv{%|Y$8RTJN zF0CNLT~#pFwYn<9gZxB)F}Q2JU(@lb`k8)RB{|PcNFmUwv~ny6jk?E$P0@e6n)NEf zwu0t8Fy*nZv@lv3TLS>Nq!s-yLW=ICRApxd%f{)_AAiUd(e!v{sD3Ic&$HQ4AHr1d zw+c|j-0W;C?^eZ9<h-hgfu|8}tSpbdFjSk{pu-Wh-BCZu0c<r(0Jc07KNaZ=7e^~s z>kJH9YoT#nb*r<TeRk{aruo?3L;~4YO=Jg0L0L=eS7hcabBP?){k*Y1llu+V>V-XT zw)H(hBWS-^6X%4cvtcPoHchCL)|0A?a}{4wM0x+2R&mVXLw!C{14zc+)pnLkpFCyH z1i|*e3S6aJY)Q&m6yE~8esyPdH>gFdoD)qQu6`a&6HXw!>92}I-_EQ>3cwh|P?r&) zMgfUo4=Bko(S<US_13j<@>N!&F=!59i8;uM01Wqk80PhDoVv9D<LNI${VyZ?9|mkg z8>ep*z{nW}7>0itL;o<U07gbTz!3GWesixS3t3<@WuWGk$Y-!bMP;;v!q#DAp0w%L zqUW;Ns>0G+46jI8vI?#Qa&qcZ&^2xVf0TIoC37MXwQ4RogoSEmH!ziI_pIY;QZo4C zX0s@j4yj4zA9|Gfo2%2+DsraEwVuoc<SEJb*kWY`X5GtE<yM8}5EP^H?LN!BQM&!1 zstAJFd&kdswXRdLs6jN;4|Z5d@|X@l!XoxSgqMUh;0vn|9wVa+mBPp8`;o7KT9H^7 zsv$E2&OoJ!nhsa`O!YkjiD1fN7c>moRbLjdtw6z{rRhM=<_$^1t0-z3%*QFA9uA^o zV$%?_jaG%0lP{j4V*+XoH=)C;CKb5Bd`{(Xt8;KHvN*TtW2MGFvzm6{p&aR4fRWq3 zM?q3g0=I|xtixe9ieOH`ooJGt6o<!QGn%eyF12*OB4z|evknc(s<^ArKggz<zmoGu zuzyfUk+r}Y{&AU$(<&H?TQ<>Jac%w`-thF`cL)qJT+Jbs)eiHD@?oYBDQ>gRcpAO1 zk-}Q@1H7T%!S6yXg=O}kk+|s2FyVp^hyDiB?+Q@b9&71sAGR?&(0-0UI}%8`NRGru zcjgNfoGb$ZN?K6bBCm<o-xi2gCf8TQd&_}kXmD{~v0(&v?{EAh-}pMX#Abr`Sd-9> zJ7ot%_{)_`4)cX$3qzlwVo<L6Vo(}vV^A{3^AGd=gd}0HOC0&{LSTC`Dm47{RVyCT zLJUM_R5Nm`-esP?`Ogs<A5Xf1N%k&nJ|SN0y(=+a3pKR51`;>zZjQk_Y_>>ysmpTt zSi`ZhWYqKbqUb$e>_QPxLsojvg54y^e!DrzX981F%BN+^_d7rbgcbpz6@;x_sE&_- zkRAB-_^2?s{4A}7PhR4efRGQGCsRcT=SU4<IieBXF!;;(TX><Lf*DO&61TfWr0yYj zhfN-)Fgk)FI)kJ-KE|^xU2sHfe*C+l9`kVgY}K>kPng}!=d0Vp$Iq;6{Hj7teKILW zG;}Yakz2LtP{UGFJAVAxxRP{Ad+%$P+JNYju<@eq(ooq1?MfB)&p-a2&r{d)V@ImK z{?~H*0$ESB*l~ZC+)tI>rQc7MhhC5E>fT#6etb;*dULn4Xvz1|)z0k9T)9xTS0O>q zQ?=|Cz_n^nJIF;8vJKpo>3?~BczZZGW_mMjZH#kM8;TYYqQWjH<o9yC`|1d5V5T3C zZukQ>huPu=c{kqrEv0i}$PP%1FkpeT{@DF?RhBESC|Tj`#!5dIqxYvGuqc3gW5L*V zoxN%AK`5(QtI;{9m-{<}r+d45-pd1fN?dN^-izasz~ExSqV~;0-r}F`w%1nXhoG=2 z;~+z=kdNq_Ae1XfoF&aDvYc={5W}Ln$D*>gP1Zb?LVCfZ$@-_py@%zrZb<#A4R<bm z=a%=}mhi=IFP1G#apxw-62;{>cR^LH30fGIJHoD|8s4MT*~HQH6F4hRpLzwbi1||V z`j&r+iv<b$xCPc;{Q!<ds}BkDg_oe5VHT5%Wc=VZT8jKS)M7mE?crD7Q?AU*LyH#U zXLwdUM&)$wHmae0)bb&f<mSe)_ovHTV}CM7YmpgCuRvg>eeD(m+<fkP$4rYctnN1* zj_u1r)owD&3HBqHTffWQyG|O*84jG&J?~NA>!Lee@Cx(jr^7<Mz2Y$M%bsB_4+8Uf zL4<zOv+L3W_Rw}ln5&Q1l<RF#VF4Gz@de^u0iqXB3(7AHX0ymw{@Mb?*P=d<=Q<s@ z4WWl}<dk0OZl#-PBFx*gMpJHj8V2(Mg%1g3sr?Vl#5s=znEiH3rx~r+;@F_B{rBWO z6k8(tEFD_14fF4_rb>G`q^L0Qjdki%6rqx&Y~SBZuuSfO*s7o4azhAeP0LREW_gdN z=5fQ&=wVyek|pcOt??ZHqkWkGEQ@m49aLuejKNPHZA4WKTy>9~;MaS*t3WRO0L-92 zKJ-=xIC(f(LtmespWHS1gr@RPQPFd+^__FwP$U)JkUuTl8kkC_K3t&Yjcc^i1K*;x zfxn9PIah5i$QD-=>oK6cI3`tnp9^!2JQ(igm7Ng{s+_Krl$1B_o$CMGnsY-lz5nNd z^DN-Nx$OTqaJ~X5OU|^LEJ$dN$e*oO9<k8d`-c&M=H?G^3<}uH;MMJ<Y8OpI*+t}r zjnwxmpYpR2ni-1+($9K7I<jP9cD78E_0@h$6r!%}M$~uVH$-pi-SMCkih#xpE-zll z23Fnsy>z3E|E#KF(?1)h>O1@qAo+Z6lBM#M_)QnCaU)7}pwsxDgVS|TK0-4SMy}Vj zW$KDu=ibh@o4V`SJgKKjJ{py)c3A$YbFoBrsTN^!KT_uvzTx3REKoqscu{>k#?nk1 zn3ofph!lw=HyJf$Kx*ST*Yis)S8i^@3OE2$cS*IaEv8?zy!$K@WJ26IY7ue{K4I0L zvRt2zls0!|PD;<@RvI^)4w*LfSdidAK(EehGZ(2H&3ff1Y}9gWf`$m4Qyo!&SEZKm z7V-P+>bi(yRTM5!_{Y<`4AP?kZCu~b$S+z(n0l49+?lyEq5SmRZRGGX{CfmZ1(laY zD{ZBxx=C^!l`A_I)z82DUnMP9{nQEjb~)nB7b@YMd^7V{C8wXM6wq$a5F@De>p$3A z>g<=YdR=W1(_ms}dZw4#pew2%36YhbDq)G2)U1ZEWdv~Nh%&@=OOgxykhq|XPvFpv zEj9hZcoguu?xURO;algSf$Q8wE7K9ek~5?IEUOJA%Y%^iv({4D;0R}BoLqwYEz-y@ zR904nfgUr-NIF?7iV;N=E$cQgiEyre!2IORbo=wDKBoDXet*;AuFGRw9jj#N@&SE# zGjb53l^A(qyqVnAYJ1l0+WUHa6yfmUV|_v*4xjpPO9sl+N|6%E)bntDD?yh1h6(77 zrCU!a$p<2%MZcm<n6(sRC<W^3+cGgfanxR#FmkclvlxS<qL-YL!MQQH)@lU&LASIo zLo0>y81cy4hX#}FE*@Ovy~0NNcFne+AyysUH&~?Yc)bLH>w1lV3PvQ^_n#B14hRTc z`Ik^ko%q|iM%@bQW77c&s|40*pVYQA<&0%!g{GFyeXZJQjd`6P1e4EwhrOmaCWM{_ zd^-;^Jf=8WKIQ73kKz7Y6*8VcEM<@nI5V%PEh*`-(my^i2|)a7LCv_4>CUsR2fC!p z<-l>u<-F*^z2d^XrDrPi6O8B)qU`ZYcJ;;0R<5XuA0n+^guB<23yDKT$gDo^3`ch3 zr-&O9dF+(j0ettE$)O&~8xt^64(>Agp|P*~e2{bXUzsS>1?$t<B{;B1$pkL7^950V zNyrmNz{}EWIh2anU&}^nF$QqWs7YIhsl{U!a6J`^e<(dvXqtN^qAJmW&4H*Mqb1g3 zi?uE+DZM147l*_i`a6bpDlFOWC8rmsB>g+~mHz_&wW|OwiGwp;%^zb2dn`8mYt}@% zTg0$+j52>$xFDU8MLvxU7vfdI-Zh=Lelm1`ieNq@IsLB+{BLru7}iNG6?-vG&>5Tp zP1rWRVhmPgeI}YQ-vyIxCj@L;eMcEL3YeVqoZWZ5=#jKacu_esTDqjsxl#GPx!A-C z{H)`16QF{rQX`_zRM0PYGi_{Cxj;CH1n)L+k4v5f=hnv-dg+m3Xe_(d@EW0(;<EcQ z2f8}VBw+gyPlcplLplpm?MQ%$v!0rXGJW>NOIN{l?$bGleB$?_j5vxgHQ5oY`-t;n zFJ_&2#wG8xlUUvUt=(MIsPf!Nai^}EfkLPi^M~6uiCZE|Rbq0RCo11;uRuSe^aVMr zZx;ez^vy#e<)>gJ)+&KRa@adWhFvN{3Do7z+UfY{ZyyqOGLzm5lt=On1GP!B^N9q; z&Y(EIG^k{;wo4pJcC-hESfQm%w{W))id0<GsO7ulXkqS<K_`I(^03JU=()%YwC60A zl)<UC(k!860t8Ya!u0bOEljlL>1o(Rj!(+5$ktXmX&@U-l-Q!d)kql%z_!!ox$x7Q zU1DUB5});Cq2XH1YR38)-PIM$Ky%)*3?gkqE{YM;RI?@+kP;~!Tx4tftu*2`b~dch z;1pF$1Q=fM`pFcEJ_(^_kq`Ngxl~=S65av7Q$>Eo-Tp8%Az)PEwsv(&;*YJCcCx-| zT1(RZ=5`aKZJd0*O!lN@devmW4Pya1&{N2in1&gff@R80;}`)UUE0RVCR44DJ+&7O z{c^j(OPtx*`0A;U9!O1`Rr_mRNRE$t#3G(Vt*_pfcYUqM#oS$Cf0ulZAS?3MkkB|M zz(gTY%l^w;Eu#C&Tr(}f%ZcQzYIk$;Wp9jjBf=dY5uwAS6^-O?>*5pk7j%TzF;-40 zWP@rHTqy|qX<!&Jt!tA<?ujGp(F)ZxVX4X=d#_r+q0K_2Oq4LA>yz4tF<mnudBhmL zDj>jJ&^Vo|c+A*EW(seE4Q8&928{*5#Qal!BYgaEo-=ZMK%O)WROqY#_RI626Es%a z%x97rt&8;oL{T_2<Xck(K!X5uMTKA`5<Rmsi*@Lb{>q;NS&9!)Xaa*p#>A}n^fO|t zb_WpZ_5$>-i-$_+&zD)BxP%EymuI93;z+pQQxx~6B92tSnp|m=h}5^BlDpF#SX>*v z0(IN-E!pt(#-S#gK9^fi$_A#1!t3EA7nCSWD+t8S=q9}~hGI0ujZZ7ZHEL<y*2~b0 zWeS=?4zR3HTjHcs!T-o-WQ~=DRJRH)eHlqNP6}fWWglmxDN)E!&D<a@YM>gkg|RHt z)$q$yu`D$oE@zZ2%o5FT!bv_Z{o#>J)q$UKWK~d6gEn~@WTwX<Tbd=F;Y5;ogfR0% zm=eY+NrGpJEWIEa;NRZhrORHg5skawObcp9Sv^}J{WzTSprAz>+~c89;u?KY##LBr zgigL33yIF52)nmS(rntvE_svnu?#YUy@dnK^xN*#d{-WrxWp8Al>&=Hk;n9&@y9UY z$Xi0EhcNLF$!wEfOTI*S7>t)h2P+bv88lqW4O?uX^}>n)!y^u(Id5obt6?O{#}A?a zg9#(u(;K|UVm~V|p58X-g!?d7_z*L}%T=P8yrTXksoU2xuc2k+srvwdls3UDC*eju zZ(djlCh3z4Ekgb6g&esO`@TT$tuE}Yg^D=pnGt!~dk*8EtQF)>mH;g{KK!|9aRH;K zlAs4f*CgK%K)YF735M%-ni=2hXp>Dmn_)YOL{bQvw^F&^FVziiv({ql1$$YOTAg#H zRRbaF+^}J{JNC40mLBZHlmS}eflc>XSjtQP2n%$_v7@%(16_P>YjGe+zx7WV%JanS z&yDOZ#!VvPuVpNOyvHnLSf2<lvtGjbvgRGydomqsv^Tu5?7Y{;|E8yWfTldh%+@^G z8CiDY>#fvv1oEz7SrU{IHnNGhS(3epQC@Z6F~*K>56`NSZ{Ed>qpj~uz1T=S9xcCT zXp`{>1X+sd^JKlyC0$sOR&74{-~ZBo#Moxq2+l^8h8@rW?|4`L+}6ny%p_nzbQinL zgWnOrl)zQZMcbjhzj_hB-{f@kc6YG1=Wti;@S~^&7d>Nh9v*Z9tLR`QhN>mVDpOO! zF*4f9sCLx0?Xa{fr;lT&x#4dVwDxSjB4jhkM5Z6OTG^d#H*s+kvG={Yw~~wG&A@YC z{zBzX!9(QA!XWK1$yi5LN)^L}fYKHx^5tB@CnA01T@?nnvKmzQx5|e7%Aj)53Fx5X zWWQer;te<MzIgXSPQA~5+eW9!>N<9Y{5PqW0!TSIV^z=w{NIfNy)|G;xXdi0Dd+8+ zg!T%MH0xcDmb{FuhB(dlzw=ddS3b9^t{;Y{kM2eLJm{@==^XX!u<AQfmCh0^=qs08 zslX6oQDOQ$oqJi@xi{`xouBnMv}DHmAF{9B$Lc8>Ggh5eiD``-JwJ1@(W&RPe(lTn z-cUUn?oD5l3a7FY7VRCmh6a1O_JJH#udO$6$gtxlIU7x2xoC9>eoz%x>)BV)YMr*1 zS~J`?TyC;P6!Y$0Da*d>as53KB%*`F`dn?W$Ye8`-F~{hTRNL=Wn`VxnRXsfC+bus z&DxKzS)Nlbx&rWAf6pTw@D6(Xa_ec=i(=19|A_wY14(S24UbJrj6FXVr}C<<?*)O4 zZwa4q=WgE^`V8f-dy#i#<J<!Kw;Df>8%j>7;quJY+Ue2$2|RYSR#A>kV$HgGYbQ7P zgHMtj1GkV@7{d`9a_eJ8AEb)VtD_byhgh3FfJYZ3J?G<d1`m4+2Dpdm=jq=k|4MG{ zmbO;jL=`m-H;Iq<UEkxFfvWDbJ@3N($(xi&<;&M&k&|#-RFv}Y8_@wQdpXYZJBFl4 z81*<(uJk*-F93zL0bp|X0QJpo(PZIdnUp}`%ZVN9iQ1ZYD1GHV!H*83_i2+DH4scA zGlU>#x*25^OyfqM-yf4odyGGfYG-$)Mshd*agM^LetW`5^?yViwjD%i@0_}5syJd; zBFV7RSm2yRV41F9lT;up7Le6P(7s67c2>JgfIi0*9LL9$cPJUK3<^0Y0#+qxT}mZv zF{ou$IVgNKIVfGAWdLm%X!z{Fm|e~y-A($oNa^Ngn|yKi#1b{ika89T6EoeX^%*_* zJ{lc*8btGX8iWHh7@*z9O@sD<wg9x@xM`6V1N~yT(lm{BPAe{q(*M};x0hB8qx)*9 zWn%P;i33+Apx<WDayxiJ{1sl`Uv=y=IO_@mn8w?_g{-T|X@_L^p=(Ox=4KEM@BSZw zTN_zngeGhvx*si<BVQb$M}#BH?>o~|t8g_q3DeUd=qmf}Rf_bsLV5c{4?mepye(pe zo#Xg2AgzbsOFX_y2U2*4DcCAt6_)iw>HiGcOyRlNv*hxr{vJ{rh6SrmQDdaY9}E?4 z#+Pwa#hKPDD6gXT)gY>gi;52c>f}|?3JmQt^y2X=YrY{0186KRv_EPfnl)c2-f=nj z^Nj9%ozcc{vpkf%fXcnG5bSSgpDPRMHdfQI4a0c>n%dtZfrS`I(+iR!cqd$@V8f_y zVbW2m{p(1A1zd;+Ha3%}-}7b7N-d_@i5-5C)AS4tVTR(%DM;_Me4&?a;;%za5Jq4F ze=09Nhy6ttw%#hj(EnBHT9e|DN<^bR8Y-+d?*J0RUodN_p8^M|BXtP!hf&f(#nK>z zTb6!fav!7$fjeRSq~f}3Z1nP<@kK8^xl)m{cUztGXWSZ9c&7OIU|8z<W+(@d$qid1 z)th8ARVsRQ9JWj|Nx$EbxpF8`x5IvZ3hZZ*JxTO~24l~SOePhh{4lj#GkP$PM)K!~ z)dnV8O?l9A7D<KAeG*1Cgy`r6ccgwX$e2YTo%pN;&Gpd2M@3_wwn-D|>jcmG)bY8I zm0|1BU*oi|w@`?QKi-SWv5J^dlC4At3EEGs2@0NMMGH4iu3;e9=f6`M+vH4R{%jJ; zayo{zVyIV;rJKqusx4h;cNLUi=w@MU=l32LzIL?BIo<yN>^eHBw>Nl=3#R};_g_HN zUcH?G0Js4l9spX!Nt)k%cFp^rquE$lIp|4aZsZjoiUp{z_>~PB^3ZdS<|pU6k=DnW z5I)A<Du`YnD){Z=uX0RL2&qqhilr00i95@%{*p_7*e!{b>pOh^qfurG>o%972M31{ z#m)E3yuY>ty4Ono(q8_dNnoHUP!9dJNAAnQpG?QM+G?+xVC&iEfg7iro0diX2iqI> z<^6+G?vDwl%}~I@EIS>XdI|h9XpJgd<hOp%JNUA|^4C}Q0$=AMe?pUq=mmYDF7zR4 zSnJfwZM|z&EvH`={VJkE{1>Nf3%qLKBVLqr1LX!jsgAT{Q$dAoe_-g`1Psgm4i9%% zUD92d&n@s^8u=c@ydR!TL1jD#xC}}g*~QyOo1Zmg4LW~g7m>{u5X$8CtVMkp{48Lk znuvAaNap+ONGXN!^~^_7RE?-vXn-0{4ddYy7#$0)?G-BCandmmzB-njrAPWYv-pbf zXl2l-R-G*gosywmP%9iBGyLMLV>-Ang}sC54ukK6OtmFg(t@4&j&e-z2aoB6&!Dcn zw8A%Dh(&IPek`6BFIJMg9_q!+(W*WzF~0Nd`!03?@zLY6=r2*w&dT~OHe`8^w<`Fc zr-fovsD}?kjl1?1oc8>d3$aGB=||#h5aB#F@7;DS0@1r;ObpTvRjq^zgp+{w)*KHV zgUh2gEdzVHcB51ud|RewuzFrzX<tEF8J{I9i`i!orVDx%L|KoDVX!!piu<^UQ6~X7 z?beDWv{JxwJ4B>j?tTh6GcuRXP+tWLm-f~r3x~EvlspTv7Msg1xiLZRej<yq2)%kJ zuZWi&S_&bR0x|?UWB`V?iJes9zL_#I5$%U2UY@anp#@6mCLgKJs#x<bjI5@ai1Ajm zSnH+_oxC)l<Bm~%k-dXdoGBYxZ&wAdW+iUN^4IKNJNRes%<*4TUf7f17iz;SZalrf zNlGZQ%y&@8iY+W|TsnHCD@OOzm!8DsB)Cz_PClhXIK)R2e=Mf@%j}k>|I0l3E+@i| z>UtLC;^#UR742DwBKEf4V|I4?$+beZ{C9%nt=tKw7^Gw$+~Cg6Z6G-J+#B^$Q!aVG z)Po1aw<=UL6LA4>c<$;TnYx*`9I%sP_}z6ubd$y<y-e!;{HXIgD4jzJia^<MaPF2_ zt7twtwc7y2l5v;`79GC6;e~BRHdtSg=DD4n9@u<{2^MPr)H?bHWSZZZ)o6F9do}wC z>EM7oh2fqEtDy%(F33Ls?eM>XkN*e~F93q0fS_0gq{GTp7!;vONpoA%9S32xH2x$w zPXJ14*;qjz6$4FdOs-B76!ETXLphRMQA4^QgWt*wh6dF-{gzm?22QeUiE{BZa1S|* zr5b*!T*lh2t<%OQ2eL3R>!X``L0MrS%+bY3{!*em+CT-b3u9@at00#qOgWKcVS%5L zWXZ;-`<%ikpOj>&qLem7WqIV@z$mMfCMpXkrIsj<H&DsyqEK7<DyXFi)BZv|MwLR` zv+&hWN+WShN@0vtYY?QNme2cQDa+nKG(PIaK$&$6YWkg7;NGb!DC<^3G~VvUa4>Kw zG7?(kle8<&mx8*dAsn_H9M3#*NhRy!Mz=jy5e6FynNu0xFPk*8t0I-Gj*koj%YR86 znoMOmR**tnriO!r;(;RsLo2J4B+e(Rv`2+UbeQgsq?A-#1WJkzk>h9OorZtM%cjzm z1h>S7PSrGV$)A8`<&~X{fRH0ZU~uf)5_upoaY@>RhEBo7V)F&}DS(Zjl{HcU>Jp)+ z;)YSdT=@oPH{iDN=!38r!7=aq`~x06-l%-pC?svbtd76a9@CChq0x)Muu78(OMa9! z3Y0;Y11&#Yjy=g<cZkQJLH?MCRGv^y!X;7*BQcQ@_7@9G>Y7cYpa6Hj+E%|x-ldKJ zi8QmDnYWIpybX9uwvTBH(<PJR7Z_2hX3NPbz(dGk(;(spGoop{_KT=wMA3_i1PoY^ z|Bi(YiDAZrt7JBn+cHjJ<s02HHO&`;jR<CtO2>HArcgj(XV<|iZv&a;GoY11z3;&$ zho0UuA!(E)nC6e807!JnnCSfx4os!=2&+mnj5@@hZ!^1OUln!n%I$CfJFI}6vM?Ih zc7pK%k<>a?Bn{2}l)jIf9DJjaN`RVExM{u|JYZ-nd#u2y(;}D*m)VqV6d{B@EU3ZC zEzy98wXm?+yX`)H;d<%d?sdn{S2srB&Q9x%g!JGcS0Er;aBu;B<`Rhayxw^6;h<c< zbA&lkU{9R>d@7l8BBO;Qw_dA5HqAol8AZ%7em=!;V@GovMm|7mso085nFWN-$!Q_` z`JK`u>lC4bWbRmxp_NnvkQOFVz8?mbiZ$fqO0g@IIyJRc%%QDrYRo#7jeLpwRRMnD z$-p&uFOb@_dgM#>+rzHUFHa7+{!N+s%*&5#EM4vU%}4r=<vz7F&ihr9t^NPXG6@9= zg~_eCUrA(tQF*g+4{#08)N+1Rd-LgG=-o}7B1*Y%|B{@FOKLr__j(+C?-u^>(KzS5 z(cja}PlF_{iyvc}=f?O0*^`UMv@GKv%0G9fW`UkuIzjr*`+4INPkvV?4cP%3248t_ zQ=?}ws&Vt2YWDXchayiJx-zOO_iF;{AA8%|zGz==_;+UfS7j=PjrY?Dvv*IlG5yQL zF6D%c9)7-{)e3P6qyN|)i?C?)+CS`)X#`_=U_6F%Y1f%#;f_7o+d3_4{rEoDTNemk z^2e;9x6G^PtxS*ijO38GOR>DSd&g~p#s02`yO!R6R;Z#d&!+)W=;MFvnkg*i@r2UO z_ikWQz?N?qN6Au_BK0DFI(Yk^HJ27FUlK$--#m5UA0+%CJI--^8?z7~i#b&1y@{94 zeBBV_Nh|w@y)r*#w7f8vP3)hox26GoKv(I6lO*?g63#?_@(@M?r2?qaT&gj)YO_r( zvQn|VK0u!3SXi)XVBD%_?c1keLk8Bzr^~u{3W_!D<?(qj0~T7bG#|3wZoMw!Nv+Mn z&5uSgHqHMXbd6v5>h0@!dLW{kHEgIzYHNSQL`FckJT7a6W)9ZZ|C<5w$$bSV)?)&p zhfoY`856}dXXiz7yXQ}~*+^g6G>-jBkp8qR4EOkdDJB#G99~wuW|YuwpGz$)H(-dF zo#i!>mwdndeKoUj#kxuw;&PE#ilD9zEw7&Jqo@cJ5>iUo%j-Q5f3j}UUuuUJ*hD|w zeh<9seKY<1{^-+MhluGV+W+&nsdo7vlmV)@Z7?cmF5q^bc!eOm`d4z*Dc8%Lq2lL1 zh(T?^Fyz~nfn?iBfr)T6b#L9jySvv1G|!9&sLwv4uXQ94N)3juKZyz@a!P@DVq?$E zUERLYNvJoNx%=E6r>Zv8Zy-<q`F(gel6$=BkNiG6YLnYD)t5JbuudA^%!MM1z_d5I z21Y|7%)o6_U+83GW}o}9k|*3RwXM7Y7fAU(wye~S{+{V~<?>o?R0_bg0Q@K6n0oF* zDG`^-9o6qMI*l&E{9b7UY%g1IOjJ^A!de{xd7FbmCpLK>nPaZTFs<&^bZSAWyG&iN zstx@g7$ix4lZ*vf<$)T`aehNFM93Q5Fb7^(48T-3DZ>#un?TW#2{E6wzvWPS{Mt-7 zRxB{$khhut6stQRu2!2t-E`bN#JEjfuKlfiPGsJm&RM!(XC3TKNUgk19x>hLv3$vA zXvOr~!dZ1hePpo_M_e<Yz<QrJXCF}y%mr_lB*brs*%fdr{`&vtmU!51eZvm#6EOMj zI>3yP%u!{#t?=xD8JM2tR%oxQtLJ9t_E!5r>9`*)I1pb%L)(4Q*DqYYP{263RFR0r z$!m#KdN|=~y|inRv8WdJ&kX7?3P?Uzu`qj(X*V*&PL;kjj&c_s^5}Yn@Ql9UsYQtu z9Q|<G;)n%ipPy9b|Hs!*j)=HI<Z=Dai)RlOjc@bPk3ST`u|l3^MeD|ykvsj~&ZR*| z+}LT~FSNb_>qZ<^JnP84zpz<FhL)n?3<>)hKvHZ(XQ<7T>0)t(MxPMjhIAvdMx_}x zeG1;Mw53xLEb@~r5{yEk>oL)vr9k1}XB6X#gYcXnLyH8j;HdvZmy-;4^9^_ZjbP;) zV*MM56Y0bgT7jk*R+2a*1jn(G_hN%NGkdkcjlvT^FK~v^NoQIff@+E#D>5WAJCz9Q zK{qjNvB3S5Z+QA|wR+T*q4$3a!R8wh3I5AAc;--%=0A37kWF-3%(3`%pr%M;x6=RH z8T69=Zo)b=&3{h_9H;+ggo5|~9pwLf2xuKB7LtEI#Qzt;lZ+W*{olVC@aupiFL&zU z;{WSc{EJv!*<k<k-t8fE($4UpdD*UcS^m-K;09SP$E$)GDH4(?{&`#fB|?48OZhL6 z8}fu-<o^=EzvjjLmk0-W0_J~<NJ!PEJ)~Ig*!8Ho+xrI8pTRl4dsqve5o0)_LP#q6 zR#2U@zUy)h`%#{c`#ww<pG*Z|UcMjr)n^+s)TTc0BJxIFOE%XGZZ?MwMU>Ed_*(uv zrO0Zki$ZDB2)7~_qGeA)<A_tZ&ZyDJWt#4#zk+tw(nP-EXe-{76=~BdyeOE?s?fQv zdxs97Ok$iGq#1+?c%=pBc)M^bXvm)dBySk+A0%uNPESPq<8d*NJ80Ld4kUY6>SP)k zyhRL?NYJs~=5t2v%kt}7A*sn+AgPI*5vi3MOUU%+ht5VVhR;GDrN;0g&4P8SUBQq; ze@B+1G{Tj`E!JjOV3mQqMUWy$p;6$|$Tm%cp^J=Rhoi@{P|0N7Qz4HKCCDeD=p*WR zj#P4p+l-ui6pHH**kex=jHPtemV-)a<tPi@JtNX5^A#Y(l-XD~gB)8$a3=HBM?|7> zu96DgRh?Tey%o70Z5>&5XvG8+e_C}0Xa?`Pa<87v!ciqOE;~(nllfwKwnlLN!^F1z z%OsR0w!U<|C$T<vT_CYOZL5wgD0>bEl6`a%)U^L8_-D1Ga%b>WQr<bgfI&$;1fEC{ zmd1rhjV$<gBE<N9B7`_Y92P<44(E<%frqG{qb%g@N)pUrGd1$`2TqM4+rbmDqmWxU zBu&0zaKG?~N?yfux2OV!NKO&HK^1Ju{}XAB#XfEwx85t_W?Sqwr`spxU=!A<{Hhqe zO8b297`KjvPKqGOi@YYnNxURNq?RAdTPy<UDih3ngG6?gsX%#Sdy8b_!S_bAd8m>- zXdA{1cqhn-N+y~GNzv|5%^eyhL-Y6<xlij0*6IsZwijG}{sqSrHVe(ggWkheEaEQi zsAVtM){meaiVaVIBuDQ;P!OWhkq<+uhJaFG9Xd~tU|+X3Z*on(VM2><T%Jn@8@0w3 zQtIx_A!G<X&8Lt<LjX^aPb!FSDnWi$`RlQ%%D?0&st76`uB4V%5q~#I;(44LdgZJ5 z@v=$t@Na@29umtYZ1IO^F|bs#*9f?1Pl;e59V&2W>)HVzIDNbpobuo3o6*}+e~3{| zmdl?0)-K%|GPyOtU7#C9$8_`;)};{kkxie1tp#rDiNm<+|Ip(g>~obi2~)e%u)MXm zwg}3y@yZ?4k87jsIq3>V4_xw5=aVPkjy9nFQby&LA`uv({KbWlL$IOJAP%fbmxlHy z3b<^f1Pa28Ww1y5^a7^nn25?iZ0WUBlh@koDa|fto70B^WG5;Ly-@dS^dj(uaTqur zPL-GVCIl*oXTD<Uxcy(}l`t~-8WU{Smv(Jb__#}U7RmK5RA#owdCG-UARUMA2cc!) z4#I;F1j2cY=y?+0X*&7<7OAtMS@=pNE=8j|I$GQ}(;!WQMOwq9;@`F)a<#1oIRx7Z zBTVWvEP1)@B?m?5lqXTw-%0gDlV3o2hpFa^><N<*B_@P3gSG5;nlDvU*C`V5Nu$b_ zR3P&VSIXqHSbK|EJ*yw1P>Rpw6r4vq$CszYn?F=LTP+94;N&#wMbXN(Z@Ez&+aMfT zLL4}4zOhnqLd>XUhLx(QPzFb_QU=R4B*ECQ%XHcjz~9#Z@s?AF$Y$_|c-cYxQG6<U zzc{;iob`T0C!=`pA!JBGW|OKfio+;ir>0~>w5r2HBrt0Ki?Oc&>T6l@MuNKs5AN<3 z2ol`g-CcqvKyY_=cXti$PH=Y!4he4GfqUQEcX#)z+Nw<EwETK{dQSbTPBYW<^*k+f zERYXA@75^zmUP%;p+_JDDPv-?0(F;SbqjSw{?Z0n%yoEZp>^cDu$XVJ^F2Xm;QGF6 zYc*6I5DIO@uT9R<7n@6LKqxGMP~^w}p{N8xaVAmK#iKg<iw6kBO$Dem5DIXO{}~FW z03a0LOF$?DmNm#t1uVnt=bTjFc9$2HP-3AOJ^VmfOGIkFvVfXKW@lG3a{KrORiUJb z_YT5xHsr@bE@7If<tbOU2k}v7-IBs;9?OIFK_O{beSCeOP!<+LM_tGT3qc}4H&YG1 zj0GFY4~+u;A~aRwXdZ$zk3;!U%QEZMuKfF!uO@gV5{KxATRR>yAB09(;)M-u)II9- zbczd1Rr*!;P*r;A4e4E4^953ec;SqgL3z|Wn2dM8i%Oqp)7<3nYmS+#xlaCv$&Q1` z{g|XB0<otC9NCoFp$&5RFQCTt&z2`tw|seOL*r>YmMIJzGxDtPD9CPSXlD(n3vu-C z3+7CHP?4Vi$Lo@|VoMxlXIg828iW7`9e%zp1qmq~fq!0~5FQ;5UBR2fJ@t@(&7g_x z{LZe2uPbnw3dO4F8)_HiSq!7<uVdce#-{15InB0dgZ~-!w>Qw-exxrRvoQfX3iG#v zRuHtba{0To)c2a$Z0XIo;-@Jxp<^(5jk?|EoOBhExC>i)j<MEb4c5*9l7Poj3)u8P zs3`!C;S&LmGi7GvYgx1akClH`bYG#>BX!ZPtAz}ly3Y{a2a~o^N|BcG{5i23B?z5$ zES;_ftzWe!OGP5q{-D>D%XL!}hzbHB^R8{E29!C(Y%qCALzQ2Lg?1X=g4g(y@OztD z;oj*gSl{5baCJ*;OMiT%d<G5|(qM|QXEt65>=Pw4!|dg+M2c&br4B#v3}TWJwL$0) z6GA?a2lSz{5pYsk@M_7;xJG3aT}%qdZx8W^gcq`*vt^6|OjsfL?O-?&d?BeFZuBoJ zt-0{=gC>-RltTG3NEAf?#m$qJ6~U}@xMSzT9gxJ$@fS4%P{VS$LAhbP!~MBZyu;nO z@OX{3ECVy<U1>VN_FE?vFi2mDv(M{DUgj@r`wA0_ywsoWVFlx3H}BN=4|A`5wy!() zeN!Pbk!;Amer)PGzuRc&*%NYd`s-J8e_-GD#z<|ple1!>CsV?Hz<eJLdl{<;fk{gj zcxk_V-|D%70Nw#C;4Rkua9j1CjLjc<X<NtJaLtEsTmZy4>;DA7vp?B}^FM@x03h{* z|0hTq!Ra;}$t>1qE8Q%U;HDqI&*D88kTQS+ejFHp-^m{W=lT!-!RY?h#o3v<2^X6G z-VIBH?T-%aH^|k{d^{V5gdG9kX8C1-5zAhQ(e8)IU<qL+*b?Eqe*UH)-UnpjL;lTR z%9x)L!qjwqB0I?$$WyI?ioui)0RTs{Q>3?C17pg=T$3#4V3-D_SOyOZr4omlcn=Dc zIKmQTK%V&0AQai2U!Yf<Zi`exSZ4v1_!J_jNj0<yi;S3o7#4*BxGiU%_*67_5UxS6 z*WNn_sy+w`fNeD)%V%!x1t+m>l7)sc^!n9N8_Ri{lSml_=?^6FlJ*-(M-6S|z%zAK zNMNIy)R2!G*?$j68dS}P4`x+Iv#4oO6QX@Dmp3ZtBRwaaN=v_j42PyfCA1|y_b!c= zehV4y13(GN%=H4)0WusNK;_8H`K8m+pCZF?0~DC-oNxv${U2mFQGha#UF*%@rN2hD zl==sy{uhO4w`G7-ZSpyune=)``gmv?px8zKlY$hBW+wf!BYiNmEr?VbrUHYF>UK$f zxnmz4sGeKu2M@#RhUR+N)Y(G|q9Pd3o8A`8<EFKuuYyHGB-Aal=9kV(f6OSE7#~F> zUuc#igM#%X>C2SFU{sbO>!-pXIPR?%ULqj_nW^41V){KsnwA_6B$OJu(msE@9IZ0w zU-<SF{I)HJc2~`bG4x2P{E1hahaE=W7mCbqZ(ZkTg|8}+;iξHaoP`tx^^YvZPu zv;5<_ffn~^TjBCpUE-JZl%Rw@(1vsDsoWsx^5L1x)oCyl6vWZ#$ru8G>q%8Z{o{y3 zQ56)_Upc`s3hssSf)df6VW^5(VH0B#MZ~Gve^V0W59a#CDG~Tf4oT+b#jOR$MJbRf z2ue^#cjxcy$}32mNlA!N0ax~s#fxt$T%uA@<-1Um<;Be!5|OKa?B6v?h|JH@-z*H} zj|L4Q{Qf98s4&U?E?UG$P|g$ivXWTx(=w&-Tr|w5JNv<oC|U?>a1?b3@^`y|#4j2( z=yl;DMr_H*afIQIBSQcXFB-xa018}IQUbsr;kh^f$TZYZ1OR<e)m2`BWG^kRKuIIx zS77p^<cOf0x+bPiOrNoqoQb_UEDn0IksHuqB_~}OQ<=#b#bB6FnQ0jrBqZf$eTo*x zOwP};j}BHhPVj*gAy+p|@aY#JH_29q#z9XqDpnuH#!5=6Hz6{W(~6ljp)%FdGDt}E zPy3`Vj+vI9X0IQtZjb2zDMF_1nBdSaLS{0hK8TGTXSDbVj7$1r3IL^I;!TMt)ZJgh zlL0`9ip_*j)xVMN>;&dz1(6<2D2z7+_0kH!aruJ9(0-ES+6F_dg{0fb+n#z$eI}Lt z|5Be8)sJ7!h4CI0|IU5tXfbps@n=*HGq@$kL+w6%)voxjlqJQ|GREFNPXyS+uZ?<@ z3)7Q7c%&D<Zl&RCP14mym|LGqy(_$Q_@VRILTj4IF~2(|k~~ry1k_pI9kWtq6T1rS zdl_T+opSS!GXd9soe2<I^9kJf=Kkk;cIa+d1|Rj}u;<v|;{huvZWT)s+Yw0Ge>)TK z48bPE@C```yx5;f#(L>;Ib%$vbg@wfyhGX6^X0B5P-0UMi3DXXJn-yutnQle-AxV2 zSg*5KK^TzXOnv@mhVvzI^5SaVnCubl0>Nxjcc)mHS}JN>xShT)z{j>BLRLoqLP)vo z@51la9isf57OiI%Cw&Wrq*{3)yQhwABU(2Z=|jpqj<bQd4zu*yeCyw}T2ko}z?+3f zPlS^|Tm}M7uv9zj^AVMot=M!YIChO2>ao56b#S7Aiz;m9__pZ@-#>nJRfEDrIVwfp zwy0j4k(c6)TGydlt28OK-8v&=5CPHr>-K}!Dmc40ymX5A!z5~KHz?#9|DWWiy#t8G z@ZI9Y@?}Q}NBZ+*Y*{70)o|%VYU-jvJi;f9BIQead&aqGql^OPzyjuqg3lKz!{k8O zbNmz24;$r_mNBM8!^617RHhRla07>?aW(xH!_=1&>H`C!4?RR>RWn&|PzOG6?x;xa z%rRMggrHiFi}<c?ym_FWy%3p2eMmZONlGtX)tZyd;B~GIB$M0bt2?a_+MEKRraWM) zYAynSm<tc{RO#^}*>;hI`=USr%%I2fgIO9wF`IVWte1hQsYt%r#ygzHd=&kRA4mrb z{SQ6WDi~MtW>ipZkc=1S^3@k1vsy}1bh`zwc}tGkDz*v2S=?Nmt1vd0k_w6S2*JKq z(0h+OIsHV;zxC@M7Bw0hmnJ^GyDEVi6_>lJoA}=nnyn;e^y(dB5gS0(kxyIJr(|FG zf6Y4Le{%7E%yl=MDJ7tz{4@*q%jSQ}O#)ixr@8LQhsu>})X3@irSu%J6ri)l9WgD{ zE0zmo*EqbtI*=tVg|)>!zo(48UCTgV#MS_b1JQ|7v_rM-rIUy%DoTy)dGq3#&d{e( z4qS5bjCG-2mVqi+@;uFpj`YKW<!R(!S9$E7w~kpfXie;Bd9?Rh+@@Fffl?2T_Z}>P zB|x!8Y?^r$l@DAqMc53a8RIYJx~<^<KEMITG?ToJ{(c?Jw=}R?9ofL7_r1Z0@Fc}_ z`QxSNLle*S6gwpCWWq5xYozE=6VIHzWIyONex&xmDpSPrA=i>Lb%H&5WWT*>Sgtjk zTE@wH(N@J-=7_0W`xWeMvt_UZQ=a}Q8>EIX!$&hbmwwKr2JhX)2>UvZ8oKQyUYvn+ zricbQJ+}r!ypzwaBb?U+;oIZyMVB$Fc$b+XuK$1nEW&@V2BAhc5&ugoILwd^xNg%y zRI>Ks$J&f)mPwRN$DCP`vEgnczn;^ueQ}!9h3ORIQMj7+(`jPIT;>b8UiW}vQsc5E z%Su1pl2*<kKS!6JaWSFOrf4bQURN&LspuXmL{1q@j~q_pyhAV;*@+4-<Rp$u=-YFG z5yT`82mjmiTv(I2*Nix@8EnS05U4FXOrN#J9(l_}jDE03iI4*tgQx@&h<<7Bhwfw_ zM-ET4y8%sguudtRloaPel9%O}%c^gnP!S&GlMz1c7c72zwOQ(Q(EE9qTUhpcPEl}f zg7_tE1RlRdC~0I)ga?P`Ev85~;gp#CHWY=z%&mO@I-BR<2Y~e?3a~DN84EmLE8NbZ zRPy&iAbRWvO$h2E6;gFQe=h)kfI_4~zGhUQJl<s0hqi3_;3v1xW8U3M+D-5o)}Jqp zTuO@gSU>MyOr8Clzw<W{Cu>YrvO83XOZw=mcOqL{A3NDjL725h=s0A+OG664mHUVw z+`U=6>l8CS@8v$j%Xzs_Dx}?KpTZQvDDqG7ed0c5MK0?(O(PWwTvZph=k~jo0fq|{ zUWfTr^;4h<vDGjU9^YA%q3;*+;eve%BR(oVPhO7<@JGU98Czzjh4c;dLLth-4OV?_ z(j3HBi9PoyD1O6)J${Cf&h&*qu<F2fR4ATif%*v;ITEQB0lBT&uB^JCQgF2OtAQQH z&QElEr}OSDT7{Z4Xeb~eplw4ZPf}N!{ZmueqKd8YiFq*0c`!RJ!f5cI;;U4M(iECR z-s9FuS;b}#ODm*l?B^e|SB4<?3KA+kmcmwTWkEpeh^D<WzLiYKdojJ|<474SL>@4S z&DZx;ZCtQAXU0lJ&^a@x?Sj*-;}_-<3h4Da6(d~AkSaX5m16?U$#r)Pia6LDLkxD- zVX<IpVPv$DZ>Pm+*wDdbiLUsjs0L$3ocHa|_y?IEpVPiCuOnMEJt1@j{NthfA$18p zhqf4(nJ286hbyRo;^zyOjyY$|skWG^p({)7i1%aCAv_Ux4oh#!sCEvt6<gKN;PqiR z%RqSS(aSsBiWfAirf1oz&3pc?0DzHd_fk3bVK}D6A+jf_1>D!ngE>9sWHcbu{yv=P zoVuGvn!9DaQ|XL8*5863ij_gthgd_jRgUyXP!mqZYNduk4#dnOZ}UngVN<97WDuHt zB_d3(=N}K7`0Cb>@)fLt+%>12Bug2i!U3z%z{l{f!LT0;6+(ySg_FcZf(l;k)$sO) zoA)GuUy*>L{HTDVVt}J0UF0i-mMj|@G4_tQR(PMe(l<$PEDJcF+6}8n_i)r0wn>AE zXKmiG*-W9c*$kq8fd`7v+Yb;0*}DLZf+#3h*@ly;dJutve6DG;_ZF3-mVEvS9$TK? z_aLYYb<`lR_u&J#g&NY7JUBF~WkBso1HVFt(rfDY#&~54`bIzN2UYtgCv;CS+c<3j zcakM>#doT1OWIMOEhI~*Gobq0C0bIH)}9R}+T*Z7Y(6&9w5jkx&3kZsyVlCg?|I!g z?mcfMA8vGp_pJ!>-Ph$E=TXAyWW$2635W%M-vNoFjq2DjC?ruUc2_;%{SiV>MIb1v zqMcLY`=#HS@BSj`cmp?Z8YIELd<uNKw&vd2okVQ()bsct%%4KDYqgRr_${YbTg?od z&K!MCDgDgpEUJ{W=qw84neh7pEnVIbR;&WK4rkFSfB{7FG%Ua2qjTY!&D4rjS2a)0 zhrtFO;KTUMILWJVy?-0ubT*}xy{_iDV)ox7hKN{2@n>jQl?ReoZ^pY`jpP2yI7}mt zp*Tm+DW)V7b@coML&8V!m_7wW5ll(ohQl&Aj?PQ?T2z*wUftc~wR6B%s4nnby4Z$1 z<*{f=mLq0IjZ!=Vi50ysBPe{f7$qT$0tbATQU-+vVX<PM)cYDFp@!(x#zY-5*uz|u z6ccZHdi!l_blb=Ixtgm)%e8j;Qf=lX*rAdURSH#*ro9tuQk4Y0Rf#GqD@pO7#F}&^ zn+VB>7{ZiLBfv;`i^zC`Vvr-{Lj2r6g;VIy^~TfES1~GPNDO9mPJd1qoa~OIBNAk< zCJTk5A2ljRo#tsO^U~BxIOF%aWz?O8k(!06zYDrpZH-=HnSu%Ntshmxvl>G0A8dwy zI)@)4k`<8I-XM;}!=fH$wO8UDaT-L6g5+u%Y#a0ssWHaGn25u_d}kzsNY5Pake%Z| zgNMP;=rFjQX~mT^csv3TA~9em*XA@z6zS!;r$>y&jzvlB+w<vynKO|0nJV2kc=SRm zt0)f%s!#GB@AB64J0dwVozwT6OJO{823aS-5GQxipwu*Ah|XTFZDtxURPjrXI93)g zgxmM&qDCGt^sCrcJiW3-E*gdwF*Lc8TljLq3}5OHeLk3mxjJZ&HfF<z8ID<++|GX= z)5+rEQFa&!@(HHy(q@W7bfAAWr*^$>s&PK`DD;TN?T_u%_Te|(OX_l-sD04Nw=av! zBHgoX>(HTRoqg_0{!86U(zUMpWp|brC7tp4P~F7c<KKHNZOuiO^cs1moLebB|MA5@ z?{<6E85)}vl?Lq9f7>PTDc(%w?cT0H9rlD~_uoME&JoAxf1Mr{lKw<x>BT%c>z4=I zHc`%^JXexH2lrc?U9nZeY=pssU9;R|of|^SYYjd_>BU_YeSIXO7WwEy67wVbarn#8 zz)|Vl!^F{xj@Gkg;t9W?|GaKuyq#<>N7k-abm*G$`w#fvhj&i}&J=UUduborg|r!d zDMIjb`R^juC*2ROoczeOh%Qa$RQ=joIW@m)eWM+&I-+B}e8xQLRgE`T4BjYd2)2U1 ztP)Ya6PzIi)M$@2kGEo5^-&}3@_53Z)B;Y#Vq^llgH2X{pE?wEjr^o?$mn>)<QdnE zZrr~Ec0+e7dLE6{T1P2!X)5EptVV~H>3*9;N9j@}lwHT$JaaBxZ~6HRx&htDCHJXa zJ{zI_j&b+kbI&5YB>|os)5_HMx$QJ4sG07&5BeeU8IZLEt9-0UIay_0Wi&%b2nCc( zmW)SBm%#S$ka1vp_|hY=J)9{DvbKVsA5dc~2h^rt)sz7>oU2#0c|gtLTcm!&!}+@u z9@rf{-l+g7WMnRrj%Bf$Y8@PBl4e>4(^Pdw2u&pa3O8zq)z_^t)DPuvTVvigHo!tN zjOQF<gnPE@JV73!D2%(-b##a>mwpq~#(~&fR+b~E%o1l>;s+@=Egp4c#TpKl*YwC< ziE5HNHLf(coBvr%u5;7Maer1fj>4Ttm^<VXltpqNp96w(kmMW&$(?|g`#oZ_->e^$ zH5MeL9VF!mBn6yany@nIorKmTW!%KDXd*?Q*4Ur}N*weblr&go*EDKBXa}@7=u4nE zpaVV7958?$XbzY_kC__efRze%nfUiT0{E9-p|ZbgLy>@`gOI>xfj&WIgRTOt9cbx5 z`vx>^pz#3>zdw)YsgLx-YCgMrxY)>fvy$^6oMMSCWoCS2J->sEp`zywLbvqr=Pft1 z_#aN7pYvYEvEn37DAZUUBw*(r>E#&3$1ua(B$BHe>&s-D;Vo6R^Pd@oBKdnja2^N` zBC?+S=N~S%=fm=iwP4;UMyd$-TP5&yGHYlMdvxqkXra_yCyLS&Prrwfn)wW*F?3Uz z#}g&;WYMI$AQdZLQ0KjF2d8_Ouwv{ne6lt(i1S|}?sOp8a9g{-!<6Hns#`c#bO>do z{?P~Nj!3ClRK*O0bly%2TiOaBI`#c?(4eN}do<q}bfRZ~Fj}X|-+pIFooFgG6t1n3 z*#F*<*Anwm2ArK+diVGtZY9Nk2qK&vz4>vLws53yXOCKn-c0!C$Zq?h8FgV};m#?g z6s_6hOz3XAHo(X&+<7Jk7+P}xW7CwnFtTt5kqlrQ%mWN#fZ<WNLqGyBoE8DbFB9rQ z)50BkB7gz13^1$#hGOB)M?!!Rwi2p*8%kEdU$`TK576(|00moPjY`?nX%B10u1<;Q z>3m4BIj1Z6Z+xqZ9yeE4(F_hErwtKb&GXSHK#~H7?G<3t*Kjl8Yr+h5XXcYkqwYd} zS+_jqz7nD;uf)LP_A5bwcNc=KH?zW`7dO8HWpA*z27~bO;r$hxLEo3Kq%|1C7gm7q zh&FJi;C&>~pP82;?)xL-eY@XXREaGb@+%$r0x3{l*Dyo*h^D~BXU9=6>^^6ZGY+bc z=#+}-FqD`?o&Ys?fugU7AtX%1O^kLpMK8`xIG2|hXP0P-(z08A4<)i@&^6A#H@6H% zELl`|n3zNX6E(VqoYa_ri7GTqTwP3RI3)`J<<l}#8xzS>S#~Szp(NG}HUXggG8n03 zQ6m7Ph>4nfNzS}W5G`(V8;-(C%DgLWG|WdFyW;bn#7xX+c==Pzn_{e&wkq_JaKQ=* zvvG9vP{$<AJ8NRaQ<P+4a78h#;nb!$kKX*jDs<HNOLFR60(mNCO8?%xwqWsQQK=Ak zaUv8dTVjP%lxSjb1u@>?R98SfchC+UH9UkI2ZLaWlKH)VZ%$6Ih`%Up2)qbUbdedc z{3crPRxf<8kcei*?;xRQ{Xrhs;9Q+0948=e8cQz-<V}^$?B(F+%leLT4kr=7z2a(6 z>4bMv8onxq*>k{y31jTNl8pfQ{f#82mxaAVVe22ng#^tBF_H`#mTu@x%{iP#@CA_< z=_V82CD*!?W};H<`5>Sa?F1v=ES~sPQEF+H5gJ*UR7X(BW{YecJ=UG=)%H*#a(wF7 zA&$O0-8VQGIRMvtg{!^7)ypw!YDQ)+wW}|NJM`%MPrwpndqYapIp78Ttc5UJt?1S< zaBCmo<`ov$&8j8m0Y&+d4p%yV>mJP3uXJk|${jZE{h*g2>4Af+KWNw;?y#!!tE>+U z603Jx4Ga^#h%{lBAsL*hUWM*=Shaxg)*!JK5N;=WeR>r#I*Y#weeSU8{i|w9==_Wm z>j5!Il9xUp{><oX{<pX>ziKsWgu+K;wh<SSsJj6rOnWQgEF@g-S;U6Q3b$*)*yf^Q z0R$7h!zf=f<PfdHphs2iPt2?hRf+7M5Col1)siZ0`9&YAY1o}0MCjG85_0Z^D;7F2 z&>M|*UjdB<Jt}fDF|)Qr)UA(nIvBWhJ_ZS8-jed)3{<QJVMEkvVChA!Le!#jAp2<4 zVG?uhdrKGWcJa%#DE!vDc&aqQ5Hp;wg{>a9n8WAuXggas9Pr1wevWs<bY3;sLnUr# z*2)b@C5nM@_-DS;E%X;R+4H8Fbl>+!B=4HH()USLH-fENOV0NdKflsU(la4Q0V{K{ zIn+b_N{2<Blsr@ba+JQxo%Bw3-XF$fubZmVeShE;>Iy&?od76ygKuzJoc;G*L<~IM z@~^xQYG-(>U8Gw}3{rL0qzdMjl#J0K!+8xL9FkyI5_t=X(ufsv3&apwXS@<W>a+&6 zvmBzPKAvN%*ReWqPCfhM38@vXL{SXZ4fYvO%C4Ww`Qwo}QBwLg$hqR-5ZSrnv?C$v z*W)7&D=^{B{4o69^E2g}T~OPPo=;@I-y<H%h4{BOa1p^rSGzD4vEuuF!Z)a{n*T`Q zN91sU2pR8f$XF!AfO)-i;KwMOu-cWSU<nWTMXbMDhbJ95U@(*Cz0!18R~F)|+Ja>% zS~qgCJ(RCRAk+I9B0M;clP`kriT6+R<bvrTeYxul^()6@poL6o@e!H*`@H1_z7For zFN5a<UM8u@5WsDzZKR_HV~!5Bp^0=u@5AJ{T}oQCdT6y*YED)x#lA$p#Oy!j20p4D zYLxlEKPv6(Yr`nG-|N0D+4I*?IO>v|bk$L8O}HFCrXii85hC)($bGVsrE~j}xin8z zNE+g})$k;EqSdV3HreEPab)4FIBa;Cf^Ky#?4?9jaF*ghch)amk02N|306j|Jwb!j zMq9ZzVgvmF@rR4&JbZLgkRsdQQCIBzS-(ep{{Zp2F|1vpQiV{;)5q2}E#;>Nk<^hO z8+i|veAk<mVY@+Qz0{=Arf(CUz|C%Uh*A-IJv!og3OpT<rnaPMTV&AA+Ru3KU9Q+U zT-U?l+S);Xg}Xd$`f_@<+jQQu6Af^9VwUFC2qVOnBPvGNI%DJ^FZyk^8kbsXw8l#N zo!$?w>rn45j_=B|<$MV`Vc{QX5%R1{d-*|SyEif-k<?PXNa=HB(eb<Ca`$FFGcmDt zwz0;1T;sjGn{S6Fg-Od%g`(0+lEHd5xh<RAdKaUnxLHlk<tf&cx~8f=F}!Wk+}I8V z&d8<0N$;#Fa9n487%Eh8-K1tW(J5DC?7Z=kTC6>Of{$rd6VWA0uLp(y@4Ao92U=o7 zVTH<(x?gI>(cxn+5ZzZ>c$hnWKnU_R@gE_c?srMfz8jZ!)D>jx0Ik)Rnrodw=XS3b z`7`HAA#+(tiVCsu5HTG+$5yd-yGPW5>nsb2q|?-@-p$I<32%M2E{@ffp&L(#S$g$( zrKS4Bg^fzYQE?_=-Q?c+_vPW3?ES<Q27Y|TE-^xeu**=x>ig2?W+?TiU2R2=8Og{S z-0g>n_^E5kU0p&2hE*_4hG*Ng9&|5{AWE7w3B!<%=1_$j-29*l;QW0JbxMDnDaEQw zn)8#=5Oo~vq3;M~%7={E)VNX33^xSTGqB0io?7bl^|w=_kLo;&^z>S1XVto|Rch>! zbUjGgA61(9pSSaq*mVDuwqH`d{EzZ9r}nn9CZW4njysxc>Q_pXSm;}C1?;<5QQr#K z7XSt9Cptg>TyC-L^yN)GD1_Va#+WFLS@SPCc~3y4u)fCydk@sB8Mw#_eFUn`Z|7QZ z@?Jt^VgWa_y+7vy(cvxZ#JbqH$@Co6z0J+oS>%MQpM~LgtxNxfAktkadyVe^1w@0l zP@vHKaPw3ioNmngKsf2fB?8;*0Keh4+5TVG#QFBovU~F|h7Ry_;~`fOGljBYA&zb_ z`E#k4tt}HG-hi!eGpn4nW&;YoAIAcF%mo+-V1Tmn+Pt2flc_Dog0!U$2slUQbU%dR z?QXZmQoX_jXFv>KUA7Qo<6W<#23LNM6X9^R8U~y79>$#E<$QZT_1rEvBfT<{kw<LN zVSyJcsPu(A7Ma)Glo>mCJnz2N>nkt1SBc)%dNHY-|DJaXwHTCCVF=a$LqOm_;J{_a zEPtzte~~MQ3ehlu7ino!iJhjuQXk<UwZj6nLxr-@MM=>mB4^J>ejZQFXVt5mpTNn$ ztE)h1w<|YC7R@r|B<EC%5zzGAxNg+N@v5SgqWhPoJ4YG`y9)fGtE$%No^|kkN|>rh zkd0hTQR&vv3doD}e%Pi$swO^Z;(_LqTN35X2z~_&B<|<BqeeC|R;0Ug(TnriV7y}j zTilu-KN^^S$Nv1?sBEH<+@`i(L|<246ZtGD0dbSZTUoK8^qG9v@VRIQ@lUE|WKGGB z-qAEk{YAd%1+$}XAq7mvNx9}>eEoMXi#+ptoHo0s9TSCmzeFM)ZCvOjFO{g3VMXrr z<4Mx%j}<BM9U3U@tyvPpFvN#c6z)zZKxz{~CpnyaOIL%_hndEVC${E)3cTQg>;3pK zaHu$Q&BV)22?`b&eD(6e6<MAiM%V#Gpn3G(`Ctt^a<d_FlZoFNx;H74g*WPbk2PVB zA>CH>wKuPG*wV*<0h6Es6EAo8iv^~w>TU4L1^D`J@R4_c5Z(iiu|E8Kkgmt*7Yn~Y z-K-i@2<;a(Z%dcVrPYbp6ut<sP1}%^2?~~RXT2{a{PxUz{$7DZIZ%n@WX{m{*IK9H zR`VL)xmx(^AW=BQ+<7?WjRZm#d52LJd8>O8d7n!Vi$5=w|1JA9MHmV7Z?QXkade4L zNi=!UiJ>dJ{lePq5lWI}-gq%m@%W;7+>GLW^)rg&2Ga#`W#w75MWHOaMV{g8!_V65 zF+;I*MMAlB#kYCCB8tWrs|TXE3qNLY7cTvZ4aX^VJOnRsbUtPhuAhg>TPjWexLAZT zt3w!Nv0goZ9Ecy$k&-@c%O+M}g-E4%`e*2~uMx1jl6lvLuVFlHN~6FeoeD{hPO<(c z1ug<J$pYSF*hU0jfLZ8XR9&BqsHd<dYhWIo^AS_8e&BZHszoSfva}VF8M}Ssk<=2^ zRyDW=oBYY2=Fg$f&)44QFHVIR3Zd|ZC!pbmJP}O77ma~=w-j&FPR6xFGPkh;f(HEF z350mH{46A<%eh?!S9s*Pe*f2l;tH_%0i4HnkF&>09plJ-{5&7}I+meRrBNdBqqZ_n z|4>cCtMfDRWL<#+AO(`K=dx(VNFuiBV{c#?GKjsQpTVaeTF2soR`@^EuOHVziuOK( znm?`H!$sdi<^6H-C=m6EvDhe^MJdTE)QNLf6auzsWhhza7GBwIhS{rDZsL(udTi@- zFL%JW#kFDdYKAAyw+k_Eo~*C&N~}R{S@(}D3$~rOx~-OGqpdBvx<wMLZC_kw2((db z@ACP($_|XcZAK(C_{<ow>9DDPYHY;0c=G?7u&BYQOey=orXH>;trC?|)?Z3aBPOk~ ztsIMyM_zhSG6bs-JL@`Y1W`O>(Pn`v)sdTDPQ<1jsVY4OXb+T<TT-SSp$xF(kskrd zI0~^>fHFeykb9d&O~8y1A26WMVF^KHO9{~z<w#ZS?>)cG%yG?}Z2Eb)IVKNQ!d#qe zvZk7`&kj}u>OEQ3G&;W5$g`|j%?K<OVcW8U1@2ExH^`N*M>NjaW@~id+m^2jZk6Cy zwpe7JE=M%I2p+x>L|<kjRJH4)S}X=iD>THk>$jCv>GCR;E=p+ZnsDe&;1yJBFG@<W z^D0aL3Cn@_uvMF^f=N_L8Zhti4{Thxl_`F`v##|Rq~-mkWgqm}bhIIcN_te42{AJn z)^#gguhH|l`OH%cF?UVw270!(FZW6wO8Qp2JIeJXK76~`Mv?~2Th#STmm-gBz-G-Z z!3qs2usBirvRk~uAK4Io{{yahq?7@F+QRgRK1+vH`ghL5{zdk6Ge6rG9%K1A@XaUN z)!XyypA-BHXO990)KLd64{hZQ$*hGw-;r~#mMsidzOAWP?tXz#?QR`_NQ|Gy(1JN5 zz|vCDQMDs$^U$(Wchl~QKR>naGT#02TOW|g1_3PpWPlZHzWasE1i*<D#Lp`y1G2<q z0B1@L;LJ4Kh*qYi@2%^ZPCwd~)?W%Xx@0!a0$jG{iDirOwy2bqed~G^9Wm+C-whfx z40+@?ZkpxsSPHR#gLA`h#Y1n7`Oob91-Eec3;s`|e{-|#*8XqCX8$sFXz{-p%l-YA z^k04b^I!C$*_(E@Ro$EC$fsuJPYp}&|C&#>hSy)V?Cam8ayP4gxuSjY*SspO|C*QT z-}5T{dtQIL(v+M3U(4V>^EX#k;cwJ`i-w)3B%FBZ1vQPBTDYp}ry2|1lEa(Uk;u@- zM&RgMO94IoOH*6RAu^Wld`XYj#pg$#s{(Hd6R*3g#oAcY#Wm#9V52l9<8&fV7x!%G z9Eu4U8JP^e2Mt8*He~$gP>wCt+=*kvWHxOlsSIiI+1irdLo7FEl{-mY9uu1#t|Xq| z?nDDp)Hhxek1yY>2b9VTS9I+n?(P|2Gd$@S5Oq+IUixq^j<zKIRk0M&=1<5gj+AvR z1uWDD>J$dhak5)0RJane5Es`eQ>(|*#M9L&Z(<{8e}%blAmLur=G=5>6yqZ{$`x9y z@>5tJ#i$p$I|ma;rf2BT+ETZIb3gz5y3z1)7IZ+N019&?k$LC<y`%4uQ9ie!sBvZ! z<tTMc(?D9$jMJ5!<i3}H+bYWg5;4{+U2td+iS)(9VJR1%(2uKJhEK)ul$!PVUeRBM z9L)uOA~be@Cxk+vL-$8(doyqfZVhDj=3K~aS9=iO^fWX0Wxcl6)YMdW2;WyHu0?1% z8Me7cwC_IiuvBEA#VhZ}f;mTWlZ}IpH2HpObZIdO&I}5|eh~BGvXTUQ(aE-Zxk&q* zgAPqxpKhD$Gse9PtsS^$^*G&(@A#qV#5notORLwsu%{=hOYbFzU7#8Smcu}vyZs)d z@{KJ=)$tmB^$&y<qJ(Du75nF$#1E(Ir!O5bJXLKpnAQF()iNCW`}@ku7_uDNBODpG z`yvBwFB=J3ZFj$P?=xR!^`GZYuEs7c&g*6Q*Vgzr%W8oFTB}}_dlD74YpZvKV(mGO zQ)sL_6Kig`OfQjZ`p0BN<YJZjmI0Z)Y032Vg1TG30(S!&R}s8C?UlTQbc4;M`r~)3 zOOj}YmV33u*9xc{$=p(#0E$(=g47nxg{(k&Jz#GA$5+Ic)tjlt6}q!TB#uy;7knAl zm9LoC{ZKrznAEk!b2cS)rE$*n(-rbd^%AYg)2C|YE;)5P%1ggSsg0AAm(COe)brtM zC9qB6ncq0WjHPk<DpWmEZVwj5NwWZ1f1(tiUJhTYfo)RHJZfRwG&5``-%|Tu|E`xl zyW!&OTFbT6Dqk<DELv(BH`Qr;1pXVgGmqb#rtZmC4hWs5V=-<TjoV~aM7(4%P8y5T zF9^dluA(#)c~5<DudzuNIhHpoZ9oAZ-z)Q;IyjwC36)2`h}5~eB5?u6khU5PQr&<e ztfp56+hll|zT5_t=i~-(Q)89Xd6+R)g(EnfdGyslAv}xum)M10|4IBeJ*_uAmN(FJ z`t%`rwc@qLD~QV0_aC(RUEC(|>rlo`Vx_6T(DV|Ge?PpT0+Q=m#E+d!hV|t8CD5sT zGokneWusR=UtcNiP~D&}@>i^}3qC_rHNfknQnA3S!9%A$($(EQEGExTC7!Lle2yvY zGCZxK>}$a@3{Xi-!=F>;;wdY2a8^^@J+7)4Uep&NG!4A6A4fde0fZx5JhUWs&fF|@ zEj!0`>%dH3AL8_1p}_1X4`XT-1F$u;9sXAN-z39!pGqaiWlY~N)9Gekh8C$j=w30y z%UMnoZx1@5uLcujvFYE$JrL#eTtEmYSAE_F()!0hZ%c?r{Z$!D13IK-ftdQMzdlB) zI870-j1j;x;-T^AbCNrE+esb!t@2c(_1gn$yaNb_0AU;&PkM5lkHlZIF^7m>cwMSb zWN%9<_qL=MN(P0%QvDYJtnYv5*+svK#@|2^E)uW9E?VZlg4z)NN$)BKguV{-x};gJ zOG>%=_k-9g3fp8Fmb&_9GL^3gHjP?Q6O?QZ5+py8Cp^K5KT_tsc2?Kfn$+W6=Izh2 zTlFm;y6&wrR`x~f#qiXXvzb2JCtj<%M-;95-R5$_<AEcW;+9Za8{WR_+SwtBqY1MV zRTP9<48{rf{S8a!pA>EQDk8@fKEO_v++Y@VeFx3&>ihBf6)<~OrUoW9EM>`y^@HOp z$fHLSy`wp|b&Rc;$;rXXY2Ol?%iHWC(ZR8r2YTtofAr*Jl<m_wcxk4&JVhs|&V*jI zRq&bUG%Dh4YI~9HVO;6)+13<m_jrW;uHescYgTC~?fkl|RCaWWvx0^PU=ZfD{d;Dw zJP=N)B3hpZ1CA=XHxFCBk=rDppzYO55qy>Lbu?{&Mk?a;AcH$)jnup=gzw-#$J{3b z3F@xr73c#|G60bWNq{QC2JuSBgcgVs8wO{E_vZISf@(55S9v(wRP0eb;-m9DMVe;G z`fa{ddew^U`;jH*H`R9O)q)}9(?Sq^QsRP}sqPrR-Y<hECh3e+x)9?B<=<6W)z4}x z?(!S)Hhc+`bA+Lq46x~_<?BV65R`R~snkZhsD-sj+pWw_m}LuL=K_t`-HAu+k<;#3 z%kY}I70bL5N8fE&tiCndD3)EN>IIPO@5mEFoqp<{1CM@X54y$u>#4fo`FcO|EHQOH zq3p8Dxl`K<FAvV7vpvaA`Z}-!abhnJYAKXT42PW&g$&oxm4K+P_TF6LVTcfn?hhLH zafdiUn|UEd(;>sV>3f!pCsTL}bkq%(jUp@zWcgp}J-rYX8(>pB?98-3!Kdi3Ha0X* z!5Rd9o!LfHiWAKGeJA$N>iGhf)vjI?5Xidej{`RA)o&-*V}vs!Y}D1K$0W+8!eG6G z#AD(3uBlU1N{VqcGu!{E48$46t$u^#X$PV4Sm-@6r^#`Cm^@<5D7N7($`bD|(>ASR zB=!~Zi<=h(oS#sK7vb%W^b5N?G2VhlcXM1j2$t-mbbKG0Q8I??)Ix$zCnn<P{D9Zm z`ViRW#+oKlpwP?ZtMb%$IH<w=npPO~y%p&_6Gr{_FK%Z8$ElkdhxSm7`sX~)kX0n; z+x@+8N4BQNTLq>uUTRv;_U%n=zh%;{f0^I5awyU>i69^`EUY$$CRTbK+#9+e>3cUp zEP^br+NA2YC8GOAs{B4EjWo+LD>rCMN7Nily#+N>)k}uiCqH9qG3VlSvc>Oev`&Gs zW03*zPMxP%1g*xHMgPF-w+m*|uLolHTac9JL&Kj`Fu$Kd^c(JF8DQQ=s(5!3R^AIZ zUtsNivZ7^WhKQVQ>^&_w3s^DnK{S~I#(p<g0?-Ug02=B8w!%90j*|q0<1C{A+~5I+ zvQzq}l=r~94SOyDD=5e}#H6eRiMJ<CJN$<RRlhfYs{}|!0(h@;y_|PyK{5!;4+mG+ z7F0V7qMs!W%m}NgGUwK*CSasCy2_`|*{KIqoy;y#QRd2A$pNR=g&?7Wbry;W;NGbm z@=Kr?%+|}SXdnJU^6`HMqb2@HEm)wuYlP!I2@atqT%hbHRXRMx`bQWRwkk-f6gpWY zKGytT3L9MyC0PZ9xtyBf=OoKV%1&bDa$+M5WtoEPz-T#d5hI*<$dOH|1BuRAz`zJ# zzzWO978q+|M!Rne7u*~BNRCs$Uuv0s?44MzsW!d9)K3S>PG%yB!Mc_-DWS-#>{m}y zqRhVlerNCtgJMRTvl0C~1ZK!b8T{&}g<!$#tDiY&uYS(@g%pnzzyEVU1^5|K41=MV zsK|7Htq%B!A%u{#dyeizwt-{t&U!dJ>mb_j-FkyLxxnA#q8YkgG)kR1l42)GtqGsc z5@B+7V3Yh?bb|#OsZ<Yg3diKVMPOG0#89N0(Z3Ufih^Q_qaZ{Rr#Mi7@pVLk(Z&!L zeX7ID@TqvGC5hf*-7JX3hZw!_Iz3J@kP*H}feGt85DsY;P*l_cx<dU)aB`|nV(J1i zZavKks2mD?1#jc~57`Dw4%Co*HL+l{IR+Xzh*l%B-wzgZ0Pnb~$dsfsW%{xLvlP5V zl%yn!k{Z%NKFM0<gM=0(CCD*Zb7A-r&`5$}$}`QpS45QkqzY#9xhP2;U}sEAzP%A? zsNTCu@a}1hZ|_x9QblHbYK7<oUjay{)cgc=GCK{hmf$IXU(D8@2kX>d15Yab8hF1T zszQBq)Pf)%qIXhUPdQNxA~SGAI>Y8z{!UamTF{#cGL4i*k|?uVKUysxy7|TrZV2)- zn<?m6wc4KOJkX|j8;~?n_%n$<a8ypE$RWs_LOz_9pL}t|-GUjM6aI7gb1}E}z>)D@ z1xDQ_!HbvnBfV`!g{|kWn_GEK`O(k)&r@(U7kp0yU%<^H2S8J&K1Wo9P#nW>Um!H; zexZD+0EMM>yKwnK4I!oH=zP$?I)|*-Rz_4nsxBmzT^*2gsgF=y6UNp%18o^(>^_G) zl2b;MkgX<^kKfXr!KUPu%f2-kKUbFh+I9WamHpKvmSs;PYSRwlSdbuUuk^olVSR5M zZ!eAoIF$BrHxBj5<P~;V_FVCSJJ_7ua`@E#dRg|hjahogM_6No`qlT}oCa`8u<O73 ze(csqc<mhYfG+n6f%{?f)kr!WpoS7Sep($M!DGE(mJ11&a@&@_i4Okw?vqgnUOQ3k zm;ClQ%nX*q#corkL95MVon*R4N(mD<+3sPhO)i;aI$nRuT7T06qXu_Hqtxorxy$x| zDB<h$VJifp;Nyh2MI1rY#chgOHb2rD7HN}G4v_|>A**j<<gM{W4GHYHfb<9PoAj5U zi6u0RQK|Q+ifu@lec~d{N?6%w!Xl3Q$C?Z^6U!zMNDdN9DdPr3-*Td|D3L&BO(8WR z$z7v{fGR;u*C8teePcU}ngh`{GsPjJbI37+U~tLhYct470ZGH~#V5GH?af>!PJw*X z2pP=?F9zqoHwHGi(!B;H_zFV_1%^NPjD)7vwJ_fau)2Ssx0C&Y7xylTbwc&b2zT2t zw9mAzKj7D9l_#e;%FzKn=tXejid=7!V=ju}I0E$~9OAvB|AVt>>K#Mx8Y)<FegtsO zxsfo&TqDHDhn%9={=>_st^K3chrqtLu%AX&BU%V(14oA$5A(yWP;*5zYCk{QE*(mn zHc{cNYbtK#yOq&B2Z+!85w>*?NkIe?d+%_>rg_0ys9QTrh=uU2w`2T6i(${>$e$_B z*w4Cz(m9rkDyI5EnHQ!8icTwGbiP;&e)7Ms&Ir6eIu_F5-X{5VUx-XF&wRz`QdPfw z4>Nut5~(%w^@-|g()6^<7@UxWXW~LcHelu}Lf5?7ZIVL3b|`^f@tuZGW&JsmG2H+b zU%S%pG3X!3{*lELTt5yz<)oY?ZSXXlGl?(&!gHnHS4Y*^6Dv$3kRJ<}_iuM3tIdBX z`kc*l4@eQ(eVqa~Vk7)+nqAu!uU`snt)gCseRB}g6`B}Uau<}Us(wmv;~KwB^X27l z8FbP%P2L!_V5%~vbw6Lh-Yu+oZOEiayhy5j<TTe0!KE_dI(6eIla+1Hh{`DTzfCrh ze*X^`UFybvz$AG%{{wc3_6p0L&h#ILR1GL6uT!@MjNuSKGOTH3?~(jPa+AE-4&ErE zn;I(j-@29PqsSh!2xZ@%v$X%}U#Bi@R=bol*2HFvr%Pn5g0@uFV8&vziaVexmOAUl zUP`wVrkOf$fiZ1T`t5nr)aTnXdZu)1Z)^L^S3l=sx+Q<j?Lk0FKXY#W78uK*>e}kC z^c6vQz>W37J(B+Mer9KfP<3F!hNePc>EXu5JD4jDU;X>X1BxthCACNBV1dxg)+0pY z;z-(TVA4B&^>+{w+6m~7!ENfB#na7R5kSbq<i^#)Zb&Gy)C3Uaa;E27tNj)qb1JOq zzR)#>WNgzY%{TbjnbQsXYyO&eb%<{2PDAo++nL1fYyLf9wzfv7^QN<u9WZ4{NwdVc z9PK7;d|=8a)2K`N^=4Q$`!K+iTkHIs&4EcR<M+JT_#1Zq3WH;7Q#-J-GhQ(9b52&T zgKI<x=?X<^llzUbWNb1;zoJ41MA|tS#qpA5B#pN*J#3qXm4ct7ZgCU{FP-gcc-jA^ ztleIz&th1i4%IM;f-U}0beOXSXmtLpa75~~A${a(u)i<_E7WE}q{}GlhI@8<rk9<i zTt*^AW!XF2Snh_N0`!vu=wi;)!<?~)oV)wRlz+;gEfxD<F1}C{$}n_N`nZDYyMvPN zUSjDvEQ#Q*U)c2&ym;<dZ7#g@FYiatMB09Ek#SqOGqT58=de#IlpZ&_zS%!HDNHRL zX=MnFuT|w3`c{tX5RuX8HQVvs=}9$AH)~R+Vh}>K&OD_>Y>TCs1#;Pm1nO3Hbn@w{ z*)vGf0iO}JGYO{AFAbNg=Wr6|y5NOvd)TbU>+5db7lkrAnua(Sf;cFr9)7!I89ZiA zQ{A%es4VrR!M4=QB?_In2g~=c#kHg;-(66q73Dp}apd|H^SeukR(JS~S9-~fvf7iY zq=^<1{H`*Yt7=j$eGnp><+=oi$Tr4?m?m8_8@PWpFT+)($VYQ1Jmi5=Ph3e1#DCr} zeOzNSORF<6>gV6UN8NRptCdPs^j-5xZrr?+-#<UBjLpojO@BbJt+)HxFtM16t|n%} z>v^w#w|R0|0bJ;SA=deomakD5!4ax!oGb)qj&790b`_OeFj{lP@5IrQiT@On2G6j= ze}q7Bk3MO6n?7ZqYl`<~iq|xk>ss8QC3v|bQm(IIjn3Sy=MU65wRxbRgI?OF?aNeg z@~L;zc8A58%HPN4ZX7*l2u#=I)`RyK(>dE&*g`OtiCqG)Bev0FerYmB=5es28*bqq zEk}59IT}`@tw3=&CwLjIGO|t(1We<G8um2|h8y>5oc1&S2)DJeI_*e@mQmNz!~dA< zJ`5{CPG1Fn4`m-+Ubqi;(`G$hF~3F{97-?g9raOIrM7+kd56%C88KFhqHj+uj!7J; zO~O61`k4WSc{Cvyh5ko!HdF;t9#KI1us*WE;?=K}N{IB|BOjBSHt>3q9PtTZgILRw z0?Sr5`c~38E2fK#=sQ<*j{O05AMT4xxEuEeWG8WZ#jo?mRw`SQe@j}Ca^M_&t!W)C zo7rrsIw9Au3|m~wD_M{puDINL27O8MN|;z7?H8%$a>z_l27PqG{|Ub*qkopYxK?al z%@eQfI{xI~&(+HOUB7oNxz>2+k9Qi=BOFSuW&@+CDkWps!o#<no*do15BgRzzp+;@ z0bPYxT@g}3<()8!yRhe=F)ptZav|)@pyaxUvls^{=`ZcnmCg4lJv$P@KU9aAFzO5V z?HtE5%ZKzYF=TU#Tp#U|9iNS)D!#O-*`OON5;}A3Pt@;d44Ai9e1Q$#i!4d|U8vwt zzAY>>&+X5C0VO?t$3oUTXCK^dnNCdjU`V|G7#yW_)D*W;FB2{PL=@@x%qv>)MT<F| za5e<*AkqxLGXeN%y?Jht>&rmo@w?xU$#Z?Ckb>okr1)Nb8)^J>QI`0LPAzj(4*vWg zcH@~>1N9LT81=-ex7!bUkK*67j&8N&X#n9@+wsiWe+zSe?>$nv{abizGoESxZ=vDQ z-XqMHe+!)eA;X(69xzL?z4!Rb?oIeQCc5=_rdNL*{l^}7i}Y9V7$7$Ow>ZjbJd>~g z)umVLcJJQfkp91g@PP24?_a{)wml!k)(tn2MH&rG%{vR9-Z<A*IMa}h90<3RDmF3# zQ@es*I44fUuXEmPWNSuf$;2!_M*Zkq<I}L$Z(*ba1^8Y}tb;*(t^1HfupLhqu?<fN zi$6RFb1q8-lH9B|dMEu#)b{sqr)Y(}nE}-(NYKfTgg#P!3}@3N`|YN>RC+%JU2Ow$ zREIDM?m<s?AtIPhiTVf==Gdhly7ECbY$lD0Nx`w8S8ObKSoE+rPNJ)fj!B`a!7o-_ z)4*)c3ZcNyYD22l*w>ZJuNTkb2jcE}U8SR8<}vlk0x%}W^-x9k?z;o(OB5xO@KrQ* z6~lgAMyNd1O=eoBc-n86WU-u_?IeHp(qs%qS#Tq7qo%mE$ag66sQdhsR%OLz`(cW2 z57djk5H*&4dGbT|GGk1;?mq9*CQL2dTsF1p#X0OhI2~Gf9%0jV#;}nJzdswhj124> z*d3d_8e>SWM|w_c_b~@g+Q8EB#~w}k5I(U0;p0@2l=x@h(*y(sKg*}#)m+L^hf@?* z-omxr)97RBQ9e|}gfteTi|#x+dY#)X!;&N_oBQM~lNf9UE)G1LNrf9bHw2u8=~6p= z65MRg_WrW5KjEDP%tl0}@R%R@Wiilhr1|aF?a*#6@FSmku7-P8cKH%}hkK#7mpMN> z2x?>M;00J&Z4ZO0XE9}uL*Tp|+m^UO{`@nchjWT8^|dEfbJh*#S0LW|mj$~L*RMbS zMB3t<UP$ACL3Fv=^D6V+T~snB!L4Z^ix_iN-RfCDOI=J3cJ%0FytMfE=sh})7aJ^1 zb+qY?cNBVC;=hpEmrz1Hl|t=3YC<;6!6-R%UJhx@C;A-ofHlosq{zsF-73E9KZ3q! zZM!05Po_SrJ#cyX@Xd36Jfr**&L8VJPRKvO7BPVzlD2ToRlVy#JkRO*sJg@Ewe*z6 zzmQ?B^;Ljjl2gRyQgn9WPVrZB|HXC{amTIW-u`3srPlVl5oz@BBgc0h1ibT{pCaT~ zD`ZiQ^-s07Z_%UEiBt}k_{HqkHye!b_}mm<zFaE)k#Car<(~s<y6i?M?lfM^Wtj7> zl(6Ot8N+jSP6VN^vD;=A9z>YY>=wk0G++@;TCMaBrCr%TL~+P{N~oI5$XyskF>#UE zAcjjM`XESeYy|^n%>kgZn*-;~pa_9oS++OO7<O9PH7+a@wTvCJ5Zo>ZHzzGd^K;wD z9u1{;dTkC*8j+@_b8IW6M=|Ldk*Zm;4CJnr1R1{zkLT?sbfgx3;LEbZGfea6rc(0G zO(h&mqUA}tXlWIiG@nCIk*Wko@P2A>2<-b}f(fS!En*OV(214@=c1-XVN!h_FG`xw zyiJfh<f=xq<DxMD&Uw^i#Eh9?gq(_xCDuP+$NZ5#Iqb@H@8ef^itX)~AvM64k$<xx z?wvBjDD)~D$PCvjH#6wO`YjJx*1W=!f?vMQ(x>mM$Z8rEmV1?-&o4P0lw6&uF^EtE z1?(L=KGZ>w7ydbg__KQMSl%!B1N?mc8cuDXuT*jij>)nn=VLOfOgeiE4MMDF$WT5q zVywDvkAcNcQHOkeRB@(erI-q9kbBU}v?$}5y{H|D9;p~+hdWY}KQu_w82^v9uK=oJ zX}1j_kl+M&4;tKcBS8ZM2^QSlU3Y>9*WeIBa1ZVTCj_^RySqEQ+2ova|Np*wZ@u@b zUKPc3e>OeS6njt4TD`(9d3riUt-}I#jj#ss(Ig`_D|QuO>#Ipwzamv+btb2Q<O@i- z-Izu9`basx7GA=@eqV(TWqg&(Ef+Us2=9aq()k!St6rYHYLxq#&2#Ky$+9GJR16_w zbsWXn_w3*MCl{f8pc32!*AkTG(svC5Lbt~9G^Ep41A@13Gx-@oK6R1v$AN+z;oVD_ zy8Z>^cB1$Am@w5ldoWy+efU<p_OAmN-@hcUrgQSTKr5@W8PqdG^Psbm(Ds8|<r+ML z*a*BRtF!<3vx|gW86uj7ZeYr6flxN5<o6^+)2S5*&ZV<5@n#51Yto`_bqU3LYGuO< z>le-#_N^=_zKF%;Tr~B`3}YlvBme`Mt9mu0&EyMAJ7nsu*Hf#SLyFe*FM2ExhyfE~ zihCv_P{oZFsB#ZfxgrIsU}lvixz4pgrYr<oUHTAUt!(tk0kbe*o?HaX$AYcrxt;K{ zyFbg3c|7c1i|?neu4NI%f1n>P`XKjeg2RFlY-$>u-ottRQ3F+*5;FS|Zff)D22Sks z&5!cjNrz2>;dOcS!%cl;_D!zw^}%+O;qZDCL4t%gle9Ir;acP#6mt+qS6-)Zw-k#p z&HIUO=z`I<yP3^I^%AXeoQO=|6UE?kDW3BVJ)rz@4gq#Tiy+;bcSb~>M65w2FCCP_ zWBL|avA)qLMF{RBicJRB<xBLh+1eux-EH;4@OehD`9+X?m(5fo;7n)%)4zE)M&v2O z9#o>}7!QpbKpe*8>?VQf&$JxV%2ssbV%->wL+uSWKo!(Urka%fIaQeoD&?c%w%|S8 zw20BlTC-9b$Os^gA<QQ7c+DkU679hCYGZH;NRdGmbV#9^q~%SCIx%$%T9qIVBH56O zvGh-s>%KbqDdvzBAr^^9)62;>K)rH1wL)H}*p=BG6}w7+Z>ns6uXXY<Rd6|#Tr!d; zx5qL*q#~MzB0^9ojdC)$b~=>DYYkPZWWvrCG&cvTH9csTJh)HLbhxT;wZ#+gx@ajE z@-F4fl1SsVI89dwQiv2n&Lo*55KY$48X)5GaB@c0*1dW{ATe|nPwmBbs=uqHs{#95 zkk{`Rc;lAG@8x|3sdB|*C;q{zH?+bdwJQ5+FA8M|<27V6Djbf|qwD$SRJu%lBj-8@ zr>i=HOGlUJOF<rgs)4ux;_`ag-DLivm;45XpMujRL@`Wd)+5xlNnHAgCjyDf%URw; z@{oUKkJXVZVnS+IN40E=fT*K?+sKLPNUAHLR88>Hb_}Z0leBvMY`9&Wc3C5bV?TJ@ zSZ{Jx9|iJ~5J~vdA2$Z_cKNk|^VKA9_8aGpt~NA5u0X1R)PY?fQg?Dzk34XcOkyq| zT|$hF!6_ktcS2iYDS*U5jBNvnxoY2|rO}@6&W&ueLD$@=eny`yEOEc3ScX`zFzHw) zc1v&r#@W|(s6EPls4GeYjfgsvJ)qD}b;IqsAl44*bl$|UpQH3<l?#fe079|+393il zBypu;SyoVO%uv$txJV&;lOKtU%X90o-A$NMDyJM@=b>$*gRemab0UPs{2rGK2x)W% z#Fcyt{F|9}u0yJ8CBx;`IT1jVKM*wyM0N6en7sy~jDe^XaAU)nW5YPvTEl|5LvsaE zO!G|u=T4UG;dd={QwzfbIA`0V+4jbRy714W-rTgkY59?YHTCUo`}*4#vt6^1&CYSF z1Ml6_HRnwCOCLP(L1^|Zqo??$xTp9RNRAo9!CidH93IShhTV>6H(?vq=lJ0^MeG{_ zg04Qh0$M_^y(x3=)u~ANcNXAgeIfW-g^_7L10ayk?{*{y;9BRco;7KbS9Q(dlM0fO zqUmv%I?$L7gk_Z1<sK~TjMebZ{05UB^X18{q8QS&bfaW-UexMW+6gi>FO;{}&U7X^ z)`YTd*x+In`OXBSDAq9eXg(X^EQ^5al(GnK_Z>DM$gKx^YspU1;GzHz&Tj-w+;@yt zx551px~3bK>iZ>;<M^q>^~}K1=o=x+U}?<>^wGc~a_SOwF<Hg3Ky?`tl=3a~3G@^t zJzxPfmGk{{rsbrD*cmr;HPi)Ix&#iVza9?`y^n{(Zs=;Lv&-Y^>2Vt8m;})W;U9t1 z!D4-=6AFYpn=#B23g$sVp1?Y&ym$soS>Uuy*noIN;B-T#Gd*7dP^^KqUM7O`Jv(%9 z_43aeYhXLDN-DWS=){U(nTJB;WDaYY7tV7^&TpAlIT{$wWl{FEE=Z<mNf*_J<Q6Z^ zyl02b^P*P9ZbHTPk`xZ@^W``6mMGACZ6VrG%Vu*3BX-uPO>`*2jzx#ZTJmo9#_HOw z0LndXL|RI1bUFJ8Gu!!Y?j~Twgu7)X;=c$M>0<Jd@K-N|RFLMxCY)Fz9=6!+&eFr; z^RwGi8xH#9$m<L+Gqygol{<!_811&1iU*&C2;S(EyYsfRJ$XRt9w_FGDo4sVBAZ}1 z-=#A<cJRrBfu2v(gUk?DXhWn(ZFdT@;NIbGwY;I@97Sd6xkw9+$-t6I&6I<iv`XMA zO%JRZoK-zJ`ID*d%}?IM?q4IVey{x~KG_NTMUEaxonx!8MOgk9qPmkdm)_L(oR8wM z5zvsYKnR?lB%ScPFwmq^&n1CKOBg85>D^MrhuP30{C!wkCE`?;tn`m?7q8dH(a>7s ztFzwRW*U_RC%}Hk{e{o5jI-H|x+pV4PIa%q7W46fwN_yu7^6lQRQ5z|I)eEqC`Lc< zc|X3*GER>~n(e*$;q$rp0~-+20ZB7a)AjxGOy|W0GY)gcou}IL0%%ry;dWVPcd_qk z=O$=vZu$Q1tYK~0alGoVa+GND;9F$+vjw5rOHi}tRb;0tF7qHRGgI>k=5w?@UA~BV z-Xs!RMD_>f2hh6(#)TG8{lkd%b^Ee~JNT}zfBAT{eQ$ZCfeGiwbY<eHn&;)Qz5D6j zRo}@0^MLBY#p%h}@kQ2dAuj{CDq#cU<$81-gi_I6e{*#_cWkx-58jz5bnt1>)Y0+g z_3&`^uJO9C^Y(N+-R>s6KVLaVY!XkOj0n7NzjMA1#krIHy?WGg*uH$ScC&LfHi+7M zxV1Jnx0WF1ns&kLdS5vBey^8%8UB`QD)9QCWxiRr`OfJfV^Zw_lWP~OUDJGbmt#4F zd4WK%k#QGA%<?r5c|>N!R>y47_3BN_=|P9KA}Q@@$oX!30-0&4s=>+=>9(1R?af{W z=9&5f8&u2}6A&ikg$`qVW@G=bYxzN{4Y~H^LDkP!!!ys%7k%*6PeZ6$Vwx;tJ&z*F zG(7PLV3g2FTWR=FWBNd|dl%&O2oZ~}{D>hbYoutQk$owJ`(0-dmjnw}*bYAqK5rsp zb0<u=MjBqB@I~z}{UDkZ{DF~iPhC8HuAdFa2Huuzaf496HS@eph38uHjQnb|uS-<@ zq;l<rTn*O`Yiy2;FJ`nWw~yy;76KO>j*ee8`->i2GrU-6VcL%-tiE2Bd`LHIb@M)5 z<$Rr#XS>ku111$*md8auSfo}mF#4MKap8szkSS5vn{A(Y^0jX3%`J9e?A}{UG#tJN zLGGxI;hI<xD(fMtE#z2j=fJbu(2Q)iQ(Mo5%ge3%Ny&%go3)$H!O8vBhVJ_uv)3A) z6^?5jhO-Cvv(Y|7iS-v&zdlsHBYt7Bix|kb>RB^MkZi+i)pbACfwHz;+nw$xdognG zc~<XSleb&)YIu)eUZMVhZ`TS{xqi1P`xHAAyj9Rn)IJwx;xU@P!1`j%k-2jKP2SPk z$L)H*x}wRwc0uQM>l$WZxQ0370xfhlwjpJ${l+q(V6KAp0_kDvW~atA!Buw_{NUl- zbm<0ASFV??Pmb?XYy(l|bxsaUO*=U6a;GL`cZO6@Jem?w3x=HGJmzfk-w+<nMS|Wt zF)Z@iA000T?G;<!AMdbwjJA0;HGRq*cfx5tmv}MTH(I;8-;M$2eRO-{$iI2YY)cb~ z%7@2)4To*xp?~w1rels-dUn6L+GV!>y04U3C-ogo?1?#-iwbE?H_k3%TM5tZbcFYD zf^MPdRbz$4^anS~;F@)}KBonXi&_-_8M|6zn**bZ#-TZ5TO0D}*tAhmZdbL7>M34p zq@n7p>@=9l3gTh<k*Mf%ysv`mW}$2IE3v(+>cigQbr;V*3Qggko>;KCm*8cF59K~N z-3rcB8*S8{aE=$d{7Fwd+Sxu;anSM*DY5b-PGUiWn<LX|wr%K_{ek-Jmv44?XRlE} zv-`xMAT4Y?US6UvhfW37VZ~tP&*e6?iRMymO9m6YXQs^QasxW4O@|Nr_sn2O8E>X; zUpn8<e!fF?ROJTDdU8iKB{F~b{l=jA@w5#2+`$|0H5iOdV`Z5%guq{5dXIwprc}4- zQvc|o-qz#lKr{AcCW92`03_(u*w}3OVeC9wV8S*?N>KIlwM=FiZ)yDle<|047dB08 zO&__B9jSfEC5TW!*!4vI=6Y@~I`1Z&lg_!RkLjfC&hdD;v*T_wUSGEpLAd@%9->k| z)ik8ed_A{Z>O=X=c6V_!iMdBdfXk}3sG_e3ms$5=2tk(gX6br>smTVks%1x}+}18; zcFi}YXzNo$FTx8E!(R!kRDR)FPt$0HBj9tYNOm}0Kk>rr6$3|ebE!!#fMLJ1DCUIK z?e$+Lxuk!<|Iw+I0_?HS;1O+)?%~uuJf7izS=ToxNi6CF1?v~yzfbK^+skP7^%~Fk zhIwV-LfbsOmp9&$%5Xe>lzC|p2rvmsuU$RFn~xm_f))$Ywhzo7=*xQ4a)Xu&=en?0 z3t*4Nj<T3>@jMEAw^O$jwo|9F2G8M|ecKB7;%cYMO92J|Um~Arjkld(62YYmSL`)- zKusY)nOHVJISUv9Lta}xYEIi!ap_k?AQI6uSEkaJK6P7<K9$bm2Co^~R-jLH$t`I5 z%l!9gZ5UDs3hcK1^6gx%sd9Cgzao0Q(iA*{md7nZ%SNl__hu^h5OZEF6azwbp(jGi zZZo%^=|04Mzcd!4w6qI>j)YVuV08Z!Umhj@{95n*jg2=P&`<yx3`8s_B*D26u6(4U z!Ww0~iLYx%>(1eS`SxcT{WQrHESqXXqjgZrc!6dhHyn|Ll)Pt&YFu49x1}WpyA`;u z=hP0=`m0ev{^wT7XUJ6!S?PMxR(Lmr7vOvt93uJU5$IB54<~(bu26Xs;SGnPwwD>E zwuc3fLUcu@n*_1;nhkYD*wy!b{?^+&-FkzRe}4Y=IL{T{dsd9*VlsZ8o)J^r<3Im1 zBQ~ZtCQ%j{#32^8cF@cHK5ZKGZQ6C0VH5vuS0R0vo8LQv-H$$XD)T)Lkn?`&H)?j< z)Ls}r)ij!T#evH{V$5#PH;Aj}@)Ifuns_PcL8VFH>UCz`*_?-kw~6;@gP>5A&xdyf z)x)FLlUQA~g%?;6F_TSiIhXcyv-o7@5toa*`gU|$6AsTyvx#5X!X9z0tXY%KyEHcL zH#H+1ST#0wqaPf(xxXWuj|GScqK&yLYXJa2T2tf?{ow12X87g3=>HuT;m037147Qi z@W|g>1Sz=*fVselHE<TZg8yG~5iEX?0DOl(T!itc`T~G1(7NPaS&72I$Gt+F_Me#v zA6oDM#=##hg2h*>u`q7JM+Spt-h^$p=1$FD%mi}#NBV)ng|*}?_`l~O6yhkU?YRL` zS6bm;T;THyr`*QJ|7IqfQW<m2)6lU?$qfJ;4AVc%1kq)S#%aVDfR3RWRYp)+;%hX% zR9Ju(I+$=!N*p<(9-QT+wl99rA1diNeDfuzf&W?mWFzbNmh>o51ub_Uhy;f;fm>}( zjd366o|qi-X?}x9F>!uLJ>l5Hi2$LTTP((p<W~$>9>72HVXn-1S8`YPv+M&Yq!MPi zJ>j{uUqMOLx!wJ*k#fFCBhDpv6Jb|#+z8NfJTew~x9)3f;yiD*HZl@ig?Q@<Sgz-U zY@KbW`}4_o^(%b}9m5(1HPeb4OJ2ykUDUp)KCY+WS(;m+fc)ACS@d^}4Ao;OW$?wE z7i97+ZnTMD1ANjl45fwFP}2>NxBm{v1DKWdzd(R*WZ~D2#RD`C%)so=|KUT+IB(b7 zM)82E&gS|4iK^)zW<p`bA7;Y%)E{QTw$<7rGr_s~SQN@Z;M060Hb4gkB{o3Qp~MDg z`o9<b+ln=C)A)Z<tbY1WF@R0*zZWxent$~EeaxF!c<j>>{)vtYKX-?Yyp5Q#cww7p z<<EfL?;JeVq5_x+Q15p0Uqb<y36IwWa1fx^1tm#9ugkgW@rFnJHIx^wmH#pge_H|W z%b%?ObT^=63378z9b-6z!lP|^Db;XEl{+8TEBmAK^U;2l$+6Bg(gZa%;$?%o5s%9i z?jMtr+;CnjH+`jp>1hIe=YDqFR4ajJH=9e53qA9)x@I)Ty%n*sv8IE~Ul=AzQ-VM~ zl%7YozxP8lM(e)am^vs4IzH5b!LJgE7U<Eyw-V<*SE5Ww@An_luO1v9?vt7F%@W?H zzHPqWNlJmQ^45CbbduuaN6BZ3NOyh!xvzUntL+#w?!~CC#)ul)O_tMdAdg|+5Nfbb zhqFf$A{jf41iYHFYw>dRbgXh~Y1FVxK7(-FeotWEEqkA*dG<Wyb_M{}Qbf88!R`m^ zMWGUr6J{Xs8vMB4xV>%MQQ62=R-HT!N4s|)7MTat@>X(#?%qC}wDA3YsBdc4&_QWF z-|C)ecpl{JQht_wbD;9BuG!snqt91|{GA`y2EJPG9{9AxU~iZ?L8o=-Nk*=3mh$oL zyB9aPq+dwqU1~0_Iu-9wyzvY0@e^KF=NK?iTA{e&>s#jhHuf)G0($-abzx<kv&MN7 zUWCa$H^;oUt_za7_BD;VLeMYg#9~HR89_N5DHeM<G7U23Y7Bb>+1iDbDRg@g@h&tn z4a(VR14T*zH2+QrK<WRD$$zx`4c3pVDOLT0%b$_|1JsY#S7m4~QVo1tjEtMjPx#M| zajA7&O{U^Jd^W!((2j4aRtbDt2*9D=79uC8;xiSqX?=jAq1luJ`(*K%*w@HF%7Ig| z0M6b_5k8bn)jtyh^b~vk81e|VZ&Q%o_0NofAc(yx#|)G)pxN~RfZSaGSU>8OOB?N5 z0FR#2xCe#DkNRZ%8zleVQTPC4`@ezi)3u93U3`x?e!4ljM*x0lJQU)8%tiZeeJDo# z4-!8Vio}mw`sVak;}2{<Q5p){H_buDF)n(O$#&^}NO`IS+2d3P;ifwb2He4JT2mTD zMj8|@&b?-fUF<|D>s=5HZOKTJCU&^mzq6&PLgX_+4OPs>|DXb(@^JxFJ`;e-*N&@b zuctK)LU9LB`85D!-_g-U{a}5!Oty7u>-SJWOvU51LmN;)K9Ks0MXXR}005brsr3F8 z@?UxA0IWSdFMrx&j*RO!l~<;@yH(JfM{2@j13>Eo1)=<kuTVEKZe;;L0syWY>G4DW zzW-lN6!-I67`A=hA-1*|IqjxFXx<`rpo$eW6afDZDt{>vg3!)dj_Gm$pz^zc$&3OZ z`&2Y8Z3^-Lx8V^L|2UISQ2oDy?*ZP$Kj3>P3FChU>5D_b{J=~?5&OW*L2>uMOagI# zX7WG!k247X@c#knLuc||(E5pwjDkNish7D-!iNIFyA`-B2MdjZ?7opG3ZfB`d%kgI z?JSxdCgsj(ShhmcEV);L+@HaAm#N&j5(y&s?7YLSyOIS3co|!{41Iix9Eu=Yo;XA_ zjHxa15(V6Vu+(K8w08lYOIkEf6iKNiTX{iIJuCl0i(j+DBEp*(eFH-c*+u<)CWI!U zp&@MsO?Bh$63xuC!#)Xk0r|le#=C!i9L|NQkd`5A1T3s<VwLgA)uLjazE#68^&Xa$ zrpA1#3*Tya(w+-1_d)nK9U_R+)NsAS#&mvewORu<O%~a_B>__WyCL>ldcINRLN|{7 zX$vlUh(~rzIVbx7y2OJgYgV2lJ$`%aGmfzC7g-O2{XLxfcqY?1GUCh=Wa(tV_ZM6p zn5c@wpE-kbUwnILLOF^Pdw;ql+aWS9!!s9D_+|_AUBAxJnkv^TwJ8S9CeBFiw{pl- zhDQK9+B9QGSIk~Xp39A6@|!)J0QFv%$@dMG_6_1<;7k|(smOrO53;isig9sULnZp^ zVV8p);Wz<ObrOa!%OFhd4_Kt@5*L010uI-(_;4P9ggp6<;cW2odbd?iVDI!{wMsx( zHXK8wT;WWUq=?)En`kK#2|H-U1asZ=L?kq8?Xa5!UnF3c%FUhX4ryo2$OM2$T#_7# zh5Or+yJLNC6Bi!2^7|qXizbVTz2YZElorau_0VL~fYV%kHJb^%Rp3|(GEvBqUR+fe z7`IPjdA*T$KV}2@Vm}679$Xsr@h~Fh*&9Z)*KO_qGc{0ed$<U7+m>bb>-`(mPkzhK zHHKWtPz}gFT`CLL!<d|fy#k>17f}J`6lAAdIY$fUD0IT32L#WA+(%n-UgUnh@$w44 zuYzTfC+gpF6XEqcq8!IwZrRh0+LN5@0=RbbvrVu)?skU_<2&^T3+1=Mjmx(?r8!~C z5=co4OhP{O5AjBym-TKgF<b72KZ3kWf;n1jgUW_?2+#EGue#5ck6poox!&Ex_xt@E z6@7uYyHEF)*LFzj)y1V(aExI6`)X~qTJq)!Es3PAr&8*{0Vt?(^To2?HhZmSL)>79 zVd@a#5XKkH#QhhSJRAng+~O*WNzL=&yQGmGggEYv1ruY@-n)cZ!wE9g4HvCY<|1f& zSKO}XAKAM*I(whI1yLx^N7&dHA)7UY8(#}<!?VG>bS@9$a&Y3bK;TsBacv=Z>h(rJ zuh-<>>@!xi(C3Qw8V9?;%{HC^bZz`@{BLhpc*LBniA;l;xK@#ejh$1;>&qh8-y}@f z2SS$lpli<u+l$EK3&8rZm*I0$=)v;O!ST<*6F5}1bGz9Ai`MNme-7O4e|peE>rZYE zI{>EZRR{z+(>G7~v5&bJdNlyOCc+Q7%ltw-V%FSU7hZOO782Hri!;#v;vyjkdNA|$ zJGfg!1|Yx(_rT$0(80qZa=goQ*{}o(4#yT&tBcZi-T7!+ZWY29%+fgWtJ_a(yQU;V z({Yu1x;XhPySn*#z%PnC7J&(ZYttBlcID#Ck&+R3?d*FA>mij@CtTya@LCx{`*^Np zdg~6A>EOc3m$^;hRb0y!+pK8jc{T+=%erG6a8$iivxLo^fRC8S4}biTJ9w{>Y*{H- zH#{FD;VD+>V_hX!H@+UBO2}WFtO)$77OS3aHLv<K3SEs)N#e3InQl!oS8kOhv}d>O zFkg`%<eJXwMIiXu-hJtwy_mU8bQ8nB>u!9wXnBbXrK-WB^?5nw1sNYH3nMt4s;fUC z=8z04vp~4QV)xi*vNJtB#CZOtvVU^M2=;Sn%D0<=mG(*Zf;@4hh{yFj*Zs5=9+6q* zACm?hFclwOE>5;xi*Ra<)6^i<1peA<+xz0cZ!{__fJo&6k@uQFpZd}>P40Q8&Tj-e zM=k5GU!{ZVL@FnH<t%b{O}$C!Vr<~$7f8t;pSHrveZ7C{N3_h~RyO{Nag*H#4mUtz zpq$m}=o=iTR?Ab&>)rl1Z9nOsirc|{q10*PcGxg#8jG}W-9N=KS1f9M)@V+rQs^3< z#`zkqEAuv+-RtyEqI-o0L}IiPX`P0NpiE-e6~jf)`|;FnZp9GTNVN99wtLUp_7l+f z8nf$uHd_uCQIG^U72^m>bZ3yf&rN=n>}4<JwMF>FmTqM)$s^P%BN3^1JJ=12333ws zG!bEsiiatDai*d%hI>`^Sw9r_Fn=j{Mpa{<@Jcw$kHLB4FW*pvAH(-%dQoo`oHKDD z_0>g0>C%uWop^DNXfzOSt>{Q0#dkI1Xq{Eq52z(krv)#zR5ZThUZE%XF;F$pi+ZTw zY>5kPuK#P=%D<-h!a&o$jikc$3#-BxQGZvn28tCR1v2XNmIPA%>Hb20to=E$h*3nk zL}zJHCg2r$lSSw$g&M@pF5tVGoK)#FMN^N8Fp(DdmI~%bVYL*qLpH{9H7SpA|I-4- zkx(tU&Y##ZI)!oz0DxC+0V`D`t)szg%+8-LpK;q?E57R|wi3;lp84aAu?&v>Q63hz zIwBOvIM0+FNGb8Fi%lt}4-FVOrLkC8T)6S~)h|*2fET_mFi)BAZJG?z3UF)2^HHJ^ z2;NeYB_uo81+a;T8Tod_AoQ%25ou-03Wq1)aKLKdmwm}o)&w$2=u9BX#mN>t-{b)R zFMZuUaLeB3R{QgrGambErS$*A#-L>!Ui$Dhl5#TA(JuR%h+N@As4)3tt)pGQGnuz9 z;wC5*dYmtTOLiW?*#2IXhv`uI1i0k1N@$I3|JlJ-=tVJR%6|yuBfroB?$j6pXS(_j zvXODCi9cVG#u!4KeSm)GAgn_B%WWeQMVi%h0^m;bCB3ZJWbb>;R|ga9$G{OPMEQO4 zH*kC9WT3Z~^RHg`duUHQ7&DZMRVr5Wmp?i+j<!M6tAO_i<T9_5JIJIF=`cj2)K7y& zB522Y{s4G|{{ryZA1H76w11Rs$3b|fY;C}BWnN$(I^H_^%&p)lYKpwz!@5;|2i{Ch zuBxYqzn7z|wNo;`sgMhyXR{a9F{^;{;3%U`4DDOZw9k9qx6p^i@wK^x&Vrvy<~N5K zd1!M%%#zpC(;?E@B8`|Fb{|32UTYHEivcZ0xawkinBCSRPf&ypt@qiQE@43{q)0z( zXTG|rn?AXqbCQ_VyAWm_q%oBw_P(3!eP^mUV(V5IP`Em9ITv;~G+;}p-C`05?vn*4 zmGMi}df%p(!}MX0-ALCwnQibMds0HhzcM*)|D;D^n(@hn#4FB^JMN}lq$^LNh&wc& z>~ora_^4KLAgi_D9zX7`bJnzhJ>$)$GcFO%evibyG%#tk!E3gWzMBdc3T&7}Kc5ay zuD`on-0C2<H5KtW^VF)lL&2lk(12EOhLtrgJuwMS=3Rg+a(1^Ub>N62Ii}dIo)qvw zg=-6rAuMQ7B(y*Jf>#G{Q<jT~9K@_UIyKQ(fzPJUgn>Skc$cFB+ehE{uSZ{@)f&{N z7W4}sm|&J##1Yc(EyKAxh!rcZs2Bku+!1P^UX&_Vv7IqD#jsg`t+LVyl;zCgvRi(B z3j{27pydEHT8FQxeRSx!$Kd5}Q{`-c50%TSs96Q`Togj<F+GtL#Jr{FkN?p*6G}zr zkmXfhPEUS4#(2;3((nD(_QKWWtIx@5yKm&y{gGydcDIJrd_>o=`N?B>%iFj(Jm;6= zGu+%e<r|IUzm}o&`<xuiio1Bu2Am7fRBi}WZdjRh$JX?Aj9~G5p(UTmnNHnUk|pTr zP{_J-c<kb$F{*p0F9csH5)LFv@B1J4J62<MrAupvr1{Z0(p6zl`*_5xDDB(FJ?MPj z`n}g0v%lzK)I#aKAC%0?Kh~imXZw5|ZEBB<RFRDdZr-`6N-q7d*Y=eoKMNguE%DND z(zlr<_^~=SVQ(LDLd7gP^V9v++MtST3c+geZ@wNvbRmeh#i_Gp(t<38Phn#V{90d( zexhZWT`uHp$@Q<JGt!No!?GyH;dW5c3AZ)7R*(5%vX7t&S9H*8sT${DwJJOFGp%1W z+p$H;b)d96epZ8G-k3Y#!&F~*cHoSkO4-azyR`k%Uhl-RV+)(z0P|BL8??1l2u>aM z*oU^uVUytjzxcM9hW2sNwuV^kkH7DZyLcO7qG38L&Kb!Pi~~Mi1%&FZ^O&k}uziHA z`g&cS^igQEQfsx7J$33M-q`&m0gs*n7mY?H+G-c>_hN|DS#aZBl?nPV&B(D0t<e~k zb74UQ!w!!`N!OC+w<twLx+}lZja&RlB1&Bzj*zyW8CrrHX!+|Ox(g>IGIj3iMjh{i z%}bn9BX&OID(KxWZt{X9I41ezyPS%ZU!a4JPf|gvNdV%vt6_o;qYPB<K9Di;yDFmZ zr}hrk)M!SNz(%A7Q0>*wm141!_x<52FIb*!l8@=exmbCX5iE6>3PMXXC$29s9^w=4 zI?BBrxPtWtAj$Z-03=!M($E8dBsczp{55qQAkFXl8&K@$uyLDI`;T+WWq{P;A7_@u z#%+G(KTb=)$?%V}-C*OkuIwM&@1FAj>F%$;alguP0NyeOctMA4?)L~|{^B%{A^eZq zQGDa}<+p!w@c<{xKh9Xeja%~cf1JsVOgsUY=>kLo+L!~|)PZdq1D}b1VER^xotKdf z!d1IKC=muwJ$Z>ugJjXmTzYU4AgD<{Uu8J_ViJ*C@l8N~_q2OZ7sKWBC9`F)IJp(A z=XDQcJ&K})n$)S6Nko2%JyN-cxFwqL_^jzdE`e-Px`#<bNZG=SCQ6X{hj??kNAT}# zIGyimh|&9Koasmd%<B@GR%5HXWs0#C?GkbW@;nyQE@sn)DCIuw1O`mTDCMjX>|@jV z_{kN0eM3LSiNY8!%sv<}-C7tSlRX!mde!qu!3DvAEKb{3mi9;LoA98vmubJlhF+~w z`1d~lPNiq%*o+I4JMuE&?Im5j3~RR1g))7Wy$sx(D2G{^flOzH9cU2ro5UV86>gkB z)?klS|IhODBzKEb&b^n56>{j8Sn>t=&d5WI_ZP-CZ1vWbp0T+hNp#?m2Q9liFh|E) zt(uFY^o8h(k_W=CrX#YKXe{3i5>Qx-+<+U0GNASG(8IMLk;v-0_oqo4oYZ$p$ER<q z{X=A7e&A8Y*1qoQVKJV_UWZWV+9IF}eU`&MsgMJKX6#NXj<h4v#9Zb(R2?Ri1x)C) z2)b3|-}i~eJc|mT%4aZMK^<qUEn6ntl*Pu}kpq>_+hOh;$;t1d>onNu-Bx_fH;`}M z+E^vDkr#@{@YQS738Oc_hgih(bElC?I`gtPv7bGWJ)&xD@i1X>>ysUuMD#sEEB&T{ ziLVYy=xo*x1Q5Y)v=8dp?hhl0ybnHjCC*Qmit-|EHFei-k#qn=aDiOr_MN6C(ECu^ zbrd3!Nqg5Py?*N{1@zn{Gfi&$#DU%$pjRgrjX5JkQ>`VxO3)qo5Yo85pvAVKtJKDU zDmbY(1BC?Jk|dol<1Yd3_K@4<1tP$W(Z(rX7AQPiD<oOQe6>hG)AKT<>x|CVTlzVG zITklE4W8UN!PBu#>9YL*ZnE=R0d^p`uGhbvkMx;Fi9T=dTRpyNS}dJvTAV3e<g$OE znM~YXifaS$>bHrV_kpXy7J~pgV)-=L8E#r0p&Iyz1(an^4HJ70@=avvZoKiCKF*>U zK7i=FOpq1xJWg7j#$;7>DGjF6BK=HPOVX}H_6~+Mco!8@tacw$%r5P7fG`PzA^Maz zU7(#HL*U{yKGG+F?oP6zx!(t4+x5x(NUux~Tx{1|YxaNXCG0TuxioMxfq9~ga*eH4 z5-S1(>|WL~ySQnAXP52qWkVCR5uf0~c;>gScx>ZDzV-ajxNGpV_5}n?Ofnf%<EB+I zwrre0*(!dE@DiOwt*qxJ-N+UwbhyiFH{H^Q+_oY^;=VAtoK%t3?#PMZ-;FJI+??CV zz9AR1QSkajBtyfh_q)*C{=7S7nuNE7)=Ycg{GM-xrs{sTQxAdtsl$b&ZGFRNag?P+ zA1j9&uYZYzXuY)SWljHmF_=(U+8lh9zmd(-!ZoX=%iEL5;KSs}_H0Z{F0mD7IJDW) zNhqV}-TreSS6h36Mt^GVftrQrz}rP6$Yx|l!fGe#Vbu;7_MzThfD0Q>(P7{>u?@kC zS-d0deun*1cQ-eD3sxjN7fp+m7e6xJ2F5TF^XITQO|`du8Q>AJ+Kl;R@U}Y~&t5SJ z_w0x*y7uK1?7#%~6y@OE@^R!&=i0%(g%7;hv~$7|vm;;c(12_B5;Fw#NZ|CB_bIBt zZjvaGdHZL5i>Br2*iX-oxyRvum$X0ot;MaMST2c;Rq@8o|98&Uqd8i=bw0HAFhQ8i z#8+9;t*I?AJbEqxR;|BP%8=R5^#TmOgkg|7o;%KeoKmTQVON`A`wclE2ub>|4##df z$OrekWECZ`?n8op7Y?Gr@39|DiM*cl^m;DNcCEj$TanqT?>`#ksJ8$7J$0fCQ^61c zYf?gQl2~qxg2jF&stW{<0Kt~JPfcvFk=w(HzkE&1cfD)-J;km8Q=zGZ$>JDliDzQ< z624Qm2_Da6;L8b21?Z0HqX}A@VcxLcA?>btHBR3`IHp6c!S8~u_YSW$Bi9a25b7{R zx=0ec?JcRMI5cC|Y`p=CVi!p#U@@AioHX8~SHzp6?!R5~A+J|V%><zNn^VWH!KI=- zX`Afl&qN|Lvxah}Fc`tC-aTn%9OqV|fMq0Sij5Im3|KZf&d0<6%UI5o5+j(-2Z-T3 z7Z3+56FE~3jNoj*vdMY=T>`K`a;9P!!Q|l9JzzVM+9`H2e4A5bsgQ<WU#zY)pNZ~6 z5y@{IW(j&#jo((^_CF_grI>;^GVt1j8x{U|l_FPurOYB`m1Q7?)<IQXVLD=E(N9{6 zZ$LOs;4wn|IjwlY%Ah}E1~7CIc=*$NPGg*~`p{4Mg1~^Viok=57Kj+PQtHp(01Vj# z9-DxHaokF#pHv?(#1nWV(*Y4<R-*kG;ea84z(W?;zK3zlim#uvo<Of+qm&J@c~ywz zl2$ru1^Fy%h~~0Z#>rOvr6}q}**HDG4{Y#k8L_%_ntgBxWdsZCqxfyC+;dMnU)|j4 zlcre@!)hWN`#I`}=9jxs4IgQem**H5lCDWCIH@M&6j<))osEzh;fcpNsWuTM;_9tj zV(ED5&|Sie*wBTVmA%3lh*%$)y4wHpSHvVb?N5u4h)H$$Z~UXu^F#r&M+Kp+CB^NC zW$m0_z?(X*7~GQhuC?3CrItHo$2)f6#-#P0=^g!w9mFN|n>*8dMVEY9hIK*v-8A-& z$C^QfOy~90s0>Z0lj`f4{I}Axsg)B;1)+`5lBGaN*Ucmtto_%LS1v%!5}@V}XiZ`d zM3~Ph?5tBjXgv@bQUZiJZq~t5*$j9Y0Y%sAtKkc&QioXR8BU9yVeqJFXnZs@HjY!> zyh5U~%c)diD7@%PIm?;(S;|2GZ2d&iC3CT$iXB0MBvV(?YoFGxM&lqUfQ%z)g^Y8W z`Zk6~GJ(&)naQ<7jC?;hT!u)nHkxWbJKv4*v!AM|({sVN6#xv}J!}Xky-D<GJ9u1r z?j;&_9VM5H!)c=kkevl&>j2q`Kz0F<U~m-GKy-eDdfGJckwOB$K_SZ|?KqcYJ`iOC zM41Co(?o*xK-9}ZAZivgKW9@7g9gr(J{Vx?IoQXYcox}=A9k#pmgUogG?vyOhNj#Y z#I^2r8emA}6!G+YXxnEwGe~1zjBr%onuHyLPx6<$nX_75%l(1zEm8ZO{HRK*_K?af z^KWhOEaV*`<4x_a5d>(0yYwW}(<&UxWdlhyr@*(@3hP{?WQ~<?CN~X5U-8Lq5~uE6 zZF-Q?78`@ISIjgYhLEMY-1j%d^jf3vVOU|m=pn$9HJPwo{<Lk4;yv#~R*#{?`-qj$ zyU6ebM$DuWgI$j|RPPC!Dz#s!2t0BUr_}F{*<`*jQo>2d%>{TYMyl9A5x5udWY3M* zF8TCZqcmtbkRe~?S)Pb_Q2VLf!v@N(k+SQ-3H*E#caVhalj9AXXPksx?-lRQCs?b1 z<=P9dfB}m*7Z3wULcUAAd_v)>B#<M_jf#Oc)cjK`f=WZPqKaC3=ES+B!nQ~MH)OL* zD5O2T;wH^Q4ApQ#f_qz$=uoIhD~`u?!=~}A0@f7av};1&%Z-ECa3_Qy3O})%O$Xj( zqVxt<rX>X<dox`vCdt%pNACJpL?N=Mdyai;!H(|xT~+>K(fyg;mUTq-LW6U`U+iev z_$tvDW3v`CxE6cn)NvfY68617HPh7q(nlP*LxA)+)ICffUD;#5s}x8d$@JC*(q#tc zdgJYAmG~;784@T5HtIAhFi^#Xf?Q1296GK9TuW8jGJ7_LR138tcrrF@(q(wCu85}j z<AE`s%+4tQW6lsu$ppsimEO=qx1_M@Xy&R6oOICff&n<G7pk?|uhmUgG87&MZn93I zO?WC_*lBRwV>+?;V>)37G+l@Ag!g(gak*^cgl}M@iZvtaogW>lr%>t2AJ@l(e{l_J z{Htp-?vKl_iWTi!$^|W|C&_7P1R776JNjIhq&?*1E7*1~%Fo-6vHep??<=0Kuj+s+ z2bt=s_u124^HvUVB~b2f?B1C3qKbngzYMN_>ljJ%PGSuD7PUY04Wbp{)?=XS3B(4z zHp{nUk`yp1x9wTu!4ku%{Ky&G-XiMS^RrntVM%y08-r-*$Cy@xdVR&g91t-={J|=@ z@8w&abH-YtAkkw{&q7Du<@Y_!ED0+L-$l&^oq$_~IOV$IKos)+#a_SwFhArs1UeC7 z(TJIH1HdRrj20lknUBdTJ$Zro@hb){@g@eidJ?M@0SLhfS^X09p8eEFeQw$%5WG)C z&GR+91DcqM4>-mDI0XVY+2!>!J;8LSH&_#|2R7IZg(PcEm59#0ghEfQekTqNr`2Nx zF=gCbP&75&IXODJUl^Brm=0UCl!19CcLCD$AqY`b@7VJ95mH@1yiMZIhi^CZF2o+I zq^kz4Qa|e2JT!z1>W|-^H>&`k?R>s_T+~#;Jfp?dp;(0UCfE4JRNaM$hXB|O2`+pd z7FA<kQ(OI4%p16!E8wNpx=aOlRAif^#VLM`-oC5&(@R|*JDc6OPq10~)Nbd>)IiBA zL1;;abfZOK-Z%suuAo{5wneR~SFq2wb7k#(Fpg8e1h*otR}C|feGR~pSZQ<1rOVOX zRcL3Q>4T5Y>FNE_{`ETU#lC39VCexVU%cJJ{q>x~QQfx0(&&C+>4G?^AVRaZ-4WR9 z;+Qn_fJrBvSx3vub>Hi#>^9pkKP7hS$VB-3;rQX?bnf`>ChLZIE*tc^<jcim%j|0= zkl-wnQ1cFaTM-u{-zWRYx#fM_$%m%K<nu|Ne+O9KKLV^NSE}YXZ>x0E9}ed_cSyPJ z4|`ley3Lv-)>^9vdlnb7Gf*&6X+*k?HUQxk)LNJaP~t~HEqAp|!nRQxLs|MSP8Z-m zgK+ux1M)sSJ&kVix!W<U*}k8IVwAx?nnL%kf=!JL4Yxm>-yGcUES4qL+-Y#y<~Y+3 z^FNm*ti$w4vGN@JPmt?NaGItqxMl0ZZHKq7OWL51t4V7h0d*cjS6{>0-SLu%{hDo6 ztOD>3w?y#Pnr3j;wmrrB2&4P`%>xv|Omg>D&mnhU;TFr`=H>>{Uv~f>@A)+nG>~vc z?p4#+czwL7ivxT%zC)9ISO2huM&T@&i{a^-!6z>u;WXd)P+RM@!QN_N>2lb>ZBw}! zjTY*5(mv{1leX92es{i1*za(<*SF#A;HdN+Q~sdgHN;fuZ;)^rmHorr&iL8#%G_Dd zPSDO^-;HfEK9`$kKY$o`IeD64qU-409XN{UT|66~C;1M(#ig$Jp~{hYI_$vbHZx}i zW+5~*0T*OtyWgwpb610T+T7%Gwj9*?u+zM?)?zESZwvNOZ+tjCJUYJK|1N4>9--M^ zU`~i7WB@MaYJY{ALK4*-5vd3u1=T?e(H_5Fjf)-q*_8Th6hFVa0NA41#;_>k`R7>` zPgncqg572dKHWW%8ecmGR97@n!<saNt+zp=@h&NgQRN#S1Jh#x=;n+254Hvox8sq5 z;A@5>HIRMEWg#yaSWaO^@=y)50QBxgb<0{N800Cz{ixl#-2i%(^0H8OAog<S@*Vi+ z{dNM%t9w2m1wg7Umio9WEtigh?%-}L1+fY5Y&fw)J+?bf4hHwqlkeB8@AU+WX;fx5 z&F{!1S@*ZUW+@)m<B#~)=)$nc=+q!mE$!j@gvCrU-b=aNoRMU$La~NOa3^kt_=syq z5<fH>u}07P;=81FR9|;i-VtQ8j?^2=uv)jUn1@x`<VwlT*y7+*7SFuH7(LgN0m59; zIx6qFV#RXq$_|l)`ABqe<zFP1s9GWp{RXhwq3fNYRuua7HE`QD=prYa2Xf9HBOE_1 z^M&JX0(6;27YLskOL7O6LNv#490Lw>Yy`^(xvTPL^fF(E{m@XYtz9{=Ov?R!Df>&U zF?YwFOZ&|IS<%((jQ!*0zxFs;;gQMbwKoDthHK$mNaw(oy)_@)v*ZE<4@lHXROii3 z^amTds|p{%V~kk8SHg{H<GKlZhix?9HFQ_7NK9{rq5R#VLjAkd_)qIS+TXU_KP`^E ze!ZFv5cB+;%i*2NSMV12UadR8cJbC_hxs9cIpg`>%<bI8(n2SuCM2Ic4F6i5Z~&Em z&aa|fespoSY?Ofq*pTr@{4WUunhz`{kWUI>_%8PthJnPc9f|t6NUSCheW7R#fwC7_ zyj-#8z5rlE2LOyT189<uP@IP`Lwq>axi3T(f@Hw1&m7$E-$cfR2^d~)!#(bfiO_>x zx$}&}7-J~uopXB$Poif~3USIa_J)6eH^bM~O>b^i*84Ch4FgdT0D#RT4}VdGy^Ff- z3UsSYReHkDC<tNrTvWjLqFNL6#hw}lLJeQ=Fxi_igK&I>h%{fsG8<CwnFB-f2e2&2 z0G5Reie-T&)9sp<Cza)PnzW_kq#$^Hu%ZSG_!FaALIgf606@qvDn2_%d{LSS2N9{d zqHDq2;y8|XN^I8dXYDg@N0XHxzjc$rtK}}@H|&>Y4T=liZwy(2Fbu@-?5US>v_BbB z&$>u@%hb%N*mj8pnLTc^_O;;wZ_$LbfEb<dJKf{1aw&pYPZmv9@`%yj(qJv-m{Yg< zv~UVf9@JH5>C;7u?hqO;Q|xQj&EyC(*pCK(eT^F%67?D*Iz_viZq{U@9AExrT#Adv zBA2GWa&14CK08qk4nnP5!)v>gyG9`5T`4~5Xyvon9BrK1{`DCwn+ljo<RCiRr#7QZ zNV6unR){rIjFy4z+pvFGG%W$kv_)Wh0p4F0Gz-8I1XwH>p%%K?oYO=j&bVT=7_LCe z^6dT_$lci)%6O&Q1g8rH^$0Kj`?-q1L;+xLudzV_1M_%*o@P#1U`_Ky1K70G9GE>J z;Lj`b3$TL8Iv~#~Tr^M>bKY`j_qGvN=duEQO;;KZ1GaOb0I}G2?^#)I6cw6}a~LfQ zC>;O$;pfa3%>#iMh6{cX3t}$i4XS%R(yx4Z8)%d5wF<2LFe8tuI~TC_Oh2a7+%cGI zmIURFE*F1pfJcphj|(H)m;1p&WbmSQQf6DoT|zW1Fx-=tgmiSLO>SeiqYlIxXEN5I zkgS9$W-c!WefuA1F+<mXSDwak(d{xaOFAR%2)3dD48&h<Vim=>o>3*4rL-;5Sw_>b z%`sM_5m+tJu_iIHAknjrfpatK4sR2Cu+qITlG+^kDU{vT-xwhdz73XLD$q4ToE*!` zr;?LIl>cJ40lApf|3yc_u9Z%FzqGjVGt#J_`3U!D&>}MuB3Ye3fsV$(3Vw>4Xg+mY zBL2*#%3V>iJ3Ihcr$`#uor<1kv*OG|{1qwty}eg7nc_)ZxE3Dig$+wgB>s2aW+|Th ztJw+0ut-lhyk5yQK~yFsR|_8BeqWOYM`K8EZKZ!UBRvw_tCz7~qKqn0+Er<(=hj91 z!#$6PI=h0I0~rfKDy?h^$=bS_G$RZQ$H?1pRBS$Q)v%)Lujr6Uma`tm>xUM+WlWiY z4=%H3KFopOdH0uzW0!sDx4ssG)FyZ+Tgk$-mWiv>1C}Geg6j=f0@}*f4sz{E)3$|g z-{#h5)SzrEY|PwUwb5B!jL^%=bt`u&Hk2pjxxrIWY6@vLoejgtx3@&sbu!>Rh%ylp za%oDGvre(;VS+vq|KNpNf$-F|mFcs4U=hYL23h42aM0)x5#*}kaafH+iyFqPq3^x% zTR#mITj@ab3+xY7GLtPK`UWQ%C_S9&Wp9?Msy|eguZb8g9WPe7s|M!h$kibtpiu$R zU6Mo%+1Ah*Z`S5f`#<9bb<ttN%kjaaN7DwGO_d(Fn8`QraLDJem>p?F28XBU612h1 zoM+p~H}dev=iAvqVrejJ=!5!nT6Y(3D>JeTH!gVu!e1|~fAO6yZkyS}$YS|y>S5$I zHZ~H)sQJae6w@VntVxu|t<Wxl4~1#N+2YdIfQgGDTk!OgBgsVZB-0D?oT(-bE1^Rl zW6hKDh)@nt18HFY#d8O^{tYLZQLiFHivGcUQ~i@W1Wl0>Uh7^C(j7Oc6Kn0>!mhHb zR3D?{$b6sLt0@X0t0vG>1~WmLmq`<bZ6r3{)$(YX2a%PC9|rS<bIuZ7f;2N2JKHC2 zC<}Zi97Q9|1*r#@CQJ+W%Fgb4^1q&?elh$INoQAkHs#9)loF)}N=+nA7*Y@drA$yY z4>;8!UaH}&dy?@S$a?*?z$=*b*~agdzw7RzOUOU6?tJsWgJ&_(esJP=%CfHg{;2Iq zNMlOl-u#w2v*C*C{D%3|Wj%ukp>CW?m8|qfXVwA=^oasGKWgD+%c3~@<>w`ZY(A|X z^lL%+(`a6)-Y4(;Ks?jwn-|+Rp|-dS87=CKUgrlJGs;i2(OoB-o57oI`wO})noL4! zbKGh5hv&Ndm$Wyvt&i-*A1MzB52WC@rQy4T{Si_ewZ5AjT^pQ)3=$h$(gkN<!9uyv zfl}8w;sYQ1yM?~Of|m34gvh=u+d<uQFxbBO+6A1x9DOvsPjElYJP5wrUp_-41iP&^ z0ICCwkz7p2+)kVdv&A?*y8px1>*~)B-y4)di%FYQ5?oyqbiWPX*l@a(NbEN_ce36T zk|rk`y0-&gqbaX1bZr-y7XZ}Q;7;KK0^w~7>e=~4N=fItSsF7Q@VPg)o)`+|7&fJo z({mrQo-ZfMuOjl#!C)H*B^W_ABIEE!)_P-uyLv5WY%}NCx0}iC0MCuP!wZ`V&xadg z4lC^a!a0@5TVut+22HP9r-z-ki~B5^_J?gM-GzgkDhS-o*q-RXVorlb_@WIrs40Ig zOn<Vho|ksIAMM@3oJ%z~+Qm$$?P?%DPXdn+1T2$AB4BoSo(u-@Q<iLj`kU9UZA1vl zeFtfdiLtD^nC4GHojZTmbUgDw|1?jed3u&Vb+Ii=qda!Ws#QHPRNgo7e1OQmk2>$j zu-59Xmd<7B8ZQN;{iW8bsh%3>*rmeSO>N2RT7u?^ralW)^V)B`ixelBc?}l=bh<X4 z2n5am>MC3eVHA<p1Mt_QhSA+zNC`j(m5A?2Br%lJRNn8A*JGHX9Cm-IzFOYTRtR*m zqETB7*`c~Ifx)5e!#Jb8SuJvon`IU!PsmTzt9a*~AGE7y&<iJ6aXhUYnKoeDtZG=R zHR5pHtsEg686=?s)l<IcK*x6z#;r&MDk&os{hnAUx>7TeTwKXnN?hrW><a}T`$7Sg zeF*_%UqZekLbYZ71dP}S24YE%lVeLi>es;IN<Zf`ZBph{r4(24mJ%P47b{AqAmInH za|gvOzDpIL<x5QiVlfo?LSHGijQ%#}NlgRdE`N;AYW`IB78W0g5S+l3j&8U{+<M{# z2(?gfDv^voe^0UpF_dzDPpaVV^kWpk$LP~9nBsRy@-BR_kkd8A&61qb@bd+Y29y#L z#H)hR5Y$#Re#VBBW~vac@kuf8HT|&)$U?k%ySoVXaNMSw;XASgYCiJxmVl36oJc=; zyhuZMc{6gz?D(W`YAJm~Y4U(tLw7iqa-`=I5A*Icgo%WmpBqnZs|>yCNW3V5io4VO z>>%=#R)TPtyjFs+C%NqgLB*uXlBk-XY@ruHd#9N@9t6}55D%R7cR`(~nuBd`P&MDR z5&5_0<Q-A+STzmvUe8%%v2PdP4z_@58Z538YOW%G<eYuCAQUVHRnc^5r_Hd-=(s9~ zw9RA?0}mgr)CxcgkppP?11(YtpjAUBw6yo`hVWG>KM~8)-r#dU&xKzeXuZRNwmw2_ zWYE?RsErZYT7}wpiC#e|Dbs%|u*}U{bX)+c8~-84@)re4<1ZT3f3M;q&2k3_!2GSp z@`nSJX;&giH29Z@%U{H)|4GH=G3(jrFd*L|CC3HOtWdsFrvRES@Jg}$faVLV^MXmo z9WcDdPJXWmAOQ1e%!plw6Cn_ge96=!v3)I;DKIY;`0Lw|s<?t8$9(b&`p>8>6xU^k zrAOOtsykWs$<Rk!I$?HzRMH=c%lzYWLA4O}Ym@n>&fLLYuaYlvpb{*LXO(2X?%G-u z&1){!J9}5=QW%GX7E*F-{3h{yX?GMUycy<R9?I7@5x+{7cBIF9#WH;_SMzdTs5GhO z_&VXHv(Zx^R&VX>U^v|U!j1apuN~b6!jt_YS>O1{cpX3+Wl{&wM)87bs(1qeDpycV zl{-LF1x_6hP{B?Fg7lz4dO(mrG$=shkAMp22_T>XJqJ`kW%BnQo{{DHAD$8C$se9k zvil#JQh(zgnv%)<BTY$%-S&~D^q3A1a~{*70xJJe^e-z^K;{3X7$D{X9m}I6O7ayT ziSl2KFz;sn<bN9Bzdc8@y~hc!q4y2>b~N!uLE5PdTXAv>W%JKtV+pO>$!N<d=%H}s zx^!kIWo>-XGsCB`$<vN#!RBu5;biUPt9MGvjnHj_8)2JKCfolX7Rz6f6TfDLXxobv z12wXcD<t}IfnWG0;cUO-`rlV8O-UK6DV#skmkfrhWBF>xp6>x@3=oq8Oa}iBdB{uP zq0}Fsq@cgu^=JJ8LGS}KBqTJT6oxhHgo5r4`5SM5vjA=2n<$+nLX`&!NHF=06Q#!u zp^^jtod@~xJW!Sk%D<#BLb)#6tN?!@=|>XuIWvD3@mD&!v;WUQKhjKucQy2Sg8z%R zw~VS|>$XL4cXtWytPtEC5`qVJf(3Vi6Wj^zL4v!xTkzoS?hxExLH0RqfA5|1?!Wto zqK`3ppQ9#JYpZJ2>~oFVh?;NoVRF9~y`!JVrphp8wH<DD(>k+fWpw1H>FbUVwSrcj z`Rx_U!-<)S$-vzb^au(eHW#mjGI0BJ+&icL7+%%ILj-IJ!3AszVV6oA?+)Ct<J0GG zzix+t6gU2|6NEh7@El#&zrf!AH}oHQ;M~6w{)+{y#xTy0-F{iiW0CvvIDo$CTO~~p zF9eIHD5B3*IMbUrmcw@yNy?eP&JH8M<nn3)3xO<v`|S|mej5+C-{z7%^z%ubg{R;K zRted?%qRWiKU4nl3ozyW$*)r`|2pN^U;eA)f64$;F7okpVG;7bXs=U_!2bW5a&Jc{ z&S&liy%!r}J)E0AR$trP>(#Yx5wDAMeOF3G8hdCyZ3OQ@GuUmMykq~`sHU~zF5rs6 z;d&QyZ>iD+?MiaDJ=ELQ^z{_wk7_>dQky`deZ~(Yujkw+vr9LERfCX*RzYoofckup z)c}H(GxbCHcrv5PN?pCW%s2blrXWIhF~EUv2qWHZ7VQavbD^4{e8?{a!(qbC#Z+7J z)%@F`BNDuEL=_HgFz4^xe+XFd0Jwqlk5@~1HB4_uZXubpzmD=LQ=f9d^r|2(e$0V^ zJVd~)l8qrO;M;47$Z~)FwWw!<uu(xln{fXtWe9Ov59DP3K4_qUT9pR0B$ZzM)8*jX zC+xfv*Yp0GpQ~qSMa%z=lR}@;Km>O#(-?erza+XN4&Q1C7~h+73VR>itAfefLe>FJ z>7_MjQ1$U<&|rUE>Q^-og}u#_RKVoPCu(1e)c=~#H@N-Vm|hg--)I8u-^h^g-{_p` z-^iEs1yL9?4182Ejb3d8o?`stJuje2dGj)yEPOlQJ?{bb{&hMiKWk_MUiWfeUfj}4 zsQ;qK*ngwZ)_)_^y?>)3_*ch#9ka|>tDLbRQv{#dFR{U)@+OYljseVr8M`6*Rmp!* zDJkHDpU<|g@B#2sPo6BdN`Nj^m(GAHQI}?8z-vScB-3Oc=w|P!R|y#!%84>8l1Qpo z`9`y)0S{tJ5b-Y|Fk06Tw;!n4H#BAzM}4Ukr!C7({Ueg#tKc9Wt19{z&6EHJ_pz!Q zTga?8oH|Z(fu0U##QxKq1Ks|4HI7<1dP*5_QsxJ|sO=?&<0yG>{V$Jx%v+G#OBld; zQ{T{X9#yuN<cWdTUw$qjmQ_Hom^bp#M6?34(ngqF!-{Kvc`j5l3$r?H<PD^uE#|5G zLuZs-(^ILdJ}AUYV}x=A2Y4Pn-Q5Lz(Qx+`J(QJ61)SXH#i!9?h5;w{4}g>VO+|p( z9|Poy?sftLUuN?lWYc1D4mH50Nb)oABJA#4q-pubaKLGZv=6Wo0uH2q56-XlL!_fG z-s%^@7_ZJj@Nh2&hu-uTgCd@;S0|%1f)^9^ycWV;E&SJPZQzRw5d}&bIN;Wfo@ZH5 zn>p|Fg*Tv9S8wT!LXBpXXR8Pws(oAiL-sv$8+k}{9d1l>86^@tbZbqa2*X@_3AqeO zn>A)X=@EI%IZeQuC)4w!Fs}%YDPk28<g*?U#FS|DD)=jYi7{fY%y-o@apxY@3=Wkx z;`SGsbx9Eg2^%BHI=H=ShuzpZ2DHQC!I|%HsRG8eV)5Qf-gL|JbkhWkg9JdaD1U-8 z9b)B>D)a#}`}tso=I+;tz*Kb!23{L}Ap<9C>z{!4g+f+qjS85De}Va+|FO=E^Itr` zyB?jU^b`Yl``Apg&@#U^Z9XFN2v@BxXpmYF%DN=lz)&-%eFr~C=6e;|XTTVqshLs7 z7?EW@dam<;{d4W9B3u$vbE}du;)%`oxz0gMquSE}!K9KW9P)bsquPU$soBoKRUn99 zpHxCl`TfZJt){5do0L+(Q$9+p7NOtb5-_p1{r+lVpG5v@Q~yH<@RyhVjNLqhqh`#^ z_O6?vl1sz5C{ohYvTUdvRl=XVoad7<9OH8=K7j$6dNpkov<mb9(&aU#&KUJu|C!KW znYAf3;CWd|%r7h*>1y_H64YPRQ#+a8^{DRz6c4QSU2Xzj9w0|ojdDJ{c>;FXqqxHB z!16d?m&omVfY;K?HA=y-Q9>kE^=EZCd)Jg)=7ouNk;FSb+&+Wa^rh8wS!7rh-964f za!iFt_gzcYb`gB*d}rV0mk5?*XvK4OC|$!fLp#9F{Ui8)xx2f1Z-nL+PP(&wvo>Bc zbYKH=1qHVe@U4c3q)JwH?qicbl280rc`HsqRB%92q3~7~zEufGQro(ZDHkS3wpab0 zix$PN{l}qw!WK#AK}cg*a<O^9?z)~%G@@+s5%5%h@oTT92CTwUJ^}9NjPqeBu3P_d zXrJhMwNXc(FRlb^)DcqtW##^{3NSXWRu?y|8UPHzCBgqycx(&(FGaVXoiBdt%6C=2 zld9D-7ghh7vL82K0gm`fih$qvi$^=)cl*Mb60@0(-`z|<v31W`kNIs}1GvkNlD)di z|6>SmulVW!4{xcW2^iKhCcL!tMI>eF#gyLq)s#N@mG*tK8(8AeSxoJxZi#NZXzvEl zSc~BGRW$wZ>uS9>7$}GG7xgmP;HyM}Gs*qb4bhD^A&`N!D$B(I6w2y`3Pzi6Fn|>l zl)!)2v>GLT!*73J`Ai={)+l?ttR!<UuyW;+TO^Df2h_`iw|;{VToHg~7%m=W8=4Hb z+8Y#;cdHqq8*X+o02&zC1{2?m-#ht3!~}i#p!U{$2Cr5`Si1Ws6=hP7Ba&ujB4r5V z2g~;2C&O6;Y*;Z%Jv!vXtzaP0>79?rXarv68LdCag79r-*=fTN_S1}4+5=W|sWTUs zXN+6FztY;+)@)Do*&HM~O|3ZVvOD{QMcJIfsK8_S!>Q9(+`6ay(r{*Nu1u11dx*5P zJ|`Z<hnC{e9n@!?j+1Klp;0rJ0MdnW=`*%b1o@|exRw6L#2+!YNP@K|vFj%9?P9$Q zwwwzYws$shx*{##=iK1O1)Qnosd(lM8F<P@gC1tLH9~$U*wH=*$E_690bEOfE1kG* zGD$MvWwGUqz_PvblNjJS<=)_n2mKi>evpOkeMo&Uys7xOfF+nspzt(3a1v`UG%76? zpxb!MC#s(H5FWR3!VpZ{Zbhrlhh~j{-r&6u^zE*_6kHhH^pg)ge*UCSoI32?BV90R z*n8GxtvBaBiij%4OuN_Rlme`U9WIa|L$L4p)sikVR(7Iq+VkNyKGMG>EoRqu(N!mv z8BYFv;xFy4r|f?wfs=%q_|;?*)>wP@dJrJfcezxB4#5tIfCDkh-RsF0!7dlku$Pz| z5cAl*UV5qPcA0pIB|`$S@ZIa}m%45j!*C$h2nEE_cCYKZ1@t)USOtPO^Ml;mEklGo z^rnM7NoCd{vt`3LD}K3`PX{*$upWrDsZyW$DA4p0YJV2c!?DQ{fP2>2fRv)CBsAk9 zHFbDX2M&AbqfT?j&k39`4``W{0ytrsWTUK=_?Y^3TFec8_P4mSMp^1qcWJ!Ah+*`g zWhV-IA4RHU@vN1_mlBMPG;W|oJWHM8?okjZApk8mzm!lUQ)R8Zi3Li~Hy-(c5~?h9 z^1C#S3dC6^jxfY^%eQZEZ8Ys!aTB4lR>EGS&^FTE0d>$>>ZEs%Jb=_4>#_wPr9zsl z4c0H}#Dcm55ze=H1*XsZOC``bH1fx>m-bC=<0txw7mxaYw#CZx56S^fo*o0IxYIp! zXRV}3r2ZIeHlm&-*xpT<lwfrz6a@PHmLlIes@&wkj$xv}7I|DuH!16Q;bAy03EQx! zfD(KHbZIM1h=Qtve6abr@8H!HeqnVu{Tcq1pw$uPbO<X|UYNz+M$oby<p$1|9&g?z z1B80*4m=ye&>ok*h@h0kH&7xbGg9Ey4Cs)ihF|ecHopsBN275-WmXksfz4z41CnH6 z&Nsg@3Yf&n9Gr-;gqq&6dUM2kpN){>zpI&o^BXC3hcImmpv<UcCJW|->Mv)fAEq5w zj5CfkJ5wRYZ+M?O_X{)0Sep^!gd+O85@CYSp+$JDYm)AansZ&#dTx?q&+vBL8u3n1 z%Bo#!Gdy$8H0Kz#ZzfwRZiPermAKTz=bf7JTjqzZZTq#+ccdRIq|U3@lxN*>yY$Z+ z@Qjlqb7+6w&NWb5v@+mzZrfu+PPjA9kqwWI5a^$$U7)6nntA2ks*MXJ|KPs}9Ck(! zun?eGOWHQ15FCFvJma-!#a!1v=cXEYM@rcGeS4u-pQQj8DmmFqK!veuxU8yZx%^g^ zC~$aZ5(GT(KNL^?q1_xX?EIF~LLko`(3xTYbO_#AwBi=)->dfwfMtA0M~5(9hkjee ze!0E1JfG;+;vEJTHixTi6^4d4QKrjGgpP;F+Ng#{&T6dJHp}^j6|h1oU=h)i>GUkH z64R6E+7;3EYflV(6j=yrPYfP2s)h3bVc#27qWggGvqL}Ye|xa>Mi)+wn4>Bs64ntJ zS^0&F{u4h*J7WNSb8GXV#D&~PSC0UreDDK4gu_=l5xt>)&#$Ipz+rb?9#D>XTpt0H zW5#mQ0A-r{oFqV*CL56r9l}ud3Xy>VI7lRXdIQy)dN?C40UW|gL&V_1xaU~Rfm7ke z*PaYQ!?Ul{jl)C7vwz!2jZXb*B>)@!CwG#z;;+{pJ}LtZ#>`>+01e8HtUzF6+)#Ot zgEwVFk_+KpkiRIaZi>Gu_ldqL=ZC&3%LcwGqvF0Q6QGc@Zh!U)a(r!`=iO`bpsBy@ z4xqiX3#%acDueVYL&{G4O6*3YX3hQVmFfVcC)2-c$As6O`2F$g7`iuzBKx3J56n>H zDv&x5>`=WQ39Qi@0fu$k(2La$N^hud*MJr%j(A+_1B!{W5mSI-jw@IqNw8jvFlNPX z;9>o<qy|Lbcrl>HML2+H;Pu`XAolDQk^{t$M^HCF#Lf=&(gPE~^m_TKWS805`QG;H z_375`)HUu3bE}|Nh0E>X%4lTz@~a)B-9@*q`|aT6W7i)m+kf6&(vZQ;J2wAcUtJ0! zjc;=ZFMEA;Y4z;?|J5Z;Wq#J_+})TbMO0k@=Ezoludh#!J#+hm>7K1RPoA*^@(Fg- zPm2HjWr_x>!}Yn*wIm_z4R1{&e?nW6Hl^<W^tzIWb;oT{@}~)>MEW{GXkF*JqOt?` zNsq0QmkpqGk5&)(mKL-<QUcwYG*y?65K2)`U(muQWr3sh=RbqSVNZ|8e>OT#HuwF_ zI3)txT$P;duFG_oIaY#Ft`|P@zJGc;IJ)0jkh^~V>TxNkU-di_{#4ht;&9}-E+8EE zYt$2DA{0dMwjG#BK2q%854-&jAKex)YiJCG?6g}3=X|HeyH;HGSeaJ`1)0lAk8x%= zPhf_|Sop6(?u0T`t4+!t5VosSi;M<gr7)ITRVC$g!oSD_Z;TBK_pG__l{TYMG({Ul zD>|pyfVgdJ{BC9~8EuH!j0K#2QS23hsxkRMCmjqVY6}gif41|amM$kuMS7#C%reTw zuLqs$I8{S|H->$7wb&V-ENVE{0Aos+{-aUB6&6yCv$5wLLT4%AnOu$KVt%A>FuEYr z)fi&f{w>nutLwZE#l93bOA+7J|9kK3Cfg!QJ>50lCd!N571!s3t>I7Cf^AHs&rSKI z98&cMx?zTt5u%N-$fB;GTOQ$-M9(<u5|U@l%z}v54V0NrS{YSrr#X8+e%v5!OM1NM zJz73Ij%>Q_G(IuHqc&{9bwADjk#pl@7o%0z@to20amfV~0+=GOgO1l8TC@MUUAj<G zPOhYu^E(T<J)AgAr+-fW_3>*N$w@H@bBi{=R&wdvz6&8GSieTk=r}$}O{)H`u)9I$ z6EDKr`*o^i3&&k|wVS<%9><J4lC2`<llUcC*Yn+#s)+DavRYZKMJ7S!#s`9Q>KXX3 zr)zydkJx~cEVi#%XSBLFj<GYqpQkJ9``s1)J~F!8!_dN%=-A0`_)t-Dok&~M1k^#D z=sU9GfQC!!MvTcvW7vuh(=;t;_(S;6-wK+LS2w|?M);eN!IIr3j9EGH;KlVkPunv# zX6+T(B3kQ3ve7Qj65g3cI|THX@^rcSNc^FEP-s0W5wzVIYcsBK(C3udJJRZz!3rwd zL$RV$w(hAaEy71QWfN4go!z5Woc86#T#n(KNUtOSr((6T-p-7cldy@5Nm+=@S}R|U z%+@ti(+!8jW<@y^%Vt?&@oa5v@|s=Z^k)l?JlB52YPX_~ymaj(Ho`C!S^aZ;oT6F< ze!RmuF_p+p+?7$Yy&pY_Q+bc-f<L6s*7~@4w;nM5ypxFWn*exX(3YSnbFFLm^RSe^ zKIym(rRkj?U7F3T;$85nt0UV1`qFSy2i}5QLxgo$3t>dXv7iK3@3Oz4#q$peB9G&5 zpy}rsJUZW(16-flvy0^i?pDy%m69`N(=+};{`?f#H7Rbw$pc6E)c3xQ6A6wEG@=CJ zA7?F%Rff9>XPS}T&KxDKJNmMze$_?&nU~{gdL<U9ydu*!t`_B7E|f}!J5OInZg)u* zCm+vl&QdizY%)?v(_5R4EUZb6>u|jqmvKBC=8$V<NE05PH0!SC;Qe_mW~`d&8dks$ zhm`MwCk9*EJl&kXUiWC9T`x4Pw07`e@Yo%snXwfW#;n%+cSJ%St}xA7DOf7lI1976 zvM0%OLCy<b)KUL=9$jwY=(FA2?OfSWWxdd6^qM=`C+rUn4vzXdre7TJPW<cg-0y>< zj9;}=`XQ5*bY>15S8YE;jJ5y3P<j{VN^4xXFgkO}yF^Lx{VHx|6qx?B8EEt<%+FX~ z9B?HHY>~|nb7Wg{l=c*L@m_%wZivKsnqdRH`EAOvjD%@%I~|hKCv4B!Z;#9TI+@Z` z=}eQOmbjZwivdj6Gu%pxEVMLg{GsM*)-pGprL!8dg{9QdQ<o+_m+EF1A4Lep;TNWs z1~{_GVrBS}FI1}>m<||xe8Qn-po$8uDns-oHfodqRFx-XJ;b9>6_}LbZl__5OTnL1 z@KrMeeNpSoc2cCz_g8^6sx?LD@d3pL&r=zhN_2oF+AS1(PApWEi6g?aCdBcl_)R9f z8j3R?aZ;zCDR-5&dnR+gfGuDD>4;@Ey&7U@hlYk*jUmJ~6kYppC@CHnV%<uwU0;mg zW(_T5-(u7Xrhk6fd^J#xcA$Dm+fr_B>iPZZuu72GhvwU`O1ew)+ByUMnsA|M3<e6j zgt>lM1$c!@*-$SQ(*rdZg}o!n(46iQz|Nj&YdimHNw&%qo)dIKzQ7?TYpPsTOJ}Wo zE?S`=A#1GcrlU2Te-(J>xz-OKzV2H1m^n+^kT>sW!<{m}(m<`yMW*`R7;QrO=)@1E zpTGOap+F!Z(n>sX!>L}`%Mg$9k9?a*Y<cc8x?qMTegXNr2^YbQolKn&^9Xwl2>tML z1}8k{w^~Xn%MC$(?74ouxujCh^^s9YadN>Ili2v8#}s15plAb|J_kN~5ss{LtR#JP z=i4?+0?KPF3T|WmI8-Ku=&+SLg{Ew{F43>To6uSQ@f*AtlhZK*ktAicKjwe-SG0Ve zaaj(*(KvQnURv*~6F_qQ{@Byn$NBvXJ>MYD!@b~f!|yR^@28aHEW{hAMUY0Qx7SaC z2(sx8)J!QAL$CUrvZTe2o(XB2AK&*(<fzNTV~be0(P*-Vjp<4Vo}^uHc#TG}osc$e zNZA%&CAjNtUyESfgF#H=9(`avB!s(HhZ;@sJ-Z%G7IkXd>*=0hJxr0U96i8RKod*z zHOaXt^?!t3{9W<P;Y=s_9Q1xi@Qe!KKG*r!<X-<d==(_J!x5a15v-*%Z<JVJ+aXe) zp=aO8ms9)9M`~>$IZPwO6vjUdS&oOn>fs~3Ya!BSiRn(!M`n){Sw!tsGK)>JGABIx z*QGJ_&S#96*QJ;fO|r8s2b9O!e1qLB2UuAXsdk?9@S{qY>3N5zd`oZ5(R+fzQn8}p ze=9k8Pmd-tSYqmOIernjh$YUtWHWxh(CsXpmQ2QP1Z(z)q?S}%@zMR`WPv)Q*Bys* zLi9P(CxLf%1`DerKPT!M%%f9H^513wD?VXNWYb%Rm%KOmMB^~SQ)fB2P985TkCTOW z@C%DgH@j;)9KYpR+jGKQjV2!NTcm`X@bhW6fAj%j36MOa-b--=3*D*&>GN@rdAB_f zBTBJDtW&I_qR8%6iS!Nng{uJr(V{&jfd$dTxd=}AjQq;(o{99gKq7DeL#)Rns34j= z7a<^@QC!(QB9Wfkpl~&4AR0&n7erG%c)-dWFn&~aPfw)(3S@!@FvNRILV}~|s1b7W z89ynzmnPCS7-X%6RBVNSelSqK2XMWCMT5c64p7BFjZlim2?;NtDif;(Qn2Znwd+Y7 z#Wp4_>g9n}4P#Oby9L5_Jz^c$hJ)CK0;-9`cLWDmTA*4j(6)V#SU)!A|5z3lrv*~^ zFEv9d)i7)=P=yv~&AvyiAKMUGRfbVj<_0LS>rv~##vH`P6j1$#@-xY4{r_CmZP(*B ziv7O|E6_D$Dcbb>t%{3gpa(`|QkA*Y`X@1I*AoluSM|RowsmmA9T7?sIaug!wDd>l z$JO#yLkhM+=zcH^;j6!%e~lJs%U(QZGvs{-w$dQBl7Oo6`_g=1VqO#e(ezj{pc)y_ z7Mp)GEfycBiVw7L?iWps#R#fm1Z`CLMN?uCfvSi=8}@$DZ?KR+RY;%>Hos^xtOwSr z2iA>q-)Iu7LsoMggY!9IX%qyjM0Kk~_<CWJlyCUP@%YB>#_|}xiMS*4{w5|ZMz?YN zCdNji_-;m{Nz4+$G9i7%2i%5b2iz0lv_Zj4zJ4yfI#c$!p>k-LnlO8(VJ*2kGPr4E z)YXi9e=35fRt=>$17I0MhywfQ?Xr2QWwAFZqTiAx-Jqh|x`~>G6<T+{M?e>Dg9o4j z0OfiB$X!><9r$%0t>Dg}_$L~AaZ-UBO))yM&({OfP5$cX)WaN`x%|pJ0`pIwTs0IL zPR3hsWQ~}G@(V8~LSTdv_j|nM=VHS33(-Y2#=zi|S_shS-D*KH?8j0J1YqPy9~I#m z4CDMpgw?7P-hqkWt^Py<7R|w@`Iehzn}YeV%DgAvj7Y)Yfz_Xq0QmalaU#EnJ*XT| zIxEAoz|kV2-s9;tE(FwAgq~VD*A$KfD#-0vz<i)BTtgk7k;FTg=KA2mxqsrC>U(j6 z-rK@Hf^ik@+Cs8J_UP#cVoi<K^=8|@cTMeS@iG`VRGx80AGqfyLQ1k(7SlD9gV{fo zkgJ%9*7nFME2DvQw9n2X(k}Gv!d`WC@O7|yMVejw=P$?%K*aC2pBl;s1kwNlIvFcQ zl6(`?j!hV&MrYj9`U=Yo5&@`4!q`0>o%Z$KS|8UtG)61=TsJrHK*9x>{WDRU8;*gs zV>t2UmAVO{){>pmBOk8CUAilc$^`2JZg)2_6i(NHu^iXy+VrkE*maxN;Z^^Y!bb@_ zmox}6BC@)3XjEn6BAV#ECd?Av0lC40)gxG~oeL=6<Tog`+eSQ69>kI15ENx8lO?Y0 zDaYRQe6&W!&^I<83TkX23a;(rluA{eCPO!_=ncyc9sF+U=1iy!{;7^Mq1zm*;vs$U zVfjj2K~mN=FXQR>x0u3Uexs6UA^C5tE1|OP<KLSGoRc7_rWX`;9JM*iF~uD7lI-MI zrbu^e3xxcDcxUt+CI)*%nsHcAP@WNupJ@apH?Q6u<cHbExZiBrYimY2V1gw&I2b%X z#U8|oDP1m_GU$(wIM}~9#f~)3CGBKt-|*H@pL?yTF+eyp7K~~0Pb%ifgg7egA;|yS zk<JqGYy2)}82uY_lUTYCdDJ)tMezXN#$s6Pk)51sWPcuJDWb`S0ZJgv9U>oUALz_B zJ1KiAJaTG9XP?l&sU)5;{y9j9m>1-?EiU=Vra4Ytahq!5QB-PChg2Q&$_bm&mIjqv zcm;*rw#ni1$Jw|~QQg3%HBiKye%(i9-`VvAKMB(xr5lrL!UZ=4F;!FZc#1+wd*VpK z!K;+|N7WZizcKGTa-^49A9f<AR4c?0S!Y%XEry@DFC+_|xeJKWv(x~X%uJQkTB}9h zD0LPIMZS6DkW(I0Py9-@5idiCc44=MgRjjFh^lu}qA>5IY6ir%n#d{bH;sdWJ-|#p zkD^`rJ}}0>DOY;`RzVvpprM!V!S>@`WrN5mA<ybkRL%%7hqGrkg?g_kE@o1kFNCd% zzRfiT7h4+<6k$B(9sOeh!{<FV#vz+Dg<C*A<z`=#ScYN_)IIIQuXJ+2qpW}xJzp8r zApp)^X%h5B#4}L_ivE*qIjU^<A%0eR)DK}yfR1%|uJS^s-ISd1%NXh?Ca1jum3-$H zRmS>*Y5hjNAmp1zqdCwlw*Ny$Dx7j*mL4er;T)ToIJuxM4Mbca?W{?^#1)c)!mr4! zV4;sn8P(W5Xwc%e{Q9zm;bdk9!Q=zM>t8yFtO<q3RWL=dFnenJxG_1u{Vbshprs07 zfVYpbf|AyhMpBT%nnB;bSb~eLjljzaqb+?i^u2|g@(R2)lUo!Ey@%b8d*_8l9zaVT z!T@K_Wdj9xEg^vw)O)hhAV@}4Uv{Bw`PRGMl;5~KUG8r;w^T1OANb5fbZ~Z3@mJ`a z{{g8Ccs?hN<2kBKGc2gZG<Ws#45j>n60pkqXsXOC7{uQ1W?kIX951uFakc6vu_fXQ z6fyMi4Dk*CMb5y-TV^_-=>Bq;rb?={a6et^S$*8`;73ZU#&gON_nPfk#xXIZIR&kx zNh4w6uOr=UX??fykCX4b_dt4Y>O$LNI>B*gM9#<%k^G`yAsav_s0e{_T97@W)T}o1 zc)y5SJAFGl&}M|rz~GSlzS9AbFd&kN3`A^Tko;_^frthW@j(D0t58UO3KT$u7KrG> z0ugygBtH-t5V`8`?QHZj&!|TMhtwB?g|UORJ<TXDUnQVrrfe5FU&mwo+{PFnS+FN& zWFSd{Auu)`ocM9Sa&6+SMO(+zg&1eKiS#|(avYn?iD!GR`zYlUqho$%J54UZsh&;B z80VWXZf+#oi?6I120-8g>`D+T^A>B!o5@LR5+p01HGK4V5d*CC<X@2BHG#0-H2qvS z{I;U;{OYa#2nl(t`>f*7rz7i2Q^Amzmhhp;ce#+&_k@{<syPJ<2OY4WLQQg$z*%){ z%%Q~_8=$Qd3<EMzfDG1GKqdu{i8vP$YS{#2WC59A8bIcf4=p$Mk9>J3!)S=XI`igH zV!h*#HbQn--S9VF(twbL&|uA>g@c~kqy2HS@W#f}4*a2GT+i%Hh9UWag14gnhwslF z%yBT#%t@H4Atte0`zDjn(X6_sg+wqEA<&f+@;jB2fxr+Dcmo6`fWYKSphX=LzF!Jn zOh!5rLCIP|sGi*fnUa!{3Jt{MPcJi!556{?#vT<F9V^t`jaA6%XaeP@=>~`>zNjhz zBI$t0BOqcX4gWJSRp#-9k&&l4VIx%l`4$_MQ4~8DCfwWR;5UI3SFth#Ix4d$b}dSH zRJr*W!2s)`0I~PN#O8>}^&ab?0F#NcQe?0E&4U1Oz)IfMgH^3ZxBLx~AaTH2-WHNI zhZ}&10JM>}MPyy;0w6{JZRKq-T5~u7hz~$Jd0Twewe|p#0nlFFmW(xrEr7HH@dFNM zwzRBktpH>Jpd*?s3u_JwG5J|ujxV%b<&Qs8kA^wI@eqC-(fot9!~Tup{{u<9qHIi+ zSowjuWsCNp%+omm9(8f}96+Ww^o5v>`RQ-6{%=zHZ&Ko)q_Ttjrb)gZ{UOZXK#bDg z>f)e`a%Yv)UYcCm%-E`yIeB!^wJHw>#So15+zTn;SRgEc1xcEpf0IIglW$*>a?uuo zFQp{^fe`)!T?N0${8#1rf1r_Il#qv?G4eeI(Vy?(ffJbc_|lSR^5=Ux0I~fCijnzu z?)<~Qk<x#ls`vlS)vUay-Leq;Sos(`dNp=uO%-cb`-6JUbHL=@6uJJjxflz<t*S@X z&$=U*6O=P@w_1WoQweO3jQtmE0u!jB7h8OSAO1Xqjh=V?cIO@(jb;?w06y_j>W-XM zQTB}j|075}32YCH{ikdKU8tg`TMq&s{@jO+o^<_o<8B&_J`}(#zb6wKXz+;Nyu$yn z5SZzNT=i`J$=nAKb%>7Krr!Hr-&)W-*o9_>8TCX!j|KT!MzJmFOc{$SN1J$gWA*KK zYrk3#erzf(0*-xKo8Vt;B9F4YN($ey$+Jmd69U~SsvT4EvY;fp#DS$b$hQ)vgB)_= z@DTwau0&cmf5LyOSf+<y5Fuk;a@A9A5@s^Z^Sx-j0kpV(af)bGeWibI^NwPO1lHMR z4@l3wq?5soBYk;mF95d13;QVRlmyOAxZYZVJG*GPnM=yxy{c{F-BxH`7M!%${^8bY zI{v;hOM1u~O7xN{Ro{E#*z?BynowWLH%?auHY;Iv#;Prjwozh}BRYu3DGDP;g$c|k z(LsxIA38kP2IjOcM|y-Y#(3SRUWRBZ(rMt5dh^YM1?qa3*2HCs5EoWj?Cj8SwH1Gh zsLXY3oWd;O+qKl}U^_tO*12p2$hLfL*e~S1BAq&b9AUx&aXmzvck?#DTGfY_OBqR5 z_SEQMnHk*KCjX`-b$M{MMf>?^RldTFyCK0A*j{L%BXj-A?hA|ImD5(8uV~NVR<};? z!BM3(Y+%UDpjk`U)B^ho3JP{nLX0bZUL9hq8_{9UaymAoyeoc0(AXS93qQjEy!P<U ziNI3n5&Fo;2b^>LNZ;r99yr%B^&y(|YsTrqtZmCrz{ga#F@x_?3p2?IlkFu4RMAsL z&LYmw-*atM6LbbknR|vcQWRAJG@-vpx*Vx5uvp5txGN}WK)c>AH8$1iYB<b9vd}k# zH!r)^&6k!W#Lr(&gd$i{l+`VlRG1&+Ks!BNWc``{bx`8Wa4_#`rmij6lAq7<$Ms;z zwXs%5LuN*fg+Aw%9`Zu}{X#c=rKbUOe|vylIrmE62Ivi10A1~c-h!(oq(;!oRNWS2 zE!z2s)rxMd@b>D<SL8S)dnu#)@40q`J<a0<Pu8|aP}5lGL7y_N{Z;wEA<Q(tfs0cb zU}KTl4AKaZmHcS;e)FaR0RgR^3X3diT3$~GJxL838gB6|0-DqTEo(-QeDOC3C@VT> zxSusxc=BTOD#G9pb*T_g<E{uuv{~3i8DKt|ih%G(Xc#Q?&`%j+r)O8aLk;am1ji-> zR^Z%`TC0$tCcMy)Z<S;6tLTw>NC<RYc!VJkRb%qsI;rq|MF!sX24t;TyrWepR(*EH zAA##MN#2FxX5m?bv~?f|4VkZbA+qowBbYf55Wc_+(X$>IEZBp+;lPjpb~~%CWYnL? z<{v|5CcM_}e<~@gV%3>3q3BO=NQhZ7^eIf%TkThH`4#jLpqJ(0ZpfGA)p4cL&#a@x zH-w{)S!5CgI(7bDxA~$SDynt}aJmGzQI6V2*KO!^fXE*p;wuP5PJzh&OXLQKhzbD_ zGJsX63q+oP2&6C&kq08VI7}#On+Aqr?}%bIextxKp_oLEt)t1Z0Y#T}M1i$nbzF;k z5{P0vYqN<8BfI;T7s%0x<DXJV%JLE@=r$aj`8|BadiKv;T|pR^u6HofVh7`w7vcC@ z&80eWD`dez+KuV0pzPGSse?U2O7xl!GZUP~vGz@_0FUyG^PcNnZ<w8vYFVCjl-LEc zw%iIZ%E{{}L900cg>3*|rzO2r7(=1if#1XM<wBjA(4;(ebqy8!tGqGw;VS_pdfz|F z&8`Y^zLe`Od#<kL65KrrpysCZ){abr8@q2T8_qB7iuRmrUFh^49|P?MhAa*Cw}dS$ z0q2E+U6-KbhF{eL3`<0B%tCYZR>v7=mrJ=>NA|#|__#FB+9qGEC3SL;Ye`$q+Exna zgaIbw;w=$+HZZ#8-|M*G^5UwC1ooNfLv{MH=Nr$_Z^d~D^*I*6$laE@Q!gi+1;eZ< z_iTh+lJ}gYA@|&)EB6d|?vcpQF?5wc)KD~E#g^r9MzVZ)UnFGRrs+I5GtspX`rhjG zTC3eU*jw8Z_pkjvqj@qt`{(lF^uI4#^IKO}7gq%Z%gxK_rpsz~ety1|{Mgq^m|g=I zAYDgUi12I6rPUFmt{f_GIj6i{&Ia*id4YMj*n<)})-BiHK2!jex-#`tSAl*-dW(vk zpU#;WlP-WJB$Ef=RlFOS;2DH+nBe~}U#n4Sa@GP9HXrKKm)*&X6E0j`gGEE8Cp58` zJ4}JY%T;R1-y*&i9cIkmQj<x`6*@iPC0(4y`NJ%WO$*?nNt(Fww{%m8AM7z9aPqJp z?3EykA8AykKWQsPh<3>~#(Uu6Y%S(mPI6l=<YRDHnX6QPUMF5)i3q_M<w9N*`CemV zBAF<OEgu_P?LWSgffK?A@95;0CJQb;cc4M*(X$}(eX2Q)SbtPWi0;5ub`QYVZ6(BO zM5RQ*b~ts=<ErgUXzIv|$>_2>13t7g4w_^h<ckpB<2p<5*V?sE#JJyD`&?YwAd0D= z*BSe5*mI+8fwe%7k8;!sea4IcideOQB3YmaGm;5yZqd+Of=eR-QUPT~lWc_ObEp^> z@*IJp>{$+yZjZbJk*@5SKhg<f)ky{|cPrj|@-E(kLta{*2$nn-s;)YdzR8|Thh^-U zG5EKO>x~LKSTo`D`nF5S?8p;5UYKRsS>0^1wo4-49h*lYSSuJZXxZElb56c8VX5~W z9KtCzL4>@mtCPP=pZTYx1}L!yN?1xYX)D(ot0-iS5NHP$mqqpl4{$<?n~(oRf@t!8 z!%)u4+c{b4tr)+MhVg<cG<m7lPCud;Wf8U2$ltwriB$qM;HeNHc2F~b&LN;P1n30X z0LmaO#2&h8O~y`<rmCN*Cp0<kx;=UhVS;of57Oitygg2^5`DKZb|XKq>{^wqob?=v zfz+ck`2jDlCNC?`@{ic_r=L;RX~QMNc*N(Dl9ROUSZ~{^+G-%PH=cG=37`{2j-6}k zo%9?INr_b`V6*v)b0IM&+H6wHO{BS>&E<;s+Pk5>ZSny46*_~zHWzy1(9yC3j<d-r zK2{ZMzRH}3^%IhWD!3TzETF9dHWD#|5>^TkBwL~2O;-$-YFS2%rwxrpY?KYAqz2CA zrpwFPh(N|ODgmBb4q?O54h)Sg<nS{bLPj5Y_3+zK`!2ort}-EXVkbiT9KwRn*e9^D z4h}okd0$H&R$FMYMYIKVMICU{(ORH0Y;PM?i@%*MzjPJ`bXM2<w=+zu|LQDo@wKy< zdWggq@zhswJq%SaOrWun1;v*>scoTIUxpfBdKvk@lS}`R-2Zn{UIz^8&okxrb8y7- z;9gtQBMs<Y&f!p3_Q`)yFucL5aO25tpXKwD8Mf#c$cy_7_s`S2?Z<+M>p`=&sLMRi zft+KpuI!zEs$e)bQ2byw!1DRQZ0JUbY6Dl4Zu8!3=vs+*C1IlF+tJDru$2Su4lZu! z!d6+iLBRslhQ~&U);i-J!X?K+qe#xT9_`HD{JpOXD;Qrw(x!_qLfX$Jyz)T^FjQP$ z#TL_6n7Y(V1!E+9vIlG1vV&Isl#1)8oR@B&vSYb)b5w_ZTk0-F*54RVz^XBSEQ<_< zuRf+V)xHmJ3=emh9KYJIaFa}?|J{A9M2Q*?*K)CS^{}zNG@N%Zc)n2P_=TM&eTg8) zeu7vpli`WNI#YGoxjyU8tT+6IWna>We_jHm6k6WjpUvD^M|La9lQRwF8QSIB0-`OG zo|Bq0>MFb~xojcZpv{@CH%+|}Pbu^e%HS0^A9?A9w4*UL%M;=$RNX*djrW}Xl%O|F zcNdsqnmSb2GX!4;G3Ba8`=QNmRv?@W2ZTlch7DiB`EYdCe)aU^Q$D?6H1(obT8Mm< z^q&X#$xAJy97EAVYjCs4zw!<)hK}Xdr_e{K(VGV%4=rc&dCfe{qWPk(Mfz~N$C{kY zlM=3<kw1V~V<P`(>D(KNS_t?F!fc-_q>q_<Sp-c4p7ymD3k;mQ9z`O9?$Ms`5*H-( zOs4_XHTgrP;Z5j4lSF@aSoazq?lU5bB&+)3)ckpEkz(&;dfc4_W<K4e>S#O3Tl5`Q z>b-M`j9fhRz8nC$0gz4T6@~y16@d4484|u0EzR`}ty=9MClBZIM%$ZEf`Tl1)S6kV zCC9^4^K&$HRMbzgju(C$j^f`Zr<@;8%|o?gAw{r_3dk})YV%V%UiOne%p2eu1<Q-8 zS4x>GsUk>gd)&db)y|54rBqgkm&KS@`_ysmW#=h>(Asia-`sNF==kSucWeE!V{QGW zX9cx3z3jwFb*9aVpN&N#p{;b<d2pfU?u%oEmOIJJlD;##*ZGiJefIs2vWVvf7e@#8 zaxOksN3fui_%`OX=nY%;gXz{pucT);&x+>8c@3|UPhM(T%riy0Ww<*(j;(M=S@uo+ zV7s{eIdOp(YUbg89GFZ}zcX$jEW_2c!o?+J#%(JWRQu$tE67}@LqbH_EHH0Qa`kZj z<<{s!E`nHC;f60~S;WY)gDG;xg6;@Qyk;7tOpztOU|S3S^St={({z=Gy^{$sN7OO1 zYTaOH)70?gbibUn=R?7aDSP$Pz2zk5uY_w()j{VU)6X@H52Pz)&xjQ+=Ua_Vhio@6 zmJy@bt?;?oOWeh}BAa)D>@EakANgI+2U!k`KA2Nz-cz*}He_Z7_QB3tw@;Lq<ZWA8 zJ(~WSi$s-!+-$F&>d3Z?hw0^U*XDlT%+3ythWRGGu-F5^x6n^`il4yYi6sM0$-U8I z^7a({T2`&z@I+JN=^C!Q9AvuGsH4N=sosI9O6WDcf4A;r+wuU|Q*HdY!&q$DqiK99 zKJNJeX1#$5AI+sAxR#TSuQzpu#MU9BNh(VtZSu`Hw|5k3No+V9`d0t!?BQT)_;#yP zIS*!I;fJrB5m}AGb%%1{j;_ED-4bTbr|OKiYz<w%(U7!Po`~F$7#L4Fpk0dIox|I9 zIk3UYOpwUJT}|4M22Z$YXkS^}eO2B<EvP~Sm7Uf>ZZ216Z>%wC&SX}}URHhN3zMdj z52S80-$qt+5J|eX$>OsSnyniCb62h^mnWD9`!N#AE?TIG2nS~(80jj}w$ttH?jp0) zy-rx5+`@#+bw}aKM?N%Uv)OZ;88oG)6U!=&h8s(WxeLq!zLPi%opjWq;Bd8V6Oz#| zz4<-5&nc`(!%xdxNGbfSe6e3<^4yPQ@7FNBA_WK~j-sq!z$GjhQdgKesuHGhNjGQW zQ@pge4ptMYQd~YS)W`qcSE=5!1h2AZHQMo92ELk`a7rmJB4+EXYO}i2_hgIYeB_$n zkq70qkc_M>r&wn9*8Z+kFr4F`o#GtZzVE*6nX)EyleiAtxpdjJ`sz`4j4f!r;%)V4 zXg%6$>^qY-GuTu#bvFV$sYM;CQ!R3?+o{uCYg^F;?9HbFr<V55?H(Vmnc**ZlrSkA z2TL<jcz*~Nm0I9RBD-8pq|jLk&udEdNm{#V6m74x2y$`p2}vIuZk`UWKTo3aKDn{f zwBBx6*<S7)Z`|(J5)3Qo7aI*VsaQ)x^=T3(!IGE@nz&l(9M{}lG+nc-mhqoIv*?8B zG|x6;&I`+-w%u-e`b6etkLf?+J`g@N2(B)mpIYLa`rP#|FGC*DEN>pK@7^3DQ<@G? zY}iFkerJ^c2Q^ptBH+4Gd}5F*q;^O}UBhCLy+GP1BIQ7FzFphCDk{JpI*9GLyZSQk zecW2f@~N@R?l<fJo?D<>AcYhT+s*T^QJCI0i1L_I<E>NbjYczP&zrTtw6t(&2PpIR zT?F}4-ZQ-$xGX69ZG9WQ;pnN)%X|x_kW}Ygq(MmCQo0NIr{2f;$HT-do-SuXOJilW zzZwiO>Q@#Pc=Iu*$zRR`_cQD7HdGt=`VQTRyD|ms&ftz=iG?PLlT{9s`m+r%&h*i~ zCv1Ib8>X$&VU5CCBF<;Www#+#*G-PXXMy79^eHa}uqu%$*u`l@9M-ZR0=Ux~J-$+K zXRjqxJ>KW_(zL3oU$m=Tk2A0Y?Z!*z%N<3k9i1l69YLpFL_`m>q3+tHW4bVV&rQw< zf)PbuW;7Q^t|*37Z=+UYte+-t+4x#_o&Qkq;h}pndLnI%kKB5m=XL+s=KtEPfF(P{ z7W@5)1jpM}>T}jv&50jzVw*@yJFUYRJ-*|bMI}Q9(Z(CLo)u-B$2;P4GbR0ZY)`RG zFzbu>4>fElNx>t;!(w^!;D($QjCUXgMVN1`ybG4pE=Rg{yOnlbJ_5m+a%#Wxwtf$L z+ju_P^nn&_QI3U}9=lLKO+DMIEg?Es^Xu@21+_M;ko)5cg`*C;FPS#qBJh4&KxWCZ zKK`1o@a$+~1NKbR{^Mi?UFYhY$hJ%x&0W69z~cGQjjMCeKb^vb&X!D)=t)o4J<02t z)n%sM$qD=!pToQK<dC4QZC>yhS#N#8?Rv<!m}RZ)e%z`06v;?hXpbSTuzR#Z+*xB^ zQg@fs&29Mvj%L0F(l3wIUS4tyqW&=-ZJ$~T%nLzpt1(Bn!vB6dAEDT0=WLvsXc+Fh zzv6%(TSkm)_${0{j|iAEJ-r`0F}b&3jybCFeNBUKZ2^q+KIY1<i!_3P(}N2!*w_~x zA_=?-bm?~&-zDtd$x1a*6$mj3F9$iG-B(nX_>7Ok(+KOu*9lwgAdSHo8<~%XF5z(? zQ`05ohobM9iOdu8<n{nx0h}73)($EP5v?Q&xiG<H?eeo2c;X4H4P;a+o0g%KV#hK{ zP<q2^<7hWVNnqR!=V}%X`q^T9ofibFi22~le3nY(H&m(9X%CKunD*uBVgl9&joqvK zeY`h%b;dg|>c(xPtv^1#;3ju06=vx+?@hJqncrBxp)#IE{n-O?6{!^zBp#HQ4mTZ* zsRIW`z9ON|DlVTmMuyy3?mg@zJ_;++#(pnt+Xj7<q=6x&Y2?{oT{w)m=7jadm)FX5 zb~D)D&1^zcc>9-cd?$UYcUAqC9plV+x%O~~l>b)&K_o;-A)H~`4>$o3Euvv?0U4^j z(Bz*+Xo_ijz6X34MUOKrz&-@F_dwg_&xQ)h$QBEZgU|33!1Uqw_AjTEd<YWft`x<C z@T4i{TO|Cj`fDm1F5-qx<{ZNWb8Q-W*<hM{i~=i{z3(AkhT==wF1p5qo;tsk)~v1{ z9T(EEV{Hap*RS_cVfdRlw5L(&QKwKdfy=p|&aac*6axdLP8Gro<LNe0{Rhyoc<7n> zT048ox50Sz<uMh7^m0wTZ+Q4jQ!2+YL)D_POa{9^-anVZoZ>zjj^~mgnjwA?ubMe( z_pACN+yC8L|I?_le`HXGQDe8s!}kxnhwi0vAMGU>3g+Wff+D>2DL<Lf4kAW|VU1gh z(sel4y^rQkJM^bwi(SZCsLj}$a7u>be~2MH(sDxC!W)ZCc1n;?!g^Sw3zQ$9{~*AS z@ySUsbW`otH$+2>QV&A^$T`_gid4od^HkL$K-92Sd-9~$!b*aN(RE6pJ>sgo+n`#O zHu{9D0M~sSC0e%bHY0pfO_&e~#t$72rrZ%78Z~_GJEd_0c2phDFFPL(;ih_&>ArbK z-&0#^JEf0@rV@Ivai+9At%vP!l`F`8`03mP<lRAIQlAMh6&ED#ZIIzo8QWIsgq~_6 zAE9MPPfYSc&tQIG!H%XdAYN^8Dju@v`E+_Zfgr31F9jMohn~R)S?kda1>Me|t?;l9 zTJ&$5h#<O9<_GgpDM710pd!2AA$hxfvE#W1gdflbdW@*zXwS!$ZP3g@un>32JZYy+ z3vAFUN#EtL8p|#m?8#Aq?7tXnD-*E|k?X8VjwRBi{@4glGNogzRyrDfA1W<<l^C24 zF%DrV%N<A4q!i;XSEbH%_%@3p^s~AG22Nsgd~Qy8d@rU*X^v9#7E^dh$f2gj5Q$D` zje5tud^GvPRb)iqkV!=GO1@ZPLhf7JO6RwU%v8PGskK`V#%R~7D<{95J=#p}ZJjs* zFWkPwQFYnVRfvqn^*nc$$`d7hc$@k2z578=d9`Hq-A3sc-RSjqW%1s~Kgz+~gJ$)V zQ?k+^CwdteYKm$_gTvng&Sr(2KULkTXh9E_xQxC~mz`P!!GSf01Hb%G%o#}L!w%kb zt)gHTH0Pb_YKHjjwcl!`!nvn~|8VzLe-`WLDM-SIWOfK5d@lfDhUV`YW3>h)``ctH zo$g{73La<faUgTVPZh6@A-h9l*ovBj$w8`~z8^Wk#w_-oo?>ITy<$iXib0#QSTXGd zrdHM%)+%{&ftyjw;)j~;pE0We5vh?PPusFV7zW*brkYb!Xupcq{V<dW^A@8@q9FOm z=j7F?izQqdg6;h4huF`p&GDpa$)~;E1Q+WJKq)`}nB$s9T_@-D5Qby?T}QIEk9~L< z^UH|ZlqkPJO_-^#_r%W|?aLc;P)08_GvA^jzGHtqrCl_S#+MJC1sU~fa#=^&Bsd?~ zPg{sLsUqH?$39>PZLiY}@vo7=`6LkOYJ$F8#5kJ0>AhjbZbR#Qmox+FXX(gksC&1@ z<-3={8pEdf=0I^jNj(8&dPx}nc>M6a`(loGYo=dSD>^1sZhw5a^4nPKN@*qzVnz7x z3X|KF{+|c@#wboH4V6wH(&XMtyGDJmb6(QvGCDy|YCGWQH$GKfIaQ9MoFX`|F>@57 zo+c=wuW>ERcQMc-W?l*Z6$Z=6v0ZL#SWoFTrFMRMa4*O$IJ+O&3!))y72rZb5%6O- zytF>HVzw$>-Ax(2j7+Et9o^u8aK=69J?KeT=;;X@>|r@TT{m=YcGDYb8+uN{{8l@y zJL5cv8alY;dU<fU{xGpLyuKk$jQBNlh^KU(x@h<Kpl@q>(09XkM$&CKdnidv6GNO# z4~v+z`KzPDw62R``QnqEXT{=vc?t|-0;>>a_+qHN!*$t+ggqzEo#0$xu65f%1QZCg zzHGALSK5Mj;<Ko2sh4H<b`rE|8S1#BVDQoR-3I{-OGH?gWD#F@Wn!0b^`~UiZBf!J zXYz{Ls^s(Dnh_K0o$F0i!Rpc4^fdncQUYQndG`dhULW5@)%dRx<!NRUk%$KCpAY7w zd8qRP1yiGfY8}tO@>^!=nUZOibSOCutznZaVmOY{5-%E@F}CFP3sCduG>M+Rj7bK5 zYi)Lqcco!1@+@tbcIw>M*P`X4HMNh|hHGSI9<Q0C@LANaaWj}tum_vG-K>zX^|d-` zg#)))g0*gfhKfW<AuZ<bJ{|WDbnK}^`|LZSlDhK^a@j@7Lo721zNt|#s;;V)Z<z+= zk$pwc<cX*Eis$6IcSe1GU<RKHhh4wEDr|@4aD`<^FgbhK*;x|XH68@{#0Tx=DR<g; zzI}qb{bL3E$qC`<iob(&IHgTD(aRH9Fhh64dkx+8<%uN43yUWCU`=j#5QX$H)^Vca zC(#3nmI|HZ2NKP6NnYuUG(Pp}38?wK7;(a=MB$XJ^1dJ^nlWqVbc;52Q(sT>-va%> z517a443B8w2l8Es@IB?dCGRwffVsp^+Ii8fVJiWRr8x7Lqq$lVi#QQYe(m~^+Dek+ zfMa2IFdHS)AM|Q!f6%|x9Pp)+si~a<zajFclg*kz6fen~Qg%#lYUF@*?NIKv;Fjq= z?<hkO_9#l^*c93ab&>3a8Mrhf!zQ@;=^f>e$e}SdCm?mqCqx6kr3MjytLf7hlrG<U zFbsK;jQ4WFM6SDcASl(oGn`q1nB2zPUP`F4%ZNF1K0$SM+6TTpgk<j(?{CUy-Dfg0 ztzQ1ZGH}@9ScdzV<hXrh+VJNL46IecS!4UjrN|I2i_T#ud&Rcm&>ai6&D`*B{?pc< zUwqg?3f8=5!XHG>uleg-p6}Wr)6@Kvw@%3*N7~#_oguRI&ZRRIfBt?WmqaHssx${b zWZRIp4>xxMJq|9<=C%huJfdwyU@Aa#97AEO(3@ECwpS>u(cW}#?Fnp@qTL>6)kpDX zPIm<631NN08{|`q5Tg8?;hw#01?jeYo3)M>Bb24n=9=L@(?a?NI6o%6jyiO+TUy*; zj?a9-J>?#3Y(|CnJz0f-ue_OH=VOsvxCDz^!61ijq*4O!_dmZ)I<j!)U07uSm%pd( zfWEU?p}+#Ku}0U*K)ogwL6^UWc@2`j1W{jtOTy^#ybH$=9`*J|;zCC<O^6q3BUQNI zHC2bqzXN&uMxY3YvjoUjkyK4ajjPNaN0Iz{@|iXT^rvyoN-a8R+RQOej^9$}x3t)! zV)k29xA4yQL}~HvFhAfst(Bc*{C2xP*FF024C8?&D6fAaSmIwIx>|KQ?3WgOF@)2< zQ1{O4cISv6Nm4WB#Ed-_AA()RT(1i;C&28ZEb;`w?4?M7zDA5vSG94hLRU3)UO?q` z4$Ivi7s1D-nG1^Ys?)ayaVjXhQOq=Xn1l!2)I1dhvKG0RDF@xPjt0Cu722hVg0iY` z6%^g1g7ki~V{4J-SR#kNP7f^o(b(wM=L4y>r|{DK&P{eA>0|@B!{aB4i1Jd_{~x-( zGAhp|*_Pn$5Zv7Y!QI^*g1fuBLvRT05Zv8@YjAg$;10p<K72E??wNDf{n1dp_pV1+ ztQV@PyIRoJl`3p?J^bZR`o+jDHgb}HR?r`MH8Q1z+0cAvV%Xr77Pw&_m#G;1Njf%D zg_CK2&3PJ1AD1}*{KRIWb1>_#>BkRuKxL=yk3p8(p$J);?vY$8K<56+5uSl=C<eg| z_GB0Z4s9{^+WT04BmO17t=9B2?@}Z|)?`K@(qjfeKH7osyhZsc$qSuU5T%p{LO%@X zw6`wgH12LO(LN+Em|!Le^7w%Xa{P&**Y{S%eF0=MXptKa?0!u|Lt70!#Od>(DB_il zI8o%vW4wNCJ(;L5R%A4vX<L7~Fpag(P=Tq3k*<qIoc%kU9LW75dJS6mqr{l^1CHNw z$=!%Z5gB>nmsJI)4b>3CFDmd*Su{Bd$f-DK=8gBzA4=rnlY}p`o(wa;(qV9iT$y2$ zADdD@pQW#PtFLnR^7{WxEa^JSGEU3OeBtR1$H&0euOC5#I>pKwy#>QP%hBJ$wOR14 zjhZmI2#CHzBDsuaJ89GqJGj2;7JEK`c@WEJRBxH?8KB)Jt=ZO&yxs5$^h@<en#ZHb z4UIr>^D4Pt^LtS)_b%DLq+wOD=E@E8oa~t3&l?G|L@ZMh9w?IbEV-Xmr>`zhZe7P? zH>Z)G(g>dpSJ}Yk)utg_IuxO~h$3J}Lb7%yNpcfQDRgum%*+{u8cZMB!(XV=j_Fk0 zqPz*HrTF0y)<~CT#Ju}r%*Qw<^7SG&ncV4g{S$whRbG?wnrr>(PwYUJ95!6jZDnGf z39m47$L?m)*a2JM;I5^!iV%&OMPjPt{tyllI#)N#?*r!w^!<Syg@UzY4DBceS7EL6 znCBoV{eh~&RG2nbyTfkEEd-c@;OEmC`k&FF9<oaWIx{M6yBUit`%VTzL3ZVlhI^c6 z>j<z03+Q~88wjHK&B#HG+_XdWPpd|Gu<AzjjmXg>8$X_)2)=d+AqjW_Vupx;6{YXw z$8WB&#sqL1MyPzI668~iItp<tr$xV~Ab^Gk8xY1$h6&76m{!un$yA?KI-)%2+}Y%p z2Edi$K+bdr$gt!<S&FxcPo-+$N!h4B2M@DtK*T5K>!`=s+Av(<nT@>MK~neHP}z}{ zX@;u&TriY~KO$|Js!5TWD}kpLoY`)&T0<74_dqx(Slx@d`n(g#8x-T;@m;78^)WeK zaOhC5aOg0vjV2T>nv=#eaM8+_7JOGo=cadX&iF>^;wwV>=kTcqUeeLM67(a-1`q0# zT_qKe9|#!mo-POwxK;@WNgdxYY^Y}b#pey>3V0>1?DZ^ZA2=@UWH`63A4#|1xqv}H z$MVeVQS~T*G0b@M82e9n)Yxzw@w9oR+7sY=Xu#)9kd88_L{xx-QZw-G;t9-y8Y@Et zDd;Ho3?3LOFTYNTDCarIv8fUbxDu{tBtBpM5rTj``m5hi;q<E`km>E`a%80g7X)+) z2^3bqO^dAPuP<0xU)RjY$rl4r&Lgi)-br#>(P*Qozi=$VdOoj`2Xb5VG<2oPu^+!$ zSvlB2&LXePKTk8KHZ^`i(Os6%Gmpq1^lCv+DPxo3$+GY}&EblIc@FeNk1R%r^80Q3 zdg!aS)?$zL?Li8`Yd$?ecyrnmqL$r6Q?L;4j*XTwtb=o8*uc)h(Q!$|MGi3o6Zr7D ziRI)xWwn(<^qR#7uP=jBQTYr#LumA9m78gylyQG2*L2Lo{xo`c(2>Ab?=u;v<(@*n z``vL=zf>^9BLaC^5bjVQ@OPIpws=r1`H%;(N<=1yK;cj=IE^S~V*q+HLtnW-nT|tp zfu*=PsR3i^Vd%-aXpfB%H{r@OEgFGNtCd87``GD`q-z<A5w@88xe!6E3FDR9kF32> zjZl=_wsa|L>@nvo<Ko7z$p+{rRTgO9Tvv+9lqH?B(+_#Z#mtJV4D0z150ho=OVHD{ z6sXHICS*K`hQU&PDj{;7_CK++#4(~ytnj!{ga<RD!I&*eeBYS3jhBsiTA2#f(Nhwj zP|PwxQ(a&&CR@#*m{2jJP|h-8{+K&&WKhVdno+1`nJ9nE2lLovGs^>=p|5`F{I<HR zCG+e9&-k_d<@53tyzUpfI=r3lC3<137T+pv^$y|rCH6L0vdH_hPq;KsB|<0le}}qa zxpsY~s@c8Y#?TiZnTL0^c8@T)&zBCs?;@xM;Z7O#R<0pt3)QJD>GLD<)yhU}(^t1J zhh>xXH~2hj@F4Kg+X{O6>n%psMSp3)8<!8c%dZNgt*R>@EeOk<DL+iU|016jr26FY z@DBxKXM?GKneQCtuRJu0jX(yETaaG~<ls~j3n<v?Fn#@?^m3?>N@ZUe;~e({A%E0d z(HHD=+7T5(Zwidm`a&w%o)f)v1gNa#BNVX2F2*?;X9px^IDhxk6GpwCy}U(R<6ED* z--fH%N3^v4B5Tf;#gfOiD&9ve^_g4sq`6aSiR8-~nv@LCWoP7eijF9FidtaDk|(d< zc?vyO`+W>lNFyDTjG-2g+{*xx2|(fjNPcnV_0LUE3oJjSfr!jcq7yMqk|(AHazIdN zp&x)yKgFf)p-RalWq>ADIy?EJ`$&vAz>pU?0(ViX<`jtFQyH@d>UgS2xh<O51LMdM z85l}<Rz++EV`{2vFCf&Sjv%GMe>9leVT_u}h(U=Yoq+ki26G=UZ<i)$_^!kQ^<Bz6 zhBYsf(R36^mHa3A^rxS6)1qIxQommZO0@4o#CJ*~T+DB)XfFlcC>Ov_O#X0Oz<~bQ zPFtfk3Ts%Ij3M$Z+R@hm1#v<i19|Jk`V$Ig&JAcvpt5!#Sf<J&h<)827;Knly-W`^ zL-(f%L{KwID9VwB%-gT%nLF3GTc;%Uu1@w-3wg;@iuMtacK*r+5E@C9-3kJ8$JDS> zjPswr`3|3anfL_-8~5|>$=kQlQl&v!w;jpkwuy8}Wo3eL8HZc<X;^bsP}(4&v;mz> zPg2@IIj{l!PAnt2cLQQpX-P}v8?4tmR#P+M!}0HR`c(xB!|LZTxMc6c)?YGzS|jQL zK39I(?bo@KV0|!cKM6>QcGA)L<UTRP_U#yBK~uvd1*6|`xPnLx)f9r6Jnz88;pzSb z-eU8{iEUlpY0$`b0@m=~L+T~dtnlLf&x?L}m)F&w+v(APlc2tVtH>_GzxS-!?{Ewr z?X7LCEv<oGseFt4x*H69u_wi63>(pl3p0^ODLY#D#r4zek#IL(Zv6IphbwOvZ`ZH; zb{t!EUp+fG-ksg*AKVq4L^ra(G#?Q55d1%ZDn`ox3{>xmz%2hqpt|z4_szC?bpGsm z$JWKE!{vH5gV67|QG+_BZH=q&J;BGYq0hW;(#zM^%j<2PTB1AuK##~X59{cCbmGeb zep8?mN}Ad$+p*E*=yOK-UYq>M`!}7pSi7@#A)J;+N1cpk_)bBi%lguHZT%8$$YP77 z+q#(4Q3!>Uo53s1>$ZJ_5|^I8Fz&g-3hZ4kb-EiK4``b_zJKr1n>J4Kjn*t}^dg$X zZ0;Ovq@PxO+$X&`5YWhp{NhaSszQSXj$CzkPFar3?@<CFrMAE6;1I-%p9Mh1%b#hl zh1y)>uF`r2u1qymO|m9RA{9F<HVQAYTBYX^EWQNr94}-wQtpQE)F2k!^-PfdrNx{= zOYMpR^E52};z7l}>uXG{nl3?oL!e7a76DF0_+<3dcin?GGbvnd3*XCzbx>?$Rc)|R zW22c4_S}nA6^>ZmMny`f(84%+9zoSsE`H`;)x*i$5sR3$+5W6GdAAv3W7HFW9g8Qo zB&lr2bim)0rPaL}3u6ydsa*Wpv~)Ksz1AmJ!z(INem}defSZ<8rMRh=-)3dS1^llf zmG;<i-?8njN9)_0*W!lm0sq|{bk@FBtsKo2_vm3qgB>@X<<R!q3E0XHXCpj(!j2=Y z=BM*@XdCuKsiK>sCUyuaNQ6D-og34^+wefw<Q=cvGt?4F*p*VopokNT0JiynEXfOy zJ~J2o&`F7!YF|%gS}1O8zx%hatiC=%S24$_-N%-6k8<3l+;a5e<D<SNT5r&$yOC5% zq_(c0(EuBU&7b=6BL)}V_isQs!`)5E-ObVcV9)(v_F`ia3F+j0xod0k9+n^Dk38?- znISu2LkIs6Oi)vC_e1WPsI)x3bKDsGq1TAX&1b`g`YY%56Z-PRyfZtJ)9G|vX!cmw z`?u*kH<UZ`ar|I;R2x5BgV=>Np%#yZFRmA8l{#G~`Hk$QdsBQn#wO~IPq}A08uC~T zIxwd}O(~|l20+Iydythi{lAHVtK2+3Q+I*Wl<=PHpFHnNgAj{&S0@QB6A#?^2<1Ci z(@1JeBSpS9EfZX!Z*$GI@ven&H)|m_pV#b?89xLDciivXp1fyT`tO=YaGBPfWn0d2 zDqrI-g>mESK`*35Ty*oTSv(ll9PwEUio{k(bA{czaGYjaqxbFFa2zyrB37(}y@K~} z{l0P0KjZpM3BAA>Qw?V2M)t2*-wv8WkiX>x?BugBp6eA!#P$^%-suEl&q~&^Jg9XW z(oAQA4JJMtA=^dSXV(s5Shp5-tuGWCn>Y`>-L~7S_uoEwKO3G9Y<DeXaJ;XPdOwHK z`rGL_tW&<={3Q@zfA183xYJPw+3Y<1#s6^0)hyP@T=pL5H@ZDZ(MIzSw|^QHd@~G| z?UOccwwv}xGyrZc#!M#{$&Ez|d@jc1!y@~zj6N)MTJU3AbBG8&E<P_HDZ!1aW0l;L zlaL!GtpXJWmH(8}ceL#c?iZOwG&Y-!rthLT16R{~iu5NG)=Bs_%n@uqg#QQH;CHk^ zKQc-gWJ;Mg3At1fxzxA#Oh(~M#%EGagBT8jH*v>aww>TJK<bK2dHNEcSrf@&a39V^ z{7Y~_#x@Qb>KlI@I~Nuq0YTIS!g6XTt3n_C+tyo0>mJjU&INe;rVCyhciJAis0Za3 zj|^Eaw$lyb);}w0R%S$R40AVI!~I_U4`z#IuZ%PJsRN7};4&^R2(NL9KV^X^M?Ksl zdF;*l%MCqjA#H)C`VVyZcGuVTwSp9xUR4ub@|@W-_z!SBEF!tDPXH!4&xgr6378?g zA7=E2xyScm?)`%@f0zj4A1KR*X%amMvF~HtZ1(H@(6?Dez5eJhY&ih$tJ7GzKRo?9 z0>rOaf@@@RGcT^;STmFoo!V7lpKb_Sl)0*svpl{np(bcc_4YdjLi?UFe;fkcMYrY4 zjIcE)v;1Xk4nMTs6K?y5apCjd!XOvFoIb31z~XZIuy)BVtquqx`TN#EPq+^!?fl-# zUvD-+Fnv7+HWV+oA1;dMnEC1ugN9uv|LETF({o96E@Kp{D_DXYj1<0-Iw6u3hV~Or z^|3%9iWkh;j}IRiq$`{J+h86k*-lTG6;8XE`RwD-p7XYT@`p6Ao^bx>61@hz;wcSX zD3vRr+P4O$VtBL7^lzMLPM(}usbe{EzRKYSDUl}qHLC+(4VP{Wv6)VNYonH8<g8O@ z_rLi6lhDvhtwc5y6MuP2CExETHj%_Kqdc&0$tGj5=jJL5TxLm(Fhm$jreI;r$eQXN zLkfm8EhA!KtcT1CNE3iY7}FLq*Du1z@5zFkm5?-?%*vbwzR}^)hi{4Yq9(@IfLoK{ z>ASw2zA&*C1f~VLN~rFIs+gWGWXy=5mH*itGCT*y1~5LMjW`ZdB^uQzQuUrXV>49= zr>!%P4!Rg|1&Sh*TA<8Gd;{nBF=+pO2=@-;QdY&!Oe{pi$9}UMPqb4Vj{;+;ooaue zp~M1)b3zWja>&u~>%m_~E~YeoZl=O7wKFuNq4UZ>lI8ozcY`ElF?iaPuZ9}Y=;02b zx<Ecfh(q{`(syip>!zCl4&wu9EVE*1l_E+QgtZvCQhhdr!k-#o^@T79W2TfWj5lDw z+tUDVUm<9&Pk}51#+-tTlWH+wuCEeMkVtli#Y#j?9$s4#^P@X5n4F5#0A@)7s}Ei@ z^Eu=rMYNGx5gwn5Q~%ptWYhWc!M2N`JMFL8Z>}gvh8{1BMuQ;c*Xrn~=7T|bpO~G^ z$AmNbLaMTo1148*LaLn3>Mp<>RF(0!!9UkydKe1HjI**cmF$;g7^+aAq1E8zN7yef zNo-lh2-6IP6cWIyljy6qP+&3KKt!LE^diVSWRa&>GG^=dlJW=^X7Z9qF_=xN{TN*) zecf}sQ}J%i<kipxAk&o5<=t@r3chU6Zi4cN<aFURhdL45kCZ`z#iCv~#~p%dQU)Ju zVF|Fx4K5yXb0CFdVx<Jm`AZjGmtr!&APS(47YI&GH(DW)8JDyvOs(ln>`s)h#gTzg zt7}HwW7>-n=2B_9+oNmyOI~{_1?CVTz><?9_!n~z$V*}3B7i%D{sUz05$z|t0n?a& z@e8a)06zyD$U-g-KfOVg7?wKxWyu+NJ52<ItlxMv4=bUAsssy-O;A){3f){trb#7| zY1zv^g2_PH6ud~}iV6@70iyk4Kol+xh?)RVe>BswmNy`pjQ~W`^nmCB5EXogrX}^I z;Guz+QS_)Z)dst?BMkhq8Y#UcjiZxMc`sL2knt^D%h+wl{&vIGsh4Z?94`UUw%Kpp zSiiZ|?T3k53;|T#YMnLu`=GT~idZMopC%lI@=E;vjB0J(?r`7fPXtw3@Yc>hm7T?q z7AYawxTZ<D^21J$`><?`Sl0<p*Ae$#iRWFEzdPZROjk=GQ*w!fxwjxP)l7#YP6Mf^ zFf1Sy6?Pa!P$g_0ZM!JttS-^ek@xtsON?X_<LCAFdO0$h7?Q}px#_JvSSoIuBES~c zQ+?xj0u{G)Vd%29>pWz1FHgog38axfH|QnjBw<1dr;j~DB)nAa=dAs;>m%5H)2CyD z#EKWFN=^sCMC+BFJ?6<dh&rMATs)pVFchh_fpVAV3DO0)I&I6hJ6E5_2OTBbFua&{ z;nyNL@8yLBZNG~Kt|7yL?<xz<IvRUHrD-f~)a3CkZ@BVz6m>Xlh(kD?;X?rDNtxym zH7_glXv;ta1~s?|DE+z%bpro!W)q7-wBi-KoNU!p($Qxsyz#j8%DreEK(zR5@I{rK zz3off8U5zX&3~4LnY=3Ge?q+Ue0I9LS`FuIi8MdyM%h@N%fGWeW+78*>Ov4D)lP!` zJmmUWjmnr3qHg^b8g}_y?Nf-g8&;Q)Ynwn5_md9sYF27?vh3fe-cHsKQq<i})<$vC z>u0sZ%uDNd#sC;l0wElXKjs0726Zm8+^rf>arx?Lih*p3wBSjO;i4pToTF)ut^IIn z$=W;Q6w(Y=KjX+#+_8WEkZ!*;946PLadAW*3-sMlhc&gAyUom{ie}m3rNjyo|8ZJc z=}sxXaGaYOnH{Z#pGbFA->T+?8*aQGaf~9xrWG64+nOGT&JZ^~Pnu|5MUZFgbPG+M zQ7%rktj#Mly#FCmk5#Bk^CUYIjgoZ{bW?Pxi#Rs!(f+wab{-n(qSIbs#qPt`^-V_! z(j*eq80&!7-y)G1<^EHmK|t|W>KfpXRxh3du>~f@#>SI3HJ!4U_peVLUDdK(lhSFD zYp=k9<t;>`3hcfW2~pF$w%M_!C7wlG$oj<RWopS4M(c?#cA0hwlTDf8GqZyU^S&C7 z=M6@F8LUuz0g$L;5RN$T?f@A7AZrk^SValDsQ#aVxuEN2WYYm113wO_)B{3t1t2i# zKrxoM<O5)>H46nGnj#c)LA6+@6ap%z(Mr03gy>%2?8)%78Oup!CdA&P>3)$s%(T8^ z5HCsuoT+IFv3RQ<ho9>k)>uTw!Z#hgRLG#Y7M<cjpw+}rcoEqZc)n4J>8X<+BW)(3 z$jeRlPOVn(lc55R71E%?@L-HYZ-!nF$X>1ONMyff$l}IQ!NGRyq7*=Cfha?uuG*H0 zDD4v}WdCOWPY}?1_z;29Js~Ngv}qhjk+y*vFwkZQ0KyOipgdAY5pA(B0evBE@E=*@ zMgD(G)fvflesPO{s^14ooci#7BTGBNfe&v{K#HGR?<gvkl#$mhPGMFVFQfjE{-Qmo z{0kB)abVrvaIuOE83QG`YWtI-gJ0&-JT{Do=vY!3FM!^UhU@sn38;t|q;blE#y9ze zhDApO>f&U3@6hcU-OnFl+r~x{#GN%yD+Ng)ad9BwVPO}Yx5ra7!~I5f!cY5zg4<w5 zZ0Y4xFnl)4)UQ2hD$q}XQHR{P&OP(T^%~2ts+^g~Fo8hx`^p=lk;~zZ>ioUKgUod0 zc+KX~@a5+6#44!K+N{Cg=+Kxu2gLRW4yUoQ69?Lt?H6Y!*L_RO$~&A)XM>m2QREmx zCoPhQm+z;3l&`6!EMI-+=pfHnIXH(Om{LOV`1kMn&>vAaX#)Nl6?G0jDAo_nu&&%2 zF)VWWj@g9LT$48S{<z*P7>d0fh^&G%bL@U#;${-~G%S;C;;&!7Ycsot<T-V&eB8*P z3_pm!d;~FqpGMsh#a)y3wt?T;|Lq*n>}PKaDYv9xD=Bk)iUZW$!cRAG2L9x>zO*yO z^Pvf6h4Ud9DATooj)0@}cxk7-bAQ&!D(<?-ENVAn3Jm7+?hT47Cvi*K$|*wopxP58 zFO%9C!nD@mDE=CCqnAHS{`_S%4^@uy>__oyWM+fphpX1Ukl4MHio{vv1r|Bz3w5oE zo_x`X&;2q-!MnWUJTdWb;@*#sIAulo$>Pm?BNsVxDv3g$Lw2iQ&=Eo+JmEI-M@+td zQh><Md#QjAiTFkg0Jm2FV3hD80v|H6lpvaPQV5m{D+ZhYq7Wb;T3K~)U%rECijMh` z?>!)&`*Y##=6N2ZB=DC{;+HiTmAMIU-!2>wRR!PJ>s$7Kh!ysp3w6N1>`<{Yib6rL zjqBmn5=0Iua$B(zheBU5L+%0J3R=TJmfH}$5uDNS9iz($A&`!NDZ&z7H$MJEU&`1v zel02GJnYBy*VN!m&wbxG`Y%BPhd;1i7i0<2rC#oa2$_QK4sg=y_?e$1R=@bN{^3QH z5}SO}9+p_nN$ZhY>C!Uhn+wf;Y+Z@_%FpbO$)e{?@atZL3Y7Q8NtDRM*Yp>MMW=gS z;k`)79nX!^JOKcCvICF@08yO)kO@8jaj^lA;)iMzfNpUC=!pe@s6SM502G4raV=&5 zx(WlJApnBF1|Zk37QQIqtG%Q3{95jcAdU&)lHeg@xXN}Y_m)HIJsmxg(5t3d#*IeD zrCTD9&HPRyWfCsRI--{KqH68sJr6^P@hai?*_68;srV!)F_lt+_3_C?YLOlBaJVD5 zDw=YhLHM>Gc+U!eUjsNT2(_JpQ)mJEQj$@yQMnTOCxD2H>WD9={2-zMBE<ZIm_r8$ zhG@V;{)0#a2p5|VB9RIp#sH$+^@GR&2ouK-LWKt)EMowV><{86K&ZO?a}Nc8fQ|(` z29&u=XIH=07Q!U*mo96}<eVA^{DGMlkt!&}#^`?=LqL3Z>tA3~cq`Zsko2qjO&(9F zg2o-6Y?_Mt%*_66`x#9OSMV_*-Jjxhn1D(&drF2yRBFXQ`E>I1F#JNn*#u%w(Q!Y+ zncD9VvsIY+8H3sa$`K}KAJ!>AzBUQFK>l{XN6>{|!a>hq-Y1FvBIuS$Q81F;CZ_2b z%5h0)BNMNQjF&RZ8YWkpe@NnIZz!s&D_y)3?|yG4-pAPKtvHEC&I8Dr!rno8Ro5+4 zfgdv3adoYyQgCK7(Dd3w8Q3uib!k1c)EU^v@pWkdD1adrSC{r9Epa?CtiW23nq{b@ zr8jgy)m0m><G?f<Uf2M~*jmdVXqAThmnhRRiBO0|9ExQKf@1j^7RK*HPV}%|mLaOz z-q3JW+f1xvo6{RlDw)pjsLIV4+yT-}NZh6PUZ1;{0`PSf46kF&p<HJQ44x`<#xlex z@B|9t48WjpmWg;584hJhN0YALjay$ABoji9x?C0Qa5Sr=Oo>Kq>gCc^HX;x9bvAgW zLv-%q?l(Q2L^pWe31ayLl3lkRLGlYdo|4vh-o;{KrB{3oz~Dvqk5a5|UfNjs7%UF5 zkQ8pmXRC+zADfN6wP&ih33IPAiuK&bZ!X#%S{&OPj50<zjkA4SSSPz$cXmf?%A;*) z%;G$r$me+85hG%0oB7$AB>M>W3(U&%cn=qz6$Wu)^o6k|C^f5~<zZdSTq*_|#2p<E zon6(CN7p}!M9Iodjv5m{jfj(&GVHcB6AyD1s16|*e^iH(6Z$r&1{}{Tm0uX03Lk2B zq^?LqT0WO2z<O0ZlO9Y?5O&1q@t@l+`!hujAJfhF>?bKblI<LS<?L5YlqKHwR&@rc zmbb{#&QRecGfcm<4(pw%=T%n<O~4mziYz4>7g<+}{JZ!gRMv`7HuFttZOQgak**k| zSIMlr{H=K-t}J6g*GL#dzz<K}A#rMc5Ew;BqnN+y%MMT>KfcZW2B2(cMM%;(08)eo zpz;rh2Y`0t0f-3(fP#LDW6#lhCNgqK`X%A#DNvO*x_BoFCKZaq7$pIsD_B6p{2>bV zOuS4cepPj)#|v@vLQWKfC>Mvhz@O1GQ7=)XD!nxU+&U`7VIl_sXfYaqRQ0?QdkzI+ zXDarj{8IELM7PNn4X*-1!45zRR6ePwd_qtGrMAlT)Hi|RNve!HdB<Grzr(QE&3@vq z!!WQ!9FDqFn%Abpu;z6vKxIJRY7PQ-w^{Q0c^$xv$@rdD{hr_4XP`~goL;>1(=GSw zp_ZN}vZE|^7d!!YAYb}tISe~uW7_+}x=cYLs!^BnT-s^+U~A261Ty1wTm(VQ$m*2O z?29RIsm&I*f2;Qsx{z}cvgZu5XYYG1tu{1|wj=netwbzBosG=}mYBG=T|vp{P1-4a zg@aCx+uR>mFG_A}y5Nt9>F$|%$b1(8lv(1T@wniwpoo!QBKNq2p|pIGWqYv<Z8agi zmxm;vECW*JsH_gV1cwYv)fp17s8sPo(*Kqg;4mhsIMbBYl?FqoW}%gRauO0f2&TzE z(@>b_%)?=%RB@IospAazAZf(p9^T|9kSy1m*$Gpc-SnE}bO}4O+xa-O@wy(KdlqhP z*XgzIpW&0i7rXwcB7B>Zf6;z-_h@_Isq(39b7$9Gl{(((+he|%j@kF?<a#^UnV-Ju z*||JS@(6KherXJ4%8K6CK~Mi5yMcg19Qo-OQcmWp7b9=v-Pj{zKf56RIV+XbTwBl9 ztFE57u(hrH)mBZIqV)wS21%Fjj1|fI&7<+9j$9qeP;C9GCqrG_%#Ldca`6l}lzy~w z0;%YzH-*>5$cDE|d!6CSxf=VT7A1ej<5jV4G{)$oZ6|k53vB_gNKQOm?COi{#wA+5 z*9rSe`@unT^MPI)!)oH~Ilb7~qxnXNlphm1^J})vrd@s~v$uzzQ=|92#j9TV==;^# zo8I9ayIz%5UrrCZx!HqtC@F)83WHFT0PD>cyZnr)^wQ51GB(VA$9)NHHr%)7=1_`d zTf4mvg{>uqHcZ`XSggEdXt{D&nIB~Q3w3qeE>guKpY#aV)z|WKwiBKi2QL=Rv(Ysi z=iXC%Ty(TldL)0yloKexKX5Qf{f><Ooi*}fm|MeSo&0jEuA(^j#rkA<Ie*aT5R#T9 zCdL7J1>C{c;dZqsXF6fUayIrDEvswC3Dj<QLrnUW@(yA7!c<xIdU|AOg@RkSRkQpB zw0`C9ZeWaO-R&vax?(A?n9ujv%We!J_cr^zWw?kiOq9+#NBZy2QXOV{em4A6!&@R6 zwNf*_%$D$Drp=!EIm`^r+nd#^rMo01JiWI=0e16yIhob7ES{M`Fx`EiUb1gsEOX{g z5fyF0QLy}7)_3X-EW>@>7Ol`afk1Az$||htq$f^ueVo;gC^j@Yi^sUQ?6uA2we1G_ zHPRrfo2HVZhSD_z6KPLiyr%2c`rRhMoX{y-HtOb-mcba@op6uBB!hi>e;IS4)n=y7 z-bmb28gnu^>@(Vibz+yg@!UCvhx5`Qoh5sOxJScs%bWXeg0WVH?(CN;kM%cWJme<s zru8|{4c{n#+yYmEHpuzEyQ_QRvt#E6<7?N3`H~&aeK%YU=gEt1C%RWRchRQ{qq{!O zfk+!ox?e7;)K0jcK~eY^H+3sF*0VQ#L*9M;U@j2V3Dw-nCr5AZH`{zqUEY8^+>}Kp z#tBP;?WLjPT=_)Tuyx^6@rhpD-7mb|uC=wdea$h8x}&@EB`apiRJ<XbO-<jH*4Gu2 zr~yMCFV@QEv6ge8b#+EbZE@9SjDCz2(2s&iUItP0!QsQ9EO26Ir`J2MAUlEa^q;DU zmE4b|6PkCu3%(xXk?SsT|5$&gmiMPzJh|KTws@>@XBJ?`{B5o#%lxkRuADQk3|YeP z`FT_G8fAEa&TnFAn#wt3$-+g|bQROtBR0fko#PubMiU<>i2c=^cgyz|b_uKpoa~g2 z*)czY3$J~bF0Zq9hT{Q4>RBy!C0i9wRPU4}!5A0GBnQbB=B)4}RMKuSL#h?IKjL~n zi*%~gPoF73xex<{Vx$$j2U;p`s}%IO?a80|{iW@*u)pYNR(!r?zvP3ucaR!GF9y}9 zg)JH<NBg8H5zvIJx^OE=4+b(i**TP(HV{5A)lI|1v4MjN8&geeo$vkU@mdJvniw4s zVwyZKVW9^+NrT>BE90TIe@Y_Lf|O0F_`t^ji<%c`Yo?$88=|f4FASshg}IgC>aXLo zGMdS{5I6>Cpj*P^mwtgR!akIOzvv;eDw#h>5dLVneo8z8k*bQML}$!fV$W}}xbq6v z5)`_;wCClpiFmiN7>QwJM7ZVRFfnS0#LX&{#Kk&I{CNXAt6P@~&mMwkW|t{S<<&7T z+tNC{;wh>B3khYl@#h$9f`u=~4i#p`jKJ@47%fr57{#iu;ZgNNo|4T7+4*(0W6caD zlO_w%stdAn3s*rKJYiPrBU(y=OZ)E%#%Z)84dvt;tX3g>wyy5Nm2@W|@@6=8c)gX6 zX#B|>?5JidOI+?31-w))7X@x6zQ3(n6yTxE{iu&E#6+Nt3GKT_;(TDDe1Z)5;dVUg zQ5-huGJmkd(Nc#Pn`+(FGt<73(lk2k;O9S^BAxoWmRkX>Vpllcq2PBBS91B{z=OJM zF~W>}DPa#aNT3MFf^%i%Ls`Lo##lc)bmBHwIv6hKNOrgVP?9Ku%B=C~mYm~#91L$e z2tWEnc}if9>LTCiuygKV*o2k^6eR9rq1z}oIUMeJY}yV=$&_As<2cA}xKYsKkcBv` zxL4D_d(#5!lS8JS?qt@N1(aZiotv0l&A64_*y7M}p|Z}R)|V&dvJl7y1_jNyjog}; zUCh8F^Bj7-a`)l?ShRS3Lmk{MC+E;%eW3HIu#BW)LBjO2;7OPbLatg1*iW2CwmElK z9h1^9U;|%Kn6x)Hm|+vi$fzBt$V3Qcn`6fNmb!>Ay-(r7L~F3Vk8Cc?+m{z|&rQ6t zHi|zcMX$K`7d{MA_fv?u(}f=+ZR1$VEa2jVV+GCb1y5^QoGjzy3E2-fARU~dH5%+{ z`N&yp#?g-E#15g7OKjc@8}HPb_BoQ*Vj-o>8z%WHH>>bGs2o3DflY<ZK&5`fesFVQ zJd_$!0_X=Wgg2(O^OJYMpzI;Ixy8h%B0fV2s|?dVbw_^#HI~E>-&7<164Nac#p(|Q zS!fwV=5LPF=7vW`9>Iah@E$G(QQastqg#4Zf*F#r*!X>_T9{P|=Mc8mkWfw-hG04w z$Gm+GZVaIX(~>DhO6icekTjY(yy8@$p%oT1oc)4y<T}Rn;PhP{MQF`T+IHr~(-$ah z_$5CoN%)PzD_5j4C$Z1$FzytpE2+?p=nn(VPVX&D80a=yVc(>3msSn&sn+C9uxo)t z&pHXw6g4l=TGin)h#3kx*i~#t3TW+p50^m8Y*04B7-vW34^*N%Pz@+(xBbcb08X70 zWhKyl+a4Exd&*lL+*D=<PI7Vq{gDZ<-mK~yYkj%8y~IS2fMpIOXg8XPdO<A_NGU1* zZjlVAVD8cV<y?9MFhD<smmU_(8?dj*1mBS&7=ENPa1k`s7P$UuGU;DbwYyc3WslGV z6{$Qfnx65KL5a5Hj3f)i#UX6OLTB&XYNP{;jGqQTDwF(GYP1RpsKJfmUOX9RuoZP- zBp_}?rP4{5Acw0lNV8DzlL3h#ARz)ITwei+2_P{NlS<$D3P_j$N%V)rqJYff4$lA2 zVov<TcYSFA8h19O8T3LX311tWqo|T*aAPL0)r5@n<ptzY&aTqnkmx%yq}BYq1{v#0 z#An{FP)RG?-XuxBCjG`J>v6beKkTI)c>SIt_vOI(ul1~7#=uPUBbfsrGIkF3gX>9Z z4EU(Pv@%h)^tCktk*qF-Tk7k6dWWL#*`L}9(kzwzWj2ZrxFV}!;}5EHa1aN}DRhK= zs36L>R^65;8ZtA0|NL0d(iJ$VR62}zp}kXYudf1p`SxqbMELKMaUfU<MnQ8W4W4OI z3*G5HIYT)*^HY5CAA3mcBK!%gl#rk%)D+c-$2SRSM*%R#Mlc*Or;_XOZn|vgPpPHE z2;Fmt7Vu+vu<T&<?raeHMUbo@%G@PPjKT|aftoxypp0ic-tY<h_&NUAs5&TPJJ=Zh z7G_6AsV~D%Sf)d=qEwMkYW{U;y|D(crq@Q9#Cho3ctvx<RvkYK?WVrj#a&nQ9@m!@ z2;kQ7!TG4ESi>vjsA>eLC8?MTW18o^OMA0P_^25`d`l{y>ZRS~ABw^2jtlr5R&fDI zeL+*vSuO?JPJGfPIMN1Neo^)V)NbgB;WU!`)hte_w%2l?tV9EE%EZ8o#$lPr#4Kb! zOI324(|DazVp-F`#jKE?r>B9@EU{sq$mIa5ocs66FEklACoz~Y!I24vke<2ZEd6gw zlhXQ#N-i<AWlj~9Ts;jncFEmz4Cn`@cLcUu&<?-ID0AAvc8$;`GEU6S4;(s5#x2EE zYj=O#oI1U-Jo8Ze1@#~J!y+#qF?s8>bCc=|2ZA{}!^0cGyEv&oX(UDiq9G%3^EdcL zn}3rhwzULAp9y1pR(ag%Rpy4rG!Jkl1DwD1Wl4Ja6ThQ_s7%E=IcRy|#ogycIdgpO z+m?&?Bib$_ebA001&812IzeUqy5zI}X|ip4-lYAIW`v6=5%ZA|S&cjItDOI<PRCi@ z08~{~H;n2Aj1A!x9W1lt1U4OcYl~1Ky8FT$XEu8aMvR$os;kNdbW%w>@x-@%>TMGr z1s)c-?H`+P>;#l9ORd5$O#-gk6c|f7=_?Ts%GA|bjItD$KlG&7h$%5N4p+WG0+>0Y zY|&piU+U$kOcnh+t6A70rEEh0qml=(#D6d+=px4!@0l-^vTr+;e&n)>a(Q(T`h_-1 zAxFQ04lu^kwX{E{X@Qs9CD2rWg-$S}yR@`0eC>l)`$M6s0R^9B#A4;-pkthkQZXZ2 zR)dJeHCwZA;`>f{0HyVc5lC4btIMEEh{q74_wt(CWr1>cFx`w1*aK_<Upy(lY0J>M zs*KuDZQR$}e;;FwfN-PA_S4jE=kMy&)z$o89S=-x-PV4Tf}-RfKWvSc=hwgK>INO$ zrQAgBsfBH_XuBUdIC+Lp+u76?BHcVj4%fcXPXbX-&jsklR^{*AX=kWwR+7c{VUlwO zeEroJh%g_<H?nHnuC_;K9}nMWm+6+-r_ZUUmE>c8kZ>5<D20{HQdshj`_*3`(k(Xn z!UO(DFtwEB9amZE_tM__n#*J{k~;OCG_xBie5DMgTp<$%J@(4L&1Fq>1!4mC=7$Fh zAaH6~=s6p_-Z%V#z+Y)2{$2(G>@SjA1y?+>nefBRMAa9bq7(N$<xpSLnp9h~E7ys% zfHzq-`t59rNZ{Ac8r<RA%>I0c)%<PU#wRB$H3LP7FQ4GeUVGtQ>s=I{?xCle{7)X< z&_J=ha-Z?a<>&T^ecrciPENsbSMbm{R}D1<^Tr88+ufDZzHj2e>U>w(PnS3>$E-(I zw#BZ?_(&$DcBC0wHg>%f&rSEf)>6UEX>|Ej?;ABHB24(sznza{ux~HHTtKbxc5+jf zSP?&(3mCB#%Kn0ioUltl_W9^1Feh|>{>d&hDUzlor5*blL)kcYZsqLuvL5>@;|ff7 zs0I5P6fT9$34>)ZL(FE4&NkKP1=ldW)_xpT#xMN@?8$ENxL-4PtJ>s~!kh}sr+t+n zH4}}#Ur*<EcwauXv;a{@WX89@PqynPz8jr7OP(I>c9hlIyuG*A=avxl^^8o2gsFDb zY8SSXc3r)GU0uPPSO2%IKvhS_gx~2I3fFkEVHV@!+qUD?=#%ieDEHnY=hGM0N(uT! ztz18kElVdN@7C7W@%jw3u!JLLmU}il@_=VMMdK}>Wnw&JOFEZccP}%xE8Pk5tSMJ9 zto{myEEw<mAk)kM$c>NHUtHHSO@tq-zr37)$O2_Wo+)*9+L~>o*#)&|`N!$D^&JU6 zXRc{SD%4yIYBt^!^g%)}3>*Ycs;fn}auAF#4pI^RI1g)T4QY3f#XTrsF`C}#uS{P9 z<c%nS47c*%IsIPnW~f;?8-9TNcCEkSFawZU0lcZMaF=KS%U_l(;W~W{mW3G}Tn|Xy zIOspEU<&b0g=xcJfY?aq5gZCvg~0kZ4aBukTuXx5jQ)d!0SJU5(qCeA5eR|RA0<#v zaaKP;b8Qsoz<h>OQ6T=VXx6-%rPh4gTvpBUYxH}=?e0}K>^jvkdAo7nWUhW*w|aNm zYOA8arvLLbNvdQ9n%Z~}HZ5Gpv@9--+ISx}%_d|lM#wbf%WiTQEU_S2i%F!A1Tq{$ z7ELht^j48ahypUwL{1ie(M-G!h{3F4lBBp7#RYMEAS)MjFa^#x*hq|TQl!BUSk(W| zAjeaJ9_1)NPIgohddw7-mNX>EaWSWsRQYp*NKYOf>Ajy<STpo6{rTzlM)p1TN8V62 zqK?))oCiH4L0&i*K0Abpn8lTfI0PQYCKw*a3K$l^Faw53Fg&JFB7C|xG&ak9|JSHF zVHg;afOvN*VnI5_8ANij?~r0MdBHXAHEDfGPAMk#CcPL4E&pDx!IpO`{d`%m{~`gM zi}B~%uDQ1M;&N;q&9{dJT)f~uC$)9&chCDHSD$7Lpw$UFlOU|VOfaK<p%iwUC9{Al zHPOL)psqg9Z_4Fa&?^^{qcb<gjby!BoT*3vXfzviAubN{r}DQ+SY0Ly?;1FQl~6GB zFWEu09#a7sYUFGPll@qBqqis<-=*k65DMH+M2CIheFIonzh1jx9Oy$`Es1LJd)s01 z*`yTANwKFWz{jSAb|%bmx<wylRlZWnLOT4YwIpH>U?|F8S)buBHg;+2or_!Rdy8|+ zY)posXDK^BH->TfPcs%rm)CNag1Pq0&?yqzFr>Y@`P`h=eMA1)>pV_!#vvtcnw{R3 zQPL|^#!SRoYBFP1p|1ud@SlWKNlOTgu_V}dqMxivh;7^hMTJFiZdT!A3n&e55D-zO z2kKe#3&An1W<R5;RhNUS6`LSyD0)GxwiHaku8vLj1)GIp+ujvh%e`orT8n*JriVf9 z>Ey!`xD-yi%C4EJGhOxAsM`<Y8jWI{tz)a|n+e_cD*fsE?RNta8mXSRj6-mJR~7Id z3d*ol(c-Yh?G{o2Q>(X+3IdZL^vdPb5F*)RBp?mE@VRG(0!N**c-KTA=y&)Y4YJFx zkS!r!Cw#xuQzR2-1lLS(z96wPalec6btntV{oE6D+fgzvI_;l+>{4DqAO68$pxLp5 z-7&L@_q|M3*8^%9S?rk~Jv|yOA+#8SG0(+{Rr7!Zb!ayqzVH$ZAp}G}5m{&`8i@pd z$3VUyfSbbHU<)d!m*W9@_m2WzHYcJ2G*$BU0Ez8>ppRYXbPqlyxi6h?x}rcYg+K#B zo7>EWSDo7oyYidD-%B3aH89|alzbmS;>_1Of+ioBRG%Odez-y(d@M}zn+GLUg8sMH zpAQs`uMq9z%affb#MX!xk_jtxop?5z*00NEIT6`4ob69Cmh}&v^*+o~E0c&7&>4Nq zFNJJgv~M14eW97J#9ycY4?ZKm&C|+d!s`j9((xT5-~bl3v{&M46o8U@fU@c2k298d zAJWCM_^!aFyipqP8^!^fAjn8kl*Lq&PTsJxPac+=c}O}SO~kKP?k{DC&*wh_6hgZE z1_!qZy;ZQ+hG@jmIW@Bnk_MCD9(m!#6oK1wi^`JF8S39A<$#ZAS4F2R2hE{OTiO10 zPs`q?MEnKG`qADJHmx;WdD)VjI$>T5S55PI(@3wwQkZvT*N5V<Dp%=o%=;B(<)O?n zIBX*}JO~ZrrgqAVZ~H1bqgeIZ?j5N0zuO$^^D;SAQ<w)&>jD&P?qb%gQ|<U|?#^h& z8F1m|O}&0=U5<rn-p=kjqZTfk^yRHsU$xxK;qf@GWU~EaX{-2NHjatJD}OfZP<-a# zAk`=B;aIUf97-jfT1R6tQX5E3E1jeYqpnt{&8cQ@VlrGuV=$^zhetb9S7)thapcGq z4K@APgHwdit}xPJ1aYsH>|KuceEwW?b*E{d-{WFXlH6LA_)ZJ)uHFPv;)nrq#AV%# z4bjwKS%R)AY%&^3qs|_uU#sJYzBKbKUug~}iJq-YyHPN3!1Z2HUz#>iN-<BRNu<<~ z6&40_HAy0XzS4?DREN3AWgPhD1n^u>{O~MMniDe8f{S))L<VFKQUM4SituWR{LvYu zq1vw^z}2di^o2>#f>h_UjkKJiomkaDtzG#$jnaOW;jETfW|o&xjXGw!!-iC?ebqXO z!bp;H{q1L$_A>@+mCLZAgtYEA?B7so4nJ#7zlyDw(`ASb16W@IEnfoK2Tm^mA-mK- z>EFrHzhN21vwNp-i?%w#_d3D@@9V^QKin)0IW2!~m7IE@0&aY18hmL~4`g2EqIRhy z_wwn(M?cnLv&(l1G(t?+?&TvK{rDauo!&K{n{FzaY2V8Z$J%MQjJjyJXz9!abz#Ta zF@YH-FcTKkwI=zS&wNLlTi?5b^6@(v3sC2rv~-UGy5@#0O|5J#Fh^UsNFscLMjaG~ zMun%k$X0Zxn35p_=gjz&pAuFR45LGIXiIDGD4aXNWo+$$!-ZWZ3wj+>rvlIzTY0$_ zG?oSuU|kq9xG7K05$|O@328h%oy`4bhcl$<T3@;GKFK#5DEAQ6ZW7Ih`hbleEg`TN z<k*fu?`re&+vuPl(>laAjj}K}{t((FG2k;FgMOAMjTG`BXP`N;T}PK}d;4-#xoA>= zUo%g5-!JFUBu&we6CKnP5!0!-3u%t2^(t~ybCp>J3i~&IoTNHfXcS5&scJ@W4To}) zpou2(4iho;O}ntKI|kJdNh=B43l2)gqDqPQbe`2Y)w21VsoYBPLPIAbyXMz~*lTI* zY>wLGINRLauwM5<lVHn2)1FX513mjDNK^QMoYg8(k>#r6mGDY(hArf3|7>xijRM1B z)82^DOw(Ev^Abh2V5LnEXTeI-8Xl^JmhB9-HJbd-g{B_dU;x4e2UP7JDse!S0^MlS zngU&5(^_g)Bg^wpWfOG&0o{K<%K#)3)M#@_lh;IlPeW{g`z(u-N>Nm{!s4E$kdf?_ zCXhBbYzxa(djdv$OXD8!%2vrHHvmgdPX*XQl})mJu%=0bOGR4{cifFDYzVZeA>R5K zfuEPE=Pg%RD)cc=0&HM)^}967ZDwl%HHDy>r~yaEe>q0u{NwoiFGq5de>pDw<EZ8z zU_;&>=Q9eqtZt+&48KgSRp0<`iOehv{K1FU8jH&>3&T4P>*#pw38OU&B|e8*{v-+4 z$6RV};#N}L&24(R^J%13b>(~AC)1L0aAd{|C@OIWNzxIuK&UdQEf8!^d&i2@hCFLY zx7;#t#LHqRO7Q|o+>vC>f9O4cUdsSzVwVrPrU9TAKWM3c=vps(NBA6oW^(?Z=RgTE zwH4zU1leO_N@xPW=7XRp)y2qcs4{*dsw8zY2`eJWyDFqN7!J+k!YgRwlvoCRk_Hns z+3J!4m2u&1u^AD(pe4jBrio%<A229TQy>-NiY#R)tX&J2UB(jL6PGBcPlZ&*f%3P6 z8^NOKs)};PR5q5{1yQi6#TMRM%#5Efe3G%i11X~yF)DfSWSP;Fsicku6KE8*giq2d z2d-wpUg!W)(&QhVcYU%XVa7yU%z_9qkJ-$m;v0>7L|1g2C`LTTn(1k=Z*3XF_D*bI zLaOh+^b$VaUNf;4HlbH#m}3C~7Zh@W*w=)KoT6vo@kHb=#9HV-Hl3Pl+yI@7(O{MN zr1#==2`5RmHOaIcz)yzDoX2IKcs<mxuuGkUMw~O`ZTHrqtGlMH$554Qssl;4>$-;e zB6RdDAbt3}by9Jm6&7Ntm~yoWqD-yhsWyjLRE+kv?fR}@bC46)40R=6;vDoAV3>J{ z)J%ai@A?LFb5HaJlVm%^uh0VsF|YGhCisc*;dgQrjv!x+G(snwEwjV_8rR_mSvgK5 z6jC&;h)f9M{;J{qifz~9M~oq9$Z5w&7!tw0Ps(*d|8UVlB1w0Fu%EARHRvs3)(P=G zph*_K*V*HZPQ!3N-MC!J{5aY&?6mk(ma8%f&28~z9NV6P84XD|*}1NpP|kZ!qoo$a zjP;~8xXzGn^L_YH@HKcfBX)GPty=59VtxIS$ES15b1ZjA=DmM+2f=j7^{Mh}Z`T33 zCQ7=xUD?9JeZl;EF<D)mqCVLk#{KL4@%SMpQeFHvRAgViIuyv3?V}Zf8BhAT^Q_`W zf*@OuuDa^~(>a9CBXXe}iLg33QctaGO+)9unk8ocyIEohoN-juf0`xQBmDe#vqUJF zT^FW=PH`05Pgm!#r{}H>ZUNd=wke<8$}>(X6LL0Q9+ck&p8YfZC~vZC+<sNRqZwp+ zT@iW2Z}Va|pBEuktgd+}SG1i^r`9hPfpB}RCu)xUeq%fKn)~mrika^a(H|94uWX=V z>i1-ATkSwEz9&R<VViOiJI*>Rvpdhn{ZG@z*7N<@^@aMU_tTM5oH9<41dBc}NBuwT zz$Pu*%8LaTv5t!Oi)O9~_ScFVF0y2|$WhB#q$m5>+`ujFkXi063>$pn8vF43%P=&T zPnq+#$vWf~C}~0cgt!Gak>#5$YLWT5KwO<ZfALQ>&q1Bvrh{jrxd1UqMQ2;5a*Kek z1ZxZI=4}3W{p|5f{o&$1MS-q@ui{)SC*|a#%gtxz<mLAULEfx4?ZPr!o9ssS@M`1$ ztgXU1pGVs(vp48jDBsJxyxrj6+1U+#E<Vp$@o}L09+WwcJ_2iYY<b%KemwX}5`I3U z+4CnxKCt})y!{Ec3%3KRiTZ>-_Q-c-{-cMBj-jXhl(vES;<ng>^3^h&{N9oT(*&L9 zgt93~j8Vy1M@rQjF07_<eDq_}mjZYSEnzVX_M_sy*Ltw%oH2XLi>>)`eI5*6-1zs{ zpddo8(&|yxr_o%|PA~O5eo=g`RqXM0Rvy%_m7hFJQIGpej=y`g<-S)wzGQewufaL> z6HswezC3fFXGah50}GtB#k#lp>|*HMtTUlkj#4+7P<Y7ixgZh`bbrM>?Qbk~5GE7a z+IHa_q~Dlgo~)K1E!4jmc`ZaeraGT5pQz7k?`^cm;LrQzbz4be-U_%Ij9v7DEOouV zcQI5OOgvfw3k297n8#rIRIa*M345X5-`+dkn%&M=9t{6pT&Sg(j<mexhj~Ue=+DaA z`NU`iKz5t|V!md}8U_OiC8G!@x3TOb@5>_)8ygKW;A`wrcyC;P!9{|fBd#Nc-j8EC zLVmc*#{0_8Q}J&09?1W$i<q{xk^0i39bh8!Kywgb(Mn5Xw!!}bYY=&it0RJG{dANy z>%csPMYRp55uP0taI6I%{2!DJ4a|rPa&Xg-=;LuNGGj7o)38#Bm>3^1!SWz7d_51G z*P_PzyV1h~j+(Kc>6iY_au@HKT2nxpO2ChG4hWd{6y<Di;<FnuGck%A>c7!L3c<0x z{+&2#!OjQ|upxtN>iREHO;CUL#D8DOH6Cl}^}lWhf(p7x^3M}}{D5lXK?L^0I%SbW zKc2dB(t(x@6=dkoRC8<IP@pV-$1SJrfEXx98uk+#6S`*2QE@Zh@5&_!5TaS3P1FBF z*jEO{v4z`W!Ciy91_|!&?!ny&?(R--g1cLAg1ftGkl^mY?KLOoyj!>GRlOg(s@J!q zyJz@jm_0qagGt^GXJNky0~ZFwSnl9gqJr`JAwS|Z8j^zg$v}IjBSp{xr=^v>{l$t5 zBz8H+HbF4%{nU>gS0kbzK$tN8SW{5d{`+h(Du7g^Uw<_4H}<6<ea2c|4Yc;b^XOb@ zaI785?tVGuUMje~-T%8T;O1aN+s8Y;fVbNx@w$wOx)kaa`hMkMwrfY4Wm8LW@?T@H zCC2HXg;GDUBY_R3FXX>e1Zb8+cvNd;*Hwp)MBzyCi9W+_<q^@s=H(Gl!5Ri2hQp9c znc*n0Bovx!aG_ghaA5-DBQS7)fd>o%U=RU=#9}!hmKHiHV2BF(TR;;PbYwsY6?8;E z3X$+uA;ZI!+s0Go`)^W8!4TQ78b4@%r~Z8_Q@F&>x&)E+lZ8~}?Kof4&OcK~e8kZ8 z%p@o=$;HzU&iIA&ntPehdm5OFvk&abG8e9AF+g9LDuCZ?ufRLw5kj{%Q4%vVt&GCX zlR!Ife?-z-nnW>{jEgHg27Zz@%*AOC@9NM)cD)lMc{U7_aFT#V@jyu_!=xaWvXcV{ zgg7_BmgAAB!(-z?sKaC8FQ~$!<9`zwfm03qUFT#}?4`zsgB(Bg`j>}t58A-Ymy8D? zT9SMdOe*1>U4@Vw5k>$wnVN=uBaL7YSsWNkF-NNE11G7-cwQ<PjzS+tCZ&_!=g~}> zaIdG$yapb{{pnh#iF}j84Drz!&X}Y^M*=$Oy|!-^y7fd%uweN_^sqg7L^QAgc|;UL zu^eSy)AVN1&<jGrG(tnBGIyWer=zSroDtOql&#F6VjShE%vhTnI7P^R<giDF7iG>u zXS6oC$mdxi?gSwnT10#IJ@|o?W1FXbkQ#93oRjuXFYStNn(%aRidVBc;y&xE*3KUr zg;TUAge>J*7ee^D-)^nBg<g{|ZFRV@&`EF0z_7ZuHxlw3*>x#NSadm+N47ux{~5cU z;)*UukksLR=W;m4eLR%51)wu|PGbrGYZ#9y+s6`ESPBz+f<qHP67Q4s`W3|p^m0iP zig!m6Vout(g@+aSY7KBk;nc%n-tv1OXT{MS`2QiwNGh!3pq4VTS4y1NDk$NK{56p5 zqEqp;`_P{)_EE2ki}%f|+e-vLuAGlUCzaC))G-8%KQ}JDin)4taR|KU785c_KWips zd=Yz0IcvB-<z*swK<4+jkqMIu`ge6TIU1_oW#d)!3DZS7@cnNFMFeIM=zScxE)tto zY{^V^Oi$&gW)WR|9F!arrby)Al*~3S^*!LrOKOm{rJl+}>D~eGv10>selqjBhOx52 zSzya2!A5?@w=xJrbI?NYwWV!JMCmWOfau6yjh_7U6ZrC67<KG;76qh)Y4*FX8babN znzhH+^kP%xoG;uW!?zsYKpUAw=Q|IHm_rFN>$4-BVSstB`FKk*Din62)R!d?k%7id z@Zj65o||^N59=1TYOm|-vmdO)I9b&?6wG^;b!oHg9=%_?*X=!9cGp<C0)F~^NG=}7 zpbv^0drUIg(Y7Je_V!9^zvlS1jY?|fK)NZn4cr@c^jq_Il%1L_F?Y=krd(9;Y(}sQ zyD1%d%%t4c+YfMNoN%erDGgJTShR5a13m5&LZ;Xu7n-)Y_x;Nj<DPpX6B)r{9AlbP zS?(O32oFvw`?Gb6@j*E2MBj|ypabsGmP)XMEK*THRDDZ*Y~HlMMh&#~ckSqjl^HB+ zFf{AONHPS`hH_!PlSb4--STlNCPHhLRjSHrMYa5NkX8}=8YT1j_AM1b>hZ%DQ=il% zSy^&B6XjmV%98>CHrAA#k)i=}?d7?t&`;?&igqT47WSH7co_(2kx*{X7zpPtGe;%< z%=u7!0b29_F`O$PD!RkI&o>Mg+I_!0(u@~TTcmKWtgMq{{*6TVDV6CLSRq^ORkXx! zV&-Witl;F25_=|#UHHNNpDD@^#t<STz=wCvai|E?7a)7wO4vTL6Oka0)rEY`aZ}L1 zg$Cw)x>nu7SYl;lEB&`XO{|H&g;;_+_7cwsFugTHKJss3LkGTV%-=P&fM(Me&;$dT zz;{hTD`bRtLW4i|LRTg#xMYhR0=n9GgMaHn*BSuj91zfB$cYu%Rg^I7VRU@C*~*#< z$P<{@HfO>^WXnS2%1HQf#nGa}arjDcgi0em2Gfh+qp^NdVod}LusY{l6qX!?mJ)?D zh8QgsE;4h~Qu9+%s(qiFZq8ClHoun<CzXY190&#&<%MXJg=m(M*yIk9*qkk-EX7Kz z_R;@|9b{A-qi4@P8-s=_iag@KW7`YmxS1UPSp4eh5-Z%%Z~Q<A|GkcT33?2IZ7(If zK5ialKui`bB@S^ZC7)tOjG#L(&M*WD*FU{$0FQl|t@a~<6}mFd67&wB9}52&Cwi%$ zpR(O8rcYVTI4D;iMH>x;+fRnX2FB|j#p#h}Z5+q5L}lt;jdBlTHFk++O&Yn|Eyg$= z7$u;fZxo$lj;q0f#1`RBU<DBZhU*9~`fF&*y;@P`kA6NjsDIotF|svzwx!A!7Zv3` zR-T_wpRTp9U$Qd@t!@V6vfB7On%%tZnmBwe?*d<;XRm#`n~T|9-xp-xt6zK^1QvYX zw;#`I-Gg4bIqDrOFXY@+^;$SI?x<S}E_q0P;CF;SUU)oyw0SVFZ`Q8zY1hs0ZE4l; zYAXp>G@dcMynOy?6a9!){?^)tr?K|GKb+W11gz1_A#i;=`|qR4+2=4@U(QZ-8)pJ0 zgag`dD;_@0EjqfZKWr)+<$OG@x8>WLUYdu}wQR20W0)#Eq3SmD*k7w%vnQ**Bu8TO zT?`Q3K0n{yK7U=jS?HXX_qjVv);lT+q=#t#d|yHTI)*#lq88n0_UrCf$vL*(`i3^$ zhPUS1;?b3_SL+*A%j0<R@ydnS1;Pp)U%Hz9`(>RXCpHA<QT$v3){Bn|dLfO=W~?Zd zLT1~OE#lh8Ew~kEytaXpEm(wH#gNvwg4UCW>woA^xW4^~{@OaU=~`W`wG+4gy|Lu1 zo1UMzva)e3Lzre$F~*nUVInJOs7~{w{tRjI>qzH6>oW9D{;GvXyeE_U#=fBhHNXul zT=-VgD1N}Q5#j7I)>=l2toC|T%&x5Ixzbwt1V6wq#U_qxWX6`}R_Kd3mIBGl0L7f` zbN5>P@Rg;^^y03_Pc+rYcv9NFPQz0>V%!-0UXt@Z^L+h$Lb2YAGSn9Ht=MPga>Fz3 zW}Lw_vRvMka8j*Nl~pj9Q7y_WLFc&)d{xzjGvmB0;<(H|;8nkMMG(|>Kx?NTxv7y> zRSZw^@zCz=L}0u4jf3O9M*P{iSxga<;N?sw_!ZrG$jXf6+I00E@e<QR!{N1;qYod7 zK011Goi;7^E9!&(vWd!^UJ<ZxAcBVPWwCZz>a|^hTAe`({P#gm)mUuhBH*IXszXp* zwzubn^Co<A<e^G9*uAwG!HfFyC^1}6*H_*T-9_ioE@lvCKimkEqn@~TL|tK@1a&@( zMmYQ=un-w8=8J<Ps{X;u|Hy=NZwU@BxOZa3M9)-ftPk&V=7OAm2F-5`>MX^wXCMW6 zU41WMv5;D9&?J=>g-)(Tw~GHUW-QoY?K3MKC7<DZCLALrGBQ0p682E2Oq2hV`^UzO zr*kj6?F(_@pUn}eKSlC8Dltws5iaqhUw1<EB{9p@4746+1j#|?g4IAu(qX8<gPh&r z6}VQ&{VH&=9yq=6kmIQ198qWF8T=LOi{4<MXGx&fW>b-$lY5#pHZ^oE@q|`5?n0Ro z(%99TBn_hBj$LPn@-x52UPlwKQ~p7oOgmFE)M5hed{@!OOvwf1zczN2ueh||`dcN$ zDGXVZ;&5+wruj#Lat7RXCkjOu)OgCilv>?bidQJya{@aB4+qtTOC_DmbuTU6nalaL zwGToa8jWt0z`m6S1G7f;OdbX~jtjg?ijhO=XC$MzOuVor!91eG-zHW1C^`bCS>K2< zF!O|I4t|U{QN`xvDg0(f$kMAW%0-eJ$fwLOr?yeLIXi{wbk~X=g`lRrq^gO5XB_0S z56@PQU91;wqm`ebuhE^AtC_KCjXSp0IK6#a@m$>Fi2f@5r`7j^5aEEzSTklTt{3M3 znjm=WH*R!EzeMAh-dTU~$RiZ!DFlhn-doRiS`Q^<ncT-Ui|gOb1Jt6cqv#hVG(UY} zUK}yoRlm4PDc;=p3YA@R$1^1UC(DsG;O_M;biRMwD%hQlQBtzTp~*IP<2UR=N85_` z{R!im{_HCTK~lKk)ZDI{nKy2ih|UE!m<t`(L(S(D$z-1x-fwh#PEV*?6k*k`cDEK4 zO^7TW+hXd@EHRm<PK)uQo;^*c3z}-W(ce?3zqm&8=D((yEEmf(2Y8=oB>d<g@SI8< zT^!7suX9+&Z`wJU?kyle0KIoQLdl=2?_d0m8B4fk-A^f3^RRub&ZX0^VQ?s!{--I5 zl%U~5&Rw#kLr~A@{NDb0FnRm@>2UtC&DJ08@I@2mF-fjT^nqBH4)e;sa3-}B5672& zS6j2*<@G2&9Zcr87x{>Wn80#P$gmItI4KsHoG6scU*Tu3uavV9$CT~e3HviVqlL{> z$weAmk4?V5-NoN!BWcqy^Q=}H%o4O@Ztou)mE|^D@#i=j(s$=7sfr1f9W5D=ICo!X zrSE4)eu!(>@S^T6h@=}Ht(Ltxy7m#8^$|vf`GWm$^u5?=-kH45n$`B$a<Je_lFk-7 z_F7!7AF8!rOp-;L&nvbeW`CGmLqW=y4|2ov#vyyXdA;<{yg#`s=9nIgTq~AOxb^|b zvmv7(=W1!(_d#_w6DbtwwNT8)S9G0Hkz&E)yjezZE>;DK&8qLk9aW&{^IqIH1&T15 z@5TEsK(W_3CLEHh=rZRyEGO-iY+Km<ii}@%TQnw{S9k1#hA^hFZ`!$f69T_R@YQhq z@>(WC7TNx-bA0L7BEs^jh_m5k4d!U^N*M7g3WxTFU%YM|uGMNUP!w-&w{~$^%=8p` zF{g@GwbSi7+z-{x*EyYzDt^^p!(U)i{;U*R-B=sH)PEv<g8Ai*t<jQok7kpIDZekD zKrWa#My|0?uq4h^+gRIt&e6`WOhpFs`?QlP46CQ3ouAaeo7>8530cJbOp7fw+U!$I z?Cz(SEzY^*8Y6pe&h-9pg$}vE5$hmXd}oG-+#KCKVL?aPDIv7_au|;S7>^1V4-$Bs zD#Wst&)OxyyZ)gt(m@q8L139XqRb}r(H9p3h6ntyox|C=A=VI2#ly15f3m9WpPh4J zMcP?Pvjx4O!1(*1iFYy|KdTemCb6k)`#s!G%vk9OCfKA*ABL<FVwiHY<lnm(iV?%$ z41qoBehRoI_OQZ!aSM!fNpWn#XK+A2GS4M;75q@lAm?9Cua+Aux`1$ZeIzLz;!O6P zHZ!j6NUlGgGp}K^XUt-@)>tkfg4!`nB`B42EhS?;begt_le1m^1Kct=^{>1!*S9d1 z?DPInD0Po5m#6KX5*1gxLXr`7#h>u!jC=Tj7Zod*w_H`-CA!<JALozzq4GV(@AXxx za0$O1HEahew)=Q}E}M+KK9$W2$iRdwAjS<-L6=fOAwa~C>M&B$zFaE$YSF)KM4iX= z`Qx102gtxj%PxHdy$>JZk3PKx3VQeZelDr{g9Lel@yb4E^G!|34+_>`(a(|!ulp+D z_y&bDzbJbc?mYY!gLrSlQ3O-YUd>^EIxF62cTTMbio;n&CpN*e`d8dZL^qc2oA@Gs zl#Sc2&W|{4+b#9c(d@OmXu_$rah>3GU5|8a7}89gH<lLbr1N}2cU$XKKXIlVQ@uly z=0mnVb;mmVC+rbiT{MxjU+W)?uwATp_61l{qg~l^;w5B5;N*QJ&(U}rm@xxcR~il6 z`S~8>C@Qx6okG$U1nX~pW}9KgU|?e+1R!xLd-_Xtl!=`V6B51#>e*6%%TDexYWKT{ z+@9$U1x<qpNo#p6=?-adJm?V({(;G>SixzN-@}K=LPOgrp3fCR_>0O%K?e<kP0UIB zG9tOHnemW!#l5E?uNC4GZ1JMDkG;0Fr(R{H#DQ*QB@se<(Q7iddaOr&2<(i0+{bGq zaqgH=jBqRW+E1uP8+E6)<dAwcwsBMKMcpL8UV|7K*`;0_o*su!N4-_fc1@*g*G6?p z^v?7{?mAYx;m@ORMlN0#SyW;n^^`Y;M4r-IM%#<51e-l&jW&eNd}W1GyTmhts!G`{ z4_FaQ-8M?((l^gqje?nYw3g18yiR&2Ua<L6oZA(TDvoh~S=LS9%PCMD*A`yU9}je} zuVupoUXQic^07?vq&Rz%dRZ@+Y!l3y=={82H(6UUtA47J%Y^YJO|qsi?!`Eg2{U_W z4iuEa-xG)Wku~gh*VnV!mW!FOFHX2UaXcj?lk^Nn%oV66h*#(Ihp~r1LY@|JdN~nx zD}^yP28C-YOC2vS;Q)YCvxCsyI`3?_<_CM3fdiwUtRhOx(1X7G01gVQKa4_HQ)rRG zPEk%D>?<^iVo=Jg0r91U-#xk?l}ZDUvsDLji4CGy!pU31(WjA^jGe;(>8@BRO~>HZ z@o`5qpT3TYfmuKg{whx~`9FHPWR!Mf6n+XTu+4nV1asE}@*q-Bm*t2l@`?%kPd^a@ zj&rK0dn)LoVJucu%UE997_#@Y@ZA5nx{ib<5x-HICrH!nT<RjjT(1#(AaADFTxR7v z{cJUr)9A7d2Xm!K%E;k>%s_yRD87UGVwfAW>3TLX>3qJ@esW~HL$22Nlzc3=f$v$$ z`sI$Jp**siL->v6g}579#(F-o8#ZMkkt0P(c`K{n#)bF`=*%)Il#-?NYGC~teIJbg zm(iAhR8+WU?7~K{`UeR!Sv-kSR(qrwt~TUyki)TXaB5b{GeON5EJF;UOkR7U!rMMx ziQ_Aw3a|oZD#U`hoF*s>Z{09eKPSw7|3ppnJE9-6a5b>89Y`k%5!~HpiWJL=-B!j> z)A*4mU1i%R%PTR?t@HewSX-b%L5-lBlZupG?2Y8Y&)(%S9_*XW_oR$p&tXZ9YOq+s zT5O3$7>*Fxsl-oZghY7v&>$7>#xL&j&eTbX#ZR?`MR-l|fRd~mP)d1D&UaxW$s=$? z4J>&wjq+4<9Sa^+<kmw`jM0b`obyKe*<Qj;k+@ruIUQCq*fv0P)X_YnJBRPs>@YXs zE;47dXN2s$v17_`6m6jbdUX8UOOn0RSZm2Ssyy~#)ht8=mm7`QEE6jhF~Z1@$&Uuj z7p~u){qqA>Lt2d7Ce-VcyzBkj_1pF&$xOBx*4B;QH0)jO#>7<<+p?J^WarpyGDDsC zWY)<{mev!N_sX!f_MqOQ{fQ^3IJX|&i#J~kA(s6b2C-j2HdZ*E2RLV8N?`iwj{e6E z?&T(jgK_+J1&y{zQrGJkOoXj$8prM4@}g<ifwb&O>D#+y5+9~9gb&dE-a^>FB%KqK z)<nu$W5DNi*oU0oN(N}`J;j5mcyBgsvRoY0JWvQ4*9OjRcb>gw>z!T~i*G^s>%&~o z*2qL&s-EOn*l0B#upg6BTJB{oPdlbVoqREDQ05Kd%6gFl<PYeaBYKCe=etBq?0oMq z`k%%x4V{<cD}3&A$6;gAgC4axvR-SOJ1jIFv$N+YUn#cS+h6a>3J-m}qiM_Qhew?{ zUkP}9Eg#O=M~Q;}EN)<3n$YuwHcBoUc-3aFvp9USwH0<Eb7JJ<$6r>!x98^*#$PVr zLiO>9-esLec{_GAPCbyo<9|GHB6BG{sPe2Nbw0naX;E=5ezM8>GV0CNq_|_D=zM-< z@K7xq7aHs}7FqzyS79VlHZ<GgzmU9}HT!J8pN&$LUb+23!+u=K=&HVY`AT^3VR2-L zA9P+!?y{dUE$s$ezD``;42dwxcQbqkZW7bEQYMXBd2e{TI8sM2tBq5efY2*}X}w}y zwXizC#k+=2YaZm<H%>9B*mU>V>GI@q_ELV@tFw_^VfVSStb7$|@cYqJ?M?3`u%`;U zhPc`aKskQ2{5+koMmZcXSL0<tacJ~2c0;|A#b4PNR&p$ZGEzJyiwC>PVZ0c96)eX; z{(h^qv2HVODT?6*apw7sf8686i154F_42lp@C9_jc=4lXvG{dyWU)#%8iiBg_?q`L z_3%$JAw*_8=(49jif`BHv5OWE7v4Dp*EMb0XMDqWVVzv?uY%eZe<-vH`?98F3lM!f zpY5(Lw~olN4iCPBT>S|^m+QK=pqwmL%JS+?{Z1)w%ak?A<<6IIwF7tmgU8)N`O+(q z+ss#WB;mZM`fBG;w!f~<BYJM)cu!#Jo(}#eZVk8=nrAELLp4wP<L*_PQm|CnT8b3M z;lhtCbhFfmuVxtdrMb_lL_|-_-|&CU#Tn29WI8(*SnfeQEGh~_Y{sf*g{2uvQ5-il zf9-|nt=+%BOcH%|8@-1k!k&8?&PUHmU9AYr*!#q(+}24O-hmGM1F!8&Mu2EvqQ$$x z;wE&dDv5J<rc$Z)U7Sl#w}L*suS|P$7{TOQ*n*6>^i+~-@r-OFrCCt}_kGK)u1VBy zWdG=28onB!3W=9hWTx`SCZXKP-573cf{FNNLXgR7l-Sw?CZVmL0!Pck;pt;)d%em0 zaigXmuV_{NQ08SxC&6RgMI*+xH@H=h^}$ufBg+rS9fZdtGptAn@nCc37E1}WU3`MM zG(HK+vVb06m8+?E{>ss;dd`E-Tq+(|#w`=E2ueqt6`h$VQQ9hb>5)U;KU<T4dg#th zt&3G(;Kt>m6j-*gxfPPN)ORW4w#D}KDv|3-08*pmSweNZhcgfnMs*uY!+&*C<MR5? z+`Z^Xwie$fpR6pLPKg6YB=i2sS><iQxtsgn<%GUY+ph(kDLkG-lWdYT^e0wjkK9z- zZSz_@U@dXff1-V^S>Oqf*wS=t1!7$lo)svDsNmW1DBm1X7qY^Lp(gb<&Ju>>kl3=9 zud~4>ZIzm}Uye;544mCNI=ryfyUY=Xs*%_-v2O=w_4mK)MZON_fNq(HUCjCHwavjd zv@@Lqs<L_VF|Z0x5{^7vQlnx&cCV!6?(d34`gk>Ihxk+vEJQSCW78_ySM@@frVz;Q zuVul1`JDuNr-`PtDOp|`W;Nww+DcK-lki0u+ew5D<E-mnz#>kE;q&u%kc!)kCXKu( zDelv<M)bd?0p{DfI%dkhE|kkvPuRy(S)ODP8~jiv5lCN!!Q+aZ&s^vN1>t#Wq_{eX zHMnyRpf1|z6efdcYISH2euysGl>Y*aKmc(43z~xgAp0-C4g-MxzrZ~L0FLiqvDTB# zocRFs^Q+26joGa<_BmQw!^v_^c@AZZ%r~zCUa8ng(fM7fH;_4nB*`sq^~j3|nl8mx zSvquv7_}`Ocub2cp7qyUH}^KobA3UI$!$8Eh~&Xfmk|*RxGzbvAkM`zjL2&SI6oSc zECgJV-Fa~X;tLPh?te^@GV^DSB^(b?p%7>8+XcCavY>7aTfl_F>QWNxDn|vmIcN&y zg)}4}SB;1X@o<t8qhz<4ApePNQ9iW6c5@JRXYML9%im(Mnlxy|c$wc+9b~=o@{A6$ zC19O<JT~V&Enux(==?Brts@pGE-QbmLHQ#N<CRW8(2+JQEn^{{{!2`Vq)r_jhJzva z8p_#^VzV?`#9Ot{=ATtUMEn6I!xqkLB&60u7jSq1OX)%Sd5WS{b&S{+(wbH}Pt;to z&LS>#I3qaNytOc`Buv}5b!!a<4#SlRO&d`D;S?fWsfQEX2NR$zHx#&Rx!isZWSNK^ z?byY{Z3jecDM5A&W6`y%s&J53R(|Fj#SMhdx{tth!TYf!2sl?7y#^5_xdTL<T8ZbG z2Hx(OA~1SFIxA(`kJYc$nr!JiH2#r`9hOxH$!w^jj*idde?GVx(+CWD$IWJrYbnEY zhFaj!HhUwZn3qdbm`Bp$f0I`J9oj{(`Mp3Eb8m^T9O3(X!1_{08PV`U**TY1OJ)i9 z@@PjV>5%U2W#*2>$G%Zw{pZNWmq~+WH%?75cRyq&<r^D~dAQE+s<zO2K6eThIDS4Y z&ljUN^1@w5+yzrDXM|wvm?c#EH%}a%z8!7L8QQvf8LQgXNoRG+W~$adD*3c^)nHzm zZU^13esx&dK9x0F-=8*=UM?84nOGP$^BxZEmP&BL4cnd+@a}!`409DAgu|vG1+QPW zh<%Y*j=K0NwIyhGY20ORcPYloK^Ws-F8>qngW3j_x5e5e5r@UZJbPL9CZ}39J!i;| z4*8`@XECF$pwurL#SkI;DfRKUbBYFZ3Udk;A5KfNmGmW|t;K?yJHTydJ;0u}AO&sc z<+O$Qf|%Cx{Fd>Tu{iwd_;Q`=pKEaY<M%>w_(G_2;V(GmcWQ`=r}IW_R05B~2q(}` zL+o*q$y`XoV3hK>#L^~pq{zC1_Gg<w3hxZUh&IECdw7me2|$T>LJKems?M#^Ath3T zTSh|W8_E{4)!weJEs+tMrQwSxO}n(<H!Iw9B3GLKh_z)N$DHePN2^a67O+S2D5A0% zyNjd1tt9O5!P}}O7K%XQr0?({TZ<=tI4zMcE9MI1giC17>lfF6eV!e#fznBeoe}>) z-{UYdUxvt|hP)=|3u4VnQoGN;!uzy+#(%rg{p~Ww>HOh*`8>*Jq+{Za13?~Xbjf}L z37<H&uvhJ)b76kPJ{{}b^i%uv`5KZU8m;@p%wgWZETgjLNhvRz0$N4q$bW^cQeH-& z@O|{Z!ci$NtHQRlNd24}m#O6>PlFkxW!uey()M9WX>;K-M&q(DIA_O>jC6Fd5hXj^ zjwz&rS87fYp8TN1*^p%3o6;i+Nw~Pp%3)-Fr?lSP^aQ;vI61amJ+pjA2(jT#G)8HT zq~4bgQH=&d=3C8Um}yUPFVh?Q4(4qLs6xG?{#qAWf?7klmuw=yL_d7!-pOLzl8@?? z8yrzklGlR}<oI$iX^nST&gJ8$pj!xlpNw02#XF_VI?N&8D2f$yyxo<s(xWjy`xOUk z9%E-d;e!``D*hpg+kv=#XOx-Ae1Z=s2m=L6pioE6WUl)fvvZ~AKYQ3MlMkhcyc6-e zQ>PN7SRqIwHYima4U<bYg_^|xQ^bHm?ZX?nBwmIPx~U+Bse^|4fw5pNY#k9C@SAp8 zr<R=9V2!EZRPB#@Tm}jUJ+#ohGL`U-nM_nc2AGKk6v-jYayaNCpW$XcCw%SIE=GX0 zNP)6Q87(xZOn?k#8Vh6^+a39=MiU68b@FK_*q{;(QXOj*e&p$TH)ipCO^T^;XECPy zi?_rhgWiL$MNNz=&9##^1G7B(fy)(z9t)^SC=`6her61flW`@@xtD80V1Ap7!{L<U z4)q~N7QMX9;=X;w%O-!1d;w7q^xIW$_7+x0hZ6-YIU|b@iL3zQTJKO(Bi^vYMn!MW z2DD5ODJVDgB8w=~%wB0vk2S<dA?dnYKj*51=#j%Gs#WJ46|SvQl?>u&m4c$CfF4;` zsec3y`+y)95X}B7SOWw}tpPoB$bSXR*MMLO5HRNcO-PMVDG2EZ=t02zD{y}U1aF_H z+OngS4#OIiFMB28BHd_9!De%pkW-`YVTKfjp;$VFYlxZfFU6R!%BA=BvP78GUPRV1 zxM2%?8s|4+vyWrFsrXw^tIZ^g4)E}QpH6TU5a!RubwM~;O79<lWZ5hbzkSS%hM>q% z{>3E@b>Uox2<JC_D4`F=)zIMR1Y(<vPXsah7q<i0HyQupKim)Cm}LB}5C8Jx0M1UP zqYFB8BGb?5ZDgH!iLnX}QEn71wBgzLREriLOawcWfG@D68o$90B3x1M%!!|U=06a1 z^=EAV%CdH}^mC^#RcnFNc=qgNA%&;QCHkmYva-9+n}UmECWx=x;GzBP$rg3eB>g_g z{fqE2xib#_<YwhsmJUxp_Yl1(w^Yn|>QC|;vlyZ(+vcgCMe!lFv{99q?h=B<KCb4< zn!8E0jNj`$jVzp4hg{RN^rhb`bl+LtD-DD172)>^_4j&@cg>*k{ud7G?|WbFxg>4j zRv_n6F~DSgm=Q*tCDkE;tyqc`wbjg0=_0U8S8O-PKcuzbj;_Ol;f|yTws|v~Aj?-c zK|248X~%~68O0drmS8$Ul%;+Me`JN~$qa3UXasrrWh_yUr)CEG53&th@{V1Hg_dv$ zuQnf^HOlN$;1Q2@%V3zQ84q2S<|S50Lxd`u>@2;@1(@>3k^S&GXiKbe+O#5*zPhkt zyHE{?hHt8vva@Vk8hy%5b+jwL>9&hxMh{+5+%?jtlWLgDf7zniWt%C~bB)tA4I(Tl z**MXeq+7Rjr&IiLE8DF@_{z)PvQRh9whk?qV9hgswH(q=f(yKwo8sDjEFNadvV5>g z4|Z`0sjvIf^kAX2g1ur+Yo^SOi?2~Bdvw-UJHl4IT*73t71A4P&r`}h&QZPj4vYSQ z0oeO*R-GPXHD<KNWnzKkt$1~2z>>$jqx{^6tXJ-CgOORch2MT=@q8+<HhX1s|NP0# z?V;l1S0wi@5?Z}46%Al@0ins05jB|6X2JE|zb01*|4NXZKh@<Z(Ir2b9n6Ddj?y~B zpPA^Dxz2Qt5hDI{6`*8}JYjZMHX)S1qpwA>BK4mz#auSKDH`F+I@2*GT&e`ioS?0p zypa9w&7-4p`y-If>GabJ#E@HlGa|hW9lP0leyuP`^oM=AqRY?x8(S5-1H-%_i&p3q zZHJ!ttCN~Gma9f?2to!uPo0b1sTI2+y}TjGD(Doe)S97%hhNQAJzTONpdAsjF>4W} zCl;qHuTRWj&?&s^hPY_S^@PiZ>Xa!IQZBGbj;lqy5Pl#|B4!8}^bCfpd|spPgr%tN zW#IM%3x>(2RqA%)zNbkUI^*q#0}X~DWZFu*qY@sPXgiUCMK6&GwIl{hG`CS9dCn1n zVv&lw|3i64l#jVNh{=_s_^pppuo((_9-6;z3N*@rj1SXttws<TB7)H3z)wsNH5+A@ zz*vRs*-sd-#@}~Q0al95L43fP*jFqLSXE*8p8;zv8K2Y$?@)>Ky<!-mIXcB<v(lNM zm`M+kScTssa}iC>mT=K(;J1+=!UQ1L1T~xEJ(%KoNCc|>j0|)n5kDgkY@TYChh`Q} zq3A>b54}Vzbx$#M&yNW^STbMwx<uMhAo*KufnuS%N+D;Cl$hd-`3#Tc4Bix3KA8o& z1p$TyB2~O%EQ<$Aj0X$UpCKx799B&cR!tB~V|3CeOu9TwIuJT0sW`E4OvP}_Fw|vz zODA(hq(x9J<3wWh;4MlycAMrP+;6vo*VB=7SC<ABIQvi~haWB#oWV**1%|{g+?7Jc zE=iYR2rcj>1)bXT=?G^>Z-v(ZY$7G#w1h&Azm!U5rL8KxByp@AklWipwcG_sVX*CG z&?9Dvj0TUtCpHXu%L#*PMu^6yM3}07a3LVYR*f&U#F3m@9k((LAsnizRO_#!+%u3m z9TYY9o#G=G-uHL}t4dV1i8>0af<4ymDTB5|D}AJ-YWoE?1Jau&m=HwYB4co^hM=fy z8i|82N(5ANG#oJ8>{a9vyl$rufm0|xtIFrI)yG!(KOTl~JD8s-Qk%L`GM1oQkSZ%D zlMR-G->UI!S-F#Rj7#m}?<?T<MfAMJB_EF*<=ReF?3J)~)6kp)St(12$2c!BRr-fD zGtlJK9phJeGSF<rSu-vyh$q6ideai-@b)s?w92*$ezveu9wB{|Q;JM++&V3dNLaa8 z$S9Gn;FOFq9FV-huKbp;atl<{fXb=iMEG5UO2*t?<DLk<(YT}~4zS<BLLj9JNWleC zj)9aHdJM`2luAzWvl<F=wph+`>I?|J$fTO1dQ?k>+($8ufsqsPXVj!`-&bUfkH4r^ zjM?;5xelwBzKHxBQ|%qGqI_OS`Ifk%U>s@4S}^9*Q<Xm~Q3@<Z7xYDV#ER-UEgc}0 zjNxnmGP<W~5+Hd+fAXjSB=xgq7C@>PN4f)KR!`LvK<bG8{PRxIJmV0{Nww)yQL+Y? ze)fdz%%UPKyhUHGHAJAUE4=s`!vxF&$I%Hc#LjR<Xz{BL?rFaCCq=pCj1NvLviS<~ z^d$+5>}iJn9E_nb8N%c0!MvA<w1o|TYxIvfG-;iQzluv*g@8!5;>G`A3vU&Mf@oDh z!O`8-pgAe_^&>d$mLE87>67edySRnAX|DdR5cQ2NF-uQ0c<sy^t9nevYT_*R>bS=> z2=HVRUfdt-K*TbFRe>0Lch`+N5CIEBH~<m7Km;)m(bC-&vh)jxuttM#ldm$%Z}9g= zz^8+A>Jv7BiGV@ykCL~6R*BZ<63+PpUYtIE19kp_bG*;6IWZZEvc2yc*uju<=r-(~ zy@V|(o=x6&`GI8V7y*Q9y)pv&*+%#+ujbDt<&~5XRDb*U1?2?^e}-3E6BnmmrT6*X zWhG&^EHjv<Qmk`Q?G6{0^p?yO1D8W$=Qd*Wvk5Ne)s75a=2{Hit{DIR&`U=YiTrrq zlrt!aaPz|?_7$IB6!AX=I1*v^P$ILTrw?o)JgjKKi>hJpyO}{5#OI%uK3>hq!0X%* zGbOs>IfS&m=^G6xGc!8rL<}jcrw#!j!T*LZ10hhPKnV7~Avf<K(Ljjj-w<zCG9?Hu z5>D|m5i2}*OSb5_CG`vhR}!{pV4s67b1fY?pPLQpTV9FTTArGbemIC_Fydx0>XhE> zF~^Gb{RSJ{Kix;18>l30kX&k5z~or7;b+dKaKI0j?fJpl&^7J&lzPfye=K2zYV#vg zXGFZ|j=9%jYawolY*mxAH8IBZ-0aJ2-w)Cn$)*NO7iz+n^+}nca4m7e1iP=}$4Y#d z9ofs$XpPZ*6q^ex3x!_BJp5q4{?&&#Qxj57PS&&i&F?GYdVpM&L@Ys)$IPq&c8TLs z${E+1fXF=^N*Ot^<V<F2?3y0kvEGwkr7B`AZR<b1n7=9}qzsFHX&HD%)wg&|C9!d; zc!r?}%d;**j3Xm1Xvq-6Bo58=dy@ieT9gY+3CTTinJ*Rui5(^E%QQ+XPJjN`FMkNP zrf`8j!0q7X5C}{l5y`rGWg+qo9U%&m&Z!(Nh(HN!NRTr#Nr`Tp#ZxiBVJ_^@{LMG; zKug`ZN1iby{6f>AMPl}#CGb}iP0IwpcveMn*bJlnmqPGOCSVG>dacn*@J4S^MyVzI zL(8d~`VkF`nz;-3G|Tr$%&XIyR!mv3`b<Ch7pz$HD1Y9Ov~JMEnZe2kKyLdnjZVvw zw`OS}A*%)^)Q}!jte=Y_t0paM*{*~PM#!2L5G+=&ONUSjDlG`TI%f`xi8Jljz*4|Q z`+@jEC7)W|Tm*MvCN`}GJ*Z0~st7QTs{`g?!0h|iYz&wg0kihPKjsv`eEV+Jd^gYC zR_qe9Sy02*MQ@vB7l=3zt5LhV)bq;q747&eI!lQZ?k-Q?eMWgsOh}ZV*c+n%3f5b( zcRx)*9VaspmpKm+qA_`SEu%z|nnT)J3Iku4w;h^NAi^Xm?xKM9(NuO&o?bHCI4}An zjpFlISu~+qJnFD9{xY?!eu^_be?A#`JPda&cUsryPuxDVl0tp95prQf+<8Dy?RL6^ zGD&JJX=~0us8#$Q#GS46(bS;vu;q<bSW39?*i@sjwB^nGef!8%vC*RCt>k_C&{VRK z8o1NP`}TpUVB=x)8<hyKy>H6dSlaw%{=U6u%GhYp{8sY5y=zL{NZtH)AR;9E{16Ti ztTSZI!i10In?)jMkYwKwk^TNHNU5tg1(p^tv4l>TB9njr>4HZ}z{I`T88*pEl0pd# z<<BYP$D~EA;vBO0O1_9>ma3mR!RWp{3=IK>OzXtDnMlLGAifY!4{GTehb{IN%i*f~ zixAi{F7s(4Wj%h?zd><t>DPR+<8?bK^ZzcsbBHN?+I#c6G#T;>eq6DZRXx&TU@;<& zy1hu24ddiLCR6=}cN5b;rX>IGVt^_C<lQ6=`2`y=ozws(`J$>=46&VuFR2Y(`%L9i z8u?>os<(#DhpRJahDl}8IE^a7<SwO;2v6jp10;CFy4e`v1`ZHY?1v!geWZQ3&<dkF z&^cMdpw-Y4VeH5`KZj8Syc59nQR0woi3ENmkotL0LUoal5w8pQtx$@C+{h#PiOLAI z`GYhlM1vgV;(<hF1i68OTIS<{&WUq^U<$%qN`ajTe0e)1A)!7?6H|WPtW;5XHmEBp za}!BI#YX#VEI~q>1yM#z5Ll=(06|Mu($r_<i$O+9&P<U*W)FM^6@m2J{537_a4SCV zkiJWFmbA8QKE;n%7=V&FqO(TT?=V_~12mQ{;tmU=iWSdJLia1j2C~-=(0CI?uY>?% z`2%2SNtQD6kLy+m0l|<K&R_`D^DsoTkz46NPlnYXun3sp3VwwA@DFSUpf3PD++~3t z?Aw9F$r+6zg7<Ex_yC7s=nRf9bu5M)LZJ5^#n|Q#dEiD0E!<fp*)0_KjR+Fw_eVnr zgM$}Vpyy(1`UJr7WWN!?N4LJy(KL_*2Tg`S1`GB#dG``zSPtLgwJ`hHsZ+v?m7?h* zkUsx&NHQW!jN(}R;vY>})fggTM3^}LBHq79@GlbmizNRd*}q8fFH-%BH2xx;zsTS( zG64{h$U)w{0E7-Yp+U-bopvrt)Zs+@|B&2&NIxZbt?1ZE(W@YL#<{NImr5pmL=1~c znGL3MdeN-tWn75V?0CG5vQrBc-;4rvi^i0-ha7O+I5fQMqs_A8hrl`h+kBirxJ60* zD(x;Cd2!?xI0BF=V1VU-8znP1EqVzWtT8Z8v)(|(r)_01WTsdvdbtV96Jw~0zEPk* zoMcQQ3Q#@OZMwhm?teM|q<a0*K((G(AiD9XgKAxn5&ImuY9e&UdMk44Wt)zHsqc7G zxj2d;!ZoHb#fq>JO8$iv(DAMr{_t)h;BlV6&vUzTip;&;^thOl-j3W_o26r02|eys zhI%*2H>Q}qo4lF-no|EUjs7(${$nEjYr0(mOq8=~5u%r8|GVcFe)!5@^|t~IxAVnu z=I-E&W8V36ZVj|}*rJ)JVG17TA6YIC%uDD?NU%93POuU)2M}5?)GY*v5^Dztg^e8$ zbrG`nc02~!as9m=CEwfe7HG$p_jXKpZ%4XBpdG1zcBK5L9jSnJR0P^lL8vWWU@*_k zS2my8OR=pJq&&h|(JK?^7*vC4+6bhNw1qSERD7{HTY13C+h=vDA`8W5>wgT&EDwMW zls;`i^wJqD1YR<t$W+m*OW(abN%XRK+OKu7#eDskx^Z&`3pat(mW|>=#|lo80Xc+Z zPdxL^%YsTZ*6Qym_FOU;wC_Jb%S!d>6at0yb|p|LXnsuoDAkv1?9Q!nduJCe7gRn^ zYHd#;E&K%j`s*heDFWO#a6){3|CH?(DRAy$MHvHM{QSrJlI;Up+ycy^$Y%!a-l@Cc zU+6L-E8YER&}<#*03QDb`wswk;T=!E<Dnd*aR@xu3jZbY^|Fh)j0m~yQ&d|Ow_2Ny z+`KtAW{muvgzwh~7?}gV$aPCEZsHieH?MoIrW~LA#wu-&9!7D*PXHTtM)<0>QISAK z%PBnOEc>C{4FS=+S1$guqQzI;f8p%^3yuR~=0!mKmpuhw-Ty!Y7l2**zbqX9>y}uf zgFBwY-lJvT>1BY1iY7D2n^`$YgEg(g#e1a3lk5=*{&<=OXI_s>=F+aGe&dsrFirj1 zQ+W@UzlBz}7CUVLfCsqzJ+xK;lmg%pF8?TDybJ+5^Niu7rStO<-*WXz>@;=fW(IbA zP?QY)%kRp0$Z(yfhQV<bV~0y9*UAM6c(aLEGAm<;2PoGnHi?tRDqfEw;sjg}JE*UL zeP<x`P*ZcpLlg^X@J*2of`+ajD@NuxOm!LGCO|(<<Pl3Q4ecO;td6e`)o_EH#<3uz zu7dh`Y66E0mBX6?1aX7dnf(nKoCWp?1#)I<9lM0@cN>-#B69}PrBjK3+6q2JpgbEp zj1SVZ*dS)eQ5r&KK>F?+{MR}E*XjA!Ih2+Lzx=G30P5Na95AAQr<JU*De&NMg7glv zlEL5thd}Fu*k$K|AlV~E;R*tm3I4g_7s)?|s-No!67pT^e^k{jk^k(>FBmwXFSc>- z4+T{96emb4=;xz1V6CxWxwq3RTa`D_%2&9|$6x&$B`>Ybx93Oo8}u(?yBnFiDo!J| zSU&k@=X))k<+I+cC%CG<>}oGMnMc1wH%cB_oA=I-ST^V%#QHbJF?`iZ?@ejM+Be2; zeAJ5XT$%^xJ0gC>L+iy`BZ?7YX%PfCYm?E_Zj)g3l{c+3{P)S`%XGQx=wK^8bJ*aM zYVBxUwj4c!8rEo{OHy8-g2~hX<-V4<|A~Jp)`Ev($4pUY0)y?>Z(bi(!me&$1s|kt za)ClDPyma#x?vJ}kP<Bb3Ju@9+;39+supoZ)XG>gz1(L_cSg$xA-vj&6g!kSkG9ff z-EbbRju=7psx%X;RO+}MuIS_97^(=+E^hEOM$}fKPIpE>^bIAe#lYM@uG8;+Iz4u| z+66P*Zv7|6J2~a*yGt1Ng99kz)$Y6zSckzT9yzTp`{HnlbXXi?6_N7i3A&_yBa_)C zv4OUTrH=`YRAy1cgOj-h{^6qi(Y7aJ{Ojh+R}9h<Nxo-^uy=GUGnvgGG4z(b@e|*; z9eb5#YfBZhI!uOBuj!~Mqkj}88aoz>{p8`~)>?_Px1xJVv0|DZN}@C~lWt`MXsm?s zpE%1`J62W+*E~b4vba-O`-1c-n*LU6QFQ0EJ16eREZ|eqgqdE=h3r?m!>RWn%=ya~ z$(<!sw3}pBO6om=OEWzVWH^~e(4zxGJ{*-<YYDyj>r=JJ2NI(_apo7Lq_ntT>G6mb z*WmaT`MzX0T7Xilsi;60=f>@*B?FOxRfwzYRaP<-p7#USOl~ph^pJwMIvXD=IX+V@ z`hkRaQnUy-Kfb~8#cZO}P?us3DLw}mYSgh)kV(F@@(l5|kbkt3_JIV8)htR90>OLG z69m_cK{n}hi3||L#sUPDp#ee8(ISELK+x1=qSHZ+>~B(ndQQ}+QXq(wI{|eh%6}DG zB1DF(r`A6`9NHP7nTqd45FBkI2%#Bomy|@9hx`(M8O~UqFK>(9qBbO|<X%~3cb*9M z0;G;SlHE-DDk%;$A>WG!oV<7Y)VPFQY(O~rY7;gQKBh4tcj6=V8OMk?sR9$vx>%7B z3pjsfVjnpMGR`>12o!Et#qidtMuLi<dG!_*p|rr^g&SPvEbD`SqW1^i;WP8%TZC4$ zqJpZsqh#DQnxly>noshc4yePZR~|1G8qiq&up?l_TQh9c(UJA;&an_9<Pqr+lD}HG zC}w`n6Qd3#QQ6Q?oW=$kk>K0fg+ZkKLvyeEPLT-3!6Wh458o;>oIzyapqNpF3=MPL zERb<qRgqa?gd7y%>iUg**^!<I69Xi2w*i(xOgxfxiv*@kdu2uoaZEh4!|DKGGCPL6 zrqYyH!4Kl1JbAxA+qWk<Y}zN-8wkZv@h*O)#v)*cNgh9X6h2yfArDS)G?*K0-Y{fW z8_dqg3~pxL4S2{dcXfOmjp47{Lf6h3Gj0}Z&)TP<1IO2XC|7mZ9hT{KcJy&@+=5bB zA=#?h6zvO*BiZtej2v3tmF>UYSxs0wRrjPHX4z1>?%IAa*??zAk}Z&cu$du=v){I^ zPQgXWh}Qar54~D|AMg#gD$dY`$BxU!8j9FX%e?s#hiY#Cym))T1sjarqS)VYl;XHx zwYKZ9sUb70OLiq{sOj=G?ca<a?-`NbaL>ELn=jub_)&ml9+2>5{wuiwB$+XQWcOc5 zW*i{FA8xwz$^J)zdzb~`u!Sf!5RD7Z$W6dO+&Y$K-X3nO!Xw>Rx9yKsyS*pk1!gr6 zo&J~Rwvq1>?=d}T8b|(1LyCHd(V9`FGLEC#pVW52EZawt@UgHN4gLs8!AHu7#b>A9 zQZ{CGSp}x1F5l^N62310efl3wv*l<C(02dQ<s^J=08JPA?vB>sh)k+R%N9wm*-jE3 zi-wXLiuS~~oUX^_w&CnMar+%~(xirxt@^*|&4&L?n~pBq4-%6PZd2?GWJcWEX-z~u zAyBguuoJVNDfgMJIoPaPOEh0L!8F?^lkhzzId1C;k3<d14n=vQUrIJ*;JV@{+3?s1 z*{tS<Ar0)VHciGlY}?P;!%^;{+H5&GaI&8<6|B0g2CX)6qY$Q#HrvM#?+$EJY$pBK z|7E^#-MkjFvj%?rz&481sr^>}$1$~8FwPhu8`&8u%LGO?QO&6cN&O%>euqy~HlC6N zLvl;SAHxqu@=UEB&z(zIk<0}{9VbO$=clN2Tro3-=$3{*Yaop1nQlG$KlB2Ix(q;5 zi2Y0J`>Tckw8_7;7^b=pKqvi2oB2D31GLq@^iNFnYJi3m|Lf*b4XZGg+4Uuf=gAzF zV2aGY;#f^Jm%MVKAWp6F{*#Penf$~1PiOx`vMby@-1nVS!aJJp;&UfLpYS=EUoi{E z-0+4@MmOSc%P6fX`6}4h30-yZ34N47?>!!_9vY#zkt%K3jz?X&^_l`B$~|o=Co1Wa z%+!)Qzp$%`UM{+SN19A3zCLD%r;LYqd)(U9OJ+dSBcSc%^RoZ_c>}mu5YIFwi`Sxa z`s{7;Z8ETKzQ2FsX7D(D{%QB&$m8YA!ToA<eEzCeW4Arj-s$bD#MRz*===mb)cfrn z?zV&ppIda-&YYm4$Pb0Q;~9|FJ2<?qe;S;_*!b{pYMruRZ|VJ>7+&t5<VKoUOrKvK ze>`&7zt}xKUum)ft}GlUq|4&X6OU{3?GIH6ws&^ac;-ZsV@pqnu^zv`c6QLZN!fN^ zIXj<nt~?6P=87eLd@#^Dvhi(cQO|sJc=27>e@i8KVNhGHv|;#EqSxemNaxeZHzsid z3Cr2?<BCqY(|)}QyprgluqYc&zR{vB_mJ&39}JmJ_KD->LE|19*~{LnyVv(@lu<h_ zAz@|$Q)IXGNz~EEqxt2@?ys?M(^jke4r>gTCp#yUN?%^ⅇBahn>gyu(DKF3MyLk zvW>o1tO9RI7x10@gLe;;<;O{;H`_jr#ydXEy8YK+!@*B07jt+%yv-$E8OXj7%%1o6 zv)X0{q~0s#=j)hm+GdAjJ~zw_<&8F6m75>;_xQN$Jhc3|t<_ry?^?cx^U%xDEK-P6 zRbg3()k2;!n@^tAbN;gY>az0F)BxPY>?<4e0h)*B8v4t<u`CmOoAZs)83OQ`x7_>H z^~=*4T;mXNxliEzJZl~`YQ-x?=}D%^wXv{RXU`u2vk!Pee_+}>2%?7%)b0}p&CIEK z#Hn!7viA?vI7~Z<A5x=z`X_5xSom9~s}KlZuCSPvn;3Rl^bENkF?`m)#%%Ng@BJ@V zoqU5d!(yFV=aZM-Gt&%r|D65(L2{>^9&T2e@Sa-eMKMQRXbeByb-Br^{rd?!cU2;S zJqE4ov5Rs&t1`%*JC)z1Q=DQB-cad4?svp~6S)UjBJ%QHlc<YlwOq4aA&Qr0AgyDw zM(*UIOuuRj=DUBI%6UY2xjmn(P%IA*mg9K2NoD=Y%DMqZ$=~9+d6!{)5HhQ`mi3l3 z`|agoXa6OMdZPL;Y!>eB3%7@^(MdQZf2$|!UB&^_21aow->9X=UH|Mx_Ac&Crv24+ zR)X;f&bAc8>aT@j3VZQ|2D%rm>&m_spUMf=N-<)7KIsjd<osB|yVk;Rk+YxN1cW1+ z4U@Twt-si;(`D22;%1(g7Yh(JAA)ps*?T`<iTOCWTAaZRCgPQQ0nf|B8BBu&ak;1P z#e739HPWw-Ye+~)qlzn?PnH`*1@Z?kH?cAyJ&?e(v16VSRfVtM2rp$Sd@w?VMDsq& zY;JWfIrUu#6~hlJstQlpk#>W{7o7L1DE0gKaG|qR(*PG08w(o*jw+pTs&b(N%PH)x z#~Pp9D=fWa_5F}EUT}^TfMxM~yx(TJ*6gEpO_Dd%fyy{W&XPa4ab_+cH3)=LK4X<$ z;U-W|c97=~Bk;nrf^P57Ex;butUrUah8`+Tj?!>sgV4*2kzM}u3(fY`&CW%2&CA_! zt>`(2uh?uqJ^5+#;G?VI<nGa);i*(Sch-Q;#oPa3?X9D#ioUi{O1eb4OG*g=K{})b z1nCaxR=P_{q)Rx`h#=D4Ez;c$0@B@e*E#&&_xrv(-h2PJ<2uG+o%uZLnS1TC*P45- zy|-(7lnT#(vk|Ua6iKZwH=o#_<lQ#Vm^AQ_U6h2ZpL=>DT3-1EjsC(|tRMg0+Z2A< zZ?$<RoN93W+94%;JSdg@kDhOa>#hIXT8|pmNMkh4bTa>6j!eR)J#y-FBpPqe%1b^Y z7Wc{W>GCcdq7&1&vV=5@(?#-6+hiI-_jghEkMHi%BR|}KP+F|0r7-XgD6ZWX6FkZ% zTpMzfA9USn<U4tbhyI>-Fa~1&r(e8JEso<!?zSDlBATGS&o<X#>f26N;m3>9-_l-s zY|tI1)~^Tzb^feV(afELONwr&SNpRt&yurmM{wj?jn36FkN(%MM512Dv&Yi$4ROF` zLkQzWU7)z|o;nScYe95WePswv^UJf5Acxg?@s2|~ntyK9xYbm%_$vqJXE{2HPgspM zgq}CnoE0&O`Eb#a9h#98x|Kh7T?I}1IDJt4c^`?Ay;+TcY)>)SrbfV&^+&zRghQQx z>25CgVp9hiC-<DpC#)p8L6_&IQ2YuiLgx}?BfC>K3ZqPl@OW&!AtcCGYjdF!<n^~~ z*?xDq-9E@9yGbXMI5y(Sj>b-ur>#+FbK)E<4!@B1c~<p99E-hjC)UpzAp+hXD-)`7 zUq8>j`=G)<6#JVj7+qp&*pc7oO%fT6Z=6WI$G{%PTHS^q*(P7JqUXTk{;qTRduO_{ zZ<61+uh^#>hjq~GDdk=@;qW#v_5F6paq!g-^8GRWlw^2QYGWj}?I#WmrKtKM=i{2_ zZ@31xiK`igB62RP+?sI`VjUm)oX9^ke9-O?R>u6$c;$fq!Fx3)^mP`su_fgsuVOgy z;HpQ$H1X0^6Z2s(_luggo5FnvK3iJ-h*XvYS*8VT4y!~MviPvD3?!t1mX{alK4y{Q zz{cf5{JwoUV$?)EmMPTjWVej$hvb)rMPjbIfa!=h+KKqjhiB>YuUL%*qq=7APk?jr zU0=+{>-!bEA_`9e$jq;=hbOQ_7ATwX;=P~GqR+3kL}T<yhD|68*T~LR;VD3}Ov&sS zvOb#1mYZ+zVhTdoosJ6@3!2Ie1SHt2y#F2I@C?_OjDIswpdw5@8U3qgj>CNYJMRw5 zIk#D+e#kKLGK%*p{dWU<IoTt74h02HihFU{I`#wx`tMZF6hsfAz9{y6NW-4bB%l8w z_at(pIDvusLPP4u`qxPQEb{qkC^iVi%%K?eSAcavv3)4!3afVtut_L}lL)X7SUr_& z^7#!Y#ty}jVD(A>b`Hhf0&LEsJC>Y?A#yM9JDT(+?;91|AimsVQYPv9&XG?!7uny@ zzO<>E+g0$_PAVs-w&|PO9rKs>?er;CuO`Ov4|22XTAA~7arHhQ#5@(m8f|;(YIZDC z-gnWbv@y!Ts_S9SbI#Sf14xmVqgqDI!sYH6T4n)ec^Fn-30-!%AWu?E)h&WOGJ`FR z_JzwYGqub@&GP7=<N+7tS&C_uMetP?AgM&k-Lu`-Bi8eHq2#em5MCemv9#kD)k}E{ zPmd}}bh8xqoZ1OGg;#a`RLjXT&qoByWgH77S%e}j9c7ODX!<L$^G`H$`>Ff60=Xwi zbK36ym_@B83#C}^DjT_)a7iEck@r`w=aul5X=S^cMXYOEt4@(je;F3!l5#A3!Lk!> zQ)Lk|ofR}enBXGGla|b^ZK0qHmd>q`z)pwoId4o2S+l;m?8x7c3lFY`d;4vIPklhg zePD}p>2Nq;S<9+dlJEVR*3Vww2zJx_mpyB`3Fi5%x66olHuHX90)HpW#^*LuO>B6G z6Ww#U8{OnFFG?*d=Sz*2cqdb)nIB58&>28@CyMv{243PtIz_BTF&QekEY1rbVafK= zuU(Pkm5uE!F;3Ws(k1r9qWH&?G1*n`iC@L~7%ic?U?7GXFYtdHb3sRx9$~~JZ)G!r zQ|{A{j-0_ZT9Ua6^rxGmz@+}{zV%0WmIRYr)_}tSsXhQ~NQ8pVN+7_WZW9GL(vX)U zfT>T}+8<H6&W<`-ViF69IH)iZG}I*7lOkc7dB=Hd8=1DZZzpsTi=05085bk8e|0DU zAqFzG25d6i+UW)?Jy6Kb_ba!K!^J@QM~9%r*f=@CxBI9$8~xycduh9OypF04zePTl zyA$)TQq{`p^(nXV-Tmob*ZW8A`<KC)UHXyvDIG7wJhS`?h%14(3n~s8SVgg0algq9 z;5rAsGl!C||0rxJMWPRkOWW}nh;MAs@z%04^9oSaOxvKPrbdnZt;#vM$mmZPy1bB4 z*prisLZ6XGOZ5jtZcYhfsTLmp24!+LtE`c<F&Dj4q7Y{sS!|n6hne)h1`iX4YPz8L z(Ecw&$tQp0?WE8>A43Q-9XI@w-S%$sPX46zhYn320Y(D-8n+T_^DEW)q_+MMnz*nC zrEu<Z-|MxTFP2h*2U+Y?DUU^1ULjbdAmTLSAqK6tM4?AsYMC{*{~#@(ogZ+&e&ZH) zW^_^?de=)hawI<-&jRnfb@hdIjo)YDomjD|Se}Z;Fh;t*ERo2CwVT+1(MdV^-D|dy zfRd&;t$3?DMA_YsAuR>0@cu~^NU!w2r|kUcJ=&7(C3KS|<LN3;4Z>=uC?G{Bu6j=) z!i03dqNYN}ZraR$VC6Xu6mRtoQ<Ankr1X0fotMU4@)6lBLuL+H#t*+V#OrOf6znRY z=#T7Gtg8K-C2?mK81vVdO~r1=Nwox?e{dbWbNPW-T*XD<CR;xesgciS{<pH^z^Z-( zc&6KROW1M%j@hJ_BnhdJj8{%kBDJ)-GWDEslT8y556C-nSLwLoHmEVMXt`RP@gZMu z`YN_DX}RnKItnn!%gdTx*Y{9!uKs@A@H@j;-G)|}lZy|htb>{pu|c}j^5Re5U!gw; zfqyU^s5%*zfv0QgUJT&L7atN3)hB-!_?#`Y4-Vy>4FXh%TLu=@LKKuK-8=_Eu|I7A zE%FUTEiayJi&bo+({k;Jbrhh3jZ#X~{{$PQlxWC>k@AF}o->Dbz+t?zSrEd7`pKZi zLnN2cLNq?2GI=TX_t8aE*;)Hv;Xm2|e=r=VO#4H+J);wlVu+T6dbDxkj;Xg%Y1v!c z<6lxTEQ+Z=meBXxXR;QMFADrcgWAyX26bYu*Z!${vLK3<7_K{fTK2ECA^u3OvT*|| zt+0)$DU*Wxh`v$+F1AFziI&d|E*6o_yG@juu>?00?OJDtO2Hm>3HlQHf)U5|Y5KU$ zS4i*t!vxZrXu7<_WMt&th@%@{iMNhcM?1|&eafl0j`3sS_}&Gz^<seiEH>cl!r!A4 zR(ic^dK%{4#2o5e*9Jjv4B1I(SsA%&Cg#x1UG}$&;v>$Qc(EhcZ_tfB*!!UJ#v~v= zhsueMp>hodAn$?7yJ7NTsQe|6vvNV@gZm86JD)T#e`!lYN#9nmT+fwW^FZ&TIXY3` z=9;BTyHYU32XpjIh=tj2X+fNCZA?2}2h7XyW@g?Uacw}hfRaw7Xlb@CE%+Kr`W$qx z0MfT(v=fkbDCvBFM3xbBg_3>;mVW{1-|?gykd&zDDu85=5iEd`0S9R7pK{ZU6fHjg z_^Lu2q=zd!`)#C8H`=X<FGzXn(Xz@QbAR8XFvj#J#6b$t{fL+D|Kf9c5DBV8c~Jgt z<fz25pr}s4P2WVNXDG`4ym@PM{oYZ;r;7h+{zs9Z{;2-zi~MaMxW=y%=Gj=?C<THI zm8pv<uc-7fAn<x?H2dCB$R}8UhB<9TQ7N8Bh$l9A<ME&?BNMs~W#ua0t*PTK@8GDc zl5go#=JZFW{@vVp%?N{m$f|4TQmIW(M`Oc=M4zydz)RI;DgP+WsTDqd6qxwsv^H1s z*I*oHsc;B}#G~(q8%#Mx1K)G#RsRkdFHss4;eHxB{cB;LM;qc2^s_5z8}+i|bj*S( zFDux^@8^%C?Yj%8J@^CIo>2P)X8S^I7pT1jv)Q5c2dKRSvlnxLZ3DF@V0IMLHiz1M zFk1v_8$<0js14SC_%TEJ_Fe3^?ihzRSqJoztYI@Ty5MCP&%DLuEuCdo<qAqV64jfH z47P^ipY%l631{QI-};yNy#=0$F_Fd@QOHVxLTC)a54VQIm1CD7y_=sMa;1Wj@y#N5 z4C^FM()mOwY6~v@$v||SKV@ZpB;}S0h2O^&l6SmA+3MrNuN!|NDk%!T-a_^mE8_Ra zGjN9ML9>w2lZ~QGk}bC6uHa*f)Av*3rnJ>!sdi#CXTZ;o76%1!PlD?_O$wSMOYRUT zf<Kz-yQY~@c*C9=aICjZ5#L{l72juT30k0I(V+D@+7jPq9s!@MpoRBL8nnjPTTH=R zA=78<zRJq<ZOc75GM~y5T4^8Stg)#xq?};!NnuD*qY-{a&C<-b7^#k?w>6?hs|tdb zsNFSCxFU?r@q8>3a2t-AHHGUI*Azs*X@V4M$W9l)G|^=bt*#d#inn7ef!pbE1*_f; zstZK|Z@$g4i{i0P0tm7ti;u<dw@-ODb!1`>k3{jvUqn%VKD~v2@!S2!of<7NRT{`8 zp>iiop%Mw{VLC8gr5kUbjr1u*^_<4f-i_zjXC+TlI$dk{DcfCZ#5IMPnRyNE8a$RV z+Q?qGBd|1^K$*WIFv33*-r6;YF*EadAkQDjv;UAH52W7%iIM|T5~4mRMIXq32a*U% zT8!)(_%qbqK7$V6F>7)<FhVnDn`ytavB@;fya2-&7mzJXHUT!2p?3G5>D4InN*<N* zQ3Etql`o?W`OmuVzq4YQcWb`rvs934s8}}Pn%Tz3W+4P7Sol$LTFOWDAV_cf-L>xd zAn-(=rG#t)0fH>pZBgZ!lPMXqH0X{f;a3S-b&XcuKGU_4?J*ZC-!%Y_65wdNL4O$N zFjX2qD@M)M9WJrGuhTQ1ZMIVbpV3`|>WedWl>aqCmu8!9R(lBoqU+Mgcd?ddo99-0 zoj-Z4cvw~7e6qj)_?CV0_AqQae>e@N>9$v>QTIm|W6=+(YXRWq{N$q^MS4vd>FyeE zGdJ4n>;Mzm2R;Z3VM0o*2ch|InDE7agv3Co&FNe?b+?vgFcGWy=fi&w$(n@y%`<ja zFdpYwe6^A1SbQrmUvaN};>;-;%<K5d?_t600M`>{Dl;z{rL7{3bDE1S%GZjSPxepQ z6Aah8F)&<HV8c}z4A*QhTtC5vtL;xPTvfqvb%PC8H85O@!ElWmgbmjMFkCgja77?} zr!le(ngvE=y#4(Vks$$V{cVBbLbP63v{jYwBh0m)ddq8#44F>V^4n*IOd9J7;HMR+ z^1TEjaj@F=62l>rz5ezYeKFgK7pNCXcvUvryhX06EU0(fx~tMZb!N#~;f)?;Q|~sZ z@r<Wt*UhS{N~q=&xjG!_$M2v07=PH{k=ImpJN_*>D`L>Fa+v*~5@{=7VY}F>-0p$> zvhrQvY-Gwun^`gIYph7p3G2N4gspM`s~*bwz=<;w@|VB5lvJLL8@P_eH#(yf?y|qK z(zMUaRsUJMm&fe6g{?iS;V@Q`CazGZDl)IEayCLy;bJkX+%8pRZT0=?U*wGV+d_&N zm$8zV-4yM7;qdI-6FW+6E7=xc>ngu7rtW$kHV_wIL9Z<>F7jHQjak?7n8f%<XInm8 z&0wA}jQRCDyo|SaT)~}IrEA9>%i$B7hxm7-`s8h{^|LGBoEJe5awXq7|L%;|M^;~p z5}~t75b3j~y9HBX8A(jmchP9JHyNSM+N7Vq??`3j2_@0`7^W$A@UvrezS~vL$=hi` z`fN+Ypwz+t0w7o#0QmzD4Jg6|5C-~psxB75>zgM`#;Ga6#>~&~m{|mP{EcTT(eO(L z6cj!vTSY@FIe<#x&`RHejq_V$Wt69f(eP)B6v5VAz}Ar!XeCWU7?tSt(pnzxoN&|k zUXktc;m4SmIXGdPD^Dz2yv+=yukC~%%&?G2Jbg98#Y8J>_p#*(6KSleUgR0lXPMYp z@`IbOU=ywMY$0&j|F0B^pm!FH*2Jd8+jJluhaXIXO8IBX8G+QLC63W5wo~yooL<2$ zgk12k?ADE9smeXAY}_JEm!d>0f0xS6ShLK?U$;M*PyFfK)+Jt-{aPJX6qb&iJM?2z z1Wn|p+@*J-@20?UTY!--NGLxpNc_#?670mj#UNMk2_ffw%m?+$b&nt&H&63JK_ZgB zUS+4Hxn=mT%O9gBG1&vV_yW7^4&2EUA19zvfzHS)Ak_~ce+i@wilvTsKsrvt2$dG7 z>_kJQPJhIn_|v%!NVJ!hjK25)-Bq)!WzC6y7T$_gGas|HY&T_M%ixNuY&i43UJ$G6 zcsQW(nW8b}@&}J6Vv~QUJ+SIc(S1=lTwLWe6j~dkp))b|IqZv1<@Z1-LDq(uvRrj} zos$Aq3T|W5<?+OqmBz7I2DY0Sk5Mp+tA6DZsNmgNy+Mf})^MvdRVdVy)@q&^5u@`V z(6`l6c{U+30duSH;MO_(w18EpVl0-4kuqEx50TGI)+sF~=jkwitXJjt<{XFbHkIG+ z3!QU2wHbP?dRwC7kvgq<gN;g&d&*{xCeH0f#uK4E+KZSO`>wj?RRg2Ll<}V(eRREg z2hI#z16$E&-j!%G+}q`zPbv~8CHV2+3oD+94VOLrJl%+2=)(72boLAjLECblS`0#Z zv9o|wII@FMQ8<rd@jX~X4B~MFgPH*p2p~#fG04mg42lI1Gk~fD#UT6}Fvt`@gV+|| z6$Qi~Da$bE6@Z)pw9Y36xtfJR7yu#zkk2bIi1q{wT0pMoSVFEb4u>o~8k4V=XItt2 zE1zv{L!jegA269?ZqljKQJ~CSMa^2Dv@*`7AMRG06Gl}0)D2!?wCFXk^1>!Ffu%Oe z#tf`qVM+18(i<(p23AR!MhLJ>N7+t3yVX{N5qSX1cC=_3ST$jjHo$ToWorgjLs*gl zu)If$a?stn<$n5UG{myBV*G=+L^7?cT`}n6CoDZWQ4rXDa`@5vNwIyF8@xMLKABcN z{;<^;7A|jGRm@B2$Awa}aF$%mWLiQ1j>p2~i7Se676tGmoEBF;sn+n5VJlTE+?R2= zF_nA(9)*+TVkXrx1u#AqE_Ym7%qb^)p<APT7S6{ChL?2D3~03@Qs9kq$IG@M{rOb1 zcG98q-w6xcK1~G;KQ=xmqo6snFj;F}RsZsmg6d4OmG>|FA35KyLTYtm9zpdXYd5&E zvc(V2LK8Hxq6nNwDgA!^b)B0DI)&Z8>)-)zmxe&11g<rzllFNSHwxM(LpBO}A*WH> z<Ci#$klxd^`t4K{wXJa~0Rt~xJ>M9?K>E_^o2$#}5ca!?h1>n8q<iw3+u60_W=b{# zs%DRCtNn2dUQ4HPkLneJLOJn;lTSGvanbGe`c3@8nv{FtdoJBnd+ffi=6tV{c>J72 z%0f4;ue$`zUf`AA-|RYaulKta8#MF#T$^3CA1==#2D~M9@jCcs63D$(&x_L4%c~|V z?0YEnEo{*Dz(|lzIv|ojECt0f4ZKx)efRJ<$zEU3*Yjd)?Pylx`mgh8)7s>oSbc!t z87~_W$4vx#Y#Zl|ldJt{+5NegP6Sc2i1#bcDV-Ptb{vB}it6gY`CUZUeX1P_@*n4e z!F#^PE7v=BG~7kbucjQQ*?Q6&HP{PI7U`?c&4vqB+wFg9pPU}89ox%d*Amv$ZLDwY zY_$#AYVa2G^crj=ln5X1I^GpGV&459cR9rtXR|xYr6YQ-!BRNKOE%6QN*!+xugp$; z+?(q3o9lJcZaz9z-Z)f!3-N8dyfCUf?r-f4Ty1Y8zF(R=-KrAlxh;tDa6dTfT!vI* zspFU*uXayc{qWy^X}R%tXJsQ5Wn;U4Ysbvnxz_RG=+xC#x2AYmGa<PerOQBMu|Ru0 z$)MS)e54Sq=4O&=plIQK*oeROVs*L`++XzlcDuYhun>N~b{D=ex6+;6RY3&O&rEyu z$pT0(-aqdi%G*Oo^<ML6wy#6k^fxb)yLR7CbRJO`LD3=}C|hsaV-IgGje2*^eiVd{ zZ?aF`n|t4-GmqSVOw29AAsAzyYd*odIW>}!BfL2N)mbWhKk1v^PmX$q_m@M)>aX|9 zwW}z##75tJgXC&5fx!YEgOrOwN95B?G!|J~kGydFEv_f;Vy8}ITvc-3D#*whkJ5R^ z(|Q|=%i%#NH&^jD-#^glIWknq(Xk!5(pTJ)b=D7bIsRtbr+9iEp%IeDG$_t?0dgwO z{1Pw{u&k9cQ(k;8LnBbIMgO&H5J=hOOIG`V?-2a(8yBxl_o@fAh<kBv<mO%lbGz?3 z5mq65N}@u2e$0Pd&m(}VY391_y6Z|^(s$UmKXW8%n7o<1Sh?-r!nw%RY`u!;Z#rS_ zGr53*&^tGHQ?!eN#KR@(y64AHBj2$u_4wH@<2mGhTh81AF=AZ?6D~;f@ZZlZrtf&l zWl0o@P<0v1xFBC)&_|x~?gz+%3z7kYVnHoZSS>3qNZ#;Yxt3HeHORDT1cL?=+y3+{ z?8{z9`%sXYt?;H2w?ZN6jBH4@rIqB6B0s%?%Ci8M5hKeK?Z*{y*b1X#pEFXO+){GY zk#=)lk8xMxSr9eEnTfvv)e;Mmv22ZA=*aWGQ&52*+g?vDX;xn6x^k)Ritte)|D6AK za7+24N)}z;L!Z1MK6gMmKf>c3J`0x^&x=+eT!aKZYDnx7GOB;iPLzU_!+D_STJ|VI zUd^dk#!*oN8q1z1ZeHK%)EFYKP`lD>Gje6SFIwYcocqEv_iyVrM@<DGg<n^<mI<rn z;x>+qo^8~4iE1YMOri4^QPF7zJ-t0i>OWP`83Q-NKWQYK+@=p#Cr~x~y1x`?UQtaH zX5RrvjOgq|i5A)l^7Yn^dD%9LZs=^0NoR0*5OafD<z%y<dw39MZ{1#xtINl;C&MK7 zqxK;M9JPVlCbcz^X|mMCUOd|&8cmHGp1vejkK<0<*UIy0^)ER}ya-2-2$M)LpKt$~ zN_p8(&WWt9$@>gdf@r>Y@p(p-SsknR$Y1x)iUj8dV{y%D8+hpw^fzFz4-ov#{S~s< zycu0pK}F@|cwv?IBt_6K$yH~*qgNo*rB@CqYrYIWB8y;R$X8#ldc6GVP-lK@qCZ>1 zJ}2M4-k4UYTKC!GJe{K0b|rW3U)yuVj6~axmU^pBhF=y%e3yBwPoAc$PXD5ejGO+Y zI3V(lv$)AqCptm^Y1w|XeA9-d-Jg5s1hRPc<DYgf)m#k8$e6ped)KTA$FE<t4Xg9a z#Of3J3y16YnA<MrxrUmTf75MqNmFmzOYB_D(Sx1q$w1B7`J@1&`Lil~Tvn<NoN^jk zyEA9Gz;M0hxePeZ@v}(wn?Fs&$K6eI1x9rP%_E6kqS7f7%!Md~tob7s7?W*iQQb%a zWeBD!Fc-oRveu3nc~}Fhvw%KvItOzh3?abuotX-!8yz~TOZ~NyXvyq+Fhaom9veRH zjtg(5pJ8=9$|J=3HC85S%_1Y764R$Py<|$iTdI7qA|wpz5wk|y^L!x{j!a8s$KW)P z{yqQL$U^7)r#IM039hRON=6%qV)I)$^Tew9RPR&kU)46nOmHhAOJ1zFhXtC^#ngZE zdM<G8R;?1{%75%OLwWBx<9oGFJ^!|{miNqc`0Q>l5QTuj@_1rlW|B43X~c(ZW{OUf zad$OKK?uQV<c@WwQPNLwrdqPT3Ux^Ky3(SW5T4&Mop5)A7StNdn%6?I;HkF|M}NyE zu2d^Hosk&NC|qn2$LOa0f!OMLGP-d3SCI(!@87ro7RcR1A|iI3GwfHvdwi`ex8|m& z<gj%eq%w}Oo`g$JD3d%V_=f$t)Z*<L_jW%1j??WWn*a+V*->V!g0F^Y=su%P=6;ow zhzO;PVO)oMP}6D=I+AmNHv#IVy1+Bsk-{@|>KvWjB2k&@83X@lyUKP1nt7|JN8)vB zY#71+370b;f0!a!Ur%Oq$qK8k_}*4M&hchEct*k(!!Da9kSef%20c3JxVX>D1za15 zkdW%<`>Cm?cK49sTkTv0P@zXrOthr$cJ4437uUwjZ*LCX$RRltbQWBEHUnAXxEDb< zZA(Yv^gJ|yyg?Zb$?@~2MY#BTiPgZUZeT|Ws$YwsVVYyz#}r%URIcAHq@kX(-NzDJ zK1yp;7+>lKTv$jZM!`&Cbx4K<BSmEJIjoEg<_(3~iNUV0G9|bgR;C4?!^*5+-Y{rS zeS;*~JKh&uRr1x=J^c^U%vo`3snt~`-uY@ZP686X+a`(dwTNtL)H|}%941w>02|`~ zPiiC<M^T=qtym!7O;bU>EbXEA_elRxQ2MY90-m1x6V<tMYNh1u(tH#<kKjzuIjy9R zGV$)Wt5tp?qU#Dt3FpPo53aSV4}7@vE8)B?A#l<akpl6;<0>=upxl%7$ou(r)lp#5 zX{o(0{pN9--81kO94bUe0<~Sqbcozdkie}{_u=iGQa8h`lI+FhtdEQB8rikDWUMd< zU|_X+D&)jL@syU8cY*$<sRTOZ!LI7RThO=HQNW(vZ}Vd06(-YBjmgAr5R9r%9DYVK znlF1q-pcZPbLaKb!*hH79M;|J^dB;mExq-Hb}MTX67{A}5r*xwK1&UwTQl7%g<U@c zspWlD`u{0N=~g{*%`5uA&+$7;$04<8aoJL==y5~6UX96<y%x$jv8HsZH<r5aK|BfV zvq7;H?yoKNZd7qMbGFllT=3v~v}I>w87mSb{1oeE&{FqagK`Khbq8AhMoZm-mJMjB z|Da_Vn%>kWxc;iNuhDG+Z>jTi(`=O9^gLPoXbc8bS2xGlSuu^y>*nTl-xJ&V#-xe$ z$n$y1C~}E!IRpLu6!!I<C7hm)UG;V^e)TQS9p>slqu`ve=4Do{01rRz#O_{ACkTY? zticH+X#xxO;YR&}gL)83OxAQ+E@&KF8Q3V|oei+KWXe@&T57DK)@CqtZ5$_*s9#I1 zqMl*3uXr?k)LF|z5_mox0Qy@$p+s-aPLb=hF$jj;z^Fd}A@F=Ez=Dy|l&!#2XTb;~ zAl0dYWWf+xc91L>K+7?b1$}7wmt;W?TAq+B=t4^*(gmGx|M{Z{ZQSRR9Ar~vcvWi= zmG2E_n}dV1HoH&nq|fbQJo#{Kp9wiElki~+gRcB~wg+3+=^>7w+^bI+W-i$%g+3J_ zOk{^+k^BA+A;atTZEL5zYsJ-ux8{r!*}#wQ%{~yOQEsKhtEBUrkeE2)*uU-=&gNXE zEG4rkEGqu<6S~RhJ5>z5s)p4sb#f8v3pY6lrfmC@@>}ez+vFd)cygKX*XTevM_cD- zz9nPtO)&I3IaKpl=LXhST5?g$74}0mm+Wj;<WXF$xy+$e`Bhry7v_?&*{Jx-@<}<| zp*5#LO<RTZ%^^GMJNXb7ohKIj6|*T~qnYy)_$k=CRqI1e7S%7qa>eT_wYVv?i_CZ` zf;w%ik1fP2K)M$=PIZIEb)s>O#&!NNZ$^FL5P|z6zFe#zRen{1OTz_7HJ)%Lp5~Vx zW$7oa3#70YC=;e|6im<cf6-D{XZz7-*FL~`v|5eE<;``{{s%pr-gyCi{?LmAoFqq0 zi6?dk5{)#g1+;>V=J#}=pb89(hl0ugJQE}dO(e6(c1jp8vK@@|x2P7BMFwX_auSdR zsF;AxG8hd7#h~B~F#t#36VKQVM*3S^I%FyIE_J^Er(f(cOA<j|P-Sn77=%}@_aNLV ze(^V~7GOsPvA6;XmK#IC&qjvTf{e%kYoum~I_hmzf^<B{Rkzj_Om!ZAExGV_eVnKq zy+u&66xfm21nn0n@3m6+sY0s8<!b(kD!Gd+AQ4mv<EpNE*}TN#5vEge5Bid@r)a+0 zqc}6a`E5Q`aXK{^EJ-eXjy*>M#xs{?!H@;l<wlHNq3l*ea)p{jMKXC{&l|<(6k0)) zEcjr})=~>^;r=bh?yAi}SFOyqJl$1lASP<Lc~?^2`nkml7EihgV&Q<D5EKME@#GNf z#6U+N*fRnss0#(-VBl{63Q<J0%^!IQq9>iN*m5Gj{gdtB{&s=E<lu`Sx@)h>5jbZI zc4s?O3o=9N{eps{Ft9h!p<3ugRNERN8;@F``MPqAPFjlevYo3PrDXklw>$o>i}{9f z#cXPt^st?)4-`c6fP(+TDs<Aaq=!GgEYD<pZl;r#LnS$T_wtqIt-qblX|vC46{+&l zBMTEx)BmkYRoB}(V?JZwa<&{;??nc01whJv&i{VmFmofI+}HIqb)$vV2RG*CAB2M} z>n5K^+*Qzk$A!%;mf!x<o?9Jed)@prCx}Umy&wroeFja+enP=y7}x^^83E{-f+^8^ zTdrK!V>MaD*ewbgN{2XX=l&TJ#32$+ke4z3fr3;}&;trizrftBV(b#F@ZuiMH9eRk z4o!?gp02=5BL!7l%`m~4JnRKXx;8pROJ-9E5y9zP9SX|Azz&WQoisee){j`_{;bc8 zbkcCi5W!Euji=!H9?J{oP&o4SEIN*^i&WLCr?3=k*#FG{s;d4+<IO*2Nx|rk%mc-r z^H;~eWli|T1o5)Uzg%sdR&zdH>vx8HB=GTe{ODdabGg2DHxOtrSuoqb)s@;i-rqUn z-G2n;(aUTXzKxpcc8WYy^ZV%olf5{Q)9cgztI6@xB!hPqwkhCwFRYx+djiGL+hK++ z{kI7(c{R+zf9CnTP^?B-2%WU|P9G)vx?eU|p%#hggNKpX2Q?<QU6Xp_4D5;$sRj~{ z(`rgp(Gq40`UlZ`u8_~?to7^G(0Zw`&lm5$dxwNy%Jdz&+GCgHP0I}7e9jPgX8f(? zAo_(=$hDv`4_;<>0PkX>&+XR5F64WGwp+aK3Itpl0T(oKBYc>?+$~=mu;%}u!;v(Y zm>g^t@;a=p3cEb->h(RVqVVkf+)=RlQ;W8iqoti!=1q0o()dCFQBL6|&LNffE-Hyz z&+O_3`(lIJ-Pyr-?^<>7nI&X`=)~i4z4IKUtBVyZsRkBn^$gqB7&*0b{Mf|r<?W_l z>9<WaS#V6H$djbD;|%%JHSTPWS4>eFw3K>5=K84b7JA9#h3KmVorLy#?;%?Ko4b=` zxc$jq=#`K!9x7{v|Cp~)JhiF@kYh!>YLgaj9sb#AJjXtp3n%>hK7FX4QrPF><d6-E z&R00kB=<$(egDhBNnV^J0fU=cs(ZSdTanbb%Vv%3-f=$nq9jjtr#&$-tE|1J7b(}2 z4F-)Og+m>;GYO-~{Y~=k=NDHDU+=trz0-$x(}%mQTgP)2Msrq5a~4B0k-C)~;rtA% zvb4y6Aw1$mv#y5XkDo8v@=n6Y-UuDE25Z_XpAA+bS#SG?k53>H6%?Ydsq5hA{;Hy^ z(X<tED4p?GG9LCQf!`Lpn7Ik#+#$p)xC}bb(JQ0_+J=?r4efwK?aALOl|>Pq)_P>& zlXX0V#m=_R^|xm<8zw4CkF<&2o1FUHDBSIo`EVKEn$b<{J710MpP$ub{zhI|>A;e( z_>lHZ??w=b;pW<KtGjWe%MNx`rIm5A8kLIDj!0PKuU@%kJ=LRn<>04i2#-6Ldp<}U zN@2#}wBe4M*G16&wEu(~M2#E69koUFLc)!5yvrm4{^$PdgHObmksQ8T*VM1v_GF$V z=SDLB{8|xg$?`SJN46j-*M*rSslv|kC!uP&6`eqe(2f*I20f|-cgpJ>;GH(=cQ|hS zV{s$`=rV!QnlI!6s3lfeojj-lP^>cFhjRFq5T^VVb;t@Ke@$1KqDMnaxC|K?bCq;X zns8At)fhX~nTC9>rZMzF4VJvf<t~iO8jh*rOJP8<`fxE}>pNgEetYcsbhERH?BZ$s z*X^&l8;Mun*%A9jKjfiW`r}j|xV?^iu9x(R=7ma3ONgCYLr}-JxUbe=B)y=gw5KUu zq9<g*yD%h-s*avoqjyoGKTv)N@WaqJw;Wo3oc%*LjIge69q$RyyuQVB>BtB!)2HRh zEFq?Wm$<DkGajl+B2c-n9TMUVz6vvE!8@W^Y61!^hH0(@v%!cIAzmvNwi%Z3G%__$ zJC$86hFPuzyTLDYLgkxh&!6li%hj4ot))%JdnU@B%YUEe%61vFnGx#k@XUR;pRC+y z&U2b(dL*Q>dES?|$OZ8k<b+Sp$M-T*JxEq)HBbIDs7fl#Wp&Y)yId~cVa`I3PNMdW zbxYE+kBcC^KvlO?CZG#qVZtJ;kzZpxjTFT@{72QR=-r3x&{=M;G|LO!@5@qX)yx-y zDhIW4tq}-zqx<f;i;f9CWNWjz3&-+}8!V?hpQ{z>H<Dcj)n;tt?I0fqxz^GO;%AxW zc;?g0>x48mtCXv3e>VP6^~_YUB}0Rlv2*t8=W)+6O<Q%|Ee#aUU$#+czbUT7|LaK2 z#+HoufoO>jH%i8U<9!W{Kr9LFTX^qm%D^Vg-wqg$tXc&hjrqG{UWt>mJLB7V$Cz<B z-eqN}UcJ(0xqVcjiY#-LT+6T!O;@3djAvN;g?h}sGORk9`YBg5+#55v%=BdI6;^tg z4eDsPYvjkD>KunUbY!9j#HiuVuxL@8H!`4=Qv*d2cE}`fw+6(X*wcuCchVqD@rF{5 zr3NxAhzB@fBcPSo<BH`8peQs1A#IW);TbMc2PnB>r?_FDjqst;w={_%Wu6&A+0<l= z=R?W0sO@>vTgkODbVRlZR@>(5DQ-_9<g130YvEpta7}iABhVHx&?iYOwWdDUCrO&@ zl+ES%I9R!UoXasriecejpYA6kRr}LE-JF<iUVbhjBjQsXAub|I6NE^Z>{0qO{x|TA zlm%;Yu<zv|18H*TgNsh!!XFtlgZrTwE3js$d~h;jYvcG?<6*`&@<){%@El)g?M5Ad zZ`nNVIi_WvM?b)}KRq0Cdl-B&%e2UInhS#)BUWrj?O<@lEYm#C+5=o0(Plep3xl0z znPz#$0ql<iY$Z*}St;648?f074!J7rQUSB|o65uhFYHf)eU}&q<e|1^McBM1(wvA{ z$;lYRC!HhQTtrMt7`ZSjXoQo?bt}rXsK-Qr9V{0lIT8|sx9J=Bh%;ljsgGYf{c#RA z@`a`mCR_!3S)_U^4%HWVM$OxoH<DKzN3`u5jHN^*OL>eM5C?DlXblon!(vX_p{8Dj zInfMTbp-fdYG|lqaX%Gm{6%LA!VNgaafi+}QydWetE7og4R32hMQ78k+pv&k7Idlo zPS<D=Yz>OgcyTQ}Kl;N>M1`YP+}1!{?RGvw(4=Cc9XeZviBX{9Y9RJ~5bFT(JS{?@ zq#f|}r^3<@ZXiVplZSO;AXy4Mnb>+vQ^;uMlMa&uAF}xyMC4Nw%O`f3EssdPF-H*F zeEyZn7=d3yA6TCAI8Y(3(lI9nfymS$nA^A{zs$vl``uC*=0GHHr#CSWA%(8{wKaJ- zv^BSnD~>bHa#%(zyMIe|m|;%bKb-3o9qEgyF)aZqQl{w5AyN2mSit|*uT%`+pFfZ* z6ZlW9JkE$g&@gu(<Tfk`E0=|I<6F>Y44Xl?A@RxbzETLJrd4R=vPs=z<^VQ@Jcp<z z%X<P?ttzZcHm*A!3Y$RaA^6Git^k&-LMxMv>Q;rq?;+%nv9I!u$Ouc%&HW7V-#>vb z>RiGEU*n7m@itUs@7`e;2qL!T#@^UFA-8cSs7h~h1q_HV<;EhwoqATtKb^l4k5+to zX?+oXV)R$?YH=wX(_u_SR-s0i{Z9cVQi%r1V~a)8CwA0Bb*rLqTwU<~9xVCL12+GG z+An!ERCGHM0*>wk1q#AfzDU%Hy_)|YNqEi#d}2QpS@0`{SE|Uyh%@{qeX0KT>C0t8 zblu3-{2V_qp@cE$VHQLF5lf2<p|u9ZBew1()ps3+qb9qm?{ZosNxsQ_Cbs$cD^>0@ ze$Dehn7bsXI|L##2Nq`I66WK+oIVp4=66FD<<PyWR$5xYRD3Fl$>Ths`1BDvpp@WX z{`q14iw0o+Rm@@j$AN!zVw)A45i`(SG>eL9hJFT92H@XMD%rNpX2cW*J54k6GN?Vk z3AR}_BPKAIdzzt}LGl5Pu??^pc@Kk6rWm>yUIN%3?o|RlHF4*ZgrD^b=+~(n&4P|e zUWkgwqu~M3nm4RohnSx*vbk{gc=-{Rhx4}IeVH&vO7%TBXg&W2pJ)B<3r`DUa8EnR zZ9Gawk@Slhxb!Jz94aRjO20b7P77S0i+FuOnX0tzY@(RY602ScSwtOb%>FrF`&SQx z$q!GNX=n<Sm{D)OAAyjpQGkaxVwK>h)VBrB)o2>k!0br~Xc2e@&d_bn8o=C>Lr2&z z0sj{nZPHX$MyCEbGit+V+bS!)ZuL_dn%$}#>VrrOkN`O7C4Kr-u^kJ<>@cwbRQv`V zfmaV^8Ud3{9vz`tA8HO>K7HO1=fjMdZMHh<!yKVoq4u-z>*XpmJlljb-s2H}o))3B z&x%2-c0=F@GE%kkLg)A%BU|l;2dptWFH&HIRlCtbIeRY_V0Bl!aY8wJFMeR5)wqd6 zIq13m_M0JC^Jw|AmKfAySdE)8l=<Lg#gVzZf&7GYrMneBSh@3Xvo&5ScVz{w4Q4U7 z!7SM}q+sO^ThhG^G!;A+EMB=9;852{PW=0l6nyn&bvnDeY~DlsKXVLbMoaJ@>zvJ< z_gUb0nmJ;Z?KB%dJ4lgBui9gaZwIlvzHE>GBv&0#W~*W=67n?~_bn|0)2}RBx){qU zH`*6sM)5Y4#?aN5&bsVIWO2cBmNe;)4#9Jlq0r|nkyNjUbh>mnpC+TCaS!p58U8b{ zr6|t%0Pc*8{!C+1WV2c*#F1YpY({9F^^;rlnmA09mtC;U@Nb%hd%fs40e;;vOBB+) zQEZNrmxYnM!x{PlL!yl?ewoFV&JD4@ujY6&E3ov^ty!k81oMry9cw()lrZO~a0>^5 za`e@fhUL~Mi+#grv~kiVa?u5~6MlAW*Z&gM#7UjVK<C%?{`q5D;3w2PQ-1c(0;STU zuE}#N@N~#>up%JR<xK&gzr$#0K$}+p`WcL7htgtDIs--v0lKT)qi%us(oo20X7yJ_ z_I&@=rbqE#;4Ds7|A!o@LZu%sNS;-La#QHl3iF^#@qSLjRZ-O&smWq_UMe|mKSjjH z?U9K(eDy`<OH5!?lO&2LJ0T4iF4}Y`RHstde)=5Z74X89(%8aVQRHxq7N0B-y{ux$ zy~gPH;5?R5hmkMF82B#o-hPBTS$Uj=b&#+2@j$uwFWkR1Itj8RmHNL+**@LyLl`ol z6K&1>*VD3pUbE1Qzf1^L{g5B3N+hNBNgBh^KZtpAl%00in)IrlDCS>~^3{zkOLJ&P z8`gBpKc*AO9HhV|{;j6ac%=+&bnnN^tr^9!b?mg`!}kq!>#uvppDM)sYKz6wx(&w2 zd7Z6Mg<Cinn#0Y1VPWJ1cQVcl8ZsLDZz=oHF#M3lcU)V)w|c38QFZ(%q6QJ-6?k`M zr*__J_0kozK?Nyw__T3b_-w5&S!hQ!elozTOwg-6dnabCA(2&VsDQx3QjsFbVxbT& zbCOUf+aeXDUMusc(D`v#)5=RUrhMJv`(1O%{KAiu+@}MbPb&xX{I<VI=dkC#n2V;6 zwLQiks#|~YFWelnS}CKlPepY5YgYz);tO4A8ZUtaTd7kbg72JOIEnE+2Yi|(&}6hf zPoaTE+M*VdzU3o{Sl*%)G@+C;qlQoHgA;Eif#s&GzSQtl*N;DWq?AIlPee<9q*t+o zVtHLL5D<_!zk#LdRxJU|T??fHtpNQKO2@<K3P8WN2DAx`c8mq|Gq5QEOlba@oC>yl z*^Mf`+X~H2`QMUgW^g5d)UtdWqD=P?kruzqKr_&?2s<e(juVJ71e%=I4EN`OYPGOx z@^ueZ{e#u;U^PBiO%GP{gVpk2wVHzj5^Mo0A$XfNo97`R1vX~(=K|hrWKt(Cs|<fT zsR)iQEJgZVWR@l@k^f~4|Hs05$Vlr*UdAd})+o2xqAT)dOX0CR;zh4fXx=m%lM(;E zD0Nwt&pZExR5ovHmT7@!Hy3OJEy0EC`)uwU*am&(JG*R$Stkf^POn;L8*dA-8tu>X zM*?0mN<LRbNaRlcGaK`3gU&_vX1<WJRnh+~r=B(&b9j`7^1@Eac7-)LR{m2SPqq~C zm4@}~7Y{O*sqYw+ZIb=<Sl7s#&_BbjWJ;TmLH>nU=I(kd?jctYvdD(`7bF7tcSRAM zXW)aIa>t=j$r?nZ$%m+<F`{V>QMOkDAJCra3o})e&Y=jb;VoU6ErKSJaS{D{yw??! zf`tlzI(PF<8b{gA93}l^FXjAp(pA;Fgpz+Zdj+CAKYBW^LiH;|(K8oX(aHPA7_{OY z6YwFVw@7f>>hZ6F=<|b26ejb0kTrEfD^fDTD()wEl~8(cb{9;2*-EpIQ^jy&%STsu zDf?4fd0sfjiR)*5&Id`mw*=lH;LjB{1!<$wCkt;W`rm(Ar5CdhGl;^Wqp}c0AP+ha zfrz0IXa*XAq(KDYhD9J55P@Pq1ag2ypg0hL7(oO&ghe105P>v61UiC6AUzO)nn475 zL@!~zya7?Z1<|IYKku$B@AH9$5nBnz)7wa3rUVNwe%WIGGO}SmT18R`ojhb^r}XE+ zgIJTolZ}k7`sO7>84=k#f!kK9(b@B0D*8wS{NQ?;?`Y?J#4AMkxjjrVppjE9J1~k+ z3Ph7sXiHhcu!Xg8Dd4=-(4o<B9JH;#5riQoiD%1QSkAv5qRct^$QJ%Nde;B9IOAj^ zbqYdEq&)~3OZ?`uU$jHW%INZKADZt5YkozdCD;qhD`U&)FCKO4PeOmBT(*yzTpi%2 z2|00$#f7}k>`liPLm_|WdpA9!K@>RNG8?er_x}5UkdA;QvGI5b7z3@pwLhF&?0zx| zRcp8FY9qI?q3;vY7C<J4(l0nr$jIOwqb4G6gEe37xa{<{{+4Q*%dULiM5B&XPjURQ zr1UX6kZamQ<v&m;Y;0VHgtV<^UjHI>5qSB}@I6=H-mvz@6X318BBLE^0KF~*k~0GL zP4;O~0%86b%bJ<<EsdVV7CW<UBu2Jy*R51)q;$5A?+Y+x8{2ieSQ0pa8?%2QRj_0~ z@YYUos+9ST^p4h>*gfWs_ktFY{4|F7f5_@dr$ph(OW^&l^5UWMuF5ihI5RUkgu--N zFtO5BAwxB+;-MYlpM{atfOG(47mUmXq&hF{P~AL?1nU`^c>r=BMjiw543PXwFtQbp zp8!b&ov($`U}K8DA%8Jdq3MYymlGXUDitx_mBIax)pIE!AfG;FQm6?+ATl|)i32mQ zKQp6mVQKv|BW%LPm@a{?8{g{q7Nq<v)^CNvpn1J{ngn#lmga`X>|lgEC=f<$(<8{m z%*D4u4^8Z^^5Pz*Y;FUx9flxxXJ+(A;QLFj1P3lA!RBmBzzu)k1_ZbPdH*TcL~3if z0s=DR6$ISqu)+K9)IKCd;=d<t{tO_^PqHnud1w0Zt1NwnvMGcK!ktWR0;>Nfw-5OW zs{d!!1`n+sW&6?Q-TRMjvea;CdU*ep+`HMyK1|z+FRhXz%qqWJkI}h@A6SvxC(E9a zVYX`DIgP;Uv#zEW`Z&^PVtu<{bgTU0SvA9dsrk}oC1q)xb8pzH{qOs6@Tg>fMsJOD zfo*J*S~~+a8W4eN;?lzESda@^sHkEF4<-tJ5YfRzC=a6DD5z)v<v}C_6)9pbfyEWt z72a9G4dmcW%7v?1C2$(Bu7aM3t;4$No2}SYp`9BErX9`#pT{}j{jONG)qCeW(ji5O zhm!|Ho9%zXPnuhy*9|m!xtFgRsEavqTl7sOGQ5+Z7YeKm5#jsVkdd~=Ibo(LF#DvC zv_)n=k)c-P^5C}E*h*wLSHVboKrR6?3Pwut;<o&<17tmnbO+=KAQxfeIv{uK0oej0 z0|ALDjik*!2i)SmCMWhwd}Ul>S?W?L|DQfsV44ChjInhsSta|4HEQQVXMzEkMTww) zeEy}!`h?j*7FnYg^bdsz0OHp$1s0gXx*!12brW01IYIYKss!L8wm#tGzb+b#bH)Tn zLB{{%e&B%_m>K8vN6=z@!sG|@!f(y!M*9fNEn&KWnfx>v{{pTWaJTv4s)1FqjA(X0 zk0lQ?7Z9OY#7{HyG3YS>EqnyqtjTmvk4dHce|NxNiD5|GDK>E?akOz@^L|nflglz~ z2E3tvr3#S>R`Tk-NC;qKaIH+g84z^CLF?fI6h2qVd3l%fDZC{;PSU<uAweaQGN+z{ zUOdQFp8QT+IEc!BzqA~<Ka8j}nL`ZDRG0}NI9JR#qIyxrAmW#*PzwLc6@+tU{XG2s zp!tTPHdD^V@zkiY_Oj-9a&4htHE?aYx7c7;FmFWLplSB9?dcBoSOb53oo1Sz-ktV* z-OO{}RE<Zw$-Xvs#rKD|SCeta1*w<q)2kwV%`$K?8@sn_hWoy(2IPAb4PK5VUf0!= zD7){A|L1!=_y2sBP`};Z6#HOC9>Ug@Ne4G$PGe)z2|Jq;w{ePjH8z^R&EGJ0XWl#9 zAKh*p-B=y}@GWGYNV>mjpC0%0J?*@5axA;N_;HGIe{8C@a5om`BV*#&*i?5jdV|At z@40VP&aZ!4cSe*Rg#VUp@%H19-RY10`?C8s1NU;DMctdTgJP6x@Y?qOJZpRQ!`HXr z#{6{q|9sRoT%^C?js3_QoPswYiw!4h=8N|q@4af8Z<ZbIcMa~i9clJ@j&E;Q-E5T& zW_|C@_?pkw>nDp1D4M;?Z@}HA-PUxoo1??l<J+4paN8;}$)1IL(4fImRPeslAX0QI zyo-XU-fpLEe{g5!;qDUZqkrJZS`^=ubE2Btg4teINZj%G@^<_2_*(x}*Xh<xNL;_E z+EUSM?D+@hc}RzWLBox;nyvnS7WkR@3>mNgN${0DP0(Y`Dfi~S_Wtt773b&3Nt4IK z08v)~RRP0yD-D+g{oRIJ%gXZVx|%8X)71fkiG3e!y~Ujd&D*-Vi?(W99P!e{&3vRg zW}JbaZj*SYa}~!+wD^XugV5JnhZa3O-VN92-N%VstZDGPoUis3er3l+GZwkF%Fn1t zs2a3?ueV1P>sRbk=h1w%)$XA-9#VWpkx+mWd7+`Ubg!2>uj_kyx_k#IZt}TXH)L1M zghWxPyB~oYWxTdO@A*BC_Cvx$MD8y34&%D+-`Wf|-OML7U*E$iy+K66hl7Jdg_F~X zeKTmJz2*e0O7LL-A4hjbCsSJk6H`Y^b2|egXD53DhxZ1Y29|aP@9piJOx>K=+)Um- zQ)CTeXX;^>VP(lz9b$dnFVCv%aCYc=7K!74b#&qyc7E;}iSq&Tqbrs&2lpr!2ZvIq zBFbhrI}5Y=P=9X}JG0#LH>@m*L7R&51Kp8pEWeG5%pDrc;ig2*HK?e9qBE2<LXEof z`S?WJk48f|1rjm-w{{zXc7vwEAwd6tfArw<|JZIN3wOu24o+-N?`;tOZ$6H`u#^0R zhlATef`dZ=K1|*_u({dVB*ZJ*Lpbn*(vu8~4l9J>@ybMXzURZmC4`Ae$fpQtA<36Y z*&s1Iy*|wjv`En=IP(}hblu5*#!i{?9$PWz7nAw<h^l%{$eM|fDgB+6Ll4rFUPUd^ zMEv2A{=1yDzoTuK6hy}Cx)2w*hJP|MtB!gab_APOY6d|?;rCxXoUf7kQr6M_+4^z$ zm63WClfbcjwQ}V;wc|mt4)yyfUx{6g=0&WXXTI}~S?<-V#;r1RVQYh8Y*nw#LS<$T zgD2a~@yW5dgDFLN`Kgg^!@c9Rcz;8myc;$T&enF&?Wn01)0PbRM!Y+A*w3#pi_C_z zL+-<RGqxfhy^c5a>{-eazX3^s>DL&uQcHKy!q}bkEXU<@^dEFM-s0}2d>dG=-iq*G zJ~k*?HR?T&Zj^rQ(Bm+tcXEq#yKdWdHas<jXjt2m70|HO==z?Fzkr5ZcH~1zD#fd! zdn|ip3vAI3PqzZSNV~m1?fHt9(^GZLdm@U~8m-Dinm>B|yl3SjIji4q++XrpG0#0$ zNdr9<d8ccSFlfTvOkCeOIDlKxQ;^QnMPD1f<QwGw)s>-LB6X#?$`AC3c}zGsn*WzB z;ppUS%;sWYVw0+&U_T>@+jy<<<)c-OA4k5$lXP0SXY{Hpc#^e+6K90#A6(|AUsBzk z>LI>?^K{sPd{3?hPiHoi&TqCTZIHzu2L&DMZD)+`2O+w}B&%+d5f|iO;AkP2bGEj~ z6Z^l3aS4?F{pSPX>aYd=qoBvLKlWBfd3loWh;g)tv4vf!7~+^vKb4Kxwb*<iuzyY< zH9)W$H2(apCRGj^oR4M20ovRXxVL2*H7NN@4!RW>83p!gwtXB;iC>CMJP6GOvzJGm z*0FJ3%bdz-VF}d;OCEAd;<>(A<@_+PPH`3_L2{`PT_TJYj=`PSp+}t`=P0yjB$O9O zFQ_$yZ{Pin&nksxp5$}wSVgQ;qsUB!D66|VNt~m&;Cv0H-qcmRS`X`wH!4Y`oP_U5 zr7}77YcJy5R9^SrcIe#5ULgJsOQku+c!j=xIpQQ}^ph?!Ay}j(>HVAR_s_E53uJ>Y ztM_CSI;0e{Bowox6gngn5HDpsD~{iG19|X2_7Kj&^XD;v!Mm72*N?<phyT?C;;9ub zxM*J{(YF@nGUCkPV8H)U4DZsT-c?D_ycSXzW01X;R9I%xq+65v?ek+|kiYZ1<Q+Tt z8^tSq`DXI`wEUS6TT!@fj3(~?tFNzsilbS#4estTB)Cg(hv4q+?hxD|xCVEJ!CiwB zEJ*OdU4jiR!2{$??z!)t`?B7DditB`t~Ir5S9R4_y?WLDI!(A#mgS<ww?k%X)8>{9 zd!fYjMc4&tY3*V=^$F2awJnxlHs%{XUEeYV{7a4;Yj0M^7rNbn&b>G@m*Es`{F5)$ z0Q)?oI$&|Cc;>#KWe1aXLymLh!H8}-LfXDO@x8N{B5{LGl=(UeiIO!X_v>STp5M7k zuJCOYZbL3r9{4l09y1&yaOjFPdDq&Qe%z+uIJGiYYA^G~t0wNZbF^Z)%Hr*}|8Mt= zMrPXOg>>6Lu8riMyDy8Yg{hk?lk8`&aY*QHR~Ps3@2sry%<S_Voa}0JO3Y&l6W?p( zn7&U;H#f;K$xV$i$!oH4s<QQ=cl_o4v{MtzRUrk1LX7l36lm*Y?uzn#Vsb)0!R!AP zd_N)2A~&fx$tXMF@;?OF|04kvHh8ml1MqQd5^V1X{-UxqYms=)^5zZG!kagM|3Jmf z#?;xe#}LUMS8`F=i#=tED%kAO+q=f)Vq=;TiM)^OTnupp88*fF`|x{F6)i+W@7fcm z_Pxc5pm&HnUvYng(`jp<tIk9r#Av%~N?<h`6-N2r8fkiXp^4KFW$(11+`byV)N6Y! z_|=b)%;8{vUB~VQZq1mAkAIv5>w3ydfo@AF$JzPQ;^_f8{GF%CpS!UrKaz0Wbe@b@ zSXf)_TeuT)GlhNw5fzU~WyE!MI9ys1&`?uRJ;(eO*_oAi)z+#%&s37JF;=C(2C(=T zQgspso{a8HN~^IpC{;j~()yI64*|sTkh^ZbUl1K<Zc%T@A(r8Kg0YC*1CC}~t%&+t zHmTC%$u#)enKsx%>GNWIqemudznR#zg~S&*!R1|dd4abk<dETIXc{~AQxamYQ3Uo0 zxZ0lk=b@7h>fIe|sS$G4njYFpE#Y#;TS7~DANP8hYu`-M#%Ml<XxTMn(X9s0@|HAt zu!3iq>%-H?6tp`KZEd;S+NnOx*pWGui8C`FsA&tL=rMb9mu82BkW!eXRfjLIXR?q7 z=UV6C3DVY!s~SZrJ?2@stt3o&3aM4ii7?l?wF7(jFM0Uy&etm|<Xx5mZ5KN}#5S-D z83Yv${YJxc4$`d+vAvdEs`jOHHeypOqnAcG@!!N%^P5_(eYes1E;GbXN)6>i?A4%3 z6tOvQn2&24Xp$`;&A~`X*igOI*x~U$9!fVhB!04{;O7X-6@lxw8Ilht8Ow$MacwVV z@Mg4Y@NM<B?ho6xsXRjrJ-uE}DP-18X;Y&>w-X+-Z?b$gFN<VY*mkxrO0A|DKdOH= zqg=-bxPP>L5nf)$s&t&K{XLl8_qiu#HQ&+@+af+cuL*_!?!u$a!?vN6I~g`G-b0tI z!t#7QYp}LvJk&bUx}5e<FRMRYX;KVXWNi$&b;boaeQ-nkA&N(F#%}UtHAOo`O4`W9 z^xmx|*Q5dGQ`z8TE%&^fkk}7hH^z)T3VM!e<_nA4?n1Bk&8t}N*qz@E*}c7SDfBvY zs}+IOHv{s{7mKU91QzY_jy##O(Om-10Z)7K?cuky!oa=l$#20c15eGN7D#(BCj7s7 z!s^w(;x8JzQ&pGVYM(aN6?NAEeD5YM$bP)c&mM12C|BCd9NQ>Mb+P+sWT}ie4M}cv z?eB9`tFtL0@zPB<U58G<@7Yh*O!;qno~%Ts(m9!WfEWj8eYAThNRJ}y?!C14KJpJR zx>9SE^mb9y)|~e@Z)E1>=n93{8Vc~uRc9@55YinR&leY#+k`*|NKRj@&<`Es-FRFW zu6MY_c;J=#-kE5bvc;(t0e=iQ`3z0g0!{3UA_v46TJ)!Jt9ijE+A5h#B16Z3n_KWk zy;~P<b%M+n#m1)?jb9ek#%zrh4SrP%BAK~MJv{MmtPB<R+8y0bjb@5?SBNnc_Hv}M zZ|@6-KsD=ux{|h9(ai30&2_3`+@G-3#Lx-qG{pp1Qj=%+a}eQIp=k+AV5taeV5#WT z#kzn&TvTA%BI#_8yl;G7*&aej9efgk^Z2}1BB_a!#=XHt)00O@c4#Oxu@+tK_Pl3V zx+`Z7Cr4TxlvkD(u(B(T4YNm!GSWY$ov11m(<`RwjMRd?Stp4Vp)DPp;*iQ>`j?Bv zjZ8med*B#fqlC@Oc4j5`62B`_>UsPzlmc~<UTFL|27j@3s^T<et~$+w#5iIPlVOii zKty9b?e|*gNynndqk$Hq-F-+mqsvDIuy?D6Uqd)uf4Gs&3*&N(_4)9);3HtXlQL6d z)Y9rDXPU)mJdu=)e(_Vt*LZ)wla<*jwvb7F+}n#R?aVDnQhK$TMk%&RZKJklt3}?> z>`0z~F5p+&MQ_T?^{&G-A(W>(&VHGevTK-0p;#V(ELUxbL$%c*?XJRNj3V2z9n5cG ztA;H2t~?>920Zw#L`U{zJf^%2>xNtSUpQ0`wcqa@`ZE;A<xG$g6O-OyOG$~T^(jO> zWY|()0$Zbji$o4p{YG3m#3Ta;#HTQ!8y_3gxCc$TP+Nyg0vaxJ3?vP4wKT*cim+Sp zqg(MA$bS+tBotj|GVG{l1u!%#Ti!(rMlj&wq$2#;*%PQZami^ctYo8*#>^SS6jaFm z?4yFoGa6x;iCNU-G}x@@22|*k<<Em|gxAVqdlJRG14RuYAh$~>=St1yLlogRfg@8^ zT<~4NUV-rXCJmn5Cj~q@URsU~y$$j!hw#6Ot1nU-TjNgrx=2nBcB4~8o92VdD;85- z8&cFt?1$WVb(V-7sD26MSTtCpdE$**0CbJ-n)>T3S593Q6V7&hyWAbgLhBR>jpN7! zn4u>v4d>s+FAK4}#$?hm46H@4QUspdF61;0{Jz%3<I-on(|3Eji-y@yWpBmG<y-|< zQ<ZRdRRR_9s2|#!Op2*r@%?i%Av3?hu6&@q1@T$(tDUFfw#0OM)bXN2CUf-h=0*=C zJ7|R)<SlOQyI4CL8LK5*wwflh$~LEycf{AGR1c*1rongk&~Br!>t5!_QkK1-bSCU= zZf`+Tp7mOc<>-Z_dG^~Sm#XU1Zw-lfIlN9e0Rx3wl4An-vg53a+>r08M@z&YB?8qm znw$zdPj{fPt;BTKiKq80dsjy>&Wjr5>OMtP=C2C7vls**&WjkOZ@*CL*R={Mua|DH zgve5qk^BAcY@Dh!1_Ei?P94fsN1Rff1qA#phP9_+b&uY`l%lIsm#g`=+Jd)n+Z{5y zKHr66tk&?V_3(SlMCPK5Jlj+@ifXGWNj|t2Nokzqx7-I5MKK7+8GS+F1ZuD6i5xz- z*#T@}L65{BBQFv~{Z=vC7H;2#DM((Agp(|cN*BX5M4%Qi$leKfCIUIT_qnMGmb&T8 zu>l%>ITi;+Pc1}kI=6wC{DNz|S@}VH#2{g>`kr4YLUZZ61M_U46=G11Q=CzYcJXng zhbkApJf-irq{c6WeFe=g*<elkN~|+49Dr9;*<!v~tb0@lF=&kiICkYar_^Xi;Lt;j ztc((O2xt>CUU6&nq)_8lm{*|mU6Rz$v{wFdOx<3{sql>xc)u=Tezq&Kv57Pe#Nt|t z+VsLW-F3rNWu_*fkz0y7ULOaEo1dB9T<%p6!*K#J)?t3thI=l=OD@qVQa-8VJR_W? zwYE}JNzoI7K5cCDZWjiGc;CNNzzAqkK8;Fh{EVmi@oQ}i;T0!*WY$}_)kp1ttmz#8 zgL+##|7ydXJ!e6CmqSiKVWofb6E1>GB%LfJ|8~B0S8fXE9BiLdi4|~MrE><t;gQcL zaPhIv94Wsdb?2FBGEXjd-Fj{WdEYeeTC?Oo`7AUQF&;`df-T|>+}il3={=6Uq(0`u z#vLYh<zeu4&D?<{qWM9>krl7w;dpl8FT^SEE`i~)DOQ`m*|MUz>MH|p@YBO8pBVD5 zvA7G$pQ|o!g4Wb*W;;k(zZrxJG&<rq0?^m^om)Ms92v=Q9LKGPiUloa`vSwtu~pNu zF`OqAfO~TVKUWTmBm7C~nc`GUlj{aD>Z~gC47s%8)>yCT0F^>{^24wJh4Wnu>Q`da zni2OPHx#A!&Hx~UTHASk<<Nq`jl#Zg<D&DdP?XxVM!?IR)-lm0smS)V472)gVI=~) ztYhSLo#)J&0Q&>EP-^vv`i#qQprrne-ewE^{ADJx%Am-EdgYBfo^q+G9fxwMy08LQ zF?mCHdA4~Kvij$S7k@6h4})fCBOzh62bJlm80yxbxqU$oZd2u}c|MI{_<a5het$}3 zs4|B43o&Ybd_R3kw&ddTlpnP&=izQ})d^<js0DAE)#KrWDQ84SNM5ZR>HH7v?#EzQ zD-FwC8EGhQ3pu_F+W@@3Now4;C~D|q<$-D9z!k9-p6LN!>$d`I!by?v5Z=pD7X6S^ zn3bt`u>m3TVKWGeoxsM0>B&J-R)b-pq{KFYWjSoAE}=LdVW$(tiVwOdaZpK7Y>vIt ziYrr1CspIiuvVBzwT@EPg&l#xyTNS>z7jd=*W?PbQ5AI+?J&}?tPTX+9};nYxpNmd zFh}2UDCCEKe+tbkQB{38IMv^;@XjiqD$N}i-W?}Zx%ON4)yFy^w-8E@dm-HtDYy|1 z`;HQIOQ(myQnHjU+44Sgw_tVqVRNIL<jeyYh{rJRToAwbbc1kzT9;#2;J~9$Kp1C} zD&;=W<4u;!T>piu`mMmw!2adu8Sg;%rBiWIUbE#-QJq{0?!{8>>!p|<tvsd!v82@o z1qZqE3)bbY1O4?e1MqvS_K87A_hI?hg~!d=M41kmVEDL-ABx%qam~>T1d_dR&*GHC zClpnFdmq+}c(j$k@KF)A61xTxnrU}06|WwoU^JY#`KaNpD7($ZNb}n#@L_ZjF$mU+ zWP{HTOEZ8dfY7iWOVM5Xj3n16#O7zY>Kd3o4*bX68jKwC@c7<ifTCzR{2WQ{I>4{x z#a^GXq&Qb3HFO<uG?j;vX4pU`aW*kIHcc&0SK+QmBCI?U95TCE$LB4UQ>a;iRdWgA zoGO&r2U_4D$GXY_{D7%+JBhiCT^H=H%)Y-*9#wO|dT}-pUH84M(F{w|dPH$_C0HAl zI9D9QsToo-;a@*H-S$fDcC2kI+Mm(uf8l(hV*o`lYz$u6j&Qqr-PD3Fh(SV5K;Ld` z992UuS8fGPQOZt;XH(?^(dd!B{P^@m%Do`A;wjTHs)~9lBKpZ*f@5P4OdDyV=N|WD zE71(`V)`S4*LXA}G{@CglZ)`&`5oWZKe76n--Dvgy?E|4aw-B*$To>-j%%?dUF>h} zLelI=do=MpB5>^`+%|T>)P5M1J`7K@#wA!n+xg9`tLdQZ$1b2&Eu`Xyb*oB86Wis< zvb0VK18!-<99u$Uhd_K_bh<>_)9q{&+5B)ZgqmR&+i{>_Ar`Z9+wB8u+GE3c9aut$ z3mAUhY83M^cHhR=9Y{v3nigAeoIkCxP&I7YWC}bd22tE=ti>)_ftE+E2DaEhX~ZBi zFOmQ=NTBk&+_Bzc%ojyUy&n`+1x|kccIR>DVUP&2E%Sz4BfI)PiHtT$Xr9`nTGlu0 z1ss5XrlIqhD6P&ln;xMi`?RDYD4-HCh~a*8(_SE+(XN=sGheD@RVY5NsqM?^i#N4x zW<{}7%kYMMB<QDTO7H6~C5Yw|Lfj#_SNTS`AxqgRPPuEHfW)VTC^AnR=B5OSFKHkQ z;3rVjVrNEi+iA7lMraO@MOxcN;$%Iq6%J!jLgP1%6D=Cfi!NoAr}mkmAa?ZkPrRMM zFUrIqN^aE{&8r16e#<MkUnKc<nFk5Plf^9ARrPRaPI90N?N2k_WNtr6zbs_EzgVQ> zJmbn9G34|Rq4Qwio{sGtY@PGYcfMZ|F3;ME3Pg0Q#;WzA*DxeUZ|;tGE9rP(5O*L; zp!mY;K~tBDwIMPmwY#!y6mBqRZR!prjRVs|hC{;c>Cep*5J|-sshbu*ys7~oYAY$q z_+OBzS?b>4r68caPKYp%PM&ggFW*c(eJy9^2JDzxiRI{t0sNT0A`ock9>=m2)e5<F z@{)@r?+th*^uRSNyK?Yo^C;cz_vh&p%ixy?tTx-yPAe-0Ir&{wtWaVs`A-Q&%cd|W zWU#WQE}rS~7!k{zD48UqmZqIlkMK-ou?_7jZ2O*Ox+KUaFmR^FC>h7d&K7$L5VwSp z&Ao4pxv9{LL8+f9Ci~bqVB$x3A2SSozfy|uX}b;o<C;4a7iiV=X>`p(FCxKT<tDLP z$!S!Yj6Ik%HEUEIAHK*~Srmav;#CMhQJ)%wE*sUY&Mz?~1r-1^k|-}GxlV#nc~?*c z4Z;FP`0u^qj*eh|;Hii&JXCsDAPXzx?evXxy%G9J#!9TMhU5x`wJAtA71JlhBdU7~ zx=P(K$jBK$A>9=8TJ2gS&W9?7&>keLasx(OB6nR!0S8FFg&G)zgX$@M_t|8IJcb5y z#;;1^Gs6ryvJvJ?mz*Z586>p>b7l?pk?w9FuCUBs3fZQ&3PZ{lj0u;D2xd-0fsuEQ z`k@VbQ>KQpt26KpH3Mr#xRgF(5{xN%hzLg0q>wra`#^|FRk(yF1P^zFIYfp8=0Z|M zO-5I(NC`61To_KI1pr4Gpw2W|`8#kWf(@X3C0$HKGtgDnGlT5#GE(F};Fi%8W~5;g z*5SjOYUBnPC1XmCbdbLt+D5WS@Wn8{T2D-XeuZt)f6teNE3p#}?W-z=YgHkRJ4sha zpb<>C067$-FEm6Rcqaz{>L@}9)ysX$R0IHLRG`i@Sk0m;&{e6bf;{Oo-&1Htf;Z{k z1p@FEhJTf#gA7$f$EhW}!~nqR@}P7EmhO;<4}>j@g^p@S$eRn~F{5}ho}~;@2gjI0 zvM3c&JyBP)rkGBz(5nn-h2lZzAXRZueZ*@}(qP=fP`{LD4z&aVDFEn}8pK^ma3xqO z?(TpyBegK)?LSA(PmK(=Ev3)L_lQC-h4z*E;LW>0&JTlP6ABz@ZXme^MeDy)u6o-3 zzJ~S)c4tkz#qL-CN^%uj9Tt?YGPIL607?EqkvL*W)7jTf{?;%V_BvDIvZ;j9n;d7f zxazC-dpB|6&S#`OEXkX=_-)yKttP#rI36VcFt#>mQ8tRDonPYK2C7z5Zzn)661>V% z7)dR$<OQH$3=SewGq7QvA#ajJw27;sSfyEyGS(4=ZPCMvO2-6HgvJJG$wr~F@=M$S zAc3LMw#waAFat#16<ROwM{Hq%F#|~66&{|#UAAW{AOq`(VQ)T%T%N!KfZilf0UgR> zgkHN5{<!j#iN0CUW_IpT6aLV8HLLKG0sInLl2FWQli`b!01C>opeo$3VFdt1PGt~& zm4S`G0y#Sf*(Nw-Mx$EX0t<g8G=_|MkY57c25M4!wU}Hla&(=!&<FN$^z=;Xd7z!8 z5MkcW#$t)QX&=R=ZY-Spg$>aV0Xn;QUW`DDFf1P#YJ-*hS_h2DCk4x?zd#J2g7^wT zs7W<Eu}`1Tlh&j~IW$?^e}gf7vS8f{#=<vg02JlvLFbxrp;NVNhygaxozfq`@+hG* zmb8U(k_mMJ01Awvp!0N0ALNb1gy$sa4tY!0Rx-Gxdv#F`DhVSM0EKmRP#GhOd*?Cc zqbD4vqHT=uCWO>?=<F&msI*3WuN{8|SNE;ENf%bHa|()0Q`o3so%miF!3@<ch3}z9 zRLC1x_wpYDCT{@1Oc*Fd4a{LOFlIO}qD{zHco90k1Qs4NF{HDPA_IVl*if2uXYX66 zPzMn4q4E-|$t0T~gES4%rpD0GF9k0VGIzqd8hn*D<>!}Z<bi&Y-5($%<=HEqp$sNf z*E2<gjM%7{py5h6^NJXB)tjWCz*JT>TuACnYEWPzCY&;4aN8h({cb6S?T#?RACbTU zaHj1A=&Gm%LGdzCW{>VsVq9=HwX@?ZmUt3HIs6jjx%?7E5F^in{6dU8-;~v?qMYPf zb+kO*1Pn1mn`QlKB{A+uN<!i)N<s>VF%YL)_cm?Q!HP={Ue};Yv!!yL*6!~hMx;j^ zb(53!tEdC|6X)10KDI{?h7b=oQ;_y2&NsBBgQJYU8KHm^!|34kBkAxVMgtj1blm#W z5<BtG{8h{EFV!OH?9?JhWyZ*R8|tuy;Qb>(#lf26`vk!S{6vx-$C#3?o#byNlTL2? zGo6D;(-DUwP7sHqAQl6;Kv6`Hu7wb3tAthDsYK{o#i&GHW9re*d&5@Cz;KS}hY_mb z5e01xF&mF4u{DqV?=4KJ(kadF#7W$EBZd#yKG#g`5M~z)vauIOa$ydwM=Su$y#`NI zgoK|Jo9yhVAL#mcYN`m!NfsS!(lKbos{;t7TCXpU%}3pJkO=u}#c{;|@TPQM&{btT zbXY!@qfdKaOB5}ddJH7mL!2GNFi+VK#Ty5Wz9pWrQL}Nbq3!&xf$hEh?(~!{L=IZD zz5b;3o#Kt`L%1x%M%R@#AzK`Q$7L+%=2vpvAJ54c=`zKdZ`34+C)qGDZCeCHt7M9c zpl2nB6RHwf_%Z-pMA=s@S#sw{HY`35R~VTJZ}*Sz)p!Eo!V33x7~i+-9evYS<=JLR zl45<6J2@v?X|WdR1}mcXx?>PrZ2U%ayB%AcG5@Y~_AAt3G-ut4^H-VO7<7Ut&boW& zBAHz!L=ha@_3&+qq+uasb}HJf{%x~ImM!_6Ly9;7=4Y{Mlc$Vp!>^0|_1>!ma*Tw} zdL=Fap<9sbftUJ8!vUy)8RSpl7p|9nNy9xTugWDZ)}ite#9mhI8YM1U5#xJZ{yY)m zlEn9Rqqw#Papa8REgZ%V<oYEp3BijLaq0e}Nso_7*sB&Q0=rd69nd4X=#JDPB8*}J zNeGkTGe!q|4G&rmp%ZSo<-h6X-oEVsyiz?rQscie{rtIrSBJ@87z_V8F!$Zoj5WO1 ztc<f!L=1s^<`oGLF8Ygc4=LDF2K)`qID46d+!(RN{)0973_pTlnCLHRcRc7b;u%HZ z5A{UPaVS>Vyi0>=KvMp%;$Bx_=;t%yh!!#-`D&`&iK4-nU^2L#FwsTqb~!}%?r<^L zxI0X`Waw4iLf%l~FedC7{jZ@B2w+NBc4JXv`oc!2C!}DeiWy_uo?H}dd5_CcbQmhG z)lWMY*GMeuD#;%lMFmg|2H`$sv!Q>G(SpkJa<0W3g@Y+gexuyRrXt+$#*CV*JoAbc zS_*7$`H<xwvI$Q}vGrjI$AGpvC=jaMNDFG3l1&4-y>~zaQWeDYzVL6Hji5d@@)>;i z!En(oy42XG86hMoi&1V-Q!0Yw*>ApRJXTSSp#q$ru`gy8QH#SxQMf;2t$<<Q@F01B z@nLwz849UkosyJiyrJ8WgSqY77472mk)G8NEjGf|sG;=iwvEN!$wOiW6l|B`zB0ph zD)xVB5I*|R3Wo=;MQ6BfZOSAk)!BYIz)KGbM;~TH!iZ)2uuo+ROdW-W_L7T^H)N9) z5?4o5NXLG>H;FOp|B0ZGh7I5}%}yPqft_3M0}F)_$q{ho4j5Jm-jqWke^Q*lX)qwY zOgbkd&k#f1S6$B-K;6^h-=Os?XhN^jnWm3Ld*Wo)wn&*HuGjN|>`>h_l)4E94N@oE zUD6^DN+d=IG9%T@IvbYz{D-2AWV}`6EK<VZxM}53G<|G5!x`w4u<-Hek<dU5I*o<B zi0O1llfexdvf%UxOEr$`m_gUnhs<~Kcr_}eyX0hA3_@3dXw`du7E-|rF*u5>4N^zZ zbz0ssau!&@k$5P+LngJp7(%uUN()e-@P)+G!g};oZ^%?HOjTe68E#8d;Jg)%LR672 z+mmN{(3I&6XFi~vX!?NBB56w{0_m6E@qJ%LR;I57HNz>(M|Vp%sJZ|J;JG37-R`r} zS59rMxW5Eq=xe^r)MIeb=^hP~VocLb%J^!@f(1ejHITq9!HzLFJ^T%ZM<HLamy6`y z*_J2Ie21TA#J@@DXQ2lj;Tx&!C*1(YqFDr&Yx9egQSU0OZGyrwN+j~xymjSp$KHIQ zySk&2=jsOL7iHkzF-*?D!PGM-xi|LPGtjQ~?|9HBZ4hs&TohHq+|f_Y$iaN9vbGrx zZd3VD9CRw!U@Yfe9onXPy~7_oD{w5--O=AgM{U;olLHd@(k)s0?WT6;Ha*$#9!Jy1 zL4<1}$>_dFx}DXSbB2d{1}L<V%cRuNGsL&m)`W7<1r@|aBv|M&(dYP=m8qjGun3k& z7V#m|B@$O8mmL$b2M)X?ys}SXN6}X}&N^v9uTBqYwh_aw6&ct>%R8T9;2cjb(`Jcl zcQ3y{?qrR(Nm3O^;hq`bwyN%Ds^PXO?`L2Ur7e^?-TPHbxrTN7?&Xv}2M4_^k@z6( zj7sfb*s?T`Ag6o-GvK00rYF8bs|&qxvmNH2;1sE1iX5Gr!iG=H1gMW@Qxc{WAo-jz zJ(`P-qoH&YKOjM$<Oh4MF+IZpol+vvW|sLG`>n2pQzZR0k#2`+)mXHZVP*t&>$}cl zlTLrBuNav{?jH^j*Qu%5U;8(jV39L|<w{W^n)-woX!$R8j5NvkpLc}mRl#r*8ho<Z zr8;anuvUzQGM&baCP63@s$2twgqhVkGbqRyboec)1A?gy9<tf21*%cdf;P>CEuyv& zt?D{-Ta>>UH7f7f6rk}T-!0Ji)%R?qFzGVUV!S4mvv7mLCRwvM;*yY+E73dD4PuQZ z&L}mI^7J6(y+hEFj}GxRiJOHh?%pOZrPb5iHoZj+O*5%A>v!hRiNro10@H@sY4Y<^ z?_g0EEn$;s=Gr8OjHvv0Q345EwnJ*E<}GF$L2lYWMos#Y5Diut(rZM6(T<6p*mvkl zX{8AI=c?RM;X~u9+*{iEX>|EJbWEG8U^*>LW2@f!R-0D)Vr;Ca6yHc_a|lvWvxoLK zC#Q6Ozsxo3H+jEILw%js=b8FIAKY!#ATA{Cg?*}l{S>wB18KS>69QSQvYob8#Z7;z zg^#s?0WWPw7NRTOVdZR9O>{E>Hjm)<8OGXLWDGqX;D#deF_yubzEe=q2g<RJUll?S z4UFcQH8QvMuV?keC?n&Uy8OLuERGxprBcz+(Ey2atyG+xsYA)zMg`irwfZPZZzq1n zH^*(?3>sYUHp5x7Pu#~h=WVxa2VU^5p-kaso)KBcJ50ZsxQSO^6v8{d`rNEowyhA_ z70?4ClrzD1Y%z993Pg2TbkEE;ASRsgUK~)$NQ)oXf?{E1CBYx-CJo;S6&IJnI2^Ef zeeKJUiX+2e=z6b?oAf=q-*P|rM2KN0UA2@_97cLwL%7|2-Z8h@{c>BiNEN23$s&$j z*R6fN*lG;BnHP7=3iVw1E6q&(Rn1OXAMs}*O+8^>ouf>wV&1+lWLje+cDNo+C}17J z_NJ>Fv^awI1|gRv7iE1>!|GBC-Zqc#Ksep<5?wg#Ri~X$X3OR$ZDDmTjGA#j6g=_W ziSP+s%j5vVw(w~QM2WHcU#zUf53z!atUK9O(_9-|4@Ff;$BM@+&3z8VcH)9brWAbk zE!*Oe;+PoU3bs7+6F;r+cD?b<>nA=Aji|@1!CQCNV^mWgv)3P(3MJXq?wP>$6#g8o zf4iE|fMVjl9%HXPz!IkF!dT~l^os~JLEoi=`jmo@sO`sUY6B`ER@)C(x#b}hvbG;h z^2^hvI_ojJ<72Gb5$$**<&Ug8Z?AN;;G=$83*0f%#hUv#F4ylo@up{t2f{23HFme2 zwz2JfK5IU|20Kg9MsI!i{gAc{FaIob6Kfpp?)xZ0h}ny+Zyue|x8Opb6yG(UkRf*Q zkS6ouO@upAFL69FUi9%<t|V|GOt=kJ%2$VCL-PwZQc}5!CWxthu6<>WQ5|M~wl*YY zG9vnlF`Z>r!@)k&<OT_s^Q(@>T%5DWv<J%zU&A{WZ*`}j02J2Uj6-<4Vl)B|S!}o_ zZWZ%5n=z%Wmf3*}r*xG1Z;jpDHO%vRE;foT`xa*=8T)CCHC!%MjdyG#hYM`u__@3j zuWv|jgo-^r(|m$F^zX3?cCcl6#;Li%L-6Iyax;OItF*!Y#nFBG7C^Y@JzIu`>i4d5 zLf{m6*~}0Jy!OEUD7J4@E`^4U#sW|$CT4dZMVv46N3~`JFfEoWp0YZR+RU!UxBzhX z_nUeL&Uw?9vOR(_c6E%<PY_ul&B@J#aYsm*<LV%iM;<*~taK@9fN$<0IUNae#aQo% zbb^Yot8L_jbOK#4T;J_UUBl>)-+K+Y&Q6yd9#(=(x^}-GNDPFTR2Cnw2kgT_48;b? z@I9%S8pIT@!Z>x7Wzi`Y0aa6&B3uL~P_cpnjxr*|CrI+<tM-(+$YC7BaX;WLO`5dh znLI+ioAsDjHEHM5_$+oJFaD}S$K}dTzF!vAjzlBne<+#MB{M_biN&pNNn?4W@E+5~ z1g~1i`LGaAE|5+9?krO9J&!3Gek;!-$!l#Ht!K`28<F>_Bc%bW<uNf~7GRQLUBz!b z@U>xsQ8UkU6~pMpk4xUoa{4~<DxYtEe<8&X4JV)ndV_eHNcc`VEspHys~&pLy}H>^ zu?!FDh{%(dH}(6#$dU<cE$GM^Z(JLa*!p1p@#-`&c-Zi5Bx6||Rx-2#3+V)UfI5vY ze~uxFkC7qu8n2Kc@;J7#^>glgtHmn`VoJ9qHOIlb%+nReRii}q6_e#O!UULn&V(lR zWk(;)xJ5gZQ48^H*=fD<xEPD?7EArE(>7<kKm|`Bhj{a1>*k_MR|BQ1S>cISC|2D~ zJz)xCd&2`YPZ-iz<E#PpiHQD$#mv6ThgAC7Z3kY`ghQvK@v)s3Z$jSik%+jUrKxot zA$njL7YWkZw1E%p*&X$%)Wb)?u6h6o+{JKnU#kOWH$gAHqv61C--rvna@<UgNy7f* zdo319p24p7hU*j#?0nZO-YC!D`xvzdp)F*QhhOl%Tv=)W;z2(po9rQ{(EAj#RdbRb zlUBdOFjBfZ`z*%A&(9i0t1Etx(pEhfJI^<NO*R4wY<FeWWns}RsJ_p6A;G8h<2Wy6 z-V?c08q>_jl$%ovhx+vxK9k}rurpzfrjvS#RegNxz&#aIW%1FDl@{y`v#CIIbR<Uj z$P4VI#z9?9n;pq{H2H<ryet7zM&l>R_%&Q~RRAqBm9+QZyJ-rl_h$2f3#xhwT4wG# zPdXe2^hc7Bdj4>XpWhz7D6{0)^~LkXFL66$LkaXi9#bTtd5*fn#Q*9!PBb`F5*Q2$ zj~*g(G}XN>F6=_p+5ai0`>2V@;MWF)LF+r;syk&;&$+$1*B_ibJQuN+#u=Ho7^);X zAq3xYFXv@#+`AOCVpMCcTUFY;8~{IY?eIGO2GJob3tliq2X~N<YSuZ0<eCYF`$5nS zT7Q9w8zK{)*3;rkYFd7QU(YyQ2pdl?z@L0?5?%j1VO%go%jQrA#j4{%r=*uowk=<U zH)kR^bd*;X$dY5-hagLK>)g5<nDw#GFn<#8SW7;Yhk&MYw4uh_LxO_pO;z?CM^=}X z$-W4Rb)-d28t4&^p$UI_<?xu8vp@ku_S0vf#+8um*@bZ0Q*;d_>w7xkrI{JiQW)Y_ zp)XvPjivDOPv)kYt1*;VoiS&OiZp|7huFjw-Iv<E7SxBLWnnH|pO4~ohIPVd(lb6n zmXg9NTs<IuLRL>e)UjqnTee5LTsCAazh{6!_w&%zY!=9=<u(b{&d}uQXkH<PIq-wL zMirqx5>*FlE;m}VTBmts(0}|^LI0O%#`neRNfr=?k+r0eb>H2$;Cx9Tw2^!9(qbnT zz_7G&TfXE__h&m8*Bo50Sre>oVV=0JM(`ejW}-P|!@2p{zTPrmAq~YRX*3&c;`UX_ zrv@0DFTc&<EzppkH=3~r6o2Rp@4~m-*@5@?#jlZI4t%(&+yHHtzA$78OlUU=SsAT) zDKNXaA4&4}+KRu~LkDCVg{-eBtA+@Ae%#KuF456SN9<~JEA@+c>-wyXfSfZy?70qj zZ!rpHUr(+asuarS-nL2Bwg%WrnvkHi&o#qn#0#c5{%B*Jmf5%J<Nle_VO`=H(!dP= zS)dl@M%Imwpv$fr3J1rW7>>VI7};iXfspO4<-kqinDp*5)Ev$)gu0ll468LTd%mMH zqP7ZN_CWrAaes4l!skiTX%`P6613TcfmR|m#@5hJRBG10Hl6wc^643C)K(l2jDv(I z)3BHw%Aq*?txYD=$O)%OoCmt76^xcViP-wR`0vM34xCBupnG4be8#c{jX1YI$(D0; z4p_6Gg)KU?L5X-TR?MzX-x0F$m4&|_s3g}nWNcoR_xJa)61Au731cN;TOb%$s$({+ zBVluF`vUK8K0H9Y<G;<>#|YoOm&MrEs&CoU1MhI_kd$`daaRRZ-J@?-68p>*D49^% zM$uAj{xfhRXyd)^2Z{!Mt34i6@1YA#7#S3yHS4~?uQYkNgNpEbOKDOtE*T_Io}p{= zn&*mN6X3F2Vt8~0ZcX1meI#PzaCXEIVc??<$XtLy_!$^@jqz<TMjU=W7yGUisz7IR zX|!X#XHfY~@cVrDx7;d}d;weg_91v}Rue3y7etq;4y~_<u{qD=vJO4>Xga%%yB)zX z7U>QAFv2ZnE3cFb;+w|8Y}=W?6?8QA--E@URn6-c8Hji%U+w##>*Jw3**x)oMQ!o- z2*8i(ACAB)T%#cw%z4i?z?VU(@!L$yVC~a#HO&g;1cZn%pJbgwUe#*S$N6!(p?0Ml z-XAX|@-)?J&6Af1gZMI?fIubVkrBs7gu|r3+KASA|7AUB$s8Eh$M9(jPx_KP@aS@^ zpXt*kzHKj?Nw;-`t&i}q7Oz8J^4xI?;)wX(%WTl0puOQpjMm3K-f6w1Xp&zAP<Z(u ze(ULaRKgRY+TG<}t3lD<t?h)|{K>brF?9XD<bQuBbXN-%E7Zj|`Udq5AOio;jTDu7 z5KrmPEPv&`GHEG|#!I@!B{JZgh_9Jzw?)!0)=ck!P;S}u7F8!hXEt?QEOZKON!mx^ z(?n3N&bcL4sul-J&WlZ-*z^<zJ9H}Gutf1|hHbe5F7f4cLxH#Yh<lCTzO((f65-|N zHrmL$nt`y|{7IvZn&w6t!R=b$*)lJVof&_A{xy@Bh#~i87`@S%-O5ymaDP}=56PG7 zWFAmhRc7|PkUGy6EBvmxFv?dQUq<X&14r7d%K(Sg`V{G)?vA+_ft9e%O!Q7H_cYap zWmt8E_K|AGjn@y5NoFCe<GW%ff|Rb6yBAlE)j;+#%MO-Q)=SP=mP04fiCK0pR(A21 zd5;Jr+GjGZ#%By_lj#l10p&(MQ)MH317lQE#&ySm3@e3Nk`I=)*pi!aIQSzidlEl9 zhM03Mv#ndEblR7~dLS)N{^LGN<zxj*I%0|9LIH={XXM0vl?f;R?(@=DIIE@=8o_fw z{T%vz&Siylck3sP*va=h<QLv~Yx@Q`T7C!fi6rm+`oi$uJ7!|xBlwtz86IX`mRWa> zC9-pGg?%Y6t<ISzYQxCBOtzj|>X2n(GrhM3<<B=cCV3Vi{Sva*J&Lu^q+yDkTzFfA zWbnHqRg$KAdqtzcYm2FMIqa}!*CRcQn&$K}eu>CTUUB&VP|q=(o^@Gc-F>$rn8JL> zg9PDH>K~4z-@WUxYFdg!BDkAIPCeQ+7IwRN#V`M8hf^iA`$Z&f%EJBunMmEg;fKfL zE8ydkIE}yK@Zb*BC)+$Bhk@!wz$ztYf;)qW<YIOMr;7=WxjD(MyQ|Ze69ry}Z?iC1 zf)`5fK5-dKn|BgPn0(1NWV%B%J~!)Jlme!GP)xWkotj&VXkRqD7qS{4$lu_0xR9jr zTMwfZ{Dmw1^tNC-a;Ge7nqu->iug!wfA?lsR(>scYa4lrknMnZxkn%G(Ry;)ckavZ zt!g%c5t=Jp5%_ObV$t)k@t?r9IAm8fw)?EG6c<uB2ejNrKQrmv#5q}AKC<s5u23d! zSvcLUrL-h(-~%rZm#uK}qirptIH~tE&0~>Bgqn-T3M|a^AC-;)l{ZWCmn6AfC9~@< zsJ%{4-3Ek1<ps<4)7Oe4H&tyV)VF+rCwHfE??SOvmK<p`sL}1lMg(>uktVlkMK(Jm ze|cLAh`{SMI%HsJw4u@JIz%GP333GU_u@3qh4C2$gp{rF<p_No5S&icgo0gh$UwrN zDu=6~c-6Xcq#V!0LVSqr^co+(=>L2WJZ~zFaFB*ZB9*}ON>?v#YWkZr-3Bh1--}_w zS~wrP*~J^HOOZ60ED7Y|kf4anq5wruM@z8${4Js)4+V`2^Dn{HAC*gWrXTtZMCFo) z{N@cAWU2h04WeOsQxg2==$~Xz|I)ntjTJ(FP)7t&J_*qNOEL2Y;a^y6F#n8YY3l0W z^G5~tH{3o^L|w@a)EnYwi2cF(@2w;_3PScDsIhoE{f!f<5RVNF;h}=|FD1kun19i- zIEDI094`y2zkz7VR%RMsq29C}6aAN@;@?08Xa5Xj`Tqj_|5S4S28up~`6otyY3BYW z_Xp#DE7<;=|Jh1{Kb-vs%>Sil`y26ZJ=-6&f3;gU1o6MsZGUI_TQ&9XOd1#eOyhqv zRDZ+$tz!8%9M1JW!u`>-{0;QCI_2L$VgCW>k5=Vxq`$=_e~|ucCBYa!|C!Q%NljGb W;o$%L6&3OcfD8#<3W!wj&Hn+?<f?E0 literal 267429 zcmaHtLzE~Av|QV^ZQHhO+qP}n_HEm?ZQHip|7DP5luXW`hP7(1eH5gDK~Mky03ZN3 z6=bAkH|2PafB*o@p#T8z{!5)bon1_A^-WBjEzRxp4P9OA^&O1$ne?4qT#e}5eAN`K zw-^xo=IS^;m(yr0nJBJC@x(R?=&dRLp^P##*02>to#du8Z`9?JNUu`1ekpmqUEFf} zzD<~PgjlcODe&0CRM+z9`f2D_(;X;EEOgHtlvbeK%SyGogR^Wq&p6Iqjo7s64A#ZY z_qWw>_N%RMPaLRbz&1m{E_WxvWFl4uk{cFA-LR|`z{hSl*h0rDE&hNm@Hm2im6)tR zr(DA3p$yLK3_5O0&a#B3Sq=@TnZ=44Phs*npeXSMt8rI*h?{fQcfO+XnPF)hDGjPJ zVZzaym7U#d=<MzK)7$y|wuja^4DO076fspQHI}P1omp_8eYrMJ)kZnG{1UuYtL-mq zSIh5z+n|vwfOCJemfz&&X9wNHP;FLf<Tpz7P6rmMF0(k#_cD5;x_<7-MN=l_;4B^D z%PV#W+m1`B_7s;Jt%T*cHkF;%EmE#COI{>4eIf`mty|B)TmjqGUjt7p;&^s-Yi9;5 zQq8{#swtbX!b~`1SO%+LPd5+f{O==J346L}z=nwlQ^6)t7p9Wkt$W>ilNH`GR*p?2 zR>%;hX{sRu(K!-AKs{A82qct%8v_ktBlwJA8a#qM!cYZM84~b#Vye5ck&d}uwsQ;9 zE-qAb+fY1ww{rP3l3$N}r4Zy(9Fd)E1kyN5IG#l?E0z)^u&a!m!MqNV?!E<*jqF@B z{mDScc;V`7*}}|nhl-<ap+s<(t>|Q5WbE`e2|n=<xX42&3r^JpR8-V<T%dk7V|+OK z?}*(kKjwkjT@SCP=`RZ*#Ad=@ivl%p_aWr(T*jg22=cF=Y;u%mp{FF!=73i2nt%cY zktor>`RMCG-b3KH&td5Ld^L>O^YmRadMdI&nbb%zHDKf!QC6mJZ0W0a3<)JRzab_W zW>06Yl%0t;-zSGvUJMVD^}|&pX_umdWNdQm`Jp|ebnj!I>}S%lF7W8HDKC)S^^Mr# z6<^{1;KA`99zm3+wV2=l0NmsN0EGV^JWLIpY&_{aOpJT94DGkr5Po*`9nW700dcq; zm+YSSC>y&W9B71-+CYX3=8<fhQbl8nb1+7JziuhUB%@(5IP3)XC0I(leYkeLi;Frm z*`(NXGJ#Dj+jEAOEHLNDAbsdoiw^${sNKF7AZ|ObVm$HInKT`>VD6jZdm26)AjLNg zkc<~4*qIh{vV31X^{R#9S!`4WU7f5LsKPx9V)tsN=|s!&BFv=2O0Qyd*hHP&-)*KV zq5YIK0+>3!egS{~;15MPxqvFA>ckci<njg3C5$;yTG@&{1721rvTi*rv^f{M;gtQs zCh_mD6{$kyPc%%~+dp58Md1*Xw}i}zOi(~4d$Q>5#tYXE>k5)ueGcVgRZLUl&7gBy zw4RL#k7#lZc8~va$)tHSWzr0rpozbI>Wlb>%|Sgwm^>{QHnTSH@MP`okH6d3Gsr2! z8+Kg?FR1KyoA>aZS;<THMPC+{FV{8ddqTl}teJnERT$r7n8xMOh(_vyR0FJ%Ca{4b zCsYO}u&^47Noaw-wbpMx`J4)u;&3Ek`Ko8QN7}?P2dDYW{Fw#4^48Z7jeV(V%_00Z zLdg%=#G)M{$y*5(*tULvSqgm$-^-wWt;jtSb;m>K5c$(nw$2dO<bXBou6PM_1g_6; zeM}QdM@8nALWX4(=^1PYrDtu&T%OWxy-T5{ti(w=yHY|wKelK^VqgWF231?1u<nRK zszxg-H|_aLG2IHkpge#rQj;s-jSR;Piw~+4h48BJQ=hdg@tmhx#Ir!#Z1;eTvnkkG z??k+rZj*C~PdX5JL*8KRjxk`MFQCJ17;(P>sIj!qv0iy7SKmVkr<R78qnj)2hi!_u z4eFtbBf|`##Dy)6@QpLPv~PwrutgBe;SD`ta}BbYz5R`J)`lQc#sG=7#B+s6)E-~< zaE)j8kEPR-V<l$#^@~(u$slb^@f2h``xQa%+Yjf=kx6)a;fE)di6`RjbcqA&jX;uc zD%dBH`~sM5Zt%hgXU@+l=tkDh?mkH~X^5pa`pE*u^D#<Mf-InfvwLJ|9}Hh8uHSs( zdJwXSen9Awj1mcbN3>~skt^~E(+L)t2hI$h0e+DO4t<Fr^n)muT=V%~6??}cnXCEG zlQAh^kEunhq?8)YkU~X3(-<wk7RnU@Dm6?kPyIf{lC#jQEUu`oiY?_O?6Qw?By1h@ zF0oDSi!dQiC-rxNh3?5F=-qra1JXZ<X3iX7FN^59BrW8_q?`4y<cgA2`Jk9Wpyrqw zr^;1f`@wRL5eb19kwQfw<Q?3;M^199=Nb#!K#<(_Rl9_qi^B=0XT6ef=A#k?h_zD4 zT%sl2U}O{fta5BU0wd^kx}I|kLZYs$zuiYW+E>$74#HQm2y^;nxB69xsd~JRvKEH% zAF;_tMU@?a2y38IF36sX19fJ(wkuYz1teICPTmn$?aVXF@y=#F%8jCbuK$$^Khbxv zjRd8BB%=s<;H?*|aRd={Q{h)`=M!(|=5;O$)zCFMa$CtYKRguGUz0)5`)}C>(@QCi z*x&?D*IhEl_`*TxMivVDSk8s?GXmVc^+;}NzmPuD8S-~F+bon4Ym6a7h(Wvy18h~4 zOYpH&Vhm>R-Bf#DD4EiZS9&d2sbWjM!V)q3is+finv9Cv`F%E<!m{;t8WK`b+Ipgs znrWwUS`90-M316M4J3ok5$HxXjiGYqrfGB%4T~l@RpSYIhYckVOoRbZd*ZEKs&w-* zWFwow6??Uu-*BWJWz-RdTaRdDg?Sin*;dgpY%U0uWec>GGw`)ks;)7Btt6i|omP<4 zp31Xe4Nom2M5`gz4^5SPeOINTX-z+XUE6HFwOJKXq5)TAB6H)FB2xmHihO)GMRFNm z+qM4LGDX3Z-G_@}efX+Jp^>bSQa{IcmD@hcMGVfBeiAL+47_VaS)xms=Cym!u^SeZ z%;7+yias%DUlp#K_fB}-ir8`r>CB+(C<UFO6p0t0mXNQb)~l}PV$+iL)#kOFB!8E( zI|5qm!e98lg?+_amSN=(wdW5+(^zRA#d~9`3HxpK+bfxSgx%Ja1`<2+sk)UKv;p~a zJ<7}7<m1GUgR{n3-{}lxJKOH0%@GXam@wAAvn5;K5o6<~D?J_2p>5o$J(3{ykswdh z7$RqSy@math?aIUuIc=NNhD|MXAbAV%x-Qeore?jjf~}J*v?>=^vL7!elQ-Jbocle zdkvp_z4v&8^xfND%W!XS;pRc!daY8$RS`3ZBqW!n<K_8NVQDwLK(|a++3|`{M?bmm ztpr<cpr@jLP4YS3KgYm(5wL7M&!nHSwaiG*z<wxQlr&o2ArK;j=HOEJ@9`0d?p!}I z0Ck1@-BlQYF{kxR?(gntS2@!Czo8CtkG!gk?R`W3U$6eJx0ACJElqj@5P;(m5CH4{ z?NvGtyOd5)6&&p*HXIx<Xgr)CI6Q)OX$J^72PBqu?qCpTJ3`1?EFKAmwta-00bDS! zbVI+Sr`@Mq=R5blmS0U))t0xVF7LXYuB!aX6)!hEE}rj3C6;)8X=b|Gy)^BFzml~< zcYlvXCVe80gJe-HBhKOBTBqwViNMkQ2Ov7P{nH|&5d{9{bF-bR9fPgK>9U{9b7yDP zyVP?HsKwweYKjRmAB1!?VgaP(ALjs(t<%rp(~DYQeRAWFp`XlJ$nTiWn}bFeB|z$) zC!T$3^<E76GNP?e_3sor501bnWxnNsJN6Id(7jy%ZmKOqYvvsS{<kk==AERk1O-Rl zcSwoj*F_oF-JTV>1tOBer+OI8j=TyV4Cufi0fRIE+o9~1?3SAy<RvXd|J)McX}?mx z+2-4mmLArY5wE(}dy#U$?pndNkrq)Em2o**s+xSrwUD66yw1EPLzuCULBi<a2R|F$ zV9HwG!dH>k=J>uffa)?!4{v@q8{qsAz)an(u$FYxm(q_c1TMS}{eXY(6%jZ;F|hV; z*|_0r#>0^~z*(>Oi*X}6`>*N-?i|;y<ZS2XAtcp3^H&73!^mH~oe$qsbW1b%LdO(9 z$M-aUFtJ+rnAWxE!8F^g>v-*SvtINw9?`{j;;>(4k>K0TY91d#%OXbx5Ldapi$7bS zf_7{hVJ7Dl@F7q4ScdJATR%7T#wx%qeFj_^Nnui&$3EgYE-1sfaO~&-)Dg|dIx@wR zWEy)G5bGfIioWRf6k0mFy%p#^{`Zxkclp`*f@g{!w*ua&!NK0aEs~zZEt1%pFT~z2 zPjBPLE%rE$jp_UvgKe#6@%En)TiN>fu-W}@1UGMAidV@b62|Vv4S+Uq;ttU7Gnpk- zYrY>mcSOVJ^SHPeDCcy`96CFt0Rv;PBev+D33%tR{ZUG{|L(@V(ju4I?HsL4yhfcy zTXF<Q(RA-=mD!cbU&ew@TR<WDEUsp$sEE>X=BtoFtH}X)0|5G=6J#`|zqOW7)YjHJ z*Be3lHdw>=Y@A_lm{cJ36$&wWzQ337gY!$4usm^e!{rFfUP@FT@uX$g!hi`u50Iq) zl`5ZD3D(mU9HQ8`M2AU$0*3Xj0HKhBqnwdAA9af~Gy9v>nm2K1q;{SzT7YOFpiA9F z*dpBO+sL~!xJ67Al>#Yp^Tkk1vgDi5D{o*c-{am~jPOv}e4hmF)K?Gs^Kllsm8{ky zw)>!>6-SNMCDLvWo(3SJ>w)X<33%+)^R#$2+1^xbuSqlZabnChzgyhC+ij|B;v^Fs zEBIfqjqP*=#Fo1f+7BnS8`z$ur%<tvJWWAJXB$R$#P(dT#2WHfVf7_R=AD8_I6aY_ zNN`mhq+6egB>XEVEdLNS4^yM5a$3HfX(@H<xl|jl1i;XTy!Ku%a9eco4&m(00QMo< zKV&iGxW%@4Aw8+LkkMI!&z@n;C$dua6hz;af@H`&i8C<wT95VH?((7#`fD~FeFsmX ziiJzdVG@;~d{F1zUgVVsRP|S^Nn{Ct0ml3nH+F?<rI@+AbX!*XXvgzVfX_Y;Oja*G z<CG{|fr37VmD<!h(wDZE@v>P4pVaPr<C3+sE)A<Wi~RbBQig_}RJ_ISmYOz_Z~lO6 zIW}x$kbU#ID`~uz?d_Z(KQq*2Mq{Z_Y)Nq;P+Z~p&_3pDTta^F?8mL~(n{fXQ=!ag z!AMD+$a*rDre@+fXgk!0p4ws#;$xY9lNUfnuc&k2wM_vq=HGiDJC#YuA;g7?^B?m@ z-o4$0rE!Ol9As7-EJ(8kH8M)m^P5v^=AU70>YlyEgk$!sy<soHEXN)!UvcK{(a+t_ zT624V?mJcqinKB&e%=YfH;Y>*(8#6HweHHAQ%S84jL>D~QdUp%^$V+NqTh?CV#<}^ z@M@1wvpdHDXOE$OH(<WDUk5^iECxF%pHL$tfciml@}-PY#Ydy!y;K7<ezGmqzCoX5 zRX9F1Iu=_DCuIfk^;jhVI-{B2ujO9;YI&9J)$=j?zt3`xwx#&DT-J4{P2qR1fJ>iM zauad~8Q}(UVRp2n?}&@%nt;BMf4jqy=VTujDKtF#{>PI@SWh~veh8fK@<FxW>6cos z*=1Voy{>x?gB|O|JE~~kXK|rYTyTZXyH>B4$4ZWqaSQB-<6pFQ8&~7^jBgS_A3&`h zt0*zfvGhnee{2UBXO^qbw>s|t?!pd2o&?r>FYUokXrpVufcRGOcrB~We-^AbvjspV zxIR7I+?`v6?}|RJl_<=mx6`wC(s<XfVneS@wb8IoznJ{0Q15qeLmB-k-XBeqC52ym zv6N$WDT$LvP`nL`YC+2l368y&nq0k1tJepG_S94AhHJ|w;%&RxasKHf*^*NPzR=A_ z%!j`f*qT${R17?qbmN=;ZxBNS+LNLd3{?8~O0QS7&qX&s&o=%S5@Aq#efFI%vV?Ly zFiDS!GP$@ZjZOQQce8KL`RaWM@%Q&<T9JwLN}nE9a^jloruv~!=I1JYjf7Y+kJXN$ z8Z2aWpx;b3n!6lDx`A@nh*7>B-KQ=@a$As`U6Z=A=l=h`cb!?%S$f_u4!zlx;MY1d zJ}2h<fhnpD{E?LxEa^>{z<w3qjDhMj)$9h=i@mfEY}@g19CGcJ{bL_Q6EH^YvA4L- z@x|EUeQR42>CU|@<aoKx@9DOkL++~&%37b^KG6Cy!D|<c>T~OX;vPWfeu>7%Jprt@ z@m_=$xB&mgJ}Z52G%Av0pNPJ5bdFuc3CC>Q9CdbfmClrFbY3L*<fop<tgfUrzua*@ zGTc;~T>U0NxiBB_4_)+k7T-RBOJ@&ZgV{^M{b$W_9jDA1Qu(b%pT;CUc^Kjb(iL=R zdBpX{6E#K!-y&BB<~a@5a5CT3O%L3F#sc){p)M2@J7I}a{cCpNL0=lX5ib1P$gn-J z;)sbKO%lf=;>(TY)!mz`TGlsx3?cYM(zQg<cmN2_vU-NLJ?nj$H95L}AzTstIIE$G z1|qTfV?mt^%arYKUF|W9OWDGGB3n={9xJypA`+3yu=rM@FWwLz%0(XQe8+}H!YeLp z#kb8ZU+q`;U0KxSGGBB14|d*`R$gZPER}R@6EBt??gnu-i$zsJu}~g!G-<yM2CgvS zSGSha+8~tM2N}vJbsRCA5nrY~^~?gascXw070*}}=K?KBOc&z(sZSC+kXR0&m8(Q1 zfmJ#Es4~#C|GLsuz{{M$bx^TQZr8S~XUn*p1i(`VRjP@mKhe~VsxNgNM+JyLKFFO~ zfmRH~0(W06APlX+Gv#skhV-j{{AL454C&0CaTb!J{VXN91YZDR8?OOXM8~e3rLo0x ze-P7F*+IWe&$d?MD!+*P)dqn4eyQONrE&&;ukOxf*D=9kr!eh|Uj&WK?sQAvk0ba; z5#2IR_lxAeX}lg3aWiTZYtN?_wbQB<3r3U*fO#TPyfi@oQaU9frYw(8;0Z0D&6)}< zZ9&tNy5$6^To;_^=u0S!FZTXk$-oFrQ`tSxdiGTr>z3Jhr2UCeg=KYxL8*EVPSg<X zRXU7C&pUviEd#?8x+|$YkEjc+Q#D4TKyZ3^xmiFYhht}U9Vl=WvcglQw>vw3;P}a= za=1U4(Qq%J(UZ5ANU|uEoC^_5t8Cn*^I|+rse3EvnT2Zg#bBX5mEQas%`pyQG(KDF zt4-<=g8W+D+BFr|hI~$Zc4}`zw10MzYLc!rhO^umaRhmQkn3mhit`dMSderWD+%ik zUvk#(q09O?1>)gkd5u^VhuI;yQ`)NKVS`Rl2#3kqwv5ZVkE2;vt_KHJuKjcRR@iwR z?0X7#9PEFRd{TUuw#)ib<vwn?fg3(++`*_h=<npQDDYf52l&>aF&$Q(-3;T7EF62A zVBG7xWzAVDNZg-zt9aV<4r(*@6AXg;^pv#7@9IF4{BFg!{N}F@gl2ILCEZ^Yi-~74 z?BGiVv%D^{?Cvw+bQ0farmty-*zHb&9MzxO<`5bx8*&=t8Ul*3=pSjp?E*ap=;u=x z)OYy#Z{F`i5Hq9M5P$_ScT_GR1sz_x=;aN)1g%&@<U+_pru)|}H$riR%ue$O7t=r> z8}@A9K`0zHNAFO`MmwZc_`$>wlNFraF&a75-CvRtr;RYiX?w387!Ib!Cgu0+=aM2X zeZW~v<M4@g4cnd{dfZqtZoQKJj;21Ri{DNh%>s-|vATvrzTeipYt3rV#w}SkUgep{ zrET=3ZRDkG5=^Iu{PHwsHt2z5jr9b1y<J;B*TSH1!s)mQ66LW7CPYe0oTJK_h8=@6 zTF1=|n)4LAvIuZRq<mR;B-NB*73G$K1Z+icr#tFWZShkl@G4=vr7L~cB;k<R^<HzC z5EU37+a>_9UHj=!Ch(BhEGF<ylK%lE>Jg$62{eR)lo|t)=<OB*07Jc57`deqxR~hq z0ER}y(ORZN4f!0R9m~IaL6u$$&K4RCYuQ&^kdjU@-q&F2ksmGrlm4l}`0SlSQ`|@j zuf8EAyCRXJvOc4tXfjS?20e;1muAlf1@g1Jo47K@p!9xFcwnvZoNQyOay{3SdfUjD z6*s<60Ma3qKmnnkhLJ7QC?R6LSw&Ro2~F?eyh#sBuX||s({hsp1~=XZ#L0<@Xu}B0 z$TVcEc{~duod|%&44Y*6Q-3%2ojP2;azKM(hZQ^?hkjR^ETNI2=1a)WE*XcVuI8B` z9SldktC*aVEa)eBK$`$iZA^DDWca~<r?uQt;IDG~a(3c1o&3G#ZH4QyZM7YDZo0X; zl0}&)BAmt4v19$fix2OW;Hxu%f|U^b4dyc!3WFl>(n-y&{V~#Fff}^H0^d7UL@X;k zUH$4&VyVG+y&dsWr;>ndD0&gsCYn?y=1H{=&0qa+=)-^VGqaU3<<ZF00P9&$S?v=b z5*AX(Yt?6O_6|S14dmdw3$HQ>*K3@%jnefLcBK~8xh9e1+Ttu!p^dwW#W&c_((U!i zyLPcgn_8THQ&z-$nCcx5RZ%g8{6dha`v{CoqxNTvR2jT@JCJVj=8A4{Y2Omy)#&6_ zCBLHsRQ$%s`oM+#z-#IjB067*qq9AQ-U)Etlo%&H62M;ccPMEXcB)=xvbyg;e_%Pq z$3HRKM-a#?)-4gMgbgALB9J1aARHqs2O%LwD(nK+Tb%_U(a5(_JlBVV=*NR~?2~kv zl@Z%2GTcc(plw{hvZ5AadjPbiv50N_QAAtDs<X*7;9af8TRq0rYnUDgE2h&+PyNG^ zYKdB~K;FR0!`h`gK+Y6wshg3Phm}^BKh8^3#eCdD<sSJV34R@yhkRlofqGV2ZQ;8F zf<}>UM(MM(utfz2PgjbGulS3*M*5@Ow*G^ADiL1cYb~MkANd{=qb%q~VLUNaoM~OH zdnWXKm=LT!G_8Yf{EK+Kl3KIr?`<`?`m#AvD-<^rq@s|8myjnk_r<(6{^K+I9{IWM zHrFHmg&qjIy4Tb>>OnwhVObw7Vg2U8FBc{vv+YkexeXh-x-`4Yjb;%Nu*~hCb|eda zO_j9JF(I<xMhaJ-q21zk507}ZB@$bxbSy)XqGI`Dno=)AmrZo!HK13F(uNqKbrcg! zo!}O>=GRZOJpFNwCW=K9Eoe0g48xYweH^AnRr<Q%7jt>)d<mZ>Y;|6RMrcm7ipJ=& zr;7I<Ix!7<krQulHeWxB(j44%S*ur=0=*g>cp)Z`MQ4L|w*SPT5EY%Ks}g&=J<#q? z5w>a4l$QtWxw7_(t0Q}%%5A(Nm4yDRa)nf~WDFF1H&%-b<eo)N4qJ$kt2of*Xg;!O z@f<Mmpzwe>Hkp(ZoPYC}KqYkHs;u(xM1n-jnrtB7r0&{^`IWmIfa_G+l=5tGZ;4}# zjqa}gVqr;4)doiC(J6UmWYhV=o<a;Z67_*&o$Nf1;ILOfutnH`QZc3~)&1w|z?S)( zZR`E0<AdF5{!*7fw=eZD_EkaQjf%h4bautb4q!cNSA=9HYEc%0gLfFdd>B{2+5S}Y z$;MHWKwdjbi#jY5_Xo2h`Q3f_be2-aD65}eci1ha$Ha9rRYRmp3@*pnr#Ews?!&!_ z2w~|ffS8HQd5@@HNMvQ7y4TrQsV8&On_Jn`)q}Y`eY3&o#(lG7@vtb%^EJ?Egt8%7 zz0_4M=IUxfLv_bR|NB{U2mivs7{b7>G#om4xL%KvW1sQoH85g;SI|ewfL{VJ>^&_- zOhdFQsxbjSn%)YW&>lN+O=Wm1%j{bn`&1y^C24@J_V?<<!K~lmo@gQcb=Ld-jGL3m zu5rG25$F3MK%?jLJZ8aHn~=L%7)Wuw=cZ~bL_gk|f_d*69Vz<_!8Jk$7rcrErZWpf zPV@dEKY4$04@9#X-L8wl7t6^HpT&+^#t`?@Az5*cbpPN=m;3erWH&d5fgQ`PM6aRH z%(r@D!7R|-0`42zQkdB}TdV1g(lD3a36ysvzM)F`o|r*jX}9vXZ}+K&IQlmeuuJF$ zJ~H7MgLvjYydrk^Z9>liI#Sjeg*EUD^Z2I(${R}Qe^@cj1VHvz26ewCtr*|Q*};$( zQwftBeF%y+DC9Dm%*4D;^+a{wBIZ^bBBdZOvE^o1Q)PoWAu(sZdb)%-jW{B5Dbq2^ zB;~PZav8C*BD=DXUsS-R%HS+Y5caJtihEg=-3WBu8etmL%czc6xP0bzjxg&h!lJ+~ z>oEYrkpeksP%EWHBJ?nRqd=MyErO!PZVFVtQauUQ!la&9Fr22#XZ%4etim+n-@)M5 zgR=^KU<_@+z->Dk2efsy!|hV%orK&*ul56b@_je|he_J=z`hKAaP(uX0<*sRNgr{2 zrw9ZH+Z?Yzvc7w<OL&Y}WTCIqqbGLr2kgox)ZkBWF=l<xMnB3QclmJ!=`W0(XW0)h zcL6<NDSYDIAb&wJSj=q^{^D)`vAAY$Wa)oaMq{|7Q9R#?6YAFs!w#kGXX<yYnQHe& zS!TK`0e&hQC@V;te)zIR%&A@3nm<XRiJ-K=caE)8Ba~lU#2V#dL+G&2<p~bwKDUOU z1Z%JAF8a&(r}B>wTLCbxT|9N@cZ-Eg&7N1A{058Kw{*aGS48rb3`ctqB#`PEf+eP= z0tl5aYyL!e#QBEq*pJVuSM8t*5kFVTe<?pNJUI`Yno9fvllocUp!PjsaEM}^jmfUe z{<pdJDl<9{5;@*60!X%Rs(i_V4IAv$;*jG9`@61NN{Nv5S;Mi0lu;u5dh(wHYzCMg zonc2C%A#e(K@M!swZ1KB_@oG~=EeO#ecnh+{3X|c;=F2ZIQ;n;C~72qO>WmfQGED* zrR9G!NVm#z>C<|HW>9)%uSxx!3|9O>vXl0dsNELOU6UW}jsS2X7jg8~NFSJcFiGU8 z_Lb!?yaQuZ4EEp6ZVyljzntnO{eknPR5GKXV*y#7uy?+pOUj|@#yW8SfQIld#wHTA zNyjFxe1u%k)@jqg?9OQ<(rR~@-MvmnwcYew|4NUdgYM)+EJqZn3HvxZjLK-EBz<kR z7~)M={M;CYc5cKQ;nGHRme-c#`I02di5dI+iK1cKmlWX{x#XTxo%-WUef3`wt9j5{ zs@s!Ib@f*nQX0#xg6ECD$T{hAae~b;=|duR+T3eN*NT{ouDk&hU+nKRv+Qs~&Ldnu zmc*Gvx%_4dE4R6S7=RA3E3(9Rir1NS^ANx~f9$#-zMz($*>^Hi%d_vWJ<;T={TSay z6_!|7T$)(w{V=Bc>zxQhGr*>l9T4pO5E+QD8=AvLFPlD<)r*@CW~qYaY<NE*SfP@3 z&6iO>p$y|@p>OSsAxos$G-BzKI|CK8MTI!v4EG*BJ|e-7GHsT6OScW?V0OZL+8`2s zXLsNhzJT)R{x05o<88!WK>eESxh-V@_9nm47C|URn~v+T@4I|3%_@2wqO223l6`|; zZq7U?SKAgIl6#3SBiP^^ZS2w#NbMI<UR$Li4~(kE)SeM!@!tCF-8lxM!b{LnpE<h8 z;%sxWOVU_O`Mkz&Q$h9d9J4x=GNdXuQbuwL^JNoe^*TP{o9L^vdFtXkT)?YMYC&Q< zobUXQ1SOy7`ciK0&z;<;{S^I;ooCBa61R^ZbX%?v_#R6SGn_eBJAS6F$=@56-66yz z{ozNOr+A@}2ky9+o=xvjIvI7DG-pXZ-_#%MH^S=9N<<MnjDdUyp&mv(5&L?RGKAX5 zgcS;>S_MyI8~nJ_50R>Gp3z||NNg53A<O+_$NX%!f`Otcv}2FTAb)ckO$fdW>KRo? z-Oh=3V`-}QUhB;FBj`9Ped|>G6rns<XvKV4O7Q@Z1*2{X4*#V{JpCD>Z7^>vNembq zSy5yQ2*2M6d9v|v;1b~cMB^XPKKAGD3qFCI$>JyBgg(!6$x*Af4KyQ$Ef}PZ9*U<X zMCi}?J5-zKynK27rx9C*bDamCasqM@H?v8+bbCaTh=^fPR-2eo#XIVygpKYYqD8HJ zACBH}t_2<!Ue*H-Us0Ga4A&HJD5Cip`_0qlOKo)|dJ$T-dbrvV5+#MgoTN}trE8;2 z6Lm7(Ao}|ksXKxQMU)phmYnlBK1jMTG23$&GZ5n>y>9w|i>>A>8kJJLDC?E8cv;Hu z!X!UjOm>*9(~6@sI_c3z1DQ@t)-y1F#Z%-|SmdJQS&4B*Hv5z?gl`6<*!t1%&rB9A zM)ysky{C@wx`?%p5GFaC{7)^n{&?jJSK?j4o-eWNIL}ymF0F)O{-nc)Mh#|_mw^;y zroi%uGzxx3zP}0&;*`R5%A=rR?l$+WZLFfc45X5=le)i6-%yH34#$(^ZYxj&TlFri zWdZ&v(JUF5FY%yXa*P<r{uYpLAU_kVQ|rI?S1?TJS@77T+rGO*NL`xYVV-p{ki**| zv9`pHrrR1KTWyV<g%+L2w^zf1%M{LfNm2d)F1V`1sE?qUVX6^ww@p<=72Cb8ehou@ z(T0~J7tO}GDJ0$1X#EdrK2mkaZ92}0n+Oj&UTp{~-=gf0S$*&^%VJ2osdmFneLSgD zLFQxw|EA|bj<Y?}Jymho%R}IAK0i2S$%=J-QI;%{?UCmrC@z^G%<Nk%Qb`(2E$|OO zaEl2~3z2o42pqg*F({jjSbfJRZ_(_}z!ffoic0|RZTmIw7E@_xYs8DgA4VRXG^V3R zmd6cBBpJcc2q}z{XVrWzteSa5A%4D6FMHKIKiN|wR@EB|NEyN9fq7$gUIPrhe;#*m z+%wA3s>C5RwFK+iI1`-QK_{KiT5~nvMEfHZobKR;H-Kwk90iT6;tOBIR@b%0+vrvY zfyb1k-4WAba|&i}e=U#+MdvhqWOrYBm*v3k1C|T8wpA!4%05n}_II|Mvt5f>K^;Xr z_WE*1uWIz`rWv-peTKGIn`^|^n%rYz()xdXa}c4<I(g!q#Hoes+~>dJo_uslI?s(; zzBh1@e(LKIuTE%jShW(VMdhlWoyV$yU4F1tX(-o4o@tSUQj9?)lU1MgCpdygw<kI= zXtzht+PNrI!<qTlQNyv4Wo0O3``lMN=JJ$qV{Md)2XblfL;NcqcGc_uP%c#;Q<5!u z1S&<TiBKIX1+AwS{}sy~avC&eygt&?t*&wu#l2y0XyB|??y+J)77+a^9o^J@a<#_l zdRAeFoN@aHBk#gX%d(jh10xfs38`~w3+3ci!NrR1k10!~@MlM^4A0ovC^g~Oy(%@e z7PNc<_Jxhnou<`E?(wdZ2OZtT``#u4v)Emu9NJ6D_UEm%Z4IDX51<dican@O?@;9E z8Oo9u%USaX1;O9N{i9WCJFr8&V|Lna*jzHIqYKF*xz=??%W*<;5|yqXcd`1WeeF_& zlPPLy4Zib~WQ#_Mi=nD0BZ`%)$C8(*u^|E`(N9VVjyNI9-xD>>a(wSWa<_88jh$o- z!uqknUodF@NV5CcGv^l7J}ESx5cN-pi_EI5ju#gh;!|(q!mJLnEY#<rOcng5HY~DA z&(woJz}!mBemif31T6Z!ctx$z?+)-F-DNB?m@teKBl_khr6-@?7%&Phhb@L*0%i?8 z)D5deBEC?(KT9-|k)5bd5f0jQ?im%My-D%-sK$6{V9th-zH%o=XS1>^1G#I0P$`bw z%atq_J((#>i+!2U=zqbF9UnQp4Cah<0)3~X(JHxQD;jz^9j+Ww#L;)Zjk+a@uDZ#~ zWa1~R{xoO3txCRxJxnk_-QceTvhYWRQaP(i(oLm8#-uq8V@ghCp*qgSXrsF>Zm=dO zkIo|$pGiueVsVFi`27a9JwDyv+j+_(4DSWB|01iB$$b#c`*=*)wbkXeXuEHI;4`xb zj3ax4SIUX#A!rE04XeaCz)DIYbxF)EaqAOh&lZI^E9F$Q!mIL%c0eXvES9v{$ZLLz zs{N2RL<hS=drdzGpK~oc=CUql9Oig(uTSiDOG&+8Ytg_=-9k=RV1cHQ_TaCIW*y4* zxIUb_%U|7X;lJ)N74)kS#Lj>akXRL(n@#r`6hNu275uv16H9<d>^Qb`Au4$KGX;~% zlcEU8I<+n&R^wr+`AeuhH3E?UWe>MG#N1&9d)N<Q?j(ncrmB9Cuer!yj0c_sHN|zK zvHJwkGa!JbBZ8a$CmF-U=Xf9-Q8xl1qweX{&&RdJ{kpMT%mDf!Pqpf6!!0WMY?t<i z3)CqXzG#$HFB<`!?EB`}`-5}RosU}q2frKtKK`I^0*`Y#w_^e6WYh8B$M8GV9rXpP z4l{Y}_v4Sjq)3^x2QD6Kt^J3;JA_+uWB6#3LSB}cxF3G=(Y{dWpZw8=>fZ!`^la1p zSo?Xf187gY4f{Ol=fSW7*IyQD`qs?S)kl+2nItpd%&tws-O{%>===s*QYKhwp4D2G z^W~>ec=@;>Bk6o)x|?ceIuz_f`0((yfWLBwg2l7(N@t9jre67k9?IYG@k-7m@Jtp@ zq3Sohf6;S(@aYhRYHLar)GYXEQ#}OT5+6>T>}%Ys5Ylv!O>RFV+B{Bl-k9w{hSY-u zX?-UHe~98O!I?2HMXqr~N#GvY#9ZGf#z>zdcZPjy^$U(8YjN`n9kYX@A?X2v{1@QK z>Vjq^3?oo_>dD7h+5iwG#3a8vR<S^DUaC7shNw<o0z`R|z3i2>q_ZPHKyT-Xx@ot$ zvc}4ZaBFYtf?-R4cmp6!dxuRX-o=#%$A9C`g_bWNP4dH6jT3>fb2A!h_x7jU-9A6_ z7qRw7=Z|~OKXMb_UIa#P7EK~EKl+!R^DR93C!O-tdF9{^^16vP1d)j*d6|sDh9*dB z(V$i<R(>dr_Nrg2Gi#KubaZTc+QFsAZ^-szuJ`9eiyM`6ZT0zxpLoPiI{J*oygys# zfyY)*KWf@*SQLFdFKtkwnkCy!@ux%9v4i0@19#bNJ#LtMe1a5PH)Q-KK-TfGo}%N& zTKN9-Z!pi=dRCv^C0Q%RcNwwF;_fbZTwud@dE{@Y<fHHP_lEB){_C|(`svK%?_@N; zXk&*sA(-U1{qzl@_-{sZXFSP$HCBP5w;DM`{lT1UO<+YqR&CZrsJ>6uCi=(kT+dGN zBW@?3X~y{=njg&Rmq>?GS;{h04qu8j(F66NLbvu-kHsB2Xbdi@X_q-c3Fzp`-oN5+ z!uW5*O3--vrl<c(?RZfo()k<0co>ot3m-;!wg4EcOzk*IB{CX|x261x=MbASCer5n zT0}F<KLG^VBhnM<RjP}AYF*=*9MIJ5ZSM0+XRmB#H{C_vIwT!s--1dJ%@665zTnIs z_!n>Bo_+sY)Bk5KV*J+fyYIg(7zvuXrO&;0B*7rXSA&p?hLDzMP>U0bIsUWmRR8of zd1v9Xg9J_uOOp5{$M<}V_W1GLyjxnllO8N%b&!U_16=q6TK!|+ze9ZY2iAMMF7p?A z{yxG|B>EWceY{S8_{?tJ125hq50U2|qfPvQzE3j`{{@u#ef~9<^3N|L*@o2~iD`0& zoeQbo(5an22hS~?UyeU!SWk!Yn)x>5$L6PzyMeW+*s7UAkE}&I&X;=B!w`@QQT{H= zY1W33k40Rg)w9m6jY#Nw{}no(nN>A5F0d7_-?ThJsA8v}1@^(CMQ$ZyLDGt8g*<F$ z9t^|Uu)tfHVri0R5;UjXlfv;WpGwdmaLwO;C)`8YdTw5mYigsrya`%`{#u;#*_@o2 z4y6*2^olF{HW+K~dWmf5nW_Mvqgg<DUz*}|!Fl0+f4RwS21K`<f!lIX_cjF=d@4o9 z#QCuy+@Z5XII1p{E~74YZEAaZ>5O?eT(MQ`x=&Qf)>S9lssKdKtyui>Nzod%)uS=t z_7s<Q!|2f+boM^uz++OkH<ymlSW75@H4Q4EEn6cGf^{ZwfI~_=f{G9K6=$>`Dsc&{ z^N9o#`M%o1*Hyd{3w1!Ij*m|C-f><_%uiGq@WD!B_82)LQ~K^(gYJ~+xJu#JavJS+ zm(NkMu!d2upZ0GUrs>~)ucLV-0@|i#xIasVJz^kT<X=gZkjNxEteXW4_YwOoL5KCm zoFZ&WyDKN-M|qAb`tYf(u~vchw!hP5&H=HeNiP{Io|8^8@JepDNaLtdDA0<kQ?&IJ zHhMrzH;JhC{d}(+c2HU-nSCA2G$x-HP3YgggAHigVD;t$wmi-l+%y(1Qp32pYTSQ6 zut+E&hjV_~U<QoLWB2bBLrVW{w&x8g6k3wql7C|P`q$j$95GD3DWkQ8UfdG-R_yCq z6?Mk`!(M9bXuguGc_9+;HNXye6F*y97kYSw`3ojw>KmDfKeUF#D7~9k!WCWve;pfc zOCGJLWlMc@=DjYZ=^r!sXLLCCMl_*5=&Ch9wC^n52pM7=wJ`fLRSg3l!2rK^4GXc} zMqBmf|AFyTQUIF1HmF#&VorNg^>5@3FK<&^Y$l|KqGJG`@jh?#t)!3P$K+E=Oi78b zf$i(VjSD@?DP&*H>!xXq-+3<%O6fH*wWb^4xH~)|Za`-kW@K(orz6Xs#r>iy4q~=6 zd|%<$$^(4%0lgI`ZVQw>U~|Q=O+{$>Zem7x`NFP&@{D(E%y2M0SmC`#OOd|Y>5|r` zmGZV!?*&Q3Cq2p+!o4a?UUMjV(m-=v+=1J<eI;x?dlT6a1MWils$-4eItD8p(M+2$ z{R_~wxc-UQacSoIwtkA(FaM&l)o!~-bb!f9uWjb=kvcY<Y|QN}VQbx7&UvHJp-^XB zz#IbZqP^@<PJ6UQm_@<?8HC>IsyBM$g#FILj_nr^kjy3#41C8kBAeU+JVynz|JLDo zPIH#>$}LpVV3YVnnvIQ56toNTp2v^alwqb8sh8nQc-am?GKt|V8+A!AT_x-QqeOlN z$6hT5tgtSH?}2}qHghUizhA-e<%0E<&w9%7y?#$mp^9<csVk%PtY6|AbWbYafyB1b zTPgp`^Wt1Myox^nZg%7j*3ApQcV{0vJa50zzf-Nd56PXq7qzX93;bp+CwLcoH>wMp zw-tL%5PH@7zS9aLxz@4i)jb>%AvN;%v;OymBo%UFpha@S&o|W-&0JRe^6m3}_op&W z<q5Hs3BKpM>pKSuyjyBH_)JzM?30&UreaUa{Qx%Arp>|ijrBgh;P)LYg#%2SgsKCT zDRCN&h+f3L1R0a!p>pt4!hF#{D*-E+m_XSIbR&NLYbO%zd8KlO%&kmE$=Lyi*53gq zm^=t8nfwwXmfQ#pPht$SP6@b2^g71_*__ohpt~!fQ1Y3_^u8Pz#`QN8B+0qoXWZtX zUCfz~KXS|E4naOcG?W_Kc-PpgDo4exR!6y&QH`j7T-Qb(huxejyf6=JT^e6YqZ>q5 zL%tvzEf-_ASguGG0DNUZ?pjvh-?s&LFmxVajR(&cm(4vDNIF=I8_kZ`p}4c~i3t#^ zmg~CCwT_IFNRUJ`V$1vv0=K7-xEeFqdoT~?z&UlHpM+kgLKJfNOt9R#fUUW+5-E~M zV{CiqMc6bXI#*Lxhvw=6m%`QpS2?!N3lH=>+7<ZyVHYER$GXg=1MYnzSmCb6jTR{r zs3TJ)VQ?yQezPw^;Uln@vW~Oxi(D(4esv?<vFkG2yz3>|IH($vl5ulrq{6$Q7yAU2 zsKOME@fURm!gUz=hg1;%XQ2nDP}6IkvZSNUJ9vHYm{J#Q#hV~*+BEw&x!nA2Wod@@ zv~%}NwOps*13?D}f|HI7nv`$|G4gjLzZ&vT$31{XmevKJI7ut0^GVFb^<+FkvtieU z-=<Xp#HIc``yt0dkDg+?K4A91$MqIp1SB6u?48By6z%nPokP}R$fIJOo~lBS@4pD# z0m`>(TlH!{glH<kKyWsG2=o-gZN^h4o;W@_nt+4RgJM7AuaNx8zCDKeomE^eotCn+ zw9P=4{jG=n76K&5UBJ%y-U~@-6!^dv+qhgPWrP{5JG|$Z16~ya`*pu-e_}^*gVQJ% z!e(mpIsER&2fXY_)Q8diVQ8){tPW07D5JMhUiuA^aj+@=gl6|_p`lS%%;5#~!!(NT zaXTkD9%PrqCKTX2)4(z|or+<bJJ`5<j>&=gyQv%SBqtdYoP@aiEQq;{3Nxd@%Gy4c zj;NBcoqAKU7kC5j*hl{mm3)x-fCS(oJm+O<q4LOd{~>2_7XMxBB;7~ks#S!D#BTom z&Z|vsoY5Bk*3*krn|0udq8`esOKFgQLHGTgW{!xx%dsHK<`m>e3S`VJ68d3cozO|M z_acthQYECpv%s<pf?r01E^6q<Nq&Aa&JV)S>YQ_>ixm-Pud1MCRp+n^kT+zhYjbwi z9P}%gVbTtNNW$8}Z#PxOG29me4RPS+=nKMrVhDJuY4``Mhr*sem1C#(l1;pf9yU1a z=%cODbX#$U!f-2GieaDCdN9Rq;1)uj?(W9|<<dT=#;Q-xV|i6|IvJ;vrX%CuF?0X8 z;64pF(vN?BL8J?%i+x%n9U(N2n~KRzvhTRu53_+`lcI!1F+PG_Gs_n?iP9sq#=8~k zHVRPn;H|D|(c7tw!5)@(TFY!?$1NAqX9TT2;B&&iWez#q)qv5QKU@u@_=lkj(*~PE zss+}U$q4gDfJjhm;vr`=oXhOvw>|w0VqMamFE@T>g9UkN_wI&xzgpm*CkQ3|=TKJ< za>I-r`kI(|FjgD2H(F=`T`PcI`yJkKSaB8>huPYuStM&w&a_ChMQ;?2mO5Ky3mjE< zF{L4VYJjV8x0V%JDzlH#7`gLB6uZF1IMlK>0ZKMhR*}%~DF|sn<n$wN=fP`5J4ScJ zp|PSt9hEd01t)rLv%xjF+jt9wOO1c*6|{<H8@N@#sMmV;e0!^55dSd39}xWKJYqq7 z{dZgvG;;lt+Z?gM*p8gdp<xNSG8lowchFQ>N5slrO(u$eD!qnWzHc5FW95EQN%LP9 zn^%YK`<GYLhD8QRs5%Lyc+Q!syuXx*joVJfSw+`c*Mjhcv^Gc8t63HVdt5PAgYd<) zHb3u9`?tru&pNRI@cs8N^K!wLxc5Jn!}zqYK04V0>NpQn&Wiiy^0VezJgT=|1h2z> zhTWgcTx}4LXyn%LD7>mbZr-HcaNgaNzNjZ(OCC_6&(UWuRqWK(Lo?}E+;nbHG2UAJ zo;-Bu@bK2dZf2UbQy~84Ul+F=)xB_$z*?OcTzET<WV@lT7(>NWJ)u?ZRc<6}67DBP zvO(e{?2R50$Mi#Es{hkSOo$E8b;xI(#<c>nOpsUt8|6C_*f9Tn`PYFPc*bW%UV^VT zssP9<s{JPfrxzGr6_PwE;X#*_1S}S&>u;D{s!I<NSl31lE2yJaUuIQtUTg)_UDqKu zPW1F*4lwd`Z`M-`gaR+*=Dn|*<qG$xC&Y(^wDbBlKXq1Klb^Vk<%+wgs|Av?fcH5j zETp^FJA`6}Hc@VB9=8t^nZ7k47`@jI&y=lrFS4G#Kp*$!y{Sx}#vAEb)%8c*SR2LG zY@!?k#KroqRr56!O9Y*?o^WvMAdmbodvyXmr}s`Iov*j3XB{wGoy=Swd*S-W^Vd^x zGMrFnn=tlQC)7{6hWtmYDSqC4?2AA7K~pUH-@U+rZ1Wc_JRTUMlm&ls)uY^tVpdhO zmxtLKCHR&C*6>ZN!?^~FlGcgDtvzQOv*HH%xtV7jj><<Et>Rd*#IJQG887#!mhrD? z;gOF)0pf0!z!XO2Jxh_exm^HrDTMVjBIIe_U%YOcq=hZ+g9*Eb>Ft=Q3YTjS=YR<& zzpZt~wXadr-*gb5Sl7rx8~%lIwBN3%_zJ1=4;qE2i&$_lvA_Y@2a@d7Vs&vNilCym zw&6ldV$Adnk}9hQyHr(Sj)S!e&O?XT>H(*tv9sGy0fm(HBt3aPg<|f5D0ym87%o!E zsseD}>fKFu+<l5m!&w~38U6Fw8=GFM`B+V3xl+6bkX<JBwjJ>%>}bbWxJ`EtRa4t^ zOEgWZ;Xpo=853XXi}7XMdHaRF8I!hu19#;U^gOnE2Xi`3@3M#?zcO)!G5P||S@5PL zU0i3;x-}QlT1ia37W-G4X;Z!($Xfh*Io<6-;X3<=IZX|OIfflgDYhMTxzl$@A<GN| zG)oPsDaL65ppF^Oj!s+@NLI5$Bs)G2Zz#t%+fETE+FQ5WQE4SRMHCy@r|MU-F0&CQ z<C3xdErgO+6eo0{nE(ViwT|wf<4#C%n);64uH2n&Uk(rvHg^ZhJCqU~cROUwyFD_K zSEbWCCz@?_W%=YwXyqiVSjpI8Ok%sN_Y6Qf6DS2Gy>5t<I^52pp|k)EGJE=meyvdE z=$D-hASp{{*{!H2Ia;NYxFZ0%V12Se>_me$e0ikVt5C^#F|laNUl(2J6nZ^$z*$)| z!Xd?1zi2;^g4rSY{LFt&yu0?kUzD{919MAcFr_LR69QPrye~O%?XJ70VGzYVMC)mX zU~&1arR<B<6rm9BcUeg(q^uWKL*W;*yU2!<<U>0LTjUnCn!I}fqtBfwb@%O8OU<rU zFQH|YxLagLwCd!aOkjFIfw@_8M4fzLPi+i?2>;b`1aEG;ML30m2j1anVzGB07-)$6 zy-<p&y-*6w2`Vfna2Ey6kX3)rP!LoG;J4LR3=3mCXI>+VzuY8{7h5Z<8v6}LH~S}X zp{v<6Solb02f%W~Dg^r%blokANH*8CU{K*TM=wv<UdcAHa}mb5e$kL~m@hBasXDW} z<4!|@a*ccm9s~SShs9u0!4B!n91rmY#1$yr%b7LOdo4Oq#ad}i{)?$pXAUatZ{|tG z#H-<Qvj-VsrqT|qHR292vdJAlRKPUT5gxt2ej^nK<cBba)lH#G-1S3kXr5#xIbH+f zFe$QO4*RcMjw`X_tyN7>^f-e7gDqoDGF6;allnKcp8w9VhGvo6@(={-7{+<;MvpN} z=!i8R(t~O>s+z109U4XB+es})H;6=@%@x>O=ZFQc3r}?EXsWWm8=r}dUzo{gAsZBm z51fM<OtGe8VtNJ`lg^4Yd^R_Vty4uc@I><h>xA&4A;WzTlorq~Dwx9#pm-?v_{^QU zA7;;JhEw`q(J`p}3NJ%Yr6yKBRs8~^aU*)#!bo~z;Q=5Tg~W&}7)@sfMcyYq=~z@O zz)dMx0L)ry7LB`>s48(=Wq;nJ3#>z;KzUDs0AOf)w$b*F;$ztt9Kn}n<td*^4TWd6 zR*MNr&O<w05NLxVT>yt-&05r66pM6^Gb{M(RuF3ndMGqhCWz2f{6;4G7Bh>ck2YT5 zra{Hk$(S-TWLR2s1M^mk{}RoMv_D8v5IHA0D3sp|bZ8nfGMVxWJ%DHESHP(|RZ=tc z-eQT>6I1b}tOP{l+Zsflk{#k|zf@DzD1XK2G<o?Voe%(k%-3H3Ch`0ugbhECOFS_H zBYxnG`2IU$`5Yf6wGYpxOe&i+X-`$4B*k*@9B_T;5u8TT7D;uX4mpk%9{A@6m~|0) zswI=YCu$GggbJ%RnX2yBW92xh6LC-U6oqbLi2}#+`(k8?=03VZMXBae>0M~0=A_dn z0)M4Ld06;Y3HG}PPmBuHpo^H>$<F=s{974>ZVcmEB!};8092T_R;wWNhHE~7hh6ql zcH~d;$^v{yfNW}E;nfs*0bv+g=@rBO03$%$zge)m0W6r~+Ix-+$_=7wRO}O8aa24; z@h5OmbCPqxbz*{Mu8wYsc96$=qIuFnP#x$YfMdlKloncVtCLro86A`Z%bf>F4QQ3J zD>yBDP2^sb(E3%rP5VcF*N(aL=`o8Vg??JK7&Hi+rE|n_kXpfNq43sx%%D^r*$sJ! zE{!Ad<zp5%GGNp>G8nT;G-%YpK0qG0m>?XY``i<;Qu!F&IJ8Bqk)a8xk*LX^4D77; zzN0K*7O7Iz0n<Unn4|@@3A<6$J2d{L#N|uq1A({w$=GILRnzAd;&aXc+u(NkN`!}x zOJw&iz1>gvH)lG)iMl9{=^x5N<`Vurk~h(b6m;m3v9Jb#=o_AZWsLFsc$x&g_?k$s zeQMCgXe*zz(LThik`E4tIMQ*VwPh?J`=NQiImy_x=<rM^TWuZ`yryzN@#7Rk0?Vm( zHpCCF8VnY!E+j++MTy*^G{hTH2XF{th^HQQjWLis{%1q8l#In>N^Y*<Z;!khi}Re3 zW|KkL;u^ei>iZyRQ1b1oaonN@lJovzM|2qaK1d3b?xVXKQUXVSVeH3@hrfnX-X={q zOHeDq_;=OR!B1|v_S>aEUwy207uDe?w;|KQlHknSv2p6$6}(%VX;AP3*2P5*L|zmr zj=mi^jZ`*NyIBK-cY8V=HO`;+Kygm8Zj0vcx<4ib${GWSp0gK=Bf*gNG0xdb#3?UP z_i=7@rn7<rH2l8hII6%DcA5HTDR23vv(QOV_u;6zA8$9tv%c-?O&1#X7gyIwy3LrV zy+ZyqMoXu7;7CJ<zwh{*Zs^dFkxppCk@g+Zg;fIH@}*T0-o@n(JwP`0IUV=$m<nN` zUQ|1I?#_eGeni#v*?_?J+p|Fd+B?jF2%Wpxn}&_#EzbNlISh#XzJSF|R51hxrnL`8 zP7S+#=T(up;TrmFpi;I~dTw^Z7d6iH1?hx02!mAJ7X&1o@B@*OCJ2BGa_P>HUBpGU zEo3QSzqY^+i01^wkchF1J`2hM)^Z&KjLW+-=aq06LmgbW(SDjb^uf;%-f62Tj(^X0 zgqLx}uK^+W^|A3-GK&-}zM3-VZ{|wYel@nLp#ge!J3L)Ia>q9v9<-z0Z}Tg)n2zkA zQk76K<LdxJfKXfE^$NHQ(E1=rscvTyllA6AanrwBU*;~z3|7Lj`Jnh**YAzkm{s@= zZAFq7+%Sn*OPD=@+=L#Ca%UqO>H*J>O=>a;Yr(anDrU(S=buo2i~X>~6XMw4?@cIv z@O*);W}{Mx(1jv(R~~A2|K@t--A91>g9_z@ADF)4$Sd8JAT%OQ)y>YEQ7qgmJueCX zgv(M+Ah)x5L#q1vL@^-^Dkb97E4ue9lqU~{wdGGW`>w1o=cpy_u1rCEN4SVts;^`Q z$5aXXM8cx26HH>G2b$Yi)Egg)W%_fA2Ci0LfXY}}OvZLd@zk=WEZeI<y)TJx%VlVX zfRVB4wr9SOP*|mQC@;b9%>^7?;rdz_j^&tqVXhPS;zw;4P{MM*do2*LdL7M&_Mx@0 z^hBT1p6Wxu^DNdz&o=g>1iVw$_;3jj-7VI5r92^ix=b52-&7QUygoM;y+m!pm%Or< z#aRsvoXM>9;FJ6A0(!r!+W(GY^_?nGyS|qG$=daj6l6*!r|8rDintVWARlh$Hc<fQ z%Bz578MB!SYjMKcG}!`q<Gc~ladF_jKs&_|9>Y~C6Tn85Ui``3SSi4l*gB56o^xuu zV^w^7yV8lb@B#fi^}5!{?eyR^HBLZTvD-@7^jNT1L-A8df(JJ9mwuQF=iwtMb&|yW z`~|VrZ6=w1Ty`9sEE%((;#|?sS&MO>P|JxC8m{e}R#l~{%b9~K2l^=p{i;eQ`Ok{8 zDDIPTrG!SVYo7)|M{HcZPNqr~as4G>$*bLjMEj@r#xmdicorz|{F+K9)f_d%iEia& zLj!eIubyk}irS;1!M~Lf4w6r+g*-T`=j0ULui%aEkfa3of4SU|096*p4|CU1lTJ#@ z7C4yX!kX5cO9Aa#HsXNWX4wLN6Xj$wfh0d;ub-t|+=A_%ZYTX86{$h0cW2%2bciZS z#sjd<-^do^m}J9#o-}9?^$l8!6W?mf7Sv`H!BEz`D_B~RCaF>d{FD}=Lq=v~3&M#t z+POr=v_k`j?pIF7D}gKp52Qz&fCFjmoE-ckCgiN9(rLeFXG4m&)GCDB?^W(1$4$yv zdf^I7oY~ZqGCBFrkC;NoY_tmyG1mhCuvJFDi<jd8E++=1EP~ep$7$nZY%J$>LPtf& z;I`t)(74>OC$REdDUGrTstH#(1~r^{?k7hn#rohbT*6VevTCOEf~COg`LTc1O<w6! zoid*k1V4qeJhL->bqjLOJ{Y>s7$X2usZr??jBDeHWmmyodcP6d7$*UlkTHX&9B6+! zL9ma-J^*PmFyQunC%HvXNyfS6SNHC|+uSqDC@*ze>)d6M2De8}BE#twi`wMGIPP1u z3qGx=5dJbwYTv<{YcbXyy3UZXz+j!&zOD+_NeRo$DsIU^u~$X+eXYHB*g%k?P%fWF z5K?KNy?^pehW32BP7ruVqMfoOB9V5G4VjhM>jD!LM5`$g{%Fq<A-}xiQ~$WRC}Z<| zFLH?Mn9c<E&i^a35mY62`ldQkQTUi)EC{xuk}Pz?uKxaET4w9{RlH*$%vdG))y+%w zAMj)uo6zP#{;oDpfog=9-nLG_Po98i@i$c)ThN)|y1MS3#x@`=EW<=mBRuGX+x z<j^x!<zyc(w&SKM@#0909dSmM7y(*!vh|7GyskD!f`SK-d~%Jrn7ZP6!fsagLkA<v z;I)|gO=q;sUgS<LHO}3;D8(yKYBAep<MnM<;fpqp)r<8pluA-+f|geza)Ac@wNDzA zL^c9-;{slK&Ftca@YB$l9||YoabIQ(pp)LkntzCf-99RRG;XQBHc{{Hs$UF>jv1N7 z_oi6h@Ab~2SPGtH6>pll-Dfzr%|+fzpCptj#sy?BGu&$DCI-4+lO6^_j#Od&PN;Ft zBD*2Q?O3qUU0exjc^J{I>v|h5jBCGAs$J}OZydKkjO3Qm84@NIcxyZE80X7-{xEG4 z9$>Hp%Av-8M>p;mbH{cb@{SGVmVG)c3}15pEE}xskFtxV4#K=unRb5DZ6bGBMJk!r zVCG79VL5)Mkwp!C>I?}9pt<nOHX*xux$9rtjtNU|q?QE7-J*?i#`<EP`<H;wVbAy3 zb0E?%x?K_tM-+dE7fx^h!i9DYglG(B+)Bd)`!-=(JSafyVkYOR@Bw&!R@@M_5_M}e zJ{GgXxhq=2`60k4I!PKL2|GIx>CqE97cBwdt@FIN#PP@7HwhEv@3YE=sKUxtHG7{C zo-Y@JDE)Z$52U~;xBQ*pycObGj_G#n0J@8d>_=*1B;fhl5zXIf9~{mjJ7f91)P3mv zcdj?z^r$In^n2m#7MJY>lTtdiH$oQQzs>^7W;B5HzY2c*_+*>>9>}-!*}5l{5tpQD zG*#={QbYbCKH4X@=Jmit2b)8a$#1bR#UFSzjtVIQcga6TLWGvN_cSL}VvVAHB#=Io zdfnw)DQNGsD*k{~=_;g5_@=J&xa*in65a6K!(|OaoT@uPR515lu$&3?y^Tmxpm_8S z>51IM?b0QaCr$&>KGDHauJP%J%0P3FClds)64HX{HRgr-<cbD@e5`Pcb1b`p&_d$v zd$O{5)X|*ycodx@=1O?<zHU1M=?LO|uQ@N?NoxFrVTXz0t0{y>YN7q{0h61I;Cgtl zIPr`mexjwrM7`1#s?1GBam_loxO(+PeuYdV@{tL1dn=s~LWx)N2GT-`68VwT=o}Hn zgtomp!xQ)sap@h=sbr4PsZ@=r{gjuII^+@;L*(KYgX9utk>Z{=AjC0LB2md1lZ#}w zFka93^!BSU<>~i3%CF$@wGKAw9jh_q1^0QzK+4AdKQ`Vwob5M!8*Z!B8bOOzVpVNQ zt=Oe%)mEcwQ$g*$YNV~AB{sEp?Ol7Oq(bb}EGo8?5?h4C<NJG$=Q*C^ecyjR_j#Sy zdEI+lf8@yN$IkozcoV$7^s;NS-65{x7sD4ngfJa>te(>m2oQ^}dt?Jqy&GA0(x=5l z`D=uk<7g!hIy?4pILy&$&+%9``1A{u>gd+~@yBit`4;6%{_ea24^^uNgmgTq|Ijm} z>*7xiD(9mI>v4iOCwm-@$knZ9)4&d7{1`?KH2x5_`mS%kwjvGQ4QNwj+Y~(LS&D#I zs2vcu)_L7@)l^e4Wmfwg!fRppMcRSZZ)>!VinjXM)scN%7^xbLB{G!Tepiu>MSi_i z*oE$K^x<g5%o*f?m@pk-biaXYF)!pM+u;oU>|@xqAdw^7fbtLc&p>wk?E{fLkG16` z3`+eY6jn<W$OHs%UW^?dq_$Mg$T)7l%Mop#*}kZ=>l!7On;gPnx~&w5{>KM19etYs z#elb6A-|PZ&SkQJ17$x?vy!|fdOhyvK|^qKVwtA+!`01Om|)wG9UF1!>C_(^o%pc# zVK;$*Cl_sNHRH6rMSsH;dBgmFl>Q9Vl(z1hp}{RT*e!5n7p(-}BbbUR+#A)}m-!UN z6J&T%rCb!(uUH*cU)}E9yjAhLqSB$VCiDL`NG(O^eyr_(fb3rLR?_c^G*NTEDc31u z8BVMT`rZgX7WSk3>J%AU`Qt?%_!4Q0bDLbk_<AZVr<5~Hcjo42ZZ$bP(|Elzh)MNp zXN&ayKF0{QNG`V&IxozxMDsCLT6Q5)zs@l}u?Q}=Z0Mg#pG$=sZu`c%g(=N|ULII> zke~T0nUrImlvR`0G)|f^E>S+K9=TqVJz3*lv{2)3FWV`wKgXtd>?}l~KWEYr7H{xl zu1PzkF`_anYvIrPLmiH3>1FDh-H9+t=%qHS_ydN!NMIhWgWMszbbtX@XIe3MKuZ$( z^MK-;i|`=P`0K=%V(wOWUKrG$3fSU(;Racl-j_<wqX@bu=01WiwNtn*&%2TM<4K}d zM~|Y*NyV8%PM73H#E+S*(6^Gn7Tybs29G}scDm!WJgcwb;4!c)Q<8$1@YD1D;l;!5 z-+49S=lx(&+|97rf53Qkb_UFO=YH2>v?$3_r>6>Do8E+@X*l`gbW#^Q+<umCDwQWr z8r()c3Hn`S{{)%N@{j%Ds>4^PfCBGw1tqYh{vsLa5%&4NMy8k$<QTV$$>1VAvhxQk zR#e0stl|eurL`;g#fWo4=2X7xtMJJx@-|a|K?BHW#91F)sWeqZp7B36!~x8gHTCfS zp~_7G-VLCXD~V{OlEbU;;s1#myzEkgzB$qx8N151dO`hKS(T5&oak>iBb#F^w=HbF zs912I8O!0qKP&g8sU@3DhYP1x?&Bko{xPPF77I6``jE%>8{T%b-inKjb$R07<-1Y1 zS+{;Gb<XPJafcVtY=>`gx5@qP17lx$xhlKEk>s-vzh_sf&+CNynA`MbD$nO582zm7 zY%{vg>9uxz^nK--XzKn>*YkY9@peE$$Scn@lp}dSvyv8;1Td4NeHc~TVH*B*WQzGH zY(6eT(-S_xdpoT~33q4^u8=z~iREO`f*|@Yo-}|ZN)?Ly$y%Ck5;d5Q3=W=xd?)40 zl+;JU0CNg+lGxcG&+z7?CXnyVr{9#!HMYrFmCmKGvoiTt5@pnD`wJ^bm3|oeL05qj z6;QAWr=#W}aCrmxRQ^H>Aj`9Z&R;crERKx+ds?C(`uGdLyVivJl)7aF<ZJZ0TuFH8 z6~L_KQe@X#jaV~&vs9_S4LZF4crmhDQ6j;4{2qY?SlPfno4=~BbuhBr8x-DLcLJE( zcqfT%ZIVAL8K)~%Qm=J~{8L+$!ZJ1JhQ~e9K?O(7Cj(?_Sd1spEvn%bi$cu4Kh0W{ z`v1U3?zXTVpFbzd$CE^*deD`_ih4-*mx7x#{iDV!hb-=L;I~w{W|R9*ifM5DwLpx= zk`h2xv*{5+ZeLIW`*x;8sedSy**Dv~L#am9^#w4_bEt3Tkp#7~$OtFMS6d(%eL8gd z2*Z?_560prKT*@7JjU~jCcm$lD~FeovsQlPK*Sv6fP8;eNb>nIX4&@^9k4R{9u1=Q zxGo3)*+}DoJ%NxY@;3S?@IcONa!9~i<YfNpv^zl7eMfhfwfDnX@N>Hoa@H<?w{b6* zG;-E@f1V;}F6Il21nCaGxLEOe1;goK<&sWm7sJBi^ya0mrs)<iRF=2_@Gp8j>dMge zo3G;1=gx`Ds?w*}H>M3Q*BpvOcpv&gU4Ii5c^_u;f%c0JJKt3;-vTqsmgko|?_rJA z8Q0}2`~3<J`$=Bnvprcx)wS=e(?5P$JAH@Z=?$0IWVHvAZz;OSU1E2}FH5EwC^{Uf zuLIxFPiLkST<TOSG5P;AqnA3ODF;7Ljz^gJNE|(>0$)6*%{AlXI%2K_r%{Z#y4FO8 zMK_U)V(m;^_`*r-O%B4?($_9@^wY7kjkkz5Ty$)E3BauOLiHEbFU?-}ziD7Tny&=! zQf#C64lDXgYHUa1b=G^k>9SCfPq`&CRG~l3lJo0uKY1Fkp|4$p=}#tRnW(zRTw<eo zJA@>&bIkNPo1Jcfu}bh_GkV#h!3waT5^aH*4?PQm%gDcy2X7h*!gx#Zvn$Xi%j(yJ zXo<y0Zt3aPo9cbs+}U$0-|2Nly__g{)5zOyK9G2;k;j&nNcT5J3xNOBD)B)NHuM1h zt@Y;zy|^Kp&lNw5!793|_wN^Sc$rc?30+V_m`8+YNaysqJ?(T`@xH680cq4fRz^LD zNM-=Ktz8HK-f6{4nlFCFOZ9GqH4sO5aQ7DPtx+NVriNHaPf22nfy!$0T2ND8Dg-}& zd&KfL;GbSkvv_w~={|K6k?fB}!9d**ulXC+=zPvGRV1LbPKkZ<<yyuv1H0v*r>vxK z5A~{pwuJeasKa(Pq<N?)c3xz}HO(;gT;jJYh91`8ZQ+}Rpg_CUu7Vm>U|iO8kH{g7 zm_w<IYX4}jc)fVIxT~<#ZchW!O*#qI{GIdxZx*#a*&2jErA19NdhO6i39pNY&5aYH zhW&%QLWFwDF|LW?sJF)3?4st&XOPC~<M<4>eR(mnS%Uh={UBlBjw><Mp+i0@#O?E5 zdi9~}f#0%<NAqaIK!ftPKG!10q*_`ubwhEkQZ9X=Ai9qd{T}=4(}0FAxW`0w=8k-& zZGBFStAG3OKVRd93F8r66R@1+)IZ<0-+#RuCe|HFhemYMvT|yi{o5=4hq8Alt<3x% zd*Odn6%M85&l&ta%T&`!UVN`-cRn(KDGXy*N5*9P<XeJ4=XDccQMo_&F0yjf^4_vF zq@j5;`ZHWzOVT&Dl<lA?XHToG!Bg0Y;Zrs@Jd>SIRgil}R)2=od9dBTeBw$yS=HOv zocl4X52Y9GCEC2ReO-BV(l$Tdi*DPVoW`ZeHeFXqJ2adBk+(JJu-7l!q!Qe&>n4*| zWoTZ<yEUoyZ(p`)L8X7*jOx4k_T=|}iLy;{!L7PN&(ODOr^)aC*>!e{&Z{u2tluL= zyLqGQYo>)s-Lg&ZlxNz^vZQ@eDx1<&q)=uZGQM$@P0bw*y4B0OWrpT(x|^{(?C9nC z>0k7gbuK~xbZFJ|jr|Y*MW&sZoewf^Pkt5ZWVeg?yS+V0>7korwu{j(m5h{{D&LtO z@pBH1Oe>vsrnTgB5&G+1X&5{<zdcDJWXLw@_G!I#NsBIRnx;HHlWlq$QlRVBXkBF} zx01FcapPe~98M(f&-@6%E6a53jrq{b*5rL!_?zk+JhPv3#HmA$+0IC%c=g%V)#U8R zHo4?lm!7=GO_nn*clbHmM#;upHg;CV2(8lfRZZLp-^&O?gv8lZ8czmH&fO`R_p843 z=7k0FBodoq=E8bmnq&6#NtNNy`q=g)+kMurE^Zv~D#LSiUy9nMpo@0h9Ts@GVKCBT zd(xf35a29CFN%8DsGM!w8f4na-ZNtJ^V%N*QAJGF{9I$refg%6nxmIuMyHN+U&50+ zXeKe)C4w?aT=~-n*yw)P!?O!Iokt$P1q!jn+%VOH`#sg%QP?+B2OB-1LIS^9?*VgC ziF<{Gae~Qc{@?L@0yoZHb~4b08ofjh#Fu-p=sK&a@;uPT@MS-Xi8cs(9*WSnd2N(( zK()G3W0<1#$~r}Bx}*$iU%+?j_owhthOm_x;BH^wnON)kTIlmACDLQxwd2+DRsAi+ zB2vKTZiD{os3+e@CZAs$;8-amwZCmEi^)=+bH;c-fx~KyK7Z@eDy##VrU;(<g%|31 zBv}qn+;4JRS5@^}EY{&?F^-N_ljIk0J|cHZN>~*fq%CJ)y!9?ha^3T>fIxez>q|78 z_6@Wzf&KB|CMr7mO_v_C_VC`H=mYa#g^!GIX!2x<i@L(Xv>`^ub@P%k&R-6yXB_K= z7W@2HCAU~R>v{bZ=nLc!Q-Q@CD1)M-eMdHN;qKF6`Y+MdE#;0J-o{40+d^BZbnAQq z&*kFZvFT2$s<vk$3nx7Xg$3G;V^zyJ?im=psT96n9Ql?Ec%AB!iXE=;xlvy|q4!cd zw2jWPATB@h@(baBBT1R{`rS*;;}VWE9oC+^mt@C`&nIJKZvaTaTM8=3GR|j9@S?@X zDr04wpI70<i}EV-Wt`X6;04`pJ`XUawqB&Yi=k^OQV>&VF0W-M1Wu|)+z~lWRfdEU zunAxCyEQ+X>9f;+r=2v9<OBHKw7y`UG><5w^1Eqqftxe|pc%LBB%ER1*d@@#7R3EX zxJ)H%am>9k;(`Y~wgB91D||FR@@Cn2VD$l`<<b6k%YnZS7@aIQtQG-HH&yK=rsL8U zpSwMKX&T=&u>I-X`$92Jm!$bm?Gc4y{4NRTuEiEXfx};!3zCys45{U&Ih|Hzj(h@H z4WKs5vLZf#&IZc1(6SLe0cDA_G4m+>%4bCUlF3VJMHNsCrC^s@m)&Q|`;6}h0+FoG zQ+_e%^VZ8;_ci(rn(1`5IIQp*sYHTn|KG-!|C{FdKZu)!_|YX7I3q7!6XalVINZ9C z;WZ+@nz@MtkHxxJfop=MS~rYWGfn=FaRy~)td)WzSP)W7G&Moa|DT}6pZ_}|7c?Ue z{vQc9OTD$}AbK$pWF?L{Z(o+8hC2o3F1Jv_-2%Ip1E}FH_@@XQGwDa&Q}=n!lm5sD zOr)QCrICu_o#T6(k#LcVVxbz5i>74{YN(6VHV#Ho{<Gqg#Im|naS6N~_2Ug^_hUuc zVo$-%Ou2f4nlla^?~(gdxJhYi``;EmOkYvp)5js8)r&kv?-4%=#ur}XXzw<MG@(2f z-Io&<p{M)8)yEqiUtLDE<C8WnCB~*2pnVn%oMvlYl0$fzGOpM3moeYv|A{VzqN;n& z6HRX8G_3%gw}XuLa@SrhRXx58wmm|4KD9BO`*+>_`66p4OHhkv)B8@rR{o#|dz5QA zJ-MCqt-!Y6*63%8ce9fN?#!?a%!j9Oc-*$C|E6YMnP9$h3eD}L#LFBM7X$>jn%xRk z4;>m$OX^g`+G(hnOIdSyfI#*MQWR+usJ9av@XsSby?tYanSNEK28d5_+~6jxg@NH` zfTptqy7=4B!Onoo>M5r3+e%%|DlQTpx}B6+-zZ%ES1Br~_p~Dc%{B_P&#bS~2{o73 zZAlX5Yve1>7%t%kv(J9#f`>?nnf0D|L_4$nD*wiFFP8gkyCa!d*(W>+ROhN>bsK8u zaj=08^%p2#9^T*vdzi*Ake_sE3TVy?bo=8Mce%m!rd{87MBGr@E5X6Y8P*fIuane{ zO-Z1EDlK=abGEf=8@RGYtnVwKa+GrLfmt0!uG`TDuA`yjJ^dNV*;?@Ja9MNThmwdX z1kE)TbhEJ?M&K3t?cA*m?DAf5gMXPubpl+Q1<UV_J8*+HO=A`SlJj3v{#v(vi+rAy zGMQpWOv?P_YGFWD7^~^5-Ds-;h-v>iIe<MZ1hF)I3saT0Q-{+L#`eFze!FsahTD^) z#$>6zwdBL)mu@jU4$B^X8Lc3SAH&`bzx>?&1b>RfeT2p+xW6Ub&2!wVMW@}me6FUY ze`)Aybc-Y^*>K~Mj@jx1JU)yU-@z1q4a%J5^#Lv{+)NLyoY0+Y)RjZu>)jp#g=U1H z!j>u3pvX@%Y2t)~$SlxFWEODOHu`%ObEqmp=%DY^#`li<**sK}F#+rHGi}$Jak*1& zgjcr5X>?;>w?W!bdIT#!>hyKOw=_eiUv|Vn7U@Jjf!6iWDwP?L$=Q-X9PyRPlp1C` zi0k-gM#!R_*swQC&ooza9JpeAG7}}Hoz#9Yl?D|R<!mIxd1va${&HHJxKj!&QI{RT zG3`n~9n&YW;|g>e)T5+F_CWt6^0x1k{x*-28xdk%lNj^OpZHgb$ac_T-I6e`h?-ca zf10zwxVtQo>=jUY_O?T2L~ee{3He)C*Js4vKa;T|d7^V>SJ%hp%JcQlsFV9Yu2LZ1 zGW|Wrnq%)w=GLx>kXhF3jp1FaL|Sv{1gvdQx8d-_JF~R8Wx}E@G~1zTXInztU9lAC zb1pZcE&s#G!MbcNPW+f4J#vm%2%lT%>UP*zQqpZ;6}9^&sYMf7<Rbo;#w#=YH^#|- z?b?IQ9U7=~<(cPgkfM{BhQj#kRkB!dh4H{uG93wpajvUEQb8Y+6p|kf8$;KAYr@&A z-qsdX_{qUtol4X)Q@)+j*vw}3D>L}DhRgnsq-m@Y_l{sxT4Nl$?eu96clEh?Y9l)} zzf&HQ*)Ni4)wfXlK#lW}MD87B&S8?ouYc=B!;2xB8s~&^<e2mbp0AWSZv(V?nr$0W zVhU;8JDmYS_qD~$`mRPt3e`Od?TJrBvn^sALneGsX`dp)Au!Fo_>{Hz9Caj?97=n( zHC{G~Z`w>2l|?AN=oUCyks|G0#V?)rqnM<YaZ+J>w;+v`r!z^S&Ap1w7eiE63C{!q zYyQdMGt#ju8g^GUOcE$mLlj^0c~1XK45@(UMKLukvwtSJd@e{r^DHRpAerc)Pk?q( z7k?lyrM-S8MURjBhc!HTpiJ>3bnk+qeaN~asx<0l=bE_ks;ZdUQ#|j0W0Yw3nvZh$ z3m<anEO|MJn8zrb;%|JFW1^^ckD_bH&ty$mME2W@8T#R%)xgyvYp0N1ATm#36hAl- zJNB+>U#C`NGj=GJ_Fcxl+!JuvCN~n-Ig8JE0E9Q_X@qT}A+Vx-S|Iz;`=pD}awLw$ z?m~9~0%p^4kiT!u8_#_}jpzsFy5nCX`k55w_9PI70CxMp=w(zSFDTb0uyfffvLD1| z7udM`GEyDHW{ZD;KmcgP<tO*(5a9rJTf8SCoceY=H<&F(icQN|{ww3wTD{65VsAGx zK)kbZ*^(OPx=1Dm+;x`@eB8GGdJt*1f4MVpDi#m#3d&|3#@v(t8omFzFw_GP3|ySw zQ;0kTcjhe<0qizc0g2~K#Ak?506U~LZ~3aZH?8pH>rL>dUzZ!LI;n3z05Nw6`=d%b zTW@JnGc!LBoNQxC3lTmW<7T%AbN!j-XQfp~@k+u_I;1c_)G;B4>Rt0H%gJ8cmQ%=| zuGrd+wEfKvAiSkzKRCGW_d4Uq!|sa0p#3ysi2&&;COOb$vvsz$1v+~{P%txwHFt+> z#I(F)-!7O5>K!k=+FBTNWIHv7!ha1#H3(!*F)zM62eJo3SGRYsswachcGT|so&O9P zWE?rC$y;z634)mT=>8tbw)U5DY}^?(^$7MMR{<kS;-x0vr1<E-4#4z9A%A{yV<fy# zK|I1|U-y$SQeKGD;l=%=--cn5Uc)UYsR*DXPu$t}-=zv17&?c?8Bd?`3zEyizftAj zl02xzziP`LF*eYKfkJyl@=$p3WBWdBYj7~u(F;Q>{t1SADLe!>v$;qnr>6=-|Fpu0 z1|RieJw64!K;Aw_{*1tgbdR?n;|Ys+lfLgEOE}orD}f;?ygZ@Z);)QjSy8gPSa4|b zVgO&P2!giiPm}K#>=VO86&x*1ss7wXN|9#pzu+LnE%w#15ZjA+42<@&X+Ni1tb07I zxbIcz5%fgrpVj#4WnZ_$>2C3km#trP@VIg06Y&{?k|*|0s45K?a5WNe_#dh&>&6*_ zlQ_ZQu_lGoKk5#^tm2)3%hK~X@P;U@c{I)oZxE8oueHBTTE+D}r3m<Hwo*uc%4M<S z@iUDOhbN11_76&M5^K_X>EorJ!~lXS=4E$V36&GyupHe5jg_{4gS%B|?fx0y8``U# zU@K-O8H)|dUGRE!U4w#dhrd^h30L|-wQ57Rx9KHv2OlHNbo0V~xc>dNIP$@BA88Ve z`KN;GkcAJYa;5D-?Wz^W0p{WocH2e>(Pn|lm#6pb#ceofm?>gg-^Sm2bon^QaL=cl z{E4gKbX`PTIr-y8U9gdDtWh|_v^H4Yw%Uk{ep(mIWt(FZPD2uMX;or9HZABbt11bI zFd8|us35n|sNk`6GXUSK)SGgx;xJbJ{-&bDzSt;sWUxFluecoa&AvRd%-8^IHe?94 zt1NMzZFa9HaUc5Te9`P$vEiv>08TI$HcoC^Hv|uOWL5yrD9cY$M-0G~hvlF-u-4R3 zO;80WzIw<xX-3l6u#3?Uyy%ixA%oE@2c1_ZRh(H583AsX7dt;{b*@kguPk;}YkgP2 z!MI%v(yq5RRVMS-n#w2ke~&b}Fq*cB&Km-$?Q5Bm@r4PwV1Ihp12XYlRm=CFKR?LW zcDtJ*xJfYFrigV*l@cWesU-U!r%cfuqwLo^jQS38g|grN_erMR9&jJeK{HRG<3Hrg zLNYNOxoe_pyeST^BB$9gw4`;?_KW!DQsKhGT+$x>{bfaHK&TiJN2ncaM;?1raBxA> zjPnnkn~tc^YEL~oS~HsI-Vy@{oRwC6{CdkCEWDFdVfvT9ye5)S%<{Gm#6tQsum4m$ z@IL!yhNscP)#(iDXUrC_POZNQFa&*(Y-L?j)L@Jc{0!5=k+cr-Bc(Yy?!yBkLp<Ns zXs|JXrjyPi$g?39KacYgX(@FaQLS9K2gptNPRD`Uk*5@GdQA9dVX`c-c)3+%4m>*K zS^7&B>ur%3>F#OU4H0148j-%SKO*GvnJGXIndl`qn#Xk8@dJBwc*76cWHen5oH5G% z1N&n^Jq0O(|2R80j(%gKcrX^O#@f<$5j^QNfwDH3ei$PPSui>hN7Y8HzA+8I8=24_ ziQ%0BH}2ar&UR|E-BoLz*vJ;aejyAk^Qj_Z<)8{@v7RhKF8M!g4qC^@)8fOCl%%^` zMCAq)B_`RHoon@Z6I4FT|3`eHm*6jJjW9_TdsHjqU&W2pRkdvRM}p7tYb_)|<MOVX zXTy-SF7eEBfqS+2+hu}etL0zbuTv@~+uv&di#kXhNhn8<yENt3DHxOq*dj;_QQ&dU zJR2&5)5T#S3sr~g<K!(H7q=#@snkclXA)?+SN)5jStqSi8&8T!Z=|0mdlikr^PKtm z?@sndIiM!J8b+;Eh^d}yJn__kET?euAd=cvZ6v4gf0fHMDnYp~bxjd;gygG^!_%Dy zP|K6NpU8*HBimV?vq}jNsmdc8sS6cEC2UGa&jv>H``xrJ<^2{8jvdpk4x+EAvOIYb zZv=9<5h}74T(;U=3KgGvABQN@qd9+3@qszYAn)Euj*+qmxqg4_8^w5nGDnLFYfBuF zc`}cBnPRJz@t<`e$@7k*e*ey!%Xb!9%HhUg!XnetI4$OdM<-H=UM}c7qv@p>nu|sC z=VcMH?s<e61F$lS7V*<ki~;n~C#|y8lkZR&<B+o+qqeeA!*yh?W~yDVnDOq;7bBUr ze#3PpHm%f_U<qRdT%u7>cN8i<(vup>zA$<0Q^d~JD>dnLJ_iS#F3_<R#+mn!<PSRO z93HJUS16`kyt-qV=w-K%r<}{)D*;)sI&n<IP!zC;xz7{CQMgD?TA)-i5wLdp{BtZU ztC9r!9_a*ov|3W8*j1$j<V3aVZ0QNQ+(F(EbI&Fi4uL$qAV;@*yREM_piFtBB}<0$ z-q0R4_$GT%RnOy@QqZheHZ1EG>E>L>eQ!I+!r)1N@`FegwzJ4RA5>mcHXX2~gGhbw zfP+>AS?vI2IU7pAL~rvR{aveluE1aOVLYB2%6eenWgQ+yeU_DA7ZXf(R5axLf*=oU znI=kzA+Mir4zzOMN|Qu2XBC-G-(uBMr}*uyEX?!u_$!r1vqae#a>u^QB0H6k0Dr}{ zsva8iKJZP#x9>H{7-b|NM6sYs3D95M9v1e*ZvlJKFa#P9;h*w5J}+BUYk}8PZSS0> zfj`;4v8c82aWf^m&-2rdf&jJ$ch5hadKj_qmGfa_${t|Al;)h!OI9Aq3)2D1FE?LZ z>d0@PbWfKG4vHc}fJQ6#R1-nBJdz8h3FcbfFq%1&D+TF`i%scgW*J!SU>;6A%=}v( zIku3#B@0b6B6C#x1`_j8uK4gAVF0+Bnd2NdGj4?F*wq8CIlidqQJ5(PiAhRNCDgkZ z0`g=YP5sDlG5CYf6a!?-$WJ9?R2udP&tFNz`KClNDh>Wj5qPhFogpA0BXx^y8dFX_ zK&=gy*cdbls3K`zfjXn`H#IN(`nSf65Vmm|V7iTiD_1VR%fn%GdE^g^uUosb6ZU`) z8DFoKH?({=;;HfUQd)U=WS2$e7R^kuJs?;{VCsFEmI1&oBgr{osH;4(MOznKx1MVh zZb~QyA-w}CY#-k)2L13hsR$0rDglKxK`TC(1eCN-v}jcHJPt0o(x_Jy2nK&^XKwYn z0xhMWn(x*X9|Sf_LD}CuDs1^Ti$Nma^(qP;IhKI9z6Vsuy~m`0U##Jc5XvW-U=fH~ z1qVl$A%I+lb4nz^s+|0NcCIs4eat9aL01dBP^4b*fvvOz^c~GRWfPlh0GN<|ICU+S z-ViV%Eisi3qc;GIFef<cFSeCOE*<NE@w?eZ;Uq~xmsZU0a`Jm@NzQkcP0Pv0S+kw7 z3w`C018y14o(rnwk#NiO3a4}7a`NwPY`5<yW8|maf2Ufpq9dnI1wV9ma4u@CoaB%0 z@Xjf06`Z~TNxi+TNAvfj0Kofd9@A<e8RoCY8L0S%dHZ^Hm(X5x@_`J%a7!Z7!K&>? z0U+5MBFd{(9?u{~K0^2lp)NWu?OR~Q8;M1>5mpD7K5pyrV%TNfl0}&iin>S<YA6km zOyr^sLfy5unJ9|w&C^4A(m?M5mD4Z!Ak;th(h@|kp%m{PMlEUCu`!024i|lV5+9_s z$0({!878(N-(!*_`T{WqJ5zK0p#!w&*OyX^k&Sf5Q&DdDgKmGf8~+R!nbwWm=$#Zj zLSL$~hD%*cL8!fspvj_ilh(?}e9cQ*;1$^fp`JXtOcD)5SgVtSw6!_yeni4^FSOFX zX&@g06r(PD(nHj*5R~k!ROEUxObJ9%JBYW9W(SfzztCA@?`>2PBUdA2*>!_LjFq}9 z<@2I&2rlU@rtWnQ4(NlDp+wQ2Ll{kxq;?0V9bXkZ_ChCpS_SDjIDQGF(oa89L5>?j zZv&O>Lq5RCA_oYi<#u#wSCjG33d##qKDdyBQ12cGBr4J>8H<q<2^}aZW&Kpx^#oGx z>oH~?&ScTI<1>c=KmNF1Jq^^d$BGGFd5iF8$ZPVKoM)?aMzLqmS$QUxC<FtO7<n22 zhk{3a34~C;*>AAx5(%UPlI>lLL8zeze!8X|ZUan%D|v+@yq+vA-$+X7|I~=s1eGt~ zfu-`RWjcia%(TZoNfIp^yHr8iytuTi`a(mmH-JrC>QBbd7*e5_T>rvjk0)&nsDX%E zM5+1>5P<?h{rJebzugsUhhoad!lRq!$NLjSuMI<i+Z_dYFLK#SNQvmu9(KJjUhRb# z@SX8^p)$wc2O%{gYs0({-=-sar$ejs?guVo1!JH6Sn!KAjZnoaWz$w&hJ}7X&;7<T z5$%zfCfd57$cvY=pWd+EJc=5idcLGE9sUgZu)CXMoPAp%AEQT-;39uRq&hkuU}C|t zLT^(mo}3!MpZb<R!JhO?H@0#5mY2pU>5xRlB1_KIQB0Y;>>PG)+o7%bqmx<tULss$ zPn41GoiQ1(g2`@9Afl8=fKcb1u&$f~7jj`rlijTUaql41*(Yu&CeY3dx82)DObV<3 z-3<UL7ZGK>;_uKqp_u+{%{;Ojud+^-7i=`<w$rci&_R0I!)1Ztu|#JGHTg+plBnyf z$I7Hi{w<(#P^e%j&y5ij6Wy*kvWuegYQcJmgAnTE6Tw8$#|yRCNm3IKi0CC!La5J9 zm=i_0=AWn|ubE-Ex~G@yQA~F>E3d?dGQe<bNCb?-UxCx^TCN_7DR*-SLcMs%oFwWy zo;E-m@#Sh0d_RtbP<tO*4lv!=l=`elg{!0pvHtUbhl{~Tzd^>0JObi*RKC{*m_+OK zu`9DG*AnyU?^6&oAk?lW(4Xh`$KxN_J#4&ux`=uoCIKW{yYSdwBtLS3P+td_*t7Xk znNKI3Jr(CZq#a6W#0VMw3UfU8V0$^b_DOeat&A-VmEkt9wl_Mkc85I=WvalDtQ=~@ zQyT2d(;s5Svq{8i(~z**QSU`FXz1t%Hlvz*7+Ixx3>2R<3f5rXi+v%q6>$5g-p)i# zj!_8N3CdoJ{TA25MEav0RlyITM($;q_}-C=l#Tp}KNV-4i{rLqioX<@;J}HAkvrkz z#aZc|j2YF5WWzX~l9IJ=j|dJh9V{yj`M&5%f_-2o9co9-nPw!l2PcYNAfOq4pM@Bg z+{7(HsBi3BJIZQX=kWjTxVldCE?P2ZR(KsWFLt$%o~)OlC1ga6jHDmuiK5c|9;PpC zmNK;|mWe1PhgIk>gN?Mz#D~Av#K=$ZdE&PObWy&uAT?wH*QHCz`~IsV=Xd~jr2Lw# zhWx<`2SZzQZMy~-d}W>*7W6DbsACSG4wQ@8O2}vYmjNX|#xfua+{Ye?qVESUv-scp zzA90%uGOCSOoNgrE{2w92$PBF1k0qzb2paP@V)kv^jpw4wk)@M_*GAU(l<w$c|rM@ z`DOn#A&maZgnwppjg%ApWp+znpBOXP$fZfP7X6hfiu+)oP|cSf%I4<TKL=Mv>I+(z z^F0ewI8H?zfyE+i&fEkk4@SOq8>GY3Sd|+>nhI@}Z#6pIE#a{jcnf;LMi1gKVR+w} zK!~<|WL{EOs<ehKc<H06G!j!LMH}!B#k8`X^@@R|EB#%A2r;(PBmLk7GRFuLd%`rk zy6H$c3wz|j9DPTOk!BcWq%Wdih*n}hpz0YLP4S#hhh1MKxg8w#T<tAa6l%M-Bsiq2 z_l(Q1utYOVGTTv%+yCnqmQm&m;Xq6(ziGQg$tR7OvfJ#UhDkmV+NiI9)kE5>G;!{h z&=QpqwSAx4S!rV2^HQjkHU9p7+RbQf)T~2BQk2_jH(3@9BRBKRP)eEWgH!(5#!9}P zR`-${pM=D?{bt5e?l{A?_4ZQ5xm~jy1k`3_4aM4gO5S`D66fBLbI`o+_hs8^Z%CZG zJ#EaW(;re&xRA5*j``wT`_^4^dx2-zlyZ>*jglKtmg3x>W>6_N-Sf&Hu6)K@QFcq8 z0rvzmDCxeA7){m%m3-Tu%Sbw8hG@QOL8avHCX{3C&b7a+H2v3$;S{c_pEI&}$BcVz zE)dNh&7aEcXt_%vM&Lr0w3#$+Ug&pnlYe_qT`bVwj0+UuR8z@n*)q1R8%jOd4E z5KSA0{iiIW7gxrs;gn(RRY#8OOL4}>5@RXU3&LS12q3?qSe<!DSg(wLp_u19UhdQL zQEk)@H!*HOnrJwg>jrY{0Um7l(^t8qw`^OSQ9E|X$Y_ewaCz#VcF$6MM*GbjHpSKD ze-CCp(Xqo^UVL7+*VO#Spy<M(o5p_^{WQ$#x$7gt1KWP=Y6M=l<P(A><KCBGq@Q$l zvN-p>(}z$HrOm1jO_;dC*pk$W4^{31;--E`E`Pm<gu+<e@A^VWZ$fLcGS1-TFB{Jg zg@S+*k{_RRHQy}M=BQFxl=B#;yv%zRr4P|0i82%>K`HwxAV)9v=98fmsxfQU%C?+m zEp(YYmu%0+85y;AdNIrKbR{)q4_(B!ppkF1x1bR#ItQ47AITSbd^fl+nJHCK*!3kJ zv3E6i7%W$?TN^p92`6h)faN}9zlStUK#L=0lFy^+86ih+_l562jYwpG9J%cKUENEE zJ1Fd*mCI#J#BzSv(=Dvd%4K1kQbCyI7M6Co<?010vyOs=F_LKK><03zD+@pNhlwUK zm2fACJ{+%A%5qMxdBI9{i?}tJMlm5$ZpT!BiIXMl;;RRkJh3L+QWVKRr}z&s-S(<q z*6&WHQ5mwLn0&WPN=6QB2YLU?7lZ^f#jMr4oYLQGAg>u=ItxD?7EhvQ`k_i}K(!vD zl#uRE?X(l(4ShbK{m37Nk$DmqpO*UpQQ2ypPITU&dgsf#cJ$t$ePpk)8gzV*Y$F&a z6(5v!wrCE(yumvlq=4Ovd#;EXVE6PMII>nUBz4&|vQ{D_VwoAh?k)?pa6e+ErWKR) zfhmk*IZE%I8Q2}Bp`VBn^3>q7SSS<mQPHKt5fzLSgC3J2jHxM(VHJTyi=xZ7M?Nr8 zIC@NyU>`{<GKM%sk{;U~=Ag$U2rX)g2rPz)=vxGJJDPxzQqg)~LYkUlAJ&?Q2q}WP zA0@-O$FaPir)v^#`a4ZxM_wET1@&P$VWjV9MM?QT@}#$ic%Rr2T;vmp69fSM)-_D$ z>jJ-HNj<Gh?AyZ+{}q9i{^|A5o>>+rQcW6+l({Ur;gJEE{@M~dvK`qEI+ubejE3N{ z)UKq`o1wXAUZ(RFm;(Aj=rC5{Hx|bf8jXGewme=0OR8t&9p|D;K}7GvE*x`~lY?bf z?`+6h*Emr)LS0R98cPKW%MJ~VRX||#K*YczsKXIGEUd$J{^ehqB8(GW7!knS-M`1_ z0BD=2QT6Zo?viPFN<G%N{f!8l^_!~c@(*oxX<Z(owsyx;B1}NJ_ILq=33E4cuPL%t zJftj$c36^Ns|H`h`cPwR@M{09+3!7}NDpvG*77_6WBb2b=!(RNhrpMwQm40g4g@W8 z*w4M96UU4utODXm=IQLiB1QY|Q_sWPkU6Y+I(QFQL@RkR1`7R*&J!o-7b)gll+wR$ zEroBboF2`nI1OdS{F#7zp?Ry<b!uK+d@Ml4;t!l{*;x7>u?*&Fk4n6!jn#17vt7Hn zkoK=PTfv)S_$k-S2iixM%oXR_%g8L<xDk0?#|<Ig+Z%4BI?m0>WIkQV6Jq_Smu)>L znKm?vqYZ_kX#35ev#_#ew6L}IVqs%Veqnb_WMO%Yt8;aYY2oi0^}_nvwS}F=znIlV zrta-Vo5k(Mvc=8Dy+vGOf#Oo5zT(?^le8JVAEI=;&+nGTuD(nbfsQ$ezZ-T!yvq*J zEIZ-KW4TARmi!zHKKrTvg_VA?ATuQ-q)eZC><(k~+UoOLkI!E3y*?0K(i%_??@uiK z(4SoTk@qK;V&J17O1!}VWRL6MoBqg)TqXfF6#a8!uAq>zLaul!<FvK<0?lN39wWab z^(be91HL```dKcc`6TuC@to`wxk_v_3c2#sAvc2f@G1v%dm3v!OUWqp_j+F_4ED;` zJ}gbVF#v_U)3yj7dq{gNPh*!>A*NF<&{0fS_PlanqQ@><a>Y21ia>{TG{i85fP)_F zf!A`Bf5mhfzOYE_h`Pxb)SvMA5svPjjqd1Lh~dMDB*lPp{U)Yl<kAo-I6Q#Y<ADGd zYW{sKH}3jkY^P(H2-hXOKtc`;2$LB643oMbZX}Zw9HD9Cjnl8zTog3YO**BRieC#w zjHn-k6;vd5Ld(9zDoPneC7r*o^_9K0aWPN(DJ){*gV(LC(1JXi@9afn2;I@)0P)9W z5-oWieN4lT2g!O=sq<?S%1x4I)O)IH;yn+N#%@?YQ(%^#K%t#;2hfBChIk`-8M9QC zxL02X(3)3p{R;9Y78z@=y#;f5H*Q@~Bll#?G#;rBaeFsXeqpI@SBaa3mjte{8_FNF z@Uxu_F~nauMXQrU`ZyI5i;k18s6SVfO|*KLcpoP`b0yJQdw*c;Dnk7#qU*{%{g-aP ziJ!|UbKoywkRd6=iBh9mB!Y`dhXdtUlCWlI_~PITKbNTurG|oJ1lJI+ce{dE1Q)w3 z_vR~2-#}C0MDb~^MDb?VM~<$*13QlExV87yFS?kIOm(Aj?oYDQGR{rrU9(mX6XQD1 zVQHq#&Tf>-W@(to$*!NtW~pn_)t#x-y*+D^O*8aFj@8E6TlO0q>ze@W`CE6{J<)R! zR7!^P(T)1;m_t)HCe3P==Pz5Pu<k1z`s=Sg3^x4KeH2P*HR@RB>2SwtLx2gGR`~+* zyrwrnqb*vgWbG=87&NB-ZdP+6p}Z|x!E96D=(V_sSN~iarL22-S$>gD&7-*HHcF|v zb%E*Dy23ZHG;Nd;!WCuDitK6%VKIUNgV^j!y49p;O40T;f$rAE!j+gJA4Us{S1)^1 zXDn3jr<oW*>R;CMMrR2MRLydki1s<O2`qWkmZh)ETJ$k=m>50tGE}|AV7^vS2X898 z5nb(VyryXU68%%MO#q))Q?^%NUK4%!N4p+z(&m0g-j0&Rf7q{R$<%PN&aj60&RE9t zNK5ZOuLHjou0;It{^J?gSokqw)!UCQaF~JrUt*=)k5xuS&Z7ap@RgeAXqtEH?Ot91 zsuASD>+J<zda54|L%siCTU!eA&%@f>-!GWF{6Tte(W1Uv%l89nQ!~#)Ym~ww%{Q}? za$84idC8*1l&ymA%rm4WOC-Q3r3#z3y)m3KDfKDrj^E=clJk~jL0*C&lT^$*&ZFyX z?z2|PU0TvJ>ze6$CEZH@fl<AJ#p{Fti!ak`lk>{#If5GV$?xQ_c0SzM!7p*t#LpIe zH0s8_c02D5-qp$Qn|wf`>zD2j5-e2d3<cZoN_7-Iic9rjbU!Ltyi2IF=({^1(C!sr zsoKZNyD5O3jUf=m<A;qU>K#?32sPX-G!gP`(O`nU2U%#bMc>0<6W^@;#|Lv9%gL3k zHkfF4t=yL{dqa4FRA(>k++VS4y0b-B2x#`Qzq(q0pV85GcoJtQ#OHkew97XXzWEd? zAkf^NU)cL;b)a%3IHrwKjbLCc$kpo2*!<4~y)!;xXFwwfH8PSA&0Y{-FkaW3V>f#F z@(0ISs%^s!w*!6cl*)%I1JTi79wVb?qK|oq&qYB&0MD<Lvup38DIswjwf8BnD*Ja~ z_44|Vw71r*#E>y;ytc3~lC+j;W2l}*iBGY(CC2%-!GZ5!aH@Q{F*^FyNp0DW&1VN& zbx$@0Y-GSDq64qzs_rFyYK!KlsVSqgyVj=4_dSMUR-YxUa?cT>-5Is!R%8C#!^p^2 zT!H6<tzljz-d<b#M%0^ji-_k%cK4Xthm5r)Dhdlz3q*lbS#SXX8{oa<`eQE=byDFH zPg}Ghxv|l(SbC=gUr13bt*Uby<?FcWvZeLU>2(n!VvSDZRthGGLqDRU-_Y<%0V2H7 zr`Jx0j9tB*EsF9_NBzhy069R$zwpuSd0{$>(m!Xs8NX%Un?cfL4f$z>sHwIXz#n<s z$Wpl4IbkMObAVdYv2B3X6sA@R2sp^_*ErSvmYM;~dkD6#hrO{7w`~ZhVQ$74`K}Ug z9=xjiEj|M{@hSHJgsRmr|J&5ZkP~*>-0SizUoI~)GBOTit1sR^>DUl>(U$nGW&H1B z6Qx1GWD8Y9aGQJcSV4Cv-{%3p2BPGa+^53#7M4aeRb>gbZ?76)H^hiC*lY+m{0@J2 zsxOkY&2y1989=Gp-R2I5GGS=POE=rsNlGEr%87n8=DRpU44A=Xv;8-T?fB1guYj7Y z9UPJdT)-qhGjbA8hPzHow~(6OG{lHAr2eOpJZ3Af;hJ$fX(>CUzQuXf<FTSeK?c}b zdlTu_@#23zontAxmwJ5EsHxw}ep~0CdJ`<9X0405J+3HYeetfs`F}pB^N1_D<+pWg zpVD~gdiw~8@|f&-&x@M*e@_f?^pwipe{7&%2p`7d2mM@#s#h<O-l(4;(P!(nQeOJD zKY?oUT!}qzTa9p761e%(qGkL+=1Puen|m(QAv!>9z1@ve&c6su+>SisEeUiCtTA7w z5)k+W%-%l1tCzgk+_Pxu&#NkX;b>FyD970Q4;Qqs@KKJk&mT5u>-Rw6M?CV%S0Bm$ zK34axa_hUIq6Q-Ga+VWf21p%Cz4uLLd!rS<CW*B4F*LvLy{|L-oWh*Nmg<pfeYlWk zohqO?q38aS*^jr(zu8dHyNZ4kdRF(vG^?|TV)2$1zi^#~UDoSn3TReJvxaLHw)u-! zmOO3SXS{5#E1nC%3sUIW+mCc)QW)K<kBk=$X<T-SECneH?lB;e0eWKc=)IeWRY1JB z{PZ3Zq6iQ#CjV>i4niHo<{p^7To4(>#O8{>gNR~gdy5xAM1k0BTH#k6|Evn^$QWBa zf}m8dM=^z(*ui6#b7DtyBRiNE(R(zJX|HDQU5(RLZeTXbLd8O_S)3q=45K}i+I5m% zdo*}yc{GWbJ(7rL_r6>Iu($<%DyA5~jyMM`j?Lmc@YfFIjzr-NLTYj%rr!Hz7zirD z<2JDCzh7hIIpZY|rOXP5y^P2)u@L`*%LkzsnAb<PRGB0X;cLIAyM_HO9V0m6GsfbC z$p1P_KQa$IZbj#b<gN3Ltj@07H5YjkqvC4&S%^Gnu}-L@AtJaree^!{T;X%`K<NPf zzJTVs(fbp>Z^)WUI8v=I>fUEJjpBSZ6n6<^?%*HP-zz@sBxE{Hb0<1Zrw@p4XAX$Z zi=aK=riG5Bc7=}BhJ}s|K821!;VNUK4am(|qAY~vdq|cFZi98;&cXQ!ge5;DN`-BT z2Xga_*#5;oE%siQoxe^aVkZ}C+3^$@#RKm~rMRUH_{^oiR3fbf(Q!@cg#nAg5SGD< zObD0s1qi~ja<TR0)kXDy^d~|SeRYLgvRB~I&b{gibKV05DV|lEZ4++J-^(-Nrb|L7 z>1;wX4`=5spavyo5aJi0*;weu%elN_&N~<D&&9dFY$BfV)14=|wHn3s#$|Dr^hW6S zfoo0vc}&|q1-<0+h+Vlh8uKTc&K_Y}H76S_8_pV`W;I_Y8@!voDM*=UZ5{px6ixC8 z%@#Z5jvJaV`MgTw<6CWJ#@6YT#^<SRbFZvZD&3Cz+RU2r60ZRFl`Ai!^69ZD5BgLZ z7{qdEM7{S3-F;<2sA(d+%0<&}hVh$Zjby!fNJ+5dS@M+udND%TfinD;*MR%<m(d!} z6*Sed`xTYcT>BN1U6kODOwLDKwChfclh;B-Oe!}kQJ=wQ>fTKhUD2?GWawvDXF9x} z1>zxv`W1y5a69YwZu-*w`=<TohO^W=yBY+6*k%^Lm{7@$|J_EjdwMlSS>Bl*;;zMx zM(voNCtTd5^4IS+vsA0JO5?vxqSuoip;IcG@Wnh{zNR&=);8B-s)sLcJ8qbyefN0U zkrFz(3-!0A7W6qflaz8L!eU|Fw%u!w)<zDv&x!s|S{!M&^?b!J!Dj~1Epp7v!C&hX zddQI_G0SygPkJ6;#H_!=JL=J6rkWNHtA^2Ivp;9e^8yWv%r66(56>;WGgvpcbg%jJ zzS`I%DH4p)yw8$nzGC$?@~$~GsfEaN>{&LQOm|F6-<koI+BADn)<`kqSQ<?KB=E_5 zYZvYf)PL#aoEUKkv%A7yzVtpU<)IAE{>n$~{jN}C0C+_>{zuqL9%kK(P#V=`AeZ4y z9P)L4WU|$%B0PQe#iBOji^X@Udu`4Sc)XW|HP_}WU9(HF=-WP~ae3c;!d(<!rYM}q z90UY*DHCBTH5==YqkqT!yx!`y4~wK~6*&@z-f;uF)QBjgSC&0XOJyPFwXCP~5v96f zqoYyCwvJ3#cTu-`A>A}TGUJ^b8ht#i(!Vz!YZnMO%dC?H@$TOjdzVa*Lt&M+aF2>+ z%HZ?T{5YhyIQBFVZHQ)9Rby9$D*{9LiPw?8L!w}dN!@ydMbmDOqpwG|d1T}MD64sB zVA5bf%5LkzqJ?nCu>Ia`UfJEJDr$Ke&=-ZA%LvF(#u1U8m6=_`H@h<pmYW>rT*$du zRPuQDx){Nka2?qe91qL=L8?>fpYKOuSGR6+%hD)nsErvz0}DA14IxKM$9AxAnKam9 z8|keWVV_WslnE6=-#w=gBVY+1AV(KRQ9QEliVoSQx4y(7Pm|Gz33dp}p`P~d)k??_ z-AR!S#hM`Gh~=cHun4d?CcVm@E`~}&VJ-iuXC|T22C%{Z)YA`84^Y_TfAL&JxJq`1 z?A=qHFY8p0Bij?i6*z<(B^^&_x2^j?j&hHcQCP?A+gZPc9JMtz8X!jv$I1iP#O-*I z^$flKnLC8;eef+DeV*Rl;=asH9Ah54Ck~(eJ~)$X`U0Q*499qBwU>gIf6tqR_-VfH z5v71)dA!jFXC<ik3<QdkV#j&Y$uR2sLGKlEVYy>r*)m35wlt8}oq56`b@J14vu=j# zX5_nS$f`T=r$BLzi#W(|*j`5>S`g!*rG^QDvjV#|h%}I+k<&jYY$|T7!ui<`f-yjx z@Okm!JK}jxB}jO@zJf!Yy<d!O_Mh3*kB_>>07WypAz7P-U}MBxSrahB-(-tob7DD$ zRYBYspl8}qv8)7N7x)8uK^)z)Faci_>liCXkOqv~!RdsZ<)&KaI<5JN!Pdwm4=R@c zV`Z!9$}6U$BC@Tq);WFX@&?cS@%#UO|NATf0gDCBjdTU18};4aeF_+%cEXbH*fP0N z=#0v6zI^sfl};r8^BUhBzB~7{*RpS^P>>H(z97HL?Wk|W;)8_!P2cTwG4|`4f^9CH z?VjbHkIBPLk9RGDXKHFHx}1%71j;ouf(}banJFm@rWNvFllu?!YjU&uJk}m`^QQOt zOp(lTA9rg6-8=#gsuo-t93u*FMJH+baKkpKBm2}t<;%*g`6W=&l*0AQi$VK>AU!a` zbM(5ib-Yj9%Uz1lp!Lr2+o}D}F{(klN1>@v&#bj&ZiMQtTYCa%1@u1fe6s*#PP_xq zdyO_bLm4+M^5t0wvV*|!JY%9x-HhS6il@T5_jqSzrkv-f`D8b6)8g9#Eunpo0}C}E zzQB4IpYvd&1WzNn8@;b%p|6NJ<Cv-wp>bNnUD{Cob&(^*iZF(w!>MjY!hFi@XY7W; zcZf1m@sZtGb1h1WuY(>Qai>x#U50PrK9b0rJfgz#4~TVA28*|YP1cp9^(fY3Jaci! z@^<-f-5t4nT&+A4VP)`v@@38zE|O%{1g8%5Sf9^_8_56&9>$6^gg9r+tx&!7f^qd! zD&@=At$t;U(oXF&jB*guQ5s*V@@3N&?=y_mPDcT*CPeN?H8tkhW$G3#qPu+V^cl3s z`;^5r+dPOXPN*=1B98bxzKgcss^p|g`sCfZT)v)3-1Ez}a`Czcug;6>pd}|n>E?zx zbtOadHYSO@3Ws|Knbjv`;{gV2fKZ|JmGMn|g*zkQ!2l&g!?v3ec~}`er3vjFA1_kI zU@c-%<qY6yw|-xyL0a`p;-62-gQeNOgC4yKT0tED3{x>5Ln99!&GHJu0p}9yeRYcY zA>=3ggShv+{Ei=)`QTLUW%6vN=_MBAr}%CsJKM3!lY=_P%uSkLl^sSKXurJS?2}i) zs<UJp0XNT82UBen{}-iZ85QOC#e0<!5s;GZ?gnX*98y|p5CsA0t|0`80jVLSJ48Y{ z2T*e89vTOR&H;vo%kTgH-TUIki@VmI_5JL<&)KuiSx=n3)>CkW^PayD4Xf^`K*#9> zxs?SFi(n;}zdlL%%=U-Q(BA;QqJJ#{*P8}npl7FnB$<V1lhuwXAoWd&8|@K2%(JV5 zdluuq*o;0?WpwfpNO%7*PkOh!9cQm(>W*gbJi`lPbju5A+7+!C^cbq$OnyN(DgK3> z<NR@)@IIH%PrJk}@9$_5X;@v%s#H==k0_6zBf}nZQclDza8kJo`t}kF&Ylj%Mduky z%N;p>p1BXorL(z>u3G^IG2f9Nk9OODI~eXVFN6`=U^&LS_zMigIe3LRF!fd91ua6j z+nJ<8>4xpt4j~?C!7i%=f?Qx8yCObztAKL`)-ImT<*;2_*2pQL6|90FdpHBols%k& zXwC($ts8fc209rKd!BIXIuWFZR#BB>SZ$&{22RK+&o)Ht#X$n_k3S>sJVp$xatKGN zq~m<}j`5OaX=>!O(Q{Tov3s@svY{806B_K-lU6y(=#qZf<UMUjJAd*f>BLV~P5KE9 zwqcS&-{8Htgj?B~D#q(}D+^7uR6jIw@6UiN#D`H%4{;3;24A>NkoE;2-v*}a2?OZA zvX!7dOe_M@S*_@m&{*C4dggxdrv-r8q&@G10Lr<(_**ALglcW3509N;d;rZHJ=?WU zjW!E#phF&kTi6`oLuU69CCmyOvR1ueNX31XgA$Y66q?}KbYoZsT#?=I^xp~0c^}I_ zZUtmoldb5xM$=>WZO&4Q8(yDXGVERz(0{t+T;keYfyLC`P4(tPci>x<1@%Xa+iFRm z{Es$aD_=U^-eH0aFFzh>!!|o}xGXXtJ@%pV%)bM*_UXS&hF12<k$8w+8zZ&zE_Kgx zz69ytJ-G=*rLG<(kA`&U-95XhK+Uc?Cv`jys<`33DCYr^rdd4Vr9lN&1{Wd+xVrk; zkc+FsFmFU4n!BVEs&q*JZ{(zx2gp_32q{zbCE2gq-4rp$w<gSrex}DeD3!)u1o&b# zsXd-$LUXN}Ku2KZu6OY$E|+(gL@tcTh%Rz67a3g~_dxgw$NkrFJ0LRReaE|I=2L!- zs;}+2ZbGMm$Vad8GcmRF?(q6v8Xi1*_)l$@7B_MiLZn=$Qj%1tv%wv0W*&&QsRZS` zs@oe2s?y_o&R5w+Yi8<;*OZO=<Pw0Sx3a1<dts*Q%iLu7cy`xICs1v<JJ}+nI002v zs$In)hdZnZqt3Pad4ng?nnWMLmV=5O6ESc~p>`gI$-U{&x)j_x;qG`8AdD;?JJ$&$ zP1i?m=Gj#)Vx~i3_PLz7H#8!=Nt0bYN#4Qs-~GGW8;zX4otzp~hWWh%_>zzKCcAmU zs|*95pbucXE+cHk(7(_bau>Qhd00lS-Fq1RCBfS}I<ytC9$}{gB)qmRmR-#pP2263 z805Hre%<?I1W){3^V8UNeP8yb;<AMcb7uoO@XFc9lM#PIV6#|LjT?4sO!|scQ#i`d z#T?ntl==mxWZBW3MBUU<Rz9{a;D|M1AuoZSP7GV<^c*_GJNMLYrj2day(G4c;_7%( zS=>gwsC8-JVu0jMn9Z5zo5nN}*{2Y39CoI$u2Hx&hc9q!1_!jFIFDa(5-K$B>je^C z&A=q`<O)GjBK({ku^+390_m>|Ve(sqT!ayUp(yIHO~boKSA)s!@<Fd3UYHTdrfX2$ zB)PB9B9wLM=<U!Utu@@>l14-xS?;n&Zz4+{k~nq8pLFkpRIW}n%#J$2OsWdM^_FSC zxDZG7b<L)qFjr9zAJS${*7?7>uicQ$<1fE?DPqJy*e!D)y3c;f|F8k4$Rr&3lOJ~e zdA^#y0(<@%tub!?#+PF7IMSo=C!by%%N%=m=e!8g0L8uwf6@b4eA@}P=5X1*47H$J z4^+DvjeK~hK`wZXjk+yJf)s8XWrJKiM7U43e&y2A<el2DX%F;BjK9xAvF<V;ZCnVD zIh~v^x!`*t3=Wsq`zrwUA2+<ON>JjfIR^LKuK@eyE)wM2_fP%#khK%dTsfgKr8lg5 zM98Z5qxPplPu~X8-$ZYm(OnQCy}N?AY+!^MXBLADq1d)cNh=8i8kZQ9AdVo8tay~h z)?|_#Bv$3&)^`5HfO}_tskgnZe?oa-R3AiemrD5v*4eXI_1vT8P9!V-TcgQ$a{)&h z2wOTDtH-U%uB7w)yDUa}{YE3^HO<awF(-XkKs|~Qekj)AzJ6AXT0jiwW<R;sNBXYz z6lXor&J#qaBIksJ3qdc?$uJ>0+C0!Bv{dqWXheqBvzsK!mEcWIdUC?_Z9PkRh1;=r zpGhLp8lGRVKWl2RlZ~;UFZi|QfF!9V6b>NXD@g8;U-0Na*}~~_1JCVt%0KDmT)nCf zjhjewpp23GlvabKUE+lnLyGs5mrCPqW)^{T+Y+Zb2#Suo-KeBw-vq#^sIHggZzs8S z{Mop3u(k7DFPV1K4aeZejP4ez?8(>i9b>1%2u9Icraio*&5+czKq6__>Ep3DqwMF` zi%)>NN$dmlTH!%VDKi7FYM|_`by~iOX1i8<6O!LkVHN|>s7wJl$SqHMW;XM6s?~ke zS@+?jXq(FHC7+!TxPxs&c8ylj(1iWu_Z{Q0M$28?mNfmODCo@%r=4uUNs!hJ)=HK2 zWEGE6M-9(%Rc>l!j9jyGmop7wT7K40T3Pcz@3iv9YOEeto8z$Oml4Myjt!={=@;@C z9m37f0*r{+r)3zJW_rGln^I69m;HEq=#Q^v20wrB3_H~S<3yMxo|PL8Qm#I)*Tx~o zZ*EBdXl~I@Ib;`p+T{J*(oyi^jzDy6Wm5YN^Hw7^BTp`H9x1wlWA(HMB-a#+3RrD{ z^|_2>!p?sK`PW;5X<+A_K)Lnw;~+aAiKTNc8h14uw$>Gs<h=^z;yT1MdJCoA^nG_} zvSk#$xVsopeEGyk_nKmh9=ADfS^LK}CXmdn%9mcp{fexaVnSgg;7Cx^TP}mm$m|iL z_}74}#b-G>wUqeH8>jYEd>!(Y5u@9pYa-2b%dCw(?68O~%{K>R2buG!f9|XwZzkQv zv{aih&ekXE1sW<dFwQ1h5W7_sE4^`w3`#K*2vazi=F4rv?=v)%eSH1>i4#Xq)FM+3 zWRR`&N};*~P=zCx=7wEG(Cl>l(4Y=klUjx22qn<CDcsZBsh;4s2eOBmogX_sK7V$e z>U$g7?%Ln3dsl|G@3en&aMr2-Zx-Xjmk=K#pR(?7gjrmL#P33b7|AF6VQcT>zGf~U zFx-6Gv@0_w7&n)>3fch59*mpVTt#ih$|H=MVo{uNkV-?}=x=WnMv(eK>)}j<oX*MP z4*kvSYF{%=^L+Z7oufGAm<tS%n?;9j1Ld!<kmN;KMnLv}FDO4>=C{iX&iIU*cj|h} z9Ly&#yq~Z_%-G}o0!MqD0XRNUfCGjB&nLxw%Q>r8v>9h?FWaSh&~G%y@&0PpXUS*S zXXM(eQ*(`WU7Ok==T>#)#h;f`dHkvUEPw7_Rt7S=B1du;%IHJMH_Hk^^iBD0rnXc# zODB%jsF31Pl*}p&M$%=S6bHC30#V0SluJKOuYsu)-5Sw7(Ud{DjwKn<VfteruPv)` zrE$?zuzi>8!K6L~JuP3MCKk^*$7Gx}_?5g7yD84n;jc232o1I9=9l6YH-Fo74!K8~ z$GP^}>73dTx4Kkfb$Bg-dA?(3w7khS{ZbDg#XDq5y_cGHjg|VeC2+?LX<Th2I7&-1 zh{%u|bZou;JGB(|wE5t!H-7h^^{d(W($r12%MWYD*#N?VgX@NP`%kT$=f5voxz9=Y zYf%*lW5WZ+Wh<m<cj(VW+C}wC`E@C9I;;{#n``%}<&Dcpyg!W*hXs~@bbL9nV{%ne zK0!0r(g+Rra!dTRM4eG3vvU|s-9^T|Y@r3<EBMdp|BFq=UmBkj%8@VhPn-ErpC);K z2YUD8k2)DuvO1?u#Sj<(v2T;&cbzIrDle&ohk`%lQA&m!mN=baBazkGMF&3%D3?2- z{m(_a&cn>ey|PfcaP|yFj*I2^>w&sFzP;@D-QQOeW2K4P;VyfK_}y5kG{zTucyB5* zTBBMTMqs1z!ZVAhZDi?u#Av%>t&kFKx#!t=H&1=oJkJLe4r~@A*8{UFY%jc<QED_& z+P-8yP}JHgYcU>%oNbH1k?v&J7nScqkK>F2sieC+99O}x>$gru)c6OknW*8_Wo1I> z;1ugIR~m|l@O3{va}|CwU*J<uWN6tno#N8z>z!Dfx8936Eo*@=arqVY^)o{;{qT2w z+$YLx?a98O8EUskQUr;|o-2YovZzrgU?-s45g;5j!ugOTX^S`|0mqI1nlPoj#hjpF z9ey$Kjd_@%W<?tf@Q~Txl3oJ{e}_f%y$s#XEZCNw9rG<7|CI=s%odq24NEkuZ~V)| zIoHwb%`{9Qx%s?h!yX`<n0>|q+jWxkdTG9yM0#IwgY_)}_$@9X-BS9i9IbXqE=kBb z-`ZcSIKkz2lxmcOJQq$#BNy}t%-*u&54=aTtZh&d<ERo3_-XG|Lph41dZnyQ!Au&q z&~-BOYwX-`8TX*5?QwcUQe0<X2Fed^#6ce(5Gf(|aH^Da5l8ap<~X6kZVi!+t8~4S zyfIZML%6ff!;#HD21q4Kl`8$C4eRQlSD4^Bpf`)LQV<z4b}F82`%lBjRVnChF?K3Q zX9+Li>|i@E(qkJt6<v059iO;xh(Xc0{^jhz43Jv`kk3}2*x=5(%g>>6J|}t27MDs# z%rKlhN-LP5SuZT&J^jyzLt0OhL-(zXnKU9w^c-*4a|7Brn_-W=@^FS+%<gR>vD1+L z(u-KL`zEX9OCL*XTlrXe!VJSFSc2WB^x>B#!G7W8u65*s>~pyrhp}7I6M67$=)g+e zcO)!}8$$3L48}BDhXre&t^z*2e0&p(VqcwO^H4PFeDI_vzvc$pSRKPU5ihU<F7By? zSDysgV;ip?4l&@}NL&s<8FJ=Q*M+6jWotFpg%>nrYk42!N9GsK{)VeNbIe7c1TCn@ z&GN_qX1AIGvpr)PPUgoJH-J6`eRpGYVMd0mV#6$5WRRg-?>lC8al3#j`&gazNqSw5 zNhhJEoSPW4Pg@_~5m2qly>ts~?X#=C=fL0TV9HrMUr)ULa^teue~$jxf4LCI6tpn9 z?tIO<e)EEUQtF(Yar5v}Wc|i6hjRsM*%^eriqYHmNVXKjei4tNUHt=N?ovro>1WAD zxg7n9ci*QsqQed}_T}(NMLDh-B}D{#a}#2B{E&Wnla6|QM2CF5+77$zx_;yI<1gl= z$ugcq&hUKv$!5EJ;G*NHE{YpJ@Lq}k1^YG@qA~s?LZ<3QFmR#b&xrR$$h;h6`PCli zEB}vM1-N~9R@@P8eb6KSyy_G63cc%VgxuR}e+1#%K%-@qWZ@p8yqnkyfuzyM(bt!f zi-UeLn+u4KZ~cwn;-$B|zU+qn<Zuh!>kJgM5<ODwTu2_d4-1mld$kAb_xf?GeJuWU zN%h3Mp8c<QGM(4m6yZSXWs4i1t=iY6ry_d$kKxf@vkuW1_3VFuLVL;~hov$&dz6;q zpQQ@WnbqWYQY-r^p9y~hrT1=f56fk+&|H@1Zwwjsl%t)?*~CQ*rtexVxPBk>Z0%H2 zGeV25q{oc(uBj39&svEP89xT_hUsA?J=!E*%-xfWn;!$UE=eer!n5wLjq8J+U6WaE zN(CwJ=X3#O_HK@~%WvJ`3t?GtC!F;`kNvx<PrPNz(EOHF73f+aHG{inW=5CxE~=N* z54{}CMSyu>CJE@5+uKjq$?jh!lRA2S1$~CDe4+kv>+b?V5w7+=G`|u_L73t?cephA zca)1m(iSc?zFw;@VfT=PriVUpFgb{PB?Co|V8?6q&C}Z`qMyC@@2B?4=(^UH9##d# zUXW2;R9Q<M^C42_wEAS_(X`zQm+$<(!vQ>hoK8aAz5<<Q5^o>c^|4>OksYg`0cgwx z&V&Q&^|{pwTAW~=x9~nz#2+j^;TfojHUiI1`a)T*?YpT}-NiVsU!BWX1%ZJmDAP5Y z<me}aGvI_N`9!|u&eKXx5xsP}cs}V%aC8f~6Gg~$`-3m7Z{aJi$l!C;aj{F<px{ve z=-b7sV_Zbv)(!i>-K#n6ge+S2y|<U~C4FEyw!5zvdWg|TIc*d>!?Sgm-(Lb`4gccs z@$VS9RGQ&0;g8Cf0;eDQ;~%|5?yOe8raLo|%Y*NWG70W9n}sgek!q`sFtV<NWbR;Z zj#QjOeJJToCW>`62BveQ{&3&&Cl7{ra~fee=cf+JZS|aMBZ<e_O3j|%w2g;ayye3M zme62S8S!B)=bBNqnKnPaAVa#nAIfjM#j?~fT3u188+OD9ocgBJX`f{J#faO2AW*fL z)#tk#-Vrf!V^s+@+=-Wz5&ZDF#twYf%-Ne7`VCteerh?kShh%kUie0b_JxtXrzi3v zd3Kvb4a5Ah&72qXrup$+BR9@_i=s?Sx{u32owZ5##5M&&*>Be)s`2&%UN;k+5~hQ? zqBVi5VX&S&`cg9-bTh2yJ$;!OHM$6P)^!p?oBmgX&Tp};LtdC`@6F#s{MKVX3*o!a zPpmQRbi#-J)uYc_T`nnMXYX@pz1F72VfF9j$h{cvCWqa>1o*<k#9|Ei9uGf4wu}wo zwhGL&{^p|zilS_NeNw=w4Ugc`#<-{P`a5|3T@e4&JFNxt`UR45HD{=mPsBT7i8#c6 z=vrW}{g2Z?S9dj@@Ggm$Iw{-NrHQa(%f>=WGdM49+DorIxZWQ=vp_d^7)1M7>(RmW zAE5oG`7WyNX>gIKFu@R)l{S0I%uf%9{qVPTCRZ#3s(T{+`<=E)Efb(pR5<VLM0yXz z%>Gks@(j;t2jM8MeEJ6u{)ZufEi6c~f?ZCfzLa9n;EU8j<T?GQ<^IIUalLx=bZ?H^ zA>*S1ju78-icR(l&9x)U9I+AcBkJBfoMmzkxgVoRC+k89oj!kqZ%NkTlPEr~y;8!u zn$qZgbCTdn_vYmDm_ZYNQWa*96PrgDy`G$_p#(ol<`Yf$t5M+EIwrl?h{h4o+E+|^ zAp(P=$7|oP4#f%H9m(%RK!vo-;VVMtPXZTSC3e|-OQgJsb$uHu9HT&eQ|bCXWJNeu z?dZB!2luY?6;~HMl?v-cldE)yHbo!vMd3d{$<9R~pJtS)*>KwzJ!SK=#4CvTj54Dv z%P)3*YqQLJ`OPg%Z>p+I@fJphY;>Wd=j_0}dv{s4nC`2cY$|rxq)Q4bDU2+Qk<!}l z!QFAz(#>#-noplClL-i&FPNOtY6k?sg{NLR7d7}x)nD8Ec0!zp?vz-(m1R>uD&1dJ zc03QSHjS;0zN*%oiU@E9@z#8ezpDN?WfV|xBPNpb*5b?y&a2?dy7${X=XooVr?sqI z))s~{ds%nP*mb7~7cCwQUm{LPO^#DPE0+C4dnWkZvhk~R2@2QP#CC*vkg<$kg2qez zofk(=k~Mfk8kLxLw-N6<)6`Hum9sB;$7QSr2w2cT77@38+IfD8qhbE!u;k7;=lIKj zo7N6q90z}5Q)e|^ZD8`%UE^<H^yhgeI~{e_=9kk)j34ZDG&A^kcX|MoN}}mnR;e$i zPbnwzsr*$YRHHv%eJk$|WOeoba8QoYh3;+vXY`=dTj@ngqPH_IYn)k^Q~0k32KV&| zq$0hEJ2cv&FUSx}-BrXL%54!o{KvA0+isjlLKCQns11bD<h^vfz9eP9XZ6#vi#5Nc z6{5Bq6=|PKH;yCrLyl|Pj@e#G@e9)(hrqnpeVm=6KtrwYTYDtwkvHtJbLH)(U#z6o z*9>w=+UgjS%8*Lp`!}IiE2VEXIku>3I<}B&gr7a!UV7l`9fUZ&xRMh6?E9=m8LchM z_(ao9ezqDLuO<A<NchVWO*Q#*CW!u6d+trNWX5ZGKK+w6w@p~!t=z0*<;g&ycAlWI zEFyO9*6Z^IuQcfNh^QUM`*7Od=N_uia!w(EXgE;t_o~w25cy%V)cRxk=S+$AilpbR zeq};-fzLMVsUc65XK9l~#E;zYRqc#Ue9p45Fn0>;F(bq9ni_-RNR*m^;Zd@6F3-ng zU&ZfO6iwllxTYLddHJvLWlRO{9XJ~2i}vQ2&<=KPs_HT<JM<xw)0G$E5mxe+c-v1R zF#J+rcg8Qq4O&~Wi#$H-NvQkf`nWY0Qgtm3{G~At3Pp)W+$hl%X@0twPl1^90p-oZ zCd!5}w>pDqDB>|N+!(Bi_+Ed?hOyr5uQE<ox^#s>u#_-cw&u7lZMY+9ldm^z21A~I zIq;j0zMV|zvm3k*dgb_%bUK1+>g6xZnJOtirOt-P>G;QYbpRNI>4h4d=}IS-bVG01 z;NB!JL(LONlEvLdaz``ra7E?}oxY^BA)erw%_0$34E)GnJQX&bCx#9$flHD$$4e$L z33oo>CgoEz|4djni}7*tBqqjsmqu`&_^B!`W+CPi-6vmy63;X;JR5_XH}1aR{W!nn zQ}jyMd*-nmLn^tF#x$6ZDY>0Ynou9cG{{(UVRt=EVPd1dqcrdKF<deVU1O39oKTvF zeZ-bBk#I^B;2*+N8SHZ;E*9-?1f;=Vudw~K#sDq6g|~DW3OZ3^FT_Ciz2Htqj(;p$ zmm6c^pPH?R=`wi)EYMaj?JXR9-Dg{2OUzFric0}6uyi}sIVT>~wSqD<q6p3$g^neL z8V3qD_o13>yb;$8t?@XY^b4lf#J>Ne`MYc7=2qyOIM6Qz%J|x_w7t*^yQ2xDBkmQ0 z&Blb{e^(joaa^-(?G1vGSRG=oi0S0V-F`ewu(ez9;&;e;z{CK78yk-f#o^zEj86Wq zXC|&U6Tc_i-39bN2gt3k39|+SWDKR99y=1x!xtI>Pe1Uluvuoy1Y~^uvb?iU+%+@M zv9V1&ytA_K9A0_iC=@ExEtfM?_{}nd(x|fMwC_8OS0L00lA$ingATWHRz+i5Ilo3< zSygGH{j9`!ehGX^26t!ZYq$yWhaWQ0sY!pk@Iw6UW{y-LUXcf}A9En?x^E+|iO(77 z-bk~JNb0WS7)(g08|$PghO@qYx;7k7u+aB+Ja~d_v)Y=Zpa!fn*InxHL0?ReD}y3g z^Bas!`TrX3b#X=jyJf)6tpBN7CTu<JW;njC+9&B^yr@L>Ga>R5_<ktLNrP1M<+wv+ zc0)cju~I77mOydz-S`<epN%OUZ(QBb0}m|OWS@>RuFSoY%`su0PB_lS-6Ek=I9vB9 zf7sANFTWJ5&uBdRMbo?>S31<elFSep(=GnOLK}qV>W#<%J2Rz}usB6dh4tSW`-9z# zJxk#~B5jEjN3Fq6E*;+(0N(Mm8v5c+vsi*19wS-xQ@`RQ9OVyd|8Qo6RfR{Uyr~<B zQf7<#9A!(XxLaYXm|vO_nW9kF6&21N^*MTrF|q+3y}#<@j78kwhwJB0$ZVOBn%j6D zd7<VQ=&ch-ySQKR)i#1GMvHWNzaqr8ge*qw9}3^H=57(zDQs-S&Nup5N)MLowYS<S zc(GrT4`pfT1=EGvCX<~p>iDz!(c}jhPGu;{WNzU`qTlK86MK5)*T2}W&(CJrK`3g& zLcF+Jk`;|4#`S9Qml}56JS4$GLxx8FuT_BoOmH%ZXU`04!;<A2GvtwaA_HM<b}7V5 zO+u;0dA_u_SCYEueQ+!BdG6qj1_<AJar8EdwHuZ2b4a(c{(F%QcG&i+ha{(s*;k>F zT!J^k@jfFFwL+>+AGOn!aZ1L!*lKr)4|6%rMErD(1y4<80PdnSI46KhMbI?ml;$?r z3Ortb-jSRHw~T=+nV=~bYAqAMgTfj^yO{PCK931*l3i`dERifpb(sVn50k7x{ep60 z?QSFeuYR-Ax<uC2qz&G2A%(God6LTm2F7h-$|(wS1BP>59wYMI7+{=t1lvGfcNgq< z5yvctFA*NMEMnEMAuRO)H_gSeaUZ=GaxB?f2JDxd5m=FQwQ_&#Nz|D_>6++{@-_H< zY<M+733!>nlzk&hI&bgh9!p{4O;Ye^e5@9T>H015|8(5I#E4kUt^z&BxtL$tf`!!i zJPKT@*=EjEIcTN>0H_=p?*tH{wn_Wmtxb?Dm~*8GnMjPnL2YV9y{C8e)$uTQr%fP3 zS*bJH+waN;`PW}giISvRZlY{=S)7<BOY8skWhfgoL}scjDhwExJVWTiHDpW{fN)2# zzME!u#p-*%x)e>S9Y_cfsD;sFk`3P-FhB&VU^4l`wshdc80U=#=aGAs(<+jkRR%fB zrT6ITHf(w-TD|ziYOGW$&dLbZI^3FIPU3HX;b7h87^^g4EoPUi{!F=-q3o9?)j9;i z3{R7r?`Okr09;N<ykp>AS?niYA&LAgioovQ)*m=Yv!~!3l>y@{Z0p~jr0r5NhNX04 zoO@i^tqabInf2BKZiwTxI;fJ7v5R~%j>LpXliN5&HEju&69jC>xl&ny_FRMXlw2|I zi3wLH%Q)W}e|aj)N7OC`w!oe!OCGaf4}@RT{y7Ka8(}v^&Aa_6;mtR~dZ3!$Mnd^U zI1hyCig=Yz`@~F1bR`f7RbSVw*kil4e*=w9n3`i-+Jl57D5>szNNi~>T<?Fw;#m)9 zgcpK#Zoh4|KC0RMx#u_HEeok^1c<vpPvSj7HCqx+vZ4#A9d*T1tD0QbJUw4piDO-e ze>lkk7iM7GQ2)9dd98c?=w8_YI+@_19vsAMSA6hS3U{0im};EXGVps!^JQ_R$kG%5 zl)~;;E(3ZofUOrpr7GP?n=KboHK*u5kGE?}sB+sKL7U(1Fd-_&nRAu2Har^|FZ2F9 zbVr-^tsDZ{9T4eHa{k|I2($wkNl5l2uxfu|e2$K)<RH`0X*tjLI8+)g06mR3KPDK> z{Uf|}^jwk#q+O#`{Jusa>e4DpL;j;hYj~E%cPktF;&*93$0W`er3w<*D$hi|_cXn` zdkN{Vyu2RW&{Hd^gjP#5Bgw^bga${RcC#B6-(_4T9DhQy48BwQR%8XuExh673*t}i zNbO&Fewo1+G`W7*gKCVw!5>@+68o;(tznrdXPMxMoZRD0!zCB?JX_ITJgs-v*yneM z-S+c;9I*F=&;pLRnO=DBm0$91r(lMH^dmyY6UqF7sh>XtukAK4D}0$E=aHXq25xTS z4K4%je$c$Pi2A#GJ*Z~NFX`<CE8`)P6?EZGwezfH?SU6ljWWF4p92<zYoeBNp8FVL zcit6tx!33}$f13Wzwa*q<ELjIW_o0zPU4X?>;V}(za;)HSV@eyuAm`5dCpJZ9Nd)w z3v9-|`wL=&F#nYXCBu#DhKd@KxyAwA%#VR{gOGfghYB?98w*~rD6b%0)f9f(GtZi~ z?MUZDq;^EWf|K#^L5_CH84b#_=G$hjb7GMs4k&|%dj4<$J@Cw5j?nl+?da@)ZbmzF zKldjby*tJ@2kva7O_^SV?nk!CUx|J9G07S3o@{Yo%LWd8LTqELc<Fi>9i6;lCAx<0 zd(NG8HnuZL30yQx)^G<q`|EkVIC69z*zs9GH~fU0JK9)9NUWe^_uN(&gsfQ`oc)aq z2`?JXt<E2<u#o_(Y=r-wg*zv*Dpp;I8LQRxG!8)WhaZ;oav$wj)4fHu!loYMbtz`- zc3R_{XzeNWut}y151aIT-s>SX-tdrm**M)8fU!Vw=WLNu>iDqEJ*R?)b^7x^<Te_+ z8V73nHXo)%gGL^3z~%wVL2Ma-0p$Szz;ko62Jd`$s7oY0Df5kVvJaK!TP@aXWs)R4 zaLG6(QXgR_A}^7Ap_%>@l%C0^O(X|sOSzyprbEm|#u3vq%4&cjFBpy=KXf72k>|u! z>_C+prenPBDv}QQ8?NJ*h~e&5um$6?(v8ru7J{~W0o+LR(9<ZkC0wu~@ViGz<Wz2W zj)id(o7ZYS+uE9U&V&d|KiCr>22HW<b3M-R?H_+UrY&1`1k63tDkU|HXp74UCJm?q zxh=ge)umd^{-$Q4#XfjY3%0~iEGd8Ea{vD`I)#7i|BU~i_Cx9aY8pR6E`Ud6Gh1gf zljn+yCGl7Mb7;=FrkdDs%&yRp?|0PIC1m@I;|}aswD3PsK<05C_A3myjCxWF&-)V& zjS?;m6$;>+wlp82<2MMb?i27P(I{)SLfe%2c214f`0|J@>Nk&jeg_L078N@o!|$5U zGWU8VDmLEs7YP;v)hDG0KwUrB$|C%ep+{8ylj0@@%(?2kX0j{|Qo9!+d+TGrqqJC} zM4rkw_}JPh^^qu1Q6%szAWX*@TaLmGe(SNsjztkbY`>5oQyAWGOTNy()Jnq1zcZ}M zQ9Q}y;8zT&u%!oYNb1OfH*50SS<=@OFIhQw=Wd*en{n^-!5SyT9w8mUU-Nx&CA;V$ zulO=nc+zLnHrOuy5U<-R)siSlc3Hg5XRff-%2#E-zyrK1A{*8u-Nw9Es_FVcrdgB# zCnf*m`fD%J{?ImxJ2cXPbC=bYvXC(^CN<akEd{O-{O0AjlBTP5Jb{iWw@D_)gb>FQ z9Vu=T$OHAqyC`z|3Fs7Bztu;ORM=7_cj+5pr>c(SwHK6CKF=pE+I}cz#+8>03`vm} z1ZUJ;4i`kH@4#}KFwIQHZxj<!<Z;0ab@^CeCf-m8v97HW9*L3(wqRsB8+FhQDU|_z z2?kw$3Mwt5h>ul@ian!wt`AVhKEP{|zCo2UQ8WEZ=Dh^%kqP4Qd|`6eW6icf``4zX zX@jQn?2<ctRXhaHAt`v<<IKWP`r^>IrqIE^tG&xz8=`+nX>Xp=g5b1fbT~#e=gkns zh%MQYmWH|~1f?Gc-znkHXh^>{-HNJt+#vH_l-7me_z~}wT1`fs%zJ#=YPo+r*sI(W znN=Oh_ZEoS3IEa%j2$=zT>%D~jV|~6t-*&{M=W#g{UeQ80;9xES8fxP{B13LbEcMx zEhXY%mwc)h26g%5sv^r^D|ZG^is|n+DdU<$EZJJ2A(Y)~b|1Xk%L#~QL!E;M9gaOD z$9*Jy*l49geV!Z(rNiH)z8KUS`6R$B82Z~oz$QiBJ5m0-_1IDR2VdCy^WI7R_jVtM z$chqQ7PWG!QIZx3wqjfL-%Kb`l%tP(e^6QHuWT!QUh!$_Rq!Z^BjINU%HIeaGk0@? zjfkq)fC@Jp#~=BolscY=oL2(S*g)?__G{woH#=4tl3ihcx5xnwB2fhK^!Y-8nLfTB zTKk468rAGP{Qq}5rAzKpD$YD((pz>(;6!1Jf^9^HTc>~X?XaXd<ZGP*WL3#PV#hoP z*6wI9IT1e#@U?V~58bgDLc&$6f}7ge|6_!QU(gi2q6~QVJluZ!^g~(xRgG#7^+nMn zbx9OeKlE(bhb;@JWH9w2f1y1=N3y-(M`^-d=|Rk|iEX5TQjB%EgR_3TmUBH<i-zHq z&swyH-;#k239j&oGS~Jg-Ov2u8gQ)m2B3u8icB=IqM@D0Ub0=HytMge(@`&U`&6wW z|K*D%M<wdAn4sVF$Gq0~ab&y&YS4kKZMV6AUJ|k*aZj>(Nc(_{4v}A&k&eBw;aa<P z@px<dU2&ls=*!9!Pt|2VU*HZmwVc7x`_37dfB{rs`j>z~k-&7CfWbEbnR@}54FQ>9 z0hu}hnG6A$C(H0y|F0f9L`^}1xqMvA8CwN618i5SQ6GzBXe=LHLNvP*SSs8AU8>7g zB-Si|a&uib7VR1^52R3(kJFpQy9Ue#DO54nT~<}A0W&}f`^<FjRNZR8R1avb`$e_V ziiDE|FlheB)SthmoWaV-WKIDTXUSr2ooIL@6CUqTuj!X|`0Eiw5?-ic1|4h%$)&zv z;Py{1fXF7kV8}QnRbdU_Vtg&O4Tx2{Qv4n0FkwQT%wkDmXMDM#0zFjEjPvdggY3)T zt{xxED%Z4gKbBs;omXwp_$MI#A$wbjxN~hfC(^grh9$VKT#o2OV<lykrs_^{NH{?K ziF4ak0pM?c2C>iDG`%JlsRS<(Kk#<EFq+v})i%*6NQ9FY)zUrXcc#L8%JQK=-_RHM z6{Yce?I5)~%K*E*cCPw+hfBjkVpHN@MqM4fk)StX(%@D~IvFxi>pL7PW=K=P-!BF_ zdUqWPVnX0nDmr&E(NTnW|A8uEBs}7y(y;p_xs^AYtM?z~`uq*b+h>$-uGe|3{PQy+ zaXgfUYp<~)zBNQRsC!TyH&vDT7R#;0x9%~Tl9;PXOY?lrKGS|x*db%3p3j}EQlq3v zdqEWOygI@`%i||1*oY7im-&Yg!_i?M@Ht9bM-LQo(S*l47<m)?2WRe&_V{1+$T*T5 zj|dCmOS%X`bi~%S$H#4to`<$!q6|``r>R!51JUwi%Ax~F%8YyX>2IGtKfU6M0Y&Um ze+beSHdnPc?r&6W8D`V;2Cy=(FxZu9rvHVgDM6Jd1TsXHWx*gS4pL`FyfveT2HMdv z&5a7u>sL{MuNTX(?Nn7?ZwVu3w#%HI-%*th2}Ri;GeSUvuf>*OKqTmwfxJhE=KSzw z%9{zrjMHbE)Cv<aVs@$OFj`J<p}*tAARni5AtvOY79eH*aK*W@EIB87HBld9yyORn zJ&yjH^>{b*Sz<Y-2Cz^-BINh_EN)^3o5pgQfP~5Kb%npTCV$p1pC;-tYaCu#`tcG@ zQhTHUy+oPZ42V?}H#*xj&zF-ORzE)i2)D7|k6P>-RK@Aw*(?+v<5F45j?!MM#19`^ zQHp%GlDZ^h%&->@NO^#Gkx@38HOWiS<ulSA>(R!V&E|P)2D>ksezE1|L@d0gsQPI> zT0nFgs6Iut+Dw3ZC9}Vg!YaDLfn?L46OsZiOntS&0imk{P&-VdFH-TfVER9jrC7mu zF|smmK!RRYO%Nc9r4|(ozn6ILUMKTssxYXrs#nne&L{YD1Sy6M#{I&h=^TEZ*Pi=f z19#g{hU4HF=EoQpd?k-$tvFpojz-Z05}&lEC6G_Vh$s*9W$#z;A;VrJiT7uQtjCe_ zqyeqDZ|8z)q6c34JNk!^dpMT!uXB>S%QxM7W7w^=R-6tBpHAqIXGG3>*Mds}M&nA8 zt3KtI#C^yvH6eCT|0Q8!D(9vT&G%(v^do9`csPXkFEsN0xtzb;Oymz>I@O*LWwamx zi!P5iwW)v6w&4)iphYy2gjlh`Lr34zpA#G}bRV*Imyk9dbJl2~o`><bDcp$t0;v|o zpzH=2Z_$pgdk?+FLpgr&$QG^*5vZCwWq3=V{Ui*riQuWcemvl~cD5H5ir`_WHBpiJ zK1C#d=AJ#dR?C#umnPxsbhEu7S;uoS!I8akcS@TE^?mL#)U@TEDK!>ghjP;_+4=kf z`vQlm?uu(!4MlBoBZXe&4^svxMJ=R}!u=;cF}__UtC&a}^w4~oWpil+>D$QaSySj0 zUnSCCQ%IUPKhwOhZaR3o_iUs=MAA-W?NoTgl8v7Az?sli1*fhEW7%~?_)<~~eH%mf z>-@HXJDKxX2CIa{l}n=5-DhNPQp`c3aAmF6scXCd2>$#7)YBR=#lY|RqtGkmFfuZS zHEdLRx_RK&aI<@2PQ%|>#wF&Lt=meY^TLhpCs-KPpNy7I+dxJV<kv_q%aU(rz4Nba zG6pp^J$U{~&}uInU3atQ^0u?)e%$}*g&-c&S|)y*@V7&e{FC+WZ;#a3biOK2F?E?i ze|4F@c}|Dt2Qc6Nkjn%4{f9iBa}E0Zhc3E{vL*I)wb|{-Uj>0b2|9x}-bwLteboLB zJpP|x9zEFFZJIAJqcuduZfD|mfN0jM0k+0&BHpxxJR{14oS{93^y*lZOm)`dXwFFY zRmZhRv6xh(mHc(<$dz8X!S&1(qU;rQINbX6JXn-ebj)ZZwm2md@)J2Gm~f<f>I<91 zs5d|B@~xRAD=2!gda}Pu;vD&v>slu{XTZ|R^*-~X{F;YnO*z2Jv-4G7NbloaD_YeV z`JEAy`vBZou=YZ0&U>3{=+{E}wn9F104`v&t*{au0Jv&nU^h>V5k@nOQgYW&Ye2)K zZGN&WS)q8hBtEike@b+QyZ5T;dauzv^&NQ!Xz6LfdFJx~JC=(WW!b`p5GT=C=*>Ui zM->5-eJBEG|F*_gwfQZ%aJg4LweMl}L`*MH>cT%|8XZy=fk@uwX|B&Z@Z@EPDPYH8 z$H3otpn}Cy(6cP7aorHof<0p4wlHJr#$B_k0X6bbcVgZ4OLV6GXVB7nl;b{cb8;ay zhHInY;^jAfo5+U?k>%H;*_S$UdZ|Zw1g@?UbHgmHOH<sSKMITHS~FQze>7?Turqa^ zA*~wY9ln-1UqmUNvL3PvOiYP`^qE`6)m#2N&`0K$0ri&22YSQYGN(>uy+aLkBU(bR z#)zHa)0am&ok0$S&hS_EBW2fE&&1F0a}FY9cUkcT2$oHRM4qGe&vO^(ZE2b>s1#3q zwY|6puqh*WWl4#8Y~C-ze+tA5KhwjIf?vEnmH}@?FW2J>%IbrFOf4gWb?nxD8OJ3E zb;9wp?4RTV;Z!SJvTuo&R;@)e0zFXa6je8~@(sg@F@j`O+>i!;z(-B%-H<|B;KWjK zh^O*|7QdaD7<5{;8Q$nO&xfM`ZFm3Wd{$>Z{T1Yo{IK$aMN{MwJ=6m=0JQY!Z<szL z4Il@i$;~Q!=nA!ofMTH)NOV9s#3SgTNUWD&lRpjNn0pWdbt<du05hNZY@cR{Y-OVz z=%}8~)k1RaIpi#X4QaEY7ptI!b&+mksx1Klo<la?J*by|oI~YV67#kJza3DFZ^~&V zY{%+E6Dn@fSf+0B9@XCEo}AsFE}L+8mR){YXYTshtjIOh`rK@fOU9ABoM|P){ntP7 zg(#3Stfz8f(#y_KVJY@PH%RJ(gP&RJM9_S!j}o7!cjX_$Kf7zD_^CZz))lSpKU%1w zU(pwNsv3`)69v%s^8sc_*?q)3$E`wsMV?4x2SJMFnpMtC`FD8y{Z)P<gTn$|0voCa zovcAjt?s=twNku$^;hB;b6aw+oOgq@W=1J22B1p^ldV^WGJf_IJ`plExiUW%R`w1u zJOL#bo4zwq{lD8f26`^Gqnc<Rjm+*5P*^Nee{op!y4^>5)>ZfYcEvkf@SmelRf9KP zme$kMxWL~m%9R6G15=;J#5|)cnJ0tl?N<c*^5@Iwt`MYoD*%5`2^`P0>5IPq4c>+i z1urQq@s@+c-vc-DlNWUjjN|`G%`d0E`+N^4e8T3E=0J}<M9n~Zt<$NPGg%L!5wNEp zKm$4z1t$YQT)VQM1)G|oKaBx&0$saS*G%t$65ChMr25CW>IN7a^_dRkh*rB-8L#L~ zSb6O5(@Nc1R;n=AerH!AGlE^Y#%=?t1*(vR*L(mtK*zuDmk|T#fX=qlKF3~d@F6xh z_1F!i(!k~fSd6-e!pvcnea9lJe(VR-f)31d^MXyAi{7EOpMvz+TE?BI405pF4Rf~r z#5zW<2RmvmLYk5nCe+1BdOK%)6{sbu5{8J^Y6HgW8`LY`xCe`x^OQT7eA6CNE}1{k z_u8DJ6UgEJ=G3?_Xi$8jUpq@bq5U)0H1?nx_QPW~#{6BWk7*p1?_i50DWBnN*pui@ zvX7F-ZLpb=<N*aAN>_Kp%kHy*Xn0x^5fUEU^kXo<rW52Q*fN=-L1HAtk2BOqQowLo zqbv+-<aAX)u<5g<5{c*A+rKu;sjLu8261mrFOmu5IDI>)F`D@5=AhUt!r-L5D02K` zVjI?c8Z~BM{nosw(@8@<lHV-bfmhNU-@hULR17uuYs<;Bq26rZoG>k}Q0aPMYQC~K zZ!=*Oq;w9S@<RtIOgIm!NEi4!e%3pm>OKjWI04PNcZ3BzK5-q|k~J0HWli~(t+L^G z^y%f2vwPW^t~EAJmF75xSbe3s423|BYcyS5vq<r#!E`dQpZaB!*=f8tzlqw`8>Fzu z_#fcs@RP(fN9~(+6wD|h`_odlWbrK9iH1*DOyK*$65ls_S7M{B%fE}Fyl>AK{AYJt zOW2k@K{VQJyKb{F#6cZlStYbNaqijZmwX1GZJ+NX7A?J_;;J`Y?mERfdIo7fMDL$Z zY}>qVdgiK$m_HRR)mY4I3iDT`pdIku%I|B3{rI~nhdpF;R4DyJPfXOTIck%x>KxJR ztt}LjUHI3J&!am2oVZb}zw(NUCh8IsA_gzqId~2wr>A??nD7ywtIN-0uD;aLc1tU{ zuayGSY1Xzkk#CfzH_cM1SyG{F_Pt5MdN!o#^M{Vle?A;(o^7d%?S9txNG56B@{r`6 z1v7b+DQ)RW>^|RV5w+bAtP;rSwsv@yHm=6o@{P)4p38$=6l~ublHBEYQ<tU@?SxL( zSduv`O}*BOcl=fJ`M{y1z1EUIs%1LSZXJz#=y24k)M#vs;oTtKUfX5;GN1>RE@3S0 zJoxIuKflUvE#$ZUC|<^9B)_$?MQzPIOZi5Au8~hY!DJtwUxOjSnfFg7<w|YRmT~h4 z6(8)d<ifLfeP;9>O#9d^YRW;=Y<k&JZ3ZA*(Zb4ULL}~mJWlkUzL@%S`t^Lb&N{d% zT3Oo<;I|^@ZxcK_?rZ!RrA>bVLs+{GYg66EGLLu@%Tdd!@IpzNv$(*b;xV7g@g4q< z7i4J{rSOiEYYZ6-{B-peN%@fV;=;;oe`4or(`x4rDv4p!i@qyK;E)8b!)w)8%-J`8 zGB?|2$lYBv=jf9mex9(E3$lLq7lboWtuJa^Ki<SKHA$9uX<X)DhTqn{t;CBRF-bVm zVRp+&<0z2%GDRyf+)Op_V8{6D&N7##Dt9xG4$*aI(MwaMJ3~kZ*}AjDrK$4W-97F6 znYg8?)XzU9V?iFPr0(N(bA1v$ORv^{ZNEHP5-QUBrMP<!d<Vbe%YusW>@8lNUt2ia zQP7##>33tk4~W-%G!p@>D%FbuQ%9x5;Ji1-qeinw8^$cO6vDN8B<Pim;A&T54zvuG zdRDD`J#8_G`&XpbzVbG$j~h_XePuZ8vcai3`OHzg%dod`Df5F|#kRwe-VizUfR}pI zF@VKsuwK$uWrY5%$U9aykt7yAd9#G3C$7E-w{C0j;EPl?J|!CUNNH1&jtRB(N=|@T zNYj5mr$R;!5~niqX_`g7QogYa8Qfyq72-PbxpDjG(Ja`qNMY{ujZa)TmAYUsW*phO z^}oWEf5k}kQYkm5=r}h>7KEO>7@rCzVO-~M-l^c+xJEKTFuTj=FwoJZ?1~nC`6Z!R z9<LSKb%)3Y%)J&kq`pU~?Pq<EWj#<V0C?z+OtJTXmZ!n8xvf%c`B2Uc(<&{IFvX|S zu#9>B9+3PZSnRDA9y0x^ByF@>O2?FVn0!2#^km=D2veB_#{svyJ+!vM`)#-)q-9)5 z5KWNIp`1Er(z1ty%yp8URG6~+<tY9x3)LM`abF^%Ex4*SSF;mPl=IC8ut@UdGjeX| zwehuv>OZnk@-U6M<g1dJB$b@hZ!XSzak~R9hN$K&R+F9>Sg?OqQEC=_;VmKi-aNLF z!@Hled-$TjyN7l=inF1%<V--)nqBvEW{D35wg2*KorCAy?O*UK#K(%DG?D&WRK|o^ z>Ptu_)e;|It(aKI6i|VFQ#9kR@33OC)QdP#bE@@slN#jqT(b4wv$Zv2_vs^55=Wk; z+v*x^EtQU)H}y}LhSC_PZ!@X)T+ei$KnH&LBj0_?X2xf!;|e?Upif3?kX!p1#}{a@ zlHF5wz==os*)}CeBd>etPH#Ry{NCvv|9DXrs~_JwIqp$1-yMmwk5~1CHV;pRII^|C z&)7RjPpcrJ#4@{v(hi?P4xLm1m@|>{!@gM@^mz%ciH5hy^%G@$$0f=*ZfqQw!CxN% zg*e&8`hLu?GJm7x1+j9kbtM$7KVURkuEHE4+}k5s?;Oz}*lT0$|C05c20kbAU-fm5 z$B+^xc_Ou@cUWIBI3RPRciQO)m*?H3bF=YZQhYm|lw(ey_1i(^gRN~NLqzx|H`}ck zc>?_5^|3MP5E`oVfxNs~90?JT$*}ONzj4zcZ4J_s;c`qXKjeX?*>(za3C_t3RlK8h zma+YfZt=4zHuGb>peqDT(19nzH5-mmvZlU41J)W*{IQMB!<yom6vmkO>Vfgh46NH` z1npBT^0C2Fu>wAUX&<i{A|yV!rG0GuR~P=PYh>~S?nCPWePaLW%)e^*uMURNY@`iX z#`6A84f(GQ|LYI`<6nAP#zy}ei2io~-oHNHKmPV_8f1k?_94hOc7UhWGWKM>xQ&H+ z<CT#UOufc3)_r~3A3(Z6QI$Cv+U93ul@_cBoeZIwhxaPWn9RlI0{=`gM2I!~(up%{ z(BrCT5}~rTHhKPiIYL3rmo^LEzLgwc(9S|V<3$Le@&Snbbj%l_0#3Zrvtw7)TVrh} zol=tmQgQIxeSFKk|H^Krtx!|GqRyMStSq%oqBPly2a@g!Fby%W>tiTuPOTFyO-A#v zB%>kOxSxw?V+w_U6SeX&$uwbwHNXjX`Ixvi5&*KTa5I#O#1{tw^AAlhD!cS!EOm`% zW-MPF05Z=-%g2Ce9vdt17kJ9Ygwn(ossSgia(iW$V+&!x32Xot31xU8mslCZbijm9 zh!imGpsSRs&*+x%9NR$`D^;IUNU=pdLw}jo0Q@{fYlbb^9Wc!lT4>(@ur}9?>3_XF z=BP`Vs?RO-2;k|UYnQ6eK0y!gbkyZc)#sle1e`hO4zY?xo+{}EWav+@ibkEv>nddE zBMxW!tDd>h*s%4vRzCl)$`Y@?8qtVd1HI~Z&HPT&*Ua=^y?h(L_DWbHmLwoOdTq$V zH4`x#<Ly2xKl8hs!Yitr8)D*1_NNkkz!WM{^#MM;oYfQX*Wmf}afN+?N0^b|9!{g= zfX_rZ1)ABE*18+{kg}|>kDppDr|{JfpOp_61orfQY-ujHrdTuhCS9D-`V7F^9+lAN z;cmpV?N;?+>+51EY4*rvC$(c^!3x?#IP3&k;sYiXUI!KE?NvYBl-ev4Po%=g0hM_d zrHyF0-B0P|Fr7IJ$Vi~TB|cfCRI8H8qp9B(6PZ_h`A4cC)SqHYM|~B}tvT}T+t4@( zrPLvka>6f9guVMVZH|_8TJ3(bC#mgvkKIk)1YeeF6I_*!%6$)0O>kzszisi=h`dc4 zh>HEveaNnP88JzI{HTxY{*$#=1Ku$8F8T1?!XdS&*Yf54#!*nshwDumz`d*Gm9aUC zxufl{&Sgv-FnkJE){pXw6#Z>(uqRFv9NI;Evb7MdYF6ajs)=9?Sa@|@i_;GObBwS# zcO>Z^Jy>9i%2{e$!Z5X7@v0gkzi7C&qU&s2;y-x=)y#k1gTD?sEwG}ja!wqB&OkL& z>_yOyH4bY+D{MI#4v2;INf*t41&k&rLjF)s66M+a391F{om*@ih%h$2Xb`N4ZgS4} zDy??myU2T740Wm*YQ$`Bn&K4l{wudqR!w&1IQc&Ms!uCoU)Mq$qv6BdNgD0~t2{rp z=Sv5Jo0EY9A<vM_pq&!0qZ{qpsb|^uWjX1%NaE^j2CmRxPj!g}Qt(H#BXOnibmMSJ zGyQ$~W<O0rkTdbkjDXKw?-R$6%~7Hy5?D>vK*BV0ZVG&~CW+ytze0^Ti$6w<ID>yc z%`CgWObxX%-iOWNqjE4#7jYw-lLm;=l>|}TJsg=q(#YTh07<ygBIdj6BkhUBS)52t zH=9x-G(^Jw2P<Cz6j!*ViMzYIySvj^6M{qV5Q4iCT!M6P3&Ej-dvK>o2<{r7fesD{ z?!F{%W_Di9+uhmPtvYqjcRx9|=(^SSzr_zRUzr5rY*I_JB%(+4beA@%n*SU7)&7Gf ztC2U&ZKZJ6Uytf@UeA#r6@FYzBEKo1tXtzx!YH9Mn~2zz;pE6*n?7jRQS|B|PS+sX zi<-xz^M`yGX8Pcj{W}tGtR6hf#PPGn9v{$9U8Vz8t+nR|cvh9Y`Ge2_p4DXvfA*~v zUe*)JRA>cIqOwL>ua^$vXE5_9J}<Bd+?Ccb`cBildZJO?L8-r)hpp!d-^>JEXDA4X zzf~?uDY!f4Rs2fCD}VLVBH?|xdHCVCCp?0Z#rvXL67anj?ISUsMhkcw#IO?mWEo@g zO1lA&{A@u32v(QbgH@kX=u=VwUzni>)e2t&dF5SQg$YRf(ES4(lj<h77qU({f4UrL z$B-ClR|1k(SFP~4o53T5O8x<fij93Aj9EUMU*?7G%5zp|fKyH=GTTYO#Rht@0De|~ z0<gA$UMfH-cb7e;-$Wam;2GJ+u)NatCNSFvOvnjMeW*w-`$Q;>IkjS-mk!7foAShq zZ#wYjzh#dZ?Ds|4;P3YH2ARgwGOH>$71s&u`UsW<zF@Lr&nW&a8DcvaxZNUT&CUue zB`nrGDVixR(ghI}jtZ1<mrCy*p=Hi-rx;OKYkk7mPW9+FMNuk?D3IP&i5i{1S7MJ) zXOEcna!adee13QGoi25scBd!wrYuf1py$c;n^P*!o+gxL%mywKngLNQ6budHUg~{l zZI_&Cs4w*zlsb9i1=az1v*b!BNneXoNi7-Fs}tbzC`jAVv>b0Q;kkOw@{z4csId5S zMEbpHvE!rZPHce;@)d;(q2%-n&4DWM4ZW>$cTTcj*@TD{@8YNX^dK$<l-b8z(Kzh7 z$j#Gwp=Vy8wjbFsQm{2KxM)B>T??$U^l5;y@kLo(<e@3o9wRpReev|>NK^gkU#hAo z@dZpCRM|xeZ1wY7Y1~&{OEoX#Uv{zg&2)cvcFk(lo|wc_d0Ie!95de#jUJ_{(JM>Q zD|`b==j6`T2yj6=fx3LAI~eo}irMj@M73Ax|D_<+5@3|%6aJfGy={f%u>L_Kpavte z&CEUyeEzLG<p+jGrNMiODu#2<i307ac8mw|)tB^nKdUK={Pq+60Ma6}>RR*@EY}CR z1BVs*KEY1x@RLEukm&PE`Ub^zO1Bc-xu(N4ANmH9cFN8Y-RkBVSTlWtXFDZYscvM8 z%TG=P8&Yr4vX`Z6;okTy=$X`WD-gllV3v6^sKi?zL*IxWUbo0b3+|Oki}ownF*AFp zE-Ql>(W5oLT-<VB_5=m_1a&QreiYjrhBMSQap6w#(hsdH<F4FRZI^)P&wkVtWb#IH zBOcqZ(cYMFQH`<H9zkN42zL7e+KXPl)%pY4iZ%x!coX1{zG`iZh)L(~SC9(B)rTqf z5{sHd%E9Wxw0qG-tj;>j8G3Znq-vUrMZ<?XvXG7enWPmW*ji-Qz^ZDyIHU(NAh9cH zR{AfH{=03A^peI^Ne8zUeXPJnFd}OV%fa}7XH(kky880?mdR0$I#_zWU4AL|o`h<3 z#{AXL>p%_VFjZfsi+VNw?6g+w0cZLK>}ag{eJw+s>{b$YjrBi&WAc1wVLy)H^nFvb zk;FChiY$-8nCT)d322FZ>4KZ-ECc<y=jA6so%b?2B1E9$WoIaQB<Thr#w!fH4x_K{ z5uB^|#D|>T-5EbWz3MG*A`h%PZoh65t(*Ml-yte_Tt2WdyqE1<`OVX@bJ!$-{JO;T zaak?;`YT*R)sAefoZt1=w~jo3j|XWg_A7d~veb7LyvDm?jZ0<WHVSVwL<kuYZwVRd zLmuqb<T(TO5>K7$bFXr{H4N_8elE{j4z7&(V%0`hC%P`fnOLk{5;#2sM&Bs^xV=lb z&|nw2UZL(FZ_W-{Ci&5RC$cE;fG>-?sPkaC#$r-ad+c2Ad!^Xz%z4`SeZq>;Njh-( zl8Ex#zLTVm6H{Ho!HX}wx#O1}AL1uVq@08((z^oV-{iTbav$y7Hk*lA7>1+(L}o2M z7R0JtNn|@MJiQLb-ud-AUsDF9u5V%J@+LMY+o`r^@cA|23NvWgWpnELgktl!1?<;b z$A_El1AVH1T#IP)hy(w%X4GcNz-99vOLrofIhmivHb>t(vDo(83W^Jkw;U+aAU;T4 zeiNB)p$>Hpb(KV0rd#ttYwqnn;B!(8T*m+5qTVX{BkH!<st)_idBCz`r6o7ixz$w? zeHm@d2cwxXDEkFb^{5TlF5NX%n9nnCS*1Q0(xSF|hS+_O%G81Lbx}s6UVC@^(kLa~ z#J71O)rqRJA&9=0qWeG{)P3n}Yuy{>ddEQ%cI0F+@^PU1zyy8Z#Cc8aJi+zOJUl3H z8CRfudwE=KG|%-;`wdUvvL*rk?sD+Q1LTIxR?Z~h{Ypt$!}-BV!FLNC(|u;P>pXpC z_5`(<?uJ=?zZ9V9EGO-FzQt;tJbjLK3a&!pAZ7eDwLsJpkM(zbo}dhTUgqE=KlGC~ z>q>o?AX2;u);v|IFh8jivGw%6%9tHIO@`o5zdt)CGW!H$N(nU?^MF#3egY>CeOLHv z>Vdc?D}7d=0lc+zzMt>B4Y0ARF@G52mHbEjZ})WxS)%xK<c3urtG^8R_f1_cjLPZa z|96#0|EK;d|6gm4;a`=4ZH_Ep!AQ`FN^8WPqbxf+<Aw}8Zy=Ni2+G;jjj5>vw=wPi z0_4l<NpoSodBUB7zM%b=|7lH(-^y=kCCK&@k^ao(`RMQWxqFMNX8w$7{%D*rszPua z%Hd_7JOhaYZAQg+a*+8$9Z87sK{nx`Xxnfpp5s54D`bR&7V5fdk9?&ke9hMGBHCOI zD`al;@@sT=oGc52JcNimI28-Eo*@p*6Fwi|S`ShRFGGDy9y);(NCInsf5LPN`O0G% zpxudc>7!8S7Kz3YSbT<1%v?09mX^mVkDj(<Xsir01MG=wNeF8h%L4;I(+ve)#sx&p z`>)H{y3z=VmIm++hk^Jy4s^hXv-I{aI%#R9+7xNEv$^RQs^u@z%tsBEGSUsSd#~_| zZ3|$fsK^$Iz!kury_N){maz)(?!&ZhftO7|V_4cHX)&w(UX`3ZAv-l4P&0ITF>IPd zM?y7?2DLZ@8EB$bZk?NwUPDNw624+o7mrk(RzQu}oLZoae)+l=hagQocp#-fu+hfE z0E5{sXJ}>s>BfkuOb<b}hY!6X4Kl&25YCda=AN|nMLt+t$ALqWmU7cjF5K*oq#SMo zgzb>B%9{)wk=RXaL|nc|nmz=339{wlu`#Qa=d!IJ0a;OikwuNwgKR5kz#xQT9HH=* zdG_x(=^kl{KEz25$Wo^0gk4Jmruj^)OUVQ)IvIBNc4}o*G?4ck1@Ij7f)Sbsfu2e7 zti!Jx-a<ehd%tZZ$&0_$LKdzJ$HMAnA1n~Gu2U1(MI=9;&}^h2sE$(5Q$#^oV<RV8 zREhot!}TT?Vn_@A!6DS#P306M{^Qo8E^F&G)krVO#|R^K)L+^-%3vxddGQxF9-kJs zO#3{41+U1K$GpF1FozrSW`>=?TcBMtKu8h0k&fXY83^52$6KMbc&2aHl#~MFKd8p+ zEGHGjAF=H5rL1yKr@q}JUA#*2VpBt3oY<)_M497^*iV<g7%uR)*6I={Gbh)p#rmH# zR<L;4XqO)JiykzfSb%pVji;U!FGop7L0<nMFEA#LT4Op|PjIS%{jROuf>jUS!J(Lt z-j}MJT47eqAz4Lr;zZq(6ebBTi*-#8!9@s$JAMc~LJZ`E1;DLgU;FV<ux?Vub9}ne zH*MJ|XUJ@CXD)LI(OU5b5}Gn3mJ(WC`4U_ZOohr3d517+yW*Qs#JoAIW!h&1k05A& zeTW38eAqpe)7yP~0S;zpXTU37$yfDCi>bi^kYA~YkT6JC0NArnecyO{$LUb-q%2v2 zec<O$cnL0ury^esh%-r;0!S^XZBuvA@Xe?;@4|<#taK!mXKRwf)Nc~#roJ#xki~!x zRhT4R0t`{XpYG^E38Yi{48X-X?<3*TDxNj370fyAa!Rr(pVK#M1GMnGU#Z&Rs+KWR zfSon3zV8op1;xwX2(C!o1RlSg^`1=)xS@zRI|zSw+6Ei?WKxs|omuc>U;FThH6J_g zMeFjfEXI^{OGlx+p{_5Z3RV{LJYK(88f&HD8uP~&4#$`5MY)zT!Y_4imR4R#ZYV3= z2}}kHSafhYO1ivg<V8JTmAh*#fHJ!%G}gi$44gGRc!;`TD%}AmgSjl0d3`gpNe<5B z@1_C|YNYxpD){GL85pxhT>PZ@{kC6+aY~HY;`3*6L2RXs*}!-l8z;Tke)}7WgE2BY zdWzDB{x9<47%SUgcjaznm6-*jDKSBd&k=hTnnL5l3wExzUZPWCltKxZ!`kc3`0*iI zj$T4R3&uj@LkqjFZygP%#6&GVOJ7Z8XzwxB%hD#b`SE}7nGn9T6$7EDOdYoMKXz(@ zGr)FNrdA=Vj8jQ$OVSHJE`8O`J&4vAYrY&DCGDoOb*q?KEw8amRcZ$&w)QvoD1jHi z*EA<e33n`@DCHCB^;jTOR8@%U!x6s4ETo!w>XxrtB~Ux_P6C9Y^elS>;4lQ_RXa}T zI82Zi&C9+=q{Vh<vR^(@J*dY^2yV=qE6FWQUD~40L9pYf)X3IkY~oZ9!=2<Y$iB=6 zIv7T|3BbNqE}egGDe}=rvqh>Is?g|pLEluVD8}v9X5f5lCs2-!MNaAA`sgcMvtOaH zrU6!|Nme-=dK&KkZqc+i13DjUIvrfOS%bDJSBV5YU`u=CNqLY;dAxk|XkLm7tKCaJ z+e()Fne2IH*!9Rrd}w$+h)jQWOM%65&ipAa0<MQmEVs;~r)YpTZNMUY02MwM{}={4 zi8>@bzgD^xEJENgfM>1=Ju!rjs6tTILB`6!AR{E6JRzR^OgyPiJc$~7L(!41d&Beb z`|!zh%pO4ZN|3;e0ZfRXE$E8?LW$Y!lS}g+NZr-JzevtgU5uEkaHKCcD>X1<wz1)% zo4RnA(|=>L5lFC7@P|SfXPk<6nA-|r?gZSF{5p--?WR;aV9^dhI$MzgXDglaZFl4W zT4HwTM}4V5F2qw2VQfEZn5Uc>{ORzB+Z|QCI${oS0rtMaphLW=AbF*zvWZ;lA|KmB z&mH9JSwF1f&!PFK_f#-jcv-}2dx$1{Fu^flC@pFrCM+9%4e46Bm;KJVLbbKV#j;}g zMaA;N{!GhPujwl$e>u>wSfsMU&lz*>z|oyK#@3j_G7=g6V|ljNt#OB+y2$yUdbU+v z?!XGzhBir0KxL#Rai9*%*w@lZ<7>U%Xq#!S!1ljn@H1&E+jLwWo;Y1(bbYRcna^>p zr;!yo5%y;eZJk=fvG}V$gJvY`No1lc{)F7_XyxJw-yoDF-hQ}zR}h4HIb{j_7Ra}j z5Hzb|Plgysd8y62wIj+KV-g|I1s<I)73!q<_|qFP7%PEcijkq6Mixbue(EzrJB}=h zO3E%jz8U4_UB$33d%1MYDRD#Ndw^zH;CC)=mZ?+@3x4%j)$_FBg%*a|@<7Anr5Ugf z>UKv8U?OJMXVe!Nlt(<}{OQPpK;xs*QZ++6vn8!uH<f^7{2-37Z0li9$JBx@>#ewu z*BfZwm~sIQlo>`tD|BUs{1c<nzDmC=NC@Bat9Os~BlcNsZAiQ|4Hd1mmILcnFP`kK zx~=N1FXpR#S--d*5!MHRdESja%41jbCyD#)pKC%-B&V+7A-{NEd3Idpr}eaT{md`7 zell`A{|q$phD|2H<D!4!0Q)kcbP@~0%q>dW4g^9?xyHY*KOZ8`OVxB{kg-m6*)FKP zNQAn**EJp`LI=50jb2ueKZ$Iu_1`Zig;ZX*golh=x4=JrJg)uLL;k37=1Tv>a^3QX zkg;@kU|IouLI%A3q*et(vM2ZOTL^RU2^nFteRUIJ5Gr<8+Qy{l!=qMiRxe22BKlsV zG?4fKHFp}?;_%e9RQUv`j_rBR&?Hp(aF!L^Uwn{HrX^k1IO_r=fnnFfH7d@HFA3^7 zsDJ`eTUR`w3vY$}2~^DHcmPm9unOLSM5$=<rNpjay>={>D5!NoSTve?`<;IpP0*pp z(%PoBohwrpW8ah#vbXQa8fhA6d1;^)ktXZgC5!*H>N`I&E>Ck*DI&hPrTB*YY?iVP zeqBQf1!Oa3WL%wNI^>A*c&K8~{RbFB07h><n{a)!Q;bnVqLPk4@bhCb7hhpdbP9U; zl5ug>lD+iB6X%=Qb(aF$yYjR<qqd+7lKLY8sQ{jg4_xsbk$KF0)0Vw5aGA8eoN8++ z83Vt<(zrfQZXJc_Z_9HP5B;1^@=_!#rfeNOH*oLyoMu~TdxRnK#^$XX<952-FeQJF zwHsqpv9D)F$Ow+yVrf;lW|d`c6jbl>j=fl5S3e~2y}9AxMB2nP+qNgJdg*Biy;>=- z5*K?ZswJX(;?qHa97YH4vOw$Iw4iFHQwwFu$Lx~ZGxLur^1h5rxh|IFhuA(Y6cxFY zA78@U0la!wzQexdQYOSsmO=f88vd6JY}*~P!w0uc!>}6M(tAAK*O$8a^66=VG!+g3 zb;cka$L}3X6$$4(_tHfOi;C;xZ<-We`%`~q?{Gl2o?^_^gO|u8){6m9r<Z*;l0PU| z^40?5*dRCM0uIs#eJNS=wL|EeRx6ad+LVp-J1>fwYk|%dx}Dxk`!#?Sjg$G5vTOox z!S5!D;2Pkp@Q*JXm8wD-lhG5EN<U(x3-JT8aPtk*lzAt2tz`nu4Jf+gKeyZ*lY@nB zLzQXIex9XFixCJV2+_(!q$|r#?%K)(qOiw*mfK)^*1*$Z6ha9#wxz!Y;uiPTRbCzN z@$E(%1wY*<@pqVq8Cz)ma=Zx==CtyGAT)iGKX4m4YRM#Tpd=}yhIddo{!y;KW%@F) z%AhvPYV?PZGFTYsRYfkTuGVM(N)WWNMF*K{&97hkORdKW?&8}D-_mY%utm_glVB&@ z-tdeTsN^W|Dm?^}zMW(o2h(e>sR+vcQM;%vf`rZlcJV`$NU%0PXJ*SMip848->%O0 zJd?Ilj@oOL60CpcJd-nv<mWLBcCTiyQXVt^ICn!fu^$-!$Wu=`j|jpo0ni+aonbvH z=l)k8MP<`>$pmYpR`2z|R%5Rm`m^(aUO8JWJ3LJOX5<$O8UZ5A+SLSeS(i=<V9~T) z?3uiHweu2!F7mM(LQnH2j1yLrnPVc(Pf-tJt=yz*Zwh=k%FJx~-7>Gq5u0Y@4m<?& z%ud8n97-dWi2HGWgw86LxwKDT!>g;Ee<3ilz7J()q9_L#QYHrTgJiV9Vf~&*Np@)j zW>!+?N=&zUpc>)4Yb?;J0r)I#V1;E$66n=^QdO#U?m|=<f};0?upzIRyc7UDc%juX z={Jn2cJRQ)lQ!I&Wd4?FUQLIxX4vzK`zuz}^RVGvuEAY`jn0JBrD))RlNRM`szrXC zJ5_5hc1q4iq!IF=6;<a(osMy=W)UWe&wzz&`1ve=Rr)T9V3`l|^O~*w3yq48;ntu{ zQ}&DQJ2n-OSQn9-!)mHUuJ-Sn9T^^P8+Hu@L$CA_oJdw|P~1qLol^%kaJ~kA2<=41 zj$*O;h{IOG+lO)g`)_mWv^X?vv@~sNjf&~l9}t^R<qqIqzKihRs%D9m(5?2N6)CS~ znBrpg?`zx#5(L$>|JI(V;8Z=2B#<^pTyw|W@_pMhpwWGhwwA1<`6<xXFj$2|+WyqJ z@;-sfzk;U6iuI49RHK_Z{n;_`DNvHFhcPx!_@?=fGg<Wb0AcM(G`_pFbmu;#arO7? z%GDFOuXcCsp}G5BJU$<z1LO*U<-;!h$&LnbNq(prLR`j|SwDDvpY%&D`tP_*he8{l zrf$a$grAfL%*(qpi}FbLUXJMmbm}LbquRe0fA><?&2(iWV4LGXGv>C-)EDG<{MLQL zj1J~<VyIC$AuP=GmVoyB3Y5<%^F3rUqvCv_@PgGlFKypSU6RZ5v8`3w2+&Z3?D29q z^XobB)`|8O^TC>voX_iiu@a6Zt9?<5arSkeG4~$A47wVYfd_f$Wz6~`lZy#JS~9ye zzOM-*NB=iH_<U)zwI`-QSTv(GZrqo~-NeiPZ1D@Y4Y{xQq5%`MTr|=W(>j)AGTM#& z@SEUL+0KRCy*SH6*|c!`BcWkh1`Vy{B#xvHTbg6SDuxT<n6#>C=C(ndC>uB@niCYe z8)%4cNTu)GlqIJAtD4>=+9ji@PpN5DVjV-MC9f+uYgx|pl_w=A*4~BCoqjWJ?W8*H zgrXg0!G#Kib0dchOVMufoygad&LCS@iNjDrN03NQ;=E9`G2V;8Nu!Un5}>j1BL5Co zY@%{Qgjk2i{-A32<v6n8IOa+g_MqLVEl(1s#ETT@gO7$I{YLT@%k9;$QJ?Z`SN?<3 zN04k`ISY?$R{trHM$j2iS2tAs$CI+-XqdMSMa(*)R{@q%sP0r}8umDx1)3N(Wsw@h zI*c`GHUAKfV~C6$gt$701yf*CBk*8H=!6HRhf}pRrfXF=>>Bkj3Hou5(byT0o#+PR z22aqwVPZ}b>w4*+xCn&HKzJ#iIW&r~N;XufPCUCXk!+59==j?iJv&cB<!q^wz_>s_ z4DCb*MS3eT%!}m?$xoR4yU+;z7>DYNED7ZCqOz}NT+=!H5{l)gKKZ8Mhhy)^Oj%}| z(v7qUj<gPP*YCt>+8^Z_?Duri>qrNRuC7<?DS3>sdt!~6Y-Pe-IV+@UXHuEjAUaZG zqgLG1G`!LV;_a^=Rcok!*ve`JUP5t93Taz56Zu7tw`7X~Z%i248jyaEgy`LzwxEcg znon;r<+3ra5jFB1ahPdLt1+xlsoJ;w#Gz-WcX{%9bo#1oi2Yzref<>8@f2e3h7tbo zWccApmW-H~kAvg&`@7<Gv)X{&a&eutlukvas|vG-X}RGJl!&<+c>y-=BZ|%x{tgsq zV);F}G0ks$Xa;`(QZO1+WcM^aH1$Tq0HuG{s}o!C9pW+iCoprUI=l)TDU#wxgSJCP zy*^AXDv~ODR~uA={#g&yMlT^d?$z2>g-g!xNA`$Eem_Shv7$j7zIW~_1^wScG2u*Z zcm-PWaua?Dw$6N!PSKr_q$af;eTmwG)(klH;Ei6e5bq=zDWo9QssOU-GYe@Ee~RJQ z6&3w4H5fD}%O*(yozE@^5XCGxnnqQ1eqY91ptsD}#z=EdpI-T!`h4(%1ZQri7KQ-| zGEaoU$KKcM(L8L?!v=C2u&hD~l+PBug%#lo+WgAK+r`b)OM#SRjYv!;2(3hJNN(`2 zNfAHR6#wo{^|eC)c2l>zQo;x(*^#N>P2pPQ@y7Kk9d5&y#8r__3N5eRHF@IUzLe6? z-8kddp)8L2QY)fZZl>t)S;^UiukqSMywr!Z{DG}xZXA<(g7|JI4xM_3ojmIK-e2>z zLv}k>_q!n^Co~`5rpuCb;-EJQ>8oieVj`lvQtp@9Q;6{thbfdJejn;$U&5CAjOC`S zwIMwG<S9se#%liwjYg&w1dxp_Bg3VIs)8*5Z-4%bpj17tjPXID20u8paLSs%ZwF*w zFSaU6GQ3Nzr7+eW_N=^KyT-@dK1onDw^A2(#qTkF{bLHN_2POrZ-k7NIx%70E)Tu0 z+z9*gXNv9kxCC3l{?dWA=riXYDwhD3h{vv1eDQA%XUNZwBAHG!*SqtbUsk-lHw4~0 zHNIOe&pxuf8|d{qVC+vk&k#%Vx%^&@DQHK4)T$&tmTP8mA#oLVwl7QM<D0y~=#=6- zIwWGFt@v2IzJ~Gm;Hz}=@U68|@hKYLhb8Zjlk<4ZdkeL_etPx3gZ0U0doAI9IWJ`S z#MR`{`CCuNsVnEBb8pX6t<|wd&5(b@i3iUhi+oi<T*bXt6zJ%P3SROK)zhUnN0e_3 z{rc#`?k@tQqlZL>ty@ttEy21zq`@vT%}}W160W$YQZuW=SJ8X8w$B?jvgx0t16^qL zsuS5Pegv+6bZ~)pR~KqYYW2=C0YHt%xLI7{w?E>w7<;ONOp4pA`>JQ59_t2nF39d9 z!YwJS)>$S>&^Ke%EH260^LQ;<o{k`s&>VEM&bskw63r6cj23!^BxC&?U3GX~_)eT- zV~8VMElMZGF%ZHD$BWvDb^Hbr3&)GpNeBK+%sV_6=eQx~OjQ<-*yLUyf-~D6wj`!S ziR$n#$cVKePpkPD%7)DW(+jDxJk+_f0)HC4iHQAoTGF@`JM#a6v~@%7dpD2bp7+~T z`sICfC*Es~TMM&DJGl_L{F)|$K6YTkJE|(yVq7H<^5<&0e94E{cdC0<#j}`cWXqIl z_Tf71C~1cm(bVigC28AoO(pKYY_&blVlP}a4clVwqVr}Q23s(j_O9)UEeCto=d92- znp<I@oM{mqikh_54=BLYsvMIWJ9kc04QVd7Q{(kb?4m1U@d3wIRJCDVSnBr3Tgs|H zwz9D+ElP}(syj4rcyKv}1ZDq#8T2dZq$A9+*o&0yCajV)WttRdkHWU{?Z5+{J*YVC z5TzLNl|5>@eb#=|(Bv<5qdfwkeFbojMs<jmA|qv56j<Iu%obnok++oEr%Nehz2-K~ z7|?k-Xn^!(<ae^Pwp9J|b3l|Qz<kY+cn_8M1TklE%0FDpID*MW$@Asxdk=8^d9>X) z$Uja@8*xv(H(l7)md~qQ1TEL5W&g`?_3%WV?H05`CB{TJDbHz3p>)~iA99IscgMGq zm>D#Y2jR){$EvraTV8W=8<sHwm(uA+?8W7iA9Sm8bn9xxkP*kwlX7SQFKB68VuYSB z3b*NB<B;9)wEF7z<A&)3C4Y;y<@0D2;q?$Bwe<`;EuDLlGj_vts|sQo-<HBD&?rz{ zce?fbQB9zhGuB2`RS6)l^~3>P>N0>DZb(6whG5D4lk4x_H%Q}>Xg^C12p)ax_1iX% zvvo=Rw_d%T>Byh=&X9P*G@En++QhTQl6GXtk=I7DQFa?Mz>B9wrSJN9iu+l0z0Cj1 zH&c*{&;c~nj1nmH6^We&2$oITwIVF?LjyhPfs<KOPhPK9Xn{3fOzz144l@L6v+SP9 z(JTVb%h7M8LMPPNrk1s3>1t6ytGZxcaIoC!Ba^=cXf^&;-tR8iu9cvR^aAndl6eYs ze`|)4<={?R_P9o}Jcy0*ZRdM-cupAv8giNo#MAZ@q~tWxUdF0R`B~h-U&9Za4wz?i zGI6)?@9vI)DzhY;l|g+D+|aJY5e{K9+9B$fPFsgcU`;?H58lE4;n1Tpel<408Orm# z+6OoyXq&VMcF-y{O5inlN}ga^04fo*16mkC{U7YC>GpSh=%&Q*tI+_tE0>+pOyI3B zJ566)krD=Dl9)@M<>XyKd~R%j*~-cyG7FeF8H%qR6kd=nA+Ht7ie_9vh}7g4UJ&_@ z)2zzp(_VB0YUZAm{<{JPW?<gwip@rlRucia=8a3!iA<R>D5^BD<%b`wUNrzhx#r!- zvxc@ssoU*nU_y9##;w)uNK%b`ccaX_FZ~JN__Y@n7{<-r@tI=5dQ(f;$p3BZC*eG; z_4X@OqSQDNC0LcaAOUf#oh8yZ#gPJ70G}HTfZoCm8lEds%@S27B+xHYOBQnd*w0j< zm&#zTkVKhW2Gsaagf8!*3U&}?Phml7%K~8kapP@r5I8rv=`d-ac`$>Tjl}+n;eciC z93nMBAJdm$YLb`FNnsvFZPh;`czx8~ma8RK?ZhY`dIJhc64}x!7%`gs0EGZ*?XvHT z7~l6}6u4=$-DdG4jita^MG0)P>-dpz5@4-Z6(Xm9M2hDAib*;uL=Go03Wfg=Q=XFt zYt-6eoDqNdubJdhe}(Zu*k8Y!KT6`?X)^+Tf9K?6_5Qu~&onHWzZYk<J&sXuUd4~h zu@vWsFwCo4A``T^wLX5O5SjA|ujz};Jym9}6*{rU8~NFO_Y%a7)?^`tYLLoT_1oZv zcjw8|*El3UgG0S6jSZ61R{e^*5MDs-#vii896~A^4I@N;rUf}u@xCW3ZM0P|q7|{G zt`xEf>u20nh~Worc$STQenwNk4a~`7#D-d3>nw1$s_j?X<TU&G=}*WMIwfk)E;k<4 zOcsuKUb%0)x*YM=w|a9h*0SD>k{6%T`X>rb-m}lJ0yjt}{if6Gi>9xMh1fdp_#_qb zO~>|wDTrqugc7G@2v-!KpkN&4T0fo3cq#XBNdzF$+lK-Z*`{rYCPeloL|<Taq|~yG z94EZ6q_tj^=RL>zQDT?+oz>CS<v`3YuTZF>w$W>kEb_Sp#k8fP(*4EnOFRz5$TpI{ zLXSgUOOE_**0yFq_D}t6QYUyG(h`RM5lJ0ch>g#3<(qB@VXSlW0@n}oy9pPHOt=FB z#4N11?6H*M3#X4<Guh0vNwNEm)KU-h?rZyUhlw%S6^Je>MWbHtAwLrru}kw06!Ta= zbi2%o4^S&Z8OFXmIegi^#TPa7RKXXu@U$9gsh9KoePUeJ_BJf1L5y!8{zWF@qgIP% zOg1<o_t~TND+mY$jK#mq^rVKwtC8y>-Xv&{Zy-Qh)A(5-@vMiUV;XdazGFekP};1! zoK{)kD`fWu;VWi$PoXPf_YnJ<q$St(?e3SEhKz1&MR614tswS|He?r_VKMTs>>L4e zMOaBuTrFO!t8TwKB6K#5{}!Ubc*se$VRPp2E|(s9aATLdRf7Ms`P2|o7V#1JxHdEd z@m>-p3-^TXCjt`>eL|3;KK2c@g?pqqegSEKdxE=Gfylyd-_FYgITmyaYpqd~xnj?@ zpzNC<UQ~zupJ<C0rAX84VO~Hw>WGYO5y6Ks$Xo(CQ8wxTA_=@XmLCu7CX^J;9L>*Y z^$}W|u$W)@D8*&upHz(cpAVBy-~YSi`k(4A(`ybR8~Bavk+ZRYXK>Dql<V$jTiDbv zxnyK_z}4tOVl0VSor}FC@<qmbl*M3i#c~f0RRR)*<5qx3wfo<PSdR!_0X<j>1uYFn zAG2&ae)|MsXRRTHOudm%u^jaFUQ;;Iz<v{N58CTi9Kv7c9deCe>fX32GgT16ExBWW z#KMQ*Gii*Nw|q=t=~J;SQK0XJyJv>g7Kjkiv$?ptp^keBNqw9z&S_ssNuEORFGD`p z!rUz+nN;L1h&GnPZ3?AvfR~>ABQ%CtfOpCPBUGM15auia#y^*hih$VDNtBfjrTClU z%6ppcy*lXFuh7p%cn|3~4l%w=$|`>BfqNuA=7Pw=J>nm0hVGzdj%^~R$9;1xP%lMd zbS9~-OG{zDERx$pHTPgI<|r$zJ!h=#O-n(~%%Ng?007Sb_6$Wr+-%nqMM8!=>iI}x z()d{c{>KpBb4Z|?H^J<Ahuoea4jn=9kk$-&*t9E^G?S5L)Tu@|Mi8ykv1Bw|IqGm> zGN+~64eovK7Y&^__G3tu+@7X6ZDli8G(QYi<96P&2V6)_LMWiLR1qV~y)wnb+*h29 zfqjMy<3%PtvHg4}EUjNLn&OJMXEOZ|RrDU=%m6;MSJwDzsnnX+@lshW__kAS-1fRS zLNbbwzxxssbpq|h`(!@$EJ+}qx2&(*l^en1Nz4QlR62*sR6g5{Rm|p!k4#vf@AVeJ z(MiIh8dkps`MF#A$(KY=H$!(|l6F7!FIdgGXs=nz@5n*t-`z?MThqTSP{%Gl9z~+1 z^78zCJS3A8A{~7SgkV0exbLl#)_)V-k{E7t-A=r=4uQYtgss6n;auZFLJ;z>{cvDC za1-B_-xz{|?y-L_9fdx@-Lt|LLwDdFF^{3JvTw&kdE}n})fUXvvckJdb&|<|IpF5N z?XRE$3o0mTR+Mku<8PSEq*rIe1uN=RSob%{S0P?*w00=wY7Y?+cXG1p>9Y;b&Rg`_ zqP)Fl=R_FWM)1)y;0+sew-sx}J$IzzaPRSSqup(hn}y^aK1)YoWuv*<&ww_p>}&4+ zGsq7s8-AGY4Jud+D=U=z{tU#!%DzZ0K7*d{JI}?YjAc{`B?k??fMJ?dR;DHWrqbU( z<C(Ns=kn^KulPZi1_$f`evGP(i4K~J-|k`nX8M1e(M<a!{g-Ldow%yA(s6$*-_#mi z$(D65k5^fzf-Rk%%>ju3jlbp&rX{5&R#3ljx2zB64NvpdA+mVP{TI}m#~;HmoV9yN z@%3irnkDLP+~(;=p3|1$<<B>VGfAO!ev+O%W4W$*gDc=)l4f_LII6gDWU`x4{`X0g zO|$~ayuDjX8Dy+3`qJHf-WS(s3l2Q;6E(AYUyfY8r5~$=v()ih5YB`j!GJIC)T&Sn zhbbVHy<2rC$5B$g3iUs@Xy$uar;Q<$XQl{KZ#v(-rVbpcMed~N4qn&{dLSXnuC)mM zSrfrK*VLQA5t87XF~L9XD4gbi4cn><G*18KleNTS5Vx2HL45R|V<~QBt41!???qj} z>8^<wOLd~D!736GS;3oQXR1vi?iGkf>F&skPfKa<C79q4QJ3QRhEX;){Q77ANEdZI z)M{+2PRN8=?5S>>#VGIub=JX;wHCgU%0RjjuJ--cs?gu_h43qEv0{%zX4t$N!l8!S zw%X`J1`1EC5r(ccB*||AFKYS?U0ca4x*WqvL;3kwBAxXH?chu3w$^~)aD*3O0N+pC zWiN7zw6()Nb<(^&^&ceBL;T_x^bLiIdOko~JoSop$@!L-+g?rnacsS<x?D^C@rJUY z`25MQqios-b6<4pffA=|(3#G;a=^`XA*v&;1kKESW;YZ%lof@{!dIs)QmP`EL8XF5 zsS%I)0*i)hj|G|K8YeDz27%BXHJiVK=#!SjkGJ*)uh+NfaBCq*L-I6>2!!~k6C%8P z9TRT*#Y$o5yr?zSVdxO#8vQWP335#dq>l=&#W4)s5U$2M4CDn@qY(xogL4sG-}TQ? zM%`M<Tro3gG&d0m%3AJ%0IU>(mWe;{y|CMn#(0s$EVbMX>3fT?5Z0<Om8*$}?Hwn* zgc2Rr4ABtIh7ZB;BZ6tXTZqC<1++kZ*$Tom;S&)$(T^`XN%w`<t$1%kWu|1JTXt<j zS>b4K{itAHLI>bzu>CM$W1+IhQW(c95OrAK$Zh8t_k!fLfkles+8ZHR92{*^&PQ8W zuh^nr*JP?H`=d?k#ES^LRt8{x13O=a;+;PFGx*K|8Kisd;}1KR<{Phjn^hvhofEfl zxN<gNVaW4LxRv5{ZZ#38Bp(Npks74LG`Vrbwy39|r!WcB3{);`cW1wM$Ms_2qKfIV zVG9SU$7aXhNMuJVqZd4Bukydq-C-RgK{ntM5v53u$snK4Q2hxc-k)X=@HgX@5Ok$* zPO%=l(4s#!O;{!bq4*)dPC^IZX)yh;U{j%OUR$PM#WFxG@F)ZWTS|b8Av$H+5iG>f zLmF6|S9G3&)tSuqLnbonXaA3{to;5{h>At7vSAk!s=LeDH@E}WL|9#ID`7%Tz_&k~ zhSNtZuxnE5oraG-p?SS1qT9LZkiU6&)zJ8i?lMmAoQE_U<mJbY7A$TaJ`Tcz(^fj< z?t?dd{Ac+oO=%V9RN#VfmyXTsQhtWYBHYVhl}?<E3^+pD=b()1W)#W!{^FY-*x%Ho zCpj`>X^q;y--@=8=2|8=QpjDMm?$UEsSTg4d_8EjzqwshS2M6>c1BYqBem9-u2`eb z=g#-N-15oQRGK~E`_-9lRTNRB<*+4(Z1lq~SpG+NX)2u?wBsN5tWRin3w^Sjn!#{O zv<kR!Lm{UVbs<6bm;%$X?^#uL94Bxh1m{|1L<ft^aR-=RWt)Zxna^{^;}^)&^aj$x z5QkA)0W6Zw3&+~PQ1!PQskD1CTZnJ9-G5v$u2cqwa&chZ1s4UR;ZC;LTdpN~wVcA= zx(?JGskE!#z&&KV`eQ2(3=QUdA0#_XJ&d|*DEs>^*~L&sjzk#iIk}vMo1|pI{hX{k zg|D~E-nxTx)s~Taigdb>fn=vYi;`SxsD7!>A{)_VP^c&eEnO@Z4d%Ud@*PrO%q$gu z2;)h8Hfmc$-(?VjpyOK@_B_pZ&UBSzLFYFKw5EkpAGnt0;@*!uGfKIms;w{H5g9eM zkfUdCBr?Qa@-te7p0d@KRX!7J&qRA>vfGzGL<c!KDf=IXFyl{!E$z2c!8S~$1<%7A z92iC>3~7`|4!(BHsC03oj7Z&6nfK6c9Ee8%HUuZh@a+IA0{|-oyG}~GJ}4`|O3JR2 z)Q$;S3b3NG>!h_KYM+Sde>F))V^_4Yqhy6;7l>!~7D}pQC1e*!<^;3b`93%Nt~ct# zql(o5$5!WS{D&iBSuN2*MDM-O*QGBdk@rb%3!2P0ddtxt37%>{OFi*;UFDV?i7sl1 zx>Gtg_PHvs;z@cF^$fANCtxKj2odxQMaZ0`5?`zPySe+<Sy{<G9*p;x-T1mbuB3)Y zp1G<#I#2W*T(@vPHUC^QyJ;bQYKE<GJ&A0rmE7OucZIRI9Pzq*>PHZ{bNSTnumk1Q zagMEYc5kYFs;x~O@*TUPnoKc0`#rWeqO+QVxR>o{t@=(K=gpPB>N^Y^mIRrbUPe!} zj%~%^5jQsXO2uKunbg*c+ePy}=Sfr7bp!i^m8Y?)Tt$$y<BryP)iw@mP1|+?T}|Y6 z0#i+K(;3M(p80XhLFcO6Z%MulJ~)alm2Flr%VwSf1Pgke1NaL-&w(@A^Jyr0-$6wi zA;_`1Ej7jw+?EP*EN#<`SyuEcC0H=`EX7}t_beq`c;#t}x1jB5OR%8kX^X#L<Y`N| zpyc_);1W+mxr5iDl-T15TBZ<)ZVsxEb<b{Geb@J$tJqh@-F?hV&Q!sZlptdks?<m9 zaQJ3jC#&OqYvVQwo~`4d&bmNWM_enznAuCHh}jxvjA!F&dLOalA;&sU$XD3CIEzx% z6miT<F{iT45yUwI_3le|JoI1Z5b{NIPtWQ|Zarvs5ydwwzHniwG4^c1ThQ`sIi)?D zfjajcOumD@G*$hzBA-*-CJDlHJml%_0eJcnlFmV;`-trh&DTXX$V73bK<?<+Eb43t z47hG2Zk`ZsxE7>NOqfWhFCvuW_%JjZ!3DV$<+vu)1knYdl^P}u*MbU#ce8>}!}}sY z$=ygH=ktoL$BynB*oP9;a#?c`HWvj((sl;8{|`|36IS(a*;IaFD%2bRPe8E0xEv%? zG%;i`>>nsO@TN$9lxe;2-DGa)FdYasTu0~#Tn1tdoGH4WWLhD{wF3kjUKF7dF%KKw z6&?pM0WJZ7r|$gmX|i>Zz3f)ZR=l3Cui^hwkuP7RYShG2k}`?DK^OYZ1@3Kxi98;n zvPWF4_hYtUvNgeXQo@=;eNj6_RL?De=Zb2L>}*X4rigxqkZO2WEP|jkf*=b5X9VB@ z+!1`MGz=$n0U0Fo5)@1a5<w|W2$h6C#A?NaUC4tqwZPvt*4?psx%a}RQ;W_^bOdTv z^uwlgpVwY4hsd^shDa<mXfI6hKeaOOxhuV1|L_`vw3mn{oZS>jaTwPsI1A%M4Jrm* z+$IS-;6$L*LlP-Qa{}W3NMopDSK_mI7|kmjT}{XN$*+&1SV-kxN3b+2v_b*l4hQ5H z1ESTyob*%rp`wT-)?H#%@38f-8_*K819^f{qxOG<3WmnQNruM4XTb3wL*eRd_fiS1 zX5oe)|BDJ$JH|XgAbwLsK}SyZU#d<#xKrvT4Vt>Ur4ZvQVeobE6{a7f*SZ9xG6MaQ zf%pO2z9*g!VIF=J&JUZZk^I=0EF~MRHk`f<`S|dojOJ-3g&H~8|Dx(nf;&=dhr8mJ zyc_(sTQ*U;r9XWTGmaOe?9asB7>#nb`6kw2nX||@?nGuQ5+_KxN)RmB1&F+U^{*^` z*f*Kj&mUXzsK~cEW8AK86n}qASp4M7FF02OsKnrJ>tUyG3V4w?I7;PEY(_{F{_AgO zb(Tm!_z;}P;6*x<9uzvi4xs4MmKG+?Ob*!ZZU~*bQ{0MS2jxV`yi}wu{aJiKx~|XB zhc@>wL`!{`?InfvWph<c^X9~<$8H10?j7*cL;B>vT;1%kAQ_N6&hQ~CDp*6Yr=-wg zX0q0PcR}dfk>XYu`<WI_wurIRi_xyI(4DMh-(4U&cf`0AMt;Z&57v<HDJihft#diW zJdq$?XPo;N`fJN=TLbmXF6N`Vi<^fJM}EIIJa!j2Uj4iQb<2Yibb~U(yI;s#loasl zPG+$0ju4$&W84ZLKhwo!uEq9GebSLtUY||*oY+Y1UMyoBRx>$sO=}dICJ8uyZDmdM zib3+J9S<2w|E69^l{KW9e<=txVT*j&+U}jZsa(cT?ZtK2?t%B?kiIA|ZD|zuL+nwr z?>1+xHlj#Q{t032LR6d!E8K@@dA}}`;M1eNF7hp?rP}30y&Vq2-;?VRpLE4J&qjRM zeul?tM}GqO=Qx4Wl7CKKxWQ6a!!-R-W%m9AUvG=?c~X56#~GzUEvZM;T?{M#9U8_N zJ)vTHsRvQVHQZ`N`dW-n6{D{AK8s{>{8&_=!SvPMM|d7oQ}|W_H)7a7zMr6@;e?JB zl>~1KkjUBOQGiKd{{$iV$wHFL7Ucv#v=AtPuNBdq*ut=x>k5%X(jZX;KVZaaS-EGt z`smYI;X-M2*vzVm{{&$s&-x9o9=eWJI8hoMGPA1uKS5Yw7$ds$zOuF8Qfi2BLb9Aw z&Zg>DPWL#ZC6e%&P~HK40=odTmxwqZE2hZ=yd0E3_Gc44<};IE#gt^-YY&lsHe;B$ zQ5=64Q$|qY62rb$pkfRIlRSH6p1sI_0M9eve-6n|4yYEiBA3GFKhM+p`g{e==PR(; zKaVM0Cqvh>dj_l<Waw!a0F4z^RU#5?2|Qs>T2^fUz=v+QJu#V$1N?%{;in7`jDSyU z<Q8(k$n+t0@C)p5DOxQJPx}k<)q)HFToeQ3YVEm{Cm{Y%HXDBDNK2;;1YrN6f(eS1 zHjSTiK}_{ZdN#ceO;;&wj9?GU!`iNBfKr>}GWWB~g+QF4qVaiXhpHX}xI%7rr7IOs z%us;?xNGxGDhrFDKmpSn9x!<*%??i6%6JN%Z;L70onWOi%8GvHS8y~z8xLG18$hc* zpcgxPr%1)v=<^;nx^v+kH;G~7ww-#&j>XW(g*hHL-Sk2Jy}^%g!F(@SE4)JDFR)Qo zGb(a`of7wR(EB-v!SxLEpTQfFoSRO81bkz6%tPm{aKPACF~zOb`4P3vNcX7WuIh|r zwo02a=rS-wra;?MSTWTm3WrV`^DmgTV6mIk8JjH1EF?`WOV27)6I9&eWfZE=78J6# zVSBKSRIZ-G(#RqQhGZ6MQ~u55X@XZ~|8V{^prs1(EFr;0S&)z6pTKS#lS8SE-Sp_B zr)p#L-)T=u;7f-nmN6{pYykB?Vk97mzp?Wd1l|UJW8_Tx;J>jMwZ_uFF-^uPbBCyu z-L5)Xic5r*{p&Y}1_L}q6!S_7-)OM*ChJnKhpiJ3D`BB!A+AjGEgtvITEn5HPV@E% z3Fp0P@^&B7Hf71F<~-!SnYzuAONcq}t<cuD6o-E{XK)EoM^?e6cac>`F713_Dyoj0 z+o@pcTYbghe&=u~yngw`2j{&+d!AgG)js-riRNdpv5)R1QIQ8%y7B876y`ySgD;SH za$jk}rqwQxn5d03<)(+8L9UKw!4&=l5=E}@GqBI11UfG%wy>jOQWr$<iSg~$`6EQ! z&J&V(`APSRq0STbd1y(?v!a?vqUKWRXig3lULfV3SF!FE89vipztAQ2KDSxU@|d~j zWV!YM6dtMOr;f?}v0zs4w5Zo?kO4#)csE%HD}oIAadN08N+1S|5iTF&S_ophi5yau z1WQ!zi7Ng-{f7JBNx^G4Gz2j$Hw#EKq72@#d?+qTAR0^&{t5|->E;RvIz_-Y0MdmE z@`z^DPeP6C4!MMUNwOp{$8saL#}zzn3)>q01$_q(UwcM-7Mq=0``HrlmJBk0AcMo# z^&)Pq`Xj*-iD&}kv)rB^gcWX8*6$kI->*^%iktQlDUbm65FWi&6fHXRO(lGKC{z<3 z%I$Z}?e7;W6^O)^`{##TiHKe+i54Bnlf;ZucU+U(qke4o02~sFxcYM5e=o5Y^+3m5 zZ@rGU;bEwNqdzHK*VYXrSbt=X*yf4I!9Ik~q$c%?693>Q5`V#Htu!xumDXcK{qj?8 z0wM(2hYl72M~iih2Z=_IVR2>jkH5aUia7N_?Bs>jXeH82+TAIBK0u83QCN*CFLp=` zTY6g{LSCkU@)y2_vPK+?U(|<9A_7;aflI`|cG|Q?N?7Wt$c;k9tVr5Yl+Lo2!}IN- zSnmAEtuCxZyI;qb%`EYLT3->n!t(2l73g!-Q_&Z%Ea<0Z-r0bB)8R*yg#@vAc5H8; zV(zq7snF~n7(pF6R*5aJ*0D1Y0W4D?9u4|YzgPpz2%j!4@M32(!}tp!lS~oZ>E-ps zUsG$M)0cV3`+RLu)3o+X)iHiTmZ!s7iV<DaQ8D)A<f+-p5RttL3UE5Psr^hOj+?z; z&U=ti&S)8)=oV$<I8Py0V!j5>wx#pxtw-fKmcEB((V}_m<Hs9zLzKH0A%ilye2;Zb zbuH)BOD6jc4`VorE3#`6)F>=fys&ToaVx>m#!I5}r|Y7449mSFA7n=qx27w_+Dr>W z2K^=@D$8*i9E$qShgb81<8pdiX;C@TNxBpsK*g8#gY4Mi#g^_&l5qIxx)>fn`Iqd2 z?8xH9bfrX_Y2nNyez%<a%F!CZeOtpbA)&~5kJit<;JFt+_oU~}{M_rF`}gOL=6_4d zuK85ByJt}*^&mYp(<ArpHlVOHr2F*zN?G$sYHRN(BJZ*7*-GYr`=pci*uA@#|5@rG z8kNW7@?bOT)=)>1Elh)(XW2q^R`4&#SDWSh2T+}r{0nH+W?BCMRJ~pyWE-0{H>l2v z{$(<mB&7a=BQ-C;UrEr<@*|{#B;&xBNkZ(ew8tdD^cUFQ<7YxjSf(tHyN|eYStde^ z16|J-XeGVz)=UnCwj<sR2t0}A_uu16lHn%qto3>Wq}FEaUWPp$$=uuXP)PRY5Jm+| zK4R}(@seu^)j>>2E_oeyM!t?u(agTcy62|%&eX$qKNDI=e1x8uj)@nwn0kKi<DM}^ zcUKoS1VRbMgy~$qZ0|no@JySMyJHF)zJwx->Enr7c&;T;i_PemGVkRUw{fgrHjdIJ zUGQF2upD}=x08(nyw2lCs`Y2rJw`~HDxiIWxW}fD5qMt2PNHK1NGv=rLMPra0^|%{ zw;DO$dXKUTXJYO(^85Z#L@Cl^56B1{FLEc+zwiEmI}U`{em%Y8g6wSGU{&_n?n-`X zrJ&LK7UAHhg^gSBQ(joAEDCk*xZs~jtP*+_Lr|{EUS;29?1uiED*GA&$(3O<=Kd3x z*f(TH{aNu}+x%LTIacF~<G-~fHX!q#x%aoZ4VOkn)MNiVoxFDAy!lQex|frAMt76% zKJ;E~{RL-hQdBCVJHAOkOBN|yN}rKD0k*x1#s@1iQF*hTt?VL-<mpW@k_%O~{fORD zZN&@wzUhZ=@*a25p83j{R@e4k?M1qFEhVERWdzPq1lZ`0mp+F)X)^Bj%gda6BqhF7 zPnVY-aglq(13g_`SXP$){U@%jyx(7aQrx(1X~}s1Ix<l8k7pgPDhW4XV{O%(D4h6I zo@t*R97+F*o>mo%2xz23;@m`3^(u(jj(!~pjj)0T2=P8s58@<p08hm>>$(K)CBq<h zH_}0F#g#cy)Y#3Bg)aNC5lS8-T80<jdl}lS?P&k4yZ&3}{kN|9k6spgyZ$;EDllsl z<X)%hLcU+rE%u731<PB{f{H7}Bub);7l&l%e=zgjK}|((yJ!NTM0#)1s~}C9ph!YM zk={|NRB53%sS%J~1nD)1bU{D}O%#z{WFwu>1i_#XL<1;APx3q8oO|cYxpV)!_nTR> z_LJv%*89F|@6BYh)&^<BzJ)gvDZIM*|2&3BVv@8i7c*@a?FQLfBrYEdb1c^{3bAYV zM}O(}A&Jw?{9V9pqF5`icSokpvPJu9O5(-H6FX8QW=D;H3X&+nMI32eM;ytPKos*x zBkM>bHAx~bQ7md8ic3VlV1rZYy&ULS7EM`-w3CSmF}Zs+k@^x(ib|?WmzZ4BjLl}? z`6p5cEmCqg$@Y?DO9;)7)+J`Le1<K*^q=V**SsJ`%3ArGV@#|r8(Y3fN*{1$rcWHH z;}awKU-a|;qDcXX^d(Y7qx(jA|8p>_Dbckox;F*z%BK+vd)=&o=opqG4zXV>ufRHS z66<+cSYhK#0y}OAKFN;6<kkgT-Kq)1k)Ff{s%p+5VyU@>6+HhjaVsEH21o%KCu!~Y zKM=FE%PR!g(K@HzYb!3S@cNGl<Cf6$pGYAp3PHgnZT8~?U_mNjnvbZZk+kJvu5KYx zmTwQ-a6kW(rLwSM{695)bW4y)Cz-m5rHGJB%QF+e;C}+xe=fDV%QlufpvQ5_KM4eE z>pGcC#cLqObEJ~Bdzt^z2IrCh%U@a~+V<|%Z_j=_bgv5#ZNW7YO?o6#6j775Y!YGT zE&K7XwvuR46Z$*_2yN^B%uj~KB)E}GRO07co>ErKsq}Y$D=0SF-u-(`9d58HCT(DV z|D|~!T%P-mY|Tk#`=&|WGbznS+%3%qO}F5Rd0)L)i)rLDInrJKaJ%lrj&Er>d%V5f z)%E7*z3F?|uS^_e>TcdvWdG`AUNkO|$)2wLhuh-i=gvFHL;aEIp7Rp^XURjck?9F9 zr~A}R6?eXRd2cIA2WB#+Kl;Osy;s?<uCKVW;|1vAp5z=b-b~z?>5})S#%t5R?;Q~A zgyTEbg`N5+*9EVuUq0{3{I!%Gc<b!V>8}IT^9bdCWuxzEejU`EM_l_?Hu7%x*Fnp9 zgyO&Bt##qw9kTz9Kd;-LM@anRn|Md{^WY!f9hbl}caKk;D!c1-cFq^%?WR4U-ZdJr zt1Ebx(>qg5^!o(ws(*7<{~Wk+TnbxTM^FEd%eKe7x~czWoCh+&F86`TE-c~amPhn? z`I$|kuBkZmx<K~9;|uH9TSiIp?mj1(T;(qm^`dS~h($U*oqPTg^ZMB@xJIgJPo{_j zolfSvAM5IC@RV+I-9>4)K34y7KBlvi9;5Hp8zV8F$a0TAx4irF;YRMUNsPFs(@E+@ zK3lodQj~V(4X^Wm`+Gh<<z5$Y^H<w@4it4yr<y5gUsHAu<r?r6M_MVX()8u3%X(Yx zZVai*-u4mYH4ne#-?5ASOq0|zW!fQRud`xceIT^yGq*B0u=>19FRAB#AbC=cNnrj^ zS|H6>D3`yPVyLSBGo?@p|9r-dJASE`{qsHWlADz7c+X9lxfR$Zei}Tzz!=(I_19;l zeSmH@e1R$SRTK7Tz^?MhM*#2B7%J@F;e{95Y?_%`(_gVG>Uq-16V!atIAAav^@zuR z9e2}5+~?&EUce`92QT8&wu2YKn@z47tVm3*B38tUdh$E7ih5+-E_e5>@+)xolb?Y6 z`91LP&A0O_h=HiuuEgXs81dFou?@^*K6$&tCyZC0R5x7dDT;{g3{^Z4@%Qz@U)fyy zv@$c$Ti5k4=}b3piuojAr%brXGH{CJq<hC+z^7oxUc{$%hmz@}Xor&J#B<G&eA9k< zg>ln<er0?hs;<i_iRXEjRdU4Lz*kHv_W}{gJTJN&2cjyv7Lp@O0^0|A(OoJ@5%z(; z%qJx~YeM+@lf4Ej3Pll#oi$1)GX6#$c=gS)*_E>a^@^^~15r&~3`r5Tf&9!T1v|Hd z@CuXZ0zR=jx5Rwj?A&HnF$~-q?1dZ@iVF?FLAEi6;-C|kflhu@grw6Owmx-U`HyrP zWyzcl)P7U9)4oGGzX}b_kgfb;+KMK}KS}bR2c0+#gw3INSmponZBX7xi=ghz7aA&t ztUOKfzXd)C82DX}iV$_Owdw2SmM@druutV2=JG=b4^2_7G$$Quk)4DOTxWUQkX|q; zx3QK~u&=POmR8_vy0Mm0AbWpfEu-MTVdEpT@Ri%fT5^Fea^oXip={8`T6)1jxa~|* z16Aj<K{(Ay1k=_O1wPXMpVPmDv<}YB6XBs5$jXnTLru^LeBgS;<1;y@$oak~R(Tnp zjoVsjcP@8k3J*P|Ul~a{>>xk6JJ9*{F%O&kTI9y9bk46DewD&Q?<rRbl9n_;KHdYr zUH#G$)7I%b{h7AjQTjv<bpAyZGs>4~mhcO11r2p)O?FwnI=antQoHk(%|ZF*nZ<y2 z7U(2+APg->E98VxcwEdWU)<DZAmmfIBX;MD<r%72b0vH-N^eDN(m>Rwai^N8<96Uo zvQ4AvsSW6j0%V1D)6%2&Alas_t8kzZSh>2H=Y^-*%=5qxC;j<wtM5XK_^5ZGzv47` zp}8V7d7-<aIeEb@&*Rj0L44qAJfZUcrF0@rd{9&=?(8ZmMyxm#ouziF78UEQcu!6W z<BcXK#qkW2(n$sC8XN4)Cq45vS}VRq!tdJ88g}v+Pf~aCZcpS1`;8}^-Ty8vju)S7 z6u{q^Y!ty$O*RVo<n36psF($MC7sy^dL<WEbOjGY)pQ*V7%Z$LBrSPR_z3&Yw5^G4 zR(tvc4tQ^nb%;8>Dd|I|bFNGHVTFb&Q!vlGKMJ2>Jr(^KylyBablx}$UAni)H@k9u zlW)vMk0~^9z8JPbu9i8K)Z>u99k?m8Fcl47u_!vr?vx_EzGmf#_u6b)ppsU7<E7;& z5wz8B?pP58^~L_C|1u6zSf>b!qXNBEEMKSk9fexw`Q7_?o#uBhYMmu4PL*;fzG9z; zzW;4EnGZu4L*G8=fWA|DV-?f^Ug7@Hgz^F%kax0e<e_XpKJ?dV7Rgs=f5?zFQpm!5 z`_f74e~f-g8<KswC@D}rxeWOmjt3lm<b72rJ<tKPle3w;liH86neIB>b&5_#KW0BN zKaM{CKKZ^<(vAz0A5|X(ssom^>DE^a@`bzs{|N8<4thoLhVqAJpNYf=$qg$qdvKWQ zhAS$c%$_VvenT6@L6!mIVh3sP|G3!~2>wSAM!&Iy`Ug3u+K~HlcH_r8@L#qcvMA}W z<A)nDWaq*g{Gchan=C(0NUz?}{|M?6l=z^yQA4%{4!f~|M0M;u893H0ti10HGnn-V z?OO$%P=9xyouM&M{8sghMik26-AtvtNY1nOnC`fbGU7p;dh>g}#RvagXj(6Zgmx;- z5;=D7G4i-iIpRUFdh;aTq8o$v>;EQn=A;zTsi!9Ek>~(eb@dCK@xa+4zEDJTz!cLP z{q&EDNU6R1bGX>HjFepJanyYeA>YBIPomAsZ>2j|A21($mJoey{!Z4oXrfp{cl6~i z-%B~wKYVf}>?l)z+mKiJKH@REQh05ZS;AmjU9-w%EGQ7In6AQ+n|);_{qd)uwOrOJ z-(T1|DXFMCx@OuQx^GQYEQ{c}Gg=-2Z%sojPs>z3YI(r^hFaKI7LDHUc~Wh5zxf7@ z+u?BubDQ&fhBx^&kcj4uJ8Qo`e7oRRgI@jffBc_8O3w0O%lo~dIjj~Zcnv!x1%kd2 z0&0FTAppO`$n>=2buw)>g%%8=r4{f|_3LF3o$Qi8A}G;*e^nsmv^c8%xa6z(Nrb~e zXzxi=aLo6Mn)#WCm~ZC6RwwwVk9Et#`e{i?N$E#1_~azHUs=Zv8HU+CPQpTMzeR@q z0|as$U{=)2lYqR6ISgh6UH$-=sF)kW7O2&pK^|>pU)~=*Oz^W6AHF}Bb?3Uz)2))t z5vj{6qb>QF3mKQ6>}|D+mfFBNsF#N$I&z153^FcKKHOU44Y+K4`S=i@y(MsCd9)gz zwe@tfxwzXX<3q{^pKoB*kH6|dMep?8I{N&=032li42tMXWq-j@8XEzKZF&ZeM4B;r zI1lq3o#rE0*9Voh52Cly`I<j4CYb)=5JgThWTOc$25xYP^`?&6#Jzd(Tvy~#Pv257 z*(#IabA{a?zkm=g2NSQkS|!u0M`5zw(tOr_*5Ac!uIow9R<r9QpUkmj%;{y*C3$PP zkViY*`Euu%bd=(Dlp%{~+Y76@eKC4H921;^bBqcwgsO{4@FO09mjx>hsK-7S^Pw<$ zxQN&Q1p*}uD?%WLt8$Ie0hZ7U9b@{00C1bOrUp|^X+;SLnFmZkT%B>jDCN62j@VYY z9CCCz^Jy-6g0`0upoFRm;&>BM$b)n=ji6%##v!PAJscODi!+=J9n5^1j?U*AqXev> z>f#bv6)!=JS-=5gP$7o`J;!_+hXx_oVGSaLSach$E2Vo?{9h3XCB!RGo2F(AlZ#vq z6ur%ST8z%2b%nSW#tWH>jCOEJQUY-3BkB}q338a8=nE#+apQ1Qo{o<A$T%<#iW05R ziG9wcO9ePVwV7B)jfG&TBCG~ahm6T!G@?1NXzGMbu(g1oEIh`)kB&^yK~o;m`#=H& z<TIy;)?Vgsl7LZ(d=bWl`iw%{%i=ewPtz;fK$E#o%h5HoRbcmwcvEJA6rv7XBwb++ z$^FbCO9n(kxgZx4(!CIXgE>4I&ChiWR3ut43yGRCmPEPfO3=YGxpbibEwoA$XOnOa zGRS{=7eFLzf{Mf{KyU`mpI}7`O?WI`o|Oh*g$}X=C01~v#PlQ{qitv(fr~^cv|@D+ zU9Zprx1d$RILeM3GITO?cp=)0R-0TAuDJ`9e4i)<0qmi!0=1k73h=UUMH+<7u@(gD z5zR?PYtn90x#!2*r|M_3ajKC$O8i|hl=KpQKB-vo@^Q`xx2}I+-T9PGwSerEX+w{- z{Lux9N5!p~)>>;jdzC#C24&i!qY~4G*`7U8%A>s6H+Vu2F<~$M@)81pcaNhfPp{y_ z(J>SkG`Mp3oqpAG$T2_sALuj!SbrQ%d&-3Kg~yPe3cB-t{Or}N0gB=$r~{$!b#N39 zK^jnjd-<*KJa|Wc%8hG4t2ZC8H9Js7K?&Lb2dtbbik@&EcnB+}<OP5AyR;_`|3`N! z3&g}mgHPpxxI$vrz~MJ=NOVW+8d<mk&H$|vy9PRC#2uj*9^2D|)8XdOR*&tW;S{)S zbpGR8)ZtffqUd*Iy_^IgfCerLQs*b+qZv?TRN*o>RrJHSHHK3f;5BRtqE1c-0%l-F z6zUWN7vLG}fLxu400AQ42jE^Ff()<)&(C>mljhx4^EAAK{N(o+#)Bz%%fCziJaXeT zk`Av)ex18g`9e%5dCfx>mvxNd+YRHqkPtO`E+RU!UrS*s-}onHNOkouV66c}!A~fo zSTn-N2>!rucKf?4y`!Oj81Qn6thwjn+y9c#=d}9}o%7vAV};nC*V@R@>!JjCxUXCr zFZwI9r=hV-0tQSdj<;qcs3B~?;bIkeklrDH9aW}_V~MqQbyt8HiOi;;U(zDUa8}SL z-KT@VZB%rYu~)3UqdPfFLl37AyXJ;tgk}9~Z4;CbMtldK-o+49;k#VcR6r)Pr=GD| z!aNxvE`FIQrw`ypz0Eg{h>fLfq{Q8T1`CY1xU<1BMQ5|ninNUoTvogq6M-2a1v<6V zEQRV00s*MES;ic(=d{w~xQh60W`Y=k3jAr?b@%qu%!(>dl(fw^Lt|Qm9w=O(f)7&l z04IgQ>(z?GO=zVlab@x0OfMALsL?&l-wd86Ry+Z%W*PIx{@tnV1fj9a-}HcT+DR&0 zUi>K&fePV37G$P*0hJv88UzwBh~6Zp4*w`UNsdeK_7H$iaTd`4!B9FO925}<>K3bb z54q?EyirZM?$q$AKLaP^U$Vq+<)F(ut7JjlLN?sD0S4MhGF)qX0t<l`p#bg{sbGSr zJGoQBI7Ah*(Y~BT^Z*4kT?pruPz?^U*Q|tgPXXqrk9XZ^;h|hbWWarBx+qQ=@eHgc zT;UH{b#Z5g)rcyVp|d0JAA?y1Wyc}0O@VqWU^P*jA|1dYK@1dRs`-ps@?#>F23Th< z(l<6qI0g~&<Et6X3o2GY7gs9kAZ#w~95C6NqtL{p7UY%B0-`$<;a!1M5FH2RLHo+O zz~z)<q1@-}p@!sg1biC+&cL!|m-(P?#NtIVv&%o#P3=m&80b1e%@4)lMV}Q|)k?(_ z$_~0;&y~Ms)&P5CY2jIvBXFbdZcsv*a_?Nx^P0(D6s4;Q(psnIISky^ky>KXA9)-t zE9@l0n-7D2_;}=Bf8}N=<{cON**0PInw?~&h3WW{+U@K%J<-f(4~`$(4L#<gymR&a zx|^C4bh-2slRSq4SqRtnHFat;!;e&Uw#sZC>^0TL9)@P*4^G~rsrvCBHqOlTmD!N& zmDPrxAM@`{P_aL@^ZPkIUAY=)dnl){{pRrbPe%LK61<zb*`nPy%X43!2>^(V^pkkE z)IPcNf?rb7Md?bZ#;;ZWAUH1>qz9;ce{<N6uhvbj-kj*4ot5_Sq~5#r=^{7qZS9j4 zgIrdFDn**)EMdCDAx0@dxer%$7J2EYhA+P?jm)f@B#U32^9!SuKRcf(`UAD8`W(dJ zblgQT5gFe&@7GTwf3~tY`6j+`y5#LWzDHMBCU1VR9&b{4@!j<oT|lF=mCx?jgB`Jj z@R057CVe-VLj@Nr!`(6O9oBaoA-yto_a_!c+mr27Uq}@f#@QAx9Tf$+syGNQu!p$j zH(hoM2|&6oG<?dPiOjXdF6J6^2NW-jw3pi5uae3v%(cC-n5*6Wv+({%`!l<|AT~Ma zfPE(`w_QmWH?akukX|7>?Fp-q_C&kF7Yzl4$+i(oxhCDZ1ze-;<#t>z8gdFB+fps& z+INo??2ZOMwUgDeQvKyBe>~O{^yw(CFvB)+iTI3pP>}pZE!!ozywZPI6~wy_3VKcs z_|wCNZ&H^Y3Iq+s0lPzgjs*sE&r|zxTR1Rd@@eOm$IbIfC&siy96N)*2;X06W&wgY zY*7~_@O_{N^5;>m3JdO+T9M1$d)0?$41NEr^+DdM+{py3QUz%M;xPWee+Cqv83d{S zX~4s#{;T~?i~aHUFi;=m^$fHiz;x?GtFtL1Bfs2ETcPY$kMp?_t&Wweg2YhyZ;kq% zvx%+G#3r%3;mwO2b89F9dmcmn^@Ic;;Bk07O{PPJ)^EnkrIvipyFES$8XgJ`b^fC~ zyio*7G(;h`1WQ7*Zu31)D9oexR~y|#PSiaBebP8rV9<Ph_+zHO92~t`im1s;Y;div z_)z+JoRA$fCvTQ|c4yI5$4dQ|Xh5m6mE>-{FZUO<sivGybAY~f6V2XFJu6`a(Jq-* zDZ_5=;^+W7r+lOCq9Xq<-4jjsc0JurM}l+h<Uh@=q=_ylg*>WisNzC2ec#g+v}@Ft z?eb}sDzdxf-=2<p@kS~8=X?kB%=$xd=_NJQU7IiAwVju)tL_wkIlb+_9U3qc@a5Ff zf172v@$%#0MxA@P)NeG>GHo8)TCj2Ociil%zan!B-}9xusdH}&W4v|{;BU*PcWcZ+ zLk)k;-!_EzS(8p+-F2Cs3_Yv1F0IS?cH)cMzZOi_eDC*r-u`^yaUMPXv>|VE^Teje zww;aR-MZ<Q`pV9|6Pqh_2QFG7ybFlosKn-Oliw|0FtUlUQM&hfC2Gz-L8BXaKXWX< zkCMIj+}$(z({OlZ(P`m3kX^HbMXBvOcf*br;mcLedi1<bTHH*=v+hcNpAFzS^!Oo) zA7e_k{;MC!z4uMbF3Zj95Gt{%b@|jtoPPEix2$F0a#eKO%<|W3yYmy7gN+YU8gc^B zZeKiWJ9X7Kz6%FLp*wYxwk)f*@^saF#MJOsLibYx43);B&aC@X69+f{CAH|B?3;tX zMzSZv1`6<Rd&M_Ou$oMdIA>N)UO-ARmdTi&aQ^B%070+wzWO61B+vf&t+3!mQ2krh z>128NPqCrQkP`Zto73sed$O6|?PF0hjzv=4?r9gh{;LLzero!GS|_P39DEBG5OB>0 zMVl{!X&0aUSNS=Kl0#Covh%wL+fZssVvMU<x(dfDTiti$HiF9BQre1I)^9fTK*$a6 zcqZtya7FC+uZj~#S<Gm6PcE8eem8uNnt5L&X6ids7G`^LiL&J5ym?lF!g0fJaL0qb z-7o{DC2{9>M2Uv7#Bk9i%U%BX^>FYoQF>~?yu{?J@yND=oH%MpOJ3{!VkX}L-D7Y~ zr7~PZGwou-f0db-3%R9z?Ze^;-+}>AB3CgK5loBv>c7fPlmeZ#HTxHxGrte@p=Mfm zgtJ+O_=o{1iMiI?vufpz8;64fiMf8HGvB3gUJtYFpd#j4*U9RWI|jD8;lxQo?j)r> zX?dmI)bo$bu*R>GbI@_`@o?}z2iQQj=k#wCd1KmuA2J;`n~J|(pQmGtyPUR4==5oL zZPR2ZO_gn(QJEJpBXbg#FU7ZjbYBUO&H5u3vb5FBlwRp>ltm#QGP>2xM#KzRO!6Vq zTiskl9Gb-|AM$>yo0o`H`uXHT*0#C@iP%bBYhqC~^Lw6R#&bLBvy7J4#5sFh*1o}V ztnU2qW)t?)^^_2yJ*pwY2wRnEf7X4S#i${}N4BA*L=0ObA2JNLJt<vUI8onT@6y=+ zDSQy{VXIps`<1Uof3(wH_i%8ch{O6@Av?#tqT%37BEBUQ?6_Ay9Gw3ms!Zv*ydBr= zp7XJum6J|<==QJ6x{EABcw+cBKOfNx?p<*XRd0&0-)E_<J0GB&k$HD06MD1h#)Cf) zN~YtI7A)U_dUMElRZfsU#r`LWQS&e-RtDD_DjeJ7r5r(gfG_GJof-$O1nodoN>1=X zr^bDxKeYgsU=JxuDcUI16mV9shh(KpLGl0>tcL318ZHRU0vAVRQ1L=|L3XTdP&H|s zBHAoYhU`=npv0M@&0>$^GRXJId1=%r+c<(8fh({(aWar@0UR0n*X!dy{3cU^GP`F* zv2S0|?Vee~LVq6kFN%A>nqv33rHDVqQ2}gD3lDZvl-I?r97EFcWM19RwAEfz(&=`Z z?EWG-)@1UD?cuzjm3&BgjvcyO_(!5ua`VrXESx|~jjquy3-LE&MjEw)j;aym#W|)3 zUFm^6PL;J}yI;;1zMmqoDKCsvF2-IM%!g*%??~pgUC}B2$#i7)J8+lvQMQ&ndyp(L z`+DP&f~)l#akGX!rawZxjkMApSX1xfRHQ-+QWBFsh{;-1X2qU5*%*0$PeMw!Gzwzo z1~K)Emh9LRCmVb3btY`b0H$Oyj|pq)WTWYwnv7&>kru&F3@Dc`?l56joQlo71Cx*; zEt6uH`vc0a7u}e#v`%NOi()CrH;a@5%8iTF>^2B*vlL{>;!sMXm3QT!vZ=RHOVw1{ z3-u%fzlZK&*58BuvLT0rS32>FrD^vs#CR&7$e+LEx)=<mN@XqJ(Gld6dHoK2^<!%% zGPVDB>KS)3OZxeYf{|dE7t3bdPSV-cv1jAYMEKk@Iv=LK{Cl;Z!go@b`y^>d{kgx< zCmWl7&UZ>|I)c@UPtaYyf)WiJLJT%#Kc;^z<t_g;?Vj<5De^GVRkLAdoxGBex-ID} z*n2HUDahZiC%?%y{)<z|-H;tE5R(hay|8LZ`+M#5-_OeH_{01M&vOKHp$^a%X)%oU z0Osi;J0mvIsrZg}U@FqEWl|8cG^kv>=*EPda4Np*t&p@o?o=#+IdnQJKUIAA_t`5Q z?tipHzw@^|9u3W43>IcR&I=`U-j)#RUeqvQZk7o#d7Njt=ow*bM==3GRD({Js#TvM zeY2&rI=x3R9V5!+oqNRN=rMT7-U!o+z+}!HuZd#MB(2K4=`3t0T9u5EY@?PA?G{2d z>T{Nb-l?;{8Z<Fa>Ki4O*lRJKE)80kH=~$uE)Dg|Yr;0JbCz-57Unhvtx;N-g=}Q| zh-Inwed2NSxNK}r&_>~?cTUtsDYxMcrdsmk))IFe=G3L30*^&`8<^W5TIYmpGF-4! zE@!5_dFdm{M(RU}F2(iB+|Q6Ho?e=m(fY<KOJa4H%n@aib|qmfWbRmgX{Z6S<HA$3 zZ2c7Z($niMM!tTZrFGH***KzX-5#ZjF{y7<TDo12nHo{nY;PCD`pq4yE+y1qFfKgx z%gb5FBu_6R%=`LA@+HbTOomHw<+55967Bh29|Nv$q**#`z%;phuUYQSYJBDS-4JuD zzL9Dvya7|@^1W&~Jgc$B^Sc3NslJh6DZCc**5!NC@@ZCMvFCRqOjA7)wDi^(Nj^HS z-A*TD^KeeNz`N8O%h(!hjzo;k!`tbEZ6fBBOT72ZvDB^Y7D(a2Qonj6>(X*9X4<8= zYI*4CzQUYxo_C`K_Im52IdW@M8PRSafDM{c&hnlyFTVKw<Wxf;`T9HJylqQ7i}g#4 z+6@G4UV0YmVmRlN)4lEIluNy@m}6-Vn-e^X?_wn8lvBO4>i20{Da^6nt-JL|`X$9V z<$Q0xIps`mruu!o){Dj~m_IIOdhOBz*z$U$;F5hUM%(2K(Jn29J*eN8YAyWMbyW}> zm}{epSvSXCYgIQxj*lLjw>Ju4S?1<Te&#z^V0Aa6O_0(_XZPD1h4A8Y$Iz%(pXv`P z1(%}DyXM*s80s6@{MQ>WOF=6K=2-4!mGtnmc9)2k0pCZCb=n)nu^shD@};*XNS@JS z<94JFcA*}ru*6r3k#^~MwT#K$FZ0CS#`M%9Z!DQM93ZKd@U<(3t*e&Ez}?s3cUMC> z{1ZH}1{m{tB*T(vJ*L;Cp<ze%7mgDu*^$|~?D@B&+}ok9YYE&sXM%nGb!P6Eo;g%6 z$~SQ&1m4aohJ7>_67T)h9Ba~AYJnUc3Ax?QD~|P;3yJlvF~{C*Ej35hjD%>mmx^Ki z=Ry*^RV=XgTY1fpUq?dB+e^i<OmiWRy%R04+O52%$o!EI<90hSEO;*DiT9ZW)}nRa z47o6Zv1+#y$I{J(#Cb=UV+~sOEs-4~7`^sYF|6TSNTT-*bF5t}(h7Mpf-z`c6~|i6 z9izN$%&`kDJx$Ae*~r(PX9?cL=GYDwZ0#~%7BbE=LLcK*zkj2((F(c#3|Z=V_SoCY z0-Nb#Q@I>1g!P;|R#>vE!#Ip!OxrK&f1%KA>VnvSx#Jw~adWIftDOb%T^6#=Gr|xf zTE8FKYG;CsdAi@~83D)W)$bd%+L<F`vi8lCcFqkjmY#1?(8J2y4Hqg5OV;(o+pN4+ zHQx}!U?G$3Ej{b3mDSi4E;H@YkbE|D8dJ%6w!*<QeO4i>Ja5$NE>mH_*4E5H*;6SM z*R+Y@po;Ks<{*!dZ|0zgFlpuh_f&eg3`7nV?g6FQmlW&3%M5DtZ45zIah!ntf4)02 z$atY@AZx0|$H%A)&_##Xkpuc4<bsUAkB*^2Y8Fqu|I34Wh%S$fCOfqP-l6_-5sc6? z{r)kKGY0fKstaD6V)KD>vj*9PG|m^M0l84ZQK1jw-cp^);AZ{kL;Iq62zq^-XtT$L z&{Ouk2r%Ih@D)~05k*Px0BT_6kSNk(lnR`mJc^aT1SG=w$)XquVBidXK;FwipaUXc z2b8@u1Vi8k%$KT{j$jGcz#OQ0xd>ta58M|NMMnq+zQbNo^imQ$fd<$sNG};702qfk zQ1r4Am;o!;f7bX0UG`%PO=5yd0u!sL(Kl^q)%b$Kr{A$pjZe=eb@kl|OuSpa+H%jQ zKbF%QPKyzsv|)^sPI}Ki@PNjtnL>W?zYFc)Me}x^eZY;z=`}^mQtYBP+#4gHY{OVA zoizF1g=VrJoN{7%vJi0&s!D2#<j>z(iOCxKD?cgz^VYhc?3-if$<2oyPv0;s7C$Y? z{T(&S!O8Y@MO`)|u&n9NrMBzM7cU=`)J`!CEAjolzQQkoT%Z=gg+>eXGK>7=cW=Fo zmVi{i3}rW*p;bb-CuFL2NhhK%jI6_7&Dl<==tK$GwS)@TM^&Y##-6dJl1~E-k3@5B zv$C5Ad@cEQS3(+2iAY^3H-q-vM%Oy`1DY!4pPHC-j6D<BJ1a~>(qSgyaT+yac$s25 zuxc6lUF63O*!8{ELFn`D#Leb$cJXXsA$s1BrOlu(I^!Ajt#$d@Ssa6oD!FBs!K4G+ z{MzReD+<Hj)|*FZ5ba-Ux!Z@=lAW%Qc6g+|{E(zS3oF#7V-GRUGPwUG$>MT|*?ldK z{{*m@9r|ombLBxa?Tf;5F&CD0|KH-4EYX&84-%^b60JNE!`9%ik7idlsXvkjrhlPd z@<`OWmCjuh38_x5X7?@o`eAdD-^258=gO^`u-EQ2Y9+f7Mb?&&iWtTv7vg8?Mek<4 zc`5o6dZ)P?aN~_WsoTr>swY$)kalWn)*zqprQ<|Y@$mK4HNi5|M}-xTq@3Vwu4KiA z?x`zd5@o%wXv!_6JNcA0oL`Zv!Ht?~1uv->o;ZFUTK;*jYoL_si>PbOaL2~mej4;t z+%2lpt2hO;kuz8W5Qmq8qAn8*fMFOvMHGbK2qePzAyFVgG;jtx7<`_LK0&R~g>&Fa z(V?g{`fwgxBf10imj3@*W#|5XSY>~EiL}ao&zzay3gp8aAnFX!ATziTSe=U?0<gf1 zK<a#i>wq&n6Rgfba0e=3nGkg{f*<e!#z3JCAv^%$U{hdqMgj=9fS-`Bas=@Mz3>yV zRThFbpbiVATBQrJ0vu3yvTg;OA!%I`)UA#SL1Sb8UJl{`Uc+K2yOnT-=!d8>`fzTX z8v48DkM#WaDwze5=pVYekPEdRQ^qQ)IamtbnL`c4&2xp>>Dkzfxky9!ot_`uF4l+P z0bOg6P9gC+rqBJh^8LE$K5M)H<4)1vAD1!s`ZD33pgG(<KM#FAciVv@I&7ct+)z+t zL(>#lceV&4%s^_d>Yngm6%@J9fh0;A@eHKaK%(Rnna~O(N(sRNQfnkqHu8#mXfqNe zir50F)e)&;1x0o=3yI=FID^!hh*aV=MGkavQ-8Vv7dIUsZy>$0SWsaC;+>oAPfzFM zh5}3^>S+ZNh<Bby9dU8f1A;{AUS@?Oh<BDq1#@y=0>C6HwL%cIzd)pvIJs$oTO_Kq z0uI_I#fsx9A_wTKJ`dH?(m~uatJ!^CP5>ZOh!=6PDYQpa?)gOO>XfktD#VjWg>rtQ z0qBVlezPN@K+B{`$K_{v&`478w1{5Nay^mKlb>ZlOA{%70mN%?H(qk(UTg&)2uZ4R zkn<ZoAV#VozQPBDBvtx^^V=nWk~E+43RzJ14$+RPkO4K4O8&$7jTTTNY6XcEhM-1L z$z5FEr~z&g^{j#()JO`d%IV1fNRp`1iV#pEDd-ZXCk=3kL_MjP0$Y<RRZy6vM7xqI zl|{S;b$^pwDM+rE0$GzvR*;+JMvIa(71FBzb0QUSO_3ZuPNHrgX29Jqh*ZxtMLKjU zX=3eDS5_oOte^TNWk|Am+3p6WD5XrtE#nO%858(oTYqm#mYYSI&<hSAY8DM|RVP31 zkL;GN=rU4lPunyvH@j^@Nb&L<&CIz!N}+EWCOo0zdRd-{@?~wt-Ak|BzKwNqFjGL7 zJUqvKa54X(U_yrHm|Pdx+Z1!nsrfwgyCf0x<$A8&ic3Q5h0bCR(|MaG<Ic!AdJA?2 z8;;EY?^BeL1u5brfGjjQIvC(~XTVVdF^J3`|Jg-*JZ^~k%UjO+M7ccfLIs$DX;551 z2(QpF;0pzr8;^~u{%?;Iw+1hr-J(1b2G(Q$QW6lhv19~t-~_%-9>q~!_9U>A{22RN zL|X1Y>jB?JjT(w{8_`fglyq%mW0xfm7Qkj~Yb)hmLQRvB>P3;xW+yP1E2~d8^ZwRL z$J1N!l}7Lwh;R~|*Rz2Brz71=renS(el<?+CpW`8>Ly1uAW=zQ1GUN%=L6}9fkerf zC307jN8bC;#;kum5@Mi4zRDbAc3q1lY|A6K)^T~AXy<v4=a2Ov^L-<xSbRIbN?FRw z_(NMcgI9s_lWObEVgr<~nDU^K{@H1Bf(OfDuPUyHG0-7Eg+%>sPN@4l)S2Mn%Ret? zKyi<a&a>c{R922pnpeRw!I94F9nThbwK}l^#gFO!bTfG3G``JLBzUM0N2JdYffWf% z69ESi9DO6^eof3>4G<Y|k~B{QcSzt95m1r9ED=Ny!5nD@ABi(iBAyM*5iwaNJ#qFY z#QfAG=8y>9wIu95B%L~rc{$|%2kz<^C%PG<sCyp>6hva1L_8o8#QF%KFCCXZ5u=qm z)6KAxhVT#_c%oyfIbnC0M6eRi$uDA1aiUwe-1I}xt@AZ*lszOIj7wlt`WDwj6)uPy z!s{~#8#0Q8Y&m+eJ4;aZge5s0GRkfW-ZenQBtfW8pp5m*0$B#}0>#u&$bua5o0(^U za{ScuTexsEM{L<abUD1BhQ2?7zF&#@KdtXwoxr&u<nupq>I04cYUOr}YZ}pSp?;;z z8{s1TM>_FdCDc$3Vz^CW#TvvShlmwhW21Hs{fI3m$PcqC;7Ys{{G`k1yx6P!CMysB zXj<#KtxzO&@>6I4-OO#$9Fxy{8K;!N^rh76T|s_HK~EnL6$a8BM0xpn-r3Ym>cphP za<0EOe>9X+t6n80#1Ru_wj_9v6E8_rypsO+GvfK`AfBQqB5^_KRWMIFXNklJO~eZu zolbNR@0(q6QhCJFeLy_j*2K)=L~)*Y$ISE)oe9JbYwx+M5B?CH$on4@Q?q4dMPCL% z*8cQubLT&8$l2TsNO#C;ctJX*j#_B?FKu%_lpMZz+<Yl*asi!(JNZ&S(nP^M%Y0$z zvb1Mi3zwcA{!%~Dq-`A_ZDN8KcKzhv8?-Wg>*bO)*BMo1<h|GJ*Nz!(pEkRBx$g0& z{cxO|A6wBtuY_Fh;`0d8(1wd^@QMwovRZ+~*Dvcf9k5zOi^p8nY&u}eU*%v5*3On% z)EC>M8mjj)Zh6Su742fBL??NyFS1~?S1&kxEl*<G-|}~})@}qh>j!Impl;6CP#4+4 zwSbFyMIR0Zwp_XF)UaDZdn$&SzMp?KhM|3-GmlumipgxGP+cT6=cKLniP|bj7#%-t z&gbmONbU4Aj>dcSn<|9RS-H=l&sWI%Kgor#E&K;Z^?$k+LSf}W!s`9{Lo!=xjodlq zJ$m<0Eqa}%4V2qo@r>*)dqPcf_3%Gk*m(7?4SrxNFOpxnKl<U`&8CHVIMY;d{Kfl4 zRofPeIH58X>WKG?_ibO3@B;MgS&KraKm(=r%~wpv9L_cVcP;5s!t6!&e1{A_3x#pB z9=_4M2Z`|!2lLbr1C7T&;=BP~yhri~={xUTf8eYrvH2*gS!XB%tZYpT$w8g!v?uYP zl0=s^yANf6lue2BS8BcYi;jJ_-Hiu+U3Ih{q6aJ666uH3sg8RB4?d9GMa}ny=t0Wn zM7oChmpq2QS&*3i=G}XjozFl#FNxV%;{R}AX%+>D+4;n;vN=b9cxs8Xb39ktKBYG* z&z7qBMFtxs10ZwwX54bIiesqzB3@JuL(yE;>S`i-r7P*H%(7@!=zWHsp!a#9%z0%k z!KmONiSD>&+O$TBmn^rZ_v2k%yy+hB%BT1UUuAJ_0DniB1W7r*lEYkTKIYW!V9YCQ z$wjq)kmxRyOKo98wGT>kCpTYBlcw4WOnc4)9Uoh~*0=nCbrp6`Z77fInCtfRQGD;j zB6FYh_;;x>3(>EJXYTplZ^qpgtElYPd*ge@7RCCyw~kg3_d<r0$>!-^9r*OyJA0Eq zu-kr=XY%uDWt$%Tnk&cNC;q8l)Q+zdFf7f{VP%QX@zF*4zSA)liH7q5`e~W2NBR5B zdi*yZ$Hn))UsUc3AJ40~Bi6p6Ubo$^Sg8>E)jGCY>W+LVSE-{uB*-e<NlifwQ6Lv= z<winr1v(8q+04a1ipUFeDtmr16(=d3$!A%IRtYIHJv?^Y6kW}IvZ$r|+Uhd>eJ3&} zmV_l?`a4qcC(X&TZXMSz*ABFO5c^bQx9OM0tUzB(T~NB|7smXIt~g2MhTP{FyGIEI zB1QWJNpp5r5)9sWM}O2x!;i^$3&s86VzFP%y|<_(_RCDn6xUSJFLtfCT#l)+=M%Je z#0m32NH^4#SvhO+ydYU;HCJm<E9953SkP*&#-bMeYbZ+*UYFNfsOFC#3*Tz4(xR5n zFLE)VAglfdERQBA_0RV|vUv-Y|GC8y(=`JrmUuAlx@i=e@POsX#IpVyKXEE$lYy6` z1N`_mePStv2l9tKH$<D0ncbAc%6eXdizQqw9XC}%g&wfDPU!0247{UVEb-9t!DihJ zf#m_4ogV$0{==HZVZ)Kd$quU(Q(|MqzSj;@Z+u(Qa(#W3MWaW7wYb(Z&uvpYG{A}F zXd+wx9QXHCYx1d^zgV>2KZ7ML#A^wb^`3tf-p{k{7bG97#26}1Ph1@ta1J$eVVRsf z*S{H1gHWFSG%-HVf!7}qvo7?NJ50X;ZB3qclM&<V{>5MH?)>)Szyf}DSZulImHc5} z>CvKA#4igmd=Cp>G0xr1b+hcoLQC?;>cIiK&?09RyGg5p&aIDr-p_jqvy!p+FGI9u zK4DD^<8(18aU6k>_k23p=<O$t9lJgcap&;-?N8iJu<<nZ3Ckl{YT;d<3vXRjS<#FO z_@F>CtM(jwhSWK9pYQJGiTBLPs$a8czMg-Yo*Z(iN!c+=O*cvGul2Oy{RJ%(-d`FH zf99{8e0v}8$~RzpuFWLl$L$-rR_n8W8O-esetb>6<e<{-`WEvlc&=0zJ=bqe*4Si8 zR<&Mn_b!h)O-0Z2#LN2h=xI0hx!WC>!aUE)#RsOD<n?zxO$NES<yJ~K?^q&(9+nmA zwVS-T7Fe&`JvkL=*KYDfA+T1t`ymi%&~8EiI6%k0tMs}-xm#~K(xTl&QTg?5MecXW zCv~<~%KF>g*Bm7ma_P;wn#>C>1+X0fV_s|oK+<jd2&i{H_*OC3y*7<#@ayHRzO-QD zSnt=%Rei}yl~_t_(JWW6)#v(`0R(<`w^>AkmxYOH_rKW*babNDU}JW;`(Wcasf#3a zZ%EzEaQE#7W+JNH40k`Oate2EfAKurJ-0%L_z~St>NH7REU6=N50pGCNoFzQQM9II z^O1xrWwoNmQ8IMhthf}k6iSBS)DAd6Me`7B(CZL8`k=eOANbe*x%nju<Djym4Ke|= zVH}ip)Io57BB=dz@P72i)@v);78F6jR)eWs{)U68v<ymb#n^3GXqu8K76xLyoZc(7 zY!uz|Gq~FMztR3du7CwBA5zL6bPIR{{|dGv3-SjBVH^~8kRV6k35)|`2Q!N1wtv(3 zh%o(b6ndNOkA8;Emz{ynEI)F$gR5=VZcdI`isDjwE5tQibWf&>v0Rcg#+Fc%ckfrm zjJZ&)I!QiQ6%_?@ZUH^b**o$o*UT@WCzzktKqj8iT0kT^;%hZr_#W1h!Ma4W7(@&1 za7i*48$wOi$8SymJ)mA0!Enm{n<Kyt(DqZtqhAvjw7qnI7xVKwG84VDy%feFP?Pdc zE0aJms8>=joOWPk)L0eOdROPBz?+PUF_3FEFv%&Im=CG4{`&bK1+3vi9=$?&-bwe{ zpXbMx^2RW-FB6O6@A%(@onRNj7woGm21;LNj2`DCdH3_6PQJOHZiVpmRTHq65fNF; z9iAz@vCUSD$GRvqvv7H<P4PLaM&ui=?NJj$-;Bx+FLvgH6?9~)mUr^Mh>9Aj3zzs% zo<Nss40$O7ukLG0nmLrl6@lTCD6|{QSV5qci*c5g+LIEZL>~LU(_wnxByBjgDm>{b zoLT^$!~&<Lg8!bQ`aH}VzwXBvT@U}QP5C*IBYxeAG5U7c#o;xPzH;5PNS#C07>+ey zyYZ@M@R8sklGc?%;$73N7oATku7b8_<`P*|<XylyR#yC`D}BapsGqoR&XMArV|2z) zyQtbdPDB?-vZ-MT&g&>44jT+QDy&4%_R<@h*hP`;fDo!6$>$AIjeE{GCDf(w-vXr~ zILGLX1?{4ITsaW0$jptc%59e38!MwO;YLqplA;5Cu3#lkpSXSD+TO&!yHXZ#@9sVN zp_HzFwP7EfDI6)dq`v)a*z79Yj%RaznRGUl@^vkb$+D!SAOB9OEW$?j9(3qZ*T3d4 zJQC_C$|Z&SJHFY~@rqh%@E-W2oPDZSTI{8w^Pkm6P$Cq1N$dP)rH}+gh+qDXR=Y@0 zV2^F+XXk5{h|N1`<04{q>p4MD4`*cF1)9H#Klu>@Z+f?6!E`WMuH8g!&s$Hn=B)9| zmM<iCIAZJJQ$6Q}o=<FEhT{1jn?hemeJr`%q!ZFBZFgm|?n{c(g3Tw_0<#ge$tIO5 zsdm*u+bfF}hTWfvo{bz)z1wd6#3m=6{hgv`Ovmbt>SNoO)&|8j#H}*hn3jf|^W0>| z$}h>iN}I)(_ia0~@#gt4t__1Kg)PkZf$ZiFCdajQJug1I@a6wd84@&Z{hs-zr`3d# zXNS(Og`Mf{1Sih#?;4O73`3VST&zqYCR!fftG`c6Ka^^8q|v22?V8ES&fb{MLTqoZ zIi39@T+){o4`0UU^4;j=aN8riRSQ{u{ORA{Z=8;IT7xZ+r6cp&?ZIL;59j8ec$b>n zSdq5FR!8RH?ZM(U5p(l#-uvb@Mx^bq-jU-j((YO`X?Jazw7Yhu-+m-)<25&5?p<Dw z0lRorEmLOiv&<<cc}rVhAGEd`BM~F>w(WGH*x`C4=hA6C=Cg}e_44hf`@(a|+1>^g zScz686J+J+{Jr*cL2P0@@+xT)(%<C_)}AhIgP2o(>`iBm)oML5K|UL?bZ9pa!=BY6 zFD-qm!_>JnG%QzVHD-7g8)BC0k(5iG^;?|^qn3KxZdr{To@cS%y`){WBXeZn$ULHb zQq(4tw5_(<pZ0bAl0sRG;6&KpKXO}tz7mOYy4TE;g>q8UCG<p4M*_4&kW2)Z85A=8 z6ee^Phx=b@1u7E39TK=s1c?M7*PZ@b1<?c`te!8uN^H`4ZNy-g?B-kW=P8e)<@2RV z5@kc8^7*=%Ck@quM2mRCak`4wBjQ+0*Da3ICgdE#s%GhVVw2%()rmJBgBKp^?jr-D zs$H<SQ~goQ`=i1X!Fsud)t55}tDgG}iA^D|#U?J#w95tRTYZ?2w}UDS2dNT?Dk8yQ zw@x0;uhc&wzZw#yk`y6J<V)qGI$zQ&l($JtM1Ja*`*oM5e?ok60b%9$$CSbDy=xg? zckH;WdJsjvYB=ta%<Ch7&Gs#|LT0-zNzEgv`XrT$q|T7k>fH*dPJLq8euc!cH?G|$ z8M8^o0l8oPQY4EQj%Z0ECE=<eSu9DGG?K-g6w9RPy4L!;tZ-btj4%PPuuDiRw6gu2 z;yAk|XGJTFH70e8r0#)wP;LP{949GHegs%JQN|Z;+48w%1l})D{aEF=b3LC(oJ&qz z{<<j_STb|L#KiyiTUC$ugN$G76LWT;C_aKJ@DN@Oj^ZX<`F~kUridaZ@BuyW1F~Kg z0zH6*`;zyv5!iuZxC2!$lyDp1fxV*Yr6*VeUN8spC^iBckPmkti((>p0;w=rNH2&G z2{^?5uXnWnk`W~TkMC$3L3)`95MT?QNv_UL-~=k+nPlqB1Zv;|{1r%@mS7AZU<{P% z)C3qn4wI$or6rgGdaxLZ@D?w8Ou~AhTX*`L6>L3p^5V`}Y1hA@DCg^z_Zb_mCRwl# zNYXgvQnZZ4-gAY&Y!&$bmL6=d+NftoTgBN!!Ub^@=&x~qnF%+6K=>3`orl1Iwt8Gf z5iW+~Lf6EVQHD$6uAs%^${^uFxLmY2ijN`uGOh(ZjN+pUXT@cq@1XeT!zpl%=)_n) zvT!*Z98DF=2MSlkxuWx9?a5B1fK<Daffqlv)a65MPX@I99F`SeKS|+tkFrk?Wjl`; z#6rA8jP_2gOh2klPG$0au!YAwS|5;%rIZf>qnntm4FFKWNAg*1O|JntQN&l!x2qNH zPWovTuR+1tfSUZq0KkP(({=CVL^|OVVZ0)3a9Sn#piAgu=4FYYcrG=l@eL@FnY^F2 z6ja+7ubevlAR&XC&=rqiRD4oV3_5xSSjyW^0P?719rt3+t8O?6SovM|9!@ql95t*_ zv<*QERS4ol8;Q1=rBNvaQKK!HmqjV#xYVctapq;|+p%0~AY(n~J`>_C?LJlQllbG5 zL;Hkd@T{%o*}wx?L<IQsp5_^}XaJx?o$BIHob+z)46sR&AmcO&g*F~^=0>%cJZ>D2 zK^5uZnmG?$-Nj)BB0*ZTC!|;NhnUqQhhn&v>48LMH8DzW&SgqtVJNS7EjPjjd<xSP zr>^e@*iqcNI1zX*=Q6D^IkZ&BoeZ%6{w7>81F?AE&H_6U4N5?VaxGH>XUuAP0G1XB zsx6EE$V3oBeD@!`1T7V>y^8qW9M%;t!)RVq(GHr+1GHmxueDL4t(m*Efa?iO;8`2Z zd?@z^zycM17k3F>$=OY2Yy`Cvb%!AG!QTWcyqx;tEA&9Y`9QF|bw8ku>b{Gkgb#9d z(;3q(NTUXyp>OsG{b86wU0(lh(x#QR)zcN@e20w7EOLB@UqV$b=0F!^Z)&pe4RTY- z#reNOCW`QTSE(aJVe9>G5#QOPQd56gUC>9)tv?eN_bI(O)nTa2#r5HWk^EGUl7OJa zC2|4`@@Rf1n8pAqItl#$pYX~Yq(%WBxrlqZNhSD<L9?GP{>@G5-B8BXJpqv!_();g zQ*J6ja(d0@Jn@mr)E-`ptuq2574Q+IxTmI+f`PP}v7GTY<*0Y<8C$yrM10{R)3Hxe zC<M1IX*#jRpI@Q&FlB755D-y^k04{8_Co~CpqiS@@o$8wceNQ?lLSO);3Lr39}E2P zF~0@Qv#37*Q=^U<=bYMf)Q9*8myOB4)PObEbuclw)JnlpZ9AAw9@f&pXo$>-Ypnu| zhQzqlvcP@?2RA+|qYZ}O^z7`uzqo<m1r^mac$EgZ)~dq-N#aM^c5?TOrl#OeSrr)2 z<osN_;j#ij73R?ClU+7ptbwxJ{bntW<nkm3u98B~A<DsuW>yPo9+SpiC^sBYY~(y; zMelZZ&)?Ncum&p{ki?IGILKg*7_3NsmKlA8B$_2ygB0(R#0ld-lo%;&I_D`TI=Kn^ zN&MO@2RfJJLL?M{72!lz-4t*Kl)gX=@lAe~741(Fc@v62ik2jC(AXI@L`ts2dCG{M zCW*lb++amr&5kPy^<w}PD1CO0!bDPGmJ!X=-7TQ2oxlxJTsacx3rjd9{V7{0u4GKX zoFDDT+^q-PpzUP<jG?Q-IO&9MU_~v>6R6~{u^o!?`!^wJSI&BJK)}xKMHMTe9n4+Z zklL2>pq3p*Zabx!=31))8@D3@w^|O^IEito6^H2&8QO=nR4_dvL+e_51Ln|G_Jni7 zSOvvC-qSY7i`m{3zUErp)0S!+vk@oJbhOQ9y4}-8!!n=UVu2UD70v#f(UF(aW|uEu z^P%7+=K0(fHoPDskp?-ga@yeez#9(*f3nP%wz%TuLDB3&{Eq6JHsAOtNHp{Ovldaj zKZ#~=?BzTgQ)lj*(tHEI=R3sSGfejTe$q<3l9P8<#6(f~lMzwMQ7#)CU;B<{hAPx+ zVv+2mQ^_hYvb0$&Z89{|Hc)B!a=eo3p5DnKiB50M<ShQm*GqISLA{0+ZBKra=-lQ^ zuHr4e_avIy>*J#L38%7E;DqiynxVITnNGwYSL37l7q6Z$65VaoUW1GJCjvw`p}$y@ zHtEM;Ms!$Fdrd9|oxn)6*5bo7gFprgBApiB?&w_~(OlG^>L#!kI8@!4*w9tv9Nxct zO?gTE!Q|X!2eFsD&d*j5^R|=nypH=^#W=n;Vj;=#!7kph5n9B7VvO_2Er)pdU6k3u zt+M8iY3YHPx>P00C-DAmIpukE8Kj1*NLgf(QA$a4N(p$mis9hekh<<<){KZZ$hmTL zYxc70472jn7887yXGVz!HP67J&dEKcJ0EA`>D;{IBif3Dzv{))IeK?SyeSf{fiD^l zC3I0$ss>aGd2>1=RzFN%RyAW*{vVWlWmHuC8}0vnl^PTz1`s4fVrWr9ksNxE?ha`b z=>`=TkrE`O8DQuRX;2X<5r&jhN``Kb^q#}ayY83!<@$lM+0Wkld4A9D=we(h)2nW8 z{^RgAJ?OK-U6CIWZ2j-<zpZSGy6#4fa9`Y~7>lWTwP^{|t3nU#p1$<An7p8W(-lF_ z5qNzzyF!L-gR)QEZ02)VzlU4OdQ&)gn!{r6mvV+bl__B+6*X&Ol22)5%FouDUX!Pt ztIFCD{P2V_i=3*6XLpzL{HdJCTIBvx-Uk3uH>mA6d%%x%ayO{uxI6&7-Hv~F{=+Qd zuU7uRE2Ar{Kj=<!mg(}gWsTh;qNlv3!J<do4Q(}k)1-2dr16?p##aKBAog*UbulDq z(iv+eq20JLP_lDFTaJ?efO99|LG#;%V0NiEo{!~E06Y02q<Rg;Z?G%TI6vWem6rGT ztqIAxSQKfJIEOxKGucX|Mb8~K^pkNJMjGDZBol#}wU=b1NddCu`|Fbx9l`A6J&CVO zPdyAO7oB#V@--i>0){I)Y@E%9SO5jO+1QRd1J*`G{z%hiP{!X_UFlQ+WK7@I*YnyK zwEPq|wb=W$d_%0X-!;;tqS`5qOhtW~P2bDw*{J18IK!e}w5hR&f#iIXPw0EoG*5%W zIr>JoYNvRzK4BAfeSWWJBbE+Gp2gn&^6O%}WiBZu&nul;$y9huD3`wfs8b6=L;2%) z1e(WID{DU!xGg;yr}vt9Y$p|M$j<dRzk<F~{mD>{HbLa}@cTxOWruHens0wlt-M*> zb#(Y4%=($4XTT+CH1t8)1dk8qetOxLy_aQQv?KXCKQ#Ujp_<CKnlgCG5Wevu>+ss& zTDu`TBleT_td$r0_xT(IEM)%gzeYAYeCHK&qd8u%q}873=H<UfHaxGpxT!a>M_ws( zfxuXP&)b!HD5v0$B>l`vKy#A5V|9U&dg7YYxj|evW<~#Z0F|X2EG5j)cn|foC74nC z-lUV|S%um{Aes2yaki|%tq-|6md(G|g(*4dkCz9u1R`9F3K`C&p3dcTJxnP`y+bc| z_On>(_-DcIzsH`4AcKq8E{dbt7ds;Xdj9gv<Y%FuCH`jWildI6S4CYIPSd~W)MY^$ z1nIvyJ7f9#M6yTxsc%k^r~Lhstczw_m5Jw^(d}-vvb!<yUN8Rs+%OZHd^kU=q`0^c z&vmnGOhk1~YST3$JpxH}F)XiEGkI|GcSbS8LV%bepT;q+WWC+ZrZ#f@?^?`!LzkIO z*c+|jKQEdZWQOF6na*D<vbNHm$M9>_VPE*Zb5!`-7pZgS<fmy~G3IZ3lhWVhK7zmX z2Hk(pBBl1S&dqE8aQ>xrSU>nY>XPx*oRjT!ebm!azwz<mKWmtN1D#J?ftr45_kuGs z&SIa|>L5<6dOm!$E<t>l@A;5#ol9iY`LDaRJCi~0%?0sFw$0nQWBt2_Kj`avo4B#f zJ(GVa>Wq4p*Lhj|5?<a`jC{@X!|2va?xTWgo`U84j-Lx%<X4p|tj_xjS9&GI1^iyW zB45BZXAisf{51I6RqGCA)}PDE_!*Nl80dN?pR*V#y7DKIb^A}`myG8Ln<+6pD!t`j z^4R^kY(!$>y{oFXtme><$66lG9_6~2PpS0O`Np-_B?b;a1kg>tg?HoPPAAQ(%w%q! ze9MGoO;GV2zl#>NN>>zF7bfpHMQv*}IR>foiN5)m#PBAm(}?8fyQ89^UG1t0SaeFH zkz`%~{XTahmi>K!{m-f1M@@W2`Mk3&h}qk{uFnLb<=(URoIXA-#p-gud-i@5+t8J` zz(m^x^_)Wtwl#W)^S2^wXxVvGPk(E+`0g)qzt??|&i(!nvb2cSSF7tAGAo!w?|+r! z{8^pC`L)qTJLtQ7Zv69p-ephc{)Abp&peO{-Tc5kF1tkabY110PWI<L_5tnex$%)t zG7qg>AG_)mb=t`<2-_O&zSWRiZpm4auwu$=@n_v>IDGz&F!0;GMb+HeX*RLOb=wKL zg7y6Ud}tRRPf%LzbHgQLyDb%xa>Izj!1YfHk~I3U_ta`AeUG?*dQGXUR2v4j<R)&7 z+VAvJByJ+&MB8_jf75OyyIJi$+fCAvRQ5MV`1VIE@|F2)1oFITHd|rT)o6i!d6Z*T z?F$chl33%%VU#HlVG--y<_682C=u8E67wdedl97^p&GiROW(tDw?Jwm;prnq1No&> z^$L6b1>Qyx7{A|A&0nK9^1Ln%%PnpqKmA+XI(jrS3T058yJmClJdF?-Kky`N+~onE z_ZxS)`29y!1%rNYuQVXB4Ku_gc>xmK51&`^G>UMSM>Cx^n0D8xlj!Y7yq!uh;e?JW z_h*)_$d&U%tVP(Wk9n|-t9E*7*Kj(C`D7%nqt}uEvi3-fXIOT6c)cgp%gj#LZ>1@B zB3}4e>vzchkf%PNl{^wF`K~g~4MaICc<QAlmyf1O81ALe>L=5d3j=U?GP0zPbleCK z9FJT=F;KhIIl6>&Y6V&Z%wNI~u-pFp>h9se5YUar%*52JLB!$ETGd^koL|5sP1wKs z_mfL#EaYFEkLyqdHhXsopMlMA9lXE}xY#ftR_G9j{agngO%m+zxlRRu^uDg1zH^gh zz<HQ`E+Kfd>#Yq<EiN0QzzBqwBOE#bBLrUdB?9{X#zSD5{LIU~vOr($@youJK;I%@ z3(3jlcR+S(jW>_qR1wrB(T<bQmOla6;k8mKe$y_HeLZftX56G^e`wwBZ)O+myds7M zW*BY#J~=t$X#@;e?CZ+-bWRMMOt-ax>_bK(xDlv8c?h4$GPs6n+VM{`<$GXmPvn{f z={P~Z8Buf2B^#OAO6EmysZAF0XI$SkGa)i=;CDI{`?2K*<~9{itXZ@#bb3}I*HUdO zLe4*2`qlv7q4A{{n+W*+6$Cne^kG~-1A)tq*pFi?7r;L6S-JQ1m)MWbP-n*Vc943d z>+<&0?i$z;nz7LK=96dT#$Wp7h8JHzneM}HVm}zbV|;8ITVHVAo(ISSjhdL|*~`&I zzqgNyU5@)x?5~~^D0Go<yC@s;0fv2403bboaO{)s$Mr@_ms9(@s&>kSnsCNfIwIiT zw_F7pi+T8iV*I-gGUw-y8q!RJsmJRNT@#)B-dA!X=5;z7^TWDzbzOBm%U5JvKSY$I zx~r1EsnInV?G%27YB7(d%;QEd>2~%=i!T-zHx!HARJz(&%vJ6P{O)-%>|N9}U(8Qg zW7K;(BVVAeeQbbDG<cF^Qb(;{2D39YbQ{$(smo2Tv+mJVbj9#wNrWV%-`M0VEe{@z zcH;}X`1{Y-dTiVO^FMYGvU=z<xsgHio_h3fV{K#w_?1s`1^JTtp|WlF8)9POH!s-| zg%;VArN5+0ll)G<;ZFAce^B6y``c$~7BmO_vv%VnlN~MsTdiyUfBRZb0uM$<o@>rP z)e5!k%G7*6-RBx0Z9kA0&`~O=X*L=l#a2MLq<m5by&-{JFbZ*btUnT4@z_gaXph@p zxWz9Y-b;D{P3R`;rZOC$l$U;CQ$6m_UM&~?EpFX&a>|z3CT;0ai-LV5eB5{QQ40b~ zg#57WZBXXb_9!sy9aGS#{qhWPw3dD3Een14DbN0utuyJmy?@vk%E(;umc3@P2I-Ir zJ)%F;1`Uwd+<Oxw>?Mi*$?GluY@CV4MMqjQW4yE5?U&mrQ&EFa;`Mvx#C$sTw{xwx z@1xiue3l82AXbm}@GwCHkrbtv3993!E^!cxM<`tJZZX}{+a7sv#e2oKo^p63z!e3# zueEV{)WQ|-7t;hN+PA<LZt9Y^vFns-2<Fm%5)I{m3BA%R`{e|uf~IyCdTm2d$`Dm6 z9nL>-gVson`^B^YGWHR0Z8~9MNlqbMR8bT?K^8@7{-BV8YOp$qs=mEguC2`5!N*A3 zdyY&2aQh^94PD(eNe+)#IFX<uV}P9f2YAiRy6ci`LW-z|Q4zu9#QnPV{JCVGPD6il z3B_m@{E~q0K~o0`Rkrz2AyBdRn)SaJ;iS|?9}E4rMNsk(KC6*CK9PgBA&j33?Y22k zoe)0Tkw-ougD41NFLeB|wiY~|on1(Vy_R(RIU(sTHjf9DLBd!#_#OleLmKu^hY|<h znmE9O_?5GoX-BV(sz}!V8@L(IR>Ghg7_zc9nkcqG0`G@9@_SA$go<&GV7#+V=}^uP z&pp%rZ5V0>IyvJl+;JzgiDv`^9ifLB_T)cDuaQ%%qBJf6<)k)BB2fRnxO8Cw90b$q zg_-b!001Z!w!se?>0iW^KZ%apOIad(W8GEQ7Z^2CTR|ZvgQCjI4@?}?LM9<pPa!B9 z2w!~ap$(jq+aqVBR)9iG85Iu*-VM4TlT=hs|3yI|WwEJ;zHlC>5ts0Wd#D~)Ey8iq zj)bvfuu5y#nbjj5-leR1dJVMz70ZnL);7ZaUe~So5vHgOX|xfqJ5?X!T5w_uU*@Wv zZO{&+LiIuY<eX<1NM`Z|-QCt^rR_uD&wI=KB~boQKXvDsHR73q!Be+2GZkzQQZm-~ zh#pQ3+eb||=~MY>pkfxsS|bLzAZ3ZhM+kTUw@1mA+5t3FO&crnQ`j+?O3V~B6*yjK zs(`(Q+}t+J_2NR^hc*p+M^Dnj?YL^c1AsmB``CCN036}Vu-Z=m=nVa?i{%IWv=n0I zsAkZwg#8z}xdZtBL6JfyvCRq_0dQI_kBTk&x;<jk(!szsr;+dMwMM8@K%F6rr9?7; z-9%8r5D8Gt3s2_Wj|J5CNlfzxJ3uvy2NE80Qm*+ZV=xgS@f#2c!S%WJ8vv0uiD}s& zRn*DVf*VZ=D9)P)q-q=<WpH)DRpwGwj|{l_eR{f5Hjh^Lz+HOkQWlR8cpBYxvLvb| zO_bfu>(|v-J!;?s_vvZUm9R8OGYcVB0|omsxWGMnC@;H530y!>jOD?r!Do=xZ}uK8 z;;1R89}@H9*8{jWY@a)K=TlYarVdtEfhzb3ahW#OQz1S$m!wP|dtE_0IG1<^J!l-2 zPoPNIWQ?l6*-!kI#Ulp3bvG4yOU*tPzU3zS@V(;}6MLPvL~-jD8gX$ukW)pr@!cRt zpdlL75;nMYLI*t428~ao^H433gHzyhS{ln3$TFl+&bePMdBXuVPT{9MemtZxtBega zT~?9xB|?#VWC&be+6ZMDL+aD_P7zDwFz`CSp0n>4@{jK-RVNKTX)@`%)590aG`?iS zr0mSG9|{)Ss8F1qjY*1e3?vfhzB=(Zpp}DZ`O>>ZKllLFjW31`=%q(%=F8TYH0a(G z4Je(6B`QFri7J-hQppV$SOt~(lzz&|PJl9au<x?jQm7dQY{q|Qzxc8l7i!!CY$hB! zJBZW=3b*(3H<Mh{9f%W!+w)3~b;iDPPJ}fn-nQ8ghcmA*5o}r7Q!-P1XPf9k99FH* zU4v(F?-wPE4U+`s587!Nso&XeglDNZNF)x@LIQ8252)eh-23Q+v3Qa|^q{_$kv_$V z5vl@RTh6v04gaa+U@C((Mz$pmHKh-7LIPRQ2W;?%NVfpVBbE7u*+#ZgwVt;4Jzp}^ z7WBmI`|?Dn2v4oy_hrM-53pLKl(zw;Vv1h;IuZM+jO39OT#9=?H(*mfG)N1}IeYBl znP#d~ZJw}BJ8?`98l;QWpOy8FjQ$+nLL^N0CsI6`|Bf{u>q%tWtQH&!Ew-O=c=$>K ziYzwpPGeLr)Ih=4oNE?{b#)7iJ?kf`LW{X{`kSWK>EN&6uK)rQTFj#}0}wEHGpsfe zVBQ4`jZFN}ZQ577aiWU)nWysN0qQ68#I$KYjAF8QP;X^O;P!qP{Do5Tg$Dcu_kMxL z3N6)%3aTAY65m#5Otv%4t$Y)!*=;Zm<7HdqSu_ToED0JgOVeDJyC`wwZmARXZz=?L zLT$O`dqtQzDqxKs*%+z?L4$=G+!}=+n-nZXP_=Lepb$cAL){BRePh*;K|#iZA@@ma zpuI)_!J?y#LIA`tiLTz8i(c!aN&_R#xYE~gqm|{Qsw_I%sMMA)CK?8$AX^FAy3U$B zmjnqxY8jEU=_sPafakAIFyt!2VJ1t_mb#b|?MWT;4W?s`SW|*ur*>pPdtODoh6pDN ziKT1YLDDNbFe(&Q!K2Z&n~L`ONUyr`S~7MWW7H&Y$+bUV)bXX^al-HR9%gE2BU5{i zZz&e0!21^i-m=%G!JBuM4*|{Ng;$d7PW)Mq5;aJXM%~M5w@0*4NiBP3t0HNStH%&y zMqZNP8VtzEZ6*bc8m$s*a;O8j@ye_DdhuJnr>d!mKS|EfgXTlF=L+2_th?6s`}%N& z+wmUt69S<D$g{lW{vZx(XtlC;+PNGIrNO%5T*FMV#FdZ?D9A(OAnfs*B2#c+;h<E6 z>co_BcWdbAPv)Q=@<VU@XYbGp4J^5@)}N%oEXa9}>Yh>)<cUf-oS_NA*WjiypKW49 zSeWMCQJ}$cWu)1)O1Ci61Zf-K#5Dphhik((ppF9iC=wJI#51;J@I4V4x(d@rQJ~Zy zo^d6Eu^M(ru|8dU>B303=_2>Y@`(xR@sKIf&m@aS=k`iD+_ZcE+RHJcTi6juhveok z9lqtJTcLr@b4qn`2fz{lyq7X#;JR_;4&|K^%t%N)0D1sW42Vra{3Ri}Doy#mw41Xq z9f_6H->cKDn)b<n?TYhD>f(1Es_w!dNCAMZvj=r?A^>iWe}rtL0svb63R;{202}9G z>dWLWs)=w<uva$-0Oq@!f1buUkjLW!fRH?fXMG^(0Dy`+0CEAq^XpIv^;KDPGHHHH z4#fe2fwFM8YP@qTUk?EK;{Xt2OojAPX~KNCTF(LzR&T<H^!10r`2bBn=LiC&2e@n- zMl}jOhoV3DPCLRzI93#<E^>-X%izxd(S|yFgW56KBlp>h8{ArjZ+<2~mn>xuh1y%S zJa;#>{*ml_UO~P3-JcHq`!3ti{ybMWxy*v$A$$MTLJihF&I!f+K(RvGs*u|E%#^Ez z8j22YrC3IkQEEfieX_tyQmJ2+fSXdUvd$EHlX&VDmUxuR-X2j!IZwN6WqrAF9B17+ zvZFw>OMse%$P?{8M72^!kS*Sa2Z9f6cpx-_j7_mH3yygO{80EDIsNMC;r8cNrRodB zSeC%Fx+6r9nMpva!o)m|R?C0K=N(+2kF;nul(0Bs*t}im`{t5n89d9h!Wz?H-jh{E zpTsLRl+!LMCN&kE)vlsv<eKHQ@B5mYxyeDbHL1TPN3Ph$rrmlXJ-Od!A~ZSLXX0h@ zfsbKWa-FYXWU{c2VMg+(k70LTZ%b)+AEf2+-o4H~HUX-ZeczR~`2k;y{(9UA^9hu6 zdL<nzmc4)7X-D*I{Kc4X+nO21E^29juJ5Uk0Qs*anAzK3OLxu4mX<8c$mW-%%?_7s zq|6TIY@VAPF4~Zrc`e(Bnt9FHaGH7jvY`-|+N~BA_`7V9I8Nyn{});&Zeh*7?`vay zSQVQ7Z8hNDUtwm6d;Z}^qU$13kJ8)PxeQlQ6A~|&Dq1Y7TUTNq_b49zj#)RI*hUHk z+`ewE)ImG+{|kS-CZF22WRQH?5%%m)<#px{a~&f8m(+F>@!kLUapFvW{X>=ioBSCL z-}<FK$0iJU+%d20h?9R|xxW@if`yJ(eOeU5CpLL<y)%FFywnMn=Z}`H^8DGiE82rj z*}btR*Oi@*POhtiUsNt?)<hpPQkk}&t(TA#X-yg#?(ABl-wN;)6;4i2>&h|TPu0Bp zVlqxdx8|U5!E(2d)U0}J%wwn6wmBc`iB^nZsO`^vHe)|UEla+Jo_dY>kdAh?S^02v zW1!%?j(g^(%g{_hcB3h}iK6xy&$Bhtre|!=as{YGxX<fz?HTF_EvY7wzF)wz-j&b$ z21Um?QwJVr2leE~c~TPc*-M=Agx`DjM#hFS=Q-_SP2u~)AR{d~kM2()ujL<RDkQOC zu2N={%aOmr&Rq@Xh{I~JuWoj-re-96S7XK4AZm%;DAd^B3+LC*VPX-BmDg7CKvHgy z<XkZjN|fVNU?r4@<DGx8O_CMtz}B0mp|f7|rrgWrVPt+h*5SbQD9ylwJJL25i{afY z$~aZ?*qHJ2;s|Hb&SAZ=<L5;a&ZM7n$TEMhF2m*r4;Op+X1u0Gc|VWR^D0G!-Eu5e z*E3ULsdL%k)=vU=<^5F3=&Kk5n*KYOHH6T=a!(9|vgDq_FfKQuZ84wN{ItVgzp{VP z_K{R+KZ$aKC`XgmNGYd2(H{0jq1v7zd{#5(HVgfy99gCPgeKC-Ppb7&=OOD>l%_>; z@+|bdc4r!trf9hh8KF>l6(x^4O3(jcENB=Na-0$h@4Pv*q3G8p5h-f^Wz<0GBcZZq z5^Q&eBE+GxAj?p0&pLQ{B-1QdqRf(_zlJ1J-=Cfo`jv<`$5-r=|7z4x*yl!b$#DBj zb(dDLrqS&T!tF9Q-WRTQ1y|-KcVw&`GBvkzCWr5AXOvq5T_9$9tY`C_Rd0SjBp5G_ z@xMp$BK;A8%JISo7dA2CNKSorg}1*3Vy2ao$+Fs;Lv{R!3j?6ftf|XT;7<k#>5&xq zzQ*@F7$%n0FF8<?Z;AGd>A`P#je0sw!U=;5uSDv(3hLMQ3cHkiTV$2rTMRxLy=hKJ z>+`(rV}Xl*sho<;NQ}IYy1*ZeaJBzwn$uv!+kf3*7h{qOG?3f78WNL;eQ;v~ctz~! z0&?WKf(u0>zb}#nQ!-x3G2<1B-1^s3s?~P>v?rsWrcq3XbB|L>GG+KUKi4<uQ?sM` zlNKL!H4pyr6i4-$x$14dL-F$aiT32c+i(~FphBWIJ`(=_yx?S?q>^jNY>lnRpv-{e z#29!`m_PCyE(=X7m-y*HyH}^3b1J<L&H6Svom>)?^J&xCna^}!NPNb^jm_%rzu*7x zOs}DQ+di??6;M=io-`oNJ~E^$&&V069L6*~xjr|!e>6WU_o6}mq{AWhpbWicnEL8g zdeLSD=P!F-36=ZrLuwN2pZ^KX44`t(CJ#be3s?B>A3%PsJ$w26ZRwtV9L|GatbJ@z zUo*Jz#((maqFrdyFB#I+ks*4X6uq#m13`0w)LO=~{LQG=xdh;-ikXQztku!1m>8F$ zpirLAYZKA6<2FsvA9fVCJ{2sQQ3e+KJJR?$CcO=PEgC$$GD#MrAnxnkaojunOSSSn z_DY20#{?$x;IAGu_R(BhDHp|GWMw|Fp43-xozQDbR)yU-=gA2Ocp-@H%FgE6nCO#5 zywIJ0m-kEKq~1~}11Z3Jt{a|}9&Ee+d<|w4HZUC<z@4`G4U@0*Wo-h*CMFyHI{3{P z&kh~ez-wX$KFKaA+2M2EEKWZ+($rzmoI0PZv|oBZzx3*1(vvH7g{%f@q2?tY&dlB% z4(nk)JYJCz{1I)>9i9Np)q$Rdzb1&Fxr?qU`*khH6uQxypiu4`r}je?;~bC%9rE>& znXyIs?p*I%o6z-W885ou1nGrT*fF!7cRH$*v(Gamjo0X|Ea>rQ>i&6PHlTM$Q!Tvs z74QdyPzf70pDF!qPh^Fg`Kpn%KW3)ZpUrBpFN`MEPDNYZpwTZ{r&TA28!Db7d87^N z9w8l2M~8$Z&fnLFvX)2wx{dyGi#pdpQ_x3)tL=ZC7o~-_#Ivc*gXf#BazR2)6X$s} zOa>wxKLsLogkjDW@&~`n(0>T2MLDq3+mGHR3Agw)4?5AN8c=UO+2(Ij<+wh?o95CA zua87V&l(|P{=C_|!F)s23X-LbRonRoBUHGpiX00kf5V}U+~TS=3y>B<2?Us<uFqe| zad<gL1esx%WJwjL3o0C(0VuApIYr3K9e^sNE7!HpeN3OX5<OQ2nc;~ibkMT)NrNBt z<jJHyqM0opJiq4<YnzS)K1sg>WF+tBG9VH#Kn5{xP(y`T4_OejnL#9Yfh>MnXAP_- zFb!MtHb0Vy3K+1TbW0EG3Q(bK+f2wzaONx5M7j6bXc4K%-@n_JlBazZbeQdE?KK@F z+7~YvN~ndUHAA4`7ExLwSLIdp*g`M}O;YMQgLe=zod{Ezs*)}4uqz2UA~46(a<?Dm zTp!@Hwk0}bxe4>+8fUi?JCx9WsHk7i>pzUrh-jDINp?-_a6xbXO7m+gx%HY0`44i= zNiLpvCS9yafhzID`_Gr3(aj1}39KZiD!szodJ){&g{<TDEm}H6@}71F`ad0t9BU3c z-;o@val$$+ZvDEu`G~Qinc;z&)wH*m+L5qJL+7A3eOcRZMR70V|7Mg=g7!0lG$USR z)2%md?bm3nUx~P#d8#JG)ybl9ZD}M}aP?7{j^Z|-&^zjL>7OeE2PX9sG5*Sm-QIp? zTpwP}D;=v1aCMSrKZs}_HaN;S^GlN4x#@ZJCw!PXaDEuE!wAb!4?u9W5g|{%xUN#E zYuZ`fPe)>WH>uS1BKWfD;+G{|6SdC%7f~fX`n=`j3;9ScYtX#X!>>n)|4O+g+*<HQ zyvoAva@m(VEy?w8p6rLGjU07Y#=mlFm&&Dna8mtt>o*Aj=JB;AcRkpFWOk4;;)fgB zfIK33iAsHF!OG?872i$8=ZTfBM8Y@Q83G>sG5sAhtp+Q~WQZFgX^aLxAS-b$>cK~$ z#rzqub)jCG_OvUZ)9}LAaN#^ExBIA6$Y_FEw`!;(myU|qZBfceU6d)B%Iykj5;B^k z)_oBAp}3}Mv_Y-g^YUZUg4peG$`fsrRP<V?Nr$>8<lM%DbI}o=c|xD*0WW8@roIRC zjf<^_708I?AvQ#odcgTPfltM^Gh+LQfe&e%8L=uPOFRicSs45*3=BacWx%DwV!JpR zIs`#?Mg<6>qTn|L@0iOK2E(CWq5^KCO5ujU_tJ$qa41lhL?Ix$l=pXiL%Df$48L_R zQ*!GRY5>n0#l;%Bf_Ji13sd2vfRP3zje2Q3qayCXlde#WVIq4NmGm-0n<QG>KK<%? zIvo7VVhMe?I)_fFM%6L`%MGWZPW{zL*%UV@hve`p4=raAQbs9f@}T-iRQ2qu0f};G zK1`_7oqb;pt_@9H1+-CvbjYth<)QrSLVBnw6T7{ZvY{@1`UA4Hg39=S)W>}VRhyM) zctKxn0R6jbQ`{b}4Sv{f!=4rlrdW|YRV-9O)qJ5;x&pQIM}DVKVM!tROa{rglO$cu z63Pe_)8~C9#82s^g$<~>8rOb%y>yVkiiAyH?uRsr)W%5Cm+SLuh$-9fZcj9Yh!)l= zB}tv>ZDkd_r1PW7_n69Xc=DT9$!DyV+Q=~g1aMp4NAg3j2s9dho}q4fe63|MoFt9M z14-x8qfGTd1B(#c9$TK{K$cSi8n4e|5K}%vv)z->XVguvn>odsOKMrNq^ng%{xF}* zi_Y$Z^6O%ULYsHq-tkC*C$mpSd-J0DpiSa)&Mi-fO;LkT67K~@RV)Ho{<wi*3kKKc z^3eS}<D*E~WP~cA+Wh(`cu*K(8t)=HI~Q86i=70b$nNaN$6z^qXU*aE(I@&&K4)wi zRB7$Mn~b8v^^$_mphxP|#h>-9bNp_Y6*M8r_7=*Aj8+PN7EwQwaV_z%+8V5gm2pk; zuzEiJWH`G)VnBlUXTCX8$oyOJBbI=YAopt#kM^cRwvEmw>8RIs0mO&EkWjCITt5PH zliGW!k!UV0A%_&U`Q4rTvnaQ`zQ%O?GE?YtjffeUs&^F_HqYoWHrEzcmX^m;JU>ly zRT8WiVJAz?PPIl{ixNftzHwXSm`()d3ibKkjBt|@*WuO9mjT|<{5lbt*>vxLA^`$J zN`1Q6?Bvm=wNKcY4HUm?N3=>;J#TAPSmu^z*0}cFdmMWU{ezJ@aCb&n%$TbwB<H!L zX>QLdy=ehc>SnOwTUs0G6(e*51GPYpMW-;rl^kZ4^eLhw(drJ&?A<@;l7x9PjTY`J z2>sRi@N6Gd!h~{-h*O!JCZPWkV&{Lb*==CB$6QQTJB@*^$=sc?**;U=<Mz>7;@2X) zt)*8e(LU7FOG`;Y2n*+MhSr41YRiA!Ri=69Rt|-f0P4~8p^c)fkJFUi9so!NfdK&0 zKp+l)co47*ZIDpCH~tLk<txen2n9{&o^q0Je6GW~c~javuNv8%Kk79}2>+*f2WUi- zcxEz9Dc>8<e|1AoX-j}2Ui~Hs8L+)Uxrcu-``!94(MyQ>0!M(NlW$~40+E)~OG!G) z7S1HOu=+eVd?Aq%AN(HIoTNWJ3Ri)G4Y)~q_<GoYv{AA+<2W_oR3$WQ^?58n%W}!s z={OxA#zdZ_k^LB)S@`8*5*sk-JmkY-(jqWKsHSft`wK&$w_$?uZb2u|0$A9YqhS4) zR@r2UGb<42#}A#<0Hq_iDq>BY1~@~S5LcO$5fE50m{)sY0g4{Q4>fgKIfwf@aG*Ue z)gK-s){a26(><=TpFj`Sjsz{E_Bz~oj=x#~2i<j3xu^u@QFyQv;0#peUyCdSTsQ-P z(WAY`XZ=7RLmnSzJ=^74wRp0e!09=}x|dJD^H7EM_V5XUs{_WL|L;8Jz+^p^&ONk1 zbGP@#yN#XGakqXa1=tGKvxPOXXW_~u>docF8o;T;@#UwuGP5Dh9fo(m!j)T|<H}q3 zax=dC7GHjbD?i7V)9~fj__8yuT!Al_;L8#CGQPI}z8r}!2jj}(_}&Bfat*Fr-DBmf zOy;hVS@qsHZpzHL=Lz0c2-v0%-Bg%>)y8#9$DQ*1PFlQMzbF~m$o}vfU*kB=CxYLT z1kUDGkLxyVgX_k?d+D-n#nGiTxUffH*oS1}-8cB*@UxTShYJDIruz7NC-Ku&<9wX| z`9kqNa3%Gv({Ymc(<I~g{SP?38IB$r#>E`g;%FwkIt-`wsK?PWxFhDJ;psXY&5sY6 z079Jo8`(*5GHd*$-onqmho>`f^f$cwA<lho3O527{v?KY8b6t>0q)=)xb3FkUo&ld zCjN>a<Bz3`H)C*S4xCxJ3a5&~AIBB18pEl+;#=6`T09)Y4}`zpb9}1=d<cG36!?&7 zoccBXf`ah$2%g4gTi~)M@Gl1;UX8z<d-#@w_<3L8)%ew%;MB_I0NwZiI5fRZfYx;? z(O}E@cpH}s614r5h&^kU3s*FjFrp7&1UKBScB<Zc@VD^8gJ7$WYu}}E&ZDy%^a+=l z$9^klOml|pk#otbYfK9OfLmTbL!1QwF!|>i;;@jtBOduD8tJS6;F71(NbilNCMZet z5IOUEUH(x5(aKO*VRs-TEU@Z~b|zb8Sd5rOQ}ao?=9*xxBQTWIqJ2=)3hFXxSJD>+ zD+HR};cdgMh#BgU@m}xALh62LSH`X9ubZ4iR~xM@Dt;*1Zcpb@ugO%I0icXJ=A(Ft zqBZP{AMHa%J<y}^hY;;UMg6>2<BuQOhn#u~V8qZq%+y<b8h<hnJO98WV^Q0W66R$! z0${Rp^*o)5*?*K?e}1kVQI~DN12Ej3W!VjhVV~`an-6V;U4n?NrAu|J8m^YNDi>{C zJ>c+dbj|hp@oL@>03lkXM4fwX@)i0K*Ry?IwGYdzmYbH0)W166I##QPb#7$)q#lVH z&(s-@9G8&pNw4)@i15g}YX~JjIWuF3m%(Vh-FXCFfwS9qPziN&h?RGvQ#;01RKp{= z`Os;@<4PwJP(eR)&c@#M-bkKYAdHY$DnEyF`32_`OxI=c$V+I9#5W(_M;DSqj!603 zM}+@sW~Et|swt@+gh+~JcQ2$y3|Y?nVBV-mbn}n8Qm^RIZ_M3!O+#+H1*ye*Ro<gN zji-|m_%=Wk{oookM)-L?byx^%({I(pd16o%u62tf0-GwT7m+JXVY;V)a20~(IL&Hs zeFat5Js$i6Tdm1a&@kuXc$xDLk0ZfBf)y)_zB)%F?0IK|a<=`K2r(H(^!sZOUrP&V zLlJ@m)T#y;mclY>Z5d1gG&<Nc(TX0HT9u=$p~96PVkN34MC!=h`B<aYLVhp%wbr+P z<yG`*uX$-kgh*o|pk*Pe2tfwwGDD0Aae@^YY_c*3R+vxiE`v#eG6okT1j(s43@}_U zorvRXn3a5Tc7)cgYY~T~SQ;I@2!d?v$B0cCcC^9O2(?lyg^o^ytTZNNE#J5J99ulX z`O;6ica+^U!HNq;m~Ub_WXP5D$|@_NL`96NGd!Cv!Oc@y5&eLK`V=h6a3zIUxd8f& zL@NT=>pT-vtvqTT89||?)i^Ii6@>Z}4XE_E-V(^S0}+$3PS@-okAUJB!c`o0Qh=rJ z*WXaoE20f)iX1tDCd_MSc<^bS>HH)(-Pzj2Z0$B$v=dUVkF!7y<Hajs@0YhA1CtZ; zC0=*0l{%;Y(GB7qk$OyO{BDBGG2JwC0;T?!6J-@$Q{rVU6<x#QEvF~!@5Z)gpvS?4 z$ho6vJJ53`;r(MGC1aKBk+-6nUy#?WKE(G6-=&OmuA2`}7w)?qs`s2-*9;M^DNtyR zb{-?v?a!n^VIyU(+Bzuw_?d9>p=POk!y>|T=0UNuKXgFqO`~zsdBk!kV~Nj&GQHWt zdiW!~9p0&`h~>Op=kuX&4@p89QzRC)8V*!8D~EH>6}*46yOTNgucu7$^s;+4l*kv` z4scgIPR_sT9o@VC>BaC(foRK_o8SL1O%Pxlio3)1+K&d-Y{{c9e03&!%`m=G73s9j z{<j(ImK7Ott@JOJ`A@pCrXDo=C>{<!@b7-xU2L12;60G|`Vhgi)V*HyVpzia+jpH_ z2D_N4ck!87fgF777k_rYU#K`dJWU&NJ0w4SB<B5>h3|vo;r1@~-yvSD9yg|xj0@2j z8Z@T=k)p29!ryZ4)V+b?oT90U2-_rmsOnTzvHh4-Nrdf|fv(5kTU~kgKAvl6hdIh- ziF^KwKlk(8<!lQgf+ZdO&->5TI~{zR9yYaTnb8Za?@rkLIBw=GVz2s4!pQRt^U+s~ z{?A#|7dPZ}cEUfdYrBCCkA=@dUc|h8;rsT*lea;-9fO|>kZ6LxLkdiMakbqA@)0xy zwMxURvXPM5?x7r3K5k6TJ-*_>oLCxN2hC`jfB1@rb2#`MF;@CUBl+@5kz%!lDv^G* zh3b*7YwhJCoojz&(9Aeg5%KvATB%=H-Z0wFk$-NqE*~jbi&cpXs{N5hbM7GclIDs- z6*(Uv#>(92T7KsZqig8SpjtYG$hq1dSu{k0^U_99`JHq|QRvPGMo|Uwf_xl9R+M~9 z*_|P^K5uBqhvzAb#1WBQ_B#*wGP675YkeYU)Q9Kg+r)3C{)&B91PO<wH=;<b^N*b8 z^e!B3QF^00uNrCG>H5nmfUryYC4H~J)rCb{&1;Q042wB6C&NUpbOkxwWo&hi4q15* z2SCk#z%>dFs_?+DYTiOD|HflQz48a|--^oIHH8xl3nDGVoCM#$<vQ1mHh!TNO)R>D z?)O38cBcv;Ns0X1Kj4f0<ks1CwXc)<^J3e5I*u;zDU5q!qZMx9ff4{lkUf|yYA*B` zy_<Q_w;jKC6+X<rA?)20qv`&5rNU91vcvbE+n%ZLllu{5u#8!C=b8s%FaNqyGfLO| zZyx(U1x=6tHU7W@!(VuCyo?7aTX^vB2oEMM@W7XF0S9i9;X$iXOzssrdCWiiG?H)0 zEQH3~-H9FG@_!8fVfB+$bSGZf2>R0q=hJp3yRCYixLu?0S#SUCyoZEy$&fmg#)qN% zj*@2xR8B>kTv&M+MX|%gvpbYo6y!0Y%0o8WtP;>GN~w>U)q><2_SDsevTC`|}ob zH60*(Z0MZz3T42|My@#;Bz^w$Ex4P&Ybn?WZ0YxnxC6m6P1IM=6A(YA4iQ!cT9Ui) zWorzB9pCnE(;`#RfTi01bvt*hF_6PnVgDx$-VY9ZQ?=tK)bUe=enX6bSW%jI@*KZ| zZeyN_;hhd!16kA<m;PAy#!WBf+m7+v(PS{bZlOqaU<Zc4p0zD-8~ey7sX%l$BIk<p zp`h4HO=?D$_!!JTQ90O`41rN(7S!@Y)4!g0{4W<K_REhMx5)8hI>wK%2(pH;E?k5# zKB63qz@&!qbn8<%mX?2(j2m)iM{z*Pk`pp{h=p~r6gw*>YK1BAfpGQZd+=ti{ro>3 z{Oltps3SJC-E|ZVq*Z`RBKd}6(I8RWP)({aEmATeAv2PsP7ixWd8P4<1_N>offzuB zPI7yc<n5bC7iPfgl@SBSp%K(grdodA?~ItC9<ia9P2h~wP1*o)dqflE35+3vQakYj zl!l>VJhd`FVX-=Y(DaPo{~dSt{_z|!d$X~)>wa0sJIKtPa08FhIT%vpWXe`=kmzd) zlsEDo57r3)Q6{zoQW=SQ1lFsN<r4CUf1A4@G)yQB>L2oi)!TB&oR9p~8Au*#uuw`< zt^<Rtvql;>AW*Q%$SBvj9*F!aQ|kg!bxpAF)6%CHu4yqo5UQpP07pQ$zkHwL#>`X- zCanz4{R<{Vc#_g`QxkY;a+owIfeHUEeU=5IVWa{kmr5DGITRR~@R^n$jLcd8v3(b` zLNc$D>x0C|<hIgfR?GDmEs$uL-nPF~OkIz;wB*fh8(b=mug8F6^iqhK0nOH^;G8g^ zIYwKfK?iKUTH}%eOiMc&Jf{ry)bW1Z2QBVJ9~53o(yNBQE=ep+)ggG@5kL*;RW_yD ze6sp)U(N{9QZk>v!4A5kwXqB^xiC-5!k8k`D4=p1sN9XvqJ<GishL_(qxsj@@QPSK zQC>vJd?X2)^AQ2lR{|fKx~L}pX(0OZzUd<jVT#>)vKs7U*QruhkB<w&$DN$u6$|(@ z0cLGlI{6~fgfzMWT*G5AT*JX{A0K$W(oATQ9)>K85GZJ_{@bq{rA=!x4%ec!hd)Wb z49cE}b-he_m9O;`*UK5-%YITFuX!ko)1YdAahxk<v}nbS-#7hIpl9=Z#Sw+G5v119 z6!169>O3qWeG&j1i19X;Q!aQiMwA;6_OEGr(=S2`YjUAee%yeydxDqq4UTnPjt6JJ zpQQ|NT?%&bf+4(s6ffw<3kI)#!ymCm6g=V*u;wlN)o9{Rp@82Q-5j{FT`k(LrvOn6 ztS%kD+bZxLx*~uf$@YPUHkzxS{{hY10-kesLVyF450wVk-}U_DNs_~i5CIN8*@X>@ zyAHT!P4{Jc2!Wm6p*z>8{xm``POKo6@D$Jr2h1-7O<4ff_~%R4c@kKPr)EA%1I6lE z0n^XvfX#5KX8tx4#m$#(J{k_xL=CiP&4hs-C!ujo+Yz9TGlBnB=Q6P6a&?&LgWlO_ zK$fM^<RU;1L<GW>O?^HAeb%TDtDpD4_$&=A+RXbvgji5qleAPe1#m5Iv-0={S?qu- z@VfwVqj0$+$O7;blBhg>aT{Rh0}^)6IpvVcPDP~q48pGX+=c&gnZVr3(F(zco%j)g zlTQ^7fZdz{zc2m_0fYFfg$MP4C-+z5;`E%e1mZSR<PW9W(}6d%VeT1M*?wOn5S&a@ zL@LD3jC*Ub-o*HK^n6znnDXmwbnUDYFw*s2WrrDX`5<WR0%92w;)nd|f$pL(PV@mk z7%!*_B#4I0j}RQa*Hc^lTmXzaU749akPga9IhXgSz<V@M|GwOHSuz7&(x2cB$Oh~- znls?Reu+9T7sCP{Ft$5rc%$xhkpf11>GJ=T5|6Goyy9H5KaBo!zUJPMm&M<?WgbHW zKe!~^9-%LZuqm!{53ZoUvG{`1o^&CT*^TzU<!2m^rF<fOw$rqqj4VKhj<PjhZL6Pe z^9RPzPd{D@^Iq{^|GaR2HTq4T`U72wX5sm9Jx6lQ2zl8cJM?VVS*QO4)9Bjt$M?*8 za<0DC4XuXAlU(ERt<*=sURe^LOra5!bV*djWf}<|T_{l+06QlK_oN0{isBN0txV96 zKmt}O;!%AV!s0<@tS<O?s{seK25?_6u<Hhbo0|RZEmfE~$ijli3&23O_E2q59IRmk z(k}3uU{VLMt_HvwzzcKWn*Vm7Lb<sBFYj-R6j+t@*;fZ=qd>vIZ;_$JU@|X@jw%>o z0e=O00^p<I;J<fB$v{zKs3R!)c3VdUMfmhkN_I4;MtMxSCsoPt(Ho6B$W(-3TBqy@ zL^!@$BtC2(I;q+_d5vjEzpyc(Zbdw=0{8^!H0+~fj?&W_%ioP{gO{O8Rp)L8A}5s$ z)4l=5y2NUca1B}Hq_SaJx9ni?q5B+(UGe0W4%4x|zddYuj~W1l6kBuaLz5MV-sl`; z@=(c=*S=#TqNhDiuzs5`lW1Oq-6xdaq|04=I-f0O8R@+vPF~N|nfqdHMtrT~8Dh`C z(uaKDAJ;VQ?QW}vcXpu-s!-os_ga#d!x~f*eMj!K#MnhPs3OI`-kcq9SDemw6yIbt zQ%H-L&R-Q*qBm1Zn`~AU_E8dU2^R5mNpsx!-%}s<XRBC|(cg2E+uV~QJ<p0eLYn7B zYl~{8bYjASJDlvbY3qyrA-@$izB_WGzKDYSe%Sa<9({cg2{|Hce2<!;zUU^oWtix$ z34k8P?1YK#d;^fpHp8=~l;ybw)v^$ChhaW(o~~z(3}^7PvE5sY^+m8hDIzny_r0d` z$v&yqu83r|FNk<Tg7Q@B_ntD<7pZJtdDaxNeAu8m6U<k;qL#S=l*vVg^AE(Ax}L34 z1gNKpY+q#&Re#kFn`Y1RFQbnM-MN~HH7n`8V<Dc)(KHV6U5vE*BX?v3W!jlPmaJXL zqyL^WR{U=(WyuZ05&K@Xu9LrYh3DfrA981F{!V_oV4nDP!TF%~!injWTr`Gns>=AV zov^aK|9>CK#+~f$+nj5A4}TcT{c8}TVMseDRk`+b?c2lzuh8F-$m%l9MX6p)RheDx zSlsLR38kckiSF^t=rO3hNx}!=8v_cHh9cZLJ1rdQKjIV@t%Ww)t!H+H7Cl-d3C0RO zp9mRbk*(dg2;?Xo2tEk02oxzDcy-WNDM>!29(Hiw;{36J6zSN&>jQU-a}xt8^09%i z15%4~xIsJd*!ahj=E@7E(suGS=8q?el^54bUy!c-{B&|u*+wwNX@?!}o2Y(Q#!A6? z{O<l8%6IpbOz1tfzVKf+{)(3IhOZA*+L+MW(Rn!hxW^woe7#BN>CI$?=OLGMOkcK2 zN0O_<HxcZmjOZp#_6R5Y%1CHRFXHB)<HjF-Q^AB@aOR6$9QTez6U7VoV{=5Z-@~@u z!9L4ApNDPxxTn3=i}j^So0eOPgl}4JeTYh4Fe1l2>2c2|`XOdyM~x|30*dxHizCCn z+mG%(&2Z+|!R{;WT}2Wx3lhU2nl5de3h&>)wd<9P-4m~R`6c0kdyVMfL^ku69A@i8 z8L2n&Qr(D<pc|1vu~5Qu7MgRGzUwD4yeBeh_pn4&SfYm*oHPX|)xt@su3c*0isCfi zFExt>Kv7|zwjFa9OJuq4`>F*8<o^RU{{h|qfF};P*4g1fq5~dyI^lutGrYLAQF_*% zZa<^}fd_X_Q2&9d|3DF5lbZJ*gZ>ZH{RhhMAg8?-IOcsI`sR73)Rf~O`R76fqo5C? z+03Du$!=#X{(#D0Kg0uf%88lFQ|6^zZbC^Pw+VjS(lT&M6T69X2wgf>EC$J~FyuqC zIAb^Nks%{Rocq;5KX!^97{W2mi=Z(Yr1AmEm;t1c04forW`@dH>VYiF5%CR(8!5P6 zK7bMx1*v-*kX>h>dpQ!(96bFE9D1#mr&|@6gsx5*puPb8guzsX%hY5b^&Oa+1E{WF zs?-71N6;7sPzNC8`M5dQWB{ZJ0Lt@{QUj<iAS<zM|3gr0CeV_X;WmM<IylZ9G|Lfq zIYQj6Wz5z|xR>NHP-&Ks>MjGNX#(cY%fPmG7T0F1fE{DM)EaaE?+;y>Qlv0AHm3JK z0)Z!b9I9Reo4hN=&Np@!Y*UcmNpA~!Yw=RXbQ-7kU@8G#Y6R2$lnC^TqEXg$L4p<8 zJnn{RFwLq6!VliEN1+ZuGPrXiR^3nZU~Cwm4F|OyXl{dNV7?Nd6#=ygIs~+rBN!D2 zlY(ntyrcVx0BrC!vG`X2=<WjCnV@?C&HZ2;>_nmkO@9K!*ca&#*nvwo;?VtM2%6O5 zihqG?QRo|<I+1Y(FL1(<%c&k{(Lj@O<PybQ79Z838#ZQmco)+>bwD@6g7yr4SHCW3 z#EGu^(LHrYH^YwhY<X9|DcEF;SbK2i`OhaFF$rT;3bUWP>~j;w1Qlk#c45u9%5tMy z5@RrdT1MnTyIztCM=A~yAG?kHu(3)GGe5hhE&-#>Vwr@8QeN#_q1{6!F*YqDMLOR| zaz;w7vX1DM{xGuegfUBn*;UyvImB8JW-9Q~MBlm;gYncd3X^+&`oyC(VeAve_%GcI z4DI<7yYV8*Ic`V{mP&9VHw|68N!3sI2E(UiM5IvjuT`xcV(q29mvoeK;?Sg2D*KJx zsl3`v#(tVNm<=tXQ~4S~E03^*u{O;5E4mpjbe+0TB#XitkOJ-Jc!MG2cj~fn$ypJ) zQv<P@r~!VepieQqk-MB%syWLyaPJLfPiu^WA?4YPT-u>2zc#bO!95$y-a%Ygra)w7 z1+Bz>fZ={X>o3%DU(WKHFS&#{jfDAtFZQ?^d+awRJCH0tFwTKzeQ@j~j@4%JYvc86 z%iPBWhT;OdaDfuPae<mRYYg7{180@RS()(GmpJPw&N{P<vzp<o40v_{$2#L!Z`_2F zxVfELaS5Kd+)XgIe)-t13)gN6pr5WEi^+^qGVkmZ!_TWnD4BP6xc4J~=Qi*x9--XY z1D-~H#uXEcOn&{me*MlzgHE;>C$F?Hv-^=|HO3PAsfPQhWd}Gm633?D*qKGt__v(# zbp`TPTbfo|Q6H>u4OX~$R<<HVzG4EHs(<~k#kRu#lJ@V%(IvP(W;;OQlZpwgQO<yv zwP&7<=E<karfscIzdNmE#(c{t<Nh4u4Jz^(N17Q&W`C?+O_lMpl&049Uv7DA9cjA< zlFPR8sQSgji>NdxayDt|RNGmP+K<z8HI7H3uwLAw$Izpvbp@sJU4j4MTc-K>Qm+e3 zKjSMiZA|o7UV1E{uYGonefCtB?3E1pD>KsM*F9*idt4D08Z;Cd#HgTtNZVng>+8b^ z#UcsCQsd|tK+}oerD<&e_3C@hD`x?msa4|Abg(-Jdd7!wiJ7=Qem=N99ha=BH_p=R zj|=*J8B|_!*`|pfXA#2}7jea0T#*b{oWvD*@XfyCVvTXJ&+y~>?*QZ1+hsE>;RekE zA{ZF3NhRF&y!9T%8ZUTt2kFWWp(k?1*%*@zo`iUAth6&T^hBt&%r(Yrx9h7!<k!|D zCi){@`XeJB`{^3{X{Sw;>p+g{b5ZhWf0}6jesQ5qL!nLKgTV$nOvCsW*@aB`1+yo( zVl=L($!7BuwC1LeSGf*24>Z(gg<U42aLKQ6$qeg2^4G7e&|;*-%Tw`Hw-+2o0~gcF zn%KSl4`Wh(oHvdC@*4fcs@gt1udzSRJ<6&&82CEYp169L`7MLzfUzyMLNxr5J|vK< z{zz`qglj)>dX}oe9X&X{qSa@)A``tm=w^>`doV5Ahl=J6+i&b>=*abId)QjWu!A6< zc~h>=O5}+QbCw(5i4AF*mKDwMzs^bInF2D_eGf*_OidJ~r|b(lPU0SSnhsUI7V+;4 zMq?)hZWb7ApcpW9=J(V*t3Y*7&x29<|J1a8SOt%nyEhA(K7x^tVyDNVed<8jzrDvT zy#VL*&;6+;3MV=sl|x|Rurg9cG^gb6{mlp+QNUW~{nhl{$7}|SF7Zuo`Qn>^3UYg) zTuC>?Sx(>Gb{fhh1gM1V02MbOaEjmG8hErO0R3n>pyvklsrLcBJfI)%?`z<R69)8* zFQeA>bngC#tgivn60t0th&zC?f4Una)n5T)to_g0B#YAqIHuVDZjLYafCF6`*slL) z@CBP*iFFOk3<V7nwkBC!^gvTG>8}UA0sp1N2m;RYIl$=y>ZQ^FeLJ|v3l~6ku@7`O z=>R$$cK{1nBeyfjvMT|1#QeQMa$pPG<%<VEvvyx_nQ=hO*($JdPm+7U=3ZQ0gAJFH zls{07u1KiM0+YY$_fE!u&S5T_4I=P@R}!i}bb<>PLzg}~1Wc)FTuwhPO&JMwTbCxa z-pP8<^n}x9g8?-0u-a@m0brBB{-N_1BXIhnxIM#RV8g<?H#ZdWB+}=?DJaZ@`k2&? zzQoeyZo0{<?R|Mqm)p7&k-i!(BdBl%0Q2FRf(obI(1#OL9ad3<Jy64?a94oog&MAe zzY<gkS&As#{?bjCd)rMu$=}L83Zv1;%IRzMG}L<y2;Beji+F1ceQ5kU978c%rm|5# zLe+7n#W;C#>#BNG%(k<sWBY=Hp!DZv&!Y3UdtZo2K=q?BDp|H~@8T!7=+vX$-IY;u zY|oVtRQhV~4TyHXz;5PB<Q+a5qUx}Y@>AOI4(0sXL$|?I_j4|j4)$b3+p)bvTu|$4 zVe&|jinyT8*TVXdpfEqH(kP6X)+yiN7mJ&@l3J&X^Wm6#3W30Ei{W$k6wdPwjj`c7 zlpGa^jrxyNS8SsQT?`*7js_9MKb2yiQtzD%*xY~#!Fwmg%OTYCTsF`6QJRqGxN4EG z!3)TSfXy=z)D>zm>E211P%<5?G?!BiakQR&Z9-j2=vSDKLhs}?_!B5SkIgeNlqKYP ze6>jQpbPS~U2SvX_vMF~|A&pg3~O`gzd+$aaCdiicc(2@+}(>?fFi-&DORAk6nAKg zQ{0QRP~0uWf)kuK?7g4&T<2W>FGGGhYv!JN)(Rg&Vr-dOk~{TPlDD;wK}od04rKKq zQYqC#YU*;}6fKRxf$Q&5cBJs<4`$tP;djlPoj<>2@w!dU3SHQ#nF@Hx=16H1tLI6& zJ>^z<5`WL?wpgpFOe5xWo^g{Y*mSwOB3tvR-%53f-{TZ>ewu9xZZT`MIPVie2U9j# zoX<uM9xth6|F+$<L?>3w)0VI~=*yY`H)n~OyRqc^jue9iFPMpm)13=C?1!%H4+kTu zM_OF;Z<d}r+&X|m1Blw?-wgRYuYa~F8>#0Dmro2Z@~=`cP34$MfYw$9wTV9k#BL4B zF^YfYweikL?Y5iD7k;GPj~lmR9KI=2V!kC=CX#}l1SO}%5fQ1IXh?vD2HHH!Cx^nF zT0ALM!dM5>z$&cy%|Nx$-Y#596V1)9;)A`tk^X{W&DW9Y&(BiLFbGp7it8UXkFwIr zGlETa?c-Wj%{(mX<&ftZPqTuz0Xdz=DU$5zHkzCC;=QYX$B3yl?(<5lBnZal#XX!U z(ae`fKPIvb%Aqq&nu_>u6@$=Cc(=C?4O$)SM1Ev>GIJM(N6;UB^Q2fTf~92A$B!9< z^S*Raw!d0BnBQG!KcY~*VptvCdUI%W^DqgtN4J~IlJ<8z`<6Ac_uVW&hs|_WAf1I{ z#b-KsOEWMUlbYu}@N6UH{u&})lQDl&pZXkC8n@JZINM&)s{Lyk&+<A%HXNIUO3Znr zXG;q3PB8CjYI3|H6<H(5=iLQa{M|Gp8iTyS=d9t!V;nMcsm1Nv{oeE6&+S!cCF4!d z$FWL@7GuApIw69LRiNe~rtBgnqK&WsTgT>B6Zy!8N}-=D9hSC>m|w?STRV@`u-SAO zc5*>BLKtk-3-w1)mNvo|+#QzAi<nMhL1S@E<a)3+a*LSdV^R2zpWFdh?=v`;th6fo z210rP^0P~$OASK;b~Xmz)hM$k|LUHbmz$jhn`W3AjetWH^!FZybEAvR7@vK%7@y7k zsC-!*Ai+OD_RG~f&uX1J&&pGPq@0QSDN$@W#^;u8#%Fc#rm(gLm2VRoNIqUFajJiE z{#2-}*}=dpvy9)*XTND{VJzTCf*ic@Tx0!g{>oYX{{Q+x6JEae0=`Sfrl0`hKk3b> z^J7_`dZzGOE}X!a%4ub#0vS7uS`MMihJC0^8q7y<n+r{xr+P|;7;qG)t~AqmQ5JSZ zGDb%4id2QS5VB?T%Hdv@N4m2_l(Hhif3_U^u;z1l$xx8s^}JDk@E28XbQqd(v3d{j zhpu=|9iK?-0~CtB+?<Dn!AtJ3u$*>ov2bww-s8^gzM1**F}18YLs7|JAT?&-9UJ{P z{e;UgXUg1LCI6b^Y(-N#GrVl`whD	B4>=Od0mdAHFHvbc2Viz0Oj7mzxYs8K}H7 zIcm9MeFpGTGJt^$R4&e(vCYFdj@Bu6sp-G=><`D<yj(aLzq5ZCrJwyS7=5^8l&N5~ zCl`_F{}UkaM>zBI^GBf;LEG)fOuwy7-*2T|qIj+`Lf<A#rS2(aCbN@3V1e7NwV?6q zaSMNwvSa=J>j;(Q{)WITmF4=d<8hm%AVz0%+R1CtvV9kxz#q5K<*mSM8&L02@Mq)1 zz5K7UyT?>naAwH9?3C};yf(ZlK*t-L8U@h9jlA-`!JcZpi>-}7PVp0lG#@zM`7G~} zh<C3lGz%<9edA|4cQ-ioF7!fty54|#iNjjhw!`${TWRk<R+oQ%OH#UyvPIrzelM+g zkTpYa?l<w=`2qj(4ZIG@Ba`B(8AO@qYcU4ihV`h0k~?xqk7S9@>p1KgEs8t4%&q6= z;4(V9#W<3vP0uXjk)_UR1+}9Y6}ic1y6U2l4l9D9<&w_M&Yuq%UzqIWW{6F3j40}= ze%PP&U3jiO;BUEL#sV*z-uk-;=zYvS?bZ3pG+WHH!ckc&keY*iOjixx<_L{ws&Ami zB`!L0OSz2UVRDsHbjN7v9ZW7(o<3}vN;Y~17fpAZ5}3riK9Mi#X53>n_*=*01}LyZ z35K8iS`d<1NOY;_2j8BWV|<B^^Ek>97bM^m?ZxD)x<RRNLDqZUl|BDzO;(T_-mL!3 zXf<-Z`b0IL-h9FsZB53T>gwwz!8Eem)z^vI6<sPBLO<*Y<Oj;T+T@L^mCTTQD%+XO zl%)@!zN|<`gkNcWx_9XtTg`J6HMV@*bmm+j`OYvO4z&_81CJ6D6A}6MR3p^?uF+>U z(cphx0jly4nAzu2s~iLUn$e-ZO6z0(G)EsA9dt=rYpbz67@RjaO-M7n^6@Op1{{h2 zv5})hcz%XnQ7rU?CQ>X6g!+q~0?4ORWeAbEwq*dwWTMmKp;B0vo)#g1aEjJEfD^~7 zKU=b|pf;66lKlm6Ckh*@^?Q#voyfDD6B{m*lV6aRp|S&-gAxl5YZ@abUTwhWGz1@+ zFzVQhSGsz^8dV4aA7h5JpWQ<#h80<ksrx-&KP!dOJR8Wd=%kQw^sFC?Z*nP~CI21T z@K4?-FJ`>qV(>MnLfE#)E(k@C4oBcFWn9QX$17ROQ@t10PPB~NJl>ax#0VyflBOF3 znlAxw94-|Q$*>eMo;+Ej$fZcsCRThbZn)pJT&_nU7{66M<y1UX{)HESkN5EI8^U@B zLN^g-Z(j0-uoh#;GRhn2JGZaxi7_qsox`)8lp8J+lW$%z<T~Cd%8I?hv(~*6x<b7U zZ!w)%Mqb-KKo5OZ52o9GeGPw;cZcBLvs|=yjO13H-Gbi9{D&Chx#US_2yy%5j_V2) z=p5+dO(lxFu|5k`^uQu1#h2n*R`*~pSzv#MMz4{5rmE~tUb3K1!%@P96M}Jh*!0EK zEsVfErbKSF4`ds+DVKm4gzFGiwyUZ8O?GO;VMDSwPN>0GT3e3Xpfg(c7ZOtv8#hwh zWjUknngz>WzV4zXjVyHPd#Rz%X-_I<XxeBAkq1+Ir97oQh4n!!+>xw^Q5{#{bFI%> z7KP@|Z(cGYME*W!W%hl7@1?w>zJNt&YUG}^Um^&Xf2Y8(S{atKr`Iozx3Bk~8BNg+ z1g#|GsnZsmF71L$>U8SQ40TIvrbw>Nu`1~}IgyETz3&RXo{6#QU`e?>G74h%1MbEh zsXC+Ya0Ri~6dws;qw1qBY}9%b6vTGbd-Q^h#*f^NR4YAqny}9{kM)jJ6sLFOi=dr4 z1(K2{VI_#4kAqF+FyXh=E0S+B>p%U*|87_YXa_4#^lLOcHD^aRdOUv4j;5h|%&Wm7 zW%C#?-an}Vp=i#mYhU0Dl_%MOIaxV4xZqCe_L<yzOL`Z$ym-7#l><d^t6dU>=b4tQ z*6J)VEq{Ihep*E+i~%@_YWxg!p!hr(>WJmM*CR<`@4-Ve7HaupTrCmqP}CZLEaYL4 z3&;@x)??Z$6~+N5v5;!E695zyn;jfmGJy5c6acoWO;0!(AmcO~>&%*BPlg+r>jR&K zw6wkSx=je+OF$-oqBW+#CYgGhWqsEOYh}{M&>HY@OIIKuoj|cXb{M^)Nj($a{IKqm zn9qos#w9aZ{7=5FI;W%c9{>Cyx<8%LRc4RvL$+Er;z_pMDw&@M-hHrnlXgL&Tl_xF z^PqHZCJ+nJqgXGJDX_huD5!n-U>|NB$L$R3<1|94=ykXRzQH(bjjc(5XbKFH-2|}6 zHjUjwD1QdfBIe|r3VBGhVHD{bgT`PeCl=BNpank+Hb2#Nj~>=6&;l1(UZf!ruq-;= z!+nH08RKEWjGVvIV;MAEE@DlL?CoiRjePB4L5+Ovxgi%s`8Z4nK$gGd#R6I+pPc(o z8d&q%m!0a5h;lg$Rp1H?l#!k-taW*iq2grPGFvb%x|2q6M#js-GbnAcDVLe|bN}#O z3*nwo45_%?mTdz8Dg^)bbDlDiUE_TA!`{@$*TNQUULT?a3MKl<#L~9~Biq{=(==aa zE`sJT$kuQ_*-en+ng0D`c}Q`9Ix>bQkd%L?HNNJePIabrqO7+_{$#;(`&-#%%}`*A ztzzP$wPf!8@-Pq)vq0Ie3;Wj8s;jotyKU+V5Jgjss++cBW%TRjms29m{p&YyWBB-m zoFowhlF5DQxDv@*1|N4ZW18m%YdYbonN*;(lw&`WDv`97Qn29SG{)p8`OcY1(hife zS(UzQ)W>?<Wf}Lh3u>`zYN-FMCV0=pJ`Z%*m+%c@=*In>O)iT@@pdukO&qDfDH)2M zKC^-u6dXpjFKeltz+Z_sfd4&KQH02$v(JkWZ`59H3Dmn0+2(jM`%y8Vi6^1AkyHnN zQdJIb*D35YTO%tD>5~!u%58RX4Qi3jk;M<Dky_LpE{QZI1QZYA_OZ7eqYg_mCfTdB zz}WWlbI@+oreFgXc@VFd%I(#vK%(3y`dT`AtthRsZq~{f6T^M)@^0D|PB~W9nG{0l zUBOgy>Bl9lPrp<cG|l9@zXvb|J&xY6zU7^Y!Ydb*b|mn0;V_xkSzaP@xW>W|$N4M1 zoL}$>mB8JC6FE+lClT;fL}Mb9znss4-)^NJF1tYh?nVR<b<1UZYdGgApO^-BvVg&f zs^e}E9}agYV$E*HvDJfu-0W^4j!brAn7@%A4?BiKcvu)`rujPw=(!lJ$pHUbu6vP< ztg#T;pruTg9!(RuxL=0yw(!28M8-@O3AX9Ea2r<Oov$)TsbA&P04I2YCpxE-&#kFY zxl=A(>XQ#fd2c7~;e9i!K?TSsXoB3NkM(<jSe@*DB-pa6XC+o3eg+fw9KQN?V9QvF zxMIWIhYIDLk|CbX)lnOp$;RalmH*dm(jhwi*Fpv#xo)!uDJUUPsE{#~4NFIy<n+@} z2-zgb)-XvyUx?Y{UvSSW<c~&nMZHDbIrD|W;2WC7hlKfn^@w!+uGN0Il5lLXZ!y1m z$58D{E*rc~Uq|a{idl%OMT4e`RCBRdIST=`9T$jyfnT(L>!|uq6ixMC=e%E%LT>gM z`}XMB5cpkXxf@~GEyLlSwBeB`t2r3A*=O|I<A;Sc&PneNcR%1~z;>o^en`Hwr+Q+a zIz0uQ>e|Afx~D`HQ~pH0;7X94QwFtiuQ+mNy$!gx+8xbTa`MAk5I`pJ4k752s1gKs zN>mL>-!MJVFgvZ>xUw|?;`CrH`jlkhmWM8YKMC;n9b%UDZI0wU8Y)hoeA=13-g=RS zvVf3+Py&D&2oPcmo{C;mXA2+zgaBe<ri(@jwb$Mnj>~ObJZ!gWo>2%t51@u`*Lln$ zwu?Ujyf*c+d=&Qf!s%KzAR?r3D?|BIqID>oGy1eo%@s0?e`OhOe00K=HBr~_v{6%w z@TB@j<^i+e-SqFBxej{C$}1cYzqLu8#K%&ILpJTbMA!rdn_jQd^Y^mP6O5x7cJiq< z)+7(I|9LSau&<IS-sR`qy>ZNs7sGTki?wCcAMg9}ae)KpB>4mM6aCWUibf>Mf~yci z>kKO^Y09E+38au;P%iEY!ds=On@u-m>jNGosVrw;#tz;|xtrw>cT@LhU2YiO<cA#+ z*o)vru~5Wo5yKxP+K>+*7ima&AscSwAPayIUd2|=7AuDRPJ$WMrF&rk06?)&$7_)| zC{yHPuNOBqdU2rbah(1l(`sLxzzMpw*$xKVY-(P7M;K7ZEzYpJx_`{Lh+wb}K}d?r zD8$8kFo9{b+-U^VAX<A7S{+M&tuGCM@eJyYFAD9PbnE0g56D<@QwmdPY>KDszwjbx zd+STz@qD}nN;34&HGVe8tiELy`+uYvb(t_7Yt;SkDC}SU$uj*XMJ?3-6J~#S9)ydH z?~D{rjep^BL?R@`W)x!KITLcqN-~h(a>^p$Ij6C~t;io%2<mVHZdD!mW;@-c1Jm=O zMJkkgmh*9uy@UxP?+~0E6a-K1HzezRB*({Oy3_i=z38!ZfLnAn)b!N53bYP)qI895 zj<JSMO8nsRs$-V<hRC<$>&1vDkd>8YRMg^=+D(c6Qda_+;U@z5LV@j^j4h9<VKvL~ zR0R0s(4d)kEd7~UHl9wDN&cTdn#xK++VNQRdWo#igz4h`yeeLsc%L*!mBp>q3NmdW zkPfIG@r>0j=nSZE#^-0U0~1cIQTZ_-Ru$H4+QIxzf-(ZmWGgqAj*8P$Y~+S%F*%s_ zD}X7l;=KIpm$V;h+yXCutu7}sU~W3K`qCE@FL`VKrCMJm&hG7m*@azD>gd9>%W@%F z;SuQ<$f~^VtJ2-YcKl9hyKOJ61QTvw?n;nkcDkx^ZwH{0-f@lW)J*fj75PM0@I>g; zO#8wW@x)8e4X3la0tDEg)V;Xty|2!mM_wa$G!yo9v#sS8^EKV*D&1R!Ut4xuo7kX) zl}y*<`lNNxj(pVJ=&If`tv4KxXZM|Vn5)`0^i@VfPh+?1bB7r6ID|ARQ(7eK48$6^ zICZuO{UW;X#&klNkX%+n$@u?X^%E~AJcKX82EIQaGcO?XDz`BdKrYHN8LCRrI{&Zo zz(NLKc_7IN+rbM4WXk^-f1^!$S~wI!`(s>0dfamI@2(6ra`V~OBe4F`ln?1f4@^nD zLT<kJO0k<TENYz?NThHUaK6PvDkc{Zlx<?2J%E4@P1`rL?f$^{6<bP%i(l|W>d%)B zr-a{HuEpDo=$kqWj-`LF6}~5BS9r;Bq${s3i@(-JnQY1_Re^yoa}P<av4w}a57}ZR zcx=!hvuHao@eGg-i@c!z_cnKS=P3*aKrc-1M6E*rN$wjkyyc<|Kr{f6=LYEyWlZVu zuet6q0L+yP7HJq=QS5bGdK4xBI&OIXQBBQf?5k6x+*JA)6eO?(Ne_7kWjMjs;*|j9 zMgAb>+6fkv)ou;5tyh6OOkMsCk7T(e7O(`g$@h%KZj6m&KX+?4mUJ?(n%Ioavfd05 zYianO(w2~<%~B!2r!E7GT=Ve2ok^+EHKWS8Z^pTb@f4>pHsvXX#qAN*is!v&Bk9}L z(d=#g|KdK$%ajJe->M^~x10NRsB=s6kC1a6Na9#J-S|$J*Qb00JX1@+o6j-q>It+o zH6@Q{Y@8%-#BiV{6s{NiBELbvoQXNWL`a~~%a<>BW!@otx?X`<|Ly=Y;(@Dvr95ny z>lRz%yk3b7)bFOq4RU-c`(@u)vd4{sg7C)nBHBEF7O|F1>n&i*$hDrW$?>&8@Oflm z%}+u;bKDMbbtcNEbfgDQ&FBQs@1Y&B65F?r=!gP}?SilKwbWS@*J*xuv%Av`IxD93 zZKJhI><!yIS%njPL-OJMj`uOwEL>djqobo%@7#v>?i$(3@N$j|CS)xIzk(^?-PdC5 zb3s{O)z6iNXR=yOVdCcase+qnpPXSI-p(V8bxD8Zx>^@-Z-biJJm=CoJtGTTBw_tu z|LdZ$vKD#y@m|9Z`17R0l1&J7bjdigI7Yb4aJdZz{Pc$7Z-^HYLWX1<b6%r;WLple z)yW88kln-_+ef|3{Q&x_O+B`+t)Bn8<~<w+L#T5^nd(fJ0O~zfvmv?IbM=|Q52XE) z+QCYQ@X+!w^XNtQ^Ln|szCP3&w0(%*xTYeO2~lLWrre(ulT4f8Z}f6hiCukoKA4-h zGt$-7z1VT~Q{~Dq$vI49=8LO|5V}%bbM$-%arD<s2j`y2QGUvuWW63#CT>xaW&w4~ zNVc~K7m-6ZO$+O1()QP=B-1a*u+K`?QpWwh#1nt>{gOgd%lq8WhS??d>~AVGlX7J{ z<HS$tCsgLUEZ((<xtP0#e>nR!w(gVgubktLc9&`=cW?PpjLhd#Agtc0Ymmv3`5Va; z6(2&vFQyYiO|kiFB~->~25CY%H%L04$xi3J6@WMNDSi}BcX!isi9Rl21U_%#E|RxY zc9nU5mCt!sOUYp&96lz8w8@4c5Jz~t5ux}PK|cq_`ou`8wNfEe(u%^Wrt^b9jnP68 zccehdGmKL0FL4c*eG|w1nWQ5{1_72LFHI_e$YTXw;+P15VRH!yHY<``>T4>oha=Bc zoh6z<#*`9`#5Xen0gg9|sa6yvtsJQYQ7A|B&+6nE#b{b4xK(-rBYf4wH*3lDgzR~0 zQ2j)VDQ2!Wu@y5?yOTB(@x(*f-|d|p-p2}cInH5j+vn>a$@U*vZm%bKeHhkel_Xv2 zRBa#MA6e(63Vv&WMty@w4ZH|N4X|LMw%-CworWTj6Z?)&BDOPfHB7W)`%5*%U^{2F z_SD}dP@j>C-}ZzgqI2v5Y6CXt#ffhK)Hk28Yc`)7xTKOdo*VuIY-B#D&N^~6L<cXZ z*XAff9B3f?#PH;+C=%f^hrUFu9djrc#mqh=Y9GXzKPzS<ePjQA>|uXV<S-&0*-2k| zp+<LNzuyVBL&=^upVYZsdcj3^@^-%yeMH<)>_p;REqzivx^t%VVv_DeWxtbuMBG^H zMBsc9<__(=7(5qRi|*VW>Cg~6AvwIwoD`4ieA)sfk*7D{W&{#}(A^AC!I^ath=?NJ zymBzFN`A3fM}~q;Og2DLJym*^g}=}iE<)vWO+x;Z^!2<7PMbP5vB(ZbUl~T0+H$kn z=C8W#kqoe4qZYAwDt-JTPkyYx>kGF;UHJgE7C+1~$-u~LGs=)NwvNxvXyG!|Y6OpC zIz~=kS=0u-s(qp}dRzcjQ&%7mE=Bvji9%90(1$eD$WL$XEOqfs`RY`=?RP*~FT12= zs=FifPU<i9sDHdmYO21Zk)j!}?VugV!P&Bz9|`@L^t>L)BGq$@^2a+GaFD2pqjLlD z6hPo@2qqQ8A*Rbe<_aC+{$7ZO2VLZjvFk1meYX4-koHSbyIwXysiuFiLQrtmKbNp# zcs`4YveJa(%dmJNw`ui`&=t?*+EYcDq+TP~q)T>c?cv}Js*9vR*5anfQA5O$jJJii zh@~)c_z2c}<k3gcqiK#-N`j_vhiLkSH|UF@M?FVC0`CRJ6v`2-hJN0T+jiVVS)jNx zncKo9%@I$p=Ghwz$6lFgj%smd`9t-ewyBNR-xm$o*1qT;DYY<YE#~@oW#;$s=<ub? z9G>zwxg&eo;=rB6?TI<%@-g`@<L4dKB~bfc=6|pSgb&Z_eSt&;sr}XewN!hz?qp}u zGJvuAAXrozh`$(+))KnG&V|eTzLB28c*bWvnxMWNA^Qip)|)Dh=qNktE_75g{83Ct zfvPS1`tXeo#zFDqEw7Xko(PR-GrTw=wJCpWts|UOLTQ<#-Kk`&@_0XvS?gOm$qY%W zO9Q<m*(5pEqb44t=e{9=7+I@i5)S-uw5bjAUJGhoMGU-f8!L@n(g$*q6;+rqV39>~ zd4};si2<QSij}o$P{FtZAw9z(L18f(UIQkiLl6>nXs_`9I>F`l28Z<u$Np!^=J%@i zY#75*6)`emdvT^V$}NEZn}^4whbCw7-k8T1dE$r>$-&kQWP8mf5k?K)`*<m!h=Lck zE32`K`#^~kkb}d3fZBUb^LHEfK@uk*`hO-EcYrQ2-v?FpbutbIcG#6fm_f|q1r_bT z#XLRqF{M+IC-`9zG_(d(2;mZ8!3bf<DF0>CQuRv1^<w|ejO3m$@BI;RAF?4E>ix~4 z+Q)*L5VOPIq$R0WijAy0DQ@T_wGW<J58F+!_^hME{(*~?teX`LePsini^WBA21ia! zvP2Ncc^!-tL;@}xe2O$aGEb=;d}?&6wd)Kgx+k`7434bzyT<2$2v~4ltH*2c$==l> z)+!~#%_2ENj@^reC~6;;LhO(XH%w*vSTLjqN|z9MiS1SyCrg*kpSL{dzu5V^xi>cJ zC&YhmZq#rafUm{qkGGmEVZuP|UyiZdbawO({Xj(0{<d94E3|0gv5-)$yaNuvls0|3 z#EG1^mq8`a6hOT6dl)H#tOmEZFJ!qFk?a;jC~5%yIv^yGmJn%yM9b2mX9zLlJXBAr zM?MSfWpO-_*em_WW#^&VbJR?2>S5*FjR}cVLR@W>DfJ=<q?tNS9fVFDi<#~RRM8@D zn&8|O%mVDayiFfDi~^%%=KqAoY)nl0;dRS?UFX(Pr^AUwSvjxyX|6CX9i36{j`FP+ z!AR<L?Uc8sFIDYx$eTh_f+(ZlzYTZd+%j><mEH94ud4p6gK%q~aISm?9K7|QX0N|a z5mZ7V<z0CP&75ilKluXQ{S3ns4jfd)auF3E2l=N6cYIi*+WC_)xt!P;tqi`RJxTcu z>PQwY|FEXEGr^hH0svjafh~Vp;D9bJzMy#m`<+l!*uDFI_^0>~g1lfCa?mZS?-e0~ zU&?~?(H}tihOX1YDDYrP;W)BPj_kYqHj2xl&><O;wOJ$%UxbL>{_D^6qV~AC5-aCo zlcubD83AifBihPfQ`!9bimq~Nzievj_n9TUpY!*?c3*C5i{w0wJ{J@uzF3Vtgi^qC zY~LI4yk6v>LFso9Q#XxSz23Xx(IfCVz?m6%OO;8ox5Jgxc=ke}@)%)8in2bk$v<ZD zA_JMP$NF`@-P?TgpJkf%mE|G(ldzVy;4<Ym0kFT16bkj5=zSPU%U<-i1u*CE$lk*H zyM_^zM}m-g3T4CZ+UzgVm=gnViKaqo@Oe<sIfyFpqUcj(7AW|w@l3)H)(H+q3#H6) z4OHLKieRHsMIr$D2;j>i3~_DPP~>HO$@F|R$g;l&VWz5B5i2JeX=^_@R%(?ysY4WZ ztw<%;M)z1pT?FJL-J+u{q8Z;KHvzu(tBmIEy!ECLSA-Zg^z$_V7DE>;g^o^1{<()W z(8UAd|GBVzWyt*Q%X_>vMzG2#_j<87|E&&Ku*`g^?!od(z1<^)mCJhgTSo8x6Mchu zbn4Q3T$oC!A})a;UPB|EO!=9TDwI+$6?V1%#PYO=9v+2pfNgYJL%+`p8`wtQTSn`K zE%z#T^BmLkV^#kXMn?3ur~ju`XJHfdABerk;sgH{kitq4Tfz&DES7q)#RvZF=eJOl z_YZ889xTd#vEX?BWq|cq@W<oc!UVM|o+u?Cg%5RG%=#5v3o16qmp~m9Cg^n<+JC7x zYCU=>{?fg5)o6p-j5W0@<o-F(4+_1S%ORe%L7Ow4`Y<H6-F7pfXQIF4I2&liVL(ky z4>wUuAHZh(EuXNQou<jSWQ!oSVo2es+VMx=lO2oN_Oz#xhI{eWWdULNqZL8<bt9YF zSj@pdG6gn60Rsc7((ChPn#zRNzfl>w6%_GOBX(S5wJ0NZ5NNXg7u_e1+@X|dicW?( zMwi1%f(cT&XtL=3iDtk*k!#@`O#GkvUex9veQyjT|3f;=XzV?(Ai^|Y@;W^fdE^bU zlh5vWc1DPmawGY$+WR-DVi3A<Cu5x!dz)dVhy}QJ6sfP=c0+qhh*|2p%ttSaB9X-M z_TQNIs{mYH@2f;_u~NQ<1~bf^i_&I~CfJ$gsbc`1?*A^VLmz|vC&$3KR|7+-q}4bQ zA`Z3*H_m+N9G`1%o_Qzu@D_inI4MQoBwT&lOB~RVNaNLx!uy#-zJ2z3<+GyN`(y4I zTd|x1{`0*ZMMX+V&1D5l_5w5qpM!WXnfby7v{}GUGGC^7X#1Dc<=taWKp-Hn-}q?< zQ_W_^mM2pH-BxPBdh&CnGnb1kTCa)JeMJ_FL9e~}lm-tORon;iX1x)jJrAa5uj+Jl z16g+QJoV2RMM%R#;d8mVJTpVB4vftFz3(Tp<G>SVG<0J>o0*#S3n@(-qu&Y*ZV6so zb6ftj_eR#<t}J}?;!Dr#)DzEOW6zo_Rmq5*%jytJ8pe0Ra9A$;^jrDfC2_r_^4DZE zuaFCAmhV!{QV+B~<qKqX?JeG;qLnT)@HqJhC%F?>aSui5b!zel#8EZ4Q6?Ht%*%sT z(odd6WDtfQm7rCE9g8}eMct1(7c%GC_sB>bu<TALhG1^w)HA_1S|kiPyoy9Iigc++ zO2SA=s<_FWsETU%sSymc`)H|KEN!TYlQ{ob*&#a<GUq)1^(4hhUcq3nL;Y`hA-GW@ z8qt4FVF|lp+~K|}Txt^T|N8i~8;9=T!ZH~cOl7r9)P2a419Ja^N-#gOip7wG_y6Rw z8>mAOVAK5e6BC}Bi4D$J36ni1!Bu+un`VCqHZiOKjsg7N%u4Q(tC=A?@c+SLRWkv| zoQwSbK}xOOViZ6mUd+q=kK_fAn74jBic)fK@lxKFkiTt4ed{N?GxL@K*1cW!eTU3@ z%$Nrvn1Pq#inB<IV~9>yKuZ^^NcS6)P8^XgkmelWzhEW$+quvYIl9ys2D()mk3UOu zkz_d|>ZkKbX@Asr!m(2FR^{lf25<KOLBYz*e5YFBd7*jDZLSmvSH}WYDHXgpYm|#y zZTI~5gdI>L>6-Xw_q#1E)vw)k3l~`8E!7dq-wV)x6i**+MihWVE!{AgNjiZUC)>M7 zYB%)j4xdl9RVtIVrIv}IoA0`28<vQJZ-b$Of4c3Kt;LTt%iVGg{{F7>b)go(z?6?p zTziU+LiX18Us2WbF19EsLvQottFOB2YfBve-i+LL+QWX@Q+m)Xbn^%6ehKH7u8Uzs z@b{sg#A`q3nq)U+37(w8Rl;8jko_WzEVaO8ZKY<t!O}W)zbd!zR3KM|GgAbZvAZsO z)CtgM68;d`PkG&K$&83igSei?ig+w3Cr*RNoE%mUvlY;uI42?skFeBqc{uWiX6;F` z{tkb-?IvN(UPx#Z|GjRW%cobwNhQN4#KebqFHa{m31RxAc}!OfJ&t>Ot>g0ZyJuPH z_Zv5s#jX#+8`>?2i<!gda^eyQ;zvyXazzv+(!bYyU_(F6Ka|9SAU?p*68_GcWzkal zi06fTc*H3}DA!J3NTuNohPSW5d3CrvEWUZz&@c5bqak=NsQ;6B6iF%1(DEkcNTaD= z7WRGO-=1jV3I9@(zeThHEKI&w^gi0H2h06mvI(IadO^{AV}pEjTBQ<i{!Y1Y0dLdI zdi}Mq77y$0kI41WuWp+gSKJ-kJKLn}`78`yv$&T!fMy@N(D%rg+~5Cc{K6gfbCrf) z={;W7y!zg<N3v*R#``L|Y=F##=IgX+1_4t!ZNg~^gzR+&0aVr3Gr9u$c{o2^1^q+H z<m~aXKUDAU)t0d(lb*f1Ct;yW8!-`nA7^bl%YKZz_LeE7&2<C=^*!Vhl*R4eSTpMT z>oNZl;S{C!b>w`@h<x`ul1n)w41DvLS(NZu=4sHF|1!PN-a}HM75`ZW@L7-NRJW=y zn1@k6brpiY(yMi&@tLRX$IJ-H=`N3(8LW3tow%WH-W(3ei{qzoOTa&jrzgGgK`SmW zs_F-=*Q~3G=yjM_Fo$KLuXgr)M6nC2XSwtf38lm}<3ey0v1I4Y-MuGS=|T1PrTqzY zFPj|}T9$f_n2snh^?HseE@;P*>4>*)Y4qsmE;e<3Gw4lSZ0foD^c3nXtm_JeW7pdC z&in=08$0GIT7`{IAH6x#mme{YT`N~0f!{j5dvrjjA<bjQLqL%4xPPLzvhgpjQ12G= z>h9ax32$-A<7R<A889U56eU9ZGjNkCcVIno-MRS3xzfY6Ag2SI6nnbL=K^~BVCLRH z<zUxJL>(_tnhbQ|)4tqH{JUGCw19ZaAA@y%Kd{Yw^Mc2fJ9!4XZ9<5VK$h6tN4t?E z+!$wl-e#>opRJy^B9kb4^$GkyMI9OVShJpe)epvI+mY0#eFY%P2;#L%k3J7f=FahM zo3@YmYn%5Pw+0Zf^=(M-IO$V)#EsP5jZ}uR+wDkvTKTcLI1qOR?9+v1241BqsfRD* zkuk^A(rg*FBPjm1dwQ7~gs53y*Wm|bZ0+O|1eKGOF^#J9<ujEGAGe-(LP&~##Z<B2 z>IUDL%rM71D>Zw;pLLr*YV%8Yzp=KfeMWAS9-k|tzlK&xdlyKu|G^Pszvqp}Ppvo_ zdv`R9>u*%H;Kh86IFO&hdM(6!4I0Q#=f7sg@mDKbSk#tVz)1pYB4Q~C4EP+x{jRi* zu{*Q&ARSRA2u><vk<7+_UUn{s|8%y!Cmer@{`qcMMrP#AXD)mX9NV#oH#k_C2-m1< zbe|UeVx@Y~75d-#d=npga(dqWNrp{N=-Kp>%;in3M3o~^ku*~p`mDYBC&^FDOWP79 zf9WL}Aq-mXZ;Ro)qPdQ@4_;%vx>?{lZPm%_b(IS}>$jQWvw4*(!}byH)$dn55c+&8 zas1M%cEB_4K#&U4P5c`(0V9TP6lvu_e#ODgqnTcH*#XTGt0J>vh50365=}dy2jqxg zld&m{bt`|_(hj1gU(cA$%OB-~QRSaCqP4Hq8}dwayhy9oAUcop&Y3v6rcqVVxmC|` z$1+7#<AZnHix8x$b_`uN&#EW%W{><TF8x2jAFB#Vs>TKDtR;Om3}2%2unGcQX*u1@ z!ULmB?W=;qgYwD)kH(LP`=R_gUZ_=T&F9bs>MsXVntyC8Oued}=ye`JRqZCa&$Lxf z@VZ^^;Gd|A;R+T1PyJ_U^^g7oebBig9A?h4U~c-PrEP4~{%!`-R!I@b<Uq!jh7b?4 zh_J<hk91@~iD?ppS5`+uR+GlrkOr<VyMY_x-xJxaC+BW1Ye;}2Oao6BxSn|vw5jCz zd?eZi-39LLoR7MBoY+D9yP<2`{F{ZrCiW)pEkMu8oJ7iI^#<=vR;crFxY<$UW+)*0 zY5vrWOL$t%3<eyJd->Llt=G&8&Vi{-o=q3`5XA;!g?C}sO&UB@2}@tYc6)&(H4Fx1 zEtzuk^T>d+&#Revf=iH#glnt|r$%1}GeaVQU}+vA%z>lEkqCPlk_++UNV#hR8xAUt zGh#&RqO;O#4a1sN8ZwS1{fNGz-*KU#im_dVbhARQY4EO*=}LEdE3TDq#!Z)A4a!+| zTnDmHkgk)0xjzg(G-@ar-u$Hd;8{+D?bTs~@2nKqo-}#2d#{i{kygN8HO}<VUE>yY z1+vNu^_sWnzhg2e;GPpT@FAZQ?(_R@0Jd1QF<5_fC}@?ZUtb@6Wz;y?>sMwONLe!< z>t#0A(tiivIN9UZF6L>BeuzFO7Hu}gQ&S&$<<~ele&=Z5hI2?!gUweX+<!+0^Z4P{ za1+5i<>Yhvy?1yoIqwYI2wps-bNW4ZS}z_C19PT1&}txvXf89}3#*!K_VLQ5;m7M5 z{sxH7*S6AzA6hk@3;p7lhlve8-ql<*{Xm$@84yE0Jjh?>Z&>4)15NA^_1`%*PFBwo z_ui2;PFiBs`*p$1&BwtIA6f?H!B%Bjn_`M`csMA=eTZ%m82+!PHvB5lDlhZO$Y7lT zwg>vvj7}DTUk$)EU1W1DF<w}6e;h~4Eg#JqCpY72viql^ukHuL>dgv}525j1X4P!@ zVOPj7hvb8hL9j-RXG7iOe0@`JFHh8M>=Wz6wsT_p#I|kQwr%Sd+qQLL+qRQ0|A+fk z-TQE-s;6hKo}OK`YhJqd?zOxmw>PmXI_>Cdx;S2hF5Kwzt3RlUZP2G0V+DANVaq1m zhh4!Pr?TN(VnjYmd==)%k<L@L+yTIl^xk!T0jb?vz1JZ9>|{wOX*C*xPdSKM=Hz(x z(j??~yHY?Xu}aiVtGMjcDyz>)RZ$@6X3mtJ0w>j#D5|5h(4JZ~8V=6^K-FO=u}0L_ z_1Dmv&ft0D{K~)-oOXiznEFGE?>ddja(Z~4Llo~hjf;{%<-fR{0Q)vWsD68(EgYD6 zOZ<1A4dO<-Ugapkzw&k0)BX+)$$2kDw-+==;-%Jum_3MUzuxR(P`K*wyu!@kQoNuI z(!3+05G8xlFM3?diETt!>5gnfT*!rPU|H*uZ(&^mF#?lGXWleXu}cvNxHXI*<q@Iy zuTZ~$@Tyf!!fV+sC7PU77_&uv<$QL75K1M5&`o%neBsJuz@6b~=tq;H^nG%c_U@Ck zIT))_zpfWKF!ZP^Ynbb#ho;RvC$d`fetmT(O?0uv^VR$&e-1U-?aa6;f0{jP8nS3{ zM<!@Es@d752J{~9HD5-PE6d)?eaCu=cE!$l;tPG=Kl6sXhF*EY-O8@M`_CeGKkuWV z+6L6Qx@-N2-!@hrs3V@?w~+@!8E57R2P8;?^$T^@4<Qkxt?p4EE?V8HB2HVSQK_N( z?KQux$QHC^f9OC<_$f%^faWQnjD*qNdM*=Al;<qyKNET?)Z{gyjQpjY^W4gx7|$W! zoq)-yD~$&CwEXN|=uzQhEgE0*@}PiS*YKc}Ttjr(Fnn5i^MWl=bzrSEQ5j_`l2||T z1U=+A7rJ(Qo<z(KL(#rLJiIVgf*KdbfCw@!fCUz0(r=Z|>^`=hQ@2Hk2ZiV22h+F( z&r7Q^2jMlNz6X)(Q@P}xao`3fP?=$nEqZxS=8zkOA8Vdt31{P`zfHiRLHr_LEDdDy z`NBmY-9M(_4wvJO7~m2`vhh%Snl+h2s~&m&D}GnmECP0hXBGb$zacIg53~MC6?E-0 zC$Js4;02jraECbE^oOIHbBX8mk%X#_|ML=4y9#r<IZaPJDB)qfX{I}Ci0V!|n&)54 zLtfz4v_F&~+@)dL31b47IeRWx@hnDwc8b8)hHEA>t%%amb93$quZ4INzLk|$xJ9p| z+HKE%Ftv7CB?&|2{t3}d@{3@c%NXynddO}9v+oP!dBdt9_;pqlQ<<{u*4Mg5=hyYR ziz0QlSf(uUqayfvQAg}D*H_IQ_$UQnpOw0bmBkFbnz$c7H3vy4$MqaX`AHl8IOrun z@O8K5$!JeFF}qHRrWTAB^q>5M2hn3AEwU|I>&s2JBT#xSaG&6)R=_B-Cr|x4yt(Af z1D_D7;e^K|H!X~C>9(S`dXbu4Zvmo|!?(CTeb_}dvy=g0*xxz~CL!QS5aIo@AgrrZ z%3D5a&hQRmv*WdlorICPp37P7)Bs+glpY`KyW0*I-flJPY|HW+Hpy(u$p9nLb_u*M zhBMEoH=EQJ<X7jI_l-UV_D<2Bkw}1edB3nn_RX8>oNc<g1^UwVvC>d=&o$?wR-PFC zg7#C_j_uSwe)~gF*lQ|TRpLqhA3Qk?v%Ip#Sbnj%!Hs8<wm=^R$lQoz4|S-nQ99w# z!pzF4X-6ps=Yx3b<8bz#DQ=fw&v(TUMY%EDON52yqGx=A65cRHqc#OAZJv{PUQ4np zS@$pnOoutX(%8RZ>)g)XJqJFH%VhPhk#M|8ApYlIp(M?ramZh=(_tu2+fuwQX+b8j zPlcbb@ql%}x@7SF?M#3Pev=D#0~l_b`uNO>#x8XtEB&gUfqMwu@PzKht){$$ZMn1d z?yD^gT-S|A*l|uM)h=gS%v?B6YH-!zFT+MD(TW}9r}nzFgn!SyzIgn<1Wp;ss+JVK z!;JB0ZK6h*UQCO*Kc?x)b{L^cS@o}?d6>hKn&ilbx?f*7R)KZJ5f4$jz>6WBaM;=~ zQjevVTY*id8cru3dC7UV_c7l3akXj50$2v=KR~XY6Wznb!2<ynVY=+d8^@*cj`2K< zx0as*(4qzk5$=zQj^{(;EIGbi)k&Pr*Q3R{RLlq)FloAGrFx>m94~t;QReH{m`n9o zIkmcGNCQBk_-Z-b+l3wR$4QWSgNO6is^&mXZ-gQoV&DCYszn>TT|VmcZc>WvH^+Jh z>!%ohRSFqi1Y<DTjJ<uR7}~XjIGk*=xTClVtl|>9`);(q*4F(pUuqjna8KpfuXJ+^ ztr-flL4Tv`;gs||eAzfvo67Zq+&d9Zx9#G(EJau9<COdg6LHNwaTU*>ZtHW~oM~ho z0Q<TLraFGstIRgM1w8lnPkOsv>#7(InumD+K0&f;2`NT^CQ+&owEg8D>y&kR8Z@lU zv9C79V2|h`+U|VyjA)55QF{md^cB9fxA^{8BrJHEzV*-1u$&DtY|7EDkZd@%yzT8W zpQyO4y7L&jNA?0RmdMf?-fdYNekqKzU|epR+=RB%OOUNG)vf}4Y&OB$z~k&2Luhl< z!c{T@>E{2-(}XzsZU`(6sez}57#c;OZlI?JTgJ~!zwou>ip7(4;4SC+omqV6o4Zx% zh$E6U!uFcey^qna!|D~Lj3MG|08)4%6~#F^C&9200Q2?mU2N+98dRzCK=TG^z4^3@ zQ)0OI;Fhd#Vw98LA^Pw&)44pcT^S{3@8i3sNu;6yQ?ab}7P4Ad{sxbfiV__CtK+AJ z@*jELONbHA>GFt&maw{XG!Q!{D|+)A!&Mg~{`&$!`c+LcLD=bgKuH{5pMakI&E5TS znMg-Kbq$8@#4~z$9w#eDT1fNK^`6V(NYODn_u+)7^m7gVsg=!+OK8=|0$UD)Dh$6? z5_LFo`d`Enudmq{ni~|oV8wp;zEJP-Ka*~gD9|eoCIL%to$C+);Xvf$?fq&TNq*p} zy>3L`yqVXC83?0_sVZ0J{`ol4`R!$8k4VQ3T07ACbrXo7oT@b75rOKJs%HY#-6f`g zC}Ux$tc46LJEFY#DlrG6G#qJ8ILiH;3oD0;s%|ldok7U9MZ&zq4p(Qm3wnE>fgg>L zXh(zeo+$9XDP7S3v!iXrN;OG-am`QxMC-h_(+E7dPp@EiV&95rM`GU^+A`JpZRYcb z73qTD2O8fNX=h^Jjw*sDIg%AP6q{~eH5^N0+=KCX7||`*J^i}8LC`*Hs9<^sl1#PO z);u4v2Sfze>?9;}{WP-1k%zaCR+1~qE!6`=ES9npja9}tLod6v9BdNTuw54SAf~Qr zg6+`s`U11-Wr;{f2#AWd52=sz8({NOLPn*!d}#$Y;N^T|?DWZb_&)ZJG+iAEgR%Wt z_+41K)$_GdieJ9o8CVfvSGQDPo{-1Tn*<j}0IP#4$V-h4y^!EGU8B<eFpip2u7ZR{ zQW-v7ij_t$qVZ8RqBlR<iTAWstguI)GuLFZpVWVAGXB*L+uOPuWVBpgjKIdoa6tq< zs5;(?J$4jWmwb0?b}rHZjN~11Pg!?nhqVpyu`ibz;qo+(%^>yz<JE->1n2HqP77wC zGaC(aZZbtHBjh~)4oguA!wnX9l65&ck_78w@Yy)~BKWZcyD}^!T4uS3#TY~;VT-W; zqu}R2pTdn?Nts4a3S8}PAc;SV!4URiY$1-tS!V&R$ypv?m0^<nuNvO`h@u2-^im~R zSyg$qmHz9so}ixGrTy25eX?q`HC>^0w3Itu{E{^6_;uhe=<x(guU~(ug<rpns3(!- z0+uwODYPm$p$fog*fsmM<3L(|J-1u^+*<wC^Sb=Ia>VtY%k-#PQ|uVfkdy4r;_Zxe zKqBVPj$q@#BLWHRdf|2dBG!T)P_0o>?h0+LkpdT6uuNPN=){k+i8>_bIJko!W}OL! zh8@2X*YJ~S090y$bB0>Bw0IU+WBAmxJL4KQoB3IddWy|W==K!2$P41aCFH|k<i}y; z8<n<p@ydAT;=`29Yx}R6WLJ@8+BW{4BiYsG1y_s~ul!OF+YaKhM0O(So)?}a0T_iV z%AT9NUUrVQT{4-XcfUX@%`33L9+}ke%`Y0-ox+yVQz^poc4mFJna+(9S3y1lX&KLn zETla|@?zw`@FaEp;Vd>v+>Jf=%zbmMmm_b@0MEZ;Sjds9eHI|Nw5H8YRMwFFIGnWM z8eZ2!sg|NuN~EQX7daMZRMv=TzL71<g*7z{JfG1_w<S4m43O-3_)MoSf|-g0k$Na_ zL*dkeAw-RI%xb`ZA|dA(F<mpbOPQF9EDaS%v-KI#0O0Lan4#MX7Xaq=B`6s~!jJOf z&Z3fD61yWiVQ0dBCmh}-cJgn@!fl_Lj6FM6$|L6kn4dCQfF*c;G$NWZ&|K_-@we`# zE5vX`oAJMLx;z?trp3}#5qSQ{Xg>cdVc|g9R`T_}IYi#BwDA(DV*$YYvk7PmgVmz- zEc-A}hP-Mk(0sIDc2D`Uo5MVH(e<yn+!LKOUgeSTFatp}N0q%2d;6y0!@X(icoCSo zy5YQM&zkSRl4ao53l#!liGL0JtdgVoxvDj+IM}yO;3I(SSi~<2I6E4<8hbt5PVV5t z^UP@`LHS3jP1KmKBkiJkOt#8t#uSD1M!-YFhDA+GX}ij?Y^vsaQOqIaQ<fAjC`Z-j zsxvl-X>K|YZbTL2ksK-+tJ8&U&|>VRhS%ilQ9>mdohRYBpIlg$UC)47BIuXrfv=LY z&z<U_QW%YLt4$S0Z-1bDEffObDZX+R*-9S8TWLR<Ptu_z7nKml7``%=ioc_m2;Og1 z;G&bx$$~A_g4R4&?;2rqOE<fSG#ZYS%OO2R39MbKN~4cjkUt#2o;v*<`Cq+mMfxZp zJRxkx17)xek(gYT>LUNjqGn2lXk<k@fU6WrcuW4?uP2F>^wJ%sTGL$jw7(qZS3Oqe zPp^MgVQ)VQ0WveZSAUc_obVED(o-ADZU$=bb^aB>i~~C#q2X$!hc;Fz4AXBy&=->U z`>T;{Mrhs36<=~auW&NBxyDWDZnUlEeVw$#JeT0Njg9fi&Mq&|-p$?*d{mDVidQ6c z$5MWDM&ew1xnC#WMJgg2<8^-D$$`Qh%u2(Y>6WAe@mf@Q#AlFzD^BcXI#qP`0t4am z=y)vzg_e!Thn}Qrg%n<1kI`O3e_An3WXv9qv0eSsl>tFxX`#^1c0ZLYq}J0zD#9(a zaZ^*LePH-9?pD5V-}8l+=Rc02U|@JUL`2h@Uj7bz9Cm#;AI{c$&ll4~OcZa~;^Tq@ zaumUx9$>f=t`%Rj($8vB>qq|5ina-_`Dzf5U1lv~lUJZWZsz@9z-*4seBRfbr7m0| zKk`lS&(_5Cz=X@pucZ63s6=^T4-(*<@e%M$zQ4{Sfb?n%R&Hrn9d<OF`tC5_WOqJS zrnmG1esg6mLDV#6r-Ie>`Ut#c(5F}P!`Ma$?4>vK%{r!%CVSHQSAoGL$phxt-Eis) zZmzqOT@!~P=?gY9Bqx7>?Rc~uK%iqv$j4Iwc>-MuBFSBSHy?=+%6)D)Mq1jwqQyw6 zp_2)CG2npy&Hd<(1@y)}SgNNhQFr$#uX;z4=TudjiH>yQScg1SQnR`I%sC)R#K{x` z>LDXQve?LU!GUJMeaeBhBoAZ*B~Bg9GP6z&ZjhUEh~l3dKTL{rUcV&!j}_pO_ndF& zYjJPiTiFLJev6UYtMsC`L@C}Ze(B{Kgf;*~bz%37X=4zBn^~E!?|Z*Vb)P7aNL_lv zxOv60*&OI@&-y7==uyG<m?zQL(>e%6a$KGru$_CcDMgX<B8lRjo>AdMi;F|0y->2D zZlSyymUAls&LSO#$zjnH#nl6?jGb3d)2UCKVyU`CtMX9BM7S~{5nHNRbw6vQ)^=nB zsV|)u)o|zv@F~cl8n0)~x@p8<%Hk>>ZJtC7iI?%{l$xu`-u)qH%9vYA0r+v2u7iuX zh=rsIKWwRDkChS0tIGXxYi}ra+?Zc3>T?%TDwx!>?zpTiwH!z3=s%dxM~Zv%60sAq zsHkdRHZF~}7do*Tf=L5)7_ycIY5UjgAn{VP9=pz3S!Day+9tEVtzNcj$+O5yh-Nq| zPiH$gIw!qO5Ja)WN^%~#e}UQsSP6s2KQSkra6%|&zg<g-7u+y#9dVO&8?F1{Wp|Mt z&$J4+9Y?9Za5_;sC2D&gjA)g#rFlaHSW5}!9zPm`1Zm~n(DO_5in(WbEy>igMjSh4 zNqecZ{C?H{=#iH8!$KTAXQ5-mizNtaAKV;$I7*kKe=Wr;2sGxat|qaJw{*9z!EBt& zTU=y*v5ex}bK*#|PJYeQR`_r#MQ*{gH|2(u21mNwJ3VSjk4#jE<LPDnf>6>BE2qa9 zlX{(8TGE(R>+h`}J`jciYb`Oqsv@UfNUSGMmxn5}k%ZOcU_~wjO%IxlB>#E4(!#fh zVD62}!9>r-pu$H+YOQD<5d!_WLS1)}w4nFLzZP$$K<Pm|w!bc9?5JZ8Rk3w&E(9S5 z#)a^59TX^Kk_q8O=3!{7gpmTHa#4-3>)P*1!1C;SxDhVG1N2VpG8y)`YZF?R1!xv5 zujcoa5AQyZ!7`^>@u#q`T8y=krM1?Cns_2&gv@KrE;NYQbE_%U^XXSjc)zdw2wF@; zZY>1_$*Q*4!Zw2FT>_g)A$H?ZNWlq%r%!7Z+knBWanX&}y5Vp+bthYVBi{yZ?2jAS zbdfARW9lf*;^0Ki-{ML<DT!o}Gb{Z@Yp8Hz$Vvz9zG3;{dv0T(Ln@^M5KEP2P@$qB z5a%2#p+T_LeuQdM(D~JD1d&9;9iX;_ZbZOmZ0ZiW7$8`T6+5|(o4&8FvIhRF!=H|G zXw6^Ac^fU?NE;v)v2>8?w)w5hP7eOoFJr-POXqMst(AmLIv*9M$gVPbo{?3iBS}e^ zeZ8F8eH-@cSla}kiq%qLnpIXHv_P(B5|3@cnq>VB%bTA`GIx2C#6`Qh8ym~1KMhE~ zJXU|koGeQh%KC!oF-%z3y)3&^=BOA?<aX7xps}q{a>uUJCB8Py_IVB(r;44prW1ck zQmpKVyVEOWSkvCCaZG&~PvAKhs6)X~=aP`%b~xav)ZHS5&lO7Pd?vompX=;mSzJ`c z%U#wzwtv?e^Oau@-H0V`^VEt-IFRk)QWpPAC3(cEnb?1(N_7)!V|%T+?y}>%-$|~P zIiM5CW_y^~AXYr`jDMXeNM9byXl;y%O|46K=Z=xEZ5ueQE`Lx}N^VW~{%y_0BwG`5 z7(C{SEjiju@H%sbJIX3#q)8`$&4PC6sCcxa)-Q2?5oI*9mZ%fQ!$Qx8kPT0kwRR;@ zO1|nS(?Dc;E;E;^_EW3Np^ZnGFda*NNn4XlddmHPRcZoXj_w^sM{YAS=KFXxv8t|I z#(tShaI9o`h_u~|4*${oc#~_;O1p)ZgX-CpK>-#+Du{xXQ8p_WOn_D*zpF*nsE{8G zbE$-Q?Tr$QNXiMY!$a#=3X{uEgqbg!6$!pCV+e#{E+f!UCx^k1GeAe9E9$qR(h?4i zESr@M=1@wL1iz)@kp~p?lR70@NJtg+bGL_wBHvTm|D@WT5+^7Kb!N$7T&T2U*nSIz z*D0y-v;7ter>3wcMZ<AQtoS+P2@?sZO@omH*s-8h=l3@$wZ_0KEAB#2eGrnR6$q-s zAPw3D2@Al9{YCWv)J_WrJu0#D2Pgd@+HitHqIqDK$?KPBAETnGEEc5SyrjyQfFee^ z1L(<+3qqiY&I-~M2s5Hhmt<xM1rMj`jS8Nse^CV4Jm(lr9aW+X`9KyIsr6tuhLBUX z=yeWP&{Vw*S%15_?tBIG_;{F(ZqMzsP<eQoPQ>#nUlk9Tu}6QaUZrw0^;N$O&oWr* zd;#KB&XJ?kN1amIvP$14Hf2<cmk*z%t6gw;ishVxW*onY|E066zZqsIkO®W02* z-U)X^#8fNpQ_jn3QZaw@#hlpz>^+1^ySAZ|0%a{gFQfBodA8zEE!^!aT6wwW@h%X1 zRF+!6FT}#|e<8<!30=CJk9ys9Fr&h|foKa5qKgnOwXn(7*ut+^PDqRg@BL~X8iaW! zQ5Bcju0`PrF+P|D{_SE3k6WQPGb8R6Z96k@`W>>KV>^{^>w5|At?@Bsrgi?kBp1YY z5WJRevDZ<uB0$m|5^kOF5<*0V2hWYemSfh)wqTQOt!=$C!idYl0!*A>waF7`FUiOF z6ctAicH~t+kg*poNKPsY$|j#C627X`ng-(tAXqC17eqs&%g<C&G$2Adnv>>EN|S}A zCl!`tmakRZb-*%&BOO-I2i`QoA+62}`Z?xKSr_)dKZCPUYYq$>4(b!dBT0Flve{;o z1+!6tL+MQ$cQO3&+)~z0^5&BcLVjCgV;0MTy@lP^Xp6!0_4H+(&$`h&k@3=w0Qm&f z+_RJSLu9SVTRj)JVFEt$p)V$Cn>+}vnfjD%kqs;&*;7|DGmPp=d;kY;L*77tW`XQN zeJ$vdMkuj1*Am4glU2XP66Fcjy5FZvdIk4qL?W}+)KU?*d+ErmXO8Sb#=8INAK8V? zPxoW#Soc3!pt$6+>OcR?!m*~hZdt>*bX^O2v{ZyrY=qXVkzOfV3$mUivnpiO2mSfn zjG<3??TJBn@MjyVKBNC#DeSx9#VW++3#O!#65sj(Knhn|A@Md2Wu`~0<iis8@G8Lv z!M!ETr(nLk+V`A5IkC3I!m)86c8=u2wh=nBN_u760_Ew)<tMp|mwAkum75APn}{I6 z&&9!^Z}4AFz|Q=~ZS8RL)e}Vov-h^h-14s_EjV|t0dO5olR2nGm1#y1;xFk9>5iSI z6jlQ-D~(LQ1(a*eZxHXn_iovnZu&u#&TH5kUIC8Dfb2_!gVTHVZTu&7pMa#}`$?|* z>8r_(^h?y-3AD6R+DeMtDlyFjq+$-th=cDX9Q@0SR?2U&^mYbs1<r=r=E9B&#_X|T zk67F5zbwu4Qm)P{OUp~jQ~v@R3Y7(DG2yALxBBJxwdj5pF(Fm@E0!=wF1962O>pO? zE1V%!rYk@LGKMRsa2*H(R};07>0=%E^J@?xT`8wQ=a^3(Azl9Zwni(Nm`^4l(h?Kv zLCCT&&B^-fMfKR5pI0!2j?1kr(DW|vvL{y(ub%(PxQ`J^(Tilxu1+P49lmn5u22+l z+bVlFuv9*$1Xz&&-RB;*J9_h!Reft`US5vAHEiB{aw+`OeDbx-=Uz@6DQJ6`Qf20( z-j;e+;-6gJJ8pW&hT@I-=8R?E=<-!*Gt@ES2PrTQ&L;n5;4amlOEfn5pw341)nq{b zg?(#_cx%hFr)z!x5}NWRZSbP`UPw<rF2-l**<dj)XU;;nu*;<E2+$Il{!JBMR-owV z<-n;74VfsApA!Y>3d;~#z$us(TL2I9fGMC8Uf>nxL6esiT9`+AgcIgLk)IJ<5DD{O zEyxK3921?nhk5W8==cFJh|Ydc#sZxHKq}FhahL~3fsQ{wbzEuc(9_u8Fy(rDCwob` zo^!E&zFnil0)9~q^4H=I#FD_N+%Q=_6y`x&pc4vMCO-QW=0RMr6$D@-KBEoupf1=7 z0kjaG;f8sT7i<LsT#3(k!aV2;wn72V#Al#kZv+LrK>!5eGvcszF!>+Xg<)@)1-vl; z+0h@@?@YNruGfD2Sk`kYOH)Y;YR3R-h|jQ@l$oox9ON{@wew#<`sYQypJAcSQc7nd z4mB}n7%m#%-f;$aXKLvgE+XOHkq5dbYik%TD&RVz^mPqa4&mN82fF)eZJ91K;oeyX zx(8~hF<nRpx+iL{886=9-hl^vMr-jIFGk?rp$B}%Yjeeon|z)e1EXzXt9}!$FO&ns zcYT1{Y~PLA^7YJ2gj=uquV6;CDVL?6I&`u2mpXY|?+`c5)bezZPL{#me);=I=2bvB zvG`XL&R#=3c!GTT^ZO=+MS%R1{eFkt_%x&WN3@WO^oSw+sa#@FZRA~VvsveMV&_OY zQQIS~X{IJ~_c2}T``1{6sPj588A@zWIp4<*fKPNL#-x0|GnXp@&+fK>-{vMk_|Lhj z(Mh>bOcbmmMxXC_%xH>4?Z`zA8P4a2QHWomMMKu5+Hj=@^T{?ud!`l}(}j4z#y~9< zQhyUpv$qy6gw|+<D1_Ez1s8K^qILjtX{44EPIJ7Li^=Nuz|~;wAsknh{!g&L24aj> z<l#D)2d;>c(V9-Rc@iw<Gn$@yun&AFD^jY}Egy6Ru9oB8qqS5~sl_}=(5Rb$(PkOJ z+PVBykWbV=HUs&8B7)-|Lp}=))=us(^AirHJsmu8V_|E(>~1W1a>6sC^zv3`U+RiK zrRovi6__p&jlm(sFMP@RmPPeMNGcQM087yG2TR09F5yp|5{s0?=O^~ktjb?LC34iX z+O$Kyd;?#5iDMb!a>qp^h%=~4w+l-rOQTQi&i~>rO1#*@#G3umX;N&zfE({+d$nNG z&Vlafdq1!lmO+modN(L${>1+5@#EUjNPgC^so5knCe(KK{Zl5AX9=}P3k<Qi@l=K( zaV~$f7Qqvy6<#0`rWIYlMO=&o3?MFs0!YI&A^==O7QYLwf&hnMoH+8-h|XXIF~SS- zVeQNXR{?+yqBEN?PAvHmg0rhIjc|Y=k~4yEmEeLslX9IWwl0zBa|zrEk_^z~lELdy z4nG$;$dQhp`meM^AV(%W8Q{q>KXu|6En8k0hFN9S?P`Qu2@KeB@pD_z)oqTU2<y(8 z7L_I1K?T*j38krg3#VDRU)9u$l4nZi9jhQ=oWJBtiO+b$+UX0fLIJ<R+W87tiOzt- zJO~Q#f&h@jXM|xM6a^4SP0;c}VOXLIPz9{SXK=#V;Q)DI9yoafu?5V6X~6|_;b>wD z!4j&cYO%j-9TuQZ12B5!e(7M7D!o>qQok5XL62~Hxse%SK_&|i(@nHbF^?CL8~dD# z4JC0DD_4fs$DGw$5GSyEVuco5gtMs?S?0^<1<Xk;^d!r>uH<uw3U$;o5Wb;XTJj3G zjXuaX*~7}POFc~1h`dtQ4=n&N+{VIkx3*vs6<BrJa5k2389at=|HAZNQZO?ve)so| z=~cE|vi-(mCZbqJ7WiY;@|3EPFk0gHYEB%4q$wz;i4xwLpya$?ZBt61!y16upp2L~ zA{0Gs20g6w4z>@&Z3xEfP@(sSG$U%|@y%jhb5OzXCwX@|)u%qUg0tSJMakVn@93Zx z&Im+G$ioM`C*hNh**uSP($i%9Wz5oU-~0zJV&novz(0(?$sFv6ioOg?b3u*acXZ21 z!`^OKw&?EMlp=e6MO|}P!HOvi26*}L(lU4o_EZg=Yi>gN^7>YK1X)4$Yja7gY$<2c z)0Pw`GreVQ8n<_u)ebOmSp>>(QJP8V7(?FX{G}72_lntb?bKz=ss*^bUS%K*^|<i7 z^FCsucYT+e#^Xl&2da(*9KX3B!jR@&DglcxQq%q;GY<6X^_%+7UO7y(I<__RYUQo- zBavfNlMqQ#qw-NJHaab5WAFJJWcToCiku`U7%C7D5EKxHoRlPspBpI{Fc6U3FCZZ7 zAJoy^(aG5QM-14}+|))_-`UAl*UnIviO$u?WGGp{W`F=u<e4X0e@Awg6F#Ngyh!-$ z^}Vjxre2(UKkBAmPxIR!a%-k_v!{BS#~Vn4afNEBdz%&QkJed<2s1Lod++c&Yyvv( zI>T8xtQgg{$6F@6K6wKRrFMeXlkx7O4ul?WwKmmy6aryfAc4pMweIF}k4RCCMw8th zQGxxd`!v4&>pxOQaFUq3Nj|y#JN0j@hVK}!NtnMhLC+`vJH2dhc3FR%Q9t#-cXPEL zt@8jG_NV^yQydi9?{U7qzvwWw<m$gaDvbzl9ui2uXQbdu3wX9Cq+CGw*j9gcUKo+z z+$CoB&d5Q8px_vn*fl2w@AoP0w?8Hn=abUBfdAjYi)kdxt$G3mQn2~`{|%n8k;z4u zr6V?5J>Lg!Za^^x_WM)_vyi-kg=Gb*w%0=?@lZ<Q8tu1ZiCK7zJCPzMiS>x7WzRb^ z&{2S<E)X20gnT{rJdR7DZ?uh5p+9hrbdBjX<V4NH;)9_(kM^`rW@@5r#roY<`DD*; zxSa6g+|tOy3m4u|$br5a_xsC<)8kX=&*$w@-JT7)YCf5(wHda@{o`$J&1$j=*$G>S znQj`BibW?n3YCV~`H97Y{Y?UV6VE^^_ECr1ZGBcu+`l=lk?Y&&iLrs%x+b~g%Pm}i zrqMWDXg_<_uhWjN9Tn@b_@(!YddH!%4VjC19$%N42)Pj7+oi903GCRux(C}B9fA0< z+hHZc+gC4uEpJ?}_mPgX{U*1si+v}SS3^6Gr#mBdz@YBrQO_@z?svO)`?t+atM&}K zZZ7m2n`^MxYbx<?Q;#MMA6E|-uh-4f-NP|?b?=sTZSStkHQ(=Z2m7WE8~1l}dlv1K zRoCn49_EGb&5P-eg7et3_t!JfhliTnV8K6g4W5YJuln_AI=;^%7bdLV`!&f4J((Yj zia6Caw&NA+?u+5ch=<3W1~<EJM;&L^4VmlPwcE*GrgS^+CzX!`KB@E*V+EaW4Cyna zY;^xd=378c_u4z(+_bxOeVpQtVqqyaiiehfw55DAX@gfLGFKND5dPg`pOzdw(~WPA z_}xVWyaJ+@1X_4fVE*C;RA+esdpkS;{2~Zln$jjy*%BA4uz6ZWn1K-m^i1z7g846c zarmgxbkP&J=qJ0xAW)<bpYYLUU3b)+ZUA<4ruf%3C5P{;@0!6*Hy_v>^qw4^Eon5} z@Vr{E5Dl>nix>Be8C>ui>ZNHSGBv!DwiOUP@Hta_-d=3lII`O_M`-dazmnz$EK1nA z+J1Mk^Qq*5-StH#-X|`bibaUC)MQEbz#YvmN~8T-+&-w8mfh;)?(kClE`Qs!($RP0 zYs-Mos3})JyEI^NV{~)j(NxO7n9}+9I4aHE1`H4JJwm>QlFiYJiCHF{ltP8;e0`WS zipJA1#Gh?v{d&aD&i3?8Wb{d7_E9A0@mK!iqY(d^iL}qwF>0%)e9c?7_VKI4LM{4L zNR=3_%I##99UY{XkH^QmUi75~^ClLtEHgK2wuhs{_YUh7Ixn|yV7cbIY1;3}s$Na1 ze9(|FTb5S_QVb(7yD+k4=y^cbH3va-=J#6zyAoXgN$fOY)1RK#<<-|!>^IjGni12$ z?0x2*KQs`jf!UJi9JAh~BdNZ4_uaxiAScInj}wJNJ+^3FR8e!kUXqQmLIbl0T8NFY zI?~L+C|*wv`A+cld4K_JFifjh&RIA{Ky}pTqr=bEIH74fQ?{6Mw}_E3KmF^x_uAa* zD?QJXD7CC1Q5gS}t(;;*G5WXd@t&>?M$g1CC9|F0BC^`LVsZeerE}P<9wv22hIOGW zMC}+p+q}Cwi*C5HpF<7KMd#<@%hhEL=DL=*t2dDvoy|2BbPxR3>)l80;Y7oIq5`Ui z(tD3IM&R6y9{oIL{ku}6A$&y*1Xs<LiG|wKYt?skRff;2ohP&Rv-#_G!?|y!!l&3y z+b2`a;2M(b!d^${=5hWnpd#WM9M#{W1H<zf69;b|@>|`$Jy|M8gwZByD&N=_Zg^d| zUvDY~KBIol-)8w|@OOR!87frNHxuS88(OI#d1qS%AQ#?u%`cDgD-Yop^NFEr;S*F> zo$rlm)#In%@FG9*AZhh$AicB_mT9uzYRRtiuT64&?V4ILw&Tyt{`SpZ&40X2u;-2o ze&&4SWm#NCv#5O6b!K{XwPkCiI@tO>__y?EY=^uGe-kAS^u<ssaBSqsnE+9E0q423 z0{6n5I~1$;PS$fG{pt3}G$v#)WcijS`<FO$JT`UV0a`WYGM>&jped;smTmg4lDi~_ zM``{n<U%^iLe%o`t)sqfZpg{uQ`uH}O6}d+N?kp0Md*}$kQ3`Z*3;90qM1D8iN6!w z-HoFhapt`eD|;fJPj+Z<6HlzaM2CpYHq_kkNLj5AB7~*g(qb%0K&dbV4%hf`B>Mv4 z^Sx!Y=JEMFbz*F15?CKsT0_Mm_NFl2)CoV@?-hk?hjp!(S;$3^B)*X&{=$Ff0FIx7 zU3?l}gnS)B`@*9XcF2Ywqh?}R7-=5es_|K^qmNCbyj>q<p9Vd>(Z1LHB~J_#K>qQC z=*QTMac}`wK3rKS@E2S;?*6wS+7YXH)ZVWybIX9h?5FFT{yxrwS<imj)5^l3F#{^C z^R<SL6<eVr63c-pcXSy~8+a<N%2GxSM<u%Yr(rk|50%t+Ya0jW`}egqGd}K$yUInU zZUyY}Mb!rP!^``MvbA^`6%ma2=S_=7HG4GO(NZ=G5w(xcz&e5VS4SQzCvNYidW*31 z@ZYgn*e$w|@uJ?eA=q+oP2#^32Wps`pv$2{S6|i<Ldioz^=dmh*}WCNR2NrXV{sSn zo<7SvUmM#qC($3*NAMH?u6Oo1m*|{mVusc*I~G;$gUcK2+K&73#T>UY@gLW_yNGiJ zw&i9fBGJf9*5wQRGpt~~>{#%!6~2SHd*7LXx+ko&z4WbJ+lkw7vLUs%wt?G!*47f& z{wX(+D~p_(vuVqU=$w|lk<;Hn-LKHXWBNr$_`19JyoxGWG3dE-){cMd;*oIqf+YmB zL!Eo^MAOwzYc^hQqyJvkk8tw7^nKU=Miut<g|Wo2%eX~V7M8`c_h=`FltDx-i-jYR zUI=9YUIi$?`?B{b#0wi;a<*Z@%JYAwaWU=0Z^9;$QT1(H$;ZL~m^@%oO8MZP1>}l& zVd5Iayp1v!Ms^WGHOpdcD&T}Lcn;%BOT`TSaPuU5XJuJIY1I+ho#htFKiYybVs2d7 zUF*3a!q_}8^d9cp^I|3LOx|U&f+p!&d2Nw1Y3SGYYdu%^66rO-Ed^B-+rzMO`JPh> zB7}<6u?Zi!AGCreK9y4{_pns70Agegse^Vo+ugjM3b;AlU+cN~K5m5vtp30ue(xU~ zl*SyKJ3)W_gTdeKj-1`6z`ni|J*|7&pDqtl-R3@JmdQ1+)q)hW4)1{Ei3kDS3oQ^^ zr(48}C-)9HQM}@GbA31W(WWe_JTHT-3sjUzT&M;+Zd)0r%qeWYvL<2)BL6n(RbS^` zmCM^GEhr`3Y{8z9c5!`nK|pv$aCH^Co3R7GDYwA`H&a%Z2F|3Lz>8T{74qXh)|uVY zgmIGX^6|<t-u#wjGkhAhAzgoa48?W@(SJGn<{RZ>tASs~fOD?ZE+BW#`pQGMILPHA zfcDDbq#Dzd{t)T3ZPzMe1NtiA{nqaN$X#Fc@~C2=PW1$*xn*@Il)rtZ8%B^6l0y$3 z1r{u;-*HiT+AVz>BY8VK8GXSm5N>*kHiJQiR6>;knm|DIw+MjVQ2|q_)@Y}ts<jzB zWeP!6A)TNPW{-o;XOha1X1P=B3RwVq#1jNMM<WUFKp^zfH1GJ~N7^hf8m$ntv(-%# z^mJ7QO6Wiy)Q4J=Kp;l?Ip!tj1zn6Ep85|z@e_`FPlZ3ADc^P{Bo@ezW#^%|&F0sb z4QnPvQ(a<Sibk-hnvLc+ci&~*Ktt((>`4Spn0kCjr<uQAi<l;d1>(~Y`M4cX&w|uR z&Sn)<Nk8&TgUV4VQlXVf@<&pPpOoGbwh<IXoBFD$4Io}a>1~ZNHsJSPdn$ss2%$Yh zD*i=yw2`-qoL^9X=*$aWU2J-Zjb2mq5&cD#uGNF1N3rs~v2E<i4(QVrSO${21aLfu zaL_lK8NP=xdmiYj+EjzY4(an*NLl?tSrqPAY!sQem+vAGQC$BTN@pGSsq`AV-%#UA zV@Q4oS6`B1*EGGCv+6KSbCO|NLJ@AB#W{2=GOh!eI-03Zo2cuxwu!ZsT6g0JIaQ__ z-{-v=IP&K{l43D@jpG^gs<gBxqK=n%eUc{jS3jJUKJfol4VZ~u$2dY{QA0<mgs7n? zv-{ANcmy!}13w)z`xm*uJnmrz3}R_@dE&cM6d_6xyrmP?+R020{f6gSl2Z4&&g^4a z6;ku--v~)V&KSWatXBt>_OnVUKgBGV7!2CwFohj9fF8^Z<E#??tD38UMvBY3>Z}o- zEOQ|lbl9+&fsx(SAY?#u4}}gC&hl6f3TcbOu+kvHq(dbvTrUb-{TIu#`mc6G5RB0? z?z%36#72rWxgM$GT1@~8zY`1{f}9Uy>&V|AE+RS<xsAFPG4Wt})ZIunqvbJ(g28e5 zx-3EM2QS)!`w4Csd4Hn*A%jQXJ~T@c9EV`2LqIxLOH(1tgcS~zj9MMqhz?OLbm39+ zQXJ-=P**dK_`VVtHQ;;skV?PRITky*{e*^ybC*ZI!)h?J1L<~M2Jf<TGfK_mLc3KP zP7L4Ug(@0z(0coZRsMAEMSll)>uF?JC>&nc`ImuaeQ>_aSxQZU9THkkv<W=>moY@a z-$`)bPR*dvWeFucv5<kd?tkX(mt$wl%N1zIa1tXS?eLL72O44(?LqlTbHXzN0jQcp zG3VL4jP*z%t7F5gy`s%1`Iq{|mx+khndC+TVV!fZj=yImLE&a|CR+)E<VtJsL4>O1 z<n&}aEiS&4|H^hI@Z3@rlS2(WD?o@CnTk`=5OE!BEI^Y83$+>ZOv=S50_Sg}x7aC& z8@=|*&PKu4^ov!iIvjY2#Avx>?LH%=Vf-*5&D^?s2Pq18A5h=l<BW*?K44Fg59W-q zP844Pzht?nq-K9waZ5sC10K6yz&t#un;Q`54MiX<5|iH+^^2R`Yl7C{Zyb&Q;(Cw| zS;=q6q#uR5%x&qKjcii72U^g1Smh5G>SdkB(;92R%9O7JAy!(6s&1|@--hH$A8Sxa z9*a|oH#C@h*)`{pCvO)>ClHh#J33#48}Z6wK&;6Uenj;fJAs|dFQ@b(o8QU<t^oh@ z+W_jR5f6akS={>1YUyN1r=i+Ity^(yF*>$oAvV??5F-fV>qih?j(nW6JdcAtYldnh zj5n>r6E3t!$+D_$#_tcFKL|@By>-xslvC=NmPF+EOc^+Nn4QE;p|ScK-m|eE6*)_T zQ|d{tV_w%Jtd^_Jdb{+DCuH+gB3|IM2o3Aylmu|u^|L-fVBoVq;VeecZO(wBT=6sN zGN&}*i{5nF4oZ_0BdscWr#8nKSsE3bzDEi`-cU88KOu4)B&ZtuTAo$dgoi<x;zA1G zZ~WpP{V5ZuFypfo!@9n2ai6u@Kg?I*<gOuH{uS439DCcG$gyUZHM!o{i^uK8pH&3z zjz3QQhazZDm<w26)}_F~d_|_;!Gb=PtmTO}YP3$9_bWc2Y@&e8l9Is^sU-oK{qi^G zw#MIINDoCJ9u2H4bwd}lRsrXS1vKyt9MeG<SHJqV5G9D*Zxo))&ywHXnV$$PuJ$~b zC5F0bDr7sVcK)Tje_&ri23}3VxU<fmCn}zsmBew)2F?sH&|${;j((38av{^XrDk>o zNwpay+x2x!OT>Zc++200mg|lox{~i**;TGPJ&QM)ZB8i3lB_R36vv+|lQ<n|0y5J@ zXI7c2?*$2<QHo%NE<v^w)t>Q5RQUe3v0j~O+7G8iF-qmlg{Vdv)5S1jZ;D<<#5a|x zv6e4(qtv|E3fGjF>%zOx;Wt)1IWYdpXLh_lx7(aj*LGrDX}fVnT6%eUh-(tdg#DpQ zY+CaoC7JPbc0RRZW=i+0cC)sT6=S7{p(BYZj0}wkY_)W_p!SUMeH43z1Zf+#NL~4W zY`U8*<_s)!wa={{5m?Olmtov5E!df0IidXV76)kzQp*iADXLZMgMj4_+2sByAnFLM z^nR2NZ^6Rpi1H{Tk{_#RAU7*U$y>cL*ULF<-W9E$V-__#e2!}nP^<ln%lzK_>8Er3 zviE_>;jGL%1h2h#aOr0AG(M`m9UR{w*pLVHiAzR(#fh`*iWlMAix+h9hmRf8WJkXq z?!^%Q<2GdHICQbc@KyKq`e^t1b?4d|>g%TV&6Vbx`g@c6!yEtOQ@U@a!k78KH^_El zGen>jfr+o=_eI)ao_aoS27K5}9v;lF8Wi7^(}tE~(Hl4yhWlu`eHzmiPbJ_@ZXXQ? zJFlOQKSwTRkQ>ftknas2O~Y4z+H2ig!{EhF`%wFAOFz)uUPLiMyfi<^7G9bnmrn&p z^X%}v)nmqAySVK~Lj!@l%CNav18L=Lj777$0<L({;T5bc7_|yi#)iTtRFgk%=_?of zE(Fso_g3Sbd?ZGFo7+8K{>B>egG4F@SF*pT{3Vjj0c+sax=FqSYF)cO0;5uyu>Q0B zd?g0!xCZX{cIyi$jLQPz?vy|2o%e=}Eq%|})K}lMPv_V4rBn%ANTt|F?b>AWZtwAJ z50`A>T4_UYnGW7mG=6o`{iw*ZMfd4g)eh}Bg{49GxdxlgrlRk5Zw9Jqn|9bpDM5Kl z2^JIQt|QJhDt!4@4y0Qc%&)N&)k~`BlC^C9sy?>w14E@PEMk&?JENUzb;MM=sJEZZ zR6Y3WWk14uT>#-X_&qDWa`|D9iJz~4ihHUiaJP)(c|5lYT~lZ)-}N7W8X@`Ou0`Ow z7B$0&DT5c6<_zN^<&^vGbR>&wTib0QsaJR^j6W@zL>jpI+R`HfsFct6TUzAO!IY9I zo%7Z;i=;rxC6YL2FKOk-fRzu$aZjGm$rJs`1#{q?JSW`5`IV7mA=|r1J4y1&{YpVM zx9)IJ0M|P^Z{QmA1z!oUjK`a}S9svWh9*$(O!g)aF;+=2QbnoC<6yRl#EK<h=GH}m z2k_CqD8Z%)-$j}bQ-}THt`_kT;4w)h_M^Kmh3mOCxG?ef{~oOY|MEq$J)>78qV|11 z-(EQGi3CRBb>BVFmFO2G+~ewC-K>87od8*92K(fLK9hm6KLau3n1w<p1w`I0wTA{C zg`!Iq@zZXbL59QWQHH|l=i_z;Q^WU!M<4o&eC&NLzBes9(N4^m185?P1K}|WPxeOd z*z1X6tWd?8vBg+ph}R>D*JFvfb`sJ_Q=y2OgVT!rpomJGTh+lLC&QK?f}hmiPa<Q^ zXt~jFnW;F(8F1*Dr6DIa6F!U-J{+6|he~6j`T18qhp-QznC#*TPY5)NetZxRlZ_HE zla1yH#1I5Ra0I|%{S2GM5&s_`G>UmNU)TzE7tVnKX`OSDQwt1fooVt-4h(6YYK1sY zd>9jGh@pgUme&$L8cDmQ>1mV2`FG|Mz|%LnJ9>&^Xmj3A_k+y6i^NgY7aa#w6|d_! zbe&rwkh)dQuIyCE*G}XU%<q4J-&($QLz*-|8%Oe3T7AI*lF|j9{_EYPNB!2@%8~)= zto(h&Udw#fQU2%8n@=oFPOaWAHokVB-Rs@?Dex@_+m{H{+pg=W^Z$0Ac00Oy(V_tN zX!>bSW80jt9F4s)k_r9r{0aTT!U_Gjf$_+osNz_m$#ysisKXZ9>%)J)y;zgYQmySA zw0_Fx-uq!LW5i5rsq|DGyzWi{q8Pfsl(0KDNFf84tHFqhN<oG-ie~Ij9h)B(UV6|^ z4_%nlJla~KNO@SYYDC_U=suxRx7yJLY@jk6S@W*PG$#jQaVGCDi_9ix4>||D=y^?Y z+z#|oOz}kwL&`C6k34Us?$d}N8@O*Mu$YyuP8n<`bJuqcV!<x46}v=1e1)Zwwoss* zPTwQJEaU&5r+FgaR;peEa7*AzXmHMxMmZq5{2RkQ4Sr7pe|Sz>bpCVT54BqK$sDyj zLzzhM*?-V{=p=eJ5g+PPMAYYFa-Vsgp9<VigJbHx_7cBigm3fKFsQBNE+>-1^YR`E z5tXRrxdBhk;@C>><3>ajW}h%%ixQ{^<<llK!p_~v5Rej0{Ol4bZZv1fT-cEwmvl(5 zNmPMqNi?@=3qLHm=#OODMCfMapa$~wgNEk1E)Bbl^qr(c0c4c~1u6x?a={q!ALyZm z+ous!9fcsaQ;(nqRQ)S4*d^d-dT_X-1XC1@u>|QKx1j<j`0!kU=d2Aev-mh>mrb^D z@26TUq^E66RL=gpn)f=+uYTPVQ{`BmEq(9yy~7gDXn+6yv=c_P5KlKE*uZh-5a!A_ z2C@n;+x5R0)DkF2*DxN^!aa&h<Qd)_`eEVhmdIl~(kDn{!X3XZtQKl}r-$KSFU_g_ zqtDH6>x)`SA2s#83s!liuIb_s7xB$-)4ndcVHm~i03%VLmkiV#vF8lV-%;;*KF`c0 z19HCtJj6UF?kW+x0%MS?TrJU&N?mW^%T=$>lov3&4E^-F3RiLeiWs@F=FjVMa7P5} z6UMLSd7Dh7?7c~K%A8*^KdM+u<>s$J?nCE8r^TJIj?e7a2sPPGUGFv4RM$J=FVwsZ zo8>A%ntdrj%Gnbs#4c4L&lOfeW~3hV!_=G9Z)A5YkqiQ>8%wn1{)>+ZA8#*&5;b!5 z(;=<8uDX>JMTs$lj#lj!f_nZ83SmlvvD%pEeuL`?fuCzY(+0KbB^IQDdZ?EK153+5 zDk~KOA_EhXHQ<j+#+H(?U;?AgbWk?shKbiE(H*PjLiS@F#tWvLmH9UcsXc;+%9sq& z*JV5e)M8z|Fpb+?+xiq~_SBBt2qHe`FPTb0*eD^4Ec#CXmK_zhQB-hFAQA}`SLvnn zB#($o1TwjvlGBVfu^@2Co7OPK!5_{MnEIE2l}J+>vxvpsazg7hQVObf9eO==5)>0v z5>!3KPfxCm4IM$#2kWEnoG_2)258QNPx?49kbC^NdEc!O!^n=B`R!C}kIfPly%u}1 z&9xLC83H1J`@@zjM3C;<+V3}O%to;Sb>vSWgM~^?NHIAvt&i%$yU>@1&cH8N&iM-& z**u9y0Qv?#KbpTuG87Sm4)Qh70dfr+XMdNJ<LxO^j@!Ymhal8Rkcpyfj!g($k}SoT zZj=S{$F~00Qu3dT^kakm*o^b8Fc1<?<-bvNg4K?x&9iI%o;Q}lWk(hiYuB!YqVHwb zgSrBNK$ihSk&u+E-hd%$GV{Zl#}!8A!2AiHFzIcFV+@xxM@}sgXNUdF4lf+aA08g+ z@Xq%;2y+&XQ7baz@2fzebsKF@5?UhVFp4h#7U`5}++jRdh*3{HNE3;GKqcdv3xK4x z)Ixn~S6!`mbPju5Bd)r>;~B5t)UPN^1;u#J7SO~p16csfE`^$x?!qL084Q$mh6Y_L zmA2mGm*IeIKLkU)+ypyA-|TuN{ZJxH5WbZ3i1dcm)JpmxhzWu4{^<(YiB;GgNL?H1 zL-5pHNr9l^6u1d2EZijUqSONUFxw8bFh%^>fwE$Q(nU!H;#+&}GhhzlW1n27?PnVy z-HCPasWpM$m7O9rQe}aztqSe`IXso447IhjAjm*=4=bqbpHkpQ7K@IYK(8Ga2gvgG zLtS}=QMiL5AbeE-f({=Ch5D;x-}luOlytFxoWNJ98V6XB;V&phzPhIV+vj6JFC7V6 zXvx;JJaTSX*rs!~OOw4Ykn$kkLbj?;e&;8ujc4D#xiBE?QHFqWSVTg`-fEZ@@c9F@ z{|6NR00ut*?&5&(+kZgG4*+ABmcY{lgsUuudR6Km=cue)<W9+`82U%~VW%i~TP*Sq z2I<ijRGtw6?FYO@(JMJ)rYI0;hw1L~G|?1C_$wm_#VVVa;b~TZhe;sTX+w_PbtSv! zW`jZIL2e~comkpU!4Fl!G9P#WDx~pZ3QvE~avQf)Cp;Bmu^UAN$t4n5r_-VS-lLp9 z#gK@4vQ;d@?ji*OihBGVAyI|G^c^+|k~CBrwKS9)or+)>Yw1B4O%nZ?KJQ^73!?%W z5Ru0JF!z>Wbu`V|DDLj=9^BpCJ-EBOLvVMu;10npxNC3-PSD^U+`h^4Zu#E5&$-Uu z^P~H!zDrj349r?J)m4qDT$dF3w+Yu7Pbf_jh2BVTOqcsLk{#0If5(X>l~p8$AX72C zB_*@9BOx<M!xO_u67tL2_+f)J^%E2*Kbk8-Ueg45j?b#(#AV=;KIBYS@f+~a%?Wei zs&cp0fm#rXkPJmT>a%O;0I|CAMrh?H=Wkm?KW~wC*YZmTnr!yB<xR@HB`Z1NtpD5q z5qDu>HbrPKxC~6(H3ccli0&FP5dwpKjQrX>XO?&Z4NPLIjHvWF1!;+WGPC^pAK|xu zd3wMZQp=W16U)@)2TwZ*)SK>py9(7OT-EE<>-f$*vxg_63ldK}b!&VyzYgRmPnSkd zx8+_WI|rR@32%^}h`sZ-jh@`UX9v*Nl~1lZ-n!gayX$W0YYb8|%w!DjD~_pQMK7J4 zZn|CV?!WHet*UHhb`3hAjL0TRYaUWN8szEsSoh2F$2_D|9~TTWb&hW_(Tz6tH=VAU z__SniPD5i0^*$XR#wU^OTPY}IbtqM-98P6$v>k$Gee0gHOc^%0(U?SDgby0<6nB_? zJGZ9_f46BL8%pw)uM8mexc@j9JfHfrS$^<kruM^L_Jx=QW-}n`^X^3?b-~-0^jir+ z9BHZT)cf{a%~l`%Xzr?SDQ8~!OQ)Q&ki}93K|X$6{vQE6`nZn*N<@M_tCbxQ$2il| z#xM=>rHrz=j`-6tGN<;nEQGg^kOn#Hrm)kImJ0J-3&Y)pzbszv9zCzFL{7iQXJJf0 z^rDRs>=Q$cnJ;Ni(&>%UB7c5Ww`87|yc%xcnA{~?Gw0Qg+PM%xi9t?Nf3fRtEl(hA zW4M?574tG2(1+7PbT@g@z6oyfHi50ca@|^o&Yu;mrwZu`<Gss=Z0}|%3{|8k=Yk-P znYK)ij_V@6WgepmNl0@%5V}FeX8d~tH`&z^SqIcU!nLXv8HupT*R{m=w(a|gfiu+; z_~*d$pFL?94T(h{mjV=h=Mk0~*tKQ1OO1PPHI*GvIhPvlQmIQo$aTu@yJ{Nwb(o(I z+dm=Q=-w~wSQ5pnqpLRe-W`7LdKqndPm^<~18RbZzoWvK?Ca`LyHaC<gL8>`1^X$l zJx74RW=^eVljASM{s8ch87uxZwyL!Lf|ku8C@T%3fRciiw-G3-7R0@z;GZrkpSXPW z3e5Xd%)fM(QTEj8eSgObd%Zd2>O4-2d0j^qI?K;{uNOjy$V<4jTV7G=56=T#X%d2b zv=|LS?D_7ue;vX__Z`pcS^%;~8oKx6JYwPcx5_1W#qa~hi8JrX_0c-=-onDkmlNV^ z?_ybyAMZ*=cT@2M@IJ0AGp6ANkA;(`zV8ULoiP`@8#f+&2yQ~A8;{I5=MI7s$gvDn z##Ws%*SyU)oLzUt0xSG$7m{5_-@2eKRf^7Q2To@vUM_zo++P``SgnKa$@iW6S77{x z<~Lhv^In}jJ?$dm`15?jgzw}3vRoX_h@9n8IO*F$#PjE#RR-P1_tyb=c))f<z!i0% zLz-&NWovtEcEae>*h(rM1m|tx6cLl&H?xiY%`G2i-VN1m<`W5hA+dg9!k&P)Iu!&z z9JOR$AK$A6j{?mz$9@OLLLt-|&HcYrkPt5YQPw%)2+f~wuJY&X%~;Vsml#7=PMu18 zFEx<Wt^53<^=mSg3|e;v2jmddgtk9}HR|*PW$U+T77d`|M1OUtNS~EA7gkKAl7vUB z`$H4eLnlDn-IiH3(d5E6-({S;c*PH#JBFRrGrz|L5nHVw`ehBRU*#+{#PtjD(=~rM zq69QaTJ$+;j&VZwg85SvObT(q^lDSHZF5zd<peXOhke?A83b;MCHL#*E(u%@&TsWN z(HD!DQ<l!XO+PL(ZxQIK->rZH{B;#@fNymG2YA{BaDaKf0S>TbbCB>|o1H|YAYZQ^ zIhxGg`8u6!^`*+}8=^XOIrZSlM|ttWoboGvO=zF<nsV?;_wS|Pm7aTQRWYba(igQ# z(D{LU8Cafz(ASxgP%vfDYfIGu80CQ@V5Bb$+xdlxG8U9F_CsEF1w6I>0bmLiguV%- zpdo=!67Z{1a%WimE^2Rp4J{Ws`WQK*-_c;%Ku<#Pdf?sRqwzTQOpV>sX+@VYvew3$ z{Iwa7ZTLAk-5s*qHwi7EYdEZYj)v*}vB?vscnuvw=-2Oa5dk`p#og`0^)BlQDM}RH zNAwC|b-JBVUA@*bCCH+1SfQ=mV2dwwD89Peqq<#Fr9zmIgiKd^3|p`B`EWymIFHv@ zj#0--kS3l8uU;`+6MxFV8U`VN&&pAFRtsA31Op5((6G=Y!h4=m#Tv)y1$16}rZ(-i zk3~@L^kuoaB0J6fIu9*)v&o?reeo?0&RYUgUQcZc=@_<lKwIQ&IWKT<=FNd<Q84DK z(9La?6mCJLJ=Oae&^VRX$+tlWt?6v%^%Z<wsdY8s1!SwE>CG&sy90Uqo9ka_RAt-s zoHMpJG6y#O)6R_Jm$#hfS5Hv*p7-6OnuV{AzF%`?2x-HXbUP6%`EI_@2I9nAOf`BD z2}I6a7(O(4Y5q!b_M<|!8RAw61k<_>L$ky&$ps570>}v%MNm<dnnzJY*nbF^LW9gj zrs;&YUf*;3VcuqzY>W=SXc4$g;bD@n+QOTZRy@SP)jMl?{Y)3$4(>)~f16@M3|zNz zY!N8^6NyBTHXl^8*%kwc)c}H3(2O4&YD6MKtthT_u7J<ayOCyrmDNx*S(G?&uj$*K zEza#kNNC!Bh8HWr3T>f8PLR17?_?B@3<LdJIS3%|00JZ!ASeN%o{Z<Hv=OHYWqkpj zn?;?J(_*F~c$;U4l!kB@r5>pvO#7wA|BphaI7eZYhxuZ&-1H@{ijA#FsULxkv_H^% z33SGc>~tKM8;^13#;A;W2}MRUXco?4SZnd#um~XL3Xw!ll%YXADo8hkvW9vI2%Y`a zH&w#9$?O*jc&$~HGuUY>q_Z*Ri}Ka)#kr@`5q^x(bTA*dxjCB|KiiFyxx<!fLg1z< zp_(b90hb~RT?DFB4gkn`%yKKSWr6E3y$DZfq65vhmSvV}WT`4`{-UN{Mum!`CpD_Y z+zAB~jSE^`fPuDX11`lL_&^YkItf8$F%&=q0)#0nK-5Sh#nPjc!Zip;B1Ni(tz@Q1 zh4r~ciYfCBks1-2z%)tk{()YzJTGRUuC^bVh^g>>+2+5de*jVLUUGN<4fR}4Nge`C zK5ym9Pjx-zF1z@ReGL=gW=A%U-)DCOMrC`zN(0{S{?O5W)X}M*yc0Bs(7zm&4pGm6 zgWTtlp~WjamcSAipc@ZHb733=!a3s<Xo*W-*-NceBFuCH`1ZSVBPpDRcoK=No|d60 zt(GehK-c%TtN7a`{_VW}c1ABUGM?zui5CvLU?gLk*_NT5+N9I=gGs5#s*#BhjVg`M zreDM?h9Zy8Uxl5%`F3uvo%$#Di)7(~O$(ht1lvNBVC(q?DY0_XxQh2ZL66`#K?ceP zBn%eqv$Yy~noVJ)$+<*Cf7w$<m_Q9w4ag6lUopQFld(rSK|Wyo2XM&{l{udThB(5s zy-H%%cItl$OInBSf*Td|^$N~0`Pns1W`m-+BcoqwHAvbyzq|CeWSmiigq+C1aDSP{ zhN)Hf&~rNH;2qyCn8ya2&QFI5wnbM5rlq3D%3VPh5qL{I9kjiMu?D$p5SD^~M2ADR z{aWb{m>Ty4Ol<<DY_eduKlaH{^z<2NmfCguk0#8fT+fkEt_dPm5$>h1&Gw-<_sYN$ zskg~M_Kn-=LDZEE&_Qk|q_6|K-jg5$quXr3jJ9@<z!JH52SBF7>lf8g%Rs+~Sd7{Z zIBXpsnPfchNt#ece<r;5z2UzNm%{GsI%h%Z{8Do(+)JImNnUU{EcP(UjQnTH+c&42 z4^@TC(Xp(snCuP-?K(Y-^QX%D*5t+j)Fo^>@8i44flkSTxUBy3JJ??;R0g1m_5o^0 zTK_o|g;sYb7W-kBCGW9fPZ1`82m7J>F`Jt6q#a$9oR7Q%o9PZhlF(CB&&*N`|Mrqo z>uJ!$S3}iD3qtfecpfVD8!9JiH#OtQ(MwFs-`7;w?!XJH|4pIq7WK{^fb>!)Tn|b7 zHTUN;lR*3B@G`%%%IH@V>^Dkl<O|<xRVBSeXX+t|58e>yhmqG#I8U%NFNukV3PBqv z$@Rz|-0J<rliEHhC4Fe=-OxkaS)JX}!%8o34yw|2=Mpg*b^LMIvyT2~Of^Or2A=u~ z7=lhs`U};6(3ld>#j9nzza9Gi%w5K>uA*1an59Zp)KIrO(bb47gORXSltZkqRD`do z-P2F|srJVGjXMTbxsEqBs?=b@Uv&xIZccb9hq`iktq!Bwz<C$Fz`%VUU9LNIva||& z<uIhGO<L9Q(RP{>y3)XTjRoM&v(y-1==nk_V{ltpN^*s(@`(3(Cvc<S&L;CB@Tkh2 z6N&`1ho%=W5PeOr5a~2zh+<0tWvIMOCU03ZAlr|Svw~gwG@zvayp+tX`BzC5Q1X@0 z3FiEI)s!C4LZN5?)m);eAHS&Ti<m^rSV(F00QW`jgr^O?oDJMYm6}bes<OLcEFnV~ zy%{-Nh`F?)(!0N{lHpJkDDz|>bX1FujqF6pgCD@n#KpJnPY|^$BGdgS+>#MW9UNT7 zL{gzy<fY65QPus-hl=5Q*+s6xPm_iHEZl<aLmg~#ndM0l4ZVcKuTVM=ApA4v%vkEd z@8E8_nhJ*DLYuJg)&{|$8$q-;%q<jB{OA(W%W8>(K+Uqzx5DlO^d7ts52RX1Cnl8D zh(VPQ2@UE#=K+c@(9NuEyv?q8*%S`b<1k$z3$TnuyKPXe4vVJReUS-onB+k1!~jK6 z61z@@P>%x$o=|%nwl1N+fNJwOe<IqLcJ<l3=mNXw($7g>_a-4-0-E^-ma$<sk-^U= ztu2L~tqsN6YDr3HjhgzN0NuneINSXc0jgn}G#IxWsbF;ko99C#lJbCbrf~Y}g673% zSjF5@6zbXEu+8G`Xr+|VUuYOq(8R2xL{VksQk9f-iYfx<(b()0ESdc$1)8g}!%2Ef z5#<=a8wx*oVy0TbvdN3unxHf9KkJylSrhDr+0Mpii4-GC%Eb%Puy6zy48b-p9)--Q z{)OTMaTqwBjs6{AdDb!o+Y{!S)Gx|KAcUw%madn!>#ZAEH!m%lNy%B|pi2CD1%)6d zi7)>>JGIiri9;iD;v}K7U-2cb0#frAN@X&eSUMDGQxDZT6cENP-EtJQj^alzjia__ zeS?{|V8jrSJ-Zr^j#6;Op>0S&A*XCLFew>YSHhvap3Xdn3{s@XxyqNT(BO$OoTF4K z$rEXh;Q%2|4$Xi<wWv}Y6H{`O7u!}Fge30mmiUGYSs_b%%{Jn}CJ#E)hglGK#Y#G$ zP>q4!TQoU>^SU+rfMkFv4_I1KgWyN?dfXnA3xi?%<{<qV%}7SJ9W^@+O>Dp-mML>( zql9t&$;TH1EDz@0@9L*yB~f4X2`K<Aql4v18$ND-ds7k!{LGgbX*idYg>oehHUjNv zZ+imTwU#OV8ogB<8c3}wE$C(2ri#3+(Vz8**?k8CszlKb7^5lyjOmLH)g2*9z<80x z9fq{EVmbHgp5lNdez<sELqu3(lCa;43jV&w%~yu)TgzKi^|*x<ka5O(?bs^D()Zt7 z<{-|>)E#TqTNIW2oj;9dXgEfeq6nXrZlyCewvU#@T}~SFgNDIO2g{n2oK0#TZ%4z7 z09QyqHPnX#8GQv0a|05(w?+|PeiiRqJ^~#gaxd|_&;0Q%j{@ar6uOK2mBI5qaYkWx zA}IYCt{(=n)hpso(lpqbBTgUK+9q}%m`@B@AmpED5fFH*4}YL@^#Qs=51{+p)D2RA z$o;;urNWDg?%5l|cIEoe8A~E+(+e*xeFlwY8uu;?{PtoGFV{J3dP-C8!r8sABAtHb zHS24VKDe^<mqH3}ofi8^kP~;w*(k7gxKov+orD<rBZ8S^2#f||$nSs`EK)kyj->Ed zY0QQm01!FABFDV#NX%M8KuOcXNlAXg=c5XXWBz~={n-U*@p1q{Ev#UX6;`XlW6zjS zp<3(_ftlcD5GX2N8-o&CXb@mp>L5guOUY16sqkU7wM3K5oTY=QH#w6hHmk4H+jqvD zp08ji%eaUrF?-8~E8CH<X;L=pm0i7%i%J49m#@K88ilZIE-pk;F}_FotHBhR_0liq zZidj%hN5<UM-gv$xBm5$v$<=rM?kUqslk8ATI@lq9CY6CTVq%RGWU2Z#t{tGc^4A( zW|)3tDmfd8Xo@46NevfYu3NqbscPO-APgq7bY#QDxzqgDjWFr&yx?T&T_mJXFP(zZ zFvK&B6XSPGVg&1OX(5Df@bf|6(7woTaLk(Zzu7}d$^}gDu{>p|{7e<9WGltTyHTr+ z7@vu)41a}|*@eeu8!F#`L(j(*M!bGV8NzN767#b;iHMr1iO1Q6&XA178qS?T$<Mwr z-et2T3xDi~L@kzJSpc-kyfYtv$VQR|IN=UismNa<TvvW4q!Mg;H;yFMdu7(a@C5}R z^Dpv#7E6ODLfranIvCYY;g5?00)W&{Kq@yHmtn%bJp6SZNeuy{ZN!*FI=0K<NFlnL zrJsxJKAR~!?%vFtd_ChS^@-@W^n<0M&Ov=`)29fAEVJ*YD5_E}Q>EJMpnuFR!I@Kk zw4y;zki<kbBW3XFyJCuJBbA}pJi)5LtRE53gwT_D@adhr&S=1-L7BjjdTbQI8J8y_ zrHWuBA#&@T#Lfc@E#NtcbqS&joV_hm32vS!v5yVdl5)osEv?EFjr{r@U|84wWth<e zj8lL?*$Xf>I{-#h(?1%*03*Qz&{zN%gk1omvi%<o4S>Ps31}SkC?ve=qs<y1%9jrH z7^#<@9S0T1R)EC}mgX_E)0I*o`lX5ber8TX>x@%LYb>XaXDzZ3M9T1i+N(;<9=p<j zyEqV0mpwC<)R@SV<e7-172}c5mPxBtb#WM8rfvU%tVVYSMpC8mzA-%~E${uqc>c#9 zew)(ydyp1UIkF0!6xEN=joyq!p%9mkSo!yF-Cl~d@LxkN&W4(f3c!14d5^SwqmkMN z!PNYjQeqLZ&CT}NLsSJyM5B>ZNhP2w64eEa$TClSv!=~v{iw*P*|ACzC!l13UoqS) zEV0|b*UFGQVJRh53k?Iy2k?DWi6$%egHBn8%wH0C`lFUANJsi)(OJ&w@sLwbPFK_Z zP*}$)GqC8yW#60{dt!{N949AZzGF3>D!{dsRM0k-AJX(hP-9{nLC9vcSj?SMTwm$D zVv#R{mo%~A((-l+JFmTQiTDYR^HP<|)_m}Y-ds2FNmWR&+C%**338g$Z>-=uczg}^ zj0S$?>sSSQUQq0s!~td{@rTAsIRMaS0RaC2Chca-s7trwnX|EgPw2~~7R?Wh<xGRq zy_qC2La&WMJL@yUCj13C4?n%a3%(0uI@Wup?zrPLF2P#mL&Ar|ySTScR;E6naS5Lm z-A4t?0!%+dS27Kt_x6IfC?uRHM-v;q2-wOqSzbGf)U}bdCzFq@^wM*beJ*_j$~QTn z>Y-kX5$RTPv)2{k8-`0D#?>R?tZ|vu)c@LBs^*O@HYCR&)LNv1Eo{i4h%E^jQIAH# zYog(`k}o(S$p^yhIb}x$kTTIoI_||s1*Mc3ib4<hjuyO_zck(GdP+&S(3{m;4^M>V zfdD$<sG$wD*94;F63u*oWr^w^lA_yW)5z&a0@1Tzkv@^0sdk${*m#WaN3u5dq4GxA z&W&`s04L!@qWWBe&FMNhV{Qf6#U-e&UYLNliAjl8@|TU5%m=u1d(dReQwr!`S!}Hc z1qoay4{9=yP?k~5OxD_4qg@&8XXc}MX%L9k2$YcJxy(#FkT-(yK8QaiVF@B|l|x3c zGBa{9y@{o|k$xXQKp{gdzK;~f5=1l_j1(deN3gmO+)zGqmOsu>oI10QU(HdFOQB;e z9<h*~I?In;v5=CFLj1oChcgpRO+~jRGZXhrMTt15+{)J^kfFR2h?4h0M2I+W+;EEZ z$uH+PT>LP`iY0@POq-SV5%Rs><L*PEA9n8@I<))=^|}OoReA(@7-o>a)RYBIE{PI& zw3BO|Rs*%k>VVXus^OWXgz}i1rla2>H~Ky5u3ObWg;>c2yu&X&{2!K2H)pRU`~tqT zmKoK@j+n7?7fu^%Uth)E4_BA|{I;ln?a)&YN?%?$IBWCf0XDufT-MUHEZnFUrB)A{ z^>k&`IAHG*;r2V--8t}jIlBbhJfBrK+^in1{+T2RFC<AtnE6-c&H`A*3f3j{=LL~! z_*H*!@4PVY`29OOmTsLXhr^JV{9mtsTt_8TR8H`TW8wYZie5@|+?4Y5d{d@5v~3+O zSIrtS{p{ab7zd7|BD|DXo(zzhiVy9cfY<*>*Z=nVf8vdJ=eEu8ciJ}JF6<FX{sDqo z1k5~7Bxr>TvW+jaUrg&(&_pQiI^y)Of~`ZH%D^XFMZ+bPl+`27`%;{tPMf?F>GMur zGgi<Mn<YXf&h35;KCYAloAYz_j*DnrvBQBHV_)N+9bqgH4E}PtTGvPKWk{@*6ca8z z>bhpzREjkAL2Q)~0_wgYDJ|pRyg`R7q`d@ZdV*H`Db+c@+#6=5jVnz@A&$Y61KQ)E zItv7JJ=HdaEg8A7PbOe}QM;5Ky*6{?HC9})et*hZd>-;CWo4`M19rj1`~2Mn$43Y3 zf_tp2><)$}(e$-&1<E2qYuBuFpI#Rwt>Ja!X8q`d0QSNqY;r$k790IaRCb)flq!xy zIN#m@=h6)L=584_FE2(6C4GuynD<zT<m^T&uzR#y*bzEPA$t{UOw^(Nl{cWW_Q>^B z_1sIZN&Yc$XWbNz@-EX$*9?W*=#p<%`@WtOTnpY^Avdm7`SScqS#q6_HwVLb;#Qn- z$5D|}%J76!M#|6z`m)Sy_l*8vywM-ahaQzs$O%KT23IG^0{uP)+4-haz%vou+UUL@ zg2y0D@lWJK7J>dd0^Ar1q?yZ$Fs0q~6{Zos;Gd#ku&xIXP%e)9zo{^3p8~Gx=L5Vn zS0`<F4H@`GX0i`H`eSZQTMFnk9}j`(g*<&kB&F^D2wzk${VONU?dkP<ZEpM_kQfG| z^u(T7uBV0}w=)>e(e1INdZ7PuE%(C9h1i#|K71LznCpOlPPi}GYpq$-UyEHK+u+$@ z@bo};cQE4!r&k?tJv}|M<>t@;L>$g=nja@)7Pt<+XfS;JSV^LH{8cxRqg35FZr6aE zEUGg$>fLI42Jy$HFk9&3`XYtKUE#x%1`0$Ry?nGzX`Um=|HT0()`ERwlMv66H_56o zB0-W#%z-+&h?{$btu@m-DKn~ILn?3Cx<DG#(gvFdrk)G?74*LN#kJoeM`Pu2T@}+m zwwJeU@!HdqeJ#XZ^jG);Ht7!+$};Z%c-d+Ud-dLd{dITWa3%rqdhJ&?=a)kS*M4;u z?j4n52V3PUjiUQ#nl!Ue*|Ud^Of42xh#E1dB72ztR@y51uxiW}!5HBPQll|rIs`9f z2g+{6#Zn!;Xa}c2+V%-I&-Ap(Mjx_yVy#g@)~o{PJ{N6fbH(cDqyoEupINRYH*b33 z{o7vaL(JS%5m!j8$5|l!x`xKM0zycrbEK9NR#Py>Pc_8hV-|_52f@Ghy%aFfe;uHk z{UCy>RGxM50ZDyRr+FDyo<(F}#yE8cu?W0r&SUI#EbZKUMGFIgP2ajlXW6a}W(Fh9 z7k==-DG?R%^negvl?!Ve4cm1f8-Z~DVjn><5uuMX7aUy{B915z&QH+ZYJgqH{8ZB! z65E(&nD<OAj~dGYi#`<KpGO+yZ`H4w^YKDkvmAE~ni2)4;ApSj9LY%&PK2U<K@wd! z-{vupdB&2HS7j9&B0(zfLz0+GF_MkPvAU0pXFlSwb4fAP&AZuc5yi0uol?I)V;b+% zV9BSV5_}0c?4M2KwQg*t#CT*mPlF`mZmMN^7dL$OHVE{sm_bLV^A5~923DOQ^wo;^ zR#}qOv}7Tj$6h9K&t7wcHuKH&HdJoo>3mNQuiWC|5xKOc3j$KuQ?MRV*!ohlmoMu% z0>*(S86w?OGGIXjT-@<uMg;hskwz#to+3C95+tE1Py;eB5U#|$2qN!{Fu`&n+QkJh z5De!^XC<(#LgxO>*8<fE$nA+a>Y{z8sfCGVr8mJf3LXlP0>7OHAc;+!NoSnfNfK<2 zvd4<T;0676MK8#DND{P<vvDNhE#Cu4H>yVj48ydlo(4D(WPp!|E`SMjTIF6hhY6K~ z-AN9eJ-TOITZJ&Ep*Xh7u(Rxp_23l2rO$P0m1}L%m*mSSK)_PuUZ-4NWi0-xpbQ0P z$q+jZ&f0V-b#IMKYGGH`5>-^`?**a3Vvn-A8$}qzl3n-+JR$UgZlta88CqPxWN2Fn z?}L8+ULe+K__81=_mve<=KDIjPL9$SD~YKMNjc0Pq_o2hzXfO4{GP=={hYRIUL3%s zFt;lxdi)ciNnf{WRoTKJJ+U$-b$yKdt*dq+T+Uz#b{rR9o>ov`7jrx&BqL{X#bso~ zGFs`27rggk%b2kJtPd6%(d6(JS^^dP^OdbIw5V#C8k<(yF3Fz3v*dW%20W|vz164r zn^5&1B0>FC7}R(VW#6_-HV;Ufyb@a5t-B|a_)3@o1?By%W=#GVC+{)qFe%*)?{I)Y z!U8b#4gdxp(Hb>bmT6IoDU*qw+x@1yfC+I22Dcz@?$;oOdPh5rAtZ-ZqcspqF(iV0 zyXlLgR2UwQ&_>7wNm_iDZE15?kBCh1v4+7|hD^@Il|=9e{G28}MG8?QqEE4GH|lR< z8*GdIxU9@Lp0m+AecY}Id@wj<<_(<2t}?SRZmzeFeKa%;{`RgiK}C%D3k6PJf1-%y zj;F-#lfXtg$%4ZyV<O_-zkrx@$zmah>ob*u?xaH_;%0IPM9aXTxaa3Wh3Y=BnHi;@ z(ooR~FZ!<Hp*X0_BnD|m6=#-!sI>9f3b)_!ia}W<#hE07iR&NN00wpua7|LAV*mpx zR&0Sz)pp?s4V8t%6p)cMg}BlyknCd70Rz5x7+hUa!w&`nzT$3NZK?3=ck(k^za08@ z&0LWVc`IF4p!PkGltvl8tC<Vcx!o-t=fNjCVgiV{ny{o-?O=%_gnr_y-|WsYw=3Kj zOuk3vqD&Eda{eb?enKuK(5$m_eeKb3T+MmsCm(%*g48{iv#B{#IBrJdx*TW>2fg@i z9&h>YBL_R~*MY2YlMP(Z3AEGg{9PA>%Bjvg_rEusOL0;nc!@qQnhmM6b1HhvIz-3F z-5W4{hQ1o%eXhtBTy2`u;)upRYkcJC7?fYcA-6as65N_A7W~dF;(UL`CFAVDL_x<` z#Jjz-N0^qnYXVJG$nD$uwS>D98x0d%CGP6#I^ILpxdA*+Iai=lNi}y9J}Nq<BEai$ z5tB22_py{S;*z9^BLjxBNOX5c?emVG(bEC<U(B^lyng&C2kewjL?!4T<BXu^M74mZ zpX$&BuBPhuQ{T;`57MtxNUVq~keu9Q+WM;9L-0waHy%H=bRz-_Fgqb01AWXtfF?9y z4{QuOQXQP_kWE~Kf*RK!V?-Jf-duDn7Xky!l^z#y3Ypkxw1<s9yoh#6-uh6~9@?@N zmQs1C5T(C{YRkJAL(n_vDVv&#wW4>Q70!GnPFcAdCM$ki{P5xM7s)3xPN5&iZa`(= z_V&z{^pz!I<M#cbIxn>zvCwy)%5ULxpD9tEu=gHqChFXZTuxhCF3ujpHb>8kN%-*D z4?Vhxk5s`~fU*TQcgcd*`rEg5_WEv$7Tjh=(rpXE#r6(!O;KwzEW@^%(e!uqtUESU zbE9u8F?Ae2_eAoId~x+z>ji<$_0YD&7wC3O<f2eN|1>^k8=MdN2P+Vvj<{s0>e(5G zEP>lUYB6IKS5@~rzp|E=LlIZ=jnip68ypgkGO7949KeF4ust<=$#Nm+l|QburUsE$ ztQmbbpZF_nshA7G%p<M=;t!lKM8W|rR&w%*RYvm|#DAd(&gd+4j7>%wB$FVTF0iLE zu|1lG$jA^?x)BblLSJN=pu)rt7t4+N^G3g{%TWjw4>w1tMh>rXlH40NR|9(Cyy?qV z)L<))ldcjhcgYeo{99&<Xm>I-j0nUfN<q#Y11lKP;I2Ys2QMzO$U7c6VjyMDAA;{2 z#?Kxw#i3~*vSWXu_Nl2!u`>#^d*GbW#)GLzVV4A?6_m-VdPL{svO@sFot_jHNkBXR zus|f|<gD@s<1tPiCUD42BCX^?Xk=Ct>Z}wW;&8iyTUO=Sa~29*AICiz+J{ABa@mz0 zUM6ggGNWSI@n6P==A`;<56+Zj9Z0vLqgX0`<Ub4lDwdN^BlsN_&rJDcG$$iWRrr^w zynG8jfUr@1In4eCAy<%xB-p2%+}?%Ky<`eS3bxrXU~DAxtJ(QH+)XbI@BLAWrNSES zy<>{lt9oHxh%wt)Es>gJZ1AWxSeNIkO#}Jo!X|hA=GL*97@^|@naLo(o5nCdH~la| zD9_DPGTQU_Y7#4~@4wuj{$*LbWwc45{V)3riLjrA6{~1}MvVIjcB|BA8eW!#U{fDb z*UiMAjO^c~hA?Xei#PHLdEefgHFkz*?`n7D>nT7p1gtvWe_OhfL3cr`=$QI)HT~cc z$#O~C`}l<DcX^9f_iZ|&7~Hr9ME^mC)ZvoQXc7rG!Uo4-+-c9VE&um&%J6cdX8C;G z2K&7xB*G<AcDLy%))ITj=UqSJOZ_ve)Afb%bOB%+7<UBLQqs*%|E<qAe?bJd_$jfA z6$x)37e9!>t669<y9Iu6Ab6v5D7dELOOou2z3ZTlNvB0&_VVhfuIA5;wW9C+wo~WL zVSjeka%_B-x?F=+kM7$`IY@BJ3C^@e87k(adOWXZof+xW)4p0Y=zU0jCBMqNGMHG{ zBzC$fXY{`q66A|0jrXutv&6o6hRvU@A8C^^SKdJNMHOgpD&Y*fQ68yk$5lCb30Ypx zbWd6F!5>uPMLiZ!T4TM>HgAIVw!Pl!^p+v$Bh}oO7@)PG<40|qc|AX^B%(udzxG-Z zVl^9=8!OR1{?)6oT#@!*q&~WPQNwGNjf!sT_p)fm2OY4)jcl$&*D9fwBTYD?p}W-W zDj`1xJ%=gSrSaKrXp6M+^}Yf27KCEp62!1hK4n;4yzSI(6A`tiP_*_NSWEU@pc*eh z^Mit>ck<7{F#6h{dn`KUYtuLz?TI0EYlqD^k#4QXhXlO`hQ&^)oTTwyEDAc!?VF|g z)!^Y2CtvzlCpxQzZ!Wag$qyl*8-Po5xA~7tvo(`VIrxuDLq>@O{f|qdelcW|@hB?T zoz<-?k7YRgOGqy!toHwTM;0yCte`>0Wd?C|VUM{YQx`)meurZ4Bc{mL9H^bi^IcCd zhj>q{;fwd4hP4Yw2gbPYpf;-}LG|q$c@6O%F1$D^33kR$R8RICN)9?dW4vwD!Qw9e zJl?@N_y?@c{B?PVz5?zF%rhN?E8wtjC}Hdlrb@ZtaG$2|5D_YWKV7Su7L+YOnwEl9 zvkPP~s$yVDKrG9|!ed^Fy=v&kP*VUe%Qh3_%q)U2>r+3-AB9re?|_oOvspC_p~VtL z$h0}$1H^8n0WlFkY*&vHyo@*ge5ZfWGx1%bWNk8St@VcM?&%T?tBd20L^;jV@~x>@ z*S%-m)Gyo)g7h7BZ44DGF$|FusaXtE_F|aqO_*$Fh$#bzsQ`$nAelif*On~REN{uo zr=&mB!eC<<DrB>P&U9mV&Q&;MW8o@fW063M23jo8;(?Y3v}6^s$yD8<eEDp{`1p3J zI*)o1k2q;?JQ`7OT@FczHEKx=5%6qwQE(lg;Q?)pO%yyEXgWZ{1KMh1qx4sKrb-v< zGaCx|@_&*>(u%D_Q(aZILcdzvL}&#n>ynrm5W`qn_o&T4k7Njmfv!{|4hMySrWFmU zD873=SU2dUEqoBdNy<w=^wEGBLsTxnQ|KZm3Lr#UE8iSQmqBDR);9?&b3hcVjH+Zh zf=A)mkVN0x<ByXV!bkFt3ge)aL>bmd`7Kh)!_r&AKr-vVgQ&k--q{9?PQ!;aUm<?; zEN%BLbz(@A<d?peE9w?*H0(ZyoyQ4rBjD@<*~`^gIkbkr?Am+<m#cY;T*{LhOD)SX z^|{|<_1Gr^(W6s2N0!(-FiAk)nErEXLO0<c9*QjwdV5S<edEB}n>Y1E?ORxIIrj>h zGvaI+`2y1d;u(!~(``tDt8yo@h&u!r>>0W)LQX01k4A;agHvl;(BN=FYEUz|ozeQt zEyyEM1Xv;e29cE?AS6)m7lF9ppi|^3pmr7n2%2O+(Zj(J20u|ZuM)fl`;wJR(MY~h z(S<o4Mv#Jac{QTxW!CSjixG!1djpOqdYVz72Q%1!4<9U)(Hpb8S11nV#taFHPIp{- zxaJ2lQZ|K!>`(4cebK(Hm60LOb_mmY9t!YldkM#_TcjYgiv>ZVD5ooIlSe0rd~tRp z_}UL{yLtEB)4h9O&)m0?heoqxIM~fFA`q+Ie8DxP3v!`6W$t6i95{$C-4VzVSI32x zbezXnx-2qFaL<~qftqI$q0-;ZoI#H*HH}O>df_zO#l|rzcq$>WB;N+OoXdx`Pd+gk zr?6G~bLKvup&}@~rQoPg(5hjvd^a~Y{)r5(L68)t?I>uRj4OnYCQtrb_9x*Bq?`n! z*Od>t{vV@0+cB}iG&Y3X>n7<aJ5_b!%rUk-c}Q`q+lU~O!J&%n04RAY1+$<Tx4ryl zs8fkNY>Wz|jPP<uEyeG1A>~-(p?pn%&xt_S4>BAVX!U%5RP^0y_o(QU`dRw(3ks|j z_(_Iep~8SL_vn;6@+hg%e)iln3oNAJ&BSI7;nBsg_QYL6fd+d-gVq|nMv>p`Z|>`} z)s60zLTvXHUL*~v`j}jHA{R6hwcjjO^=Rk(-dg$51QpzKdL)d;PrDM2B%_}Llsf&3 zuiy{sAL^0N{}lF>ycyJ=KakB0L-^N6?tZI-zds(qj<R4n3X#Bo42EwcndLC<^sR{O z&wHxkDSOq3gq*xf`1;unK76D|d@y{)C!Blj4Zo~zK;b&=Px@y&$Dn;hx1!HGSkJP& zbDvt#gOb;uk<$2AoS1S7A6SQ+m`ZmH8WA5@=R_g>X_&3$Gwe(|<eTwCi0O;!v*lWs z^r*5U3Xri>5V|wY00rkG;1@C3+Fe*t_tk`tNnnBf#=)n!E%S+cunf~8T`ydq5*BYf zG}Us7UVK#Tfq5p98h1W0#Mh6Hx;Xx4SPu+~fg$6CIS#bid6g}d#7G8eo+5!2a`i;X z=L*qQdtSOmOOq-6BNm&s{!*)sNwpKZ<y&@#RQG$SwXd_R)V_m_Y`QlF;ba-sOg2kW z2KXM^N49b+le7D*=g_U3XzTUhQl`2C2H|uW)+Ba&M;<Cz815o(lPC#u$0hkVQvI&o zgDA9eO-MPPgFQ;1GcDJpKxI!ob3Vh7xbt*EMcU4Vqd>py@JI)fH^PD=aV0RDtz05c z2cQwSUw1%7tX1x$iR7}cpyzf|aGzwmBO<ljPJS9}7veq_FuciE=05S0LPYxDbyo=A zeBVB!>PKBSLf~G6!l^^pEm_Ii#u@zzF^JkZ3t;bTbqLPd41IKv@!ZuTfyL8Ru%RjG z20AsW+hbxdi2Qe?p+SMq@`&>w-z!Db9cE2!P?B&n(fNWZ88ufs_Yj<NYi4)!s3VZp z0}1AKvd(G+<Bw%)79_Fheu)y~jn|&-t$?eiDR(G8d6y2dt&aN>_y$%bD`3+J9lCi@ zb@nEhlBs{6he7}^%&2_v@`VmAfM3+F6+nhig&Zdjf;h#83uct_{C>}mvFL04404K( z5X>m~cZ{<*Ip!aDhX^f_QP8R9ON2zRvVSeCPo$QiW#4xLmz9bt;_`q5d*YG3!XkoM z`ErL*8fErbCD9)|B$$AYH4+=m&Qa#u&HC%JvQgs;E#xy)&a-4O1mqe~W|eS`Au*i1 zhqZG;PUMxz*9pxXZiWS7@T{J8O2*-gPfaom3p~L{(Sq#9cV?hm{Rxm8i>!I%M<fX} zt-N|&0o2=6US9)qbbh-+5bmQ6YemFx{h0iOys%xC4oWBj?JeH$b4N9!K)?M}wi(;O zCTkYeEl~=?m5|XrYAtNCPThCso{uoei9EU+3Ht+60#h%5avi?y7rWd*91Ro@-b8DI z&oDf`A2{ctO?FD5&H<~X3+i-wN^@e`2vEa;<>HCJa?*&}08FL6Y?syA^SU72?1z3X z`1a|DaU)Q)1KIIITVqQ}6S7Rh+FRqpTH~jjPMV0fMtZu|UH)hD?tKm1R4sGsERByS zfMt><lBI$5RVVH_?-DsB!<BG@tl?73SGg!%!qTR=hx(<+Y@_quN9Ki<=5lf#t@r&_ z@OC=Is!Mq{gVG}4ZSS1&da~dLlbqa}-NzBABU6?kRA~Ti+6!S$VC=$Wp)HCsfA_uz zPwM)Cx<kZHkb|!9^`GR<1Lcc!weR@h&>gT~g9~yt>0*`Iilr7f1V_nm2#QQupOMTB zc+$L$oeEyqn7O+tG<j0B4%AJ;`h#lk`?miiAMY!drKn{gK|y!8Lxe2Iog|4>N-35S z0R}`d{u+=#GRNXc(>8J{=+yaZ0Es7+dtZGw5HOI`4;aASS2jp2--q0Olg7z2xCsWE zfR8TeBB5fDo`K&_-dkzgtuT(#a-x4bjk}(VGi6DB$bP&>#S3&Fc{Cqsz}fK)&mv+C zyc>Qz7;eCM`a6;hbRT{+A9nubyG=3ZmbtZ0AHd_h@o>R4&P-UwWgTNENzj?rZI*eA z3acd)YbZ$2N!f0e*&k$MJUnurCjBOI1m%@e8LPr6#wyw^TEv}}x`HO2N@s_~3dTcH z@fZ?Rz>2CH9QhnNB|3r)4S}i8jH0eTkWSJ?W9*vPKiDrEhJ*mF5nE3QQzyPcU}omT ztn3UrD6BzZ2Rkr{%n8kN#)nfOLOdv(MhGD2aycOc3xo)Zk@3a_Hi)edJKDulA`X85 zEjAaxjuBu-3DzFT<sm#IC_CH~r=lvDLBy-a(lt?+l>lLJ10OJi1{g}=$q8Ar+6g4_ z)Hb3Sg9~O5_iD6oP1NIOPA+nHYDbFyDd&9T>#F(fRZjXRC9GK$rBKq726-E|>CDiS zA*`moY+sNJ-)BPBbJf6#ty7RyZ+8lk90fWO@5KTmR{C#y^4YE_9fp3EZ)8Jg+a4v} zeQ}ALrcLP4aGkf4bL|P{M|}3i9c_w!b_Nd%izaVmst@<$$>|s0MoTX6!&dEd(^lU{ zFTo?tk80yf0usFiiiqh3%lo)5Hev$o%4`=C2q>d3;fGX<M`0t7=kO^%nsFo0PLS2z z?OO8$LHV-Ri51zzv}vrR>|Va_O#TR{_pWemxd4ALUJ}0XLVXL)$uGpnP`&u;_No(L zw|OoMpPX**-mS*m+>Kj%2R!bLZ?C$vpm^e)Mcxut{b!J|`(HsuR|APdAj-&y)_ij^ zXeOAyb%rxw)AjLRh2d`goE=1gbY;J59#ay>Bk6<!=|%aLpBHj+`fpBMINr1jlroxr z{XW=VIBD(bq;vJ&g<za>xxc=!(CNwV?q!(l94|WAKEZDK$ce7bg}hu^?mXTe9)B7? zZ7S}#v&^?#U<wi63Xa(K54ib#uzHZANr-m6`?hbxYsEpq*Tf-w`8C}ecC#s~y3L@@ zGUj;6#)A*o74o-gU?-FYc<Ou1^?RP+@rfYq&KiD}_&UCoLPhU)dzY!WEL?~3KfDV| zsokIgLOJwUDRtlE+wwI-zMcp<!Y5&9oMW3nM$ryl4o-Xb`n7jw^#JwaE?4h*6;|KL zmH%cV4V(knNT&hm%U;LK!o2ut9cv@!Gfl2q&svB-Th$B)o3>iJ7<0ImXL*7C^WGX` zC)TRGT=o<+UlWg+R3^x}spQ}I;dj;1D*MLF=*k3~!R1BemmO_PP0fWTn^hJE^uT@~ ztVI_cNn&_F&Bf-NlL@1}3;*=T;@*Kp+Yj^0hZ955(%GIJ#|yu{;FsD@y?e)*wrNy9 zhO9E&!*$GA?>vjdL_mQ`iDiA1SGK2TuV)IDiZ8zcaEJrb!K^udF(7%w!I2`{{}#z{ zo{OKKv)FSKI{SKNNwWPPp|3a~^rgb^-=Qzm!fNNd;Ul_?j(LnUISCb=HHBr>q|Z9M z0s^bVNW`IKaJp)ca%y{S3UN}ZYP-aR4A;mt4K01s_A5M)d`c1eh3}xDGI)}l5Y{4? z67gK*>#UOWlSktbNJz+|OCQLCJCZt~q1C#fp>jInN=^?RY1DRD4#tBFoDgjuo-3Jc z<ESTOaajn}(XbU&3wx6(I2z--!*k=48Jc$k*7yY<qvPXBgiFiEXs@N18@>M6ERPo# z$ewX6A1GnzF3C2a=!Sx{ocaw#Zq}yNy<b!viBFcmiVF?+1qCZJDh(J=@K1*Ku2X!n z0Js-`--RkOl<QUujRIBI5iX<(i|hm6L21=jO2Os*o=R5ShC8%Ho9JB=v<FO8>%LO5 zpLCDX@32%ZPou3#3{&5gReZKP;}Wg}YNi$|&v6QW1Y*#g&4W_)_^H};m~1ltWYiF> zY(u_VGp<p&6bNq^EivGW<p7K@-{S!1@DSn^EHVzhs8m?t7km*0HjEyF{KxzqaMU`w zmznP~7i}XNB)cDQMu<{;&Y6E!JP-}8m@3zKbuWXI8k~0%hefx7K0}u%8)qOfUmv8H zEMT67vy`s;BAO=1bbH{~F8U@Ip8*p_GL7@OWQi4EzB{=TO0_)9aI8WF{i*k*Gg|TC zzUt%rn?1S)aKPgVZ8+J9^_P-03=bcj%Y@jK+aa-^+(V<sqwB+;kC7y(Jf1TqhZR)3 z#UHlqNoUqokd-sq{oZaW#)`WJ&ewH7>!IkpCp`1hONZu?;X;4C_5LbzHBRPgTKZGB z+vN2j(}i)j{494p>{*xavDO2kiPWpBm$96(B;HNJ@j9*E2ZCuzPS)%XG6{w^?9?p8 zK0O`90@uQaffC)M)=W*z!~diD%hH$O{GAi&n<NCc<g;-(W)@B|(Ph|r<^p|+?@`>m z5cM%<<BTZ(iP|>C5H!cU{yPHJ9JBlH2&xr!F?FZ#&`KnXK6T$f%{ZfnNau=wBfNNQ zT;!}f82yjzGZTch;m3bh6PRVZ&pQJ{1!nE`6$HMCs&fVU+~nimg}`SSk^Wr>WNLSu z?w={HL)X)vvP2Wm2Ola)ILZI_hk+3=+?4Ntq5rpKkeKZ!HB^HC{~-T!5n%Me*#Bb@ z{~L)V8&<>V|G%ztADP#+I>hMzg$Or!HNyRK-S0u^Cw*eU^l-d&u>aAqC+=&k8Kvpd zNGg{={pV`^rwE$24(h*&+$EMDp!}N%g0~L*zlo$JmWTb9h@6sSL#n5&<?c<pivM79 zN2pt<$Codb{O5$I{>WewO5T+e4lM5yZX+&~jIQ4Qs;UC-_2J5wqg2;ov2J^oU?wK} zdG~Up-(+w;F-=bW%^6!kEB)O44{EbEn0=nGts8O*XUv=fR<*taPWfgyr(`FheXPeV z4q|1~crolA`(hqfzA%1qA(^Du$;!Ib05@Wb6fX7bh?NyaF{yiz3A=G__?j9kx)mtm zn_2R^i<{Z2BCrQGwNOI;Eq(}DoQB!2fIafJ46oKTf~xc-f~xR2fhvs)Nr}<6u(h~r zgPV#^X%SQiyJ(%!4`J)wR51wzDC<y{3a_BV;2p5iC^aNZdYlr}i9%6GQ8-DsR4PRt z^<1MwNt%dAG%2PJV>Dg#V`G7&_+p2IoLGvP`LP@Z_@w<QGz$vvrWH(?E&3SoWG=`* z(l=#`crsfU2V~<pHwqNqXzni_UKHLYr`DY7J;^vSc@|CQ@QO+Me}{~y{|tYhO5aeN zc(7SgcxO+w>JE~PFW7O$@lI_ooC!>AEYt{0tvKsq-%7m&0aarv%CcjQT{`IM*z9_| zttU)MxMuy(%W3-|;>*C%xshlS`<$gg&0VE~o~R_@rnsE(=Je?GQw{LvVY)d>P<_IF z=Wr|Jx<o!vMX-zV4Edpx|9>M*gjaXve-oS<ek`@JU{rf&N#7hkFDdLYMqK#%y`AEq z5=T>WBW{SW6RwC5s1}59mk2{UO9y$mfF`!;TO@|L`9QgK=>1T*bfa0>VHd>)?!{L{ z2tFj**<<CI7N3NR?|RP6oeZBh(-$q35k{;-u}qGOo?|2X3Fi9*)$kH7>IasMC6EcB z4j{SXltt+E)gw^7K_l4f2UACi`Rw*j>s9_T>HdrP9qwPu1z!3G_smzc%lf8RCJf|A zSV0`=9dNQ<iojUrLX*HuZ5bF8V|WDzHumdCOR~1Jyq)?y|J(qe<}(5kbn#(Xy(Vm! z7T(V7xX*3~_VuIRl@CGwOa--|S6uuQG*er{>b5%<Cw>>m1Dq53;I!{G)~Aa|%WrMW z1IYM}hST%NIeB`e)Jn(WDn2*pT@_OeKOV=bTpPe@jI(mN`5-8!y3~r|k)mhvn!Cv9 zK**GAR!|E;F=l%e_P@XWR2n<xZkk$&Z$g7B-sAR$Tyz#I+9TmrasWkRXhA##k#O(~ z^pIl`Zj9m8V`CpkA2{wbNT#me8#6FPW*X{&63oxr<!M+5nt@I@vJ)J-fE3KHp%xSv zmNhX2&qpR=u>LG_lY)?CX2y~d3nn$0XQu4e{!{pRM+ba3!WI#OcmwazuYDZ7KZ1`2 z)vnzXtsjawnfbHzc^9_hwE`=iBW$)Air0%vdcew`eL4YeS*7^-l>BWOkWtnJDqO@2 zg67HGMp>sKW{Mm2NJjFbnxUprF={FlR8E$lXt!jjBUb6oH#anXzmgviD#Ie;nY+Sd zZjy)cG?{F?IZiS&`UTc><~~@2%x7fLBIO;k8$Ys6lGzxh`;3z%*C?zKOE?-Mr0>O} zb{EtX2l8lC{H|(3ry>MYiXP1bu8(QAny6(li1~LHYLoY=&!ao{XuSCp$oPk8b7tR> zqh^uOmXG=9K?Cyl-bV6nRQ%dK6s~vHIDnNe11qn6@@uc82UgyNX4hW)30S!vOSvPk z^4<r9r@t$|LH*w=KSlsnUgn30{mZVXL4Mk9AiD>s5R9K4VvJ9jo0=o=$q8n>!kT*R zTi_7{ESV^^w1@1arv-4Nv%Gw2k_Ffr4Dc!|!if42BR`J}g|EN-ahoM_D&p(5n%^_t zhA-acDy-lzH1V&0Zkt722@?aO$^0&Y)TCkzt)QN5!K2f+pxwb1sAyydL_fg|C#9<$ zBv}aji%jwQ{s@X68ajNJ!@7Rf&U*|Z)+|A7OqRzH3QD!}Ddm6mX#}2N^B|n)*%%lc z+8^h4M_75R8#JDOmweTvno@E;kN*DaeusX3I;oiThVtv9E+oBX^p9g_wZbF;BoD6D zWxI6?%XVPe!LWRTrH|V?z<v0-qY6yB+!wE66_*j1cGW-AMor#{H(}i%jZgo}|KERY zNjcktgsCu`8!S;qA;>bB)y*DTF>Q~m8zZ{Gta@Po>tBi=c3aCrCw$%M+Ppo^T+*z) zt7J|l<~vVq3PHr-LO_H_XiHU-9P8kLTOO^w*<p}?ln4I0{OUN}aCv!qpQ0wMpqH7^ ztub{qMt)EDM&5{?N2Ba@zm)!(MteX}0%_)f?)u}3Si<`tbJ9LaSkyj3M4?#f7K(1G z#ePjTENbmJ8IL}6#}bj-R0WCzgk6MdM+yQAluKD_`cgs$!X6lD!G!2%(c(xD^JC`B zv7D=>R28L6GpfzT9#3&UHOHGl@c1RAXdL(=j}Uq9Uiyh+zepJqVnJlD97DR`%*-0q zMK6ScitD8OqLESGXguNrS{we-vWjbju%eM$v}n8;Ng5k|=)wxM$f)M9B1K!iapkC? z&>}@v^%D}KVBT@%O4C5t6AH0XBv2|qsFM6YMj%ubDF_@<+~Z>@cKqW*DfIl~ea<f< zO}r%3(CE#D6pfQy?D~?kBGsNp9vqP{3IZx*BzMiXZfYOf7*c<8ez7E>LcX>YUq<wD ze!0AvJG3K8BheQ*IKTSLUw%&2zqr2Iu5|sheKC9(@_!h63!u81rCk_;1t++>JHg%E z-QC??gS)#2PjGh<+&#DjC&As}E|PP;?>+y2Zq=<~nCYH=p6NBS)~>yJk9cF}A)dJS z@U^_w-XnDfPEs63AmR%EAEg!nXo?j<`e09AP~91ty5ehnKY4+M9m1j#R51V_MP0y0 z(ZjobKmktB^bZBaI!48%gRl?Lf-jNz<GV)nl>aA)bEvS-=PBRM1^}cy<o^jmk}d3G zSoGKvehmAg0BZq*l21n;c+7l($Lty+5^(|JJ)g}#6!IMm8l}d}K89=<%%=rqCF}K` zLq*<fX|u;m+1VD_VY=EDo;ey3n&5em8WEIcf+^uYo}e%i%n5`<E-83Eh&pLSNE8>h zg!9az5JRe4P=64eP>7H)g>4CMQ9UGvv|lb4K^68SBW4ZXCf-U>m+gBZ6zbC@+p<dx z?^q-jS&c(-tPsJah!}#^7V7JK|KT<{+*^|Pg17+55tnGI3)zuhQbex?jrc??+#_Fv zM*=wkV=yFqj~+BPToX^PuHjCI_V=NZ7(_aG4{0c-TlBE8k&GHt1})tPQWmNUm2r=Z z+8`iJA!QK(60xjWSSBsqJW>`t3srr(LDJkbxil<$-yVYQNV-ST94Li!6h|L9G9bk# z%`s6(!*cbRp#f4^(wres$K6+g2}s|Q<`RH9-o8B?Kw3;%sHKpP;_c(Z`={hb_(x(# z$!=H_KH{D!j*j5<#Phy*lkERPDx4{bh6d`qE*hqKX$w__21If@VtG8Zb`0kasELf< z$?HMo^3a_z!Um+oGdWUJZ_DMPyQk0fOAV#hBrO<H$is4v&6tvz$o!!CU8Y<lif5+V zfc%mC0M&JhY9=uDj$eK#Jze<+Q>jE0=U8MF=D2aN&4`sHQ5YqLwGgCu_KJKt5To`z zDJmN6otrfEZFxwdMbbvIg(aBG3@O`0Ga@RkF()E3ooq?waZXi&#A%3E!fc37{Ai&g zmCGwl6-1{cK}D-2A*sniPd1rfoXkW{XWcI(MIF&oytAtyG;(HD8?R)d2xy`J4Vj|S z$WIn2391=NBC-^*O{I%EN-9$ae6k``&*b>TkwOP5Ps`-wj~ofeN|7Q`5|U&}Ey*1` zQc_silF(G5<YYxfAE|Vt^oYrd;pB>glk2=_`$$IzTe8P4YEfC}pBm07m_W`+6g;3c zVU~jl>%wK;k01&G3*o3NP(p^dJ`z##BZOci7U#<n5=##U=RYZ+>Pe>tvekxHkfQ`% z6e@afz5z+<^51|Gn`ov0FkvY34M-k>kpch}h(|{NU=6CCIskNxsGtRaB+4F^n(wKt zM}-vXTB2b`Xz8T|3yG6x8KebgiBamiXdz-EW$JopA!MUu#*ph#S*Sk_69XCDN2c;I zrKz$Z(nBC?80w>CffX!#mqg1d%{luHrGB1vCpuEPZkcvhCQ5qDsXm^Wnszv_KAD-0 zmWgIUXrio))Otc`qM@vh7TAHXFffsp8vq6(*PpxrCF?F|cSxltf$o`kgOZ}jN)VS% z24_FTqoO64JZp|*peLk5SP+@32qcnOP?>897|rL0WV`Tq{aFGr{9l$p@L&PQ%b?fa z+Xvw}dMI6I%^lAj%X+$Uzv?`Dv{CZ!o2ua_pI(@@X8U%uXQZB*pW3@e&$I5m`gp!f zUhFR2OL}_UD0SI&0U^o=o#@+JE#(woOI)7z%=J~cRDEEL#NdTjTlO;>14W)%^X{5z z#^`1CB5}&@nu(&T#C6ott8BG%zxRKvhIszF8X}?jCy{4fUWccr+kJ^@m`KN;Lrk>b zhY@Vx&4#%|4!oMu^(xJpFT49E?fo8Ftp%9iW^M)8k;tN5FXl3}z1cKr$@RJ6pY$4^ zPG%o(Q+h)1#fU4k^}Fz5{C%@_H%33Ppi~QgG=1`2T)Ij_Y-XPYLMN}h?Jvz#kN&~2 z_TR>6Hr@MBrt<kmlfJp66XwKtX+~nebhU8-b8-6PRL}n$a-l#!zZUEHe$i{i>ZspK zUb003ZS<KUIj!{<FHVxR#;B@>6TEe6B>a$R+ma1mymsG{TrhMEFCR;5+kFm=YsE78 z-`A=7M%Ev|oEFhc;A{phx9AlggR{};1Q=T4d3CCRw=ISgWqgS8*2mWA$fK&aP6?_+ zL9%?aE6wssNB1XPcinmLl=0@F$}CPuWK?`9xKL4l{+V|F?pxEJY4>{#z_j~wPz$Ow zj8glN*RNV!ueBta>s|UO?0IVLNlV0|0$OG27i(Jv3ld45nIk-zBQ2TO#n#j~z=;T_ zCIpZj=%z83v|^gSeR7oeBh^<UOS%e^8?&k^h^v!by}au9aqSQqxbBC*bsYrbW(DKK zksI#BK`>rz5IT7Fhu-mT1)hExMEo0wWQXOt4M5+peSdXQ`}<-%ke(;M-yP?juJ$b> zuFK9rwGtOan($^WzJ6}s_Y~%f!lX2YN~+dbAjB7!l$6KywR)E9PMW62=aJs1V{wh( z1Y33bEdtqN{qG25Xp~i3@#>Xir_8k(Les<JpI{-sv237{>aYJ6@jKxtck!;?J_3S! ze&4gfi&K67Yd8{2&==*&7Xds@u=C-U7jm+e`hSf9B7h(B-pc=$Rjl@F$OkWGn^}t! z5?uj#22(1lk%mgYyO6B?73m5Uc*FIR;`-xUxxT&{A=hDbn+`*+h)P<o)bDw>YQxSI z>zY|!B<%|kT}eIx*}Xr<+QijitAjPZGk{mQNIvDGla44PLwo=2(f^z5zESo3xDD%b z`5mpBd<31Uf8{q+eV^NhyXvTa{j`wWd#V;S?8BL0eQXsqcgC#@4W^PD6ES@QL5paC z+dKU-wHSwIgnNwR9P4T#zgNq<H|+*VJC%7v0(MN|T^Dy;8&|??@M+$JU_94+f$xIi zaS{K~f(s1YLiPqUb1=!(CeC#=$uYoqaCWMecfe7fMEo)_(ipct$<Zdpk;NBuv>t!k zVqL{G+W5)@SKAm@R{#4&#UMxKLJ;2eqVLDpN~YKn1_RG|W887>rC7)4yl7+V=)zyH z8oAe);+Mo7#<<?{1GTIJ(^n9*lU~t<oW9?gVxK9t7qPc39^R#z^A1eo-UB~=cmGd= zvq_G$yX7|-K83}8=X#w#)bq`zHXgMK4O5S;UW38J(s1{ehf)1M;MMCytj+y<mmL(o z+${;VA{X)(y9x*GW(((tuly$k(4#Xv2gK3yJYzxP)@yNNWRW6Y-ft^tH7__t5R=)u z^N3ApxkvpeH=Bq~X|ePFDc?rlS^9sJ^ylRI=vV4H&o@FO(8(5e$4QKOuty1B0Gf!P z0uqLL;TVkS;+Ra1K(zbwJ=MVmrEGS3vO7spzH=dqqmfcoOnhK&%uHW|<lXi3sDFB& z+6b43{HKDlh{9CK3)&c5eyb=_dEg5;4!=)S!9bivQQ3JA3YFCphj1h|zezlxdMPYB z2fG)+oF%ZxYmB4bat&+wZg`4(u1R^c$wJ1#H|6s4?mH1g555>o2yZqy!smi0q4#ek zIT_+3!y<*nUdydM(tlUKDI4j(^3T2P<Lha&GEl>rP@0Vsrr5BL5pjABUF8ZDzpLff z>WHnO%7Rx$jX=ftP6wo@@&c|7>DoNtVMRD$<_99YJP-<ch}eXlF)aUNf!DN8s>x+D zDJ3;a#NxKa;>blhASV%430dH}h~IAVtA^K$N;Yijd+coAuXxNwBD^N-@OeXTucYGM z8}L#VJRwD>(ui5253Ynjjl`@W!a>bF{=%jvIw>AvvIQ4>GRNXD)Yto55Z0ceC~&gO zSV&V59h7vBdAy`v5UA^Pf1SevrzE>CbRr<A5L1a8j~Tz+qYI1cV@qyms?+F);_2fj z!|5^KB|a$QX3E_Nf#+;63Mw<LTVEzQJP_UmJ27BfrE7JaSTf%<uY-Y4g-U>V-6-Z1 z`f*_LHn#z%P7InQUH3v(+W}D<Q+gn3v)*qOgwbW;FX0pl7Xf{oz}LXLBsYEW+31~! zc+43R9#hW>81iw4+Yci+LxaTvF-;bm?m}8KV%ygnL$dsc_?v0YAdY)%N5~To;hN1@ zcHm|EpM?kl`(!LqO(kyA8%$+yCnQ_>rdQ{V87Gt3_V~{O7iI(Tp!ZC`Hlr?m2H~z5 z-$XtvSsSD!JkMd7$Kp^dyh5|-yizPWJm(qoUi$~azbVdNs>cY26PKOh2Gf_pf-Jo2 zauScnQllIPVs!JVdT4C9yjH)foH8W!Tk%7&Ft`U2Yl(*`kRA$o!^+t_)!pWUOx;NI z9(K_7c1Cl@13?`ytnSeNC~QG}G=-F`ViAI@yZKJCoO>h^${Vjq-;Xs^QOjT}V0C`` zSyUmU=!MG|YipqAS{(3;0C3cw6mT>Fa8x`{wu7IV`KMN*t22%bt{P{~CJCf<3CCKe zaSiDW{vzQvY512lJ8)LJX;fCbAyiiwN2Sr0ZKS@Ib|57o_4U!SXQwajgrcB$uR0j{ zL}lqFS&N58Q>F>Jh^RrE)e0BzhR0^k3%mRPe>a}FY157scNO*)n#Ap@;Ktr$g8RW< z%pM-wgZL|^4pzcmgdZ#NC-r0t>_;JY5&b|eLK~g5DCpwh$<&HbO~W#^Db>EZ(SUSo zc1%k9!o+Ta{o?~**V*w6ZmPU`&*TSR@oQai#u<7hVl|KO_v8CX*L_fNIW~MA97grs zU?^Ge_yvnLX9gjrweu&#AS-m&kNUsc^*P+cRUVey#DRbk9uQD+2Pddn13KGHyarHo zldqpt*cKAGmFlxA>9h9Kau@WBHE{#4lPAFYWjo28!*Q%ZZzDI*vnTJ_&fUyy8{uoY zpWUJ!%wS{JK0pXIt-VF7{*ZUSk>mWEJOWsV;_Vc^_A8C*2L_eHQ7olT?Qtv+68b~_ z{*8R`-{i6#Yns|^SZ?fDQJN7Qetv9-8S!I#PShW>l9G_D^;;lP&C^rTGwxB)*)kf{ zh?VZC>4_)zLc+UO_-H<e1}Xz@s%*fUsyi|<WOb+taioSugoLP|jz~xTmk0}7)ZS!; z=**PhA083X;p(!i(6=O{tE$K+<dWfOW~|ULusrF=u_?jZq|lyVPGp-LLOr}>n|g`C z9kpGF4(vibzGRzzfW)XI$q^ZUCLrk%PfCRUnFV@05L>>dB9=x|%fPUNCna9-Q*i`s z#ODwKZKL1{W9d|Mo%CTXG7|>}%@@9Q`VC*NSHCs|Xc^Y`toPy9N5>Kb83*9~y;^3p z_)R7-#=APHejcHF2^7So=MD*yv507<nH<!)rd~S{5<xsVCVD%<qDvoRBh5$fJ&8Y3 zAYx=l-76@zqQyq0X?yNK%Qx}JnB44wi544kQ0j33JUslDj0w<Lh^Q&uoB1AC+2Xlp z$rHUIdmelWDl=h0TV%fYo;~#fh$tE84+4sw#0ZgT6kY%kF0YIU`7eMd6(F+u1rRM< zF(wR`0z@%e`5sGU0MQk&b?t6BpNa{v!GRHZ4Sqrovlb#s%9fWS896$1hA2Zz{#c+) z612{FD@2YK&#TyDcRp|g@jBhZ!_JR3EtiWhj)xmJS8rEulDL;jKb^)~&gz*qFi-rm zKeH96;~wDo)|)U-PaCU9UgKXAD9#c7+uK8m`!sRm;0GGH@@n_lf1iHns(o>`A&5jF zNW_`*uh)eT>S@|_`Kpp2^v)w%@7q0K;dIJAF(SR6-{tHi9+apcm9;<5*mZCbux#2| zHl?xLbiBtWdF{s$x|Xx?BzaW-j&*qe@Gjjgcb(TPdfti430sR8_-DGp-T!a8f{MqZ zsUCDQNp3*utEQdTf?<FQICWk-SYez{PK4?mRG60SuUQCw?y%k1Mod?e6R(vruN^bu z2{e6s=Dn_t>n2t2M%=miwN%rW`g;L-ygOZN6a#M?ZSJN-3NQIKZu}!$^<gz$+HhIq zj=g39=eM0mee`7a%S{K{$3H^?d-o+=KJ6+Warn!w|L;m&^I4)Or@AsoJJ$H93jNmt zdg4IYytfTUS8mETT4#OV-=Lbf7e03?8p<@@GwvP@eAkq>2IiwPtucN2>N!ye_WP$# zGGjmOWWX0QcVI#~B_$4{D%m|S)11r<tmG>lKQJNvz~;Nk+#WC?ee4rl`q!7IMT5wm z22f?yA_5#4#jk7zU$L)&IqH!MkTR-`e%~3hZqL9o=^&hHxY<f%kdQddH|$84sx+~0 zNLuNuDas{%A+(`FsywYA*6KR4XsI77{g@uVeMxU-g9&GtC^%w`@oUw6LiqhbY2w7f z-#@NN{WiAE4>h@3UC^^FaG>Af6{I1zwP{OHpOV7Nc09yMcuS}iBPVkAe^>CD!^KXu zK4HqDi-O|`#vzMN2@EzEvrN_QV8Xk=v5VE?2r|d!FGb}q#pQGUoN+mil+5pk2oib= zIeIFM%tg~;2u27HbblcX8vJ4uPRK48LC6mzaUjV9NgYV~Kr#oCy<-HWyCW5$zvbJK z;Cc5AqDglo>_t~b;wfmxg7?@{_DI-Mwm`B5k|mJLfn*9KV;~vYV9)5=yqnQYp2iUo z3!N->Qr1sb^3MmpKvMhftPYesQ(^|^CC8ASkyAS#zKb4u(xs%#;gYHGx=ul@c+o8~ zNX(?eyiERhxHhkp<Ak+PsaCkjXdK7i3!-((KLz&bK~&-K?%aBQ{$mw*prrsqzR>&% zz5x~<T|%M$GfLHavDZ-&j1QI-VB}VXs4Sn^Omi6KlK5;HA#lcOAdPd7MTcg(YuOVW z(E{@~bBRq~VwdQHGGlbNzX#9D+~PKR-fj?0**<W9IEu@*9??VzgSETLh1RtgMn4jg zw9%k1{A}Z8+;U~Ch1zRT=6}9es9nu<l<5MFjJJ&D9IW>uId$xdk{fioeopscg-wFZ zw6$;wDc7V=BS>OUH*^wY&XlYAnHCefdvU{b?}kc_&SLqy55`aTK!aYKAnBCd&`9vI zPOjo7h96*Z&U7D&447OW0w$*W8uYjLNvD{AN&P5bl4b{(95LOeA^|3!jsX+fJq`L& zz~tV*NYHslu7X&Hw)V+Wrt3>k1cYoacWvN?HmBO-Z0s+0TgLA1QVDEDViIbI*OJD( zyo*qA*T07_T1nh>#k*K$B2Yk%gm*cpLL>>I<smf(Y8kwkZ?H^08$o8#zaC(8Vm%Ih zRbUD_PWOL`T95YaQ7lXUAU4hmCT2~}FM-00C}(j8)qOA?j<-N%1WCd1G;07%PD1X7 zlk>O}?>QKcMgfWecl$u`h&&Q28|fS|Y&xrU@+&+VGlw7yCy|&vB@@D~qGPzXRP?%n z5$T9A@rTDE%+Ak!8p(rw1r-QlngT2%D20mnC^2<hxTc`zl+w}Snld~izXOsXM2cvc zh)tP3P?ZZSp(7>L^`$5G_Z3wjNNEbFj35^(p`)bKanYKBI#NkTOKZyLjQsu%fJ$hY zKAJKmP*syC<0ED4z<#3&91tn#h?VgaFiET?EP0QOl5t^{h>Da^*EfW!w}2KnpFo8e zE~9B=0>f|xBX~HJ3?)+1_Z<}_?ShMb7hq?i?H4KTh?VjbP?3U@Vq&7IHdew%N<tP^ zk`Wm>3<u1M`T|f<;x4%GcY!Nl!tEC+EQl2o6=0Eq6Jx3^D>GL7fE0x+tSCcD{aZpM zSfqf3$#_d)I{EP3mVyx`OsvpWSy~CCuJ6fCQPdO=HAT|`zCy5CPwOb*wV5HsDybEO zl<CZ-(iLO6j}r>17_JMcRC$i3D#3M_ArXCLbo5kG2rr`&(_;=tQYF<EQn9Ne(~|ls zy#Plnf(D(2l#=BzoT{W$l_?lpM*Iu8z$uA-Nl9v1^^dt8V7^7BEhX7$1^J;Maz{FR zM7GOBn(CMOTT=-E(2A|l={8hk5an1R;nd}GLTYZUT1_d~)jhEDN{eg;AtDt;nXco6 zVvFP^wWITOl|Rza^jcDT*aV|=+fr?d#VXIX8c~~|wT~_uEoi>@=`x3ZXoA)`LT<95 zVRZ_@s4cFM1vZSVHP!x7OVbfg7h)TT(Vk4VA{C>z*>6K-g91F1HcM(&PcRG?(uP?e z1N4@oO-dvh9*WxUY08&{G~6_`0e8B4U{{nD$pdSpR{$5@qwB4v_B16`#lKHg*{H(m zd%q&W=oazUFlzOW;pl2X<qr^av~MQG+L74E-;{dQRjv}}?5M0HuB71g6l<l`I*QAP zYH3(qL`CT}uTu*`MXG*$M?-5i*?j{vEA^_W{79U$C!%hDqRW(m*HUDXR{IV>m}po{ zL`7*eA<_yUMJq%U3%61#f~6IOw^XfKU}Dv}P<oor5Go)G!%DMwKQQ<?W|UYfq5t%C zXpP<5{I#dw`5nH=5i#vRyIx_~ChY*ij=&GuHwT?OBM0o}6B`G^6=Ot~87#`!1o0yX zPPV33x`_U_5NNag&%Och6SBNA1=YJf;PuQ)eBU9>oAJq~7hDYkms%YN41z9=5t9zA zI~co<Y}ycOy0MzVB6O0^Kkdq)@$lsMbO0*OwlK+_M*Vb)Ly4k?;ll4C)Kp1YV5=1v zEegc_dR7+>u6yXd-{B_;O$v8qx*(`2u*NZnn4?0)<R~(~#XG;>PsX_b&wy-QghM;P z0sR_ZAB+xRI)xA!AWQ-tD^Q5oO;pj;FQ`c!8sv4V@$-Gd9uh8bwY{UlFi&U@SF+LG ziS5aU(4j?bOqI;o?tFyW^j7aY?_eUQb9Bg*0At27VFt64^#gpvaN70|!Qy#LxZm;7 z8SU<E;+Vw#c7_U)%#cA`D#m*!m2WiB01a0(K(h(ZRKL-z12hLl01Y=lbA)d=WCM6p zQiF-~I~}q0*~?d8Od%I}bl6ys2dCpv#0cE^<EcmjV~;1|bjPi<)rz-HP_;H=)pF9? zp=-`B)50$_uH&gf(YxbER?F{|d0Xr7sq_xW8bLe2Wq-0ZN>iQobqXPOeneH$U|OEM zxk?nj{bDJ{O{SQQ(tH9L<Gjl@o$va*Ro*>O-rRoOpVGeKh+!K8N=iy7`;xAs&+v6~ z=PPth4{Xfo*XS+Vn0rN`)bs8EosbA91Z;tJib<C%!u7{S-1*sSsBrXCBPP#=bM&~P zpl`I~?!`Rq%0lCFURA)dz;l0E-SVpMs(9vl0gnbC-FW-Inw>DGY{{|3MYKwmCG9r8 zVNg`E2Syyq@yP#nnprlze7Jd?TyMT<a-_BN-_R$z>m9fkn+QonK!^3P<*W|CeVlSb znCKZouInRR9ZD1!cJo1h+}QYquUFE3IIl{QnH>|fh#bbMEbr>NpuDEb`zbQ#1&?y^ z>Rhk|CSY7%p=W%?j6<m5!R%Mt`E!Tip?dw{y=<xI`YW8C_V_w)lOOvfKfam!Wmb6! z(Fzp*3v=`F?o<;Lb+zpWpC%F<5#2%d!LSv1c6E%=>iU$!hT~ncp0m-@wGro)gjJht zg=4T^67)JfcvLhdzi}*!wI91eqly=LC%ZacTOXIa5X{sc8md=XGIU)le>~IHB3{FI zHF6zi$>ZM>WsNyq9mMsF9Txc8taIG)#|mu{o;$j^pI<-R80DCy>D3?1nmt+7LB#Vb zbDhkFgTTc&7G3;+>ezbUs7-0h;;LK|7Ul=>$mb^tdKU?X#nTBE7~~vZT*W_%?Q}D| zj*M_99&jbKvJiS;i{Nl%-;Q;G9J0(_;WCGHJo0?HzfiA_KKclC>lHibHy<fqp+|K+ zBp=n`_k6ZDEZ@Z6e0pJVbmZ<l#Ij7W5z54tU<6xtZz@si1b^6DI$+sM`CRSL<-5pn zit(ClZ`igG8eZ4xUdps)ABN{_kWAlZr})tDRfn~N-{jYnV+r#Hp4xQABcIDnF8CQ* zA!hTO4AU1(0$j({r?ZA(9{fFa#M_lDe%<PkcJ_LEeGh+T{}#O#jGIb*-P39}J(ky% z6m30y9~~ZAzRA;Xi0ho+I`=p7_$f|)Rhw;~$a!V4X|MXk&aRgXXUgX|Dw64Sc{qz% zgEsfwmtVTCl;HW_8b-5eEzYk%^3V+=ongMib|~Fv#dY2!cPqgEmA?1e8Tl%Xzq9-} ze{`#TL$8YmCr-@#{%6!h3tv-!JqD-J*@-iPk0q4<#hQJ8z0Z#%BOPr8il8%?#>Z@7 z-9<%p_}^<mRY+5Sl?%Tt?=r7dQ85|(8p>W$j<FcFSJ<)6F|ISbu^Ry*dVM)66k~gw zd$8t*n&|X3J1mg;$MoJ+?Fv3Vte9A|wPnn5d4q$K?HoaW#b_}G)WVhz4^(h#zB~p8 z<(5++D@ageF}8PZ3lbze{x^H${%Y9F`RP|V9zj$@x!Mx6x2xPDXdJlSA}JOU>)av~ z90f^1LJgWNlAU(Gk_WEi1|O$?LPI4<3cuua`mlMuT--~)+F$Cw<Qp-ENMEk$2T<u$ zoiaF<V6Mnc!<DxaBN4eQ!tz|<d3E3IMx_<*#XT-O-;<YsU~p<HAJL0HAZE*S`aWN* z9lf&3dtEP74m}?;Al4tsBf4Wm?Y4-R5)9ixtb4;)p8gsR$>k-8$v$lHU(2&Mf@xv* zRF?3XebvMWY|m|8(R1k(@6p>@D_wQGJ?}jkmga|vlXKhf?V$R3vMo#Wi;p+M=r-A; z^L`%ZvlF_8?~GA3$IleJJC7}tcJa85O|hAQ?n(Vl-vy+Zfu%LEu9Mgq@(Vi#CvuMX zF9#=T{cL&H^c(jcDI+ke&kACl^k&V8;GghM5v|z7Qc+ZHm}uvR72ZWf%qH>HXvB>0 zh4yn*u+*fdC!T!j$Il6aK-Wh3zz^w8+hj&`bk+`qzET-?Z%O}s@CkR})7@er!z?&@ z`G=@Ee=WG$Mey3iodDXV6~`mY+QoBFO`B3&mm`X1(5U;2<K7w#$H+H&xerk}__6(N zN5<jZ2!ncag_EEz$Et*!N{$koGlkV=;ON3S6&!>FE4BUcxL-Jma4nlV>zFlhG-P;` zAN?ncBw|%?6=EB-?G)cI0-Q>pMgi70(|p`7i&Qu?e~Xrbe4#rM{Y1MGgJnm?8gRo2 zkzgYUZZ=GP24Tk4YkLx8i6kjd$s}mpy5iJ>6Gq1{-UdwPdeyJa=)zp4o&ue9i@&}~ zMC?fHg6>LiH(>(SVaX)NE~-T$PDK~-PDN{40OyD>z}Xh7LLB{#hjieZb`;Uy!YmIi zYzokhQ>n8t8ZuQmSY+~cPVD1bQ8+T0TNll-dgd}U;zm&QP{I@BF(2^DgsqmVXsIt} zLdT$YadXkSdD|~$?CM7t%WS<eV)<ZrKY|6TPlq;vu$k9yu*_dewf#sBS_DY`c-wVx zhD;_us}U`BHUQ<zALXeF+843^wfwhIwMcAl0D$AX^=@nJL%`m^0N_nG<V$a@dc-JS zU?;gmEDL8o(CPSWSS0A&K=+r{N4#(3AT+C8;fp|u0^35{mCL{sqCp5(J!+!IB|?Mh zqjEdcKeqJ#RI4_4l#uGRv|zbjXj8N8r1B#LztUe;8_xYYw@Glz)jmh&tcf8TmsIZ- zvIgA#aeWq0o_>8(mgADH!}MswW7xxQR)$3_bS|)Tb7Rr38^1q2cR0@#@Q@FUx<&2r z2A1a`t#%jC5wdB*rOBczT%v>7>4(dr_nIa_^pQtx)(yWiO6qr5G6Ag}Hfph{3s^K^ z(qYpSu&KkO&!YVo3Mq>=bd<dNj9a6DtdD#Y03jPC?E)a^R%cEF|IkGfP5>ihQ-=#+ zh}bj{(qvNsOxP&7bhvIxuc<m&U)9KF9gTwrKJ79Lc24<b>;xJMmwGQ=4vmFNRVX)? zx<ZvcJo^Gv`>Db7yaK%jB0EOKXPp{+J9-V_Rs-Huotn@gF<zY}Md4mm-k;|%MmX0F zOJeY~F<vb;g`taot6J@OS67=uoi@B$S{XQW;L(r4jgpc8Z=w6hDS!)BV8phg4HTMo zAo+kA9*Pr|&**Zec(8qR*?(;mVlMv~wUk2Dbxf!=<Mo`eJ!?aUH7=9RynI1rJKpc< zm#%G?3i`(LO~rE%hPvTYFoe>r?6!`MuPtGv)sP$LZ&Ov(6S~<rM5{dBYU)EKSW{k; z)z|f_BE&qud(*Bf;m~ciY4b;&?`kn$mAm6VeZGdUVOsU2H>lroRiW>0A5@u$T#$t$ z_qg>in)>f0(`D#&Ub)6f4_*4ypKIGDW04D{#&4>@3J+brH&yVP%H&NoT;V~~5+r&E zyJ*w`G-}ol%X-R(QKNY-WaE?vy9m^>wN66VsC6J^t?s{LqtOeOJ#hxjLSyJ7zikZ| zkHj!a`U|Ta{^MiF;?7^V160&JMoquNe_FL({=bn|-TWn2|4ZJDN2{g(9ldw$j|&zq z>;I8cE^Pdf-=7#vKfG(gJo(#3>(BqpO^p9s(C1C7zZce2`}e|D7XMzD?a`kLOMd)& zf&b3I-D@m-L4il@Kf42XRzm)Hhp=Q*UYUA2vOhXJ{@VMh=<0Sp*gvNV<~Z*;NBk6X zyOl<-#;akp71=MBYpN}*q1=yIc!@50hjZG0s(kci=xAqYczC$pd}D+#2V=N*!-K)E z_^Hn>UUCkz=$@I2%7^#!<1G$W$z_+v8^%8NbeOTQ=lOQ*b^ql#HIz!Dx5eHe^!}#$ z3df&@My<kIwcV|Hpfs2DH7>Wc>vsG>cVBhnjD5#m^~5sRu1#xGCJ@`izq8^JLFW$V zVqx<J^-)sz#xi;|3yQGgUfvylCRg_N@K>Sr*QE&1ll`=2_O~y(<C)-YkjE$esjrd) zhq+^$R=@STp5n}pzs0HR$7PX}eEP)M2UkPk96g~In~k;l?PT&~{KIYHcii?9T82oB zb=;E;d*j&jP4GRWq$~h$JN3lL&28N?rMZz%H0@&?;h)3GaZ0f2vi_L&TKRmxfj9Qr zrMo&-%@ybC<=*b&P?MWyPxsqTzdxmae0Rot)%N072ZaK+{JJL2hu6t@gCjahw4&RZ zFPqRCeJ-%C1CCGV^86G0eiqnI{r8IZyuK7-8PVLsuGHzkzpWF}1<SEj$to0gidH$2 zJvpbG7H%f4XC$fDv)>R;o$S-fsh5xUz2iqC{Jo;fS(C)wcP(G0Wysj(M`BW(LX@gh zMpUI*wW!z?NAPHN<&vFBr8sjmKD*QlJ@OQ1p-HjRB-^P`u2m?sX}&3cnT5=ZCy%RB zuT`kDX?~eg{$*A?GcHw}l>%6%oG4MRiCRub{m<W$b;_BQDZ|&4&N8)|0<EQ^#(DY? zv+9&_J({dewVN)jrN?Bm49Yc|0vBde8cH0&CCDD~@P*$YCDHvwNBjvWY=XoTN53Mn zYf34cdUA7zpmn(tP#!dhDO~DA<PJ<DvfsR+H+_xBzVVb|PpW$rk^5z)bdxtkwI(z2 zg2GvxCAs8JT#E94t#QGidX(RBG5UiUr+Q<mTcF<jgE|uZqs`KNV=}Fmeq*w6d$UjO zl($6xQ?M(sfiNYZTp_dyoS4iZ_^c|?JnYvA!?~wM^z5{+27Qk#LW|V_Cq#~%y@eR{ zM3;Q`c)71ssH3CzDo3mi7UviyiL*BnjhX4|=%j)1bEhUDHK|ujOAZ0Yl9SU$Vsod4 zZ(`UmCp)Rvi<2TOrXf394sN`QgY)<@*)G&fKO5+PsiUYQ<u<H01}S#odImW;ivMfP zFM5lc{^4JJe=wOSZ%n4usy%;DOHqHcY}{{5BWB`nOiM>^_Eg?^GqisSYcfkPBVr0o zpIV^rDkzeXNO@~Tk}+YjXwZ|)Fo9vpK;hi9NF0I|PZE*i$Ru^@u}d0)e&$F(;c_CT zxY_~6Y$PIEPA1ck)M<Gi7^AlWFm7+yneielG2&7eX3Nlop<jLf5dJ@Qx__!r{(`b+ z;7AgbZ?wpG-e6ST2`s%5JHRb5&WQXrWX#bbz%~4};Vahs7wNxlH4T)Okf@W8w{Z&! z$#Xa_s1$<b)9&QN)Q68)C@`~0MCP9@tE0h+R<)yna4gmjmKsfSm<ijr+V}!xRlc(- zYsQY>f3y7CC;gfgd;IV{{M~!Z$u_57blNVEY1?0r+S?7n7Zxn~utW`XsEDmQ{21Gt zdyLZ;7W&d#jd+;NN)zy$MGf@yQH;^=OCjoKhoUnq_Kwu{q@XwPsUTOSaZqHl*Ln(a zD+X7jALlvNugRG76dC5XT_4iV+oV*%PahI7tIMDc&&fp_u{#Fe46qqWi`!wN?QtSq z@$|uN!Nc0SOo9$idb;PXz2R4taZer+;j7wVq0|?SnKwf}Fm-p74CfrOIGl{VH~jQ; zx$tpcZo38THNBg^<lG%3e6`UZ1OhA{2@HwT;1xs)Qh*AQ5Qg*_tPB$b4gP0GPvgzx zrf@I&AulUfC!5fIZy)=iI|}4O-}@Xytc{96eCDaRm??-$WbV_W@_Q&+oeGB8A?HF- z`)R*od~}-mwEpEN+-m#dT7lXw+IhVlE?<jphWyO&7Wc}croWH}>(jS`K6;>!Ek^(R zWH$@3q2KI@dJL52y1v<6QZ9q7m6ZGXua&SLvroWzPYf4&Agl&OFN5U*2K<wNDd^-c ztBt&UjmB@!yJ?TD+(vFkcFALnRSeCg5hHurlj8X!n@Ne7wI9MLz?FC_1ELzS84djP z4j$^@Yy6djN)^s`Y9@{)bI;&0qih3ijF?tMJNkp^Kcbh(XjByS+u}EUvTR%^Su*gp zjWuXo8Rds=#sI@~V}LMVC#*#8n58*wHMJL$PS>(4+OBSHy8<bz{0UywVZV_Q3>L}l z=7V@z;!)ne^{XX*Np=Ru0sF@)e7cxnX7aDn^M#f9gU^y{-lCi2w)*tc_A@_-vn63q zAos)?8=)`n?hY$C>sR_Le<RlBwd)4kTDt%@u2GH!)I9U1AbfMr!!Nz6z!0NOW<&pM z-KW}gUD{5F!DE4?=c8v1=WsatMV96J^5)ab8+&XdNF?iccmwUUX*p!uK<)h*D=}%* z#R6Sn$4#gvRk~WRe04j<!}UJdLc@cB=WgM0f%iVzb21?8y{I4#mwO=G0ydL`5J>of z&Y*S!Odvn^bV1w#7V))U2zmPU-sw1ZF@ZQd%Tf4`Ln5slNrSGInY}xp5RFG)?NA*; zKw3$W`J-y_6h{K++z0`>JVpqI!c6F&!5}T3#&LLrO}**wS`^2@&(c9WRtW@b7+C() z=jZ7OfjmH0`hgg=H&F%P06xh>2b4a8_T8i@gRp<i071Tc2r3m=fNBZMw-ohffv+qe zJ+oD11|`j`KB#`4a*~HJ;HQ(AYAE2(44p4l0`dNA*>7fr05p4Df|nmy^2gRfYnnh- zn8ueXpmVC>Uqp10B_KCKvoL`rXwTCu4Q`q57GY&+-e;;^iO)_E+rt<zuv8NM1(r|$ z2QaJj4OqswNWcTp#`ir1T$bjs(DHx^9K5U2iafyBJ?&aa4}5C2;hGgk077=<tJyn= zJM*Qypn`oa1&~Ka#lVsjpvfx`m_Ln>T4*f+-pP;TztKwlt5O2-pOusNpZ`|&CqLI> zUd5vVm9!<K8Zt`+QG)W$KxL0nnP3CI2aJnvKzaCwhTrz!qQHhkf4fR->g%@;sJ#7Q z?-objYgL9(84Oow#pU0SF<-S1!Tc0sMG+vLu6&SonRZT~4Co|nQ^7bw%zdXz@Y9M| z_Uof`(v)$@-y3ES{QHYp{q$uG<)5*NVEJ;wAS;A;QUG$3NIoYLT>S`pegJKZ`du&3 zM>d+#)n6FS3l$rpCQmT*{e`Q)AU<z>%)3SD`09Tn(+dA0;{oKw0hPyJ<jyBoe@{X$ z9Ri4&G@csri|=jUc#wG2;{hnXL=4k&w{rd=*lKXTWzvfUwwDaaC;M{XUP}jA1p09@ zsR+bQ1-L=ZuA$^VRUtZvxEwHWP#Os{eEt*;_Y46ks&ha_i=_DZTYi2xbZ0R5?UC4R zMwXQAA^wcDU1}O6M%so6hJcLmy+V|ST{=js=Tcv|5{D)H4LsV);~w?ahxlcYgI)6m zF^ZYJ?-q^7M*s5yMrY?|8x=*#kuWLN<G0LywG?CWfcrV{mL}|y;zFs7tXe%90e7H) zn<6YVx+YMg#T24;2~rJdbW2l^mfH-1Np1+nXgU+EkC=!iTog+U38!0Auo$=PF$GP9 zU-8iKfL=mP0m!O&|77&ce<eya1*$N+9Ycsl{4hJ7zge=un?!$9AE-4bh*9u|#%%<~ zDkie(x;Ajxt|BCy{;?)-SuTWL8OUzLOXoBNZ66C~zgOfpJx^Oot0}DDG&TvE*Jub< z4qD;}ub?lNeAgo8+bhn>?NRn7RxC2nAwQfEs-*4Mqf8314mYhklgf}m&m~D6?m_YR zsOjM+Lk=s?KWwtOgqH!FgGCjWG^~|t?I2mCCq@32jfnrr*hK$i1w!QI7@|_3CUxs% zNxC;5HKQgV(?ptdM@``ABW%!9hp|^-mbR#q=AwwbnN8~;nMt@|OzT2(?E|8YJ|+!6 z;Fl${UJ012B*@Gg(F9o&J}Q{hK`scm$q$*pcP<!En~3VZwId9Rg*`OImoZ-NTS`R6 z+8>;{Sf^aWH~TEMzdsSzof)fNQ!wwcH7AZ0{`1BtMa`W&?XsX>^pj@+8Z&Z$2yfna zBG<q^-Uz~S6e4G|Jl+oE8Z{Wjo^7D$#1Mkg;dr(AH`>%&#Cj&n)}m(9D%aAI+k%$^ z3;v4D!@>%SVvNe`0u&uoxAaQNb0au4bpI$g8m|J3{tcrUN5apemF^~eD2jgKV%|t( zE)l$S>~^(wA_m0fJWb+paeD}ji)y7dLJN1ZY*a3*+1OwVdIRd)*76jgRjtmr@OPLO zJ)2P_4s&<Qg<hYnPcX&7_(Ct8?+O~>S-Wn|v=1`5`=<lqGWd7TM5P(6e9%5s*Znkh zqCn7ZP%0WwN4c|t<s-M;JQIy#v+_ZCx6v0EH|)USGp!Vdy}|V9bTKeavKJ5&ld<*N zSCHHG5FA(lxF|xNPa#>%Y4Ue>tL{P42HY--2>F<}0CPceum!}Xz@KIzAz6-5(r_If zy;;}<(;gwk*DVl?2>SZR;tusaJ>xB+TnPH|s}+PTnueQZt3t$K)f9GWNUIe!4$OA7 zNxcgw>@@G~)HGVKnh8fY4<oYBz}abNSEIFA_Rg;R#$f`p(9rD$=xm(tytd3By2oK) z*|*U*IDL2>>;Kg_4mbxOF;hQjPAz@E4yq(=(X<3wqKAdl>tNN1iTi0*47kWG_-0j~ z=rA#}m9uJx26*@)=}<odc!<Y}+m+j*`7cSA|DRHh<Uge~<u_^RYa~V+dD&L+*;0$N zPI<wAxI@}Zk8X>u#ak^btB=-SM~DX|Mn1YZY2RadIJzcc6EL}{rPd~`*bQwSS{_w| z*VflX=d7km0NrD3F1pj0LRP)oWb1V2HDdkc<X`=pITU3jt=D(#Yx=W)&ezIEL{CNg zg4oWVKCHU5qm2BbU^x-P(K{UIj$KjK4~y2)Ci^#>KvzW`vof{(NSzK@i5lNLwDut~ z^0tjQUiEVX8msLj|10IX{Zs1l{wrN{EhpYOHi81za?zDJ^k^l$J~+qV0J!y7w%H#z z0a-<0gA$k}3ycxXJHwy-u4h(0;Otqz0IHYuyba)o&)vvaHlIG1wg?16NUPL9gyb5% z`+!XQp&K2^D-ueCqecWwn^B=JFf1ypHO-chZr@#8o-MC~;Q5HpuqIkK#zmE<?qha~ z2Sxo2Md;V29~`wmG>pEKiP3H=^ehhPr((F*Ki=;ikI#)|c&lOrR?`jO@7w>xwj8FT zc4oT;4fJ3n$-Tcq<v_{5!(qhktF&{$cK5M9Vq0ykblmP|Gg;+B$GB-MdM`Ost=y7v zb8&pRc{4jc*MD(n6XF6f?U{jN5SROX!)k-H@de#j892VyeMbfdO;(9rvMu}vrY(aj zxofkA;fBw_I_QXCNLxVJsqmST7@mNC3`C%K8%`s$=1*3?7;5a0*>=W!jl%Eac-UlW zD|8OKntT0<#zE)$V*KA0x1Mv;GFxR1AoM%c!6+ZkvCO{vJvhFc_xddT#MyUC!(dK> z?EKmMO_+WPkweT6mfo8-z3rxIw4}R~6Q1uHo^Kb2r%qDI`S;haNGi3oAK^ZJaXNBx zOg3&a6^U+7ZZq|%S!@$65qHg4BVFGpS$aubPBrlRxbiWFI@T?tDF#b~_9QRX*dP;< zd!~ApMbvM$WiFfQ+e)G>b`N!3iD4-CM3WwFv#r!@omQ$P_EGwT+^k95j50x2sZIWR zbjtp?0d(M1WrNf{dKWF0AXc+VNdkxCz_YPqpFHMQstc5NV9aeFi$cy(j#TDj^McvY z?<2IQvMzAI(&sn1;wxO!Sq1C@OviB8?WAbaaTt$-@ci2C@|wlTX8U>kp5kL{h1E;% z;g3`COP;8MiatA{^lwQBGasU@dRSXi9PzB#a-(%N&Pw`mw_4s&@o!Hwpcofu;963c zo#4xOc^@e*diJcOV&Bdy4c^o3qN9}@J%9#%;C}RoN`M`6ica#0wsSNbsUl&-taZ-Z zB`MU8Ml!6pWNH%)rE1UpZqPT8oEk^%6usGz|Gtnv+<YXjwKqR{a$K@uyS)gvgj`*R zEJ~+osC#FItV?~wkotn&pLQ~t|5I4da4CKY@%35QZ<+f`XO3f!9_^6%6w8H=dN)@% za@?^evT;%n(w9)nGWYe?9LF4qvlgX4$zM1!sr)bCO7SojagI^X7YWFjcPC>u8@7d< z3Ui1P9|{dhp1v?-yXi36HYoQA_{WbX^Vf&W#=-{0xJ4f9#!+WnlqNDR_ftd(EK>!> z@>`gU<Wcol4npLJaf?=*4F=2HqX=>w-)SgP8-?)i7?0%bcUuk)Mk;-vdHR5-{KA4D z$IYjP8mX~~xR`!nZt1XmUR$8h2jkB(kjxJqG#eX-7DB9c*GpIO#EG8m=2Jn5TH8Y) z%d{*1`DeqnvFPV;v=@U2D*sUMQal107@$dU3YmLlWX$8OBm~0aH)9{h-ARuBF<uO$ z@)rXAw{aK(&{+_F{G$Z?`)Dwdhw%N)zgnQtz}GMNO$52>$#^?s!z1d=D2iKf7ILWk zLs*&$i;>7Q*x8hhV{8<Wlh`!{eVz+77A;+&lRpNwhJu-z5op?BnXTd0$;_8I^`NE3 zB<LjS>w?pI>$b?0#Il+BNOCP%ijG@Yd6slrLc%OQy>FFX!Jlt;Sm85q-%RbGp4Lsj zwx(}7lku-GczCHv`SMc-&8~<%R9h|?(~eWosAX5AK1^E^*VHsZZ)5~n%*-_6b4(Iz zIC5<s%6RPa)-!oDN3$ILhctdXEV`C+c{dEZr=+zIoL7K;E0DI0v9QcRWS<z%C5<)} zIV5;doTKS`xH%sl)4N{ImViF_lW4RgZd2}7Wo!!ft=?RqCzH5ej;g-=Os^`F-bwyA z`~b?%H+0eygE&Qhdqp2j0)5(ZWmD3<jZ%;gsXmr=-#b=vzn|LAJCBojP+PaR1&0T( z%?X@`?(yZF3=T9D{=}h)yWxPtgg&^B7E_RBBXjy(_$9^?IlWzeS}Q!pw+uS(481VQ z?lm&2E8LBg7zQ!_55e61*-mp4RO+kQPDc!mIF5MCAJR~11{7t?7Z)X+wbb@DbQlsi zDF@|E3z26RI2g7UiUuMZKYi83DT}rSJD0SKcl(FPX&&!pSU%LCeO$+01>aYi47yB} z3i;%js{C>wCCBNfDazEmgIJh-u`lN|ay}-PV1AcGTKe=kA=`;3Mxh8xHOovzC$pPs zaF7;)tV&Bt$1yowIhNoz>eDE}N6y{Jq@Cn#^$oP{^*kibUBn<ja@H1&J{#ngyHCQ! z+TB9Q0@+0+>d71D*o`v;YK2AIf;B|_`0an?ZZ<Iy@OqxYBos<-&YN|yV6|M1wX!>C zH~DzNpSkL<TpKe%akoo@uxGULy(8M;8b>#j&jOTTjP3fMfHD@6Xvb?EP(EJ$Q98c^ zl<P1=J8h?cQu|Fgwc0D)5afQoduyKt1sY28c(gXw$86SI7dD~W<J*2zZ+*C#;q3<P zepEN<R~cr0GEcTzKFY`4h_8p}>&4dN?RpDNWcn@C`^Kj4=GX(F$Q#9u6}wp@s3{JC zSE$V;DC+PBExpfkTJW5>I6jeX>!*HKGj#N>(*QsL1t?kog%O~blJEKGzG}>Z>CXK4 zBzJfQGQf4hj0s!rZ(O^LA9&7$8y)uuH{j*5$L9kFoVLanREwY6%0SQyM5`waD#8|o z^X!7o-yh9285}*buGT|zd&E3&+Vh8w_37*XDz0C(!lGnbelQN{oG;K-uH-ka1h2x` z#RbC<&$@zKMgG{ZL&DXKptCp3`NGJW|3y~&)IwR#J(I{mHO)=z77E+T*SV>)Q2BMM zozWI8hLp+f*Z^z$Ft{C)0OP{L-;6NuBz=|$6ZR28K_|1e#;qfk?kFtp-L>K<$B%QR zRe3TJ6z7pNd+`qY*-#czg5jL^?kPKJa54ic8tJ~*!-SJkhE6&$h$v$k<4eOos#%s~ zI}B=1RO!D(RGHpV9;|n<3$mMe&tP-=zsPe-c58o_Vc?(sbh5>b5}tS{p`EN#XDpP# zYa`%(?h7q4d|gN<MZeGVc?_R5zkN(+3#=fygzxE|pFDZV_r(wR(Z<NF)`jb?>%>Cu zwl`aDJ>@c|{SkEKi+-?MgnsTPy5oWN(Ka_r+u_WQj6yGlsGv3rD`1N{4CV&LQ3I$p zp-4S7vQ^vd{vcV0H*hvKy~q&242pM)_k-r(HESM7-}eSUA=#SHA_M7mpoxx-@Kix< znrY|)#*gKV4UDrYC>O^D<T2fD^eRD`R!-5s@40m`)zltqquQ87QNVF~V`zY^92dbF zID7xbTwEMrgkh`aM-R>E{6-sad~*O%)4Jvbv^t6fk>x3m3E1yz0roF|{UZq0;-VQF z4BI6P6Q&!RHljhhZwRQ3T^JD<&I-ABx7j*9WbZ`DDX5Kqhj~C2@2@1#*xV~gBKOgu z`>7Zix?(G}suE&r?50%z37Pl2pRJD~@^M|@4V`xnmZmNdYoR|=B**aDB?naz*;Zw) z3nTibf3h>SeF2$8YD;MTY@U%g#X3g!Et5SsSAqQ|Uj?Bpv?GS~i0+#TM{w>G`wglZ zp!pud3P=CVf(@|YxCvJWG<`9wwDjLzm;oD(n<ouGGZe!rL;o$A39#Y3anJ%ZV==5Y z^xwMZ15V=F;q&V$Ha<>GC)raSgoKXoVw{Fdp|B0&myZfA)U*oq?p@G;2_Hab8BZSV z=36i7d^U7u`?!w?ijaUajO&HZ7@@-qVZQD360nKXnlSp=96o7?RcAtM_i3}A$cZFH zc#?@c!MZFvX81lRL3l@uJS;ashIoOI6DQ#^j6Q5AMg)P^KSQL7$cYVqAJGM1^~CjR zVvf-1-L_$wAV9|>W}o4>TD3rK4INn0$mS2{5}3%9948c?EGmglqeeFu&W-1|>99s< z4ed+G?c3yb7uc~vxZRw+cronk{dngPrPFnD0uj{j{u<$W-T5OL+jOsNT1)6yi0-Ni zwsESGz6gtLWX!NIr0V8#e~Np46%<_+%gL<3>BGd<$Zw8=TDs)x-(#OkKUK>U;P|%l z%?hw_!Fi#>L31)Yy2QhuAlocj5aOVST?JqvJDK$?3aFJv#k8&I)C$_jpiV1WOY~(# z7zJtMkhA@EbMAwzWfByL>mmAJP96?#<m$CpwlWTn(>KtE#H$}BlAVFc7CvWbSZK#i zVS|K&B6Kx{kL+kBv`DB{8uUh51CWAGCk4Eb8UUn_Z=~M=Qc#gN4B`*wO0AH!T+h}& zj`#fFjf}U#ay3PWCrliGmX;UFaBTV<aQlYZrwZdK$50?n>kMK&(-J&BQ_v=)Uq+;5 zy6aBb&qJW)#If+KsiwQ|mfWw6{FoMwraDy3IA7Bg;AP~P(oJ*BkD(9B?UN3ifICNM z@Uj8+yfs<-#8&5e#i5CO#WfPfn+slvkBfUiukW586O6~ZmJFVQb%1Aaec;gCaeXOJ z6<Pb3VdmrD)D5!9R}+hLaJWQ?lA58J+Z@=|?6JDW)U(ZofP4_OA+eg#nmbr|!iewg zo_^L6vkm?r#fj{0CUVv?=ey^3KIzHh{+n<13X1~c3hVY$>!igynG7t04zwR#+m7y! z(Sx?f%$b<VW@d@_?p>!mIy_^YiQ`GBm+ea)zApWHQbApviM!&%zS{Oub|VizE}2)w zPF^sZ_jjf`mnsMcDu76rNloBl`Y4Q15IRlxwTc>&v#T0XA!!9+YEONj2Kg8a_3qTO zaYg<^gjSqz^Gi)2kg@0f$(nipWEg9I;#u?KFjl+>#r+le^V<qW18)NSJ|H+wnX12q z#3uX!>%sz04w|y#0j=-@YI>lcau7*OQ)cV$;v0qbqlv$5xd+B-g&^nA9HOyP(Hh6) zB@^w3S9TLqgY9Qqm<qC!&sT+y<9~i#LWT6KR<OoTBa_Xfg??44c^aaSxr=#PijzGF z_Am5A%@PxZN+V)Nsq!S8p_w&d*i3A`T;h0v56h9BG+hj_CJ4WR@xC#l_vGe}3i0nX z+%IV~Sq!=SKcu|{R2@sZu8TVa_u#G}1b3GNC%C)21$Tl60wKW(!QI{6LvVMu5ZrIi z$y)2IfA6!;IQQN$7_++Gs;|1b=Nw6O_w&6S50)!I@=i2JHtPU-JAVetyl5Zz+O%Px zLc2z74dM*(MinT2F&@rgO>Q3Dx8mFrq4CuvN`&fimwl8jD>qko1MHGd<F`Hc;bJZ> zf!o?51w~6|y1?A7{S3+DzMaxF-TpRV7jnl}nyA#JCcA9Yt1pEJ^t=VQ%EHFqR@=Kv z0v0jxJ#>xpu1NwK)*~^C0;DP<hH<$y?=8Y~xk@42=aoNRe;4bo2)ni!JeTAdCZBGH zoI<5&PpkDNZ{78GQVoE&vQ_f!zJAo_)weiqZf;3oC2b{X@pXReIvklBnkzE*vvmr* z3)@=XR(Cfl7=y;WdU3XO_~_}#li|Zch^mm%VdY4m>xs$V;(X?Jf4xsyMLMRRHlmmQ zw96h7c2kBLQ^`v}YLB1x)c168?&Ry^<MLF~aM3q6b?<w6Fmkv)(RFlqTq*J`XToN3 z`DpE6>3%n?O5NOkG@~s23V1)m;}+eWo&4a+(bQZM>DWr^g283^mo^=p(O6Ei-*R<& zqPLZ)`Z_)Wo}M)tqpYO3bzD+qex6r#8MW>f)PIWICT({vHtvJe=ofcSNv|C*Z`M64 zMhV=1x98yvZS~B^xu?~Z4c|qQzCGCYs(@;Xtu1}rs#`d?2~)Uws;R%tP^@@zUAny- zlFOdMa)-S*8}n^oYxhTb{Yg#7z*#wOr4`p_cjXQ6USvU@cRv}c$Mo;WZtgD_h)Kxe z%Ww36#pY4nGRGK6yihHzkQA+^7u?kD=BSjQa3*@^dui9SV*!srueVmg78e`D15!Fr zPb68Tw)?G&54HB1EBiPA`|I<atCZ`7@4dO?%N{QH<X?^h<_mE#Te-ZSVm2k+>nTl9 zuWu!__AVWJx|>OSiSF+*nlei2I!|OXBDb2^dH_<JWARPJgEM=hn~*Ethhzo!tf`)@ zi<70i)HcuHFvXul#|VM;V^4l2J!&s}&v(S3dl#~4?F|cs1$;7Y1v;>K<B+cJTfEHa zx?iHf-XJ+O6Mi}FS_rr^8mt$@DXRMXn85HxwwQfB(RG@>UO|=g!IIR>J>%HV>%4Dq z40MB4hwaH&h<<;=+L|+0$A0r+-MVmol;7<HJ<hUPp@pD#wtSYk-&&pMb!vqtYLNhj zQIL~sf<&aVwC&!NgS|nm_E=chpV9X`Hj0faXncd6bT3n8zn^a|wJG*?5Q|z%e)icr zToH*CWBg<;$j<+^vfU@F;%04c%a+pX%%QF2X5xZyZ2U!;UiAU2-RZX3qeF{B);zOR z{fqmlgPyRho<Bu*4|PR7hjtCu^&T4D=_`IuKI&^n0#A?kJdO%}9CzuKvbC~(xK)m{ z<l3~xk+rI@@7Mb>mT{_a9p0w4@%q)-&hIy^#EeTKFL*Ba=k<7ClI$_UG^hVSs2ZDQ zR?1}6Z|13j2C={AyTW|NQcdt9rt=FgUShbD#yh0Al^i+z3-;Zx0bX>ZL#l0nhkh6s zq&shz;eDlj%0znd1Ahj$nL4;`^Y`V1PvlZp30XZ3Jn&Mbmq#S5lZ`eTm%*1NI~G~J zOYaxd_@uO<Ee<-qr$JcI@`)B9h)GuWLChPY+1giJU7Nh&RddldJ`{iy&NxIlk2HGY znT4Mfov8r%GY=ZBC3)NSd+88yCvuU)JK7gx87&{qG7@_s=m>qxmogD8H*ir#h_BEh zS7J!eYDnJpyr}aE>mkL2H{jtRW=g3k@9&$=c<WtEz(PYvwdTNPxqDlcG$uKwFX*@T zWcxTo%4s8M;eL}V=}?7sAJsK$7i`wQh=YS50?m9Pa#Fa}w{aSIsc?6~#n#E0gcx0( z?Y%AJAbNgzG2~wLG{e6)rC&#JRoBKpTq-26sLS*vk)nH^Y1$53fm!Y2t*nBbv)Y@d zG@KNnm2L##l~YNByV2~X5##T0ypd85=H`%0)3{{0xQy;|ntF{ww5<9uiy1VKcQld^ zypdc`3!0v<JCEsmJzo@top_Dxf5zor&}ZQLecGL8#7atJQ(spRUa(WP+-jYlbM@fx z*%(Ws4vz<mxZlL#YB!J*+BKgmqa<Dd?JzqwHrC(mLi7Or*hArW<*9*kHUNbdxqiWz zmHlFL7*OIiAE{P09AiVB{ng8$NGhWn&8CKDi|n=f+t+4CF+)Pk(-j(CoT4TyLRhW{ z%kRH~qA!_3`qeHnY6ESi(p%8yteog-=Z_FpNO(x*_OFF)-h;T)qRSGhxRQIDGss!1 zKfCNH0VVTS-^6QqA12g3m5`~tjL4B!nv*J@+<$+PRS*$0;7sCEOIePysn*kRJ!NML zyT#L!waIf~cem>Knxj0?hUVfawImZIk2?XyyzbEIBI2%JEi8U*@`i7Uxi;{1>bm0V zRL?vDc}P?4o(>c4rVB>8E_yWTt^!&B@|{H*bchfeaB#C>>{o?1Dp)e(rcPK(0LAm^ z4$#kN=Rs)?T*^IURN+j*4vFmrUC7T+tDXFcv~hh!Fbd4ZlzR&plutKFqs5%HatgGA z8XRB*KQr#k60&JM5+v&~w*z%_Yt|Q`HL*%_edRbNh0qm~FiAczZ%dZnk|Y=Mex<8d zDbs2h<p<)$JJL$u+AIf>9MKof3wxk@MF5<yMQs^x!d$CWo-^udrYqT(<U<#|>QFP& zeX(zeb0z9iKO3EW=nh74Snlv^1OJjMO2~M%NRdcO-1ziF#JqgyjPGJ>VNb_z6|XI7 zCR|eqlM@SGn5voe)dqgG@OkylC9epJ<4w?e(qWWo;J8K%rovtay+3ksg(9AR(XHH+ z){!hsFuG~*IyKF63TDuO2LAKfp-bya3Y4%;JU6~Ri183HL*%BC)sX(qEQgQ*c^*1( z9JCPn!)fJ%iHz*A%j^3cM;?ZK+1Tl}JBH9E&zmW-(?<0hYLA7wYgEiKFBQkYIv=r9 zkoXQHqM>2h!>*NtM%IP?p6=>7(8K+<nudaR!WMkxQSYHZ)=b;g<At;)@RCIjgh%2& z6S~OxA0i>^0EYAb71xXWi~}27zhs*Ko9nF@Z~|c9;Kc^wav`z*E!Qje1t4mlx!xj7 zBXxiV#^L6FfolsJJv!wewew#nU%HvH(3FFqB>=j#ro$@^OF{mxl&?uP3Clu$)><LS zZx7i2<a%wMn-=Rx?2fg?z?3f@aVcD+8&t)>fWzG8GvzBohmXpIE0SeVABTV>-W4sT zh|haZcMe`rto^R<q#_=SeQ5Eh@w~BM)m!>*sTC%C#!QsUEl^Nsj5|1T9~(BebiZBr zVbW%ftPp`;?qJf5-}H3Jer#UIBMm?F?X2jP+z<%(eX#9qSe!*G?07Q@$6l%sTO@<~ zHBizCBF#L~EQ3$s{WLI~CraB>3jvCt_w82F&9B(C7#qoqBWDRijzW1@WA4ytb?jaM zq5Te!zK#blCnV0+jCx!uDFDLzP3wQyx`+c>8(uK#B@xqlTygW&uDk9z2D-<d83Pg- zND>1idM7|~Hem$+DsCe>0cchoTkBMGq-hK%<eB!35Phb7C9<ArUtkho+E>Y<2N|G! zOX;fDL9{P841k3hkxIa@FgP5bV=r(*0B-p|dL1|a-wj^$SJ>3^;2@OvpYwo6m<B!{ z|CECl&-Fk6I&b~{D)jjP6j|!Q`}(^?pPStQ9gs!+Jh=P_(7xaU<Gci&T|6VAI~~x0 zZMFVq2_}z~@B#Ap-=_n_1ZOF}S(Ju>{l3;qPKSa@i{zuJw>ToW=-b#}rJAOD@95|{ zC7fEaVvVTp%j(r-Iu(RUQ#yOJsag9ncuF;jV7jb0n6)jbrMWUMx$Uz#EiLU>x(}lY zb~Qax96gPj%Dci|?2(LdnKf&&rM8uRDr(z!rz9EzoZ~k0N1>~ex;HW+DL+=<{W)6s zuCaxeeQDel;Z|Uk$1-(2UbX!+&OS5Is>!O|7ERd7<jv-)VC*nafn<&{iG6pVd7zHe zl@wx+cTE5NGtJ=y%GKG>LQ2ux#XSv4N~3ykm$Lm*;e)fA+cfut<_e{2_DwI`6R)-K zvQWM1-NV?^^yB>r+P2>ci`}+*TAyG`ldd4Q#@JY0txH=Ov5BK|!?{g8b?Aoh4*wcd z+{4(kYBB$<!yYOM()Ah-w<DRta$68VoFC|kn%G1$V8JkWvbUgUWwI!lFV_Klpt=)f zaT;%PirUsMAk+}B)nQn5ErtHt4pTf2Q)gX0X$dE(NL?8twKG#MiXq30P*_lkh^}!m z&e6$AU`%vMR4!E;luK~xlWoAtSu9YNrv(wXKLr)Q^exhV!*bb8&%pStoto7Jh{|=T zQvM6eZAy@JS4yr@{^t(>C7ZS8BL8M`IlW41A}i;tO{-==SZ+(1+9g0q(#Ue9(EUSt zmLskwvSra5vw?WmV7X8Ih+dxm3(6HulHUv#{L+t@#uSI;sR`mY{~~35(gC6qV0cv; zza>1-f+)}bMdt!|=l{?`za#;oZPUJjW1M9{%<W-~tOuCH^@;<jgJwyfk>(EnP3NAd zB!dHUQs}is<`4!sKPG3MIqyhW=#JZ3>XtFaG`LE%`8T{5t-VKo3rpKKBp!HHX&BcQ zg6Ze?sAKgha_C@1W|HA2+x9C>m53}&Jle1ZK>)ZdPbKE;baI+S7#c7XL!=G6u$4zU zSWG3JZUXS!;4Oe0wwUf2u*?9C`^~}nCJ4&a1w*;T&rq(bqZ2RN|I{MuE>gt;#&tn4 zsi2YQy-F}il7Xl}y<pHtm2=~ukpL(cqybPa&>sX%g9G=>2GtZf11nLx<=V~pvx3Ri z!l}OpE6(avd<9{!!6T{vB5}v5;;`IO00Or!JoRTB7Ecx}t&^y_Ja7acJaz)~>jHDK zjo-istsM;rp#}J95JF4)@5+DnJEj2jO9SsPVLJgt@`3?sFnJpZ25xQKz-(@cE{OI8 zzCCcCEBX;|<Ue0ndvZIomJ6$k@A3U?seLsv?j&Ykq$1)RX5S6|XD6I1u8fpTQ<S%* zt@M+AEe1GNQ-T}2GQSI0x7%*sl^L~g7QUqW2^~6zAjw8F&ix%nL?j+jXbEPmH*uq; zCVVes3R&L~VTaOIyF31LD8{gW{*t?Wj5>E$)uYBVnlh}(a`Y%<ijC+I$~J12bt0uJ zbDG-1eojjy(@8m`vR&~O`ORR|AAw5cgc`LM+;36x+Q@nbaOCD4;3T?vQ*lBk^wBr4 z8!`yZO?$&R%=N6DOw)yFv4X1WsHYP?Fw^gUla0D`E5?X=bY_!ODpNHo9>J#td^Q^J zv-71G*g_MB38VUeI!|<*$}xU#HELpnUU7g&pzwLd0$I8PI!>Af^eJ}0u27u_{}vg; ztpiQB{GzNwV`P!=9_ufI24@bcJQRLEX6Hq^{^VD&^uv$k1jNo#_HkU71@0nyM`Pg_ zd(2K1f)_0GEpIE9zh|}4w!A#*TkO`yvS$7h@5@`Qf^vr8Cs$nEFLA3v=!_2D6dIPT z3nJuqx^?~u;~h%GUEuuwHJrS`eGLTMZ5Nz_-$RypPQYWiQe)fW&#GU-ezSB-`hL4o zd(-NMSKNCm0{*3jN`wxv(Z3!Vq{d{Sk1LcKg|1%F``it&UY&(qDAlaJ>ABVNk}okR zTyKWpK+T9tJd@bh6uA|%=%VjNmG*-wF~DU@f0T=X)_IAI(6#w)lH@b;&}$m2jte7- z?ibDBRKAKxZzP1%XR3=k!n7>ZpleEyiSh*ylnpXH9*{iYjL6`qR=trv+39F9m;6v) z1{NPt5fE()AA479arPkPv~A#YKCHBgLrP(}Utg0Pk*~ZW?!`=C?_04IG12Zsl}X}y zp|{vlF*uibHn)HA73MZyXdBfX@$n+NMR697uU{-D<g3u|vEb5^q*1t*Q<Ggdrvbm7 zJKi1oa0!WM&J5~>BlwBTX;0lv{gLO=*m5j8pRPw&@AxPvFVlq@+p<`M)8E1GPxQg| zqfZl>w5RREye_fgyw<mm->GLbB0bFUA)PkpC}r0y7=sMn^INhHlTtU<7*ppM5WD@} z$$Dfx=pcs7T-Rn|+;x-@s|t;cqIq-i_ZrX2@ywc!LS;IJkP9<LJ)6^LPb_$Tsq8k4 z3ol1Qd7aqyzQb+F!RZ>#R~~&yvIp_|!Uea8OB)I8N4wb#nDG+JRI*0RC@k^1NXNk4 zP&Rq=h1b2nUDn?%_o?8!tX*|y&cI#PF0ONMQTJSMT{s8I@_1_t(dJ)pdmBF&*3X4A zP`DC%djUm47uPiqmc6ch&m&^XH7(lhe&Y-R3s25=U}}zHPtKo<i|67JC_pz_y}Q68 z*&16ch%R7jy#3Lz!I@%*cYe0jYb9be8jVermrmaSxYmq(O8fc4=1z?z#Nh6j0r;q; z30bXB`&lMx38PRGDBB=<;1UAdE6!u=|9~kA;E^HI8%QO6I9joc)g<Z`0`dz{Inx`m zRzCjNszrqt^~wj1pn6GPqE;V$O-we2RSx)Xits(oHiNCg^!MVLhr;QY&6-;MDJ{JG zsowEM;gTcun%W0z+pyxAm&(>D;nN#5TCo$Hp|#A_a&Z%wfjDU%7}Dj+96cnJ-M9gP zu%C~)c!k`3QE)Yzc_%5}Hha=TzAULh6o%jbNM8Jgd<H4I=Uo6#_5}-Zxj0uwWIPgP zEK&CR`ctJ|{z7R=`k0%G!=#>HEs(fU=vR@4u*b88YD2}o=9#1g<y)HaXqw3;Tb=9d z4aAipyd<!gJjE>EaKt03HF$pf2~QLo4Cl0?a4EVli@dY=)oWjC!4)l>G>fY32<<B6 z#<1CAY<GTmUwL_rMlx%~hvE{wf-5FMxs!o!b81vasMExT+IZ<ZY$uo9u9Of2jW^;{ z*ntC6-w72%u})lXajcJ8x+D*;>m_7XH>I@twLuc#2gS2`)iJIakOdj?*4ib8=&T$# zAWLigvz?I9bgEOc;JC=!<(O9UU4o(UO8oOXUSh4rFt6sPhC|~qPvm#h5Yc2=pY$Du z${-OZ&N{Ac5>Z<^<iXUdAagU^ZMJW<wNkZ8Ajh<u1(*p>zbFqh&<_dmi9=>XsrA@M zA|xKS3CUUN@1a1`(Px$_FYD}V?T2MX(@{7qBAZn=G}PbxP6S^{yZ;`2vZ!2<)yeP^ z^bFPo1H%4$jeNWYkAZ-La8DeV3kIgiNF9T%_6zYAq(Dy`+<gfaDCbqr?|QHzEl9{0 z6nKR^4gyMLfth+CA)axOd&KqW`FJ?nOg~ZjZz0t%CyT0qv8AAbn3o|-;G`7Geu=j9 z>tca=smolOSUSo&nZv^aNefi@>Xuo0o5xtd3@3~Js-Y45yT(HD@0vU%sAm0M23Z$N z^_TK0${|&0t6~u4tc;~0?Pd)4NP`IhS9S12Fept;3FrrG?NMs$hb2*C@aY+cq-E?z zHrg-VD64f#Yidf$+TD=>bYMp%#h=N+_`G;+V&KTO3RKIggxSds;5Fn-_-d#Z$gjd* z&#wP^!@*6lP{2Hg){vA4J)=^qf;A^^JK)y;PzxMdWm+=AY7KSZXRknDh@Ric_;OZ5 z4x-1}+wB(|ndofIwcQR*_8jn~dH8x*&$TBmpa!9b1=(B+<KPs_`&=u~v6b2C_c;f~ zRBlL?-m-ejAa#7P(|(aKsI(Cdn+&Nb)J_9)kqmreny)$xBCV^ZRuT3&Dim2O^MgQN zC&hPvY6N^EVFhykj}TuV4Dg@42p_}8S6*Ke^**MD`WkK4q1=Z$>a!gRfu2~|KwI#% z@p)F>bf>wjcy~e&gb^af4iy>E-#C7#=(TF!fcdQf#m(RxpYD`I$PoOlWX-Ws^%wpI zNAl21C}N^0fqO2eOAVjGnh8;?aK9Wa^Ulk#R^R#u7iZUsdtPmNVv-OPKM5a-*WP@; zIF&^3UEv)Cv<&=LC$-H-+{_@Fdq<DN<|AUK<l2@BKZoz%C}sQIB#i^tE{(opZ%2r= zy+`qII<g7%TWSRF(uHnYTjX|MU@rRB9XtCPvxGs=AIw9o>Tzent(q;SpDk!}Lr4oO z;6hv_!*f8)xTjaO1jG7c|FMO1IMEx2@;JI3?Y~Hy(M;QMUGUPYTB6-}U?YKkt$Gb_ z7RaY<%r_L^wjGyN(Y}tA-)K@M5kzLVxgNpaka_;DOkB}3LWhD*K!OD$A)O)#K~_Qb zEldu45_RCO&qJ6Zd*i0zWNvN*jQI(XMd+J>`?OX9EX&_m@FqQVnzOZ7@-F~Jvs?%G zH~ADztszsHW!ak^+-9i=ZmUWGx6v>+01xffM<kp?a&($mC0X)0teU@xv4Ef2of5X` zC@vJN*H|_HVEP8fdvH-B{9I!K3N9;KELPiofOpTcMX}YkNP)6OOwxH?gyL^f3W_;b z5osc7rDP0Du&+_U#;3WS#3PR>7&V*Gvc0CI)R3s!8P&TRh?qGvk=XtLU1Z@V|1_^4 z3p7)|rp42c=(2nj@LgqRuEzPuGR9h=#acmgCT8dSvrG~jXTggWLbkL(+mnFBzz+|k zrsEbIbR=5kZ0<|w6WP2?Sn@u!sg-H3YTsEYo9qkXiocUB5${tu;Gx-?X1I68nEJNe zPNA8{QyG?AKx&nHsEDP_VT(3QQn{U}mdX7Y%D!N6kY-5ePp(NawDFI$?S2x)JYV6o z2>407ok|Rj<WdQ3+CKhNrmejSrgfaj^~8S0S5x2EK5NMsHAB7S;?;{IZMnz2q;Jzs zv&5*(?$-*Q|1pS~lJI_G`rXU{on@|E`8&HM8hcGiq-I6_hN1I(B=tIR<^#8WxtkG( z_%9MsSVI)dZ;uK#B$dP#3=r39ZMiX7Y*HN7<R>}pie?j5aDZj5X{rXUC6Sf0X7lQd z^;k#zs_lWpx3F^8&i67(2lWqjDsI+9(C9<cbr22L3@~3G8gB|#t~gEW>e(PFEMaeE zcdiCbA-X=>NI)zB#_dg0(U2cU{QBY1$=hFrU_qYml*`{xsuO(N<t=F@LSnP})$m@h zkJ^P;lBH?Q>pOZjh18$CO8FaT4W20PK}C9HIKSfk1R;^ciI}|7#K6W|F{Qlwo4iyv z;_wubM5Nm-1&*{o@L!$g9pB`R%Zmu#SNu?Ugi)5~V~OILr-v#RQg<07B_Fix>sbZJ z$n$gfAo&}1VV<LUh<S@V1fNHfF?zp`v;c?sKzM}hIFw-kAY(-))ne{mF4ZdH#C|Ya z2|~8<6ETt80C=gfHufp?PoIPnh?Z0ZXi0?41fi2S5G|PlfQu@`dG`U)|Im_M+*=Ad zn}5@i#69$WCKP`IknrTdG+yw408J18Ip8ozs?`qyAVmQ{Qz=;GaZ==OKr?nR<xk!L z+kDntHSat<(3#zSkTkaM@6K87Dv#cLe|J_WN%;dm>G(IzdAOJ$WEcaQpD}=F?)1vR zN_BU94oh?8_^}y;gI&r^>m=<1!5F6MMivRB&0`}F__=F2wR?aZ3#OP@`5I%0oV|YY zHun&B@(qgz@+p-=^L4y|6rQ`L$S|^@$Xp{OWl9h>8%M(+brUR)=@?Vqr<~L{ra#xy zx4GJ%_^3?k$Yv54<`GO{!%gD)REGL=G*)G9HAH?rgr}%<tR*j6L`EkASMyfD)qMWI z0HV~0I2x)~G1;`ehRE=bVlvKN$3QI}CQ)an4;Wgtu|aXvGda|iojVlJ5IjhOb|Nu> zmD-=OGY@f1-o(LDS-rwW+cD7ywj(zud-sa$27)r>Ob3P=C$e6+b1W|k=ZxNzFGHDd zN9AW>a*SKV4YuFqA6188C*X-=)hiPg1vkGr=Kf>SBMLD)5uVuJxT-6rTKLSy`_<(} z@`I$p0wY4!gpktnbcCAS@=(#zd)f30y<KAb)t|(5IjcXR(KNwwFgY)iXEsFebQGpx z6-%bN_ty%=QPvN4^y4!*WB-!M{(^Z&SA~)2oojPg?h!`jM!#)R<R)LczHMTB@-bTM za#38(=}>_<7MmveLFo|jwGd5H;Bc%A3q6rz{&M#Xc3U7;j>GE=EAwBB8}E3vX6MIQ zx|t_R(`3q`*!L_hY^3;l-ZlC$cO>{h1FUTuQr1`Lt1_Igf9%m$6E^V3u_t&QYamn} zQ6T`7QcC3q!sELqdOvTWJYoe5Yy#0KGX$I@a)-fF3|SGoqA!bT#0^^s8)3Y#b$`Mh z6>iQRc($%<tRyV1!Y+Z@4N$9A#h|>;x&wZJK9W4u*e&cxj0x6aFkXU!dBmg?m6Y8J zuA0{iC05=?hYR1FC+lpE4z<{PDLqq0DXX)+QgpmqsV<nc;~RZo>aun6Eo)!2T}&n0 z&smKeQP%sciON;IygeGeIn#C|r)+D|20FM)jcML_o;$|u<Ry~|gbNybNu;8=Yz@H1 z*H9a2%(YNRuH8yU1s<?5A(_ZPLB}l_8ljiob(7VPb_WVi*S|ua^Pz`Z^Hf6#JTPZM zFi}E;Has$GwfV7U)n}=W{8r{qleV*Y`4C5)Y10xRi=Slpk3+^Uj$f<7`5mz8Svh~# zKh}rzNxWiiK2(LMN1T28lD{<1Zq3n90hyKW8-yLyr9OP@L6^3NPVr>tki3+C%C(j& z0tr_O*@;)YXqiTDM^zv2VkKEDKocQ9@zV0x-rZeoAV3A`J<s*}z10RD44^JU0cxNB zI4>X8q@iVFY<ZVO5m8Dr!*^Qs5FDL4zIV8Gv};=0&%h|GWv?etiAAA~P`}zwmifiV z07ffJ7f@gU<+_h7bNa0T3};4a&8V*D_ats}FAfCH2EE2szx~pblq%m87Hr6vNTzy! z$%XHnp1<LA*9Wy-QWczcE7Lq9M|YQqT*6cA#~mL@%KUrl2HdWh8*RqgGQcUU-j42P z&IlX5YfYrv^&iwn`P{Fdr-7_&wT9T`(;zwh-qv4fT0h)=6*CiPkhO8LwIAvTwh#00 z1`(v-<h*(-s`hbi^Gz!y%vYW(^H;`0j@V`c9_R+6W!WgNnpEl9hqr`L%xn^4JDCWm zMTh4YLm<tPNMXM=bbsuKVkS}kTJRF()%M|sNQkPp$xy>OwcQ52(^T}slew_?&X*>V z{&S|vkY+3)Mz4(DreT{|mBB~xc!g57AO0$WU{6wK`&xmcio|}=DGKzimhzt~F@gWu zz+nr=Ui<2;VeYaig7IiNGUBTy*gp{Lb*iJl;9kJs)@X*qbHV)WhcBFwgsXOV+49Go z)97Y5Y*@bN-x00L4}49GF4)!JBpoVaKy#!GjuLnZ?<&bM*|3rSqF+Y5E-y{sRf!#@ zEjHB4%xWF)rGXo2mu>b~TnHeIsabt$aX+i}G<^|eKJu-Z9|mX;+o6gPnX6RauP30p zcaG0y*K#ise&HO=wY;GwB0mn!s|fROtmk-Vn<_W;ClW(+5mDVZ7JkFQdUl>WrF6@_ z>V+e%ceH><R9A_i&4$h3mo^;!_M<U2WQQuLWVZTo$`QIn2~N|Y6%&$mc{(C54MJdQ za}l79J_6loikz4DoM?5Sl{j+=BfYctS$5<$l*VFX?v#e+V?X9*lZBXBf^AK#cRL)Z z4sB}9D6Jpy8_{dyinC~c#g$hLBu5wBoE?eIN|uI_xJq@7Zd-8{Zoe2sHY6$_^b93I z<Vz`>`<g5i#|jK$wY%mG43cX`Y4w=j1`Lv)mG=k?5}q8Lcf$??J$vXFh+=aNIH|gQ z8=J5x$4c%^SLyGV{#mVD*+=eQVrEGy$b9mkmvg*d|KxzI-O3L@jTepp)EGeQBgJgl zof`L@BWV7E)}ro?rK5J56uW8ykC;TH_K`p&;|Z_sil&YwabCyLMRHH#l<Ch#!$B9< zQHKeG8QPHON?m))--NobCE_%v+dqQP$~u$+m#|UwjDdF^aDMi1{?!gw#*1j<a%AR@ zs$+Z`ruO!;gY&O8xKiF5Ye4h`#QCskeUR7+h<hN>8xR}A)LcMf3n0#cL{C6W4x9c6 z5}N^W03><<qGy;|8c1vc#0HS)28dc=(-nZ&uLZ51Hp$WG#@+~5%9bX^xFJ)|LtGM2 zB)?6l8>EXFV}bt-N6S_LGJW$kBl7B3O@aa(tqujK(I0A7X08Hln(ET-g1;Ob+(}es z)RR|An1}@qVqWVeV)ecBzA#utcTejf=j289^d$=CrcL1M-al<8KgM(no9*u&XCIL6 zwta(lLhYD2WgG~-l(T_W9T%G&W)_#}Y}6=LOoDy<r7i`k`q(_#uwwvrBk-=B_f;lq zf99e3_7D4In0?=M5%(~-7xUblnI}tRB0E%$B-M=>9zU1HJAydTUhE$5OaJ5{Zx2#) zPrU09+Bv<?yL&hBK2_)E`$hQe((mDPrt;#aG>Tr)@JmMwB-J%V7J;(gK2v!9ywR(g zn&27f_8PgYV#?bSz7(u_5STG-lysQx!uM2-na6>?{*cb2g|}<af9{VDE!l{O0<ksP zy$auk7CKzP+UpO?0|kw~{+i7rccSCdfQu1|#xTVz2n<H7pbWV~gZ@<cC^iG~kL?gJ zneR}1Bg%uwonv10`b$6pQP8j1u0Mdr32(!P=FG>!_a_$s!iEoku#reMgYJxWh@X!@ zSZFB_7Qxc%Kk^d;-~XlokmgGOX_>j#U#<y|Wa{3b9O-Dmiyxb4ML{uhQy2(eNH%cC z8`wrqCp*~2&e%#Q;)SspWy6YKqj?S6F?u(0M=238|ITt~zYu6pT%S$TF8?g7ByOLt zM~f##+~$nzfJq#IfHt+Vx&G1E!%jplPz<9d%QIPr*pYaQ`IC$T9R-gn>E}qwn5_m_ zRzx(3H(~p_PLAED{B{1+9a$fD6(3}2VrnUJf+*|AsCxr&f1q<`dL{tj(qqi8fN)V> zRsHx#O0>NOSV|yVI&5D82qzb)gQDulLfBJ;lxB(HPT%?BLdA`JN?f?x--FS2wn!Ka z6Go9PMorkjz3!2&?Gm@D*zKIZO##e#%(;jTn6n2OjuJ5EjSqI3;=r88vI;)}JLMlM zah7(VTjx>T{uG{FqO-f&&#d#&F*5`i1})!?930Mw@f=P84!4Zj+5N>9(nT^h#GRhh z>k(55r^0=B+8nj<0n`M=`tPPHWuR%X&7Z&B5EMoaYI`6ugC_3f{)qda$bIPevrUQv zXbiHzcmXuRKk#ifa?jQcuyOxv@rFUOVAN-csn4ilP2X^!yXC1CVa1bL9Gi2WbmYc< zAf9teLZ;3=J|sHgrbF6Cv&|jqUejNk?&)aljP3})O~c^MOs>))W_xe4a@o74s3?*D zg$YmU9nKtH7Z9(CQHU|rgP|gE`O=9P4fcI-L>uTt|KJQouP%=4G<-qsN-Sz+j39DQ zLq~jxuH5(Bgbp<2MYsa5sWHA6W-O*77U+)s`e#~lF!GDM68B+3T@1aw?T=;)IqZ-w z`46;?{2BNgJX-tWtNsjWpx=SFQPhqFELMmZ-dNn#XD{Y^YbhE(f`=$S`dP!?ldK;5 zP0kn|_x84N{)i=WqB-~mf}iUte8qJyDZeu|napehV5_#{sXg~<s@Q-(G)p`pf0Jxd zbJ|K<q+b{04t@>_cRL1qfceY;&@sgq)R7oA5fWb7l;-!Lk-#4}-@I3ksPL&9#jjT( zkVjzB1tR5_N9|dA+t&P@snp?!GfTd;-$j!j$DlaG;0*ag^K)Tw3l02vXme@(x#;`B zi%Vb7Tfp;S`#x+gr7EdPeeBWG?fm@Fu%Z5DswHut@2uxRtPAtF3@_<yZs$8eh(d}K zD@*oUg}^*_yT@2k{RiXAjhmvXxuG-Cu~cS~?KVz>!F?0&W`a)-LXTy6NoNNK*>`0l zB|@X6+gFkL_GWk)BzETRNh88vb0&((@rQE5Q(oXcrRqm7cnNv8q>w&1T<#x^+?-8K zWccb0w-FPZ938KnJnSDX#qQXz*dDHtv-&mEr;^&f+gxya|MGkdFpXQ^-`$%s;%W2N zSX?G<dE8rC@tt4J#OY_>RhogF&lA}kyO9^8lZ1pmPZzlT!08n8Fsrrn<mTu+b$@Yp zf0}V|Zq(3x-N+$s{V1W>{ghR*<|pLFaU2cVo4AMokghE|L-utuXD>32pR64(gt9uH z4u(DNwsOa>!<z!#(#BeEnc72<XcX1)S^qY);;PA31Re^OE<IFdnIBJ-SB&Yey_&jg zt8adM7$=3IbU(a*7$dh^X^kxz^<mFng!Z|2B$;mf?d0otaWPJcdy%`K@Ip!<xosYL zUT4gawJ~w8T;U}x2_>QR^2!Jciw}%OVme$#i+i>N@=ZCqy|0JohlT!S{zZHH)-@(6 zp=Qr<I4&s(!gZG=@ea=T#%F}nR7_^^q)nIE%zdb*ucvGM?EdlYqzTFX<mPH;EZpn1 zXzog<PQzU*Ya}rR`(jsS#~5#6J~r)x5(mh5Pv!u!t_N(-+ADB#T=&E+vT$^CkaS}9 zRQBOlQJbB_vAy4iueyGo^-UT~v9U^;#T&SQ?J9hD?5tjqQAW4HhFGOW0LV&nUNd~s zh$WvSqNf++aao);D$T4${He$7!1#z?+NAVXN@Uf0!@BoVvsPCQ$Ov{F9ZS$Nv$p1< zx4$4=bJF0rO%8c}WSIvJ0=|#a63KBb^A$S^UeuRXw02lt@8M0#2orr^ylT!`!dv!* zj$jw8Te4XyXEyy0-)B|E8kD&$NEnrEfEtRHmg8m*Kn(yn2s9AM7?n+cBLr}Wd}@;; zB$zM$^rWyi<@B!j;F|`$Ov?UVdT#MR&ce1hCBhQaePAYy)qI5Z3Gjn#62@#k>Wu}q zQiOmlUtlX_6%ChpbGYlmv_CQIV8HH7IjVN4^o@A6T_0#G8?==I+WHFGlJWz#CWU~l z70}i#uvN-SCb@u%K>T_>mc0$~N5{rlCq_W-z*Hg0&v&hNN2V8lOgSkGo$6pG-=GI< zYuCy-dMdx~@TwE0yJBzC&$T6j8jK+f9h*IqBe$6>p}uTTDyl@|9PCu&TtG5^+p2q~ zNnJDCxv4EWn|t8MxpeUX=I~~5!LgR`eO{m=t{4$%&cWL88B-LFz3SxD`qD=8R0J2p zw%zHm<F-`~5sptCfIGR@{MX|gr-vswA{pz%AD1*I_o(*bM>ZBGO8dGxetgOlg<<Pb z8YtD&SM^G=dVCN0pN?<99mTR+_Efzd4-Ws-Lauy)e$c)<Yva@LfZTbZ?RBHC--2+y z;C&)@-Qr<{%(oPPA+|EtF<_YY%E4)tUNV)~%?h114@<?WKoyfu<|9TI+Oc7Gxw8{K z+o1-bBnlsFC?M&EcdrLX?2c4?t;VBr61|e$41P@PM|{Z?HxW)>qsl;k2wU;B9IxA` zQx827(MyDh1`sqRkwMSBf}uXWwU~jl7>3E*5u9{wGBQ7Ysm6zkXy*10t$|d+d_a93 zJCy`0DT(qDlbffw3@}eK-`Ff)?ghNf60{f+yF+0lQHU_{*=(c@y35G}FuFhja#T3} zO1K!J2x$y;a7Plx=gVq<hv)=&h%kVMz@i0EjhrvC)FA@QxLB9f8t-N&jMxknB71@X zq02KlQfdh~ErU-8PD#^K1BPVN==&>UKI{8;p9hV%O<q*22Rb5pI_3UZ5^+{>7Ho2a zA9rD~MZQ>~CWLdQBj*gpFvqkzN1|fyuw~p=aakzzWaoGJNCS4+aXE^GT%63BhcpU$ z_BLA0HN%Ferzfs~?(RecyB!&5+oNV$TbCaFEdL}WVx9PUjbgr}%}ho3AbT7k?{JJ3 zhh;8O%C0a*#UW+Tn4LRB#qPY)e<1-OZ<W)u)64;1o2uOwq)eXWu5xm;07@S9vx2<> zD6sW_az^v4s15?kRHd1NhOe;y!mcS~9lf<&hpnvk$Z9!LC`8@(5R{!tP;%hHWL9#A zVFNCtU3L=oBFzQ9DgEF!V|dJ(o=t(L`$!!gSAx}Pv9*nO)DLGTx+Zg2HqTBZqpS>^ z?oI~a&i@2M;S^S6aVjxxH8&3v3GfS31TdRyeA+yGGPNBonLB-tdg^85u?NkMf21%2 zyM-$f8AJ;*Qz3BoC<dV0cqJ1cgkspFJ7@zbew%V$(_a$1+#eg^rC8@k$<tvB&q*vt zb>+Amq<Tah;0n<fn)^>J_`WS1`tHTcTq?K7;jo%7Hh|vUBC{Q;i!ZuqP2-wT9H*FP zxPcqd*LU7pzMq=PeMuYm>tiy4te6!AU35Wsrm}odzM6vNVeHnA3ZXBbdmlCA3Ga+~ zKtlO!MigNsIa#>)0y><6W~6xBp53>@Su$jW@BxzmO~r4SkKf>2*KXM2==krbFhh3S z#J~R@4wuGGPeKpx)NGT+9xYH(lKC2gpUSi<U$Mi)wv8Q2z%uIAHha@>MoCa;e=m2h z#q1KUTPfEN>X8|KO1lch#>}Z-^U+@$hjss_qcJ%&>oyf|1B``xcfL_WDLj_oiQ5~4 zTxr8OL<e8+c*%fD(CpLpZ;5W1=&?jQ!|Qr=|G4Y?`o4h3*)&4pfDx#XX{QGR%KKmI zUpUJS2j~$qs_Ut`Z1HUbZhkP5h?hIEre~M4ZANzBno4Ndl&Ye4^tNcnboQ2u!D%Nn zSXMN23d0ax-Et!liRlyAD7h8%Y!5<U*ephVp|?S4Gb@)MD924<ycGim($6htLxc!o z9{g1^s}oKd80|&Q9uhsEh;MUqfqh(~f{On1t6+PlWc~imJEd%lxXX>TWPR3!|2^?u z^oV#5I~l?FpS}H(ShQ8{`<P*-^p0yhr@3;&DpPDu8ZEflZsc-Zo0tx68)99<azl1c zkm^>EW|heUwUOy)6A}<A$E*DFtHE4|+xLL&>&T$qI2<@aPF7hFV<S^MEeDgY*vZ+f zXoP+5@hxU2nPH<56Ll@-${yW*5_>uR<Sy(K?lMcFw|MvCP;|(*p)P2<9~goS2QJwK zil0)^Ez7j6bwR{=u}5U%BN8-o`T>UF#&%NUYG9=gJ-3t|F?URX!)kdVE#{&I8jBZn z74%Nt12L~#Ol(kI7WLwyGJ4bd-<-|e((l&4kr41(SrtSwr+f)6!*WZVY!T)5C~`pK zL$%xaV09g6G|EMhyHpdvPBL9GLxV6LRpn)wFZkQnH04&KPhxWHI4tY-QMgptC&vBt zzVS;eC84H_ko`NXTBr*iyMg>zSAKCHZS_CJY^oU>u~E192VqlVG^bgYj|j!WUNID} z=Z(8brUg^-!shQNOQ4Q;aQiJ{9o;qVY#2zaPGa+ss&_t;WD7`+86H*5x#hPAIWhc9 z-*Ae$#D@;68Kap54O9RORLnMSOhp6?#2z;9g@#)=R>>ymmmt#xQH+6y&@lZq1<xg# ztxn(<2aG{fBOPfdBz|_O{>x|x{0){t4?~EE`jqCQ<x>p>;|=%a9ml!rRz^{xl?2n8 zce4JjYz&m>+lBOS(Lvo;8f+WgXgcbqhyE9;gJ{MzFFl0rKnZ2s^Xa=+w<9+%s<_Nq z&CAjsE^NGzGM(4Amm}}O;Km*<)|~|JblxcNx*i{qE;J<g=oo0GMa6QBk34NW{gEOd zS@N^BdvJ8)Xw$#<WN*4Yci?MkvO)0rB45=D_@?wcuds2BT1Z=$M`C@sy<9K1?{D_^ z9{GDHH(Hhi?pyTJTJBEokD8(d_&2v$fyI7ybhvj=DO|*s)yCETVQFo9FSJ)WX?7qr zvkzKA6Gkr&P0cnCHY6QH8UMi(dh@=|smGVpR^ax(Eub@(eH$#__^y{`9^cPhEkO`5 zd==I;430T&e}Ou;&hHn}rMl22z;zf0ESCEeJm%tf0osYZ&it3P%4o9RvDtCj)zX-5 zh1cB;8n$-BU18&X9I$F`-a0&<c(7+*xr<GA@w2AWUpVQ_nT5HVJQ7V6$!^k&&a5fQ zSV^+$EmtZoMngK<4$Dibi#{Cbdbr#sYFtAbrH*-Vsa`M<#P?qo#kk!V$k*N!D<Vo9 zZl~$`#f)aH)HJI>2*5f*Vugg`PA_c&k>L&>S6gLw*685O46Vb6&nM%-tb)FF_7*)w zh#6Pgrlp1ksF<XRmCwqrSPCuM%!V`7^4RStL`vW;970C`m98BKrrL?C`B<)nNQy{X zG`QOdqqrW<rY}H6o`@GB5(l(iwy7dusGQvf>{*y`HEpW;Koy-I(B-rEi9RTi<eTdb z^*tVML_<hB40_Bi$f`9ecotlr<Z89?7^ek+i8#~kKx)|33D(ZV;c419|JupGjby4V z5c&wrK+xrh+06-Tc>jqCu%@T7?86m{|FP~L{{u@0Fx?NOlIek@mH`>dQ{liTP^A%r zGIrRgWEjxsWaa^Lc5u+1N#4YLf9eIKoaPC)3_~1M0Y5NO9gA8FM&wJyc$dlv*Emd> z_(5pdcp$R`2!25?Gr!j;9Zn^)MNTc#R0E6-*w#}Wx#<27j|Xh);{n_HV!3z<=Nf`v zK*~-YBL#Il3DAS&Xxd2HQ<X&7^Npk_X_t|Mr;GHRiW_g7M$Md(MM<Gt^yP|L(?y9D z%3Psb1X{Zq-$-K-CuM}qRIZrcJVWJsJ`0IjtFYpSx~WsUK1M3!LNq#L-d@m9(zvQG z6>+PLNv*3g`*m!Qy5|bZ7YeOxYP{+(46W8kAav&)%>(zgJb&{*cpZJwEA30~*E`7C zK|c%u`O#0GvBtGbPq6yUGgi)chVud+A-Cv<H4_)p7bx#c$}V7rGcF?zYtsF~fe&9E z7_TFjJqO)oVxL+Dd&z%YcJz-e^ls(nGYV+=sVShiyK0RA*(n={z$HdFIdB0Y4<-A% z)*KQ@Ug6`W>sTOp`9`|A6dQP%UivAQbn~%vJB+;-!zvIW1_NBF@B{)OF)sn<dfFFg zKuG>8pyPXPprb_>(6JGCqlqYx4^aEJ{rreFO1%%@BoFee|0}oU|JJk~rVH?p|Hpp* zIZb7Abj$yN;4jbmzj9mtPp0+YC<AUnz^&fs^Auoo4_h%{1ti1-&YExlPWK{9b%YL2 zA%9hDDhXLqfM=zes7Z2x$NU=ApV(i_B$2C=l+ub>jyqIQ(9sjx$;0G`hh<>+q~0XC zb9ksNWIMhQdOR=PhaA~#S}9Co)i<ML*#Dzi{_O*Dz9kvdNS#f}`uUH-z@%S+ipS=U z0<ulEDM_@kGo0GOcZ;0wHQ`xVVX}&)!q0VGq}o$<FWnOIC8r7u654;(p43IJ9-pOu zVAOk(ZNony8KcrTy{+5n1+3+1IwEG;Y`A)x`q&ZGnprMne;+#@oAw;UmjOvA=K6s2 z0FoX739}p|82}ROTR=iH1A2iaLm-U=ln3IqZCroXY`z?UXSM9J%x(|P9{K-Of|4@Y z%msl|53lX#q!Vxn{O2Zc_ME%&|Ec`X$pXgq;7R{o?zjGD{crv65|n@PKYgL_DHt4u zcK*+ojVJMeS3*zE7miOpP4(aOUDNN*kKJxo9q!9(lAbQ6B8A&Vu9kyWB8Si=*%N0q z7`fC2abz2m>DB4Cta28`>D`MH_>>0I7`9xDLVk@Pf&8rhtIzmzrh^Kn{$CjlY6^e( zjN7UG<v$M2bQm<t2V&`$ij^BRb9(Yzs$}=f5&>UuD+b_Fv)~YEFrDlWfsGuG*M*73 zG!`(arlfM}i~;f(_(TCu>T=);&<MB!?8D^A`b_O4$_h9i0jAz=^j_~atH(ge3j#FY z<b}RxYw@pOYjF~Aio*ZU0x}&p24_OBOFwUb2fI$N1MmMoriShs4E7C2RH$P#5Ln|e zqv6lEE0`+5>=+;}pRbU1Fo0qDQ>VCoGM4c+OIHVQ4c{j%B7N;p?9OgPm$<!It`q?H zg~I}V;W)r`a1(GH><3&2qxB6K3dDTwY9yu&gCzxJ7${rH%Yz)<vs9BoX5q3qJOJDO zuSy#Jf0O>B9l-QcAaj0!QX3Q*Mg}ZT>6cKRtN%XF#|Zp4sMIivTwgwFw3bhF8!3k- z1Ls*A$QQ8zPJ9*U`~vwRc0gXje>VjmRKx<?n$wu(gWbSUIKa6hS>V(EdJ>d{@ISQx zp6|ep0H5$Vl)pAWgE0#N-vogb=$pt%mSs+qWoQblq&0ZiG2-V2&NILthSF!+0Z{am zxx_ZdraO*n8`o)O!2&{scVimyL_!lk{&;}ip(N;u$sq7J=aZaM?>{D{Fsl0aXS;)_ ze<drG<7u(MIWxmW$WQ;V`8E~KL9qATN;(T_5H~B^Vgh!tJI%FSE=O`nI#<)T=s@RL zqSynS?{dE>dNFgIX3-xB@fLP*q|E|}u)x;JXS`4=YJj2c&ON^H%#A11#~x*{!)|*Z zgvL2MI`S=cdo*AYObuCn_=2og{@F*jXiB#iqTLGir+yV=_w`>l3*;b*@1Q@j(LaYH zLOT2+6^=Sn0=puUU_rzJjTI`-jaX2tGJX(jBywOMW)E2i{v%-U>t{pdaJq5*Pl}lb zl;YUdEHKr26}gs|(_%Xkh+qro8M8o$(uFd3{|LB6z^J%>TNJ&WL+$|bFY9C-XL5wq z<lGAgMUjbGkR9`zlHJ(cEaxl0sMkVE<k@$b<+UQncNtb>A_DN^Z6p+hJPgUj0c??P z0bArsRM>L7WHt2Xz=OB{5g4ol3hcI#@cWFDOjbWV1XcQ%9r6s$^ZY=L!@!sjvfx7l zjGik2qvz5|u+cNM$iyJ1@y9#R4*&LHp63GZ5SZy9Fw@9$@D6331CMFICd-33c(UUq z+{pyVfte)<z-^dW%{fv&u{u-5T~9-E>W<OD$610#zhJ-;nve2})~&YXcyMTq9<IYw z1QhkpLL81NC^4>e^nNwjZw}FHI*gKLy*Zi2j5+V>)q-hzYAB4%bdYdsl?Q{Zw?^no z-;=U$D4&-nD%0q|oE6?0>+QO|Lega&E~5CVK1F&adQ}3P1w*~WJg)Xt{SWh|q_^WG zuGrOT^jIwX#U&4w@HR*mKEXAvL(r?!f|l@k4g=A^RIFQ3{k6@Z+#Hbd7D0D+(lKG% zOK7c+R<R4(C0UW{Io9CXOH?5FxFu-HLHBy(Y9SpC-n661$HCg1#|K7Use1DBFjQ$P zVcCYur3Qch`&JJmS_KcA)965!7oMg_6lYZ+KC3A#+j<|8QAZ?BHxxAEN7P1O^^jQ+ zIBU>>Wb`r)M|Ci3;gyES1s2G1xDT)#julIa)dYNlvxd?@<}5$ActCzErF0dbCUs$u zrEnJeCAf*e3~XXNNyi9e8Q5B9I-7#!ITAI+XprSG3IwR3Bv(tK#?y)~v{v6>iNdf( zyTYwil>ddZP}6nxhcB!jzwaL1(4E8ulXbM$DNE2%GtTV?4s@NkQk+V0m^j^sXCnBi zded|X^^nwb|H@|ZuILec|Js>TWXX7Q=@dGrv}H8)B)jnE_%QXzcwXt(n1Q5?(d1>k z(5``S_GWQK!bnUJOD0*EoC-ROgqYvqnJym{U~-JTDd=8qVu|e0Wgr-J@dNk5u*^Ne z!5J0cjp>bU0zC>~_Ku-R2v!2}scY0gLdCx-TCxAzehY-_D6ANO3k`SITbL>Q8WP&k z-<Tj5W{xS~UYp7STlE9f0j}e`c>HRKYP^k274|hhbR3-%Zs0pNn@=HiJwqmIa@v3c zb6e;;T)<22bI6tZpvf8%-Z!RZ0VNvbll#vD`zZ(ocL@Wf8AWj+bsa#!%k9^iL2oIx ztigqZI=ILYeXZH@mV$!?TuUSX7Z)(#qG{E%vYpfUTV@Ao+{if4Pe|0u%QUcrodG6b zso=GU!Cl_FpQ}Orxf0))${7`D^6eEoq*A1@msx2rL)?wS#n)QoDU>{D$JO3wk$jRX zR1hQYcz5p8J-syPcohk{MH^o=#`Q?^crUz*=@n&w<YUbdn%j4c<q+@{ci}4pS8e7- zB|4lQ|43=?Oe-Qn<93V-`>J)AE=Q}yvS@S}*>4<NZ<M<A-x3pyR^HSBW!0<3MjT<R z$*{^BMF`%Bu%uYlJl^Bjp9uBkR*RUg@deuf_vFIKYZ{o=a9Gl=6reF7`5)_JE}%M@ zLAZh#Z~_=3%K&D<<JdWbEA#;;lR)(|P*p7?B!wIc9K|KR?fBcjm^UT>k5#(p6eC() zLn}7r_2%!3Dc@)R;*@Ei@{fP9Tnpgq`;UKdZrH#5i&bVpw!xYr&vwa{AXDCd?2?rs z{%x1s5cqGq<R4(SU8OZ(PTeD(5kAx%BeH-w@^lWq$LTaPhhShzBH)}{lI957Gxb_( zP7hzhg}}djk2$2lyZXy7nU@~CE6l~>YQUM9ISITgL_0Mtz?pe@7-a052pk#nVw4UR zK<<kV%LaZ;#5L+ISpe*YDa@!vhGmi2HZE}iqhJa%Rh#lmc8scYC@~52szK4=epEsr zo5QP$kho)z0hy7KE6xGPy)eNM8DK!BrsQe_YU{>0<2wv*^C-DC99D1lonZ)ym`tJ; zUUdU|j(Y!BZSvo>MUNgJxg%Vu)iS^g$xVQVW-SS~&g8O`ZPwFCwv(2bWx%G?xcp-C zv$&JN0P5EzKa|?^cxVc)@*i-+m*Q~R3gM7(cpAT;%$}%%n1$#O^t=|LVo~!e?}v7G zR$W_`I!^BvwMU7OW9|CvzC?|Yd~`6P4s<+yKU2wUF2#IzzHz@1cJq4rF`p@#CXMGi z$;J)>ZxCsb%a7l6)q<pc*FLbmeOt!	`*YjbT%;ea%WBdW34%-Ccxw$Hu+kgw)(+ z*UXiju%G%PRw_#Ig{126?*V((kPJgh{Kxglh!wUfKpX%>M`l2DqI<+*^zB<Vx+k_Z z{%tJLiR~MDlyx0ja{aO^^3-`UrXv=IPvx3G^5c4j#wi~z{>IKOX%H#neC}`dVvHVr z?Nh#7K%=7w(%14%?_g58PsC{}V+==a$4z*J`!P~foMshCNs(xlhfEr(gh^2XI+4Hp z)tRt<M*M7hKg`BD<~P&?o$^=CBKln*1#SZh8&JG~J|g-%AO&HA0_Pj*bm}V`^=UX` zcG~lrSK+8p#jjXQ;^zFnxl7s_Y=^Y!(NqM)5%l)3ye4`@1gl8&wIQplK*q+vB+OAH zY8Y-<QehI#%y;*|4wDF<z)0r}Wff%A4n$4BH_F@j)NVM*FZg)V{Wk4~Z-s&3!drW( zYaz84J7>vQ6fx%f86!l%DjH}fw7n8cuZfC37?q+9^N-IAQgOce(_Q~l!VKCBn${}l z_Z=aTFn=8Lk2RajwQwCsG%IL!g>w!rV9NY#EMMd1ga@!j-i#;xKYV?4P#w?KEp8#W zOK^90*ANKq76|U{?(XgqB*EPY?(XjHF2UZA-@V_v->X~ikKxQ(d#}~I`<$*~YG%53 zNy!<fdKwGGjljrcSZhReo8nVC22i%EaZ_OvXeXsnJZ)w5!AL$w(AXQ0%f*SZNZi~C zgu+JPG10HZrV0)skD^T`!;q0PD)cnAO8_QftSq9srl{#YbX9uNNXdgC(jAF=-^dwJ zMRg+pYe}SSDICBWVsZr0v+XNjEf5iIX+S=4B~k-R=r%BSWkWtOAyN(n!y?4aqc!&a zUL*VUuV!uP?Jb7<>=pv6s%uT9W;-u$seAC_y@bXy2_p%jUiguiY;(wtRXG%p1_Tlu z{Xul1&W;*)Igpi)gup)z8@ajhT8=32D>K&5DhVKsjsp`hlGPTlqM4ZzkU82o*gouq zF}9|$@U=1QP>nV5MIa5s_~0vjtxWV>ahn)+y&%p`eP|m_u-|=_Q)v6pd5BjGLXlv& zc_iQjThN$5ETs{*r9NRFB%yTq5gweBQi7bub%E3}5k=5=807qnBSvy?`h@1=Wcm$c zDFv)AQGj_u=_fLvzlIfj;v)q$JBEsDB$R~G?4F7sr86`YPs2!t8;mJ2N&Dz3JYAYD zw#7}1ZTHItHXw6!Qib8#Ji)PSm6T)l$7d_{>>#=^V~);K4>#16uu<zgV*hfc4D>3S z#(J@k!l5Yh+&^P4BDcU3r!)OvxOrYq0dTX<{CK~{9~txcG4haZYre}Aw|~JBzHN%| zl_&VX9`a{Vo<wO~{!Pv^>WSs(yAZg%fcpXBtTQ~nQg;4D#Gi$M=;CZXuizsd;!nTE znQX;9Egr;Y8X~*16oQZJGt%S<z9i}04xf~AD6PkC11|W0izx%p^cBvpa}%p#{(gJx z+o}_Ec>x~ikF)myY%|%0rSKpQ!z_LsI4-^e<{#T!s~TAQbYuxhAo>o%tvJMyu(~VO zf$ba_p}slPF#2_1`Mwyf!}u~m<!gE(L-Y}zG#rV;MCf6y7Kalu_UZkeWEcJx(R0AA z3E|6x_GDR&4ECK-oMW&H=4u#(1j3ZlsG-pg<_gTti%2(sFmm7oN*O^&M|zB*_XG07 z$3h}7CwD4;kX4;9z|7z`A1h!sc(5l2n2|q?W&&o?;tfv3FcD0P)}s+fSl^kOnNWp* z9Ix+&0UcQJla`4vK+fG0F;IU>#95dqkW;-rheZU~IVh3<^~b-I_W<>i=ewimK|C6& zA^WkC*J#3VLAWw;{SKiPj_EUSk{}EwWFX&977T=WPHIO2ZOM%m^07J)`D+%7`PWR~ z(_gco=D%jkhe$w!C->cAKyBEoAA{fP_YQIVTa>5C-=g}JB>o2Fg8l}9%m4R^Gxh!k zC1m~yZb6bT1{ru~I6!n@#Z8`SFoJv+z1tU)0Es}xUUh~9XM{5FHnD^8;iE|f^|8wF z1I5~|62RgD`xeoEU_^=K!-V(L9Zdq#XA@y%0R;1_1U5K<VBY~b84$#J5{UqU-y*Cy zfZ+Ekfr9s7??E{c5JY?W9s&gABCKA0K`&w-p1cn|a+_AK?yTB99^7vJ*Bz9TgTt3@ zJKO8yNmTFa#|q#^%A=i=cUxn|I6r31<;e`+9dq*ysf*kG-rK|Ftbma~)^G0gHr}_( z5hAaYw<Stl6^iZc(T?r6OK-lm3|(D<|8|ch1D)=hbkTv7dr5GG^L0MKm`-LJmv_6{ z!t{9fV{*QdPje#bWrLgJ-C6&2!#TSNUk-3{Wi*)`>VmG$EGwL$JZ$H9a=N6~&g-$V zv5m{^WxD0}OKqD7P3K}s3fguvE_H(dWVGhlk!@sTnuOP*hizNqQs*{rGh&Nd8uYzh zL!s6goYwKiJ8Gb*@K}0y>zyCP;^T8;_S9|J_Dzp%EN{o8xp*?+LGXG!y>olroPP19 zD}SX>I`Lk8@OpoS=>&L&3BfP>#c31-oO(deE@~>`A+xQ1Rn}tz8)G9}fWEvusit?- z5ZeAGH`wz(mhXG17}u>}Z~LG1`(C_uWBhL<IQ)@YwlBy1gHB-1DaBSDx)u*Qd`Uv0 zSL+?-nZA$oBU}kKo53#`_A;&6#bo_`-CoA5L45i3&l<V~2X|sHEShj*;YXHxYM70o zUrItD?4pUqL&taTn?JT>>#nV7jh63o(3~zc$oS5yq(3?rtoIU}4W51mF>mu5clt5@ z@3+f;*_J8~j^ztnzcz%Ym+V!n??=Ctb`Z3hwsnTrV^BWdcvh7|qnOpndNSjA=-~0W zUV5z{F!$<#b>SkIG%Ql)FW!uaMqFefLp`iOHh@My;tyd&KJu7h8O^LeNPCo-Ca;>L zMAbxojeb?4U8+4r7f)YZAUYCmm;bv;O|zO;W|>woTXgzuAH(nL=jS!_Aa-sZ28>^x zg!sQaRcUO<7<f;A#IkFDcov?PmO)|J2<j4VpDh0~E!7fTf(}{{%FyWcW`AGf*gG3_ zs}A<(Vce-umbv$E?~m%SMu~DAB5+(%zu+MfzriP8#6k8b7kZ|6UTt0dxi4Saudu0` zEx)$in`bf#CzKNy%BN4dHaci@z1c7IB;oWe&jlZTT(wXU?LS~k$a&#CDT>Zp7~+X9 z$dGVVn~`7p#OL~9oL63UJ%0^pGZgblTMET9XsiyOT%QocTGGm{S5cc2n+NUY82NQ` zOYf{R$`jKH>hYF>{@RRxQE0q3!FDcsHk4{I3Jr&B4*PV7`#2J3Fzg|p_tam&b$^ne zW~r+ZS6Yr9#rX2o0Q({=eF|4!H9OLGjcv_Wq+7(Q(x>i~_Ol9A;N9R}-Uf5jrIp{X zOUfrzkWURC%u=XlqW1NCOeJnIAyS_HzElpZ;Oi`F)_OXbJzLn-qPKDUF>swUp!B=l z^^UU2iI>&Xmhv4zd9obNsbWJQ6T7d?-_6lWy@AcqDdsUbfX&e_mge=gTXmo9+XD~1 zaEXWZ)-wAXe&aiF2Fi19Rf-)_hz=`%PXad^H8hT}Ecz78(MR9%z4Xb@=lcmW-uGo) zXwE;h{!DXk?4k*sSjXPD8(7E5sr8gV-kO&gPSvBiNxoUU|H|K|_wW~vMz%L<?ybtK zINO*x8F=`2+-Ivr4w5g;qkWRu%iQ*ni1*FxOxjwo7tVO`l8}Tx%<FJJwN%kP2m84} z-j9qsW#OMZ@45YmG=3Pm^LTcNtKj9#NhiqCS!1vM*VgRwVg%Z(BBxtcYZx2NTgDP- zNotE$T8S%3AUsUULbh%#|60_sWjlF!_k8_32JFYCy0OMIwBFA)Yr^~e2qz2}3s&>` z6&<S|M<>E3P4KcN5QN{p3G=s@tL^l=UJJ!zXhlBNi`co>C=YGxd*I={foSy3d?c<5 z@2``Z^jAJQ`hl0*z7?fs;*7Z&OjA4GvQJYQ6eoyzbZm*4;ML}+dDy_E+>A*{QhT4e zIs!L^aXMC@K75oWSl#%Tk;_LTlLz`^)rOe;)+jjnw-7BXZ(8lV*#}JX5_m{e6b1Ap zO*R>JjN&S0P1Gy(1z~yDFa2>dEa%2vGH|A(9d5)QGNtXL6L^ypu<X9X&moVFEm42{ zCT$q!<cnr#HSvMm;&{$YwGJ75(QV_SQT`_z+qziS;y$KdEA=?{lPVsk(a6eHnp}a^ zwu5F&RHT#mb{gbpY)4V5cg1x@nuK1ha&NaPY+5C^96d=EkOAY+6a}I@xwet9?x7_3 zwArwd8ZN%wl4pd@!D>oHJeoaSN9}F?E1vrDxo4}?pi&j54a}uY7$`?^7}yHjLhBfs zH0UbF2Lp*hUxxgCR=W6TRN$7ei*CY+>!KZF3>Z?^EphbH)cw(~SJ0uC{dueBP^xgu z5avl2Gpr<K_VX6ACUK}?#w{AZX!?)4c49GF2~A>A%!K6exgm9eHIZqN{~x^b)v!+# zr#5olsFVqYxu<7}!s(~UI|yw_gUSI}mN4z)1i|$R3Xa^tVMt33?PN~dkr7IXVm1fF zxsgTg3o}rr*=HnIGh4x;ZW<9cxo0HRGbr$3lOIZ_)v>FQd1MC_BiK|Q+N1-o=*Y0} z)W2MoC$dQv(u64uqUXBMW^cO27R%eWHVT&UnO^T)n!Wr~5DYz|agE&7l+WMG-EDF7 zw>$mrJU+E<;oi#rB}#CY=eS?<mI34?^=I_XC)*DOL<5=E16(9<{UEDi2w*XDIUygg zRHtJ%*qk&_5~L^|ir0Ks^C&FRgTg9c3+aTK(D0W{I_RpG&mP~5&$ZLHB;y=5&#!41 zJyVj}Gs;R!slQoRjLi7dE|}#YAGsQrt*<dd%+i%2n^2KPjxD|3$A5}VZfpu}k-&&F zF{P(AOmzRVz1h%6V9hql`Z&C^-?lAuWDL*E;y;}|7@lAEdxs<Au??x0-^V90@d*X~ z398ErqjUPs%J-%0C^aWii<#<E%2yx%i-kBDhqzq^Nf=U|1K{PZJX7yY?5O_dC~N8q z``qWg6+OD_fu*vleakk*lPdM-vA)f?&zD$VxQsN1s?=ReW28M&2dfrWyq*=$=F&IO zW1TNaQQhaO24(seX<1u|ujsQ3^v}6Lk69&Vvn5Bads6mcmw9FD_o$y(9TX({1Z5YX zmRdy&bA!Ip;-(zHE{mo+7hB9sgc^dA@af^2ULzzX;&4}4RKmUP6s<T)b@Ldta4#Bd zl^lFcvay^Rlx<3n%W&>Eg~3_uX&A{&sUec}8q)ULX$4pLXl`KNkjP@_FY*L%tE>mD z-*XdWJUCVcQLNB-AE}%}bFQPB6xc88tSP=U(p~`mFFs>D-w=Jp*)JolD4gj3kP5t< zw2Gx-8>Nj*6he7frBK;-OUL3;r{ht3Ii*n5`2PmWpvsg%L>CYkNqE#N96(HzK|&W0 znHhqYr(qT~R21zUN3LU*m<hv^UnG^ktPw36L8&ADqQowv^vo+yb1hmnfSM?ShAyBu zGb9a2#0(Y1ddJa?Byz>M#mZBbw3v4H!!%N9%>E7FYE(5yGKp2Cm!lvARA+{?<Z0}B zXZFIV{vRNn*rfk!09`Sirtn#dNqH~qC!nz`Pr0)1RZ68P4AWw&YtkPYM^`i~Poe&| z!+#Uau&d7ekHh~5oK~U=sQ$~KZWNtOKvfASj>=BV(q3$I96ga)RVhqMepyTY+Fq<+ z1U->h^&c~NDgY0l{};(#AxxwLP-sn)e&0Cy-VD}%qFUnrK>wKkEAd~zoPz41NjQ}n zEmhx$r8gp*Dj`%jmcoc#cI3fTIF%etUEiptCnB3H;j?foi4nWR$OD&fDlr;1kPXm8 z39!Pk1bgfPBae?lsh`jm^{i^TGqUj$28CjA_t-f`Ae)3J(ur9{ER9mGS^SxqLaw_^ z8$b>mVrYMNZOxQcavD}ta?UPN#(cg^xkWEJ0S@jDkjzyE-*SphW&!X7@eZ<pW!nI7 z48fdhaM}s-vguw{1{F<~gd2mygOF8K#+~rhaGNQf86W!z5!tql2u*w!mnmLYbc2J4 zxEwREtJs6uNFijPNqd1yMLt+^H~c+V>6CjpcDlgxatm*VShhhL6VSf#N2H(#$5dI_ zEls}ZY)a?D{x$}o((E5oaS}plS0+Lww`yeR+3%7pIG}n%C7`)eHId(bc}b*A!ocXk z5Cz8Y6vk|DNzGYcNBST^5i7tnk)Xn6<r_>Uy(e&z0154}K!S?p-vlurA)oX;VevhI zLXr%garIPX$s&Ab`IVkC6M;l_-(u)9quECE)-fvWF+1m%E32Jzw~Tz3XNci88*ekv zv4P`TPKnDW*d*W0)+?}#*z;ps40mtKp_7E;7MNWxM0YaOp^{rRC%(9DT>f5-FU@Xc z6&1&^D=QaN63KQK8oHYEBwP)fic$2tb2is?pH?a9Egur{MdF)&J8B$Gn^w>d?1*p0 z^14SzTger+wGc+*E{b1WFkLkY0UMI}(OMbc4o>$EY(W9*K7X)ljI|_<CLjs6&gWV= z%^i60<jL%AxaIP2|AA-qfM!m2xV!uZuboc;fx}~HvY^#nGrPM%VrVl+ma92I)%w`} z;ZBn4y)t7v&J1v55!&I+zM^TJ(Sc1Yj`lSq1n$U1@OB|(8-lcZx!nA_MjHvPyU!{# zzI3$;V!48hUDGv`li|2)8l+tVb79C$ZFs=?NSdTyDa~VH^3`a>ced<EHO|jUX>3l; zY(v|`F^2CAl7kmQ@wbRG7bL$)5EDy$#WN+FmFpMCEsk<k(f#}#i!@p*KtVT1HFy5r zgyf!JVsA^(58lIuH6(B|Cm5?Y5Hyck5FSN5HV?#7Zvbl~*(oNDaH|pKj5MM$Zc^0s zt3w@AQohUT3zq$ux(V0zgj|3t?f5148`EA@TM^8)+N+}Bb3a$O;;8`ou7Gmx8yW&p z;L5K_-r_voV(OcmE~0`MO|~>d<pf0KKz=389yGg>9i1I*9+sN#(u@N;+P>T6GXp#_ z9a9fd9Z{#mxh|8Yuy~eaDG8>7oWd}n=5MjAxeX<Rp_#k^5GtR9w{V<EWe$d@CG`;z zh&m7CVM2Kv&_1L7`3a}+(><+DiHP)!`<GnW0R+rP(nnh)B2qP8bm1-fjIbZD&MrR* zL+{-KW4_fX!GyLbP{)yL+5Pe()v>}6_S=;QlvBl$X*Q8R;o@98O<`c`(*k1}pT>wy zyTl5pCAT08h)5T5F@(3QRKtJ3s>c2z3{{N@iWxa{CnCR7_lV{FK7)9*%}Ojc2qvs4 zBnoX^EQqd(v{(8YCZRqkWb9bZm%5y21lbpv(h?mR|2h{;SxYP>9+E_EiJO=kUld%* zOGy%fT3NC(nj&HcJ3lTan-RrVDiP&G@gs?AUoqJZbi8PeLNCM<V~=DO(=XUZS90WH zC9Y0>5vDlf2sdFbcqu?GR~fDIF3*d}&O-5HHf9aVS)gDSzlxBTA3)R&6)d2JyAz5A zid90ps?i{p`x)lv$!|b9j)flh9Fh#9^egWh0S)#bi?|q(05&-o+ZW#{6}0bYXuY=q zT(!)8KguZjC@I29VC|<2AwFx$M952{&7<tOjKIXzCt>9$P?nR9q^2R0T9Pq|6Ul2y zr1*1^NG@^fM=<9#hA|d72gNKHy9RAV$cv)QBkc{01F|5j`~b>w!V#`qWKu^+Ca@n{ ze>(B#afGJk5WgoNZXIpDTy-xdm!#L6CF!+L!x!TFTL;i|>9-qQF&PbIc}v?yWF)c~ z;@ZdYSd4U!h>~B}X0mt6H$4;Xk>0PR1g9oRZ*05S_~qN>3XOa|8)8Cakx1?6cie}N z*p2f`iC?L(=1+56LSG?X^hj#7y0+gg8C|#7511Fr<UU$D^^uDjYs3v1xMjF5tmIoD zHpA&~fe#sQM0QbJ*xZt$JTvh1`F2G}IN!G^rgtAolhZg10XC)q+O(?bBENvW1xI-X z;_EZP0twobDGxApn*cNB<1;Z10otVf1z_agnGAq22N--YfH~a&7&Cx@2AEZT7kRa0 z8%VTyB0@r7k$OQZ3IrWA3=A#M-arT#6Y?k_;X4DSR;kRdO2l`EqH(gdhoTuWY1NzC ze=@aoOs=?TOB@NLP+GqjGq*kYWM1*bD&@}*1%qX#n05GfT8it2OziD2_RQ^f`h<1* zchcc|5DB*=bW>K#DXO|KHbMqbh^oPb%7>T}BSOr4`UGQzyfuiF^hF<Ot9cL{^fnaQ zPqWyCIe;t?E1<FdN>K3Qw(kZ8OBTG|A{i7>Ik4HV+`bE8BV;s%h+0~xP>4AzU?U9J z$nO9))_@K77+@0z*l@Z6Hd%np+_hjz+K@;meK{{x7^<`0S`=yny?t^Q<?ymmXC|UZ zVpBM31E+OGvT;K)=2vsp*<HLo?^T9p@j=xTB1J{RAo#_^y}>zN2lhEm4NAyLs+p*t zEF^?lz^>&1XN3^NPR%iaKUo1H9Uu^j0HOsT*hmOh+-g8knln%&tF}|!Siri<xMb^% z^qNY+nNcxEi9RUWiwib78iSM4rX~vZ1lkXD&151YS)DF|zz!RcA=zHyRWsHZLj-7g zvkP`jsl$qD2?o<jzhHxI&gFcJsq|0*L&ls0Z2aGCOaPnQcN>R+uDM)f6eqeRL2QbK zaq)rE!=175vl`p#r&8XQbT`(ZuJQ94+baP5PPZgr0&YfSN5*d&?_#f6+=b*`*n9AO zjj9;7Z(ZC%7TGj@bjzUCO*VF|sUiV{*SDOm?eyc<Mm!Smf!T-0w#=T|S%;(KQCM&S z^Yhy~f0}vc)5~xH)B1}3!8=FSdJbH6V#*VIT#Cz|$WoNMi)$9sKqEDt4jKU{Qb0Hz zc+%?Gf*=zmH$WllbTlafa9Wlq5~0jZFm|s&esA<{@+T5E<&`~=FoqMjIMD8C{xo5N z+)z#1qRV@UPX}l)WC>Rm*6dn6au19A+%H0~1-#4Ykr?lFM1S>^6Q&x!Gx8VvNBZd> zsT#77-gzl!=(x*2VUd5r@%aCQCI4~eh5pA`?jPsgzs~c67P{9!7o7YN!k%w}VtT8; z8a<@}WufA}i#;<(?%04%?6bai;)y%;)cm~+<G<}VGB3}+_jfSoy}!o>^%ajm#i4(F z$Kw{g`he~}es~`#ucVPX7C<;xL;Y@}&b&MhbWUUX*SpYo&_ZC__{l`O<b4#g$!cCA zvQPUz-|aR5vRf9fasrCkWaB^T%+uo!sa_ePx>@_igS-OU`;WF$9<E7%;5j0DxBv6i zE*BuUWbuk3py*9DesE>(9)HC4%7D<#THhah=HK7Hx1Dl#b;_owdD4nml>k-9THYUA z0r)#xg%<Lfx8v$fu;9k@c*JkrwNExN*>xv%MaN04cZdta+I+DyEG(bG!3rE`bfEFH z7_I{+fS*Mkgv7BaI6`^6t8nqY0WSs_r-lTsjg99Brm$v%E3K4GE&7d*zb5aKu*ooc zv>0quz0W5b8*^h_7|YqcD$35uxp8m=tHYBIxpYhK>ZL2!j?S_SPoi43^mlVjK19yO zz#4{tdV_1VgbkDMeNgBItGmDn6^l>dD0r*F^Pn*9u_X-RuHvert(=lu3FSkFM}wHr zV#slnKWma3-Smt1b$BtvB=c&=bT*H|<+J9Mr|A*mD648P8~^Ah7(30DhWnFBou1s5 zwPWozX|o+}5r)R`xVyfaI;oB}aZ2XhjOnbtOV^bZ-=&>3m<{hzm)VGS>E%h?yOc|Z zZIhYsFsT7Sa^Z`nC)1F9t66)m5X!F0-H?iI#1&penw4#gC|6cn<t%DGZ*Q{UgOy>4 zzewWdFsg^T*LhMMH>c8L8*f{(;k}j2dth}q-d)}59HSNpG_~>8B^%yZvAqWthf&?t zb<UHjfq-ipZ&|Y8trh-zV0Jj(P5tXRMim0*!Ur`zDsjm}L(aU2F`c%f&+=IlvyacG z{mXTHNpq<NOo-5U&*~T=2QoD&6kDzsG|KYVPP9@tTQaq3q*Hfw`e<x6{lcL;S9t=C zE-pVzSB5`S7&0*Qj4p*Js03+3nTffaE)FnQO1pT<D{4TwJ+3yl#Oi7|a8xi*H+^i= zacfwz`jMQpG`buPXDL#VyjE6)dsqPJbbXh1wKQ>D;7oHY?q;gK+S*oJ%zoo`xa!s% ztD_;!Va-5Y@K+x8E}wXpoBWk$0`fq6KwiE0SH1_xn^pk1+Pl0BmR?dVEkcvhTfY4x zYB}+C61kRRdz*%~GiC*6G;5-w$X?>t(ciswsFI31l1aWgm^zmbFh49|!O*zk4dr|~ z=(0h4YrVig!&U~FDFru@<a`7*M0`QS5Dc*?kv$sQm^@`Tsa8D;FR5~_GvGdjv<*or z86?mJgGIVT8OllW4G+i}q|gPUpq1NWqbZy-GoxV}4-19rdp>%rZc4)NPODK&xX!^M z_RN`*Qk%^uiTH~19<x&Zm@_q?1fV}cuQ7`tMkxt9BKk-3gOwmQ#2+8c#lq&1z4wlP z7|Z9NHJH*N=uOuPi(1n3%g;1g9aM1!<o3fMSCC+A%T<unb7q`a*}_b_(}=Q45%=ff zQ&w?i<f4R&aH>tgAx9<;*qQ`O(;^s8^lTv^q}K|Il2F*;Ep?)XcVqJdPT-VfNRwD$ zc)?Csy<0X=3bY8Da#Da*+Pjq;U`2!uSQP_Sj?lZDwfMq-P7Ba=)082}ztHvP(sj@w zIH&Z2#Uj+!0&~ORmU|21bH<c17oUy<t%O3-^r~YI9g4C_^gMESZ1FsD127}$wIx=< zfEhV^9HL1oub*5_V%1AIesTn4#!=RN0h06+_MQGZC9D(xNlZgEEpGU>;iOXMQ;t1l zSi6tfZBdP4C}b{&+*>LUSMU`&5-Y@^3at+Kwlw0dQL4bWNUk{cV1)jgV9+y(73I=t zj%q~D=j|`SWT}<R!(-5vu;`_fy)?vL11j2*Gkmy$&`nx@uNXnui$V6>aB=mY!2Q*Z zPSWx}0V@XCQ^VAM1@5kTbdu)(3Ft7$9viCvD{y=DL+5YjF@hcNs}l7C5_Ez{h7N%8 zRl=?U%E$J9I`-Uc`uGbBqm+@<%l2H;4sZkOBM;31BeZg-T=qUBW*gOxJ=qNTz#rw~ z5P>J)7@kM=r!+KdG|W*6n9sU$?Af~&lQG3U*mXX(dgRszCgq^z!R=JbY`q6Q6EI08 z{?0KR&Gsm)H60}#T(`CxD?>V633y<>{rWrKvXUxDf*LRrr-F1C+BC(02D<<6+}k}4 z**q09tjV4Ka;`E0G<R{Ar~f_=@5vj{fY?hfY=h`4<0dt;m1&pqzNQ-UA>byFHUz8U z(@Y0TBUnHOJB7v-XP7e|sLBfpW~%82A~t4#jYeoQOd&{EmM!Q*>|6~Ghtx=hMi?_E zM<^I8q7Eem5ejrHwXdiP`0!mQnK}+3gQL=ru#j(zDo~fOFr;K2$pgOKz+<g6U_66} zw`nybp1G=`7J%ty+z5OiX&SrXSBa8{9f#1t&2zIIhm^sKooZA^Jy>c633em=e701J zut~2s+^@A9mRe}cRGU<~)C6|i#vn_x#cA{>Hs92VVvM5Bp#(RDHVhHMM^i^S+J(vd z3MzK!h@U_-?JQ@|)SgUo!wZ?fJZ~@lm7B7<GyZFo&52Z$D3{wTC^nQlH(|AH!q*5J ztS<<nTrmLErwC9)i2x<~P89-FkP1LezEd{uR4YJ<s{@p85<q3VQ&aC=S^&kA3{V5_ z)WIyMZJ!P|Y1d}**O)6b-L%aTtT6ii<f4Zz_;Xr@vs_Ah52gg*yc9S;u=8*YQ#E=x zEA=UC%%c0V#m&v+qp8(!lCjlnNJd-EQ)Q&5wr{QDpq60LM^(;4$=rXu3j^K)7RFrd zEC)4yoHKw|kxT-A3P(A#uqK4fTj5V^-wF&)Elm#PcXwz*N<dS+)%+hRL&peVrLAYX zFe2|R_0DoZU@iW{G?ngLT@&R#3K+|oDDSw6hwG^Fi9A?==E)jAA$Q;`XCUlEC#n*> z$S3cl(@`#n`8}%!P|;_Ag;gWY3)#Y*B7|2?oRLwD-#ePNSLib~M*#oVJ~uON^Yl6) ziUSLMTxIBD?s*igoOK>ldueCg9(R?VpBx-_9$W$F{<y34+~Np8%-#;H!C=yQd(L;a zx8EF^1h}(BKnoe~c{HEg7@JQB@TZ8N^Z9<{L!%aXZ{D@*#9cjC@cjfJCP){)R~zXr zy3bu>6hcHze+4+n%Fg^31szb6@sop2B_=FwNF@A~To6SyP)?o~zzvR&yA3d}1hc7s z0@^|sbO?BF8gk?05vYXP=Kv28GNlWyWAS0$e^MD_QvMShV<5Uu%~J(*ehEn4G%wmW zI2sV+58n{QRY66e-3B^M5N{4Td(eGsNWL+Ac7@F0n+7UV1?gJ#`KL0qXrMBZN}w{7 zg};@_-u+KyXMZc3v4xa72AcNx+jLG8jgJQ4eO&+Eln-|~h#Am{vK0j%s#1`nMzCT> zbirDuFYkRQ-iFJW1ByJNd$0Ll!URl^z{lTF*WP8rZ@Y)T8K35D?<yP@fAc<K?!BsK zJQ+8y=w|)-!17iamJ1BM>lVYvQw`_&xP$9&-cwAY7xj!=<K|M`teX$^ue4*2EZSoX zvX6n--WbNusm$A$B2**yHJt0?4v+1;t2EUw%geKWy01>2JzQJ<psUc{rhjX-ZcY4> zL8eW#?xd|cpj6pNvxCLPXT7WGCsQ@Gl&FKu>GgofCEk|<LD3M>Qq!Ugee86rr|vH% z-9g-JjiYvl8!IO2Q6?rTU4wya`^zW3i1sSaFXR&`vf1JF>0<Eia(eVUrg4KV4kjxF z*eXuphi&0XLX@}I213dTo(%bG_I&YY%+Zo+oYNENeX!y#u<*KYntnU!He7}tZ_u<2 zZqhNx9)#l>WL6^j1xY8PCi2%06A%p<-Az6VdafLP<UO~a2FFV8{wAyVb7CU#lp;t> z1T<=qE~fV8JunEOuIQuegXstN?!u%Ysiu?i)Xbs1L7Mn!hHq&Jo>U5A3!!f52O2=* zv7~JzRdZsxd{!Hc$bq#r6sgwys;2JFh0S7Z%yMs6{c}YIU6M8_vP*nyE#BkR>ZU3e zo<E3Rd~7q`1H&3XynoS7ya)X|viOVk<2}URQN~|%6z`$)jz<2XQ&c3@lIV}S_e?jr z!x#JW&UEoV4@0{r0^b5LSyI(kcDZ*flMUGwDIPECl8EOIjN7<>H?^yE`6`sBZKxIQ z+~ZgV^70l0>e@N%r%DfiART}!r7cUQ;Y+1md=aGkWhe|qSi}!s&=eWi%%Ii909se9 z4~q?A?pgWSemK|bj$$AB<Nk6wV##s~gJypPqAtBIL95y)zPG2&x#`>0)7{$&P1zBj z=cD=I+tnkdruJrDT{;07T#*IszIEP9owJMA{gQlddR_ca__Vrs?c5-(&Lz64Ha|CN z)9&rd_ZY6dWye)jRW*+pJ|5o>htK}Gnm^uM>~Bfm4ZemVZN(bvZ|3^lq`^-8Fw>fk zoQr;Z>d^M=(ZcKPUG;4EvR2*vbfs5!d$n<}al5JWR9%!!ubSOpQ0miD$<6I@eROdn z6Fmpg{IYxEQ8!D|QLApP1x>DxSDU-Jb>-yf<?L$T=J>pwPT+-yrQY?`^0!Qo)5G)G zp4si)_MhMM46psF`)^?V>ErW}{Mj8GCNv&t@M+mHPMD0^Q4=4tJ+O@5z|2`}TdFwJ zzFtm`uhlq7kf9P9VXAO9amu85qJ(|tY5JWks4SdwbdP3|hGgP~2-Z21#TV*zyN>E| z*|%<@zjJ$}>pYBDa{R$2Pv*C6TeHTi9V`|D$7}iU@%6I1_<rp-M+Ulst{N(<?F#89 z8Osm+>$XSb6W_ybeDWR_Pfr&yp-T=+R-Uhh-Dc5uFsyD`g)H`_afx!pU;e~2#N2=E zc#)X$5i<TopoYWk5Lwn08XIZC$bLt8AwFx3$n(4hY`S+dJs|R1<NMuaY7f*Y>=z$t z*F(M_vd>^C{26k6t#aqd1Y_-5s~Os~GR8%d&GH@>SY2DHzh3SOc^vN^rx#~;AvL|q zG=kv{`Qw`I>kF+bFYnp<D!(3HU6?#47to+%k$!A3aFTQAo_aw3V{kn9xmhkp=hdc_ zxSZGXI#;e(IV1qDM((aCyE|r@KB<i$b?%J1=5yr)-N83&;V4merF1XjrOqXY4R<x- zXGIh~JRaF(j55E~n`1|?E_i7@W%G4J%2>sY1;rhdWy$jZl#5L^@sL>y%5ZSOmY)&9 zc0Y_H=jIFDBj(o_BQt-sQ`r*8<?lQVO<YRy23z%iukEX4TJxvNS}hlB!o-b!_F8Sc zoOevCU;H8eGqU}Q+UQ5{fwOBXJy*_<R@kOI(BGr;g4)&)8(xby!_P)pR(~8FSVSOX zIBq;=R6j40ms#W*#H&=h$C#VsjV`Y(KF(U>x=Xxmn#L2HtIRte`(934{c%1faCdh~ zLf&($P<2*KKIY-^heaG|P))YB9=H14@q1mnV`q2wX}D!rw&b?P*b3O+wlGLFEvc<m zQSpVvWcCZLvK*++%Y%{lmzcS^&B@JlqB7<1^EG_DR!+hAxx>qFj$v<W9jBXnUo+3^ z;nl07?bYC#;bk+0{2sO8l%Gb{qc{?pp8SZcb9~0Y-nZp-y(cZs<D27Ik8k_q6yw>} zI<VRl#y;!(O}ZQLiw0MDkKo?jZ)b=u_@EW)9THdZZEef~skuG*=4Q(#L)m`8gN9Fx zMHQ}1?MJ5HDMzyvGL<Qre$}|P4Ns(U%rx`za(jY*j+`2*)uM^KmzEcVx3u7$YUT@V zHd`Hag@Hz}<+Z}o_KfZ_JTTi{yYsqz-Mb5?8XHNtvGa6iGiRIgHl!$gs<3mzr4kje z<~`?nHZFQ}-+vq{R6>q`0CPfFlk|nG9=EvD|DH+_WJtZ{E_C<20c@YCDlIx-hBGI5 z$GPb4#kgoL6%_gctU2>|oL0TZNh1O6*cpCK(HP7`jGl!et|Z}TedO}Whiv1e>IhHM zW%mnf@a+EX4nc?23Gu!Akl65wtI(VwUF<01M)8<o9z59==U-d%8#p%#b)g#%^_gxN zT&4Vu!$Rv)yN}(R_H^%;OWc{tiWm?^-Vipt;W}s)Z$X{O3L!^I=p?{+Gx4MgN4?2M z*Dv<HK$dfPoyX?Jl=FOaPk!@HfUxtx04=w{)gwJHh=;swZ)^nn?hKnnzB0qSt+y#3 z*lH-ZtU)2yf6_ac4u+6zKU6G|wV#TqN!oCpB5B1UdeykWS}Mroe<+j<<sXH2Y7Imk z6Eo*A7=ThzOIUafm~n}hGK=i<R6gnOq5Snhys4!MnO-*5Xsoz`AxPq0(;%hlYVmsC z{(&WZe0Rc-Yu<NF83(~Zr-?~n+)G0>zV{A~Bk__*_WOV$#!i)nyR-BCqZN<Hm504Q z4(!3Iv@iIJP7z!d!x_ODYmoU}oV-oH+2Q_pZb(+=`h<Bi=E2$#IYV{R`iiv=i)SAk zR8yM3>>dv~vQ|mR7@EG=O0pOhWCsDyXBPpzam4`43qG-ntyjsg6n1X}1Yj(oFCJgf zHw!>zk?+$!;-IIzExdhtMhLrz^DBbUvBLU{%+TI&y}x&UE)k79KSl-T9b|cP%+uoX zP;qy?4npoF+Lh%RJ4^QLelRDUl$ApZcW@{9lls!#BA7<z)?fA}x{dGLIRNDpg;ZEE zg|j%%229H<0Y(}1tIu!9O1oKR+UFiedo@Uf#_x@$$O!uog9@K_v+Ji6+xi>=*zkpX zXwb>J(xM1E)~6bvYhIcc2$wg5Ic}>M99pYCBJ+5)A^4c2Lm-gUE)+L^?UsxqgJY(v z8&!w7r4>58bAmJo4Fk<Zz@slLT+1}UzJN0Ab{@{~!CN<wV7;4xMwSUD*$V#kg|<-` z2D~gV{BcT&Xo8q;bH5wOjhP3-uP+K}C4;GnHELo+(Y(SXft^$V1B0E8<5RE0O5sPC zNkbuCyYQHV+A*vORbUahhzyUoIJ)#0uo{|Ljb|*P_Ek7|`X6ZZMpHV2bMVUo>(t1h z3skjUcxp(?4aD5#){uJf=10Y=3cDH>E(J-)^vY+n^Eb@4!;!zJ3JbTKli>tpV64TX zZ8k~vLsjDo3lL#b>jY$aOPdzN@g^OIh|F0NsHb!Ees+d@@I8eQQMCXO{!slR?o=v( zY!{BT=dxRpWJXBR3LM(7$#jA&t>!0N&3w#+77Cc*!eK)+7?IiQHv)W6k_+5=PzWm$ zHlK-O1E>uU<0A%JJG|V4O3Uuua#Lg~<{Da78H|AVVo0QF7-U7%PAf&>4|)sZ_3|dt zf#S%fU^Trq7SzXKSqK4c=HpGBw-|-cPBJGiaa78fn0X(McP?QYFZ6^%g7G7Csxk;% z1f^wmFCc;x=zb)|ObfAw#4KS9_Q=8c^1}p!AiG%aNI%C>lFLk!i5mMw%Qh3`?%H91 z(#;o1<m~FRqNM6;nFV(ArJy9*KpGg&K$wb!rPNW^&MH*sOQmx9Vq!9x7#b}_>*OMp z@oA`#;mB)<R!oE?RkdrC3ayZ^hI(uvh}6_}NvgmpeU6`iPz_$ALI}9(%B58~U)rU| zIEYK2z`NaY1r-xlGclQeTx+;SWwObQuM(HwJ;Mn#xy~^h(g+^YzwYF9ApP7Dy44`T zMrH_?7vt3NdGh3hDPyBj8WwAg5=$x(7xKfC+v8n?<W(mwetx{%B{UCsmo4<RdYf-d zlCcIhb<e&CwQ-ULvHpr-elefB(I3J2=_;u2e!kehPu^AuDn2(#)JI7yPkWci?U~ed zT{W*0>V0ZB_T99F68e>YY9o&J>4$$znCEdUkwcyM5Kc394JqNk1DS7*DT$o4p-UO= za{KZ?XkvRrJpx*%qfACbggaF=7P@$5SlV5|j9p@acHg-{y74^m)9S7DlYh`PX38Tb z{>G`_S1<)QusvZkX#6m6Sh%-4QarJRwW{tPzDRU3X{uonZH!d1GV1DBtlx`GEMQom zrpx0ExVk?T`y<ffmzy%*F`5mb?;0YiWlxOAc8qLZ%%g^;W@E7k45quP6|%%535k>D z7M*6=Q(V#9eod<6z7lOkss2&96Ic$Kuzmn9mt~{PdHqRhX(c#J`$(vmK5+}nE0K7c z$37|TYN)cViA^w!76UuKFI<}Wz;GK8d#i><^{2B0SL!)hu5x0^Lp44;2WE+|^cRog z)Soj4Jg-%^_anVl9pPXuCxoXQm7#J5SCOD}HMr7>dOB&<+CkX`0}EbGD3V8|{$EfX zVmW8@&F!}`;`hFz$Zye9mRUH3%^kum@#GmUl1Ygz8FHSD)X=5D)zI-~Nclt>XfB*3 zMOY>lye7%Y^ocq9X=7rJ8hl-HdcuW)U5?x$UU#B8oh5NKigYK}%8n7~|DYW5(fER@ zH2->PuKmx_ge(160{{3NhDVSqO$ajv1svI$_7CJ^sw(jx{9D)3CIOq6@|L}@m7%I1 z&{4`iU`LQ@%@VW3r&P#)8BzL$b%!RR;3s_AK_*~n@iBH}#Z%PoW#n6#r?8NXzuZ@m zM?CRE;OlaPy_!jQ3EHJ^s7N{OwaK%{gWaJb?d;i!R^l;=myk+^xBQVXVEszVHv61F z-+MR|ft7~y%f5Ouw5I`zdRw7epeCy}de&Y|*OyQ&FWsWc5PV^$RMN2T2(Osyy9imo zl&YT~44YmQd~p;<ho<FOkbCPhL%<-<mYFoUerjFDOh>t4jLE`)Coxt1v$8tQEtY?X z@6HJrbesu06w+m_LQfv7AQhn^8`4+7@E<WnK_QSfpFsxcT>E_GexgGYM-2xBCZnJr zV0l$scE<$oM{Oo+R74y@L_u{o9DA~Mn%c}>e2MBO56-=YilfcDLWonQ;*kzeyPYKN zG=;(0OwL$9Mo?gMj4v&?qKj3gx*aQ(a<ruZV!A+#QoOiUk^{|Fg(E+(YnK!ge$|mu zY%>NfWcV{XDM}{%kbO5OZn?yUP!L=j1ok4TRIIC6o=#d#Qek9&_ya2Q9>-})<R2>U zE4A3sPzma=h}^tO;)j5U&B!n%`IYZtsr2B-F1e1vP^yiUoWX47f5?2RJJS#o$6t4z zznOD(jc1I#{Mit*;p8|evhcEZw)e7!l(_LU^J;C@_DW;NXl(J|(Pj`>rypO}rS(?- zjY)ubpzUt@%KT>hm3c+OTYbH3je$Yscvu&>#Y}K+l|(Rxt2yw+V6KFNSzX*&m%p@L z=Mx@&EB}hQuHo3%l{iK)ux?;8fzgug=}j+L|J}Rfn(JAW=v7v=#%j1UldUyWx94r# zUa{Qk!LGWf&3I4KKTC(N2h86|&TccK4hzqg$PHI^GN+-)r6@%3!Pn~5oZF>JO=FDM zH1aGr16&oS8GV0!tUw>u4e#?f6q%uiP>DCgZ?Mg}ts_Rw)2L`S&9>s>dikZz#%-Vb zaI_NvCef6|bsc5GvSg%-xzKYt7r$LABgf#)Nserpwhbz0UZJdM#!Tuc?UTvWN|1UN zCG)Vt6SYNgI~P2ifREt4`Jx)!bzd<cw}Cf4AdMkVG#n-Yx|q?3D{LhdUV&p5J)1={ zT_ymMGZtSl8>H#*DxOvGQEY-;3#2_~bNO-}Qm4|1T=r!&(P*iqQ{V;85huT<X5(=A z(B+^9?p%P^l6%d$<hbRw<H3|excc_WB)f6H!{dv#{RRxr#dH7Kr_N~)n!VJc_(i6X zrg;+Zwk{DlTIw#Bd5)3&u|)A-nvqV<6zFcA;NY*sklwbk7n@%(eO7;NzHV9<ZCJ09 zcsyJ`b*_KzTyHXd$b>sXeH|I`dN?pH<%vA9yWm-Gd-9TqN^1Q{7G3`}r;LDZNgeF; zWHRq#T#8WosGcs#Qf9#V8L!4~HWP5*AShVJsr3bp=qc-uOC7)=aoC{U&*w7d<R)Ls zkbsH(Wa7vHKfio!$+tiqSnt+qli8*NzVcD~=>=q?aDrc@veJzd!aO;GSGcm$12~(1 zKeIWpgPF@}dZz4TADmsuLjeogCp~D}uh4zlmj=h}RY;QB+_**x=DWq~y|`t^limyX zc3NN&jYKPL8}#k;d5;95B_5>Z+NHB_JDTdx@9Kw|bd$!_{%M<4YT}jv%$$1JlzGqb zVc)sw^R>2xMbp<7dqn&?1RLkJdyog6id(NL@VBa*bIeBVkLO?w#u;m<7yIMc=Ut4A zO+zh@B+&d7&dME@$~zTV=3nv(wD~1E2?i&Y7b~>U?*4r(=K@^I+5N9;IcAAaiTOp| zf*LMW<L%UlC+PXrg9}WmhY$46w&E38405gTnw_B%s!3e&D<5c=OFQz0?u5#<SlyP$ z3egF23jG{gRn#wltF~BTc^-WA+)8Y2MNwkQB|i;XezN_|DCW6a595|~Suk%1!PA`d z4>y|#P3WL?;8ye#!8|J}5Hkq%O@ahxa+=6;JQI6ho{ilWVuGg~3GaztfJA?V_e4@< zAW`x?(FP6G#3Aobf)9(1B~$je@#q`73b3-{9&4#O7cC{zpq;6fWP?o|I^3Z%TkEQJ zA545h^qwAZs%*V8MGID(xiR8hww}b<AB3UtY_HdnmuHR;_=mltwzpaoCpcf@&51nx zn2R2a$z{Dc)|Y7~_q*3km6r%3kx-&<-3d@CKNT%frgjz>3w#TX&~OV`x!9#m$+3Yy zb{3STI)8pJMBh#Sc9;1L#`8=sqGEbjiF#n?z+L?_s&p=P)WN_We^FSXzKmpfGWOJN z@Ocs(5_wtpS*Oe|#1!8)vxE5hs!C$h!->D}iXcMD;jv<9S0LVGS3lUHafNRXk-t~q z?ym9S#K{6obU~+;FqufiUn>fsrWY?W3Z7*P9^0r7o0)dNaG(+hb^B=r!GEy(H-Q;z z>xcKbryl8R3`yw1+$JIwRmeipCZZ!{NQz2lln*~P2?(&a$8Q^c9)h-zv!%3ELIVad zhpdM5fU9{T@&1Y6(rE=}$Yaxb9hrnSoDep!NnQs3gWF(5BzydTSi&)+kUdxI9(4r$ zatU^n9<IM(&T*j;N8e$hB^d!G(U!|1m^{Nw|CH-q(RF!nrp<7t23f8ieO)|Q{VvQ? zjBLA*-gZuuo&bg=PrhBGaL+0{Vw*vHgCKDDXf-EwIa8)D!3)kw2ux|T_){oo_~>TU z4sad`iUx;B9-DmH5zMrPJb`z{EK)m0eK5-f=IW};JUwhaE>b(z?){4+a#_#39ihA3 zeBWv$QK3&@GQ)34KAc+5-v+WD=cozq=iLtoKebqS=8m3eCQUTC@K#T}?y2jV)P!PS zayKTDdO*%)9#^ilP(1J$dlnl(m*G&)t>Wjb)x14O)IP|Kex@@kUa;u^xy@=D6Nu^! zHv4KQFVY+I1*-UdyM(>she*>ZEVCJ<?2jsxT-4lFOt)4!{_2TPxwQ{`I+O(J2cnec zf%G4C4??&ElA<HnkcZt%4Xf}nl{cWTW2?yhT%+q~Q@)dIKY!x~))Hbo&rO1~w>a}5 z7^#zMA+l;=u~>8xS}Hm{V|1Yo=udSHfr9o>zfn6WtUwB1eNYa8Oh*u^BBhT*(yMxE z6sC1zP$j~!NP_B(Yt$19C@2d{ibwkTo38{3Sp_vW#4YqD=TDm=QL)#X5)ox-p_tGu z%AwFLPoA*cOL?)doLV*n<m{Ip(1y<RfgvYn1;U(a)+mAB4XJwc%Y#369=7Ko_kK63 zt%mN}h$2M6P{bsx*1>1ba7|-8T>Py~L#FKFIV+ewAPoOYGy<>KufzrFmuOPQ4F+A9 zxDEzQkz~ufO-G?N4w`rD?|5p)Iv5CMUfi|MeHQo$VZcG1Nrn|*I&AbH&mF)r63zVM zTj{cOUykJTBJ|0%)Kc(`Fnzse4w3ZyRKg#dUs~q5qZur;35?&>48A~<m6jLi<!e?K z)Xc|1(v4a$)n*1Z8{=Xe={2#7z<ybxrJQxNgmS-&vovZrGhL!Bf=^(~kC>QW7!5L7 zh{%jtLTpCoKJ6Co`f+#aB^-Ijl44VoJ5(!o3$9#FJyM5#C9H5Ov@cQQwy>0<)V8`= zEbsXhv&jv5Qmj}+Cq5Pj-c5u1<C1&W7Q<3mJkCc2pK5vBToQETn@Je46d|^^E=D}P z35K2Ww}`dQgfjCV7tMHosHwC(c=Z(?IWL_GpC@mr8%=ij;Vg=SNxOm+B#AAG{Ybl@ zAiy4^3&ifMlMRrYKMco&fKOF6jTL4)KN1_`^4P4V@X}d7^VBQk<KEb8cAZjhZt$>E z#pjC{+gArHq_`!?eGZOv-#@5i+QY-&TjSy$>9%)BiQhk96d0e>L*?h<w)jfRXq5Ot zDd7WzX;hUQ{kJi+pTpMXbIS6Kt$MJV)YWYiRCG>RHo0Us2zD_@XFp&?@L`o(om*!U z;NyQwd#&+&N_dPAYPhkA=)omwAZMxqGt3EWfI&WW_PHZ-d44*wVcmfT!5H*yLh2O? z2A+8C`u&C?;j6l{6GJT}hLE}&bQ?nrQ3;c1WPxq<13k66Zl{$<Lgsv#Y3||drVUtV z*g{||s??aY`>L%aNSGEI{`!+~zXMC09(rI)j2M8w0GJTKY~d{#l9Y>|_opaOj&B&) z`!56XKl<D6_3g`btvqNYRI3R=6cGEvNQvFbbt6m&CStRZ^dm7!1Sg@S^_XH-ekCKf zHIv?AHAk5=psD$BV1ehfCJCAv;+Phxral?NY>Fg<SN&CHfO|BkwA4iMkRyaVO1+5O zDAVY>iVEwG417^k=rTfjzqYQ`;m+@&w?VP&js<dK)!Rf<zH0$IqR6qj!38F1rPI2_ z@avMhORQrk`r}PsF?gzZsQvMeS-&rMGRS@FXV{?Xh&rJh{?^a^isf_%f)#}SJGuyj zCk}#Tp{nx(e2cX<+{wc&FZYRGre`kY0tkGNb_PN><`%~a+PC>f9`=v`J<R>(i@=9K z4#)+^*C>Q0{f%C1J`WNv(668_ncex_eOM1uX`K#4&B7c?e!n?ob@)=0qkf`*VHqEX zMEnXc()Y!Hh6Sfm#>SFtx*`PsyzqdbP<4(g`7^+paJSkEO2G<==(){T>0}a0fjgQb z#%_=ooQmTQ(p$SP>D{yq7-o5k#qpC3TvOXk+;IWySl?$<iyD5MD1zpNpBlfXm4z`} zH?zJ0>s&xm?&qotN(vW&gqB=Fpl;_-339!SfC*Q#LP~1sQ>0+sOM?!%8e<^Exl##k zHFI_L%#2Y9F8)ac5uF`JBec!>!pH<^3ny1kIR>im5W_i4mXbov0ZFNOxCcVzA(^p_ zA}zg}29{8!WAB5;uavQA^ntZ|bOj^MxG~6{xtq-*oEgtNhn+~;H4I$zCmM8!lmec) z2M2(o0E`D<J3MnWP5{RNm=wTqc;>8J08RohEp$k=x>ccQ0)^-Zn{0@SRqGZrsZxrf ztvJ+f5i>KTKzeM=FF~YTW#2lDBqZ}XTB0elgsbopXgRN6ZXmnHCD0BC$!TE)8))+g zAQZ|xAQ={?K3%zcAyk}UL!IjR%Q7qOh=#L%4O{cYy{>U(NL%Q>8L*~laJaB}KPx*i zfRpi@pOuH4X>jQS07FFxobbXfYnpf*d&y+u$Nt1wKF)USRb9K$(&@X>5?AjI=VO9T zRI>qod545wLd0%q^~is@Yhd;Z$d1c#xVw#EjP$4pl<m_J75;PNijF^aJfFypA=U@A zWJT!`v}?8VyHxSIz25t8dKK4rcNQpcICJcCsM;j=7VY(yEbkVXUe~YgE*~yQxxKy$ zdxXze@T=cA>Ahdl(Kjyr*Gsx|o7Cie;D#@BOh5DgzH3KqUoe*xfQ*DCE&*+=gP+xY z=!-+!@H->3qTcPK(6`GlmE6jbudT85|FHGV(P6zyyRmKCcGB23nzXTP+qRuXP14x5 z?KWncG`4wne&?KZ@A>Zc$7Ihu^UQ3ltgO74d3AHQbT@VE3Ev;~!-=6K(-MkUTUhV} zSJEZdn7LlMAx5o!Gy8hEd3)at{eaA;oDmFO5+-DMIWC0*^F^nj<5`HJ{b`@vcPG@- z(e}0Lwe_uLBKrOE`>Q^T5cjtld`Do3uk6&HtxFUeM>f50WjHij-|tJ!*;mtS&nUa$ z!Pk;p&bkZpc#y8kq1*Dyg=k831g5t??)p7Y2n_xse*$(cV8ZiV8N5qp%(hTZ<5o_N zd9N?AudX*A9T-TXJVe47R+!iA%8PTk-cJ2q^SkJ%X`mQog+~1eNtP6htFm28+qrcv z<#PM(n(^c;D~{KGhEwKn91Di4!JRsH50vf6Qt_&N=1Ll*2Qz3dNVE!PTpqBrpA0?D zHMJ`B>>`iQ%vKSqry|e&LVHQ1Ol~qjtBz@?%>jx@Ptz^CJXQ`%R~}VXR$lWJv~X^q z28po2vsC<AvFB+2`vxy3Hi#N1*2UctMC<!Sqh)``&)W^J?bk+pxghw6$hCo~mc_lD zxK8jH|C3Wx{;3wDJay=$FOf&C_Eph^L7fk;)so+)uo`UbF%%K-;MNDP-Da;7gWNth zc;%mp21&uK{-_F?%N~JTr6AzT*Z>bRcQ_jSerl9&pbsoz=^-`e`Q4vA=brbx`<a_d zA$YZCe0H|y1i^Pn71b5+TXxIi53p70_P?nOuMj6#-bX@(bJIog{@dZsjCCLHP95q_ z9qz6Y=g#_kwy`@Dz29?(?9;9JKuhj_!ey5p^N@eai4u>~_z9B~#P%%O<0e1Yz)W~c zjX|3qyC_%5d_mWKt{CCep<q7Xmrik`XJf~Gyj!cPrLc9is#l6dxLhh!<38K>sUqKQ z?{5~TpqzqVz47IdPBjTCPhdF&ExffS$)RkO<nKh*)=Y?4Eu3RiK~~piuQEi|Dn@-~ z@A4XfSK<csx~~gH3KQrsNA?(XZr74G->jEL{m&3~X9M(XfN+g5e2wRX0~WWN#PD3e z|Mf}89B-Qk*fA*IykTt1YcAal{p=9_8@`WM>{4JkoDV@Cf$KE+4o)8JCfHf#?@I+y z_{K_v(SsNM^rwq*Xlr*gLDAZ2SWN+~4(D^!AXml4qrO#N-*_||-nV6MF_~Fprnlbl zE|hTH=J1awJ8n?7`^$jSJF-=oH8*F8=i1x~z18cV%B{brYy@h$-YvG_5j%qI|2Ba= zoHp&<L%%=joxYqC?X+m-K3}Y5PoJaufqNn6XZM_=cIO_m9ScK0xYbwaBKaX-81lA= zbhBH&^=>&mTzhFk68Gm2COYKtZ_lMa8VTmgQNiitHwzsDqsXomvixS|4^7}hBmM`| zB2{*`r!GDiC|cll``+E~w_$Al?h~w6r?BhAuEG4v`OwLb4cI#>y$DXJ0A=q{eKD3n z=nAnkB4$b05%PB^d3b*cN_kXD`FCmAGE~Y%z?wv%oP3j(%^;V}cu!2J6;7#rAg49N zSLweamVxDqKyw3}%MvNI&(f(VTM016eD{GDL-%L?RQI8^hqtH~eoIJOb^<f!L{~_# zo*B|1ViRVyFQ-!@$I+7WrhGwyHjaZme!bvI4J(bC`}doUF>a73Z?9r{2polI1`J5= zZ=}qqcq*{CgfF1#AmphJOUNF}PW+g?I8<-9;6$?oN|3eXknc~R%nrDK`s*Ln`$GkT z`ar`zRHlE_#1FL};sfRSP|yBRMdr$S<=1hSeRbD`yhdLGb5FnIp0ATCKabl7JOxyA zk(K#|atr(RYUrXab|>NI3vM4NfO7InSUap-WQU)$U61*Y5=_GEAR-a?T_?PHAZ&N> zg>Uhj)DVGtoUiY2Bd?6eY%fhiLimS??JT)(&j`J~Xg)vMgTVK=8k{@K625d)sl>!p z_8VNRpnK-ODn-W?+TW`l;-<hEEZLSGersMrJt4OHIb*>^fdooi!sAd(G!#a!7>BHu zLpShmMJ5bUGlzg!HYZ*1aH8n-=3I|jA2kQ3jB3d4!Z5*T>48PMuES@(xQo}6C;Zpq z{8$S6?BS@%lgn;)6ha3MtytJbObY2hwd8n$p*y+hsGEyPhYp+nN@GxjE$N$+9wh1l z@9vm7RwlRQSRu=}B9eszr;NikFYi#$3I|5C5z=HD6%R{x?sjhsG6b|)83_+d5ma9w zt}qPJq?V|IK^W#hA0gC&vW(3PVa^<IVK`xo+?E(bOF^xJun{LPa03SAYPxhdv<L0# z8r5>9Pj<mHRh)IQf=G-dA0mLnXvRXy$c-E75@Umr#FEP-HmpIjaLQ()!&$<p1;JW~ zMH*Ws5kPK*aE~l_`7w(iXvOSLM!;&nP{w;*T*d?l*>!OS)<SU!<sTkzwnnmvx&M5I zld+WcLD>of+|NqD)B+iuSeS+)E?cDz@HIG9#fJ~Q62)!ccU-!p$x2*n8*-I~W!wZq zRovReO|6o)dtF_kAR8=cu!aaS7!@20xcGn<Ck9?zS;WCW5-|vzJphFuqb_8-7lA$u zya<ls2SxKp8K?|hWUPd13WT0Gg9t9FT(9IHjv3AFI&;p<v{a4KzS+0C<z#E`D~b0` zzQ;eSX9#`PgTDHAEOmzCg2Q8#;zXG!YTlqy2<2TNML3vvr?6U8$`Y-sVqcAPPDL1p zT3N139KIBcJO0FBx`vEBt{sMyKgg9xw_+hO6eZ^urOXxRlvFfV@=aV=85rJkx$Ct1 zlq+!c6@X&X7FL4O0qA6xL-$wkkrVQ2AOEON;${91d11lYTrva6@31=Lko*#z(fD5? zeh668^)C`}Yed0Tu))Yjf{+a^5|LmUTFoTT4TWF|L6nFChi1x}P<j)~5~(Tj3>LHl z1<5OWn33NF@FLhD3O)6p=CmPmgEF*d+5X>Y6-H7#*j=zdi@bD^n_tu4N%pa9Q^gu7 zr4Z&e9h1T{BAJ&HzzZ_PQ7HyZCJJzpyZVc9(79kl4P-GaMCF^+qghw*WusUP2iSlo zxTFC{qW~%3gQP4DkeUI~U@Ys(vjjjA(*#I!i~#8zAPIhuG9(RTU0^~oR`omw%vM1z z&LskwJLoaD<?wW|tM20(WVoAN8l=w#>t=%2_fO2z*4yNH+t=SDJObDECHVpKh9_yA zYeMqQez3Xp<*zSEOHS_Bxnq(|pS>ec#Rmyw5B?UMF9#uNH=^->(aGU+FCz2~E(weX z3aY9~Ui<QR_0N!LmlQ~+KZ*C($f8ga6iV^uiN!Fu^pkoOj5tRmhAQ%iGv9b16=1e! z$Dbp&oOc$y8u4rkp2Mkl4t|M7!IVlA_fkGxHb5vBYVJnC@OEKIl145UiV%eONi3-k z6D7cpBLhrv?0OCqGEeg<zoK4;<}d#a{)oERw5~Po&}Dfm+<1)^<E>=5oZn<ckqo|V zgk*zRsNzSFTp((sg6{W}<`HjRd=XaiK$~wnLhO&N-Z;tn^APQJU0<>>cw|mTPW7jp z*tR7nvLHlMP9|?KgNibnA7;3nD%^K%jH+d=Ig;vINWG&rQd4RUkxIW#@(c^`qbTH4 z0}{-L)fI`xVHSZ~C3W_?omM)>rxwt{G<aLc%*RM$%9cIlew~SekWa@50MqFa3SG|A zZ4N4OzXo}q2><?*ddQt;$yj6>xn?km%MBY^)NFHZkg`mA2Bg{C7x#n(N;73Gm&h-0 zSh_}30TSsrw&rt`l8s+nB2|9pG1;DqrhE3hINa?WmFzfK<{~NLKOg?xzEo-wFpY6Y zCpq(`R#a+7FI^CpUfqd9M|9K>)e&CP7c0oGg12~<L&j)))dhuHeT(pgJaq%L_0R7J zY8HYPP{_VOD6t<}!^z5H+TjzyiWyq~8}6~rFQcrafer3%AQ>p#9K@Jk`z2z{QaK|& z7hX6eNu0!kEh4=OwyJYeVB!1$Nf1YIVf)hjH-PRNl+nAqi_scAJ~m2%64M?jVf5^a zZod?pC77$LtEUVW8k$VP;CXH^ZVJbCPncp8Sij4Xkw)(Yt=3$f!?$cz-ZG|7B}9y{ zx7xs;ird0Jilj=#O<mf8Z<q`MhIKFv2a9a8uGe3b1IabZWDtmG4X<TDzXrij8)^cE zwcO4K3U8B{5&+puC({e24H1GoyB}sZ>-cMZRzZ3HD%?)Ff3g)z9N`}RZ^Jb!PCR>i zN2eh7QLu2+21NOG9fb{KF;xWQOg$;%Vq0<EA(gF|$=c@3Uip_*YfoR2l}H#k>5|s| zfQM*bOyQHJ4#9+z3tmVHwL?SUm(UeBlDn_E#L{lF8DL4Ye5@pIWL}Ucty*LdM)t|d zU}KBDI4GxBr9?0~$f#g-?xHk-CU8y&5k{0@$Pi4PFoDb2gek&KzMl~yiH|U)x2wZY zBD?ZMqA3<&hZ7!zG)XMiyJVDHbMp90)6L7MDoT>nU!A|?&2#ePGq??`PUljiU{W&Z z4uBUL`B$DUI)JID?2pHcp+rQz);F_%gB6#cWnfnoCz*dTcko(pLi70XEKo`9l!jX8 z%jp$<TsH?_?}s1ro8lo~cTYGl(ZK3&EKeH~f!O3HHgK|Eq0^#^Ue1GKw}&`J(+#*N zbxeL(g}=JU6|0j+P@Jo$10oPbOh~O>33<1+cQrU4c=**?n+`|#@(|vqdF`QN9I?6% znaQnt1{@92f6bC+(+ylI$V@8%hwN0F&8_$L!D36sx54Zju(nWiNXL)9X{*L(%90mJ zP02O}2BRm(5IGx;&KcVY_$3l0R;?BjvEM34#%Jy6R?l`5IoC}CE_TU8qPiyC6ry7? zf$=5zHiDc!(4vu(UJytMc8@gBpjZueh4d|p>J4gE2gfj+7~c8;_!M3?F$Y{%gWqSt zyiS2lI)u~0p(;{t2|=n-W+co-NH$1@%2G^%X%z#wrz}UKaW2Y7qX^Jui-A+&2uGu# zZBDMe?W~%FE0D%Orr;<blQZATa^mVZ^&+&+m&2ohO_d*uQf4-6MaVXVQN;X`v*B%j zHZ-KYD>_uk+eD^gWM|t<{UC6~s8vXFD~c_1F@Dvz>3Ippq#O?-9EQ9KO!34Oz<c{V zUS@e*xd}^GZJxQLZ1rT8(S*{$J?lS`;=!fnYEKu8OGKb5Lv|Islp%yheeD&@AAfv+ zDGTbB@goTnHT^SIIPQ4}*m?aFTN)(FD$(zcei}Oc^8G>nNi`3!>WjZ1y7glN=*rfp zANzBKC2VuHpML`UEAOP59>Cp<^e=ZI^&?vr;O5-{+@Ay(!l)muG6Ez{BX{_I;nenL zx%Jan!5aLzW9fE|4Pszojj}?}OH6cTp=4{3KAVucFDULrfZA!vYelZ#Gp$V&Wh>nJ zF(#%KX&(PVBu3+3ok;u0-W3m=D*l7?JXM*^>*}wpr0m-yjGOA)2H9l+*UjL}&#;t- z%)sBp54+bHUEHFm2a(_C!Duhp2Zm%Whu|3jsOfLyw~9L&0O|ms33>qf0zmY~A5a|t zMbiP$>psBR`hZFSNRAeO3IK?H@dL{JU<q|{i;8?eqX1NtEOQy1)XLb&|JV?U4N1bC zks+>};rV+}JH?xGOf%8@m9ehDwn4FemGw5I$Jl58iM_NkN~`6k#vqrriOO}odL$u{ z$&O`)Eg5QDm29FJIg@P_mNmIKR|;2Iea<4(+BzA5b}E^*l+Nln^DoJ2km5#>Slpyl z1I@UP02K&vomEjbz>)Xi-~=4lh5tCz07p9q;NbrbI8*?~Qqw<<Lcqbs4LDXm9JYWX zee55{CEzIk1UNiO07vA<JvRPv2utX!lJNr$EMzxse8ysK86!D#8ei3-x?;hVjR0x% z^1ze`xi$KT+stEvi`&R5+x#1uSn%X0lY2Qbg)%5NGA3JY%3tQyE&WT>&0InA)SMs+ zN68y1Q5*%h7Eu{48zoxbRyNV~3l7HNWQ+cca{o~ETVhlVH@l%Xns@z!#yf?%50-00 z&n}&N6#X1@<d?GB-CzDpr@aY%Y+M+GrM)UIua_X_>FlzcbVEQ`PZ^;4N+S>ncPy*E z(k-rdn*j$*rvnlJ*HA7c9|AZx(%_C;)K}u<KxEh)MCSJk=@oKd$vGTBZA<tR=goL) zC~QkAqyR+{Q1C_O&1B7zxYUS}8X>>W(sb>@9b;);`LSMmV8boY_^!bnuYbPUWW4@^ z3z!0naL0w8+XU(2Xq{=0LM>DDj6z+}D|Ccd8P=Bn-YRGKaMG~eYS*E+nmpGguh+GK z3?rQ!)We|ri}Teclg0jSaAUQ{%d7=Y6b*AFurMj|sMej$mQ17njXUb}T=l`|aZ|C! z`%*1yMlu2XZbhk|4Z*Xgw@A0vW()jz>yTFZ!+3S=@q$#p7>G@NlZJIj+a}>B85b{i z)E$l5P8NOhXO&E-Wq5?rb#n+tV~b2cp?P#B>C#a~*PT^#uSHtP1Blo4(P`Ugt&`vT zWRjTI{`HS}^+T)Enw4?pIQuA>0BqCfjL4<q-rdMBo8?vbC;la;gSgyVqVf7Lv1KZR zzcO5!A%ET4i+IzFzmk9Ab>ZQYix@g%C`dwz{_%;I!EEoKHY1^Ez=ugHe8nXWDLZg- z&XC;}Sm%(WEpcY<*vZb68*0H!kFuv7bmbS&IA}YI{}_49TxKtARDESJWBr0I@no(? zn~F@v#EdScBe{Zt7N-dthkm|W4lS8O%YRwW4E$BlNZs*}`th(Ek}xgx8Ww%Cl(etl z%z~KvMz{5+VRjc<zodjBICt@|rS#=%m83E9Va-*VNPaOD>?6(I2np$A{@=tmy0zzg zWuOY{fo3lp1AvH=00_GPfbIZD3I>2QKOpuG=<<Ok_(&oi?TO~Frv4QJj<TsgE}pHd z>4v6Y<b!1WLHY}j#+BpI_VMQRO*IEqXj-I1a2M;mQdpi7-sn0MV?|}E*nSrwl-mi& zYVoE4kXH-<z3vtvJi&OSm`P|pb-WZZ!BMx7MI&>Z2x60bhs!U7vnWQB{sNwProrvW z#gDo)6lPTxIwcf+q+fykhwgWZUL^#iM2+ceOG9Xa?drjNiV8_e<G%9HSD2OYRIM>} z5Ros{U!i;>tw>EK<}K<4LINt^3Q1|K=WdT)_MePki?9j583yq_!e3hM$S~~-1S5y( zS12MVIl%${E}VH?S<)3jU50>9TVMRVu>Lu=BcoVAEt?<pm&8FYSScK!qI^(4gK6+D zhg{?N2)%rDP4Y-mJ6J(0YY+T^QA3B1o+H-}ZzL))5(4O|>_Vi}zVc;~F|e3~=;b!@ z1|k|GAy$JK%5$s*<HHbG>aPEblVxf+<dBBdP<ABb2OU*DC69jk0%~wy3KEo>28*z@ z3+(V^VQ)$tn3@KLaI^<tt^3P%ysPiMS)?-eW!yiT`#br~UUYXo*0y&&*So$vUF==m z_C@c_UH1^%2<UEY^v8B98AN(rXLn>@XIGeB4=YW@Yqm@%8H{&Kv@A58F68v|c<gqq z?!3%sO1<lJ^YZg*b@TX`mZ+b9?%`rFyB;fS;k!<M>?!?QQBhHKn+_D+yj~eKb-&zt zdEQ-~nLX}ws`%*TNv7;=oUYtH9i5!*|Ell!uNs;Df7QtRISH7o<@<My4D#ysziVWU z`#6C8i_09pel0{#to?l4*qgfjbs2B(_uyz?Jm%D{eZpkrKD2oO>rJVH)3cK<iM?q5 z;HxxaXFt<@bGCX{w-MdcPX64<*ZpS1Hg<xm-MvK>Eac<o?%d?{?D(pmj{W|7;<ab{ z>SMya?_<JU$cN1soJl-|Ni;^7GdNI4BK^AQcYu7xsu@n!tKe$q&)!H{Y{k{`fXDgp z<ph*og#&v=tgmyPze>=kgsVQI?-Z^+$ng<YK5Jkln_e$LyXTJlG52sn#)C16?BIq^ z+eCb?kLJdUq~lRZrTq!c)@d?{@N4bfk-e4c^f)e8(5W(_|G0f5<jv)H>`*gy<PQ(G zO&bnUyaUWCh@+d|?OMr}A;Y<#2;z@tr-d3kvWlL?_OQsqZ-oL}O}Qy=8zxq=nHDl$ z<jJ`hE0yYgxTkwN3Y|<52qW4`aSMroUXKH?2;FxjIv`(9A8RVOUwJ2u47aTMqs`nh zr(Z{13}ml@%P2~LC4v(wIuLTmQc=+kR!pRqkR*qDYluy<O+K#E7WWq(Jck2~LHZF} z>&M&YB~Yi0jE(lg$P7j(V7-8F%}oM7yJgkp?FqygL23POtlrvRpEZ>Ui4LnPi1KpF zd)u`$J{wSC#SUXFYpqV4k=Iowoj(QY++xh=<`sBKY2l@pzQGpn^b)9zh}Z$E6c#fa z;l6|2uriHLS=NgCvtSq~W9J6*7FSZr!V)ywtY3sJ9+siZ;XR(MAqY~xxLsh`@Dp@z zSd_K_YX_`9{#iRPcxlWw+3@mgv@bm~AiDK(b$4y+Zcq!p==Je-eZ0Fnxr2Xs-hiBh z)9dc(?09MXD&)O?WWW6gY`PCBef&JRfMDI1^4r89_gm3PSy;<)sS>na+{HJ0JO68n zeU23yZ}+37Ok;7RKbz*C&+YAcZVH^*bhh-S@bojesu%nr2Wn$gT=fHW3m7ksMz}dQ zAT0UArNZr@xYr)fHtzY#pbeKxqb)h_vEnG|xWB*jZ2E=F1^@I{0wD(dJM?xO?8NgE zm~J-WXc+Smy!@qOKBulPgy(MZ*O2VT@y3R&{h=b7OpB-(mO5`aYtVRa1xMMWd4nxM zeTUcI8ItiQr1JZJ?@)rld8p4x51yYCioX-MeA?=|^T+nA%NX~7j~{Q6jSs3p>(J^g zFCH(W;0F(kOeG`ll{BGQ&HDrWtx%>zr)n9O5R4ZoP&7_WrFW>c>V|1fna_bXtT#Z- zAr-eq`b%~C6gNv?;4zPcS(GYhWd@|${xoB2Gz$%mAoM4wZ+bAog2LfqA|8<^R8zsQ zbgT{0a@h}c;^(NJ)FdmvNg<Eyu&DZo<0-Mwgo;j2>?#L+ubM2&^zd$b`2m~lhol)A z5V1XWk8PB1e(L~1D@fKO&0-)L2}M9l8~kmY;wB<<e&~3e2K2YS-1nGIWsu)pGvB%> zf{J+#LMfB|p6)~Yofe|86KH4$e%H)iMm9$DRSWSVvF%Yd;n{s6QrhG>Qn~V~2y}ET z8rn^|bJr(JJ5EBQM|gV_T{mRFqC8$QtdJf|Y;|bdhry;z(nCWDzAr1zzWNT|A0^-Z zL$>`ZBjMJ;ly~dAt`|KN%*qRK6os=)rjsE$%;gQJ?r}&9$V8#u!=32P<q9%^@TizY zeIkTBOk`6@YfL1u+zC0E`S|h=&+}G3CHHd=Wi!79%hqC8XbXSZ`A$+)u$6O%6FGwu zZ#fhPlzn$H1Yyz;hglJx1mTM%s9luFb8!@-s2onPP?W|xp^QAN%x_KIotFZ(_pU_t zKW9v~b!BNO8ko*_I`%v~AS=@sV5v8CeQdZ;iOuifkZZ~o`y*Q*iL*)jf1l)UUqnTI z<#~rj*iq!Y=TFos@5x3AH}Rtm0>co6Da~!jl_kl+gDL$2lWV8+a~diI=<&ItxH9cl z?#7jm0S`UxHtyD}*3<y{U5m$|S*^Jtw>%e-CbS<?4f%9#y1{|CdN5{S1%ZcyYyDR? zA7ir^MilgM3`f@3r3hNJPT>B<GK%flqL#d@)+7hiYQl_%g`tL41ed!P1J#}nMI-6A z%h`S{w+Jrogjg9^IfxNq{3~2!MtO)(3+D#mjFeUJ)ZgqxUo+_8=Ju(gSZJFvnMcg| z8}}1dQ0(qpzQ`-uvQ1s$qB3JJqw3i)qL2TWn)xL7d#7q@2wyocEPsPeJa1wuK)q6? zp;+a+Ttdp>BEn}m9(d=J<~k?LmLS?J^i|cbMAAbDa38p$Gly+t^j${yk6rZD-LzX) zDLZMy+ONP->P41v>(LRO8Fmt)+UtIjlZp5VIF)G*Wq&PJ=Z6O_lu?AJprnFnc8z+s zVq<sO$on5~9OJ;UYi&g}EP}OZGSIh0NBc>zo#KkrNKI@*;2@m~)nUHi{1BaXjGzsv zL}V{8P%MKbg&$BD{S=2DnlMz?&qp&B=igfuJ|HGz;BY9<bAhC{3k9lFENhKUnhw^5 z!+k%Kpei!g>^k}#BB~2+DX`!5s}c+kbpg(p9V&{0EHcazrU0%1vnMOcI~O=DiuXzt zcnBZ=!B{WB!UQWVsF8h(Yk-5)8$WKwfa@nJO5qbbC1@CT<(cHbPiX1Js$dXdC;TMq zm%K_)`y|?NGO#E(vDX0(;k*2}jS?ok#SkzEjh@1-MK*Z|tMe=ds$hdL1)kE&;1+op zzl-nLXdJyX_wH5ZJIFeo<+?x>)JFQg(C)N5BV(0jMf0>s=nj5XUX$Q2S{yp1EA>pR zsA0S}O7N+O@Q}2uf+!~IkdR*$Wq}&2fhNyW8K(#RG3tYw+$<d<a%DXfVV;5{Crnpw zG+4|?Vw~{8%eIUAeH<+zDh8L;GI|1sqGvb;NYe<U$(EE)8i@gs_It&>j6t)3m6phh z&1jUcL`c%lmIQ9D4OY(szE%Wf$N(9KiBOP*4lOrdYxqrm@Qy{ymM)KRSZ=7+uxkFk zirkEatL(s(`hg<Z+Z)a#aCcbtT+-2y9)qsBm8w2U4in+C<7yHp2KVPN0}SkD(SqHm zV}^=WbyOqFQbsXhN8?n||IpnU06G^ypC1lD<kW;|ZVhWNP=kzvtYCCRSguW=htSrU z_)_~rbR_6!8ZVTM)+}ZaWA!1!vU;C!pOqXDI2XeSTp!kw8&)OZ54pfS<P`}Yxe`S( zGZ42Nyc)u(59nCJ2cAlkOb_w%5QAKh0{3QmKON3PG!M3XuXrb3t?|zY@x-!fw3gpj z?Fc8*uDYSvZW=PC*k*Z2V5aN+cE#=0{qP;-#r5zFT9LcMj3<)i$8?>w6;7$T9xX54 zD}IJx`sym_hgQY)wf)Ea<;5%rT@64YQ^U}u(A0JoofvK?s3`cZ26;#F)C^2L<IhKA z&a9iQcr;8lA#6jj>eZh;y1u4jR2J-x_r|GK-=z<fZbzMkDmm#JK^nbrdEmWdB+5Fn z`y?UqMrHIM=b<U}9Iy{5IC6g=SLr>dAISO#!uNrEP47YfKxRJ>A)iq*!_Tn2pm(HN z+;ii`ePD%Fm3^Upk-|nUx)po_<yww1fAtU2p(!N$;6bpK4x(>X148{Qg^e29K@1!X zyQFL2wGPrDDZDx$L88?b$-1Zv3iQzu4pOl|7!>8AZ@d8ZC*i_mWsq7POvZU@(Cu+l zf!;c{1Vc)w1A_Y%LdRW!6iUMBSdG>sGA|YC@RDgu&SES&r&GOXXln#KfxDSbj1<kH zi3y82(-aLObD}))0`xtXF{30k+WunU2C5<N)om(wrLfuG>Z>rBWsRh6TGwe8S=6K1 zw$yBoT@J*CN#TUU1Lv7WYo<wo8!q1!e&NV@jt}$T4#@e@uBc_07!+79@C>WwO|mMM z9LWQi_CMGF+^{N<5sP98kph4j|AQIB3_Ept)N|19l|_(gGp7GBGKRy{NPBU0mcbf! zm5Qg&N_5S^#??0HZO{QVmcpKb`7|9J!y@;_+e`sp5m09wV^)m+S7JDm(qG_fQm97n za-t;rQ|#4IcyDqK2w}u<U$V}m_kq>`F{)C10Jr=|JZDs$V-7Z<^+VAg8LZF1yeHm} z2aq$4^Cj{6BjucNtPuj?Ux^XCHw&+ij0bgL?A34o+DQa|{y%mS=?O2dN^Mm^gKcf( z>DJUtpV`?Bxx;v2S7h}}zGuq4!`Z#?J$Lf^ySw>zwbxgkb^B=9cJcG@dib_3bx*EK zv{D*FQiT1S>&w;*FCAd4hnhKMHvd~uMbu;2dSSJBw++9L+B}se2z|gzHT>j`O8ou+ z)zjt{?0&6C-9Q+z1ha85Ms~&wXD|_m8}7^cMl}<=wdvE@&C~H(Z}Zdg7C!TYI-4a~ z$ijI=0QN|~5vqcyzhd*mDSS&Nr{t?AS`_+bUMFhcCX<klPS4td^?WxDk!Ubs8heu< zY+i55_0EF{^okJ7dqwcvDW^R%tl_v&Qkw_<#y1GQvntyR$`|<`L~)<NbJCZzm6&S$ z%l8?nGP>VZS#z_*I(v4Dt?ZQ;I|lVE&PVps0#l~T(uD|85&e#pK>ESBU%rYFjVG%6 zfVB`UvSh+ULVAgJE(sA(LN9S$4r+c>mw416omU}CS(cghw}*$AuDT-gr&w)-jWIdl zl_8POO@3W4F%^e>yvQO`xy}?JDVr&>U%ezI`s^A^!l*K^nz$@&)#3KXJ&BfaUO?K# zts}^+t&Hon5XtMw$?~ZFcxwFT&w`6H=4?OQDhL=9OU{toQSaocu2iO^$}aqKy+4KE z)=mw1pOgooG?ooV(Jyu&f1=R)3VlM}lb)(Y=3ec4+S#9~tx3GUg3jN48(6r`4!e1> z6S(kOMWnXx;$LkkN@a2I>1yw2582_tfenS;U#pmCX#v`TY;T=28KB%;z3y%7mHvEM zVb|OY{0pps!{qI2vnt`}`2N!gqT6vU&(lx&u0c0qaTXEnKq^|-4k=`YyQRm|$@!!< zZ;A@u-rgFmRmg@3{Cpv<ARxi`2U^J*-}P#CtPEX&v*dxWjvfzr^I357uoU7sFaQ8w zy_&BlCL-*{?QDBGJB7GaL)f=r`=)edn;T;3R_x8Q7JCqnRQ4t20JLfEx(FO^$0vow z&}=!_@^26^zWl+w2aB!!eqCP(Dl~Elp|yt%OTo-ZUt`za2o$=Tt_RDhUo@aWIS6F9 z9ozx5%_QiByJRAKd7iM*U`r)pFAA1$OkUkS?n(-;QOK<`qg}UBO5qMs3y`M-`U0?g zU0Mzh-_a&z(AjDnC+t4oT;ZDBpZ@+~(-%+EOsG#EwGjcK%*WoJ%En)=Ew<2W-Z!k@ z-Ss-N8TL3*qsrz{Xe~<Mvc8J2tIbYga==4QH3ykVi8M@<I;zD7NgKf0NvoqkHgA8F zGw3W$&wg2|Vyo^jH-aeCkQbpqJxbxgEYZx86${~nk_q`lB@^NaNE;xJfPA6`Y(Uz~ zqiD{*aik7XT@lHu8GgR}Pf_)>`QIW((~iQ(izTg`&JHw=))rOqvN&2YNW@h-2*h7h z7>Gw@5Qs;mfRq689gt!`idYc`BxuP3DOm_o$og&iyfWqfDz-UV_OH+ZMQHtxCq9kS zLJVuY<N?>@qycdW^sxhuo*UwDvgJ3c(6Qasn(yt}ep<Tv9Jz#RG4lCfG>t)Bx9?`r zlxjhF5*|bm!pLA4>~KSVH>;h?tW(m3iZUt#8$vAHR4JWXy2iLRP=j2M{mJ?AFNbD> zTZYld=;xFmN3kGfw2ws!e7hGo4=0i&le92%?fJTq>_`fA{Lf2k@v|5e?|CpWiSb67 z5u(MD6meDr1iC_>>O6iT%&1O$bsU7n+a6Bl8jwJkya%(PCWNHgCJEbFzy|BR-@GIZ z*{6n{dx?WCTC1Z*VS>5y-1+s2s(i|(nCkvTCbL#FO#y)<x=#ld`${ev#~HSua6HM7 zw4$#QgH(zO&n^nBkTjc$!H!eehX~#-2BkltIjZ<Tjt4y_tp%4v4Nf{`Z&{UsWjTOk zHW&dGF@%mp%nSylJVNT0WI*^A6LtL?@-7NG(7_m`TP{*>CacMb7&_u&cPwj173jlb z90CiE>x0sN)D{CqZ&hP}9D(uG5IBD_<3fIG9kD%SGLm>AWQv6(HwgsQ2Cg3zLMR3H z92K6@`aXJ}(%Qc)c@l-4^7w@J=vU(NDGdlKF>6eO1TBsebR5WJ9Gx%4atfI2@5Fvu z`z%$Gn@xQ|jBT}u?}jRHVe3ZBAn0xru4Y`u_tUuz(GIi?MXmg_V+FH56}Nv>ElQC3 z=N^01#xdrS=nQ3i$IzctnVp5pu^#97&;n6b-wTv&axyUZrvhNBuH9ew84pnS4GCI9 zt%nFyDAXn82Npn?_LF=YyLS2*anOa*NP%}SL?>wv+u%F1<6h<?%A)m8v+y8;t_FRU z>z)-XK$-S)mz(W|h{+`Iss9#w)hLj_D$l*2M}#;Sx6}QS_sy5RvgaFNKeY*x{bk}f zLwCFR7DN7~IWbjM!l%v*DY}LSt~_5g$1`(!dC~og1MMG^o2zDdlgip>@t(eM>SmX7 zjn8Hizuq#qcco3SzEO49-|3&mdyd7bn>qFh9qhgaQiwi{!0bwkg(QIv<iU2zM~s`W z^0dpR4B8+~l-4S4jD%Jr+^8B*O%gW@yf_y81?$ohk2o4RDf81gC=+JJLJfLg<%=N3 zexjU(m*8i`LWuJuV>M*2e*Y6<+g}je%&i@^v^ue!XY<pkbGTM%IV={2NKb_s)2E#t zn_%)a#P%#=+Z586{2@-q!668}8J#33T_ZMjd(%xeZ(Dgfr)(ng^F{r99jcB_(YK7O zeR17|#-Mb{TuBGV0zV_TY;;${1vtI5D7?O*pHGora9xsg;ZsmM8ALdPqOV^fS6+PQ z&mKO*>!mdVjFtC6o4<mV_YT&OTa2mNI%qk|;GT-@Y95VA>1NYEsGOUBG%&cQl}yVf z^3rGXRn-6alEp_aaaL(_RXJX7Zh2LSG1gGO(y&`HlUyb^<Gy0C_&u{l)ns$LWRou4 z``&lX!#e<Ku0KG#ynJ3qpVrCOG}$+G;;y*7Hdl#beIBkrRUIak5w%<=R=9uY&SuU* zYy@pUV-Yizk)d42RHT2%EgQi8q6}!LlczFDmFoy31!Qm79!Zy!ahEi2X6zp!$^x@O zxx%nC>65?E7jy(fWYU}?k{Ko1SZq61+nfT?7|h$LwWF*ItSSO6tMT$72Hf_Mf@qUo z7pa~tnO4+-4A=aj`!F6otgbKB6XP4aV*qQ#-l}0sJ@FMd!V$1Mt*ovW)e}qWJH7)J zuBjFKxO$>Wy>>ld)%jZ)zc*6c6_rBqkbY+RVr%TLi}8Hjr%&*#)Zv-DAX5F3fBgg3 zMbH$P_ZwDPlQ1)+Sy;N#%D_Zv=y#ggXo-*$w{aqAK}H7RKs^SsKW%Dv373|JW6(FE zr~^%&vAtC?&|4lPS6oEboRY-srrsixb+e2~2+=>y38+Dnk7Za#M3-dQ7{Xzv(*wqC zhck=|ys2>01|Xmnd;fU30OX-$1Xq>;Zvy<D@aRaits@_y?eiyp4~=3LsF0-iT@uy> zmBpjsV3cMSst~leT^7~_M*}|K?<HdlYC%W=_~i&fCW6#l(K0=AO&|CaV^aDOntMkl zSGB8Wu4_?pEB`+7FRrUGDJlGw-nSjENwgKG?|h?FXJlOUfr(u8dk9G>jNDG(^^wO> zl3b(wu{Le;V&iG}ouDg&j@5~Xk}`NJPQYOdE6c$lBw0LIoP~WnGUQ+FazfBzjcwuX zxuU`F=A6hWQ!EgS(c{s%lKPZo$iLW?g(SroTI~k*OS0k6TT)EKrYa}xLBZjV4U&h( zny_fiOQ+#86aY8|S5jm+9O-WJAqgL+(ini+n5dZsGZE5UfqHk=CMqN&PM+|NmW)E5 zkPb+4DR8k||0T&LBd(P~#9!f9>77gnwxN6^;d6jd(InT&MyY3CDRziSA(@8Rd_7Qp z>id3hHXyR;gL*KZ=``D6FMtihi<2iEI?*bs2f511S?nL!38(y}fcT0I6e4jLJO%!f z4C#29FJz_cwy?w1_Mbv^)v)>|1JDXI9+Vk=p0?h!lmeSTU?LL&Nn99NkqLv!lt!Bq ztClVh+_VvzT2q-Cux0<Tn_2)n^us3q$A;_x>~_G$UqRMN?G2>c3Qn%LPi9L&RE7d> zmr)%UPQ4~0Ez4?VsSHKKgs9%s7x6u?knKX#AGD-|C<MMLxW>mJr<`SX9V;AFTO=0e zl0ngxHf~SWW?~qJZcCIbZ^)8m$PuEfIX|Z0>UccD+%ZbtkpQ%uk;}O3C5wIcOOBu# zfmDDM{2UHl4^gZKR_QN{5!C!uGS0B^8VzzFoco{ffLI`W83-3u{3qNF2*=|F!pqeN z;0so7S5G0lBHZ_h<r!yI!@Sw-@qZmnBKlM$<`%D|vxJEzKdy=XGP?+~|3mlk_#2`{ zGLW=~6+`R9=X2nX@?JV+ZR`LrF=z%2gHd|~$S7SeM03h)!{uRM9gc#@8YU(>OUWi( zo{=acC8G=zVp%#FOjBx@o>8_Mn~Y|_O|uYTC8dx<DhxDvY#trhSZVt3w$*t~c^A|* zkb!a+RH-3RnR2AircOyu0DsfT(LkC~l3w@&^+lk#IsL^lIf3zjp-wP*3j}5Wu{q$e zRWEl-N1vhQvl%TK1DIP1`itla7-)JeWKhitBTBu5#;`qj%y*Y`OBKEh1G$56{6Mkh zF2DXGdyLl*dG0deG6$Gfhl!koQy9URx|B0x#sy@AG?b^ExXch6@7Itq_kZ(}tq-J2 zr@2tS$v2{#<V2uFyB8lV+n}=|+aC1ajf!_t`kx6E4jOG*@WSER%S*W|YG0+Ji>GL< z<10v!{*FD2i1U$q!wUrheTxohOmwP?tQWj-ch{Q=Tbxes6sxbhP?5{pOd;S^X}URT zQs%jS3Rn&jfvJLj#qi;uJ=sp!PlY06BSgGGF~plKwAc+a^Y+ft#B&*S;g}U0wGfW( z4~EV<?Y7jjoF!FbJ@{vduk-0{f8gluY~t7D@j&{-5;Sv~)XckI?X8QiJA7%eP$2B- z{=W)lCM5I!y~MXLOzf6!Us@~Z<oR}X^5o@uJLugu@cgYN?yb$o)x)LM$MN9^1Z2I+ z&(;ALujcyw8htT+J3o9pI<m5UHT7-r>gm*~V)pOS%k%B*_~OT7kHE$}_jL6dUh(rP zeL~-kp{9eG__H=MavYK#@mZ6-_tT-%t*6{5yahr{Q80H6lG4_V17hKt{`9n_b{CX< z#oh3pZq1^8WD`P-?@`TRy&5ETdP~K1$3*sV)o<dhmy6#QqR&A&e#b-8&3yFSK4c-r zTQaUn{Z#{N$0ub)Mb+LI`!`K8ex)^QjUzs%Kw(Xh;C~g?3_a>^dt5)ZC;o-?34U6j zc9x5v|0IhI=IPz>)!%}d)D*7f=Zf~<_CK*bCx;)M?9#h)?F2V%1uWlYs!Qx{tQ6-= z#xDZC(p&u)AlI?0I{T&Y&~5Q{-ZK1y>$SRJ`0ca%M6}fcGaSM$1QJr<cXfq|J}~3W z3F0`)&Ylsjdd>AtTjrLIboZvEOPf30K$(y)%!y}IW_Y!hdO}{x{Q?BZu-kfi_A7`i zw!$HpHv0I*4c8T0t*X&g#lSk&4~YLXYpdSh{8-0=7b)w`J{$N+x$Xs}LB-FqrKj87 zCD8E-_U`8n3c3G(d$m<7Lgl?LuaSFX*$?q|n6<zXBY1nS{MofSmU)M$mhEZOEG=aA zzx|y}`+C=Sha8Wzh47gjs9XWHLM|O)-eh?1@|>I7f{wbKVs@XXh<7KRX0opsLz0bY zx^iKy+P7opYyM;LqeexTy%@`E?`s*>R#&!s$&3mM{+xIh`?tkS*_<4zl13`5y(}#b zsLR4v_DuQa9ieP6tk7qfW9SX=0|}P(e5UZO>y3^L$f)GGCnrjUzqd>S$Yhin1xa*i zNs?Dd!KZNCH&X|Z*vw=CD}I)X(bTs$M(;PmMbzx#4el358Z46NUHAp>@xj5wQ02@O zoKF+^65Za~1ws;-yjz)*U3<@Hp-c)=LaZED3Uzx?v2wcSZV&cHKg+R8VEC2YvbOJ4 zXgYxeQ5bM;xS+w|TNv8a%RDG9z-)*yiHH1=D;$kdmkZ&oLRX#FR?X(tx*zK}r#TGM zQj2Co74?9zw_m=eJl*}xZG}~Vs=ZDLmu@;NTxX)m<K1sB%fa(8kma7&_nzu%L!#rO zE4y3&ZT3lyzMF-2OXB`u|L1qT_irs5i>ppo_V(GExbkA0!~%=j#Sqzk-lfhuaEl;R z4Y`r-n<48U`Eo`<TB;>?+Vcd%$1_2!2>x!41HzbO$3X^gnMrVg_Resb>GZ`w0h671 zJA1CYTS-qknUxN_t0E-%%HYjVH0=xW@TcwbEjdiB;*gp%8O&I+7`(}E``72+qdrwf zJqrBTy>E(wlgazpa)K*Ud)RU>J2E6}n@{1}pSNC&sJ>-Gl47u6f1Vl_U5AUGLB_@M zY6f2L@uW2_Ix$nr!m-Q3uTxN>uw1WqdWWqz*>!=qM&J$hTqv^tPPQC_IEjj%;olIb z9Hh|GrOC@QY-VE+xZJy&jTnMv^K{xZ@5st!489hDX!Acv&~<^i|DEZCjH~wfzitOQ z2+{pTn5M5}Yx9sG-H042AO_hNpD2oA`DTqT_qVFeAV@-AfxWR<;=ey{R4fh%8{3Vo z0Zr1!i@C(f)uDn5hlO^>!byBQPVTfLEte6<XvS;{X@T^|BQJbn8j?f+!9{uEBEtCi zM((^L-<KmmNs2_apn}OhUS08TD+WF*hzZTXnzS;-e;$ScT&rb7E4wTIRpr+O&}&X= zjh_E5s@3{$N~uur(~^6w`FZKLcPpWHM1vhEet~aDyg~lYZ*xqg*A!++ZgQ9Eji(Pe zQO$&_Ds#<6V05d^Vm}IPpUD6vMq{oSGa;&3A&+jA>#W@3wiq%^#Q+D=O~n8U^0SHo z1|*kAwzxv#d}0{IlsIix8ka;?S~DPAlE4)ZE-By&2$wW)mCkDJrGS?&>ScpNDC%W` zn=I_5gNrHbrIJowE~SxyOin5GlaW>JqM-b-kuJD5QRI8>4$Pt$mn(+~?CBPKHHG^r zpoWTUk9hZ1N;!qX>F=wI&QzDIDD(9s?G_<Xb>5HO1+>avNlocFSm_B^WUoeD4z7+! zqY4ql&nhNuO#eQRDwR-JB|m>KIN8J+jA6OkrTM;t{>&Q0kjvKSvXM$o)nFZ$F<JA; zh;3j$Vhkez0EFW*<RJh6`%lD}PBsA8#<d1C4~ok&6b}l^o)iu8%T^W*@<^`*VEp#` zXpOOI!$GhbRaz|OT=|<s*5kt9Yt%q~iVN}|IklW8h{{E&1%|ntq*5_wIEkq5f?1N( zW>mM1(urXQRY8^#8-~NJu%S(9_ERpr6f*2TQcAuTQ7Fhs$z-L)C2=qkQd)lHm7Q;N zNh!8Gq>)*eS4k?NEHVlEtn}3Y7cxx602|Uw#Q+mhQpEthScc%Upd~RowR+w_1YOXm zr2IWSuz7nfO!KdaKmTBu(?$Ia>-S2oW-!hyGpL<bi=5vU=}Qx^k+hZk^_=1XBfgnZ zy{H#hcHF(81Nxz(`)p?8^N6^RX%;tFM9%QYhbqMev(Xv`a~yJwPGbuNRG2hrSE7+e zo!nA?e?#6kY|B#E%yYA*1c9Yc7xv5Ifd86y5fT+qltTmsiOismNQn8Y_}={J>Ur(8 zxzlX-ODqrit5P|6Y&aF=F>F>!CAEcWeO|uIm{-vM21Itcd$_1tpYsL42A{`2n=JdF za8Ph5CC&d2N#ask5oyP+;S4?bsn?1d0&AW-LufdZITO;Cfx`SF(K$(imW~}M>CN^_ zl+{W;k@i9LjfZqtbFbmyB}!Hr(h~(O;f;(c??H0$QNBIC{?TDZ-$3NIUkPFQy+*3< z^0S>1j@H7R=%XhRK|IERHI{$&j}dIlbZEm)tMnNA_={MxYuGc)`4gjApQlv<JQa<( z^vzjjJjt+)^WuXud$UKzZS?g{WL47xZPeu9OggahK$9v*S$ptD$CmX<iqxSnkINLk z(-JnV4dL<{4ZDa-QH-jWV+U6{CY+{OKuMcH+!H24*QeGXn5-2@2iml}vI(>4;(?CE z`r(O5-=+`pT6rr1;e5tGcsoZ4c?qG!ExeM#kM0631>3|NRkaR(7k*A!$C4ut*6HR# zr5&3VnYeKDnFoq#_0r(pL;VX`=_z?@kK<N*Be=g?DDp7af6yiMh4K&hMA6G@;!#z= zA()_;Y|hDaz}yUE9%YEq8{{*9?LeY9oroxg{oCK{IW@6L9&(9mzAUx<z6hdQL&G=j zGeOj&Nx3(%K8|eTc``{j{*q?}i;tk>SI-T)Ya#m6cr!*lQz?6^M|Xou)QkJD)`8K` z^ijrJ0+*DOeUk(&tU54}Jah=effSmD6QuN0z+_`ys`7drpQRoM+OJQrnHs#REeL4! z$#Wz-lhQmBGNYA(sDw^kB=E}oVNUZYx*!gD;G;KS;-b}l7sUbwCN;`9EKCT)5=i6= zlRTnPf47vfykJAtr(wrzU^*@ELonjm^18!JSS*wJ4y|RlczkW=`wow71YtCzYd`<R zxf7+)9fJrc?@<?We^2Xm8fP-klwm4RmJ_TEt>c(tBVfdyEimgRl~@JW&P*y(gk=1A z<07xE@YL+Y#}o7`3&)t?Et6B$%Z`sdU3{TLvcI+Q2PhSjt*&r3-puxV3GbuMs`^N# zT=r3nljR{TOV(!rXe<T}^v6i-c)aIn2?{SfW@CB|M%({is#ZS-Rg%_W%H_dSwYXL5 zVjJ>R`{kc%nf<oaV%&fJt*d}8L&7{rhSkk|OX8%6=~f{t90A`nVZD%lT_V9;L#g25 zX}fxI#k(f`=a&N;r5g`EDZS&jI#iU^B2<<okm3N7O;^Yp|9*6or;XTvwm5EDlFW5o z&>`J!N#O%GZm|8Q4S@3*IC1QL2@kYjX#*zX*ew|>sbCpXnSksASqBg<0J+@6RNi?j znOFnt>m;!G)GG1<_j)`v;e#_SAWcVOfDZSj3QVgp<T@={!twC#&V*#IF8#J$BH+M6 z781?3Q<Kq1kvqVfM^#CcYd29%Qy5kKiK>#UP|lUBF7X&r9YKi7`B-d)E~}BEa?rm9 zxKzryzN<@o3avih$f!cCQ=rpO`CQO}8W*u!>2!TLt)4ooWFd&mwm&hx|F@*t=4~1) z?N2eA+&f7be?@Q%3Zn3^4hM;;31>i}$^t}5I|Q!tPC!ax`l^Vuqa8FZDN#U7n}_hk z==d2)5l<HofC2;v=bM^PdL*di?1)H4z>pX-k<GHzJMoXwC|;3}t7nlIS1%R=3{QZu zCn8D1B{HnjBm?BQ0&+|R$0*we#k2(_rzNKDi%2@=LE{$u0dC7l%}7o^6CATEj?9)- zjvg|ZmfM)}qF$6#uf!?Q7M6Z0GVW9D`O)!QdmwY+zk8iKDBiC0Y&#tPvu~5$x!C>s z_s`R-g~qYMj{ThT+r4LGO?u~)mIvWuueyef?6Sv+mv5h}z5n-d8(NWc@g8u-p6vp` z6f@KN`H_*4=a%nd?W&ijgUiK}Lyd38rwi|j1nvu#&UmEFPF{ZBg^B@^?te8gw;tu# z{<YAsw${Mh^)n~qC!Ig5q<}5M{{QS}&hr&1H^@I5LE-LkW9sM8>Bz0WuHH+0&BHq+ ze&?glhQ%8&C~ID#X5ZRrMwR9EsrLwTb+_61@~bY@Bb7DOZf7-2AFFZUsbwO1Z!Ny( zWnK%~gO<nZqVflG&rzC7{3=d}5P!ev($Oz{kG2)}CdmaRmi3E{GgM83ZwgB@H*9Km zQeAI58Q%m5QmC6gTTUn1<9n%m^uSg)`=<xC<G0J5ZJpg=*lR}Doe=Vq-fN&AHiXi3 zRff5_?lgl-2OO<n;LtQ(Az0_rrGkQ7f*t5PUTp69<|&i%F`t0nrP<^8RCe5(U_zz6 zUz@g`Z|Advo2Rx0dJ2y9(P8K25c5v2hUwDKLwR{?c~)u#Ty^@V<!U$O-t0LnPgB@x z#?c>ho%M{jDgs^0LISe~heAk5emE%pU#e760wsI?HIQNZ7|0lcb&H}oFZ$zzwhnDx zT^!s@)mOD7k4i-|C8POgo)iw2ahbgB-lMPWbUV~2cNnK}q}JS{(W`wgVM^*}P5{H@ zdVf<qZzU*09c8MAgMy!zylA!OkirMmd=tR!E3xNvf5uUkN15W&BV_%Kckdn%eb%}b z-2N0pv1c<jmyl%dOQGpzV13|!VM%mhih&a}Y5BKU*Th0*0Oz^b<!I7YULOOog!vM5 zqY~Xj>~}H;n2c<*NNYUHnK}I7lcIT+qK|>?BI6-sL-yitk$@MZTZ01d3FX=;YD)nb zkXt->kXzkbHsK6R<A8ZYX^<=EAxF{yXP@0Ojjzi0;cSUl-t7arSR$5Ua1<-wPp4J3 zcSG=YWftCL8RYh71AB)&cf~shSEed?qynNL_?AdcJ3ZSQ<8NG|$Wg;qTHQtlC7Xv9 zI-*7i#FFPfQIVKd5Eo6939;!^!-kzsH9Q!Q<)$j+b+@n|loNJ!f<yfup3X8Vj;7nf zL4vykcP9|sJrFdwLvSa!ySuv++}+*X65QS0U2l`T-~BOkJ^P$}s=8O#%o@6<dS64p zPCYg^yMFiR7jGJx<#DB++_IrcH|}CsI(B+f)odBTZ9Nvp7rik06J=ro6WIti-8PEd z(Itl<qu*pFC|4{eXiQ`EI888tGZs}emkwdhNe+_hLv>8Sfiu6WXu=%cNJ<$F1K&cF z(Kyo3jsl;-Vv|C@(`$^8x4=3kpPUc1(;U(+lr{s4HVD<&pV(GTtO-^Yb9EA?u>8ze z%hCQh>n(%x$#D9kd{g2_SUGKb-4?XTa<L-({%lHEu)L8>alZlmG%4Di2XQ3Cm4eA{ zj2xj@dVSKTcq=z<sqQ05p`$iu*yix^r{7*Vyi?sVs#D<Wn+5k(oFasv&#j&*y`~2? z?PFonJahH>zyjwzozO~_S6MHXe(xZZUKymx=OBRYA4x=}gKb=momlRFr#-Y-x-#8W zd=y(xH(xXXDNH_28lR1Q72~Qz?DsQ$nTfQdRy#2{cyZo6a6Cf`4IVVn1uevzv+`a9 zdBYty;TT_VJPS#npQXImS4$CAOR279{;gKpXNz{wBPWknRAg9WqEt?<l3wNX+A1o4 zx9?CRD$kUVzq^xCT%aU>4*Bu9vX&*Xmc_{Ar)+uIN1-Am^_7e#u=XG?I+acWe!E}U zz$)=WX}>t^>uTZEQg&;vk+QGcONt_<751i?V=zuSheh}Pynr<1NF$s(TnB@{>b~6w zaGW2uG}vT@y42Tfojd~1L5apBFm|YVo`Xh{3OV;)WFo=GlVP{$hBQU|<z&adF$zOa z$kVnt0pjmV=azX36($0SCiMqMv}Jxz^yvW-x9&r{)!4sn30w$(;62Os2kPEN@G5S7 z3tjofV^EmSby91xAGpwe^V?0%6*7#}+i64rpC)O+OwH1@;EuG*?w3jbA<h&J7vI^y zMVirQbZd0+YWX6MQ@dm3+%vMTmO|_zpFtdaJI<1A*Ol;{;m-PrW+7OsZ7oPAQt%iI z=G%hcmz$B!6qC6R$6#OXoV8c%OAy&9XSk;DEXAT=cNbM>bLW%d7fPq!PV3&d*yyUD zy6^uG!-ONLYorkMd}0sKj|*};NjzR0E@8=CKVZp)VezA46$vz9&DIlp@RhD@N;mNM z7Vc9JB(se+p&+R6GsqA-yD7jpi)hghWoJcT2fkL8>4b<>PYwJJdZ~bG^M1kT0-A6u zZupb`)xv`@&v8N((ZoZvsGT1Tou|kk_ynzFH?mzvRFI`fBk}cU!rTZ4nRulS_Zh5* zR<ySl5)-~_rQV~%h~`@@-rOI{dDw<RIJc8td2D1U0}9<qh3gfg)k@wxP>Dnq%spke z_`L)A917AC>=9w*p%fil<rIvtKcX`EO>v#NW$cFChtB9-hfVZ4)(w%59eN9*F-qi0 z4zNXUT=6PfmZb2GOO-ZejZd`r<NW)E?CE$P#iyENR9y6m{8yo|KWz%qlYYjFfuXe% zrLMgtD;nQS%>7!T1qhyE)U~f<MU|lJ%Tm3J`fHe^-eH*vn0Z@JsKb;!v|(lgu;q6< zoSN(oU6&v+P`1G9>I1L^UNxREP)xw9#sk=TxVF&_ctMkVhu2oys;n!yYUTP$0{lPq zfb94|ha63lfj~^br)Y&7SI1O(g0jy~mE9Y-o$~AVbKQ9mIK%Vpf(&^r1Gc09_kgfj z*Ji@YfV2L^`=XXqc7yAk*`=R-5j6Sj!xr-J4Mj$a=9wg>xuE8{vpdGNj6SS^RiDJ! zvM4HTPR~DA(b)BUGL@o~j2oB&i4!c6ZEWy{hCFzaBCQalLZx1+fo9Giu)4tdEF;SK z)m>lP+_q0v_?oc`%rxtKHouuu+oXJC<#l9x@BHBdkteHw=+79Dd-@F4ZX&N=An3bR z)QpAMJ!%f>U|-SwHob>Wv7+S`@=oQ7dodUJ(KTn5<QF8NOp3=(S`$X{R$|Le=%sUM zRdmwG*8&i)l0TXMNEh43RyGn<VA=?mz(x`OhJ|8+(zLjt4|`i~F-V%7*Qt%tgtL84 zDZu*06s6gaSdtiXQkV6Mc%H?N(nxORQ#adi99qwS{<4{H32I#PA95R%=y_JH$&`Y` zsn9s_m?<XpO@<+#ECrc%EC_m2gXO-mZ}ysz#~r@a-Ib47;|$gB-#wtid9tfVw4AA| zNQ%w&UIa&pE%vk+h~;h%qy@Wk-W!j&e~(TfH;6DCcs;|o`4AQF4`E}F4JE&UxTPaF z*sbPIU8)$b$iHTOSaxpC#A)u|a@LMkd~Sx^RC1mrO=VGWzFNG5ombv$ex+tU(}V$n zR@`i++Fa6{MaOMX;kT-Pprm>xk#MWDQoqnve^|{Cc~SgDegCxBV!tPLsYFTxddcwf zlK5ag^>jQ^xwFk_|F=_G^czckZiRt+Ync~4tLG_O33qe5^C8{T&n>356+t5-jB{aZ zJMbmI_dMH3xYFwC>C#PClobhO@ixk6DH)iysRWgz)DC4IN3zKgDEFQO6<pnC%I7Hm zf<$EMlm8KN9zcu#Bj}t&WM1rg;3<Y%A{&8bux(+L8J59)M4UA;0=U(X+l<mTP#b5_ z${*56l|KXuCH?4YTNH(+U%({;-*12U0fPH@&D0cP^;pP-2D#7s{4Sin3ye8{z#dx7 zct2regXddXsF0U{&aVttoh=)$8W)e1`58iWqHvgIco=RR-M7?O!QhjDLBM_pezvfp zp{$ajS2?EsM<w(}gjV)^!a%jbo`_gK=OZz#LbmJD^&Cc%PfdnWs-2F(ppMcJ+mc>_ zoeO@c?B=UA-OyxkYqodzDvlK^W96^H7B=?H9ZjdS)q-qw{fA!)9BdgdjrJ|`JQrv| z<(F=BD?#&7K_TU-!B552lrePC3DeQoqvWWpFeW)$kV-xr^mcm3g?P6_&93;Xd=#aC zM95V@SoW_)pzEdPZ?+Bqqrx+8gf-#O3{v#6(}RbU<D3Vq&8_d&ejJ2cyUvX-xi`*4 zN+6Tr9JrzfnLQe!N7r9VL30Tl+2c0716$(692Dqr76j}Dh`A~c*<I^zuR<&gB=7y5 zypT4``R{GVq0iGzhpr#$(Q(xsP3-SU7=E6?5FcjYOozHG5&M=EaxG`#)-gdN-$Y68 ze`}+4ZAZ5scm(4x-NPn6B-$Debx~(@{(KnG+l*URgA8QFzGsoNpxcZ5QjJ)A2nli- zRJ+bzO@LboX(mzqEOHViEX1?T;v#D~B53h#C7L2W$Kf|gHa;UkTVVqkLPPecs4R?( z)*iv&eY*QqQ9?~??~hJ*1JT;58hYCqXOM$Tc2aVlLdJ^*8C(aj98av`2Pc%*P3%Wt zO@7Z;zSnfl$Gd8Or<b|RZs&crOzv{Y+otGMx>2)|#D$y!X@`TsvX@ZJlWo@WNIG|p z+m$eqySlyyZV%EPcxAs2FdpP2HuKnnRDIaX-{pOE9#8eR7PHtKulWrwc*7UR_}ZsJ zJxhnfF)HxGJ?Lkj?F6ek{(QQ4VzHp+hdOpts7hC0qneS-ZGK{xS+S4(aTjTNjMCsZ z9W>cMwLCT>bt+ERy_4@gzw#?B!!m^;{q4r}2?=jes3q6}CHr;GokGoa2wP@Nq-y$v zg17&4S69#N!s48GWlc_9h*m9EZ8~t>ahjYnZ-2YqCG8uYji=?$`@7VwzQbxV)t7tM z*655$ckY+Urgjt6$Bd2=#I5k&E0>oFMTx1GM>JI>gV@vqj~AW@`bm)f7eg$+#Mj3s z;?>c<0xPzI;>fiV$j{-pTPsUQc+g-e($XTV3L?vq(lR2fMG<}(8M>~LcD-*v8FEe( zqY_>YykIc)$D?0IqCETUsYHsjoeSH-(IwyTT7fTiQ>@><d^%*vh@p0>iohx&R)(cB zY^QNd-;LyY!*zI}=UA;Ut&s|EO02{?drQW*deMBP%3-w$qr;e(EG3{$;d!*VJ)byF z3=wTRH<g_mE{tb1Oy_>Jsrp<PI~O>z<!dS5pE|QtjIK0ZPB#nu)J*%8j&b;MvNWyZ z2e<q4&N+pmxEpP|g&H5ea<SmjY~+AvK4fM8jPP)=nr2E}vC)-?v_r-qAGy|yL~L&4 z%vTS+x=HW|S*l!m+jJUy9+yk~#u1hba4T3Z7q!i+v$fI3R9&|AYggVY&!>-X>^D;n z$JWirPF_R1caH|yB3E=6T5|4EN#pUXj&}yxxr;GS9N!boW<0u$o^Dd3luf|T(^F}8 z^$u=_4G?+>P9Wbb9$vS%`ewx3#<JAc<N5XG4)SeRT2blSVKUl+NDJ4Y&<O11-2CLW zEuSF80x$?JzxES1Ejbf7LY{8<HtHVR*Drn-Do5C}uNzdZ2=A}CGCW0C9!;RoVmICy z8o>$Q+miYfnaBMg4EI@DbJdtT!|u|JcxTA5PsRE2vVU`7!3<K({MJjqMVzaWrK%w{ z_HJaV1Tk?hzF9e8WK7AQ4djVMV3RX8TGGTIht07x!&K!7S1X|=NJ|)N7VNTi^$|G- z?N{=0sc+iu76U5-be{e<v(H(9#)XO^y6sCMx@S8vC2ni*2khzaJ!l_XJ6shV4--*N zkcAdDcoVwNMS}>35$=NF%K4$eWgQx|hSy>6_kAe2mJ3iCFF4xTxnUy@DTqnp(PNL) z29^dMf3XvbhX#s^w;mKzUHBZw83Ik`lE2Mepb1;}xA_TMyymy#xrqt~Sl>uh?4jbC zhg>UxvDk&pajsCvie$(gI4zZCM0%X)9I)I%dnVbxtTzO<ImbTo2<2|n28^i0X<10d z!LN{Bb~7|-O!U&`NYBI)QCvKqJv4uPdMg)wvpq8E`!gcHg%Rw2`myZZ+1d2D4ROwC zqa?%AVQP3xcs%Q~;Dw=b>(UeD4q|&B$@-%tl?VB@i@k%rb9<|bhA?&LMsXCwzPrV* z=P8XUcb%?vmIj+OcTlTDqBD0l!@0GO<!f;^O^<tHmoJBxZtf4P3eVc`L6v-m?$`HR zr=M2@GoIl01?TXL={B}(Hut>3zEwn?9D1!vhYDOP4r;p(V#3wFrg=LG2+pl8!qiak z6S!l66=EpN?VUd%!POQ$TR2Sn1E%<On(B6%&8WMbs&T&4ld)$k>c&Hd-Dj%Bfgb@H z`oy<PQ<N@lvl~3I50L{`Ig#I(K0YOT;zq;JsY&MD4K2!TDA@>6w;HpcPqcP=>{5&5 zc84m-AF!0tqQ7#sBNv@#&nUQr|CI3Wc(wYQ7q1C#S=4C1<6rnU)Ym!^VI@6hy;G*! zec}dO?pVJocG8H)6%GWwE>cs{JS&SHOA49iIZ%AScld!OY%l<;T!Z@Hh2Zdm;y+OJ z1%UHEXz~X@@;|@|0zmsea1H^$_8%P8VXgQWVi8!29hIPAd28AVXgzMOZI?j4?#$~x z=gvutH#CNY{ZQRgXXR2*aM)Xjfb^{OoRC|YzRJsTKdu*{vJ^!u`$kdbAD6)Y@KJVa zYVjnOOmpyal$*fxeJ#HIB(@630w|hs8=<M4T6!sjsK#CS<`Ky(3D+|+Gw0NR#&^gB zk^*^0x6?Aj&Dmj;!qkw}*e@<%B~cta5%8p#tWaS>k!IUAru}S=O?ofeG2cq8&wN5M zD+xh=e`kZj=Ykk7o7=CxndPB`96nfYsoP}s^F^!H%RyR&k)QW+JuD40c6wTLICnkx z2JTw$HJG{ZkI_vaRW|3N^`C`omovB}r8%*<bMoo3vf{kEqq`CV;I4;_-$obn7$;S) zv%<R0<_K$k=3RL4Fyq!*H#U~bB}IN~FU$yx>FCJDEuzQ9p{2NC5>x9>oz)fc5}ab{ zG^5t8rK}3Gu=V{GM=&v_W&MUVc}s)9GpmmAUVRP?=+33f0|Z)+!TLK=8eTX{KhZ&^ zk|HAcSTwKF9=U8ZR3F`JjQlzMDakV~op{Fg<82bMlvfa@?C6=-O3*vRL31s##&K^X zb!=$orf+C$#_)hw!&>QP*6|eQd`{7uui{0b`QAjUw!nQNZPxQ{aq@mj=YD9i*T!{H zc0@1Q;Zsb;yqN2tvIWs9h&yF&Mfml&;o1I5L|i)5T0SX0IL6u+X1FiE3L*Bk7_4rV zsvx>%D8wz*9J!&S)NF5pO|6{9W-JS_yOlC1+{*2R^*a6Hl`;yz1Ll%yV=WGHx-~QR zvnCOm^E}19iCF5f&~_i;?scljtki$d4UY8%yGGq*g%wb0JBV1o9(SpB?3h=+RH638 z>=t122E>U#I3=0ds76g`PZ>}YciH#e%|S!=u)|BBa3S}CQ_13yl<j>Xi_z{rGEwoG zyrLHZo<)G9gJ%yN`2k5&a1tT%?v51(jI@+U!>H#`ZRY4t$=h@Dp}*j76yF7usV&+^ zE2Y-*Z-1Jug`PPYc-nnW=ykhLNqDT+Lt-j<N4SDi&bh^Y_nbR?Q&sa@*NIJo%svUK z&IGQ&*~_sd)kNI@H}WKcR!FR8h%DRxgmV@UCqQq6S`wR+uiI<(*++QfF+ReI=6T!r zv!LbhW?PXyiupRe_v?-Pbaj2t>c}PX!L*sgWx(Cn%!^+MK}%ptF`_!7VR|{x_70*W zc}YaF5`yM)K`1ue!1pnC4M)rKo@V!H@X)(zo94m`o6+fI3MU{D_)w9oJY@VY@kB!U zL;{SZ9aC5$JW((Ic3R%mG_yZrRn4>&mx-l;xpI@$)tGP~G0kKMc=O*j^iI1b8PM3i zXB432(2R&)j*b<2tD7MaLW%sbcu+%fkysY#;P9Xkt<X8~8~1hjE_$g=OCWZ&6b8FO zo`~7{OO5|-yS?l6T@&WwW)TARD#CKiKFs1*gcAw$+68&slo~A&-6utXtuJCww9c;e za49v?fS>>fB2XvL2xAKwBvmg(nbwKKehIB>Y7k=!i6m9-957lz3jD5qg0{C?qGo*5 z#>-?-S!9+Ki2}B_8=__e5#0?gXyY-;6(gC>4}38ro*$(OAeqAPg#@BLcYiH_V)7>* z^&uVIPNAPO>HeMtT|)@N)I>dMUz~~LuPWo8{NvLur>ab0H_c%8_i|X<^e>e9I-l%x z$T0$%q%hH%>0!I+V?X!&D1d{}N&0AzG?b@P9t+{mIO@YZy1fY0^!<vxD}cRgW0G`~ zE06_ul?i9t#5fv1oZ0zl0LDfq>A(+U)_*GRZPY$V!u>vD!wA;K=^B&x8Hw?k3SXq0 zE`2bc=1I775)^IfAuQ~tSaet|3kOXrN0&Y)Ol7RKBwb82YX=Ohr3YnVgcBF~o;ERh zQD{-76^5_eK~RIdbpVB2bjmLn7&act_`eB}K!Qkdrd6chzXS(rxoEXw7?=iy{}S4P z1hA4!E69L<35K+C(b)As1+)JWPJo0CAi*>6Z^FeCcyy>!c_!#o);v;j#69w$%n&4V zhhP;EBi@BD<0;p1e5$RMRwSP++ukkY+}*7EAYM}g9<X`}Z!=7#vZ&rZF5dd-Z%%w8 z%=8FQ;Y@jTP7%m+yP60QY~g|&FXa8{`ri{EZW{H^AM>YVy}S|DTm<j-D`|9B1f2&l z^cxUh1zZ^D>LG*A4xXR!F*gf9I-~};dcVQm*C!fzBq!uIFUR_2tSM_u7Qh3M&s!%m zeG)WU8mD4?f6hw2988626>PzR+#YU{B~*O5Bi-J+Q%t-zS+K@C<vs>dTYV4ZxJ3>= zllQyFJic5Eo~VDRm6jj!wJZ!Pr?AQJiEuv~7co&(9}X<qZemjqo&$T3`U&e6qg0pY zmLQJrDrjKG6M0r!-wFAiBvQ0p^q#ckbi8<Jxgl=)xz*eH2(=cz@bhQzq+h?5WbF7j z8U!%iObrdT#0Nsx{q2@_87w94daQr?dm#$nI!7FL%?f<^98zJld{cq4MRqfDQ+PA; z;Iyo!wVo3_hv8X!a1@$oX9Q?o?^@5h*7%=xL(ffVbO}lJ;&R;>DZal@=~n2<sjs2x zIprkgm}fp?xnCut7=PFmb))O9coB12S)57nRZ+3n=<BTajbfifb4~H$dZdqJvPc(% z8=9G2q|b?P*4f2p8e5JL@e^aztyTW>s>%tTPxVj!*2Uq8YVC`aBE7<QLGu<kz`cQ* zrVpm6RQQ<Jk_~!%jGck~HmtXLIcKu8G&Ma+%U$6@1h|Sb;m`Y+1&2;Wj<WXaJvqhA z(F6or3V3w(&&5}s^=le-h>;JSJ-+^7H~ZRs2)yj`51RwRug<*tvKfIG9aO%Epql8D z1N^TO-zuq{oF0nLJ?2k1cLaED7=DrbhS5YABQr$yy$ZHJX|_KINR8E)=TqEm;$7|H z5qx5#cd+`rEisKkck{&*`gpffrmJj*PFA8-L4&rehnO)DEAxyU|Frn1(!mU#7H8yE z10PnCGPb|x*$q-<gk@MG;7%TmytTvt&(Dx{4$%>7RaPeH93s(PrKc_+!P4M~Q8wuu zP5R>$ZA*nVsKjXvUJBtTM=M^n&q2bpGQIcC^@64(P=M)O7y*R5%Z2)PLF`@V1_Xoi z1q3NT(0Uh|0D<gu!SG$Me-|p1>7#d!+|X%YP*=Yl;Gf9`MCBbUkV~T#iU*n!fyPlT zT?B*9P)4t<oO*$(#hJeed7IV_p4mC_gRl(W`egI7m~J<;ab<ep8{h~EWZrYB<Bn5` zZc3h?eii=K4J`EMB`k(=7UYP*Xo~X6ivh_T6656B+7cxjf8Nl-o++Eq>$<bzAJ**G zanuJA-c7p7e)dIQf&6)l4qc`rxE+*w_1iyzhaSOLf&3!D#gQn7apTO-n*T@uCG-2j zZ)>zd;pAP}<XtaDgO+aDOl*S`5rY)4VSP06@GoOIU&cT*7>8qo<9B7_cfF{wzKP|C zT@{Mi^2dh7<jUqcE97!yiV6!%?oV(ZPT-D{<lvf28zavcgA_)~hB2$v2C3D4s2!yI zhE1j>M5ab4uJ2nkk@by719Cq~Hb5IaWX<YQtHd#O;`N33T07LuNiC)S0ov4spIb4V z|Bw;s^1)RzF3aZmyF<6gqKF(49-GR@fL+Z?oFl-=C`fn?LSm2(<j^>CGbpT+youWT zR<z=*mEL0W>{>~c(b&D<f`Lu4)!yz>-Tey#e&N$1<d~e?Q8DmlfherM4am)M9hkbt zb>id9wCCa~tqqxVNbK{V0}w>=^uba~{KBtFp>c|wCF$)j>Bw;AeaXzy>Llkyc`SUg zdNQaMI+bX3w&XuT<5^D<3NU07RbC9idk-Ur89_~ZtiS9IpPdwInMp@d)bm?_=tT#^ zhV=!9XFg?5Ke}Ok(zvHHI(J>GIwt<?AKP2Qn1<SR!9sCR_J`x*sbVwNVwi>E5aOql zoM4i*T$?Z7mcIG#V2V->F>{^Y!LEYv6x_7E9I{3#wlOI^X{e4t)KaD;>bBXhmAj;M zK^zpPsETRR0S&+pi*(1tOwnwmmT8OGi_9&@f?fJjVyD0CrZpNVSj(lZrJ&k}u}~D5 zjB;4-6>jF%cLLqw0NoxX*>1kd_KBJM{geuJEfouf*Z*w_N8xQW!Kjq3^!0?Sy3d1J z$&hX%u~NTu+Jaaer%>0x0o9{TqF?-kqB_qHTgi}XW3FF6cRGMr-Kmhs;1<;bPf}R? zgtFQo1Y6EfaAR&62qqA#PXj>*G!J(vAV^hB76k+)Hs&sYU_P<>mm<K4=CL9T1gWd@ z;(?(2#vGKj+~!9?jv|Kd{tNQ=H$qrcbp?Y7W~QRawEkMIanW+>A!g160dcdk%a22x z2|sTj)mb-#SqVgp7M?*a(9}VxM(V!0Iy=h-3}-Q!c}Lbh<P$ngv79rkn9Zrl@lGbB zdaZ{mNsAoefZ^!+f#DQEOVL}sl^Er)gn7eTcY23UzmQ`#Ud+@?aQ1ZusH}Ago4TUH zEngfi7}M%%3vyd(liajGLs;0~nANV*R}vYr0q5chIM`jI3#*zu<~&j&&b%m|g^zct zIuA}64xCv~8@yTWENfS%M%l0MSqKPLyLy^&Wa)S^N?~SjR^BEe84jNdIPXcsGz+D< zv$ngRr5SvFTzmw=VHt1mkL_OhTjZ8cygmFJkJLmFbVywmmLJBg9TkPvU2*y`!t)UM zqSdh>DNii|_`GqF+w0D%a8}N_U}&iZAthQHBf4T5W1hn!aChz+eMveNgd<wnQALdI zNJN?@{&3iISmq2$FfrH(dJW20yxIo1!D;LL6?%LIWq1h(u`aE>!-?p|ddK0>i3J}B ziHrsZU|0iMnNbDV+=AeCzyi~V2>9kPFDJjltwa$s#vzj11h{?I`L9SAP^9y{NWgzZ zcz`0ABtQ}Te?|CkgVDUbMSn+gA>)W>ri5>WS=F-RoC=xYI-8J3oRr$T^Xu63nAyJw zn%Uji5~$6DHR`gq>Nblnsr}(cNA`tn9W&>1%w%=I%M0%=udDA=pTA|gG9jLmou^z@ zlZS0pbmpr`C%3@!5AQCktC4tsyYnz)mt5wA!SPrBsh@cHaQZT8n$l>G#tPFg+x=yy zX6-3?h}rsx(hSX_%voc2ultV4)ns$_Z@s1FxIbZMM*Wk$WjU3yjdcO`R2dX&e`xZ8 z)kSo2tVon*hS=7nZ1|VX^(U}eBD(u^5>rr{xPZs2{Z9!ywwPL&Q+K+ZaZl%7b-uH< zIWXrNHYbgf+YeI4v3v8rN$|N|#oy6H&g<NgM9c27L(9Frq_p)T1jDx=M$*V7A-sta zNj?DsuAifN8>8ca6v=WAx8jL<1*Sp?@$Ey2uB^)%uJeO(FAEW-@QU!8K147kLZGZ~ zkb_PvaDd8bImW3nM14SHLk_wfLyk&m$QiZt26t)<=D#+M@S4urIa`7JTYE8x140)k zp#zN|x3k9_tq^zQCTWC9)H|?*s<972N3Y3M%)M4=OkiA_#ky|H6d`C#2~@1kJ2X+d zFm}<jjyac&{UcGMx-XfEu~5s*WK>EyxSwh0C%Ue$Bq`+y0n;vdgy6iUmEf@9#%*fY za$pHQNR35ZC^XF3AU{n+%~fE&t`|W_RE`I+mgW($=uts=*I?7Uo2vkG3}Al!XC4B~ zdhh1azh(x&e4+}N>)y?UC#oZYW|MN5hIj*O?lCAs{y*}zi{0f~!BL~X4@c9^(ZbEF zQfm4Hi<0jSe)$R7Q@VR!A}L3loJPV`0u5L5YwL_jELh(s;y9n2el#SaqnDH{MvSxC zH>H#QC+F*KOq?kB;b6H5U_87(5(kW>BwTrbv3%={5-@g097h1g;fRg|Qld?^ZxljN zcoX0OstXsAxm3~L7os)B|I$j%UrPT&3s+OQWVhm(K$Mp?X<t*iq_E;y3$*3zYYLZ` zS3IZRb-S9}CA1aK>v!F*CUfa}*%OTz&~0ndmZq0InSr*PZB5cr?XsuByKYkxw-mSR zX-Awz^!hC1)BR<e6+1a1lutT3lYXR8txuZItlaWYBV(v5A%Ht{6D*cFgb#F27L<Bq ztW2U(K_W&JT4=llM_a|hQo|=kwE2+|e{y-z0bTAHdTkmeWXLJd$)1PQ5mm)sh|aPp znn)8dgVS95BT7?ipZ=2-kJDj=_l(H);isP`JvZw`B@jyZ(Ix6|b%=Am1)A6@_Cn<r zRTI2F5PxwRYxf~HHTQA<HKlsb2m_{(<9Cxfgc3Gj3afrM;bW;7yqg996R(&S(dV$i zn=;0q-hT{~vTB5r6-$o$4kzg-i4BW5bFC#5Rdf@J*DAGajA_y-dyh(p8NNq+aG}8a zARz*C0RcMA$N^etWb=VWgQ^z?N@i&rDzkkEq|z=Qse*J}Q~01`>1%T=SN?(YYhOaG z-~)zvHqS6gb?u;vSJu}UsU5M*wqd=>+F=zhfAABPi}(T<l!OE<Seckc&9|R@6g<le zl2dlpVpDdI>-h)Bs;8zjoiapXQ`e;9`3DRt0P>3vx4wkYLft~5H?XuWhcC~bTd<wi zLx?7P?2?2I;LKuUZO@ka)?;Oq3QUecd5ZB58Jr+~1_D;{KK>BMH~e4<H&EU5M3Zsa zSptUNK;f-4Nqy+Qhcg2cFX|zhA1sY1m=G?OR1*3Vwthb8_=i1lVyGTFv3GYe6Et(D zYAj&4{%4m4?5}`5>^0@RGj8!rkl(pNNDu=n@70YIKoRYv$hzr|8eIgeNMm4|#D{2M zeNs`r3o%lOV1Pqn{v9(padJ`)tPcrXO-cy{xCk(r{?V_0l=+Xc|55Hg%Kt}&|ETyM zmHwmhe^mL8ssVx|wiB=-^!Wl60nDv(&19rt{o#oJQO<vaKtI}TF=gLO$UZ4^(5yOZ zUMvNS2Af)uEwov3>cD4RR50(rP^^K9Qv(Utq!4X|W}lU(02nuX{IArV<@{ZskKF$z zQW{X4SwYPr!6r3k>SLET1W+}z61FK^IDc#3XWgrtX3G@)ObrPap`LkLHO@@HiXE6h zqwmLtW+sMIPT~D<jGWZbLbYoc!TMM#!uqVJ`|Z2;VSsZ{l5gQ{&fG*TOS%bEcC_vY z2RQM#9oAla>^i;t6#I9|bo66@x0I-t{+%-A*jh-(<rWOn?^DLb1TeKU_X+=<GMVqD z!%tU#r;O!46HU$gl(E42XBz%%(*I||2Tb>*?s+OeJ<E7iShp`VFzGDArD_H%j{|lB zm0ZB=v0$NSiv<3-5a3};P{3R~7K_5gl6b9(L3U0?e{J>tPzTJW!(`kK63TyP6Du&A zEP>gSPSyJv+KvY5c7XXMm<~9**YOQBkbYoj7e?jEn|uO?giBB|0S=hxctoBm5-z=p zTE2<Ex%q&Pw&(XL<_BQ&!6Ie$W0yTh0E}2lzM+6sr*=~baDEj`xHT><Qr}ZG5KiLY zgpxS2e)_n&h@C(L`#q#`8m_5>1^pO;jr}@OM$Ru-{OLn+k+yUuL}2?!H1T%@weX4} zZR)l4aYyd=D3`39eAlkHDehx%aXs*n;4qm>?lYD;O@AG$WDZSEd;%^m37#rgq_a;^ zxd@!)i$ifKP^=kpJ>$}bb!16FwWyz2kj*Ue0<tpZO(p1&O(;}NiRZZ`;05`HW)ifC zzmoqjC0|dIfbacsq2!;jqhN<^ndp?$9m~=KkDk|`T7-%Is}$R!aG!bg%Z0dqMZ=@= zuFIXZUU?1to186PD9JA8r;jN|*t6xYRZ{PJ$1ul&Ub@A?evRnxK7Qb4IBJ!FpH}+> zC%I6l@%4K%3Y8Ia>*IWjV#wswWliNp+PlqNS)R4A@B8kmA>Vau?<@FbOz|zLuoj{v z-v{d$BJg!WDuiCvgw{dwW6eBEgulnV@H<Na%(MWH@bV!7O(%PD@i+y>um%VBkqDQn zTgV^tBn8&E7KhZKO-tp*BO!Kz>b1N49yVtawPq!1!UTW^*qmL|766I>c!bS4j16~z z$4Wn?J8tfvKjd8~or{{F>e#@;$%Vp6{_)s_=L(O~a{E)OZX#-^k6^h@nS`O$(icsK zBzA<a(Y8WBch2+VCmS|n6UYb0GZxWf1pcSX@D#X(PUTn-$Mb&zOhmva4MmRahk!e) zC}J~x8^{l*+dre`@w{&Bap9AfLA;(Gut^;tGu^2~1j!vgte3Y#1h0S?YmEV&;(cgr z0J?GfusCPS{MUN^&x(%s&-!di8qi?(zq+^of{OowuCM><YKTY%m_3&ad2zk{6AVNI z4S~bM@u5eZOB9)vu?b9NAJ=PR4DWrnmqBRk5IQR@Lf?0j%gg^a2&R%n^xuJ3jsuoO zS~<V)@24L=aYAaVw0#Syj2^=4{JQty7^1WAE%e752Iot|NQdkTM$;pm-JvChXU^@} z?qWyDlt;@oPQ0gMf;@1DHSWWkJ5rBc%cbs4sx1{>R({+#+80}5xaaJi?WO?9ZY|q5 z=$>rK&l-7~z$tm-!8Z4#k-oaLgRlHVT~AF6yjeI?fpg4XB9(3tTkBw9a1d(t-TZgK zc<7-zM6*qU*8wk3dF;YN@%ZvMF?M8RrjIc%J$|210loI3@1Y<k^RsU}#;l8M`=p2` z#u|-|?)K;XGfJ%rq__$N0?r2;34`s=PL!E$ZDBI)a>xhUBkqaObZ7V^=QnDC590Cp zv2<)ktnDqWu3?>XuqZp9<8!3im%FeYE>9UiwJOwrDm6frRHN;YmH{ZY7yg9HcmzGJ z2OAKE%bgWH@OF<{i3jn4=5)6vFU)@?KGJ-*Jg`lv_8<IVZq9d@-j*utBG938WA==@ zD7yNiT!WZlMp$~G1H*E1!u_qG^O&)Y=VRD{5gopf5agfGUF|iDUM>O?%3iBC&2IKq z<zzeR^LTQLxbA+#FU~D<vC;6*N*rMxg<}9Ky62`7o;e-pj}W*E*SE5BsdGjNXhKa5 zCGg#bnK@fK)Z1bDw({i1(t2)VEnIGznZ@2YN9m;)@jvk*+H~HcttYIiIJUC8u8>87 z?9UJYdy&U>xzm??A8@x*eL&|aGojM0?j@!NO$U;|nm{sftRSMoDssDJZGS?`0yhh9 z4(lCJON$FUriHV+nyQlFAj-%ilf-?WAb2+cP_W7YCD6rft2Pb6lI1IB>sz>3;CG&n zoRdWbBom`DKrucRay-Tww0%*dga{#2K0KX;>!~EW!Cu)+l5}=Xl(8c_KZ6<xy-A|0 zuig=Mn){;6=2OTClKAh1p1?ULO)^L(O1}ez*qDJrC8$6l`v{@fFF>K`KS_4`xsvN7 zziK&9#)^PKOk6oA!{Oe`Sfcsgf#C(GMnl<4Hc|4r@`ItS`AIh6?l2L%bCX@*&A=*1 z^X6<Km=p($72Y=_4YvreZg?xfMzSeO*d#_n$L6^4f|2!Xo#+>`3GWI<Tpqy0!9_O2 zX8p!YK4l*^B9UR_UKP&QV+Hf%q6(JaBxFvtj+181R0J%Fv33H+)ti(V68s0}PO#}y zED!7w?%*9mr!!I~cn+3HF{`U3h-}S@)9K!dioYz1#B#+4Pi`znzBXDtk?eMZ4Qx*G zYU5!XY|RL4_KS%74}|ySx;Jx@Pa2g7k~)C~ZT9O<N^!80Pd-2dijF^gv({rUF~Y$` zJy7r!B&`h1ZmdeGMTL(DwoB+DLSg5YT~_(N$N@1R#M!lu1bv)NX+cANa_yr>oUU#a z1imVT#`Y=J!LW-VTIw^q5U3tS2u>(;vVxUDFB1#`>fBE%416|h4D#!sN!zvrn+@w6 ztA*0?wE~Y70y%aL4B@u4Ct3$v`{$tr)xq?pol_?kjW@NG)G0*1x7HA<#@3_>({LA_ zKZ=VGoQ;?2CELTHSiVQQ&)d7b(uK8>y#zg}L5L)hy>Fk`qH9J{L-vN6C|XL~y5c?M zt~$3~cIx5ilq7S-z%3@pqpi38Xfv?kv*R~7up>2!L4sqkt&;RDJFPn{FM@nsuOGMB z;*l8*hm05~!(#*-0$_UyWX*ZY)twiOb-qEJlAK|KjTaXwNjQkOk?LHfP|LYsp<y@# zUBOKk?<rv6K*}_b!jS%7$~BPU8wsTB{FmYz4W!@>HC|X}{7u2Z`UP&Y2_oJffdj|D zh0jjhGMZxCCYB(AQM2VuQoXe+^b9c{hR24b>%eU#<golJNDDf(YKv8HGy*bWFaihd zf=id9g=XW6LE=Y%)zK~_^q3r$O@kILI*}KwV~IUN&H5u!;s4g=vXJksh##A`ZXq%p z029$42oJgEslnp1VDCM4S`RvIR7CD@V$~a<4NSrV_E5tKgI0&a1J%4gLLK2>fm6la zihQ8J$bSXeLhd!{fC3!<6;MX*X#M{J(Rg>%n+*##4$Ib}Ll=$6P1Xg(9!<1+{VxG= zIFUHfICoT=jw?16%jTj@f5VBy9ydU^?SEm)O|}gnZ1P_?kl2Ia%U-|x-*BY5ll+$r zat~=h(fH5cNU=G$3mEj?4YG{}M-QwiH*OYpBu#gnF73b_B!Nd-3A~BPIA~%s=YEB* z8>&j<qW0gI+&jN^#F5@nnZ~qcR*9k%z?6RYE^5<}y)!t16-r!H(mC1bN8CWG7Sftl zMTznUrWETtrOjP--oJ2pr*5|&F&o2wVMy3gMj%X=^DoR$-FevqxS9V8^TC!%0AZv5 z!c}#hK7D|j{a<)efv7r$A^t5|^wLI{WQZnWc+1Ktn`~$V%U{3!c7i~zQM3Mb;_VZc z7B9^@n}VE?$7+cJo@@<lncep2y+$14mNj9`pEn_?!t%-{myX3{w`FH%w|n!C>!~}T zMr(M-+>PxErF3hjZo8TaKhkY&fg5qExLcZ^%Q%}%LpsJvT%4M@)3rPkeng|)PhDZ~ z(Pj{|v#WU6-amKhw5zt2v5(^OxKDcIth{Bs{qe1t>FfJ_GjNnT)3Wn$=<;%F<9xZX zYx?k7db#?--u%Xb=6rSWV!F5Fivc+h=z5Wj=%HR=;n?gO5Mr0rK8OKn`HP_4W2*8F zoQ*Fdt;`_>=7P;?Z~J(2E**03XzcLV(A~bt_I`8b&in_vW#Q~@<R@u@3FYVp&%RKF zaBF*8)n`sbDc023Nb^7E==L`1;YnLhwJU2n`#)}AGdQD&9&dD(4lO*JnpM(08ejR2 zuHM9>UmK3hlp7M!r~fQ>n3;QY@QjL@!=u^Qsqd&Kcx<(5LH-c9l#t{@|79`dBsgW+ z2~V1i_^iPGSk?qD*70D6Z@EL!%h-ySm4&5ch~(rUM|*pCtURe-qLo2BQWIOFC7N@? z$Jf`c8ZU`m_H;vaJ2ni#i30!U{7Jqw<E^pT!bFMhlubnVwC9GpojnRSs3+Z3q<r^$ zY3Q55zTdrk+CpDo#jfx-f`b`NkGK5J9Zq!$hVqO;%Qk!GrU1R~lkPU<H}#;7i<NeK zr#JHCmyPU2$Eiqd?OM6$L-@uSoma<F1V*vEV^T{6s`J4s56nj&ueq9CSI}OB3?vyx zJMC3pTm=~o23#`U(r?<Ix@m0&o3-dX>?4g+z>hq?ak?5UaW6!fR7O$kAA7k6d5APv z!Vc(T)3{A-p)#Xw{6vEvleWdCX|?PidI*;C?K`VxX6BnQsgz`d-}Q74p_wwNJin4Z zPCYwdPI?3OUy>F6lc#6MU96OE6Tw|73CTb~*R%I%<0`5ROW<hZ?S{0qAqGLan&FR; z`R(7cVqrfcEl=Ku?74z=z^&4z(l%AUYMEl^hO1m?=j`?Ll@VowNvG}n#+{^0{8r}3 zBmai@;YCsZ_WMy^Mfp(vizGd!CkB3@hiS*l6RK<BOmDYw+UsL4{!bPbK3odE*H_X? zJFbtsSTQ=>Ql9p%udY%|2!pX1ck21<f^7G<lLCm#>L>FyI9sCJ4+}iSO?yIXPg(3Q zH)0MWE;PRY`&l7DSS-O^8mZnKrn1ZWDzCdKile^9(kx%HBiZbYynVT~D$K)$*yC*D z7ysu*;Fr9j+ZKUa7um-}w^ZEIr?<(hJ0xRtk|wXqx1vWqS6t1`D{wudI1dv%xlMGq z@;0Sc4{NG>Efmwl{-tL(UCMU>`8?klS_r=2&*s&7S{}K#MR|zaS;7wKQ<pmG4D(Qk z7j!=l$4OgJ(|nQ4>fr5TaBO2GdsryLxeK7QEHk5~q4~ZsX>B=gzt(R@U1}){SRQ{# z`QMa^H|;SmD5#uO$GJ+yOZl5?CE8euGCH_XAA3+(|3pc)KOu_(9V_Q`YZ`6+WIddI z600(Yzqc5;=V<rTj5V2Dx#~}KmqLbzcULOWM1f0!$cs1|sJTWr8KN&;dkU#W5VfYo zVi;M&dw=L+wy3!f?Q}KZTT^nl4J?6EzYTel>gv$$UPYiuzO}kx+tl2o(vq;Psj`J| z@7bo3VIjpzuyUsLdu7RZ_H35zXiaG@!&B<)oBA%It1FMeqo@B!DbCu`OkPQQ=*^hv z!7FdN*3;Z3Gh_)ES4MQr>-Rs8OdKP1CD^$wL}xV($5C^zb?5e$5SQPOCL5GfwNUe8 zTzOJ?+@GxkELly|4^p#V1AVRBx0?6X-mW|YI^GiXT3<fOuGQD!S-SgFaGVLaK`YP> z>ue{rUzi*X>A1znU~)P~q;U&MebOz*hi1}gI#swx?%dfa;6=}P$h#k<*knq*GlBp0 zAnLYTe*aykrua8nJr!FQ8R?l6dbMK#%t4F1|MN;wH;h#QC<~$4#OXBcNi7H2ci?AG zJ)yQe0z?Fk#Zi%5ih~2`&HjjdED@9bR$B{SdoRq0_MPgH8Zws9HqZAgs2X@F)VhD$ zsyJJptR#Rw3<a6>#v0Ua4plFPQ@}Ee81!UN^P)DkJ}V@fH8L4gZ~Ttq;aEwohAiNc zzg@O78pcmO1xAN55}-v)LrRwj?^ztx{)6=y7+BOu0H5M~cA!CyX78di0-e+soL2=R zf+n+j`G)h2g0I!G8MH!hL&EO$NNj{S8_TpivwMREg0C{@eDZyf3J<7?&;00o(tVNg z7gGw)^yqvNeUX#DC``DsDg^xScnW$7?3$1-*&b?sZXat_{D@350wdW|J{WumY-_V{ zD7Ea3t|^Qcek0>zbNRe6<o<EsX(J!Qe+E;Nv9+zdyJPAwW^~^!$JRhhXQqPeR6r?% z5v5Tk4N1#AaVvM-A?~=>x{}=ACul3}fPT|yvoXj$3v<)uW`l6^u~!y3@T)-YBxkua zE(U|<zzuZ`QRj`b-X^?(u9g3ddIlTyk5-2~#9)*^P<<p{AN?pVykxiuaw7v<N!TdB z-=Z_dZOv~l{ZBBAC?e!l#j*%DyIMx1fLb=5dZXv?h7=JYDpS+}8E?QKkhko)pP!}w z;Goi7KSvUEKjPgzNRRlf_nW;r)YkPx77Uz07-amZ|9ZzxzuoO1yLpiB)F_r=PKIwD zLa}tNM@+4(#N6WG@%2yL!&kPB$2M%Pr#iuFZLR?PS3lHn38k@znQNWsA%_&V{bM2n zExehvtlyUy2T0hZ3~w9-e!17cDhy(;iuqMy`Bz$$Vs|H#fOeG!4fVP(5zX;?s)#Et z=gG;WTlVo~5*+;PbblUqN>v2VT;4U1DL^}a*P!JA&Fx+L_^w^PYfYMf=JBpUziZd; znu#f(dA)1!Q{aB;ews0QoIMdXc3Sk3?Baa7DPC4bWD+(%lGvN2lgM%Nv=}4>&~}q{ zS!5z!aq^+XE{wjTV)~c;UN*M3smNjE@^AaSylZcmypWt_F+fXU|I0+Papt#Kgi(U( zFRVjn?0kRq)6rd5!{m$PtltGXYK=F)%}$LH7Ba97Jx%j#x;@+tulQ?5!N+7Urs-*q z7mZjcm`6&-;cmXdPj#;XLBX0)q%j$h_u#q_t1|P5*#z9pHz3ICVR$8cHHtGPYxy4B zU4S7=GTDvXE#xyz`gD73#)CG>d?jjICW3A4kgC<qRHvHjY#6<(7OLQaKeZdRn=F{} z6Ho4->3ga`iV=iKIg6<OOY|827?UgW++t4m>7Zf6ZZb#8&oNmYOZ^(4C+xAh*}_Vu zYOEY*!?0a7J%xFU1+xj_ns2aWwA3ddX538jwc{z--M>W5gK>EiIqc7>vIf)=E_;ji z#8U&fK2S&<VSnB$<2JHKJij;YIj5;R$xf@fq?tMC=ufPk#@?|hAFi3Me?13*uD9qU zP+;~XNNjal<YVaEB_NEyTFP>}Y4+xiseR=~F?)&DuTnx5OG)`?{_IUUe-9R<%gcpU zp~;5C9)qm=>=qKB#}J9fVJZ^nO@f6B5wSi`??t&=K_YU}NpD5E)!m7V`w0T6uho9L z5DyI^LjOA^yN_V^hwP+^2;4fb?#}2iNH7sAc1(V>tLf+*2YO8Qm@4gcD1?tdM;@Fu z(+J>TB8&)dxLrEh;Ic_Mv!IX>b}RgZ!R0tm^f2mpz(5BX?>MPz<mZnH=ir4Bk{6IG zaM-CE<p^1kQU|g=e<Wrr8sVUBWF@qzamHx|HnGjAIX)#I#B8YrS?`;o5)SI5x5#BP z&4ArE61JjL051T<4={WNFciQo09XBY?*ViLa0b9%{)RUI{seH~-Rr-50mf#H|5m8I z%WG4^ftJz~xtxozQ>a}I-~&oZN#yc&d+rYhUaOh~l$7+y>FxU5>*vg|_;EM4TyjUU zJfn~1`F@7$BrHu-RL5dw34XiFBuq_YRL7!b0e*%HK%0o_Sj5c1Z+8Z0<53+8n;H5U zP6BN#s^f2FN`AY)!I-R1p9`-?e~kr=n>p)~vl08d2Q3EJZ4bOuB_whS3|?(*=nY@C zX#M_^#JK5=(e@xC{9L#Wmq`VQ?<5Nev(p&~^ZP-ydUZoSTrt+dcJ&o$HQOEY<xBQk zwSO&-Jae8JzeaO=wrER4MxDa==NY8KNyY2#0_Dj*#8=E!Bsa_#n!vZH&|0EWI>%3W z_;2314o!mVGxc7b5{sa?6>^csgCjOaL$S2yF}(8t<FTGkmYYJgE_&NPXCif$C`gC5 z#%&#Tmf$HuYEClP_x;o~-N$mKf}HVI=E_BA%>^?ZD4FhLx|BmG0;_P~$8!=3oQhB` z(lOf3!<B*5Jh9?Dk3q=CtB~{kJt)DEhS0j$Ww%a-C(fmr7myY`*WF!$Ck|BhuH8~J z?F0%3;(BAKdLab`&k?X28sG&w%n0Zws3LUQqDc&h7?S@H_=GKF=ygPy5QaEfdjv<! zWWm*20*6~u-7eTNOvt#^FWB0jsjEbTOpu(M2C1rxlnk<(qk_%L$mEPz-ATv@wk%Rb z>ZWgKL!j?0pbv2$sY`V~IJ(U@xal(pXGPeDavq&L<Q<jwF&b-KAS7>%geex12o@HU z>7p5QptKZnqWp8vXd@(Y1+~@aunW>jvCm+bE7C>E-ynl3QgtpN3K)%ORjVtZp$(zF zfB-23dsH<<FVKUj&minMfx$`Okb6`ecr?a3?{ErY>>c4}Xkxlf*SI=-@;!lBWFbwj zE6ShT-`jmliGx}{tjW$gB>jL?6-06hPDCnQNn8`ZWOPQT_946k<rYyL$+E|FuAU(< zRSmW^qggogB;lyn?eB}xgD)L4540)$d3VzpQ@Y-QziL3BH7v8IbRe+ZKgI63cK~ux zCQXPgx_og7&$5g2^{d<>iX74w8}MK%|6CjoQqsJhNN^$VIDERbuaofKP+}&tL}7H@ zfdA$;MA`Mk_~qKE#Q0#YU0hz$lCEHq5mDjg<P!EbbhNs`im_<}>y|>&Pz7DI(ZAcn zH39pC1Yp-2eYXoH19r+-z)p7cZf_(9>~rt-(RX{snxskyYAaP(_b<plH~GxAQ#m#~ zA8mqK-B8CyZ-eAJpu<3+ziGAy8ydRxI<KP%>+Ja!_{pav-yl=?%VYYtA^sweGBMm0 zNh6ZdL37}9s%FniULde;X7`t!e_K!9iZe9y>TTKrjF5f>(SY$I3PlWH<U#r+4;aZs z(-hy00!}_0SqDs`(2~|69Dw!X#=>r#;jT~b!!BUO@GJNQSYc5pGJsD-$*3`~2W~rY zxgBp9b;4AzOOAuM++h%OR({sEE!1f$vG_MCGf%VA0izQ680tIu`RDo8NNJ4%QpMGR z%9|<<f{XzvvEnpooh`j99^Rt#7=?#l-Sq>zE^Yx_L{w7N6qMqr_&7tOS3y@R&>`Ik zA}3B#z=iskUFQ^V;GU<LOPWH&?~|FYP-&3xg~Kdi&2AETWWnS7sODH8!cF<Ii50m` zym#=v^<g(n`KqOVVp*$r195~t=B=+&#+8@n<&r6Ej~lLk(JDvwo4ncHH!dU&MDQ;j zNyLGA=)RfW$1KbaK=3LqNyK@*f9JshfL-7D`Y$`Zv%@<d{$<K{wtnaJzdWB0u-QA$ z{^g)|HhSmLzs&y5y6@cm&N0(<^5)?bRARrkMH|Uvono>Rgib`iC?$GKkTc}X5QTp( z>qb#mdkJta5|l5$3Yfe>kH?f3K{Wq}z~6*`E6z5aGdn#Y*j5B4Qw|$A34dM4V}C8< zdH4Z%7;u&y8PDS|ugmwT$W-Oq2{0?^h>E$-it5b`cVuWLMggm<iQ1HNtM+u45|on& z@NI*1T{7ikN2>?QB3c!1b(`|$r%Cw}EsePoEnrqTcR|B-P+G0c6Rsh(z{@!24s*CJ zNX3^kWTUOX3^s(X&=_UoV@0l*-(8E3Byl@tqe`mrFxxbyIU4ZcO-UT2Tb50=_BDnZ z7EJ716_Y=1$!9Xol1Pk3iV)f*4Jvz{`G<VFKWTC(ENRz5Bfx(DI38f<CV;2^aR$Ix z%>a{X{LL={xapnk{&5Y!sx1J|cf5Buy81C@{aFKC)=Xjgy}P{&PzgJ7x^Bv2=V^5r z;<4rH!XMI2w3Lz$<SEG~0u7nqm^<~E%sZ*ZhW(H$J}AKS;60?Jj5aiMAE%{67S=h- z`9Id)IxLQ7TNlPHI7Dy=8iH$ZclY3~!QDM1K=1&;-5myZ3lLle_ux)&|9Z%8?|trh z&OLwJ=gX5>J*(dJt}1#}t*)M~saX!h1_s?fpuk_y?=SfD7eqk%%M|<z2K)sva-W%0 z|A0aO7(fLWT4Vza`PRiC**ZcyF{Fdp1WySxFL3I8`P<<k_$+Njm~UNF{aXP$uf9wE zYuG_3Qt_~~*8bLyUu=a!DbyYdfbX(2k^tmb)y9mjt-dXvzXTr?ZIYBTzzsk+duQ!! z-S(HzR#16Z)kq8wqD6r}8#hlbD#B#T186I>nBicKE3dA$VpnHEF7JzE8zE$gRbGoV z$Z1NDmch;{hMbDVe8Yu6$L5i`%*A5hm9MR6drD7(F5TIwM5C)KBPG*OpLso)_z5n{ znU9MbzpFm8VesF>3~42By<E@s`uB?&l^k*s?-yaso{d6Lj5-Ptk!~mSG)H@zA>3{D zeC*u6IsqauUj7+h2!Dwm{}S>45<9;=6OI2NG6BR|3YW53pbq`%*pK}VGTA2-V)UW& zF%9v@yRUHFB!5dU(fZ9JEYkXc&_1wja@*19>MMmZyPS`yuL6UoBg+|<&q|JnZz_cw zYO~3nW=Sw=9vF8Q1;DtICzRHh*aw_PS>7@O&B5s4Ydm=My~$m~g)&XE2A&c!tmJ^W ziOpxR1BVbtn`IFEW}PuGO7bnwfhG$;ao$#ID+-_PiH`#39vDrG&G32ULN9wK*)t4y zz(W;jRFj_yP7ad8-F&NN;ZlAVrRkroVmp3>wdjwxf=N&P6SLY;8^tSu?zIfwkd~|D zvi)_#j#zfV7>U27XJwT~HA~38d7m6KEnmT9HG7~XS2hKgl9QjR?=&{Q%PxVIqFYiF zpKRbX_5JJ<x)x9CR-s|dC-z!p9P7wrN8e$gPX#lC?AESj`RZ1I!%8Y66<ijF=gB!C z!{y*9>4<Tn+Ry3#g=PFoLT-177c>>?4|r+JPUsPg)WlAserG8KiVXIRj3HERnAmdq zwoyNw^lHDYNr6>4B$rY!!^{LV{K9C^9KSn}Z<78GFq0$fx{_Jg!sOQvWB+oyB;A~M zAa<W!Ud;y=X5)o~TXi|6NN39WR!k;{R3t$VivYxiD>D}%sMO5K?`x5$)Pul?)~h^P zCaJ{bkBqxOCY$*c%?Lj%k60%r+mRH9rcNXYAPoVeq-ek<6JjF=*jNEJrINXYgtKsA zhhtR0@7!>*_lgxs#R5hh5F>fO$PqB2l6fn(DM+no{e?T=Eyt`SO+qqTC~iD#x?l{d z2aJUM*km-_z8%PGjeKBeb%$^yyR>`!C^(9uu^0NPlapf5lkkxLS~50;(EhczNOkGp z_)#DL4ntpc0N^d*Au#|F5ZNO_z{&9=e*gqSU$sM+M2C-80F%i6%1f?#Hl^>aRNMkt z$_lwu+RD4jtt!ch4Y&U3D@o;T_pnwEx>z<Y;_6erXt=9ZfXq#7^M@;TBNB1(Vi{<l zJ4k9rGM0zfe$)%7=QlGRarHKz;}l-H5hL*nLOI_r)*exWF1`h`UVShUaZ6-8%j6Pi zOYbfxsyfCuT>C?=`jxg_!&)8a?Aelts#gGNQkqc(0YKIJV(kV{^$KR2e*#o6S@RM= z1;4w@hESdR&8|AI7WbF!=?<J_2^+_u>zg<U2l0u@MhDcjZP`lbiKHf8t%4KeC|}}` zbX&<_Fpw2kL-(roRnAWYkTbm2v(b!upU-g%PC&<Ju0OFFOx9wK8Ly~w9{XDGSwnkz zD$Fw6w>B?Gyp*nGzB*rBR{0$8$+m8=22NnFHImNOx7p3a`i)XiS6cLvfM#Dqo7<wN zqN{}dlU47}zGt^pMbB=l466V~UlO#iP1mamDVd{<6GXSAq_wci$OkmEZ$(fveyMBU zu4tKS9SoRg+Tgv3P00LcGsi@C>0e!1*K(1Q;#`|25W<N_BAy)dL~o0Jj6_)XhJ`0l zc!nVr)nY-LU`*Q1CXl1-_FxgNY?C7<KveKOk+dSnI@HLOL99e~<jq)}age_{H@D<i zJ_0`vr%(yo9kk7-D_E_fHHESKbY4i&`7yN3{wh%P1t{X+ffRu@0IOd>5j{{e!UZXE zo&&6EfTBtHv3#=+&%Q<gt2Cg<11Ngrc=pu=ScL#ZBtTID`?IflXnN)b=<?ZhDtBo1 zNrt#^cUG>+9X##cgtU}Z_FX*9(^scfxDoV{gClwFwc#^w^%yMIdp{xT2~L9c#{kW0 z-NgdXz#y4lfc9yf(iG5UKqcLPX1xwJ1hhHOi&j9hS^umDXbYfEb%18O&aMq;OQ69D zK(kxl)Bv;<(EB17z3N!n)t42y(kC#t`NLRZEjlYb;n~<N{%w|%{8)@LoTs-`VXvb( z<C<dPrLoGym!KS2EeSN8aL27cXwe*T)iGcZpm+|-kaZVd6A>tOMvG>TD~@@|2NZ*$ zlvpkCHB)fLt=Q0_+2XQe)Hs0RaVQ~H;IC!G84H^kq_`!O_@vBQ{f9CeT!=?%rbXY} zHkX=TA#m|Ut8^XD=(V`{*CjjWklCD=+NVer=4of&S!4L)XhhOa4@3olA4BI(J_=Q= zLHE!5_7#z;8?p1Mk6F4yRVS=CSiPQpeuRdypwp^vW6OVZpueQowv?J$fv!)KUcq2D zEl{DcEs$=_Fq3Fk;YO^Qr{Q<ted*xxpy`OF+_U^A1$ffN|D^48+0L)`n6rI;oG|Lk z4gA!!@6Fcc^58aurE`sE^Sb_sl(3#1vXq@Nw&V3-cw!&oVE4o20Vp}PM)&l~-5?3Z z)yjQ5?h5RH81KT0z!r0Oz>f!WyYwvPigv$?y-nb!)(1(VFjfe^?ob={A&yG2OXjrs z`PjF3>w<sRY*+NgUmr-2{Cw|7y7Lh$w=sH)TR(`|E;6Il{o#Htr8|)^VWoAEy8hF? zuJ0xT=zXEF-?}hVcg8iZCEbID+qOFAogWEk$^F!>0K*)k-gQG`u=}-F-{G#4o9F1< z9ikUv-|kIK+nK(=6B$oCMuZnP;X$L-Yw+mu;A^#;inz&o_3^>q-F78<=fi(`YmOz+ z8maAFMux$2;LoEr8q^gWgDYF{mHZc@+xPnQEZ4g?;TZ{|82W^C?OfjS#|t^VlM)fX z?w-z`JnZY58fF_G-F<32>v{EcD^~Ov6!;f)=vOl6x7YOT_}laky}eG>SFi0Ee+pdN zv^FgfZ$5%0T0gj--Je{fAe4Nmxw+Y}uAS_-N(MPOx}NTj1f5-&xwzJ}H7#;^pYGjp zFr?1dm(CBR1pT&4KU7oLdcD$OT{2OI+;G30F<QR-&}+!ucs)4R3!ba+OM19j8(BVk zvVZFSWP7?XZp@8h_Y^k9S+WlvHbp*fGl_b<z96`2KOGnNFlQ~#&+@b;0EgKsaWCDe z-vWDK*ByI$e_=RyaG6*V4&ME;|5U^ORDrwe$0MUM_XTCo{7AnJ`~J{ST>91ZerazN z|I_vpYYG?U0ad?Y^hE!I)%=BiF|F6t$O?-cr)#>}sJG=>`v~6D7plTs&}kNfa-o8p zq?F-r@+G+#UrS+W6atdn9Nb+T9A)L%Ye|v<Ns^T4*sh-vw-jQx{lVXHIrt|SRdSoD za&s$BWBBD_7-XN>X;@f}irZ9$AP~&QM#Z_xS1*h4RA^Ha$0vSv%s+zn9qz9*2@-Jx z$Oyb6{fdSjF1cP9-v!u(ru0R>8ciGNn)W}rgbgs&Gxwb_LxdST7`ZQhK!;1<O25(7 zCPt!T<vVM3<-`2A<+bHz<4syQbUSoBe<x^=beFVJ`w-A!hOje2go%(CI=e_?^jYoO zLT01fPh5Vapuu2kGaMEz9jpe~UuGQda(Z6|4E6v;tj|RwW*l74MQ}D|IBd^F6J{Jz z&qbm@5xbTSa)VR86>CcI_<C5!Cb`$&H$)!zO_jyN5@})ic`t={_MF-1%aG=k3UVzi z#l|AJ>E&eJ2f9ubS!!uvfpX*(CMUu&iCn^x6Vzc212HCKEg)u&>@`{RbY|g7=Eatl zzcX!~_R>mRSI%woiyx@_x<zZf$b0xQ3OJT9TP}D7+{x(EMwJ(CDVLow3%xDu0>ivW z;b@%%>xe@MGJOlX9(URqmYgM@9`-$CiI(n&EkA9!M>)Sh$m>00u>7>`o)}zN&2RZ> z$326vgVuVtfP`a)(?;b=;NeqDarUua!ql|yN!{bcmG8@pQt#zi8#E-l0}+GI^!@am z6kB<>7ZP{lbs5`?SC1lE_fx~>P$iWYscESX!nxB=_uUUx3!}?5t?ieg!pi)1f*i*F zoTR7+J?L*>d8!bJVcs94yo57CTdWY!sjM?^N~4}w_vi!Fy0jPzf24Npg#GxL{((ee z#zv&V_dZK--qrW~Pc6uKdB{c=+inYKDin+uz+H8DF0%Phb>!5Zrc*ka=Mym*!2Eb} z(5P+LQg)p?X!c<LW5~?K@i8XW<K`hH(0XxXsXbye|4d2BHS(d#;aitr#`g!A#klGl zr-|fB#;?v(I()Z3MExU^^Cd`Pk;ar*&n*Ipp}DObiHC>D1to?Db1XgJb_(Blu#rbW z5wR0QQT@isoO86qQFgCogNq-GL$i|dSRc%_`2$xGd-Mh9;@ejD%~`YIg^#neuk4UK z1r`@R<>oorIrZ`MGL;T3EuM-qqrPYT;MSsm+Rc5)!Hl{OYVKhwowUX7u9R<<K<)l@ zKSE@O)m>?puwBlF5t1-EL6BEc>gw>@Y38n55af+MNu_^s$%ILdQ`x*sgpi%)?)2uX z>w2pp>3nE?NPq?PG9E&<sj}+~K{pdf<@g2c_#dnmxxrN%z#xV?Y0zq}C#6fUGDn`H z+w1xGp<Da;$lcBJeB|vWcs}xX-*rOl1iKeHnJRIP5nwwV(P{$d-y7l_!xO+PJc2oK zd=8hv>20qcqw*xt;TK)?+PNOJw74@u>RhB7HU$-Ln3myQy7FUANhQ8T6WV-WzHAJS z+b6J8_-&#XJem>jzU@Tem?%^HtGuvj0bb3mDSZ#5tKib)!>7i$w6gzu`NOhU#+Ck6 zegF`%$z|?odLU#D47ZgM{*l~2#YirIkoDE?oV<eO-kW_zH2+pmf@lWV9}ftbv6i!B zI24f!Z#cR+R;H%wcrdO!gRo*F?_6fWcj|Nv&;U-V`T-<j=ASr9_OBwU90Z!K;8qlj zjhl&fmOk*iKS*nFyK&Sdz;dQS7q;y7Y{j6ddTPTL1R2qBD{~Et)50U_>aT1HZkmX+ z;eqD(Rd;{iH6M<-E#zbnUGW>lb$NVg`<;aX=Q2@+66`$D2@&HEu?7*R5CQ!H5Lkd% zCwmbR{~{jklTuc)`xuLV?L*1TZ;BPvKkbjRNE6FA*9oY=z6|fudD3{6kzoSUT;C%h zCCmZJ3y8vsgycHEL`phqSs&zYP_Tpn6KHz09myH&Z@>!cyp0azKhcBo`ru6a8)@v6 zZ|}T;6j?!4g^bto4({_{?m@ZR=S+L*<yV1SY3}++C~oT!WHgJRZXucWNrg)n)0mh? zQ(hv94c;bpD(vn~fWe04PFFF<=_MxaVd3QX$s^>bGI4@{1<@x?&>=^a$&**l`s4}m zvp#h~g@t+8(=4h8(iyh4co9C=b0>;0Ugvi)qBd!V&`9EEjf+fkGClb;@FUlmU&dxX z(S1@V^G{YQGVM_SX5kR@oF|2?|7{k^o$;Sx(x?5X`n*qG8y)pFvFjd*pjxLE8R3tb z86bA&76o*Jmv!goDMD-JSGOZ~v(q+*h<5uT8EaC%wj-8+i_A}cIQ7}USUZFpeLoP1 z%^lt~x`Oh*Qd;f`DvH39ef#_+>v`3WT<>Ln>z`0|f^TL+iI@;vjWBotF6Ro+WPsdY zU^7a1T_>l^d;FuWF49dFX}^cT9Y~CMfBz(!=(@BkKNhNYX`D{li9IP?FfK+HLYeZV zd=H8qex-Lhb#|o{6%O1?KeO+C7E`0Hd{B49S*-cpyvQx?8hK$&xW9Sl<)e&0dAXBQ z?HA#t%;Be~#d--J6Pa8pkr4;<|FiQQ6>K17g%J;rK~mO8&HyB3g?g|tLQ)oWRQ?85 zW={U^acf=}Hf=^5VHC#1kW5F9Y9ab}3f^fTx%5@ji3i1n_FHST2aD*ZDw~SgRuG3< zizcwV?=VSfcX4s?R1Zj6HJolOYCwJ>93eW!g(NE_NCxAFWUvKD20H>W7)!TPUkack zZ8v_J49eO(I~U)jEzw=PS-e1J#-z{a1r5Az5keImggYp|`T|K-#E=Z;2FYN<kPLPO zWU!>~K&N9uJ)Gi!<U1t0Nfviwz+gjjt6GcWw2le$ps;56q#SZ&9XZK=)<;g#pY_p` zglB#9B=T7wI|*R|E<%t@rqgY0Fep9S)7%--0dzV!vB??wJCdcrqT@|bP}xOCg!wAb zPpMsR;`wRU)qGZ{c+Rw0-fKi#PXc)JAYr<j&ne!}ib}L%uc0>g{ZJUpnsUGb$6XWo z3n$8AxBF3|Nn6ktY3&}PzJ#;D_Q<0dif#$-R07gy)$(C@)XHVyU5ibUP!3e*SYFN+ zO_<VFs%#Fb8Wc$XDC&?;WS;CyqchD9;?W$DMRcpqO+r61(PSlB9GEcUZiw3)cDARM zN!?wQPvC+Wy5tA)=pM-;CMpoksiH}yLjXPip!LZY;Zb{({o+~-myCX>ipNGYU!-io z4N}=0bG9gu&Mq30PY|2T+O#qg(f&9@HJF188GiEW?N`SYne9z0`VNc*#WsgTc7m{s zT9RSgtl+xoNKO)@_fEn;>-ag;m#6lpy(Mm{@lCUWHHWusS<Kjznq`xgLefk(`bdyj zAB=bU0$#q7+P#10+;_tQ^o(7In1hG`h-id}B8W(Wh)_UGd9Yy8bB;at6BY9TK@bi# zL~Qp1q5vYyA%Yqrw)-GueZXbS9C+u=J)UoB?+A3Jf*@-xgzcY#pvnB7f|ZkI5J3eI zTfKnDhX^x>py~y#W7|Fd=?ZvNWR-{dJ}Tp2N`;gni>sVF_L(cExAV>-t3S_fhfilF zCT@5^Wg1;_h=ITQPN@njF9I@IwvG2=Do^qJfAN?cIJ+g+zE1%)Po^%V<sZm*qwD<1 z@j|pESy|aPo|w)W@7tJxZPtpLxcNuJR9$v7+VAIf*3VhBR{Sb<cV;=AA;X{2);5Ul zQ!Q0jcB}7avUaiLc~Vj@b=so8;($*K$74eb5pN-a3L<DBf&n5}Ac6xBF5JYQc^vqO zKMzWra^MBw{Grj|kF7_>OO26OlTVF>h&YJ&4iWJXkpK~ih->m`KP1-vAiqv2?o9H) zJ?bd}1gOyi_YgDy5pxi+3lTREf$RwgJm9fn&pS_CdJR^B`7R?4hge@sn>&qTlMAmV zo7(M~{VT`f`RV0T1h&dnmUro+stZ~?%ig5GEJvHD>sN~BQgV&ArXBfKu)%jRRx=_J zPlg{4%_YaYh_<IeUpM;df;CAtSN27ABF{`kj^P!gw=uGK<P!})77Ql<LJlH^<00=L zV)#4c9YhSr0YW}5z@pKQLs~=gFqvBl$SxlwAVLfxL?A*4A_O3U4<dL%veLM@5VALG zLOJMzoQz4e_z6rVSw64>AO|Z#U>XNAL@+`GJw&{Rh<6Y{9TJfCff6Bb6W<J0TfK`) z{wrDlFo91A&2O&qZ2RI)vYllUfoRJEtDPD<al-~G+wGt?hoZIPs&X-z%WD(woXf-v z-%9v1r92=yw^Nk26@_T70Uk)?oUs{DyS@PS>Y4+V!M?uZd0qj_S(4$#puze2(-r$5 zu!i<C&*~GfPfHWmoVRnkAD2Gkirs%%?>1g%`dC>%qmuum(I9&zp<UR_rv_fsNz>J( zd+*t<<^r0h^GjhgA1rv>+I*US+wec%Cu^^VNK>lXR&caaUGEYtI@NpZCQ#G)@h<wE z&0G>QY{4@3t+ey^XPdza3D5?%srP&cSR)hphJNMIV@)co0{`#N9Asu{PiXvlXTGeo zxnJJ95IiWpdH8+0Mlhj($Pl(%YM1Wgc71PmNWP^{!uV8mF;`VzD%rwYPArrA%G3QC zablv3!M*Y750}TZHO484@FCJ}*2&iOT=>jrMk|;1jnU20pwF%B!mD$uo7)=<#J)cI z<D2%h5n@})Hhrm?h2eply%X@7#|50uQ;S~OlY4bzsZqs(Fn@V@{j1YoSlUQPH#lza z7yAm{>1>h2U8jr1M32WouTIxj<Vh}1d}|lIZnBFDXVjL$%B%e<6V>)h3$B3=Hg@MQ z?nYdP*9}IOEQI)Mahm43ml#R-JY>83GcahAEM@nf?4!2#Q@#wQjXpkRJh9$Cw5P`1 zv}^1Sg1H*Y6TKLnkA#J+vX3aQlh24-v|D+~#(Ey+<0q4b`4x<pR@Mzf4n#x_hOqCa zF!yzuI4(oUF005cW5}jk!4d@f>iAa1OAWHG2#oo4G~^5Rn7azk!w6&qPC7$A+NfO4 z)WUtb3kU~a!oDs!L}XB3z|bkJBWd_(BVb=O@4IR=?pvvJ8FT{nsK-AoBcNH2)`WIk z)JEy>!hJ8e%(^G24PMeJDQ6JWb#Z~-D=vBAUvl)Sv<Z{y+gralfo9anZ*TJF{0%+h z^=k2_+(aUl_SSpQR%$;ej4=2f!s0x()}L?a?3(`lgyT4VUnTf8M!|~;lD%@x^%f#{ z9;FaUWEiYosWwB=Q&H3yj4n*DoiKr@Y6cP+iV*2rOCm6}(bp61Vtod7xI%u6P8=hq z4_&uKqd}{ywd98;$^7{{Mia#Oy9Qy8l!toB%XvFG6K#3BT8g7qe3OC~l{lvUv80&e z2540{%%Wl3__o3aqDWX%U-#Zv5*ZHDAO~Wi31m&4Ve*dQaEBo6BYFu>zCn^dj~20@ zP_KCp*ZsCdCHb*@=V)TNeD@%%&G&t?$|)P%H;m3oq~q^fMLF&}k{Mjd66ohWYXs>J z3tS~@87qd87<Jtpqgh>x9c(@e1^P@A-Mne7q0z6dEmHdktW0)8dXPht!h*fU4YXT6 z_oT-C_#`=0dzNMcD1mVw3neY6^%mS;gzCy0X^08)z%KsOmgHThATE-$D9DBYeW^Xh zFOd&Z-3jc-PJ`pzv)^W=rP}?br)b-tXj6^p*pBc3T&c*f7lpy`yA1<?S(3@9YBC?E z)#YO0T%$#as+X<mVWV8Fd6M<0Y7-yp-sMpKLXB*LIqhaTUc8r?%8@435^KEc=(l;k z8p|s>oZ}?vR&()-^tmaogn=vBycO0Q-%;yZzCk$eeC3lQrA~7W_zY8Qeih5>p~5xR zl#o#ttc)U6Z!=YgI^0)z%%iH_<;o5hf$1E}qU0*4jnchf8Si;_oCw+8ZQ7TdVGVNK z+esm#YWTL_?Nb6rS>ZEEa2IJ-IUdr@Z}~KK>y_GU_V`ApyvmjBa@-d{Ug?%sI(bXt zyLIobcvX%Xr5hu<Y$gvq@)w-qZ3#>l^5?^4YhQDN>tZS`FW*ice3q_>h%Hk;xH>AU z;K{hrXq_;ohxb75>80etbb}fRa2LG|!Rtl?$CwE6!k<TaqTZU}b<^V(!k^(PB5pD7 z5SXIQC^cNjC7W*D3yGDSJAl+~VJ%8fqtBBXsg@PVLAnUo2F>3{r`&2o>!V5OS)-w} z&7iVIhuBYFVVl@vO8OfIAqn$+uvn+3=wBs`hU!DW3ih-g>(Q2s?i3=0jzoKlbg`NV z;RXc>!VnUOV(xVc;n<N0As`08ec<>?Iu#T|wJaQniVlNpZ;dHbh=?fH@)-_~5Dt5F zmNZb&s}h2mZNa=?V|{J-hFv=?DV)u5T)n7{pLM1u#loaI0sv8c%*sPK$NPf`hKI!7 zh;OQ!Z@rC>Z5kia2{xRvbunX@=<oRpUHpaG{z7)o5FFt%3qE5GH`Q$GEn0lYKC6>l zOQ<;2x`i1-7gKQqH|uMQu_2wz002hK2`gcBiV@HMFQBCfZ6u@_IbRppATE&OLkK7r z^H+g3OBMc&cYac%q;TGf_)T3ACr}+W?FC0zy<D@U8AGh1WxZ09%`Z8?Yw+KI+axE2 zQ>ZrCe78xlnjF$01?q)CDY00PG)ad1xhOd%B`=%FS+}EVaW}Xy)055+R|&t;vub=H zC?+59w_tIC4r<d(W^oFDsWnI*(&@;w`<^hgSmgK45lpqvk}43)DMcc#mHULo(eE$r z#kz0dBNjdVX4N=?8ER~~pIZxFz}t*juJYFSI^x`LLp&sk!-$imLy1}=#ok9ZS{o0^ zpq}OJ*zzFNqF|;^DICnK3MHu`wOWcjY=Eok>-M`MvP_~$_B8~U!><gO9Y3A00$h1# zf(ej7IgNr)1cPF5^Hn-HwxnZ`8!*t;gs-tI`W)mM0t9)&Ucgl{>xgC&bw87lAY?m$ zd_Vn6t_R4?VsKjFi+~ypvNV7)lOsn+dG*ODKSr49CqBD6wQP$03wVY;gE}??MQWL6 zrQaZ*&8YHSHHQNJJ9{aFnp~_Pxwu-c3CVzPmLFshZ>)<;hoi(%bP_rbEP8-VHf?O# zlvle@&BOuMETgi&WGAv?0>x9nQsY#M!U5r|Kd=DKUg_``1QZG)d>a3`v9F!^Bl9{I zxgB7<p<r=T4wvaIA#(Wg5rbE329=>TQYlg>Y}jKKHFC*vfVYeofAJ)nF6#-?*xPS# zY)#g2rg5}!AajaLCDRm|6t<*XUUKLNss)O<+<uBBJY);pIjVjtKB}S-Nx_^x4la%& zW+^8G7=r{>L8h>(P^cLrrfxja+1!sMJsz|JBvxzTteAB18-6)>NGh~Nk<%J*!vZwQ zQqcal{94LV^=(+WMHGIh?J@k{7A2nuT4JChx#OpT_xA%Ae+fc0$72Ot>Tv*}E8{_j zV{#(IEL4$##AZJd7J7(K0#3^fa`Hh(A22(H97Ho+`u_g}qg9m+pz>qiLSm{S^-oL- zVw3;Il=hv0;y+5i0qwizn8xEmVyYtb9MhuQ=a@=|L1HRLDF%tDs`7J8Y2QI&`t15S zrpi*!F)hmdC#De29>9S|p@_$&@h{4Kj;X3LB&M>IVvv{uWJpYbR{V`A?Yn=+6!7-% zm`aEJJEp42|A}er+keM29``>n&HYbIDdAxN=l_mrJnr9^&TyuvWCU8UVjd~J&`%Ap zH)Mld^95oXCBBqs1ZsP0@z~joc$|Znc_hU=50&wT7K7wmh1kD|q}Kx0+o*uodrZy) zYEKV0N9cM@#q(6G+J!2LSd<$(;*2kp7}G5eF7|Co-)}Vb^*a?|;rT3O%xk$#Ai0-o zP*UVB(L!hS@1458K%S|`#-13759~mE&$}$#g{A?hYtkq%B$dGTagjMqq$DN3(7i+Q z9_s?G%8QkhWMo8jxuhonFQV$B?L`uUgPnI`0W#w=Ssy}{L4o1aeO3(tl}r`|rd|)C zZr@|STF=!-=`NgepJ&XA39pl`eVHUcY?mD~Q1{^`u|v`1$sLlM7uVqo0INlJ6sEiw zsrnDdKf0K#IMWeiElt+x<>B&~zU@U6z^LFSd56aZyhF|L{k_}qU7QN|At?xLl{F>s zF4aI<ByTOOlr##sc!=txzL7P2qeiHJM#biB%albXj}ADYjVwR}oP>qr1OraOe!uJb z4&?rI3PYG~nspAeE03=4!P4Ck*tRP68SiEPmF_QZAjRzwe{59jGFXA)sRf#4j>Aij zZlJh1V!}qnHiHK!{=Pu7$gy;J11YYLsJ2nD$q<p1{2KQa`Ja4GFHb>5^9^#1pTtP{ z^fWJ*40npCjs1u2E3n{@6tdtDx$vB`F0x)#Iu;3;ZH$M&V8_mes3O<F)$ww$SRR)e zk^O2Kk?LxiB;eRaFwf6>`ZPgW>c}QDV0i&b<O!<mw;WsHBs!a70g=Iumlly-wot2U zW`O4*l*r2Do;zj4MWbKvg!#^`&g8)KwiJeTM)y)lpwXM3Ar>z56c5EPQLeOZ9uIP; z<DMrVPPNtXa#dYD0h5bP&m9n=+SvG!imtAJB1ComrX?hSSO^ARbgPh*evy~Rf9dS} zQ44@+o?%`HoCCljO3!c>03$(QsAu><6M)kJxNQJ&KWh@p9G-~CR$XJpixRL-r|?Ll zwPXXsEaw*LD8Ed$idC)6)+=SWS<M0V9TEff9SR5b9b$B}I8UP%-GBd<Ssb0;^EDBa z4<gDq!u1qB*L5MMBh<MrjVQsYir2<!&SO9Hm|Hex*=IkO%l8=>4t=T*g<�$}UjH zQs^;jW+C<>F1cv~O8#DP-3AR=WI9p-zB?hrlZ)e9BAn+?-V*U7O@?bBdy+>q=UFLm zL2XKV{LXQTnNgBEBAmzwJ~>l9JNc21ePH(2`+L#(QtF0M;ibOaXcJlE;VxgNHAE-y ziM&C~+)%eixY=kYmNL#K;~_gxJI%^pIXViA4p(!G4gpQ+t9n<v-qWB$!=ywrurrbb z1H-Q55!7NF?^_@3Z;<-A;|lyQsiK5dh>aUQ!yGE6!_4RKBKV|yTjNGqtMRtZhy&J0 z#;>Ua<*J1+q6L<*2u>V3S*@e73on?GLe~u<3HxZI0AqZD1s1u|6an+rM;<am^H@d1 zUd0gzR2((ngv<r;d7`1HtI3frbX+0RJ1P(?vb{7909!r7RuH%zfbajpjR2esft{b> zCJ5XDz>?2%MVu92a(F=`RLJ)c;;f(p_JuPxFEG68JTp{spHsrcU1~C9nq4>UBM%+o zo1uaOBnV{ArqOp@OtkgSW>SAOtG`<4U#<ABHt<*5`>P>;_{+N<%3pN`YRH<ff8Z~m z0;cph4uBqPsOoURUmzHC<b=XD<|B_4q6AFydw?lsFHrll7T)`h1|6c*V}mb$#RW|9 z&^V<V<T`ix3xJv5syKB0F6YuVu2kXq0?i7?MgCnXFi-R(=v~NP0_vd1ny}4rUihAJ z<P=7s`pX8_HpO<*M;@3F{!I%&1EU46xZrWs$LnJH*$4nnif<^j%Vu@&Qi1i5!MrbG z51mke^^jj3Am^g!I|Wwu{5G!Pj$N5e#-z<`OH8>Q5|9cwtfjIbLg@c38MxGq?yA?0 z?s6<`JBJ@TIVz%d&tjI9f6mokyGy;_yx?RO-S3=S4hEpa84OLIN@DIqp=*9>H<t%N zFl5*=)3%Kc0Arf>c}$yx0frhg7}5|!qNw$|)W!b{|GzY-DG05K`k8i|;ter$_-m*K zNd(kVdcA;upOjp!4ksx!i()BdaM)g*TV-e8XRD037c$l{3&nxUB45N;JcNPkCAKjz zy4}Ws(VdBD<F8N_6BOl1jwrbDSr`P(Un_ymzZXRa<wK1!u62FGPwgiQj+zAyz^Kpn zk#}jx;+`1Eo!!P|dShE?3Y^zIh>4Q~#1g74r|z#wEKl(qV6sDyXPKdrDM%8N4D?YT z10-K^Ej1ky$(BB4&2MjX#lG6DE6)6X8S%%n+Z(_tPpp;g4Pce$K;38&I;X0{L=xLw zDhz^;7aPzMa4o(}95|u8Ik%ap9^G{w-p*HGSD7pr7&?E)%Y0~1xSeNQnIK>pi2h;v z@xHdUo&ljGpdM`$x=$;uxz3fJyQkQ&Ucnxbz{|H;=F@hzNGZN656+>1G0ynW#JtB2 zW=Y7>h%nFWECr|;<cj`m&N_z9!2x~9P?qM0US&0Vcu*4*A;%A)r$5ur4gmV-(S#N! zu@Sg(LDL+OAjR1=ahf{=@Fr)LN@Q!_HK)5s5s$DcOY2YulFU<o2YkSCwM&>JkZaGy z@a>lHiU9a1IO6uP7r0|ltpB|hbTtO6xYGNkEU^7l|4Ghm<L;kZmfMxp2vqy2=F|V- zj)lE40Lsh|7UqKC9&!UyTMejY<S=C!HeG0NS}Fuc26Y^7$G8`s!Fm9+2f)5(Fb4qD zIp2;oEj@$4mRn|?0C@Zio&n$`0CKNBgPi~v41lj~0k>bt&`||t2$^R=ADajGmHT@> z=KS0vx|9P_a-y-lmrqCLvhGT-HjTXyLjAM^Du>v#ZD|y414Yon9&j5%^@$TKfui%h zll}sj=*HI)?2*GW46eG~0<+Y?`Qh^znAc|Z?|pg<RJM|&k_Py1C53W^_jJ!8?q8eR zzknd-hX}WV0S6fvxE+UQfS0sd<aEh^64nM6exu5w8V)edJ9`&|`e8&=4hIfP);U%Z zWDC$7)i9MQ)!im=L&CBUJeNCYQsGUJIc}Olm!g_<5Eli<R&0%98b=k!_Xn5($JxYM zE1Ogc@MDkBRLe!ndCFe?lz_qKs~f12iWq3S7KB=SMMC<fcUIK@6LSM4zhI?Qm3rum zckPgPjn+?}%#cMf_u6kyA0ltjLk#HslAZlE2CqMr9P1#d!%{yY>(T!%FRPR1S4T@} zpaKk=LGw&EAK9(f<5$5fM-x^l8^++uP?125!3LR<;g~43ZmKpUfW%N%C;Chx`%6N4 zCI$Q@-Tj7;&H|oEa!~*&e*oGWaNZzAi`J2(x~^pzBVDGvRbqNi_Ic0{IM_|?{!kyB z8ov$8w3VpRqJmOf5gW8h(mr;Y1hYK8@g?_p(-`x}=}rzycwv=9rKA6PLmdHTw(J`c z=&Bl-6Vn3Cn$%x&)?ctyD<7hk0cz6RSHXRA$o=#56avhTvIr#5NF4+`v?2A!TLeId zXK)7q!2oDm4*}`z0Om3PdOd^p0Qdla=nW9i#vWj<0pMo<gf{vL3p<7lbS(Pm@`O-a z7Ut=~D$iP39NVmp%{MyqI822agKTTBP;{a@!7M=Uh^Z|xeGAVpuxgbA^s#|?FbmMf zOzmrBfu3Y~Xq6-xFv!xNMP*dETkTpao7?dj4{*Xf``yD#*{oWNN*TD@{l|UpUt~(N zS&K@Mw8Rt!{;wBvn?P#i)jd?8InTFV7osRSX+Y)BL2{{b^RnuLqA>V2by77ze+H@( z%o*Y^<k)4=q{l(FaGs+Yq*9{-S_mCMyC6E3J77{P3v^496p9qwq|$^?IJPir9FsWW zIH1}7;!!q^*2=~}t1zK72H}vznK`glwSan&F|CzL)z;bd>77b6>3{1vNgus!(fZkL zq~?Pt0whN{?hYW_wO}^}xRL;zC%B3PhZ%KkY7!t>>EHl{x>`0ryi4&pPNh$*@Z2nG z<^BL!uZOI&#B?zayw%@VY>1-|AtkD7zlD$%G5?Z+pGks$Ne`zG(hX1(K+5j}NRt~- zZGiLtGY?kSaTM&PC_Mmu(7|wOyJ4kp^3-DLfl_?`eP9z221&~o;FfQt2e{>1_W*AB zF5IE^mT_{>PC~CvPlT?1Ll@pDej^Tiy2<EARx?Z~xhfeR?n}!^@M4U@Nch6o=FxbT zN&Cs?#&w%jqteUn6j+kj`{!WqPyOB$*z9JZ#mYkA!Su}U;fiFsJuOxLaEN4t_{rl@ z6XvUq-Ejq?*He|ZZ-d*(`bFoT;T1h1P-5%<{LJNP*{2iw!_&pe0`rHTE5t1yKKNd4 zoov1k_tn9D(kWkQpVvwA`_OztTa@MJ_VlNF@6Wx}8CYQE_5PsW<ITZdn!v61^HZUF ztB2($Z>8G$in{M4D04a$6%6gkPaa!-$GSG^eI+Z?kE@f4>jRq$7}Rze`VS{F58IFZ z`xnhyt8QNehz_3a{<S7`LF4Jx{U&N}#%<7CfQUh#sNMU_@p$vBVhfx#vzw*qvU9TV zy6xe>@1pW{^YO;s@8<W~V@kU(yA#<_f6c?gZ+B}Yk_ErZEj)qC+dGX4J>qtc*89`z zli>hHelBnC6Kes1+lT$2-<$g=eZU%20?bk0^DpGlx2q0)o#i;qBX`L}UQYK1z5Cl) z&^}j=Ppc(2mk9O1E*v+mPL6Hd-Y)KKO)Z-LezJqV=(6zV@1q^aZZv93TfLosQo6Sh zk2D=>Jhl1Yx5BBTh&f?NpZtylGM;vYz{$&MGxIkG?iWrMl11t7dF;wvm+!0R=d^Wo z4qEO#wk!7Q+e^#sT+=IjwROF>GB7cOYgXnaknh!qQ`Jt7q(GawX^5}0pqrf_&&tG| z>64Dr+jSo8?eFKoOX0`ucekqzWx-=S2~qpW25O4zZBKTMev&Y4*PDAwd*N66YG9JK zSvS@4WuA3?)qp2A7gwi;Ud}If746qsbNfN9W1nq-^{Sh_?E-U)puESkgMoHp2I9y2 zvxPnd;-~ggkIS13{-?<&3`H4OI9w<wC?u%2in21JhFY7>fL05<sDPJ~hm*6ZjlPMg zlcl+>zM+e=oxZ)XK8wDkt-i6Ht+T1SGlRQ{@q2mtP)3?xjFR-UMXF=;bi=arO7@qh zZkLf5_Go|3-9oRf+#)d?P#xXSl$h8iS(%s=zse)-4lvTbQy&{1jADET`~WpQt^DU* zdD)SH$W7XxM&;)AE#^?Og60||B%h-*6*ayZ4is^533mUP{K~?U@B->T?dAg74Kx)B z1|ooe6u|5M+HOS)4<`kCX9j0uYuNwhgVfbIs0<nk>HrQ33K8&OVr<XgZetxkC1jVv zgo}7`_U9d){6g0EXlP)&J{VbbS{SSVHa<-_*(z~Vn7A09+i{^Ok(^|`2fzFDhx4BG zEWWMP;F4tAbG;v7u_u+ObVI0p?Sp}e2(F2;SRKh@*-fu047qOVn1mMs`q5@WHeorr z*N>dUCUYAp7TY#U#vI0;vdNbCVROhlU_w|F6){cF+^uM!Sbh|{vEJC``?I6^)5(F; z=dOuShYgrqH*hK8KeTRUqqda@*r5kMyphfcLvyhyeTX+xPHrns_9VaZQ2Z{Ulkq7e zil&p@Bag=FW5BOBXr|Fq<79oFZdP96arsMd3>XLRTS7dFG!;V@WV*>TV+ey*bzG^v zEZ)jorM+G|%SVz>^L@2IafF~l0lf=+?Pp#mN$RYm{P69h6YM9F6MJE$Mg4P6L`^k* z=UM(ef^gVymsj!EIc|qPUx74u(W!S|T6@mrxmlav)ct-CULa-rKvS&ggsDKjB(dWS zJsj(u+U&-aIXmGHdzj2W`j)NyrzQWC$H#b4a{5odcNY1mQx0^zC~-7-9bHGGn>qdX z=TdqP@BFN}lI~&u&t4JyfQaV=bc;e%C@8Z3rB^sPyBIOJT9{a;X(-vvGhzCUR(!ay zjMti~L@pav>75M@{@GJpY?pxJWx!rrQv++#?u%?7DjAvCBGz;_vf|Tr9J{#EJ!=AA zy!lJ$`r5@=z#B>8BwsnN-i--70F^$8`${eF^JftDj52DVYHxp)|E>v3DDctn(&XyZ zASXx4(~n5aH<4|q>E<;wNV%@RUK+gE-$2BfD9$tEbqg^?CJ3yC?qCc;=NGzx>xeri zN*A>JkPv<eO*iV+#BPSSLi>|bI`#A1zR5j{{`cN)%-}f-^HpfueHsS1*oILyhWKr3 zc>{`H#eT8DXi^_jb6hBnV5H(w_dU?))&|1zrQ8y;vNR`_9@rB^$G?<$%r~5RB1kiY zv2<^g^X1L-Tf@1f2FKvkRG}N=i)Se>H(YDA$b7cNS|@6I)h7J8fz8AfTB4IzKRJ?G z)}^Ov{N$xK*CiYGB@6c@D>vlLza<N|Ys81X5xkWsRQ8hZ{O<PL0p#WgcOI}9dIilp zY@<q{`RXKIW%qZHtJ4#4h{Q*!P#la)zZs%0-|3b<{GuG8lDbQiS)=(#y($0Gm#=P= zyZ5Sri19lm^#f`Cij(T-)SQG0j^Lj_M!7tN-Pl2%GF^t)8cr>qZ=x<mi){+Of)|#k z%QD-{2Q3<vHGU2BY|?Z#@gkgkk*?tPYji4HUyL94Z07JQ&h1r-jfbnXENx??ymF*r zf<q^ZT5IAjWDQS`WHm-rBK>jqKzw%7PD2I-2RmzCec9Rb?JJK@OOqdTFY>V~lPThU ze5VwzpyUp<<})GlS{zvTw%l6G{u-fJAiDE}3dN{VwJDYx<?+Au*iaO@-yeXU3Q1@L z|Mwot;Amp#Bt<9n&25k|48zgEd2oP{QHGvnij|E;nMR)em+a6$sWjcd&}dDyG@bNF zKb?#k6PprK2YTawOL`jLql}e+ih_U_;r~*hrLD2!i-DoxA(<$*e^q=nB*P#*EI0gK zYRKW=4Pg0S8=%O9U_{!55WytMMEd%_H01-3!zV;`eEAump!~+6pospLrZ`y`+MBlO zB75VCO)Ds{#Enn{7@fH5eY(6~6iI`FX=tJiwl5WALMAmLgoQH|>+qi`{v;?SIOYBu z9vV&v9tK6vUkQEg;@7%QW~bB3G574Rw_vY|Vy|MHNeZ^p`WI?X<&QHZd)#`hS5_Yc zcqli|T&i#s2zYD{uEU)W)O5b9ILhDjgrzQT#tlj&C=_4I$ae;ZCaOmA-K7q2d7Lhm zbMf^>3}zkVrv3_(dKv#({Tu(*SJ3zG-&Y2o3JB9^pZa}naAgZ}3EhtHQg=Lh{kX<Q z!)BZLwVU6c+25HzWW3y;Z8o<Y6+K>m6nTM2>G|?<xK70gua^&zN<2-4-?gQ(?Bg`% zuLK2rHU6fz3+TNpo{6YieME;-AI+Y!^+F%TT3;ZZ6E1hkhl{;an2U7%$j9)G?nw9J z#CT*6OZW$R{ax^!e3XmxpL;j+g-91w%h!YUgGKE;3rd=iCE!gXSF=)BjYDBg?1^3k z+>1l~v4N3D(|zS&=Q^GSoiG72w{I>?StE6l*ohgv2lCZFUdahDBV$!DfCr8U2h}mj zzsw`{pwsSXDv#B;8QOt$M{;1}h%Lu=D@HDbl*c;xHVIlo&UbYu>Om`(nwOo-DV1$` zEU?Vlk7+cq{*@S^rbW~b@<f3hSygoL`PgX(-6k3|yOhRH+17U_9}#?6ez{afMUTcK z1tZ;Q#7;s*elw*^+%eeh$(BF!asl~87z@^B7iu8hasF{ozO1+E86#l6bGvTzq?A9_ zV9Vr;hq=3|g1xqU;`fOqcCLF`jl|Ww=vWWzioAR}{i<^F!{<BeTimI+$DF<Hw!DJZ zTQ6UJza$)+dsHdSNy%#)sC)Xx7XXi#=q%9jC?nh8cscTZkKOyE^K!gu<fo+3J?Y(# zk3%$z1yR8mRZ$T{JM{7j=ykuVMr;SM@dd_4B3xJ;vPa|25rl+Y<%W*lE^te7#EDA? zS)Td_9h6snw7VG!=9#~ll7Yp!FU?ts_(Ms{cyRQGe1BU^MEdhm5EKJx0P0=C$B!@~ zw}jSD?9+HSd7%M(GSV-?U-k)Io>sm2O$qCXPOoZDeFw*tJ+&I~yW_y*X;{n=kBd2h z{z-myU$s2WCpL7$wNBwD<20kvSjBdx&}UfeKRhmVUQK5z$oMs0Tyf);ve4{Q<0XEU z1OAWjpSzBT1}E?cza!3mW?qBZt3`Ju;j+KOz?T;O?pl49j208uk}-!FdM;7*g_?8Y znCdNoBMOI!yQ2tt70?@Gf2K}!5x*JSn^{QHBRUYOvKTmt4G;@zco8=AvejPk7}d+3 zj@LlaGJ_xQvgkWLo8oM56`eGtv~>90>+h~08KWe4zVPs(iN_$8gNcvVpzQU)HN4B{ zkM66{leg#nm0ui-y+ZiDsr2P76!a>~gdv*DcN_1*!-lLPVP5WvMlOg~K#TFoOdeuY zsWw`g%$fIChi<v@(AQH8GB~lc)*P_9P$}?B?Z|2*amko__RDgaHf>;aN>Y;}i|?Xh zf1AdmC>%>7rd0Bhr4vpH(7c>ONnF&t<odol9sjkD8&B#UBW)nAB15LaZJ(QIlK8dE zwn>!r9q&A=)0t=gOwHk`tvmxc4ozZWRK!RfbraXkf%mV?ZAUL!Q#rAFy#&5c7S+le zKCXm;AP0ld!1ZjpJDA3e*ADqLK3RkpY=YRWU;2AxsB$jFs*s|><S%=V3J<<a?ql9z zH=-7isnSWf8fJqs?wjq}gAV<EHI`~jL5sl`tS+lg?F-&be55WXL+0yhqjUXAx2Qio zcTTj>#+#r{ch>con5tVHkIc@?a`4`0P0!JbU}~<s+K9+A0hL5mQD-($EezEw?4=BW z&RBb85Fa@EC`NZB$K+#zPu@!z5|*9sLSo$rpF5;$3#2}8{k-|)Y8r9?;%z=EQkxW6 z$8?CNJgPq#C82W&r(}4Ep}o#8Lr6CLaa}Zq-nOpCN+uQR9hTQ^#Qw=<v`xWRT*(2c zwcz-;*jH$IY<Cj<@&h#`(|p2e^z}WmGA$;dbj;$5hM_hI4NKUY>)bN;3)uT~%((B{ zNsEff`PA|@K60-~#_UOE;S_(%8~oTLD@oneWdHFs`hJ%ogYm93vydqh@IUJpjj#-k zk?>3P;2)VRWO84TX@emD)x@zv*vP0<4aL5OPU%ZTnNVK5eu|@{yU>?D3vFFL5l(-) zm+6PL2kBnHN7f32!HT6(j>MA)NDNhpV%+R<Y8&|%*7iuPTU0G!_fh-YIa?nt`*>}$ zepsT$!5ep`43bqUdADgKr%bnxPgpug$E<WOrDGggK<`leHC)f=hx<6Tc_^<#=?A$M zs!jRWT4Mqeo8IgB{i?}#^Gda1Pt;i3;b$bn38!KvEB#^=M(vJITGl7MhRs&nJna#) zZoCvcq*gP2GatIUieA+ctSnt;QkvGY9PE{OF~kQBs6G%TN%!`lEhIA3isDo4^Y@zk zhy}h1Q6d6YI;NE1O~#Yl(ijp<DS;kIHaA;RmQ(6(6$OeDc~H*9wDYU@91XV7cE|e_ zZ8+oe;DZ%DR_SqMN+&$*hE0xTwbE#n{K{~;X;V7*mg`Bo+U>1QJcV#idGhUCSo8u` z#XU&XMf4>leX+ykm4-G=i1pKp1ygnk32@X${|$?4m^ccF-%WPr$7zHra$M!o2{~&k zXNfx)j{9CRAVLM%M)AQ@?pLH-R_Wa%)LeV*Ef);*ePtR9OFUfVY?}?-nvuyE8CvBV zg^wQdvavzPlR2f@%rB3`W35n>HPOLriPF9uUpC&n%}*wINGg`i{}lJRI4vq8xe#A{ zZF_Z``!^qc8Fp%$OZ;BSPxF&OrIjiE0I9u{y(ouSQKY5N0cUC7fHZUC>hd*sE@YOk z2jsHip$D+CX37%nQ3G_eCzq;8L-{avM%A!tr}$Jn%8s|A)kZ=Ue1QY<s=eC%nB*Zg zKMrK!_3o7;(_wdNhkx)!|I!N*StXTKZw+ceR0&x60Vg}%_BCe>Q+ArJn-)E9#<w^T z9-Vw(dFkB%dI8LLUYO=mhdIu~F#U&hOfJE(X~uT(JZ51tyo`$~eD+TS>iw!t`WH~! zCGu?idoBaKY8aO3d*C+SZ35mIf}r053TI#Ahg0555Jp7Izx1Rm(SAZJGf`eVTwnm# zxaH`H6}t(v3PpTtGUu>XB+uE>l${4*AH@tRBG5|;{8If6w@j~+^rJYrx*190Go4$3 z?09Bj3i}y8JI$V52L)PKND7lwQ1&UAjyF$?vw&3o8;3_am9$V~E)3EG+N5=82l6%_ zOm@dO9Wso3@sXG)j}Q0TAxUh6Chsc}SF_F=r1R0qe_FD*d}!rW%eSCmBfVNi{rn;# z%RcB7*=-NL#c)BVUExFLbubuVG<o4%(L!gZk9B#qkvR$Y`OX(--rWS!FL<}{csVK0 zrfk8ywZ}JqzOlO7a@?7hTWNq>n;+aq*xhZVh}T`T)%Ta04Bt~}n{oFUG=UAYF2L5k zs#llipYDJB#Fwq7WL2whG%5Dz*Ux80m^>Vijfmowy{NcmO|xMdmNzTbx^anLZn1hu zqD9T;K}}kAd==m1(Ux&Uf-s&Y&MD`19zKsJ-EI+J3F>rCtQr}1O|rc-$2VnKN*huY z=rb%XIW@<(WLh!?*#>n_o#YCrG<LG}?VM~cPTQQDa}OFMf9gLEe2+t^Z6^IjmVIEd zert_LI-qD_UBNkDh>bC+&=Ub?z{TJQeLhQT^&PAG7WEk$o2>>fxVirBG}Z8gj>&!c zQ+n~0kB9gh-YfjW`GXVd^G&X$Rm~qmDtAF!MLwg6<_(=SG)V<*BQfTQjH8waqdo(? zE@$Ug#aG7}h6tnUCh0#vCl{RR>ZfNRjK-Rz7n>#*xCR_w;~AYS+M(`jqK_Ksrz;0- z2W-5XuG|sXuKdFXoKITjAH=d|#kao<t=u~@ie?S8te9HYnZP5L=G?$}P8d=Zk$aoJ zM{=KpKp;C9;p;hJSX>ZNH>7I91g2tD^E<e_#`en>LYPD`rUQS{Eph!)QO-WLs%cqH zrd3~5bOnAuc*Bc<_ot<w_s@&vYQskTZh7Xf#2j#*14?g|KnWg?wkM@5R0G;W<U9`! zytH+)Q!AueX|k#hG1HMH%PqqSnZ5|4<O94HBp>t-i1gFj5Jq!CczM_qmR$AI`4L8q z2aKy+YmMJp+@J2>`0}Y*F!9-X-?<g&jfk?ZtSPmaW{0C?Q^$%~dB6CA?guLSB{&8% zDS(z=i=YC;vSA}{*jG03jqHAG(%$P;y!6+(MC~R|_DS*_KhlBo;^nnq)+#xDI~o&* znqTnEbi);tdm0Fm(oE7axJ~Ii2aPwylQ`^eG&H3sEYV}88DN%i;?I0FcOZsSx#zie z53&qmZX;QgE8tLl9Jiik8P`Y4ju2<G@!jI5R0b3BB7%mTQ#(QLl8UUD=QrF@hteB| zqKdwBo_`yc(^z&)ObC?~r)PEH{J}vmdF9ELfTgm;{Zy6<)O@^wZs%?prPs7?dzsdD zgD~#*trKJ!!Rj+}$LhlVBb}Xp>2f<w!40vl#x$P+VbW<p7L7q=DdO=r%jo~t*LjCE z(ew*j1Oy~Bfe>1d2k9*k=}kmHq)SIi0;2TZrB{IfA|g$C?}+psLJeJd4;?`|NY^jE z&v(xI9<TG9$v=}^zrFW2voo7qyK`q@-iwwCB;}{h#D=Mcuh2qCCQtVcW<^>@ffFJ( z5i55_qxzn>Raj*=)?G)$2)*4NWX_DRuDhgUG$+3iNiHm2jI$i|HqFi<$>vpX@DJF~ z04tweKySKW-hCjP6LJ4KlAo#Q43hlBXwJH6cySxjALmy*@+4BA)#`>lzgyjD**5uG z?47X^1(`DK)JO)B7p;dc+G7RZnkfHt7P55Jk!+<$Qr{WgQScbn+Ii2QQ*m|A*q*#4 zjz{BEl2>C@+!S@x21pl)Ze2VQn?t{(H$C0W>IY=ky^q*VcX_-0>Z11h^WZJJV9TZ) z!tsThzuxR(4_7p@dg9zN!*=ZW2l!XkjZN@Y+RN<jiqqz@*^9!8=m$Oby{AC26Q|xW z+QZ#1`&AU_R7kLA5}UL*<6jB;9#;vn)w~UMH4<sHya!7-F_5>5%523~Pbnw%y+-S- zu>WDU&n{AC-AC-LWu3IVq!do8!URgw-sO29^yNC4R47RrJDwH_YemFBlb11ja)9hu z#6>MyGpS_X>O{w>9d<dL#Ga9pgJ`m9Y`cxUX@4SPuGx4`Na?4m)^*dj`@!ERb5eEf zzX}SqMik5Us}RtsWY7t=NTIA2Z1~U7t^vquspO&!;VJq`bemfmNj_tD-HSjq$GrkB zN!scGDrk~B-OWi}4(~+8iHyI8V3IUc_XEGbQE5`K?&X|ADDnh*QXAB1Wjb^)u0@Vp zs$irFn~p(CL28(f$>MY>rl6Z@9KCx#-G?vuxsvaUC3e?cEx-2Vux%dmhi<_jz7{81 zjN1W{f;7xl{VJNl-)w@dioO8HD-LA_X6}rMbQ?OZl6lvT`(9S=bMy)(wF+HY#pM_X zm!BqbF2H6j2AT?l#g_K0{A<Keu*)G3zT2;~Y{d;amD+(1uOPk-h=JscZwck@JKU{1 zTGOyuyXrj`J=}tI?C^ezJPF3m#j(c5)1PHfmZv`+K!v1NArl%^7Wq`c-xSTMY#o#6 z(obIBrB#uk6>KOg@kVEGPFTG6>`ZTi50(#Tze#(LI~i)LT$2LUg0_GrdqF>-@bS-J z#B499HVtB3N{|DDgz>T<QdW?b9|Xg468o1OPt8Q{(4s!~?XSR2U=KS$sJI@%RgGga zQFB^U@_^v#_$dr~UpB0S+`Rs~CnTi~vFx+)6GikLY03B!Fr~OBV?-_mDqBV@&kp(2 zC%q9cLV-{<(E>&TlAv8J#PUyhkexmlq3hG_$d2(t<}C$}+INBW%&z(DUw{$rhTV<? z8y-&m*qzit!`?`@MWr?qu-F9&j0@H?6jOr{Cp6s}70Z6I^4-C4-JKxo=ZLKBHRMx8 zbAX%39*y>-B*-chkyYD!l;ypJd`e~kIMwo_p?*%Lkiu_XNC6Gwsw801NfppWvI5!C z<^ujIor8vn%Xm<8U4Rj4l!#@{;4YMTXkh5K^A7TK>-rkc7=cO%V<M<Si)t!hlZ{NF z2ikowtx&NdHW=`i5BMcuI0E~KddNd;&?DLo7tX0jc1>L3vA{%TNdhea@e6N=I2;<r z?$CWuXa|EI89_owNw^gF0Avb_c-<rY?Vv{LX3!-+NUrUU2#}E$jNl<cWQ7Jkk&O?+ zS!QsH%#sh5!00Tf-|Z;cd<ayP8>p41(b5R9Q$u9&M&c{1#uKn`)0kV{tZ<FYuOoZQ zyFs&aAUWJr0v2Vkx#hCC!Mq1GkZp`v&Qf#D?=gLLU}&FqAGsmKz^vMUPCrQ{2awhE zVP-_Z8U`2a5)1(vaw!NKkSWxfc8{<P*vYe0(#Y9B%`HPWtVh3pNA|W3f@WKYSmf#m zSfa$uEv+^^Myu^%)0}Vk%n5m~RKjb0$P}b;yGIh+SF(=Vqp}i%1WrsKOpV;wM#_Wa z{E4OlN$-&<q=ULg<WT$B%H8c9YnO0BsmIq`mjRNXixiL?6BT^T^$4~$Zw5#+G3r|I zPT}O}SbT`>tnP~J7lXlZ7V!erp7$72L`gV0?4`R$Zh9&jU51gppL;>#3=PL4C`%Z; zDp)XNLo;aP{&wJqPd~Y#8_{Ela)J(h`!Gmnssw3pV+%+j78<6xKCcW?*hBV)4uBdz z60w+8Vf)8oklee<png~NzUw<^h@VT)h}K@<2;(rh_cx-)ZOsIa_hsZjRP7|~kXyJY zube12;P!Dv#!e`tHjo+MbzktVGo%s{`WzBM0pwBer6j}^0d;ti+OLx?JiwP1)d&#) z+-FAor636k&4+|BbBAamA_;O}pl-<1u$}fo5ShZV2m-E83a1KRMRH)m1ps(v29Tqk zDEM0nbC7rEuA_yfe$wL<P{&jDy@W2+`+!U{!qwvX@gO$xFdKmoO(Q@{(*iNv5hMqK zDv&Egcx8!`z(eSWKbH`N1UdlVk_>YDLV=Kh7-mGPd3iJzux(CgepCN}B@q`+$Semk z=sjsleGGM_7l?^#*bokcNWW(Wqym=NvmYsuDc~d{;F)Z2s^o7-4!jQn01{S&nXD8D zKTR+USvU|rS^!3L7}25*^gfcU8e>176b!?uL%>7I2y!$`x*?s3{cx2Ef*g*Q-4OBQ zr7p*p{9zR12>44OIMuUVB!_g003vmx7M@v+jdALRcz?RWM|DYox&okKpO+DENIgLg z$g&$EEZ!Mx>QGG@BD)8Gf0vLu+kQ?O!h8yV=Suync>x*Bh#hu9mJ2Cz6B-zy1BGLe zLd!jIauYQe;XV)KMwqu4ci9dYcJQ7!(Qp*6qlpW!Obsk|sv(Fx5Mu2<xV#5<HSKG6 zbZ;rxh<*WZfOtU~(QC*pwRnP@e$_>1&;GusWjA&*g|qbLDhxhH1&=2sI(RgJW53B$ z1bxM4^8N^osLN{xnWP>~2A&l(3$Ds@Ikb4@ps&hHJR99GjNJT8CbdT}*PY+dZP*G~ zfADB1j{~D8w11A@lfVDUo{~o;v9?(iRT7C<wo?E$&?5E(ydjMvTfQ_7!r19s&MXiz zRaQ^!N!-`o;o9G;g(w&I5@?2eD@w3We+JmxmS|glR^T<=;kwj^yS%_kCbQ6SQcJb3 zEg0s(+rVH_egbpt{~A2%ri^U|pA=}};OK35VxuJa;@Z*U7fN~VbWF?rpG;;BUL_Wk zxgrPV{F=2k%9dZpGEDnyV)BX!$ApZ4YrFdw+!!|_N~BE#ThNqCd>o<am=JTL<fr*w zh_T^uZ$x7B;A2nKGNl|Zd1c`CC0pK>iHo3Khy(AN`^_!3k6lxz$H2|{TNKm|An*Il z)?0zwlRLCKq%*s-Ki7Jn)nUI-j1^C68TMJRZO_~)y6wP)4XfG;JlM-7=uk$OluJmF z{~T6}m}ZhvJnPeXzRNCmRG~6E%}gT2mRy~)%FoO|jm{Ooqexa8%#~vJY9Y=W-%T=o zH?itbm`XU*YA3u<MNzPb^<AH+Fiw?dG$nDBSadMGxFg-v{jFXrTL7Wj>b*N@qS119 z&9;rj=(D~Q(~J=m9yy-|;!^Vw&@en0`<6UT?+EFSU8M;aD<nZOi;bI%dto#_G7VBV zf)Zs>EDS?d40w)s1)4+wriV?Q`T;CDv_;)=qy?J&;ie@=N94EKmLH}+!_++kdzl0* z6&*ca3SV-e8-8#=(8ZbHcP^u&kp39M(Zwm`f1a}vr$})g|Iw}|@8GqL$@a|wjoRuc zL5%MD`UO#p=234jB}0j$*JV(>w;s6Ze&U#?MzCbUM*@Pa?8Ss8de_0_eeO+?wM|F= z$$nQ6oqb^P-jLP9RavsG<6;%20(3Lmn7@u-GxJyv_ccqhwCu8k#ihe1g?Q+v!k6cU z`RdQtU+*?hsck%*^~o&Y;-}%<%G*vTxkXjzA+V5Yp%6t(Rou8%b;}o|xI=WJvp$7( zmC>2P?qt~NAt7=}r^VSOKua_l%?Hr<=A8^KM7KQ{3#R&+uR_B)v-tiS@>!V2%S&z6 zUj%~2rP~X9W2|BiUypX)&J&ZgpBiofgmL((km(5a^Mb-SjrQ;y4M_)0s~y@MbsSn$ zGRo0UrRWtPGGH<cAXIsf4o$_UV=b!Hci+wGDF?Xd9m=41(M`~J$Z9?h_FyV1p8?b* zI{OIrut0M?Jd(jaN|K@-w4un&{;3SzEOF{AN-$>N^E~)7S1_s4Il=4RIigLp3P;pJ zB81fI%XAyjrjvkkVTWfaYg9`XByfqjj!R{YeN8>|ctT<;DfHPXsRf%;ShY~nK-bf; zaAHw8MV$I~&|G$uJXbKET744H)8i23muyl|I<L<Q(3!l9d^-0suc-LUW&7R|C6+vM zA`bUIlvw*5!d<B^+yux%cR0^{w+-b?`cgH+ct`6L`mnhpflING+9<SP+}6FgV<IX3 z(^_PxRq+Ex*=4#kIYxr~5(X;+h@6a^5Y?+<2CG!ZGISg<?JKdW-c^31g6$lXkWoc$ z{;J4)rn75rm~}!<&cpdaXV+=$brhPu4HlvKQs-O`ByW=P@T*f?d!3qiO`yG&SXE-j zuAyLcWQVQsy&B1Sxx`MnTk{bOj>1fo7`_A+GV=K{gOO3(vn_^306b=BS(9L+_^kIX znb0;ZV>B$?FU;N~slrCFfQv^%Oc2=Kl7y0$>*3+i9)7wRCZ7~<$KHlBUtpv78kc91 zXNx9)wb9*G3w4teZyFktcMxF4%m@N&iyajqpBpn7zJ({3<M`rM8p+6s@Q!c4-eL=+ z=29G@)-Q5iI}Hifj_DxAz<FuKtKbQKWW!{YbS}={ziN_!M~4r5Zp9YAb`1&Xspj$Q z4(OSH3QnOY8}9&w0)&!K2B9&g`Xw1z`?n^o`bNC(s&8M8fbY6B-l^W|(7L3ZRbRGB zU}*!CP7RL?5z}kOlvY=2uJ`H!Qcs}O107I9Algn>tm-zZ&29I?RqQKS8;E4dlAMT? zWP!`p)4)wP5>VtV{;k(rbip)kq^AyrJ)f@kUMdCtG9y(aY;1u?aVg2-9lZaDj{;&W zZUDxO2a)KwU3?QAo;9<OsS~IqK5D0tWXmR0#FaFf@*uw)XOQOLqaPUw!$GY*MG6tD z1RdAJv^dIR$rs>}cJ`{*D0rhYp5J!tx%o6sbHNho7O|(POZI16>2`)8zlT%p;arLe zWam%Udl_(<N*HKuG5(DYQd}{VgF&Qr=h{;N`9#y@8Sp})?<3ADP89BDq_0K2cQMc# zaC!;G<rJajJyPgQ_35^0;rq4NaDKO|5yV~7A_iKGWt%j)FWf#kE|y854mvRd4VB7M zF=0mMYEuD7wu2UQoB+su=W@gdAY-ZakOKu?kbWqJifw#Am$%G5__!g%&DU}|toU6q znH?`ge!Z6tS4$^`htZLBqjGqp>b71H!-eRw^$l3u3Z8-<#i1$JWaZ?!lV9?BEhvaq zJp7JKQjzn^?O+=>QYjmV@_H{5!IV`W<OHvL%I=X2*L>y@3dN^wPU`XM;Y~+0m!g_a zy;4H%*1dXzFPSpL_M`8!n(o<8oNDd~-+;SC+jqso<0v36HX)yG?P-WPk9DM_;K%kk zCi;abdeqaq)XQYb-`Ud?6U^>lU=_M3g_nP%Ok2~)5gTP8KE{s38kqEk<9<&7pMHSY z{O+g$*;(=XwUzKtLm+}UK%PqxiRYMs9+d?Hciw|yZz-QZ0cHVLH9Q~QJo*A7$CoUe zeWd8GUu@GOC&PncsAUWug*9*~Evsmh7(glkx?8gXxd7ebADUaFlDX-c)AuAxEs$GG z`guF3Bxe0GWwS@5lG$?sS)vDp<v79R7=asb<M^`E4FCng5GCZiy|16+Oht@qgB_Z& zw|7pU2+AOKA!6~KEVWID3PF0d5r@6}capKGJv3tatgY%Y)wW7Y^0RV2MBLf2`q%Vf zJR8#+_pb9N7hUE((P>6bfPBRb)n|wl$j=UQs<-W*QIWB<5p82)em-6GPp+rq5~$<Z z*c75OB(s$b%xwSC%SA>aV1!MAaFFgR6-103HT*(e36V2IpO$1~Ano_H{-}?VixuL2 zU5LiZJ~Z#==VOv;6b$TrD>^Y{`~;&Zkpy&A?3RopEt&m-f6)=vvr9DU^5act1UmAI z;#xukK%Yh<)@{`R92yRtEyFn!j3cs{tw1^VKLM87wOuxTjIb@VX}dh?e?s6{e6ziy z$tK<r_M@aiIhp+6<<-PgnTl&r!R`-zSx+A{5q1^r?o|7pMK-k5q2~^o*7KI+m>-E` zxe5az{jSoe)2@~&nTb4Ekk{^4g~g)dE@Wk)?{>})H;P+id&9C&wXdR0o{3NX;v)^T zz}a<axDF)^RTO-djr$|LGS@%5zH<L_6*Xm#TB+!d=FZL|<!)6DrZkYmU+UkK!;u3A zBbJ&wV%(|D8%KT!I~fMBGH*=!S8qdBYf7oSRMFce>h$**$GxAiT2Z3G99tEbi}Bh@ z;jwGUg=-_H2iN@fsJKh4dQ)&S@Vn7+cMbeWByi0KUG)8NC2pHb4Z&}CeLx`Yj7RTI zJu0O*CXMtamr$FspEpLcAD8+E#0HxUu7@%i{34^n*7`m4V}sO#%m&@wU}6vCHA<~1 zo=nOh3uHfIuOXM8Lw#sLC36-2ffd*gm!9^~15J%m<N1kokf#hp*Mn6OC{2i%FeX^3 z<o*42AoT3Exm%A7_#s|b%1)JgU<C`MVGaxRnY6-Q#hVfqA)V5u4jEh>%El<4dVYov z@3D`gU9~^BkS+o%IFt;<MD10I2|<(+ICBYnD3Wr$%{sfBDmg`!5>_ts&bfFYYUy?> zp66`592S!^E~_he`XA{)W$Cs~ha&nE3}q$`D=SYtIUt6LX%SKJFt=2y^$p8YT_6oR zDy&`M<JS-J>h;nL-;qbz=%a{@zrqOB-|=PB4D?SY%JVdk%r9l%+9TX2wupB-6dk1p z-l^r=C{E`KnL$R*$ejerUp-0Y$v33D>R1S5bV~D;;=4q?_Z44Z8!PtBmzk%X7kRD_ z+=klS6{T;C)XHRAJ+V|O*@l*a%@x_Z5sXkA8?cN4*WLA;go4L_fN0vh(ZDzAWsR?2 zLR)gA&C5ZA{eR)aHNO5JTUoC<YXHr)E@!r(-~l|-K1&h@0rA<2=Sm7XTH<O|Vm9=x zVCxZPNldilytdry59ppHKILL~#tIR6PPIGrN>I#5v0rAW?5XxWQ_caR?XmiT9_Gpi z9M8|*L8-t9ye9C&ik0J(NgY5i!H<HOi7$a`?vw>cbN5Fr8{md;)Qzm~-LsdT;ylrS zDAL6@UrkN86ixJ{)iyjGV*_YzI~Q}eTmlLQpFUBaQ+&K%yq6w=#zY~iwnkv*!^cB| z(B)&qmuwWSS<e(Dix=-VnZjJ{$c%vQn-MARh1jSsm@n;ADvLQ!#OA5(dkkje5Fv`+ zGizx*?nDRS%c?4Sq%xB9v#@06y;0x3`J^=V6|mP!O<gJGxcijT+Z?6^Tg>8XK8pCV zyxC4V-W;n%mVs;Y{LG511HoWz&X$&_ak<q?M1x>5Ht|w=Ow#&Hk3J&mb0xR0cD3#P z&T&c&WOe+t>xUP8GMTRpT=ijY4|unxEjBy))<-D<Klf)x96c+SD>GZg<Sv)dCi70- zZ~KKGKcq=~;N`etFgk{7<}OuM?rSZ1eTta0W#ZL^SUF#;Mdl7#-M+Sad4dS&P34Q$ z?|LG-JZ~xgNT*tovGc;w#}U`+y^sGIenLDOJJ-<gL|IYD-c><ZeL4J|tIfpGa#>d2 z#>7#ayI`|zjm18fUI{EdHa#}F{#NmizRbyx8u}^|LYdH^*z~5e(pKMNVtR=gf#XVa z6b5m#1%<3|6}CpfNAO39u1ciG6tfo0ot%gO_H~BF^oKH89EDOXsa4rVcO}MVm*0GL zqMCE01ptak&|qG^aQcoiaWOB^7RDjtc<!J0!})15XjRDu8!kn5g+z5ehwSVkmvQQY zG$Ee*ZpEohaykZ%F4pTmQ%+X7`O-fn=?nOsOdq};3ri2b?4=@p7Hs{DW~v`D5rkiq zCmRjSb2YU>MwdzKR_FVtl%g6II(2{u34ZZc{yK%5NzQr3cCua)<M{*M#&|&9em3G` zCCzpD!VHvC`jDyg>6DvRHzDsI)#YZ5_-8fdp~js6Vql$mXm!SVR5EaWNt(JU<$ie$ zEMkF+K4Kq~z<pNlTtst3YyJ`6pJ^sVF04+rJML?a`v|0YC}{udu(c|sVQF^6HcxpS z?98t?;NfehVEm;5cEc|%HTr>P57>%%&jnhV6F$~{JZ~W`sUHop*~Lh^K-s;V;_;_u zatvMk4vVrGVrOY+6?ap43v1cy^nB`OS?xb(vSGxQzJ4xBt)B=IqYd0$e-_#}7&O<x zibE@>Gd$0SPlC`I#J|o9aI9=uxMtsAl~SLFBfn0H8T#l=Z*Z?omw6`Fj|C|QNrlyE zEIV1O@DcNY8_5V>$-Qy^c-q9PpFu}e_QtWPCn&lokh7O>pP?wVddR(zzh$vSWuOMr zk;(Qs5<?{fG@5A44Dq#kQYR=d97G&+3EF0eW-@QZufzKhr$bJE#8-*g8$T=?-Fi{y znIuf@R8cxaT1va8Z)}N7E22?k-;=7?e{JvAGrF8RO;_qk@zX~Y7OcB_@614hnlw^- zftn(UHwg^lEP;5LMd0g&y~qpqYTe{ddbd#7a+UY~gSU5e{h*NNCdVM>r8}Aafd$7? z>r0=P^u8lO-5MIJl6@`J<3Vmkvfs#_kr=;1Hn-mii?pEb=dEXB&?azo3zsXS5{8th z>Ip6B>L17%riR$Xj4vGMp|v#TJX62nZQ4IKl6;8_E-DiO*EY|xHe?@lqJW?7eVFE| zWb86wrQRO@F+!iF5ikL~Hg7ZFPPOiy?p5RwEn%EX;s@7Ynt#}^T*QOFWR^0{O&d$v zBOC1K-VF|@vy0y6ORvOCI!Yu#FS5(^&5@PDHQC8lc^zz()Hb~ORMYPZ?YAS`fZE}6 z&bn4=x*~WdO2mtic=9`8{F4R;Y8utnT90N6cszx^eq5-fo#nGO_n8eUi_2{N7)e31 z!<$4mKIJStXY?5vq!(oEujh7u&Jvj#==7Damok;dQ><`!sjDUb9D(mZz*a0cX<Lh_ zX~+I1`c;QtK``law)3ke$OD&B=Ud9K;eo<~LLtbKabLz4OnLj{BR6wnN&Z;y)v6;( zbA#gtF>szdY5KxCti=*pUTc*WIsT?Ji*{6X{KbLKoTrY*!-bjEX`){>n3;AJ`XR=- z`e`%dO1tMonc|vnU(ih8p?H(3QL`=by4^FaccR|2E2!q`rMvCXLX6z39<L`~<>wMz z<HHnunfw~`$K8@RA%~t(k!_Qn42FfoAtn3J9poESP{En$rzb}Q@HW25i+p^R*$Q;I z{`w2xr$s-VuQRK5#9?*H2|h)5CG*zEWZ6Mw#g?~G9z6ARzTJcqO6F_E#P?){<ab4$ z&cTqM#&uO+m~uNSj|rRFeu3F5XplJZ2sf-q5nJDW5qxYMHF$NDJLA{-q1<8xmzb^Q zh3}!@VHQ5+Y+38I;9(qo{9IWppT&wfF&DPdEO;nGJmgS!>D=GR4paeH&r7r&QP5-< z9z3~<z5-jAHR03X!@g!fUJc|%_C42Q7m9Q}Wdy2_jZ+}4g`V$MW1=)Pia%6QMh)}S zi!<1+DIVKYIWL*XL=5wK3Sk`Mfm}LMZuEJiuL%w;KE<kS%FOb&Rl;7ut^$wypF17q z;m78aTA|#kURl=UXRx<cWpYW~*WGnNQ<}bjuyW}7iuY44=^wZ}xUbu?xEA5Sd(O$B zTSmPWVGR6E6sa?(chu=|R7&}Yx0CV3(hJ8o8s^GhHX;^)*W}tvmW-n6*D&N>rhZLr z0{ajB17D3h0-K5yN1d@i9~w}ZgpGd{X0F|G!RqP@e$(w7w@o`8!q$lDXA@@&qp7CL zHN`Tlc>D&tdT<c|A-or*QMX$H#(YAR=-G$nxaA;UZnoW`-|2<I6kyCe+j>7cCzz9f z`Wk^pCvUBo&x`DUy{476&h26KY<R;p(RIlU@Atho^20GP!eyO<Msywv_n?m*O;g$2 zp^+SO$GvC)D4tf35as(jZgzzEcYvR>8Ngp2Yf$P_l{NbN858ai@xZ#ARJ*%Qne{<Q z$=Ww7MfI|3GrlRts;xTqM%v++MMnvvIw$pT5wvvOu<f9mwK~*N=!%sfs-6=s15db2 zr;|R?*M)G8%-XPNXye7>gGY1Yvd5_@ic@?mljP0uwfyE?8hF~B<95vTd8(wF8;z4f z@eAOfMoi3$a$je6!gO2uef|^P4Dv2U=JWfNtvWK4yn6s-%~f@yQ1bl6N;!FRvb*d_ zbIayQ+8pl|kV{&3o-t_ZJ4M+=UbyMv^AA}RZ{A9OlVU!XtmbE_RN{A2oE2mCWUgE{ z6l3W!YN**8bGCcOb)}|C_ybS)$2gf%loxY7=X~)C^{zJ_yapM{&Ry5!K6W+R*3%}s zS-Lm)%;$m-x7w1(*#;53CH%>m3$9ieoUoN7MmxGjzUoadi_T@YZ<YGkZR7WikzLH5 z+@i7*9)|aVx-m~DRGMV)GVm3O`NpCM9#^v1$!tk)M@fN#VuNqYj61)QH!leL7IPLf zecFt$a2`~hW8%Jj)!lVO?n5yh%Uno}a~3&EKA_4-Ddn>7CFMNkXDDrbG)=Uc+PjyM zrW=Ae9Qj$?T|-j_KxzdV8#w;73aj_zz^kzvYe`vkBhw`dhj}?RD`{>s>(hZMj>(8R zyR3_r2)cK!bGV!ipAv#eW2O?$M#)R>BJ}LMdasfsPw?~MMNBL-vEeXX7~RLn0BZFR zn8(~?(<|@x3wDhaGgU(H47;+jV1;s%t{MhAt`9!DF?+IAI$^H0M4b*_66)R&CLNbC z_-p&IqYF%zVRK0~BC24G?o$eNjq;jJUZP4Vx$Z+cPTG;SOvlVhaRq2kG^2rrfIWlM zv&7iD-as0%eXg&&mWCONU7>&RP~wJt*oYuxZ+!bu&6OI+ekA{va^cDLFYKawoZD2m ze``;_^&!<+HOW=1DDwL5ty^I1((~U1YXaTM4g6jECz<GPZR9^$zn8HGac-2;V*Mcr z_P?c*--N$eOt}BdvVc3=di{2({uB2*HL-l)N97ruTcSrex9EPe{(s30^uQ+j52^8a z+W*NB3h-pb!{(tu^0!&=8}oNsm#aAc$nkjj>Q7KiHFHMU51d=i?P>p|DEu2Fvi{E? zi~kAw-(0hQgBa&=|0$zC%(Fj}`^~@}$A6XI9@u}C+`x(di}@c`*q_8dt+3z3zx$1L z0r$Tgu|GHZQ#|_jMmvlD+_eAJkp9H|X@&e7m$v+mxZjS*pP)Z&kAH(mSN<9F+x_@Y o(*GuF{3iV_xq-?52kBqxhK4f!o!_AV?8_HBCGJsRg}Yn-4}Y8mSpWb4 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci index 0a3d9ee0..b677a6bc 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci @@ -32,8 +32,8 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> @@ -55,15 +55,15 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> @@ -82,7 +82,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> @@ -94,7 +94,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MI_PROTOCOL">AXI4LITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TRANSLATION_MODE">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> @@ -122,14 +122,11 @@ <xilinx:configElementInfos> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> @@ -137,52 +134,41 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="ip_propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> </xilinx:configElementInfos> </xilinx:componentInstanceExtensions> </spirit:vendorExtensions> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml index 4753219a..0edab03d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml @@ -380,7 +380,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>PROTOCOL</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>FREQ_HZ</spirit:name> @@ -440,7 +440,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>HAS_REGION</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>HAS_WSTRB</spirit:name> @@ -460,11 +460,11 @@ </spirit:parameter> <spirit:parameter> <spirit:name>NUM_READ_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MAX_BURST_LENGTH</spirit:name> @@ -935,11 +935,11 @@ </spirit:parameter> <spirit:parameter> <spirit:name>NUM_READ_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MAX_BURST_LENGTH</spirit:name> @@ -1055,11 +1055,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1067,7 +1067,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1085,11 +1085,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1097,7 +1097,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1116,11 +1116,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1128,7 +1128,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1162,11 +1162,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1174,7 +1174,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>0d997f0d</spirit:value> + <spirit:value>1d8ffb41</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1193,11 +1193,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1205,7 +1205,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>0d997f0d</spirit:value> + <spirit:value>1d8ffb41</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1223,11 +1223,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:50 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:00 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1235,7 +1235,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1330,7 +1330,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1341,7 +1341,7 @@ </spirit:wireTypeDef> </spirit:wireTypeDefs> <spirit:driver> - <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> </spirit:driver> </spirit:wire> <spirit:vendorExtensions> @@ -1411,7 +1411,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1509,7 +1509,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -1633,7 +1633,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">false</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -1959,7 +1959,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1970,7 +1970,7 @@ </spirit:wireTypeDef> </spirit:wireTypeDefs> <spirit:driver> - <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> </spirit:driver> </spirit:wire> <spirit:vendorExtensions> @@ -2040,7 +2040,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -2138,7 +2138,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -3482,7 +3482,7 @@ </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_S_AXI_PROTOCOL</spirit:name> - <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">0</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_IGNORE_ID</spirit:name> @@ -3551,8 +3551,8 @@ <spirit:enumeration>64</spirit:enumeration> </spirit:choice> <spirit:choice> - <spirit:name>choice_list_dfc23cd1</spirit:name> - <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:name>choice_list_a4e480ed</spirit:name> + <spirit:enumeration>AXI3</spirit:enumeration> <spirit:enumeration>AXI4LITE</spirit:enumeration> </spirit:choice> <spirit:choice> @@ -4328,12 +4328,12 @@ <spirit:parameter> <spirit:name>SI_PROTOCOL</spirit:name> <spirit:displayName>SI PROTOCOL</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI3</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI4</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MI_PROTOCOL</spirit:name> <spirit:displayName>MI PROTOCOL</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_dfc23cd1" spirit:order="3">AXI4LITE</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_a4e480ed" spirit:order="3">AXI4LITE</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>READ_WRITE_MODE</spirit:name> @@ -4397,14 +4397,11 @@ <xilinx:configElementInfos> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> @@ -4412,52 +4409,41 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="ip_propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> </xilinx:configElementInfos> </xilinx:coreExtensions> <xilinx:packagingInfo> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v index 1b677d2e..fbf7049e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v @@ -25,10 +25,10 @@ module system_design_auto_pc_2 s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -46,6 +46,7 @@ module system_design_auto_pc_2 s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -78,16 +79,16 @@ module system_design_auto_pc_2 (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) input aresetn; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) input [11:0]s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input [31:0]s_axi_awaddr; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [3:0]s_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [7:0]s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input [2:0]s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input [1:0]s_axi_awburst; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [1:0]s_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [0:0]s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input [3:0]s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input [2:0]s_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) input [3:0]s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input [3:0]s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output s_axi_awready; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) input [11:0]s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input [31:0]s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input [3:0]s_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input s_axi_wlast; @@ -99,12 +100,13 @@ module system_design_auto_pc_2 (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input s_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) input [11:0]s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input [31:0]s_axi_araddr; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [3:0]s_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [7:0]s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input [2:0]s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input [1:0]s_axi_arburst; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [1:0]s_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [0:0]s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input [3:0]s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input [2:0]s_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) input [3:0]s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input [3:0]s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input s_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output s_axi_arready; @@ -159,22 +161,24 @@ module system_design_auto_pc_2 wire [1:0]s_axi_arburst; wire [3:0]s_axi_arcache; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; - wire [1:0]s_axi_arlock; + wire [7:0]s_axi_arlen; + wire [0:0]s_axi_arlock; wire [2:0]s_axi_arprot; wire [3:0]s_axi_arqos; wire s_axi_arready; + wire [3:0]s_axi_arregion; wire [2:0]s_axi_arsize; wire s_axi_arvalid; wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [3:0]s_axi_awcache; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; - wire [1:0]s_axi_awlock; + wire [7:0]s_axi_awlen; + wire [0:0]s_axi_awlock; wire [2:0]s_axi_awprot; wire [3:0]s_axi_awqos; wire s_axi_awready; + wire [3:0]s_axi_awregion; wire [2:0]s_axi_awsize; wire s_axi_awvalid; wire [11:0]s_axi_bid; @@ -188,7 +192,6 @@ module system_design_auto_pc_2 wire [1:0]s_axi_rresp; wire s_axi_rvalid; wire [31:0]s_axi_wdata; - wire [11:0]s_axi_wid; wire s_axi_wlast; wire s_axi_wready; wire [3:0]s_axi_wstrb; @@ -231,7 +234,7 @@ module system_design_auto_pc_2 (* C_FAMILY = "zynq" *) (* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) - (* C_S_AXI_PROTOCOL = "1" *) + (* C_S_AXI_PROTOCOL = "0" *) (* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* P_AXI3 = "1" *) @@ -300,7 +303,7 @@ module system_design_auto_pc_2 .s_axi_arprot(s_axi_arprot), .s_axi_arqos(s_axi_arqos), .s_axi_arready(s_axi_arready), - .s_axi_arregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_arregion(s_axi_arregion), .s_axi_arsize(s_axi_arsize), .s_axi_aruser(1'b0), .s_axi_arvalid(s_axi_arvalid), @@ -313,7 +316,7 @@ module system_design_auto_pc_2 .s_axi_awprot(s_axi_awprot), .s_axi_awqos(s_axi_awqos), .s_axi_awready(s_axi_awready), - .s_axi_awregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_awregion(s_axi_awregion), .s_axi_awsize(s_axi_awsize), .s_axi_awuser(1'b0), .s_axi_awvalid(s_axi_awvalid), @@ -330,7 +333,7 @@ module system_design_auto_pc_2 .s_axi_ruser(NLW_inst_s_axi_ruser_UNCONNECTED[0]), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata), - .s_axi_wid(s_axi_wid), + .s_axi_wid({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .s_axi_wlast(s_axi_wlast), .s_axi_wready(s_axi_wready), .s_axi_wstrb(s_axi_wstrb), @@ -342,7 +345,7 @@ endmodule (* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "12" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_READ = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) (* C_AXI_SUPPORTS_WRITE = "1" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_FAMILY = "zynq" *) -(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) (* C_S_AXI_PROTOCOL = "1" *) +(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) (* C_S_AXI_PROTOCOL = "0" *) (* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_axi_protocol_converter" *) (* P_AXI3 = "1" *) (* P_AXI4 = "0" *) (* P_AXILITE = "2" *) (* P_AXILITE_SIZE = "3'b010" *) (* P_CONVERSION = "2" *) (* P_DECERR = "2'b11" *) @@ -444,10 +447,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver input aresetn; input [11:0]s_axi_awid; input [31:0]s_axi_awaddr; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [2:0]s_axi_awsize; input [1:0]s_axi_awburst; - input [1:0]s_axi_awlock; + input [0:0]s_axi_awlock; input [3:0]s_axi_awcache; input [2:0]s_axi_awprot; input [3:0]s_axi_awregion; @@ -469,10 +472,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver input s_axi_bready; input [11:0]s_axi_arid; input [31:0]s_axi_araddr; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [2:0]s_axi_arsize; input [1:0]s_axi_arburst; - input [1:0]s_axi_arlock; + input [0:0]s_axi_arlock; input [3:0]s_axi_arcache; input [2:0]s_axi_arprot; input [3:0]s_axi_arregion; @@ -556,7 +559,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [2:0]s_axi_arsize; @@ -564,7 +567,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [2:0]s_axi_awsize; @@ -742,7 +745,6 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s m_axi_rready, m_axi_awaddr, m_axi_araddr, - m_axi_arready, s_axi_rready, aclk, in, @@ -759,6 +761,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s s_axi_arsize, s_axi_arprot, s_axi_araddr, + m_axi_arready, m_axi_awready, s_axi_awvalid, m_axi_bvalid, @@ -780,23 +783,23 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s output m_axi_rready; output [11:0]m_axi_awaddr; output [11:0]m_axi_araddr; - input m_axi_arready; input s_axi_rready; input aclk; input [33:0]in; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; input [31:0]s_axi_awaddr; input [1:0]m_axi_bresp; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; input [31:0]s_axi_araddr; + input m_axi_arready; input m_axi_awready; input s_axi_awvalid; input m_axi_bvalid; @@ -807,54 +810,41 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [11:4]C; wire [22:0]Q; - wire \RD.ar_channel_0_n_14 ; - wire \RD.ar_channel_0_n_15 ; - wire \RD.ar_channel_0_n_44 ; - wire \RD.ar_channel_0_n_45 ; - wire \RD.ar_channel_0_n_46 ; wire \RD.ar_channel_0_n_47 ; - wire \RD.ar_channel_0_n_5 ; + wire \RD.ar_channel_0_n_48 ; + wire \RD.ar_channel_0_n_49 ; + wire \RD.ar_channel_0_n_50 ; + wire \RD.ar_channel_0_n_7 ; + wire \RD.ar_channel_0_n_8 ; + wire \RD.ar_channel_0_n_9 ; wire \RD.r_channel_0_n_0 ; wire \RD.r_channel_0_n_1 ; - wire SI_REG_n_124; - wire SI_REG_n_125; - wire SI_REG_n_126; - wire SI_REG_n_127; - wire SI_REG_n_128; - wire SI_REG_n_129; - wire SI_REG_n_130; - wire SI_REG_n_131; - wire SI_REG_n_132; - wire SI_REG_n_133; - wire SI_REG_n_134; - wire SI_REG_n_135; - wire SI_REG_n_136; - wire SI_REG_n_137; - wire SI_REG_n_138; - wire SI_REG_n_139; + wire SI_REG_n_10; + wire SI_REG_n_11; wire SI_REG_n_140; wire SI_REG_n_141; + wire SI_REG_n_142; + wire SI_REG_n_143; + wire SI_REG_n_144; wire SI_REG_n_145; wire SI_REG_n_146; wire SI_REG_n_147; wire SI_REG_n_148; + wire SI_REG_n_149; wire SI_REG_n_150; + wire SI_REG_n_151; + wire SI_REG_n_152; wire SI_REG_n_153; + wire SI_REG_n_154; + wire SI_REG_n_155; + wire SI_REG_n_156; wire SI_REG_n_157; wire SI_REG_n_158; wire SI_REG_n_159; - wire SI_REG_n_160; - wire SI_REG_n_161; - wire SI_REG_n_162; wire SI_REG_n_163; wire SI_REG_n_164; wire SI_REG_n_165; wire SI_REG_n_166; - wire SI_REG_n_167; - wire SI_REG_n_168; - wire SI_REG_n_169; - wire SI_REG_n_170; - wire SI_REG_n_171; wire SI_REG_n_172; wire SI_REG_n_173; wire SI_REG_n_174; @@ -862,17 +852,41 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire SI_REG_n_176; wire SI_REG_n_177; wire SI_REG_n_178; - wire \WR.aw_channel_0_n_42 ; - wire \WR.aw_channel_0_n_43 ; - wire \WR.aw_channel_0_n_44 ; - wire \WR.aw_channel_0_n_45 ; + wire SI_REG_n_179; + wire SI_REG_n_180; + wire SI_REG_n_181; + wire SI_REG_n_182; + wire SI_REG_n_183; + wire SI_REG_n_184; + wire SI_REG_n_185; + wire SI_REG_n_186; + wire SI_REG_n_187; + wire SI_REG_n_188; + wire SI_REG_n_189; + wire SI_REG_n_190; + wire SI_REG_n_191; + wire SI_REG_n_28; + wire SI_REG_n_29; + wire SI_REG_n_30; + wire SI_REG_n_31; + wire SI_REG_n_8; + wire SI_REG_n_86; + wire SI_REG_n_87; + wire SI_REG_n_88; + wire SI_REG_n_89; + wire SI_REG_n_9; + wire \WR.aw_channel_0_n_47 ; + wire \WR.aw_channel_0_n_48 ; + wire \WR.aw_channel_0_n_49 ; wire \WR.aw_channel_0_n_5 ; - wire \WR.aw_channel_0_n_6 ; + wire \WR.aw_channel_0_n_50 ; wire \WR.aw_channel_0_n_7 ; + wire \WR.aw_channel_0_n_8 ; wire \WR.b_channel_0_n_1 ; wire \WR.b_channel_0_n_2 ; wire \WR.b_channel_0_n_3 ; wire aclk; + wire [1:0]\ar_cmd_fsm_0/state ; wire \ar_pipe/m_valid_i0 ; wire \ar_pipe/p_1_in ; wire areset_d1; @@ -880,18 +894,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire aresetn; wire \aw_pipe/p_1_in ; wire [11:0]b_awid; - wire [3:0]b_awlen; + wire [7:0]b_awlen; wire b_push; wire [3:0]\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ; wire [3:0]\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ; wire \cmd_translator_0/incr_cmd_0/sel_first ; wire \cmd_translator_0/incr_cmd_0/sel_first_2 ; wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset ; - wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ; - wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ; wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ; - wire [2:1]\cmd_translator_0/wrap_cmd_0/wrap_second_len ; - wire [2:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ; wire [33:0]in; wire [11:0]m_axi_araddr; wire [22:0]\m_axi_arprot[2] ; @@ -913,7 +927,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -921,7 +935,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -954,35 +968,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [1:0]si_rs_rresp; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel \RD.ar_channel_0 - (.CO(SI_REG_n_137), + (.CO(SI_REG_n_153), .D(\cmd_translator_0/wrap_cmd_0/wrap_second_len ), .E(\ar_pipe/p_1_in ), - .O({SI_REG_n_138,SI_REG_n_139,SI_REG_n_140,SI_REG_n_141}), - .Q(\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), - .S({\RD.ar_channel_0_n_44 ,\RD.ar_channel_0_n_45 ,\RD.ar_channel_0_n_46 ,\RD.ar_channel_0_n_47 }), + .O({SI_REG_n_154,SI_REG_n_155,SI_REG_n_156,SI_REG_n_157}), + .Q(\ar_cmd_fsm_0/state ), + .S({\RD.ar_channel_0_n_47 ,\RD.ar_channel_0_n_48 ,\RD.ar_channel_0_n_49 ,\RD.ar_channel_0_n_50 }), .aclk(aclk), .areset_d1(areset_d1), .\axaddr_incr_reg[3] (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ), - .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset [0]), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset ), .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), - .\axaddr_offset_r_reg[3]_0 (SI_REG_n_153), .\cnt_read_reg[1]_rep__0 (\RD.r_channel_0_n_1 ), .m_axi_araddr(m_axi_araddr), .m_axi_arready(m_axi_arready), .m_axi_arvalid(m_axi_arvalid), - .\m_payload_i_reg[0] (\RD.ar_channel_0_n_14 ), - .\m_payload_i_reg[0]_0 (\RD.ar_channel_0_n_15 ), - .\m_payload_i_reg[11] ({SI_REG_n_133,SI_REG_n_134,SI_REG_n_135,SI_REG_n_136}), - .\m_payload_i_reg[35] (SI_REG_n_157), - .\m_payload_i_reg[35]_0 (SI_REG_n_158), - .\m_payload_i_reg[38] (SI_REG_n_178), - .\m_payload_i_reg[3] (SI_REG_n_176), - .\m_payload_i_reg[3]_0 ({SI_REG_n_129,SI_REG_n_130,SI_REG_n_131,SI_REG_n_132}), - .\m_payload_i_reg[44] (SI_REG_n_159), - .\m_payload_i_reg[47] (SI_REG_n_160), - .\m_payload_i_reg[47]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset [3:1]), - .\m_payload_i_reg[61] ({s_arid,si_rs_arlen,si_rs_arburst,si_rs_arsize,si_rs_araddr}), - .\m_payload_i_reg[6] ({SI_REG_n_169,SI_REG_n_170,SI_REG_n_171,SI_REG_n_172,SI_REG_n_173,SI_REG_n_174,SI_REG_n_175}), + .\m_payload_i_reg[0] (\RD.ar_channel_0_n_8 ), + .\m_payload_i_reg[0]_0 (\RD.ar_channel_0_n_9 ), + .\m_payload_i_reg[11] ({SI_REG_n_149,SI_REG_n_150,SI_REG_n_151,SI_REG_n_152}), + .\m_payload_i_reg[38] (SI_REG_n_191), + .\m_payload_i_reg[3] ({SI_REG_n_145,SI_REG_n_146,SI_REG_n_147,SI_REG_n_148}), + .\m_payload_i_reg[46] (SI_REG_n_174), + .\m_payload_i_reg[47] (SI_REG_n_172), + .\m_payload_i_reg[48] (SI_REG_n_173), + .\m_payload_i_reg[64] ({s_arid,SI_REG_n_86,SI_REG_n_87,SI_REG_n_88,SI_REG_n_89,si_rs_arlen,si_rs_arburst,si_rs_arsize,si_rs_araddr}), + .\m_payload_i_reg[6] ({SI_REG_n_183,SI_REG_n_184,SI_REG_n_185,SI_REG_n_186,SI_REG_n_187,SI_REG_n_188,SI_REG_n_189}), .m_valid_i0(\ar_pipe/m_valid_i0 ), .\r_arid_r_reg[11] (s_arid_r), .r_push(r_push), @@ -991,8 +1001,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .s_ready_i_reg(s_axi_arready), .sel_first(\cmd_translator_0/incr_cmd_0/sel_first ), .si_rs_arvalid(si_rs_arvalid), - .\wrap_boundary_axaddr_r_reg[11] (\RD.ar_channel_0_n_5 ), - .\wrap_second_len_r_reg[0] (SI_REG_n_150)); + .\wrap_boundary_axaddr_r_reg[0] (\RD.ar_channel_0_n_7 ), + .\wrap_second_len_r_reg[3] (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), + .\wrap_second_len_r_reg[3]_0 ({SI_REG_n_8,SI_REG_n_9,SI_REG_n_10,SI_REG_n_11})); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel \RD.r_channel_0 (.D(s_arid_r), .aclk(aclk), @@ -1006,46 +1017,46 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .r_rlast(r_rlast), .si_rs_rready(si_rs_rready), .\skid_buffer_reg[46] ({si_rs_rid,si_rs_rlast}), - .\state_reg[1]_rep (\RD.r_channel_0_n_1 )); + .\state_reg[0]_rep (\RD.r_channel_0_n_1 )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice SI_REG - (.CO(SI_REG_n_124), + (.CO(SI_REG_n_140), .D(\cmd_translator_0/wrap_cmd_0/wrap_second_len ), .E(\aw_pipe/p_1_in ), - .O({SI_REG_n_125,SI_REG_n_126,SI_REG_n_127,SI_REG_n_128}), - .Q({s_awid,si_rs_awlen,si_rs_awburst,si_rs_awsize,Q,si_rs_awaddr}), - .S({\WR.aw_channel_0_n_42 ,\WR.aw_channel_0_n_43 ,\WR.aw_channel_0_n_44 ,\WR.aw_channel_0_n_45 }), + .O({SI_REG_n_141,SI_REG_n_142,SI_REG_n_143,SI_REG_n_144}), + .Q({s_awid,SI_REG_n_28,SI_REG_n_29,SI_REG_n_30,SI_REG_n_31,si_rs_awlen,si_rs_awburst,si_rs_awsize,Q,si_rs_awaddr}), + .S({\WR.aw_channel_0_n_47 ,\WR.aw_channel_0_n_48 ,\WR.aw_channel_0_n_49 ,\WR.aw_channel_0_n_50 }), .aclk(aclk), .aresetn(aresetn), .axaddr_incr_reg(\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ), .\axaddr_incr_reg[11] (C), - .\axaddr_incr_reg[11]_0 ({SI_REG_n_133,SI_REG_n_134,SI_REG_n_135,SI_REG_n_136}), - .\axaddr_incr_reg[3] ({SI_REG_n_138,SI_REG_n_139,SI_REG_n_140,SI_REG_n_141}), + .\axaddr_incr_reg[11]_0 ({SI_REG_n_149,SI_REG_n_150,SI_REG_n_151,SI_REG_n_152}), + .\axaddr_incr_reg[3] ({SI_REG_n_154,SI_REG_n_155,SI_REG_n_156,SI_REG_n_157}), .\axaddr_incr_reg[3]_0 (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ), - .\axaddr_incr_reg[7] ({SI_REG_n_129,SI_REG_n_130,SI_REG_n_131,SI_REG_n_132}), - .\axaddr_incr_reg[7]_0 (SI_REG_n_137), - .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ), - .axaddr_offset_0(\cmd_translator_0/wrap_cmd_0/axaddr_offset [0]), - .\axaddr_offset_r_reg[0] (SI_REG_n_168), - .\axaddr_offset_r_reg[0]_0 (SI_REG_n_176), - .\axaddr_offset_r_reg[1] (SI_REG_n_145), - .\axaddr_offset_r_reg[1]_0 (SI_REG_n_157), - .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset [3:1]), - .\axaddr_offset_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), - .\axaddr_offset_r_reg[3]_1 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), - .\axlen_cnt_reg[3] (SI_REG_n_147), - .\axlen_cnt_reg[3]_0 (SI_REG_n_160), + .\axaddr_incr_reg[7] ({SI_REG_n_145,SI_REG_n_146,SI_REG_n_147,SI_REG_n_148}), + .\axaddr_incr_reg[7]_0 (SI_REG_n_153), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [3:1]), + .axaddr_offset_0(\cmd_translator_0/wrap_cmd_0/axaddr_offset ), + .\axaddr_offset_r_reg[0] (SI_REG_n_182), + .\axaddr_offset_r_reg[0]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [0]), + .\axaddr_offset_r_reg[1] (SI_REG_n_163), + .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), + .\axaddr_offset_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), + .\axlen_cnt_reg[3] (SI_REG_n_164), + .\axlen_cnt_reg[3]_0 (SI_REG_n_172), .b_push(b_push), .\cnt_read_reg[3]_rep__2 (\RD.r_channel_0_n_0 ), .\cnt_read_reg[4] ({si_rs_rresp,si_rs_rdata}), - .\m_axi_araddr[10] (SI_REG_n_178), - .\m_axi_awaddr[10] (SI_REG_n_177), - .\m_payload_i_reg[3] ({\RD.ar_channel_0_n_44 ,\RD.ar_channel_0_n_45 ,\RD.ar_channel_0_n_46 ,\RD.ar_channel_0_n_47 }), + .\m_axi_araddr[10] (SI_REG_n_191), + .\m_axi_awaddr[10] (SI_REG_n_190), + .\m_payload_i_reg[3] ({\RD.ar_channel_0_n_47 ,\RD.ar_channel_0_n_48 ,\RD.ar_channel_0_n_49 ,\RD.ar_channel_0_n_50 }), .m_valid_i0(\ar_pipe/m_valid_i0 ), - .next_pending_r_reg(SI_REG_n_148), - .next_pending_r_reg_0(SI_REG_n_159), + .next_pending_r_reg(SI_REG_n_165), + .next_pending_r_reg_0(SI_REG_n_166), + .next_pending_r_reg_1(SI_REG_n_173), + .next_pending_r_reg_2(SI_REG_n_174), .out(si_rs_bid), .r_push_r_reg({si_rs_rid,si_rs_rlast}), - .\s_arid_r_reg[11] ({s_arid,si_rs_arlen,si_rs_arburst,si_rs_arsize,\m_axi_arprot[2] ,si_rs_araddr}), + .\s_arid_r_reg[11] ({s_arid,SI_REG_n_86,SI_REG_n_87,SI_REG_n_88,SI_REG_n_89,si_rs_arlen,si_rs_arburst,si_rs_arsize,\m_axi_arprot[2] ,si_rs_araddr}), .s_axi_araddr(s_axi_araddr), .s_axi_arburst(s_axi_arburst), .s_axi_arid(s_axi_arid), @@ -1077,34 +1088,35 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .si_rs_bready(si_rs_bready), .si_rs_bvalid(si_rs_bvalid), .si_rs_rready(si_rs_rready), - .\state_reg[0]_rep (\WR.aw_channel_0_n_7 ), - .\state_reg[0]_rep_0 (\RD.ar_channel_0_n_15 ), + .\state_reg[0]_rep (\WR.aw_channel_0_n_8 ), + .\state_reg[0]_rep_0 (\RD.ar_channel_0_n_9 ), + .\state_reg[1] (\ar_cmd_fsm_0/state ), .\state_reg[1]_rep (\WR.aw_channel_0_n_5 ), - .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_6 ), - .\state_reg[1]_rep_1 (\RD.ar_channel_0_n_5 ), - .\state_reg[1]_rep_2 (\RD.ar_channel_0_n_14 ), + .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_7 ), + .\state_reg[1]_rep_1 (\RD.ar_channel_0_n_7 ), + .\state_reg[1]_rep_2 (\RD.ar_channel_0_n_8 ), .\state_reg[1]_rep_3 (\ar_pipe/p_1_in ), - .\wrap_boundary_axaddr_r_reg[6] ({SI_REG_n_161,SI_REG_n_162,SI_REG_n_163,SI_REG_n_164,SI_REG_n_165,SI_REG_n_166,SI_REG_n_167}), - .\wrap_boundary_axaddr_r_reg[6]_0 ({SI_REG_n_169,SI_REG_n_170,SI_REG_n_171,SI_REG_n_172,SI_REG_n_173,SI_REG_n_174,SI_REG_n_175}), - .\wrap_cnt_r_reg[2] (SI_REG_n_150), - .\wrap_cnt_r_reg[2]_0 (SI_REG_n_153), - .\wrap_second_len_r_reg[2] (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), - .\wrap_second_len_r_reg[3] (SI_REG_n_146), - .\wrap_second_len_r_reg[3]_0 (SI_REG_n_158)); + .\wrap_boundary_axaddr_r_reg[6] ({SI_REG_n_175,SI_REG_n_176,SI_REG_n_177,SI_REG_n_178,SI_REG_n_179,SI_REG_n_180,SI_REG_n_181}), + .\wrap_boundary_axaddr_r_reg[6]_0 ({SI_REG_n_183,SI_REG_n_184,SI_REG_n_185,SI_REG_n_186,SI_REG_n_187,SI_REG_n_188,SI_REG_n_189}), + .\wrap_cnt_r_reg[1] (SI_REG_n_158), + .\wrap_cnt_r_reg[3] ({SI_REG_n_8,SI_REG_n_9,SI_REG_n_10,SI_REG_n_11}), + .\wrap_second_len_r_reg[3] (SI_REG_n_159), + .\wrap_second_len_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel \WR.aw_channel_0 - (.CO(SI_REG_n_124), - .D({SI_REG_n_161,SI_REG_n_162,SI_REG_n_163,SI_REG_n_164,SI_REG_n_165,SI_REG_n_166,SI_REG_n_167}), + (.CO(SI_REG_n_140), + .D({SI_REG_n_175,SI_REG_n_176,SI_REG_n_177,SI_REG_n_178,SI_REG_n_179,SI_REG_n_180,SI_REG_n_181}), .E(\aw_pipe/p_1_in ), - .O({SI_REG_n_125,SI_REG_n_126,SI_REG_n_127,SI_REG_n_128}), - .Q({s_awid,si_rs_awlen,si_rs_awburst,si_rs_awsize,si_rs_awaddr}), - .S({\WR.aw_channel_0_n_42 ,\WR.aw_channel_0_n_43 ,\WR.aw_channel_0_n_44 ,\WR.aw_channel_0_n_45 }), + .O({SI_REG_n_141,SI_REG_n_142,SI_REG_n_143,SI_REG_n_144}), + .Q({s_awid,SI_REG_n_28,SI_REG_n_29,SI_REG_n_30,SI_REG_n_31,si_rs_awlen,si_rs_awburst,si_rs_awsize,si_rs_awaddr}), + .S({\WR.aw_channel_0_n_47 ,\WR.aw_channel_0_n_48 ,\WR.aw_channel_0_n_49 ,\WR.aw_channel_0_n_50 }), .aclk(aclk), .areset_d1(areset_d1), .\axaddr_incr_reg[3] (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ), - .\axaddr_offset_r_reg[1] (SI_REG_n_145), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [3:1]), + .\axaddr_offset_r_reg[0] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [0]), + .\axaddr_offset_r_reg[1] (SI_REG_n_163), .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), - .\axlen_cnt_reg[7] (\WR.aw_channel_0_n_6 ), - .\axlen_cnt_reg[7]_0 (\WR.aw_channel_0_n_7 ), + .\axaddr_offset_r_reg[3]_0 (SI_REG_n_158), .b_push(b_push), .\cnt_read_reg[0]_rep__0 (\WR.b_channel_0_n_1 ), .\cnt_read_reg[1]_rep__1 (\WR.b_channel_0_n_3 ), @@ -1114,14 +1126,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .m_axi_awready(m_axi_awready), .m_axi_awvalid(m_axi_awvalid), .\m_payload_i_reg[11] (C), - .\m_payload_i_reg[35] (SI_REG_n_146), - .\m_payload_i_reg[38] (SI_REG_n_177), - .\m_payload_i_reg[3] (SI_REG_n_168), - .\m_payload_i_reg[44] (SI_REG_n_148), - .\m_payload_i_reg[47] (SI_REG_n_147), - .\m_payload_i_reg[47]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ), + .\m_payload_i_reg[35] (SI_REG_n_159), + .\m_payload_i_reg[38] (SI_REG_n_190), + .\m_payload_i_reg[3] (SI_REG_n_182), + .\m_payload_i_reg[46] (SI_REG_n_166), + .\m_payload_i_reg[47] (SI_REG_n_164), + .\m_payload_i_reg[48] (SI_REG_n_165), .sel_first(\cmd_translator_0/incr_cmd_0/sel_first_2 ), .si_rs_awvalid(si_rs_awvalid), + .\state_reg[1]_rep (\WR.aw_channel_0_n_7 ), + .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_8 ), .\wrap_boundary_axaddr_r_reg[0] (\WR.aw_channel_0_n_5 )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel \WR.b_channel_0 (.aclk(aclk), @@ -1156,109 +1170,96 @@ endmodule module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel (\axaddr_incr_reg[3] , sel_first, - \wrap_boundary_axaddr_r_reg[11] , Q, - axaddr_offset, - \axaddr_offset_r_reg[3] , - r_push, + \wrap_boundary_axaddr_r_reg[0] , \m_payload_i_reg[0] , \m_payload_i_reg[0]_0 , + r_push, m_axi_arvalid, r_rlast, m_valid_i0, E, m_axi_araddr, + \axaddr_offset_r_reg[3] , + \wrap_second_len_r_reg[3] , \r_arid_r_reg[11] , S, aclk, O, \m_payload_i_reg[47] , - m_axi_arready, si_rs_arvalid, - \axaddr_offset_r_reg[3]_0 , - \m_payload_i_reg[61] , + \m_payload_i_reg[64] , CO, + \m_payload_i_reg[46] , + \m_payload_i_reg[48] , + m_axi_arready, + areset_d1, \cnt_read_reg[1]_rep__0 , - D, - \m_payload_i_reg[35] , - \m_payload_i_reg[47]_0 , - \m_payload_i_reg[35]_0 , \m_payload_i_reg[3] , - \m_payload_i_reg[44] , - areset_d1, - \m_payload_i_reg[3]_0 , \m_payload_i_reg[11] , s_axi_arvalid, s_ready_i_reg, \m_payload_i_reg[38] , - \wrap_second_len_r_reg[0] , + axaddr_offset, + D, + \wrap_second_len_r_reg[3]_0 , \m_payload_i_reg[6] ); output [3:0]\axaddr_incr_reg[3] ; output sel_first; - output \wrap_boundary_axaddr_r_reg[11] ; - output [2:0]Q; - output [0:0]axaddr_offset; - output [2:0]\axaddr_offset_r_reg[3] ; - output r_push; + output [1:0]Q; + output \wrap_boundary_axaddr_r_reg[0] ; output \m_payload_i_reg[0] ; output \m_payload_i_reg[0]_0 ; + output r_push; output m_axi_arvalid; output r_rlast; output m_valid_i0; output [0:0]E; output [11:0]m_axi_araddr; + output [3:0]\axaddr_offset_r_reg[3] ; + output [3:0]\wrap_second_len_r_reg[3] ; output [11:0]\r_arid_r_reg[11] ; output [3:0]S; input aclk; input [3:0]O; input \m_payload_i_reg[47] ; - input m_axi_arready; input si_rs_arvalid; - input \axaddr_offset_r_reg[3]_0 ; - input [30:0]\m_payload_i_reg[61] ; + input [34:0]\m_payload_i_reg[64] ; input [0:0]CO; - input \cnt_read_reg[1]_rep__0 ; - input [1:0]D; - input \m_payload_i_reg[35] ; - input [2:0]\m_payload_i_reg[47]_0 ; - input \m_payload_i_reg[35]_0 ; - input \m_payload_i_reg[3] ; - input \m_payload_i_reg[44] ; + input \m_payload_i_reg[46] ; + input \m_payload_i_reg[48] ; + input m_axi_arready; input areset_d1; - input [3:0]\m_payload_i_reg[3]_0 ; + input \cnt_read_reg[1]_rep__0 ; + input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input s_axi_arvalid; input s_ready_i_reg; input \m_payload_i_reg[38] ; - input [0:0]\wrap_second_len_r_reg[0] ; + input [3:0]axaddr_offset; + input [3:0]D; + input [3:0]\wrap_second_len_r_reg[3]_0 ; input [6:0]\m_payload_i_reg[6] ; wire [0:0]CO; - wire [1:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [2:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; - wire ar_cmd_fsm_0_n_0; - wire ar_cmd_fsm_0_n_10; wire ar_cmd_fsm_0_n_13; - wire ar_cmd_fsm_0_n_17; - wire ar_cmd_fsm_0_n_18; - wire ar_cmd_fsm_0_n_22; - wire ar_cmd_fsm_0_n_23; + wire ar_cmd_fsm_0_n_16; wire ar_cmd_fsm_0_n_3; - wire ar_cmd_fsm_0_n_4; wire ar_cmd_fsm_0_n_6; + wire ar_cmd_fsm_0_n_8; + wire ar_cmd_fsm_0_n_9; wire areset_d1; wire [3:0]\axaddr_incr_reg[3] ; - wire [0:0]axaddr_offset; - wire [2:0]\axaddr_offset_r_reg[3] ; - wire \axaddr_offset_r_reg[3]_0 ; + wire [3:0]axaddr_offset; + wire [3:0]\axaddr_offset_r_reg[3] ; wire cmd_translator_0_n_1; - wire cmd_translator_0_n_10; wire cmd_translator_0_n_11; - wire cmd_translator_0_n_13; wire cmd_translator_0_n_2; wire cmd_translator_0_n_8; wire cmd_translator_0_n_9; @@ -1270,15 +1271,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel wire \m_payload_i_reg[0] ; wire \m_payload_i_reg[0]_0 ; wire [3:0]\m_payload_i_reg[11] ; - wire \m_payload_i_reg[35] ; - wire \m_payload_i_reg[35]_0 ; wire \m_payload_i_reg[38] ; - wire \m_payload_i_reg[3] ; - wire [3:0]\m_payload_i_reg[3]_0 ; - wire \m_payload_i_reg[44] ; + wire [3:0]\m_payload_i_reg[3] ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [2:0]\m_payload_i_reg[47]_0 ; - wire [30:0]\m_payload_i_reg[61] ; + wire \m_payload_i_reg[48] ; + wire [34:0]\m_payload_i_reg[64] ; wire [6:0]\m_payload_i_reg[6] ; wire m_valid_i0; wire [11:0]\r_arid_r_reg[11] ; @@ -1289,29 +1287,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel wire sel_first; wire sel_first_i; wire si_rs_arvalid; - wire [1:0]state; - wire \wrap_boundary_axaddr_r_reg[11] ; - wire [0:0]\wrap_cmd_0/axaddr_offset_r ; - wire [3:0]\wrap_cmd_0/wrap_second_len ; - wire [3:3]\wrap_cmd_0/wrap_second_len_r ; + wire \wrap_boundary_axaddr_r_reg[0] ; wire wrap_next_pending; - wire [0:0]\wrap_second_len_r_reg[0] ; + wire [3:0]\wrap_second_len_r_reg[3] ; + wire [3:0]\wrap_second_len_r_reg[3]_0 ; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm ar_cmd_fsm_0 - (.D({ar_cmd_fsm_0_n_3,ar_cmd_fsm_0_n_4}), - .E(\wrap_boundary_axaddr_r_reg[11] ), - .Q(state), + (.E(\wrap_boundary_axaddr_r_reg[0] ), + .Q(Q), .aclk(aclk), .areset_d1(areset_d1), - .\axaddr_incr_reg[11] (ar_cmd_fsm_0_n_18), - .\axaddr_offset_r_reg[0] (axaddr_offset), - .\axaddr_offset_r_reg[0]_0 (\wrap_cmd_0/axaddr_offset_r ), - .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), - .\axlen_cnt_reg[0] (ar_cmd_fsm_0_n_6), - .\axlen_cnt_reg[0]_0 (cmd_translator_0_n_9), - .\axlen_cnt_reg[3] (ar_cmd_fsm_0_n_17), - .\axlen_cnt_reg[7] (ar_cmd_fsm_0_n_0), - .\axlen_cnt_reg[7]_0 (cmd_translator_0_n_10), + .\axaddr_incr_reg[11] (ar_cmd_fsm_0_n_9), + .\axlen_cnt_reg[3] (ar_cmd_fsm_0_n_8), .\cnt_read_reg[1]_rep__0 (\cnt_read_reg[1]_rep__0 ), .incr_next_pending(incr_next_pending), .m_axi_arready(m_axi_arready), @@ -1319,148 +1306,137 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel .\m_payload_i_reg[0] (\m_payload_i_reg[0] ), .\m_payload_i_reg[0]_0 (\m_payload_i_reg[0]_0 ), .\m_payload_i_reg[0]_1 (E), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), - .\m_payload_i_reg[35]_0 (\m_payload_i_reg[35]_0 ), - .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[61] [15:14]), - .\m_payload_i_reg[44]_0 (\m_payload_i_reg[44] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 [2:1]), + .\m_payload_i_reg[39] (\m_payload_i_reg[64] [14]), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), .m_valid_i0(m_valid_i0), .next_pending_r_reg(cmd_translator_0_n_1), .r_push_r_reg(r_push), - .s_axburst_eq0_reg(ar_cmd_fsm_0_n_10), - .s_axburst_eq1_reg(ar_cmd_fsm_0_n_13), - .s_axburst_eq1_reg_0(cmd_translator_0_n_13), + .s_axburst_eq0_reg(ar_cmd_fsm_0_n_3), + .s_axburst_eq1_reg(ar_cmd_fsm_0_n_6), + .s_axburst_eq1_reg_0(cmd_translator_0_n_11), .s_axi_arvalid(s_axi_arvalid), .s_ready_i_reg(s_ready_i_reg), .sel_first_i(sel_first_i), - .sel_first_reg(ar_cmd_fsm_0_n_22), - .sel_first_reg_0(ar_cmd_fsm_0_n_23), + .sel_first_reg(ar_cmd_fsm_0_n_13), + .sel_first_reg_0(ar_cmd_fsm_0_n_16), .sel_first_reg_1(cmd_translator_0_n_2), .sel_first_reg_2(sel_first), .sel_first_reg_3(cmd_translator_0_n_8), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[0]_0 (cmd_translator_0_n_11), - .wrap_next_pending(wrap_next_pending), - .\wrap_second_len_r_reg[2] (D), - .\wrap_second_len_r_reg[3] ({\wrap_cmd_0/wrap_second_len [3],\wrap_cmd_0/wrap_second_len [0]}), - .\wrap_second_len_r_reg[3]_0 ({\wrap_cmd_0/wrap_second_len_r ,Q[0]})); + .\state_reg[0]_rep_0 (cmd_translator_0_n_9), + .wrap_next_pending(wrap_next_pending)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_1 cmd_translator_0 (.CO(CO), - .D(ar_cmd_fsm_0_n_6), - .E(\wrap_boundary_axaddr_r_reg[11] ), + .D(D), + .E(\wrap_boundary_axaddr_r_reg[0] ), .O(O), - .Q(cmd_translator_0_n_9), + .Q(Q), .S(S), .aclk(aclk), .\axaddr_incr_reg[11] (sel_first), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] ,\wrap_cmd_0/axaddr_offset_r }), - .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), - .\axlen_cnt_reg[1] (cmd_translator_0_n_10), + .axaddr_offset(axaddr_offset), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3] ), .incr_next_pending(incr_next_pending), .m_axi_araddr(m_axi_araddr), .m_axi_arready(m_axi_arready), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[39] (ar_cmd_fsm_0_n_10), - .\m_payload_i_reg[39]_0 (ar_cmd_fsm_0_n_13), - .\m_payload_i_reg[3] (\m_payload_i_reg[3]_0 ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), + .\m_payload_i_reg[39] (ar_cmd_fsm_0_n_3), + .\m_payload_i_reg[39]_0 (ar_cmd_fsm_0_n_6), + .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[61] [18:0]), - .\m_payload_i_reg[47]_1 ({\m_payload_i_reg[47]_0 ,axaddr_offset}), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .\m_payload_i_reg[51] (\m_payload_i_reg[64] [22:0]), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), - .m_valid_i_reg(ar_cmd_fsm_0_n_17), + .m_valid_i_reg(ar_cmd_fsm_0_n_8), .next_pending_r_reg(cmd_translator_0_n_1), - .next_pending_r_reg_0(cmd_translator_0_n_11), + .next_pending_r_reg_0(cmd_translator_0_n_9), .r_rlast(r_rlast), .sel_first_i(sel_first_i), .sel_first_reg_0(cmd_translator_0_n_2), .sel_first_reg_1(cmd_translator_0_n_8), - .sel_first_reg_2(ar_cmd_fsm_0_n_18), - .sel_first_reg_3(ar_cmd_fsm_0_n_22), - .sel_first_reg_4(ar_cmd_fsm_0_n_23), + .sel_first_reg_2(ar_cmd_fsm_0_n_9), + .sel_first_reg_3(ar_cmd_fsm_0_n_13), + .sel_first_reg_4(ar_cmd_fsm_0_n_16), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[0]_rep (cmd_translator_0_n_13), - .\state_reg[1] (state), - .\state_reg[1]_0 (ar_cmd_fsm_0_n_0), - .\state_reg[1]_rep (r_push), + .\state_reg[0]_rep (\m_payload_i_reg[0]_0 ), + .\state_reg[1]_rep (cmd_translator_0_n_11), + .\state_reg[1]_rep_0 (\m_payload_i_reg[0] ), + .\state_reg[1]_rep_1 (r_push), .wrap_next_pending(wrap_next_pending), - .\wrap_second_len_r_reg[3] ({\wrap_cmd_0/wrap_second_len_r ,Q}), - .\wrap_second_len_r_reg[3]_0 ({\wrap_cmd_0/wrap_second_len [3],D,\wrap_cmd_0/wrap_second_len [0]}), - .\wrap_second_len_r_reg[3]_1 ({ar_cmd_fsm_0_n_3,\wrap_second_len_r_reg[0] ,ar_cmd_fsm_0_n_4})); + .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3] ), + .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3]_0 )); FDRE \s_arid_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [19]), + .D(\m_payload_i_reg[64] [23]), .Q(\r_arid_r_reg[11] [0]), .R(1'b0)); FDRE \s_arid_r_reg[10] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [29]), + .D(\m_payload_i_reg[64] [33]), .Q(\r_arid_r_reg[11] [10]), .R(1'b0)); FDRE \s_arid_r_reg[11] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [30]), + .D(\m_payload_i_reg[64] [34]), .Q(\r_arid_r_reg[11] [11]), .R(1'b0)); FDRE \s_arid_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [20]), + .D(\m_payload_i_reg[64] [24]), .Q(\r_arid_r_reg[11] [1]), .R(1'b0)); FDRE \s_arid_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [21]), + .D(\m_payload_i_reg[64] [25]), .Q(\r_arid_r_reg[11] [2]), .R(1'b0)); FDRE \s_arid_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [22]), + .D(\m_payload_i_reg[64] [26]), .Q(\r_arid_r_reg[11] [3]), .R(1'b0)); FDRE \s_arid_r_reg[4] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [23]), + .D(\m_payload_i_reg[64] [27]), .Q(\r_arid_r_reg[11] [4]), .R(1'b0)); FDRE \s_arid_r_reg[5] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [24]), + .D(\m_payload_i_reg[64] [28]), .Q(\r_arid_r_reg[11] [5]), .R(1'b0)); FDRE \s_arid_r_reg[6] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [25]), + .D(\m_payload_i_reg[64] [29]), .Q(\r_arid_r_reg[11] [6]), .R(1'b0)); FDRE \s_arid_r_reg[7] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [26]), + .D(\m_payload_i_reg[64] [30]), .Q(\r_arid_r_reg[11] [7]), .R(1'b0)); FDRE \s_arid_r_reg[8] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [27]), + .D(\m_payload_i_reg[64] [31]), .Q(\r_arid_r_reg[11] [8]), .R(1'b0)); FDRE \s_arid_r_reg[9] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [28]), + .D(\m_payload_i_reg[64] [32]), .Q(\r_arid_r_reg[11] [9]), .R(1'b0)); endmodule @@ -1470,12 +1446,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel (\axaddr_incr_reg[3] , sel_first, \wrap_boundary_axaddr_r_reg[0] , - \axlen_cnt_reg[7] , - \axlen_cnt_reg[7]_0 , - b_push, + \axaddr_offset_r_reg[0] , + \state_reg[1]_rep , + \state_reg[1]_rep_0 , \axaddr_offset_r_reg[3] , - E, + b_push, m_axi_awvalid, + E, m_axi_awaddr, in, S, @@ -1483,11 +1460,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel O, \m_payload_i_reg[47] , Q, + \axaddr_offset_r_reg[3]_0 , si_rs_awvalid, CO, - \m_payload_i_reg[44] , - \m_payload_i_reg[47]_0 , + \m_payload_i_reg[48] , + \m_payload_i_reg[46] , \axaddr_offset_r_reg[1] , + axaddr_offset, \m_payload_i_reg[35] , \m_payload_i_reg[3] , areset_d1, @@ -1501,24 +1480,27 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel output [3:0]\axaddr_incr_reg[3] ; output sel_first; output \wrap_boundary_axaddr_r_reg[0] ; - output \axlen_cnt_reg[7] ; - output \axlen_cnt_reg[7]_0 ; - output b_push; + output [0:0]\axaddr_offset_r_reg[0] ; + output \state_reg[1]_rep ; + output \state_reg[1]_rep_0 ; output [2:0]\axaddr_offset_r_reg[3] ; - output [0:0]E; + output b_push; output m_axi_awvalid; + output [0:0]E; output [11:0]m_axi_awaddr; - output [15:0]in; + output [19:0]in; output [3:0]S; input aclk; input [3:0]O; input \m_payload_i_reg[47] ; - input [30:0]Q; + input [34:0]Q; + input \axaddr_offset_r_reg[3]_0 ; input si_rs_awvalid; input [0:0]CO; - input \m_payload_i_reg[44] ; - input [2:0]\m_payload_i_reg[47]_0 ; + input \m_payload_i_reg[48] ; + input \m_payload_i_reg[46] ; input \axaddr_offset_r_reg[1] ; + input [2:0]axaddr_offset; input \m_payload_i_reg[35] ; input \m_payload_i_reg[3] ; input areset_d1; @@ -1534,36 +1516,34 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel wire [6:0]D; wire [0:0]E; wire [3:0]O; - wire [30:0]Q; + wire [34:0]Q; wire [3:0]S; wire aclk; wire areset_d1; wire aw_cmd_fsm_0_n_10; - wire aw_cmd_fsm_0_n_11; - wire aw_cmd_fsm_0_n_14; + wire aw_cmd_fsm_0_n_13; + wire aw_cmd_fsm_0_n_19; + wire aw_cmd_fsm_0_n_2; + wire aw_cmd_fsm_0_n_22; wire aw_cmd_fsm_0_n_24; - wire aw_cmd_fsm_0_n_27; - wire aw_cmd_fsm_0_n_28; - wire aw_cmd_fsm_0_n_3; - wire aw_cmd_fsm_0_n_5; + wire aw_cmd_fsm_0_n_25; wire aw_cmd_fsm_0_n_9; wire [3:0]\axaddr_incr_reg[3] ; + wire [2:0]axaddr_offset; + wire [0:0]\axaddr_offset_r_reg[0] ; wire \axaddr_offset_r_reg[1] ; wire [2:0]\axaddr_offset_r_reg[3] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; + wire \axaddr_offset_r_reg[3]_0 ; wire b_push; wire cmd_translator_0_n_0; - wire cmd_translator_0_n_1; wire cmd_translator_0_n_10; wire cmd_translator_0_n_11; - wire cmd_translator_0_n_12; wire cmd_translator_0_n_2; wire cmd_translator_0_n_9; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; wire \cnt_read_reg[1]_rep__1_0 ; - wire [15:0]in; + wire [19:0]in; wire incr_next_pending; wire [11:0]m_axi_awaddr; wire m_axi_awready; @@ -1572,72 +1552,72 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [2:0]\m_payload_i_reg[47]_0 ; + wire \m_payload_i_reg[48] ; + wire next; wire sel_first; wire sel_first__0; wire sel_first_i; wire si_rs_awvalid; wire [1:0]state; + wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r_reg[0] ; - wire [0:0]\wrap_cmd_0/axaddr_offset ; wire [0:0]\wrap_cmd_0/axaddr_offset_r ; wire [3:0]\wrap_cmd_0/wrap_second_len ; wire [3:0]\wrap_cmd_0/wrap_second_len_r ; - wire [3:0]wrap_cnt; + wire [3:2]wrap_cnt; wire wrap_next_pending; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm aw_cmd_fsm_0 - (.D(aw_cmd_fsm_0_n_14), + (.D({wrap_cnt,aw_cmd_fsm_0_n_2}), .E(\wrap_boundary_axaddr_r_reg[0] ), - .Q(Q[15:14]), + .Q(state), .aclk(aclk), .areset_d1(areset_d1), - .\axaddr_incr_reg[11] (aw_cmd_fsm_0_n_24), - .axaddr_offset(\wrap_cmd_0/axaddr_offset ), - .\axaddr_offset_r_reg[0] (state), + .\axaddr_incr_reg[11] (aw_cmd_fsm_0_n_22), + .axaddr_offset(axaddr_offset[2:1]), + .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0] ), + .\axaddr_offset_r_reg[0]_0 (\wrap_cmd_0/axaddr_offset_r ), .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] [2],\wrap_cmd_0/axaddr_offset_r }), - .\axlen_cnt_reg[0] (cmd_translator_0_n_9), - .\axlen_cnt_reg[3] (aw_cmd_fsm_0_n_11), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), + .\axlen_cnt_reg[0] (aw_cmd_fsm_0_n_9), + .\axlen_cnt_reg[0]_0 (cmd_translator_0_n_9), + .\axlen_cnt_reg[3] (aw_cmd_fsm_0_n_19), .\axlen_cnt_reg[6] (cmd_translator_0_n_10), - .\axlen_cnt_reg[7] (\axlen_cnt_reg[7] ), - .\axlen_cnt_reg[7]_0 (\axlen_cnt_reg[7]_0 ), - .\axlen_cnt_reg[7]_1 (aw_cmd_fsm_0_n_3), - .\axlen_cnt_reg[7]_2 (b_push), .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), .\cnt_read_reg[1]_rep__1_0 (\cnt_read_reg[1]_rep__1_0 ), .incr_next_pending(incr_next_pending), .m_axi_awready(m_axi_awready), .m_axi_awvalid(m_axi_awvalid), - .\m_payload_i_reg[0] (E), + .\m_payload_i_reg[0] (b_push), + .\m_payload_i_reg[0]_0 (E), .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 [2:1]), - .next_pending_r_reg(aw_cmd_fsm_0_n_10), - .next_pending_r_reg_0(cmd_translator_0_n_0), - .next_pending_r_reg_1(cmd_translator_0_n_1), - .s_axburst_eq0_reg(aw_cmd_fsm_0_n_5), - .s_axburst_eq1_reg(aw_cmd_fsm_0_n_9), - .s_axburst_eq1_reg_0(cmd_translator_0_n_12), + .\m_payload_i_reg[44] (Q[15:14]), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .next(next), + .next_pending_r_reg(cmd_translator_0_n_0), + .s_axburst_eq0_reg(aw_cmd_fsm_0_n_10), + .s_axburst_eq1_reg(aw_cmd_fsm_0_n_13), + .s_axburst_eq1_reg_0(cmd_translator_0_n_11), .sel_first__0(sel_first__0), .sel_first_i(sel_first_i), - .sel_first_reg(aw_cmd_fsm_0_n_27), - .sel_first_reg_0(aw_cmd_fsm_0_n_28), + .sel_first_reg(aw_cmd_fsm_0_n_24), + .sel_first_reg_0(aw_cmd_fsm_0_n_25), .sel_first_reg_1(cmd_translator_0_n_2), .sel_first_reg_2(sel_first), .si_rs_awvalid(si_rs_awvalid), - .\state_reg[1]_0 (cmd_translator_0_n_11), - .\wrap_cnt_r_reg[3] (wrap_cnt), + .\state_reg[1]_rep_0 (\state_reg[1]_rep ), + .\state_reg[1]_rep_1 (\state_reg[1]_rep_0 ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3] (\wrap_cmd_0/wrap_second_len ), .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len_r )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator cmd_translator_0 (.CO(CO), - .D(aw_cmd_fsm_0_n_14), + .D({wrap_cnt,aw_cmd_fsm_0_n_2}), .E(\wrap_boundary_axaddr_r_reg[0] ), .O(O), .Q(cmd_translator_0_n_9), @@ -1645,109 +1625,109 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel .aclk(aclk), .\axaddr_incr_reg[11] (sel_first), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] ,\wrap_cmd_0/axaddr_offset_r }), - .\axlen_cnt_reg[3] (cmd_translator_0_n_10), - .\cnt_read_reg[1]_rep__1 (aw_cmd_fsm_0_n_10), + .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), + .\axlen_cnt_reg[6] (cmd_translator_0_n_10), + .\cnt_read_reg[0]_rep__0 (b_push), .incr_next_pending(incr_next_pending), .m_axi_awaddr(m_axi_awaddr), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[39] (aw_cmd_fsm_0_n_5), - .\m_payload_i_reg[39]_0 (aw_cmd_fsm_0_n_9), + .\m_payload_i_reg[39] (aw_cmd_fsm_0_n_10), + .\m_payload_i_reg[39]_0 (aw_cmd_fsm_0_n_13), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), - .\m_payload_i_reg[47]_0 (Q[18:0]), - .\m_payload_i_reg[47]_1 ({\m_payload_i_reg[47]_0 ,\wrap_cmd_0/axaddr_offset }), + .\m_payload_i_reg[47]_0 ({axaddr_offset,\axaddr_offset_r_reg[0] }), + .\m_payload_i_reg[51] (Q[22:0]), .\m_payload_i_reg[6] (D), - .m_valid_i_reg(aw_cmd_fsm_0_n_11), - .m_valid_i_reg_0(aw_cmd_fsm_0_n_3), + .m_valid_i_reg(aw_cmd_fsm_0_n_19), + .next(next), .next_pending_r_reg(cmd_translator_0_n_0), - .next_pending_r_reg_0(cmd_translator_0_n_1), - .next_pending_r_reg_1(cmd_translator_0_n_11), .sel_first__0(sel_first__0), .sel_first_i(sel_first_i), .sel_first_reg_0(cmd_translator_0_n_2), - .sel_first_reg_1(aw_cmd_fsm_0_n_24), - .sel_first_reg_2(aw_cmd_fsm_0_n_27), - .sel_first_reg_3(aw_cmd_fsm_0_n_28), + .sel_first_reg_1(aw_cmd_fsm_0_n_22), + .sel_first_reg_2(aw_cmd_fsm_0_n_24), + .sel_first_reg_3(aw_cmd_fsm_0_n_25), .si_rs_awvalid(si_rs_awvalid), - .\state_reg[0]_rep (cmd_translator_0_n_12), - .\state_reg[0]_rep_0 (b_push), + .\state_reg[0] (aw_cmd_fsm_0_n_9), + .\state_reg[0]_rep (cmd_translator_0_n_11), .\state_reg[1] (state), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3] (\wrap_cmd_0/wrap_second_len_r ), - .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len ), - .\wrap_second_len_r_reg[3]_1 (wrap_cnt)); + .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len )); FDRE \s_awid_r_reg[0] (.C(aclk), .CE(1'b1), - .D(Q[19]), - .Q(in[4]), + .D(Q[23]), + .Q(in[8]), .R(1'b0)); FDRE \s_awid_r_reg[10] (.C(aclk), .CE(1'b1), - .D(Q[29]), - .Q(in[14]), + .D(Q[33]), + .Q(in[18]), .R(1'b0)); FDRE \s_awid_r_reg[11] (.C(aclk), .CE(1'b1), - .D(Q[30]), - .Q(in[15]), + .D(Q[34]), + .Q(in[19]), .R(1'b0)); FDRE \s_awid_r_reg[1] (.C(aclk), .CE(1'b1), - .D(Q[20]), - .Q(in[5]), + .D(Q[24]), + .Q(in[9]), .R(1'b0)); FDRE \s_awid_r_reg[2] (.C(aclk), .CE(1'b1), - .D(Q[21]), - .Q(in[6]), + .D(Q[25]), + .Q(in[10]), .R(1'b0)); FDRE \s_awid_r_reg[3] (.C(aclk), .CE(1'b1), - .D(Q[22]), - .Q(in[7]), + .D(Q[26]), + .Q(in[11]), .R(1'b0)); FDRE \s_awid_r_reg[4] (.C(aclk), .CE(1'b1), - .D(Q[23]), - .Q(in[8]), + .D(Q[27]), + .Q(in[12]), .R(1'b0)); FDRE \s_awid_r_reg[5] (.C(aclk), .CE(1'b1), - .D(Q[24]), - .Q(in[9]), + .D(Q[28]), + .Q(in[13]), .R(1'b0)); FDRE \s_awid_r_reg[6] (.C(aclk), .CE(1'b1), - .D(Q[25]), - .Q(in[10]), + .D(Q[29]), + .Q(in[14]), .R(1'b0)); FDRE \s_awid_r_reg[7] (.C(aclk), .CE(1'b1), - .D(Q[26]), - .Q(in[11]), + .D(Q[30]), + .Q(in[15]), .R(1'b0)); FDRE \s_awid_r_reg[8] (.C(aclk), .CE(1'b1), - .D(Q[27]), - .Q(in[12]), + .D(Q[31]), + .Q(in[16]), .R(1'b0)); FDRE \s_awid_r_reg[9] (.C(aclk), .CE(1'b1), - .D(Q[28]), - .Q(in[13]), + .D(Q[32]), + .Q(in[17]), .R(1'b0)); FDRE \s_awlen_r_reg[0] (.C(aclk), @@ -1773,6 +1753,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel .D(Q[18]), .Q(in[3]), .R(1'b0)); + FDRE \s_awlen_r_reg[4] + (.C(aclk), + .CE(1'b1), + .D(Q[19]), + .Q(in[4]), + .R(1'b0)); + FDRE \s_awlen_r_reg[5] + (.C(aclk), + .CE(1'b1), + .D(Q[20]), + .Q(in[5]), + .R(1'b0)); + FDRE \s_awlen_r_reg[6] + (.C(aclk), + .CE(1'b1), + .D(Q[21]), + .Q(in[6]), + .R(1'b0)); + FDRE \s_awlen_r_reg[7] + (.C(aclk), + .CE(1'b1), + .D(Q[22]), + .Q(in[7]), + .R(1'b0)); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_b_channel" *) @@ -1805,20 +1809,19 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel input m_axi_bvalid; input areset_d1; input si_rs_bready; - input [15:0]in; + input [19:0]in; input [1:0]m_axi_bresp; wire aclk; wire areset_d1; wire b_push; - wire bid_fifo_0_n_5; wire \bresp_cnt[7]_i_3_n_0 ; wire [7:0]bresp_cnt_reg__0; + wire bresp_fifo_0_n_2; wire bresp_push; - wire [1:0]cnt_read; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; - wire [15:0]in; + wire [19:0]in; wire m_axi_bready; wire [1:0]m_axi_bresp; wire m_axi_bvalid; @@ -1845,30 +1848,26 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .areset_d1(areset_d1), .b_push(b_push), .bresp_push(bresp_push), - .bvalid_i_reg(bid_fifo_0_n_5), .\cnt_read_reg[0]_rep__0_0 (\cnt_read_reg[0]_rep__0 ), - .\cnt_read_reg[1]_0 (cnt_read), .\cnt_read_reg[1]_rep__1_0 (\cnt_read_reg[1]_rep__1 ), .in(in), .mhandshake_r(mhandshake_r), .out(out), .shandshake_r(shandshake_r), - .si_rs_bready(si_rs_bready), - .si_rs_bvalid(si_rs_bvalid), .\state_reg[0]_rep (\state_reg[0]_rep )); LUT1 #( .INIT(2'h1)) \bresp_cnt[0]_i_1 (.I0(bresp_cnt_reg__0[0]), .O(p_0_in[0])); - (* SOFT_HLUTNM = "soft_lutpair113" *) + (* SOFT_HLUTNM = "soft_lutpair117" *) LUT2 #( .INIT(4'h6)) \bresp_cnt[1]_i_1 (.I0(bresp_cnt_reg__0[0]), .I1(bresp_cnt_reg__0[1]), .O(p_0_in[1])); - (* SOFT_HLUTNM = "soft_lutpair113" *) + (* SOFT_HLUTNM = "soft_lutpair117" *) LUT3 #( .INIT(8'h6A)) \bresp_cnt[2]_i_1 @@ -1876,7 +1875,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I1(bresp_cnt_reg__0[1]), .I2(bresp_cnt_reg__0[0]), .O(p_0_in[2])); - (* SOFT_HLUTNM = "soft_lutpair111" *) + (* SOFT_HLUTNM = "soft_lutpair115" *) LUT4 #( .INIT(16'h6AAA)) \bresp_cnt[3]_i_1 @@ -1885,7 +1884,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I2(bresp_cnt_reg__0[1]), .I3(bresp_cnt_reg__0[2]), .O(p_0_in[3])); - (* SOFT_HLUTNM = "soft_lutpair111" *) + (* SOFT_HLUTNM = "soft_lutpair115" *) LUT5 #( .INIT(32'h6AAAAAAA)) \bresp_cnt[4]_i_1 @@ -1905,14 +1904,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I4(bresp_cnt_reg__0[2]), .I5(bresp_cnt_reg__0[4]), .O(p_0_in[5])); - (* SOFT_HLUTNM = "soft_lutpair112" *) + (* SOFT_HLUTNM = "soft_lutpair116" *) LUT2 #( .INIT(4'h6)) \bresp_cnt[6]_i_1 (.I0(bresp_cnt_reg__0[6]), .I1(\bresp_cnt[7]_i_3_n_0 ), .O(p_0_in[6])); - (* SOFT_HLUTNM = "soft_lutpair112" *) + (* SOFT_HLUTNM = "soft_lutpair116" *) LUT3 #( .INIT(8'h6A)) \bresp_cnt[7]_i_2 @@ -1979,21 +1978,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .Q(bresp_cnt_reg__0[7]), .R(s_bresp_acc0)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0 bresp_fifo_0 - (.Q(cnt_read), - .aclk(aclk), + (.aclk(aclk), .areset_d1(areset_d1), .bresp_push(bresp_push), + .bvalid_i_reg(bresp_fifo_0_n_2), + .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), + .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), .in({\s_bresp_acc_reg_n_0_[1] ,\s_bresp_acc_reg_n_0_[0] }), .m_axi_bready(m_axi_bready), .m_axi_bvalid(m_axi_bvalid), .mhandshake(mhandshake), .mhandshake_r(mhandshake_r), .shandshake_r(shandshake_r), + .si_rs_bready(si_rs_bready), + .si_rs_bvalid(si_rs_bvalid), .\skid_buffer_reg[1] (\skid_buffer_reg[1] )); FDRE bvalid_i_reg (.C(aclk), .CE(1'b1), - .D(bid_fifo_0_n_5), + .D(bresp_fifo_0_n_2), .Q(si_rs_bvalid), .R(1'b0)); FDRE mhandshake_r_reg @@ -2043,22 +2046,20 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_cmd_translator" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator (next_pending_r_reg, - next_pending_r_reg_0, + wrap_next_pending, sel_first_reg_0, \axaddr_incr_reg[3] , \axaddr_incr_reg[11] , sel_first__0, Q, - \axlen_cnt_reg[3] , - next_pending_r_reg_1, + \axlen_cnt_reg[6] , \state_reg[0]_rep , m_axi_awaddr, - \axaddr_offset_r_reg[3] , \wrap_second_len_r_reg[3] , + \axaddr_offset_r_reg[3] , S, incr_next_pending, aclk, - wrap_next_pending, sel_first_i, \m_payload_i_reg[39] , \m_payload_i_reg[39]_0 , @@ -2068,38 +2069,38 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator sel_first_reg_3, \m_payload_i_reg[47] , E, - \m_payload_i_reg[47]_0 , + \m_payload_i_reg[51] , CO, + next, + \m_payload_i_reg[46] , \state_reg[1] , si_rs_awvalid, - \cnt_read_reg[1]_rep__1 , \m_payload_i_reg[11] , \m_payload_i_reg[38] , - m_valid_i_reg, D, - m_valid_i_reg_0, - \m_payload_i_reg[47]_1 , + \axaddr_offset_r_reg[3]_0 , + \axaddr_offset_r_reg[1] , + m_valid_i_reg, + \state_reg[0] , + \m_payload_i_reg[47]_0 , \wrap_second_len_r_reg[3]_0 , - \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] , - \state_reg[0]_rep_0 ); + \cnt_read_reg[0]_rep__0 ); output next_pending_r_reg; - output next_pending_r_reg_0; + output wrap_next_pending; output sel_first_reg_0; output [3:0]\axaddr_incr_reg[3] ; output \axaddr_incr_reg[11] ; output sel_first__0; output [0:0]Q; - output \axlen_cnt_reg[3] ; - output next_pending_r_reg_1; + output \axlen_cnt_reg[6] ; output \state_reg[0]_rep ; output [11:0]m_axi_awaddr; - output [3:0]\axaddr_offset_r_reg[3] ; output [3:0]\wrap_second_len_r_reg[3] ; + output [3:0]\axaddr_offset_r_reg[3] ; output [3:0]S; input incr_next_pending; input aclk; - input wrap_next_pending; input sel_first_i; input \m_payload_i_reg[39] ; input \m_payload_i_reg[39]_0 ; @@ -2109,24 +2110,26 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator input sel_first_reg_3; input \m_payload_i_reg[47] ; input [0:0]E; - input [18:0]\m_payload_i_reg[47]_0 ; + input [22:0]\m_payload_i_reg[51] ; input [0:0]CO; + input next; + input \m_payload_i_reg[46] ; input [1:0]\state_reg[1] ; input si_rs_awvalid; - input \cnt_read_reg[1]_rep__1 ; input [7:0]\m_payload_i_reg[11] ; input \m_payload_i_reg[38] ; + input [2:0]D; + input \axaddr_offset_r_reg[3]_0 ; + input \axaddr_offset_r_reg[1] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input m_valid_i_reg_0; - input [3:0]\m_payload_i_reg[47]_1 ; + input [0:0]\state_reg[0] ; + input [3:0]\m_payload_i_reg[47]_0 ; input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [3:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; - input \state_reg[0]_rep_0 ; + input \cnt_read_reg[0]_rep__0 ; wire [0:0]CO; - wire [0:0]D; + wire [2:0]D; wire [0:0]E; wire [3:0]O; wire [0:0]Q; @@ -2135,24 +2138,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator wire [11:4]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; wire axaddr_incr_reg_11__s_net_1; + wire \axaddr_offset_r_reg[1] ; wire [3:0]\axaddr_offset_r_reg[3] ; - wire \axlen_cnt_reg[3] ; - wire \cnt_read_reg[1]_rep__1 ; + wire \axaddr_offset_r_reg[3]_0 ; + wire \axlen_cnt_reg[6] ; + wire \cnt_read_reg[0]_rep__0 ; wire incr_next_pending; wire [11:0]m_axi_awaddr; wire [7:0]\m_payload_i_reg[11] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[39] ; wire \m_payload_i_reg[39]_0 ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [18:0]\m_payload_i_reg[47]_0 ; - wire [3:0]\m_payload_i_reg[47]_1 ; + wire [3:0]\m_payload_i_reg[47]_0 ; + wire [22:0]\m_payload_i_reg[51] ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; - wire m_valid_i_reg_0; + wire next; wire next_pending_r_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; wire s_axburst_eq0; wire s_axburst_eq1; wire sel_first__0; @@ -2162,18 +2166,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator wire sel_first_reg_2; wire sel_first_reg_3; wire si_rs_awvalid; + wire [0:0]\state_reg[0] ; wire \state_reg[0]_rep ; - wire \state_reg[0]_rep_0 ; wire [1:0]\state_reg[1] ; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - wire [3:0]\wrap_second_len_r_reg[3]_1 ; assign \axaddr_incr_reg[11] = axaddr_incr_reg_11__s_net_1; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd incr_cmd_0 (.CO(CO), - .D(D), .E(E), .O(O), .Q(Q), @@ -2182,23 +2184,23 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11]_0 (axaddr_incr_reg_11__s_net_1), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3] ), - .\axlen_cnt_reg[3]_0 (\axlen_cnt_reg[3] ), + .\axlen_cnt_reg[6]_0 (\axlen_cnt_reg[6] ), + .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), .incr_next_pending(incr_next_pending), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), - .\m_payload_i_reg[46] ({\m_payload_i_reg[47]_0 [17:16],\m_payload_i_reg[47]_0 [13:12],\m_payload_i_reg[47]_0 [3:0]}), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), + .\m_payload_i_reg[51] ({\m_payload_i_reg[51] [22:19],\m_payload_i_reg[51] [17:16],\m_payload_i_reg[51] [13:12],\m_payload_i_reg[51] [3:0]}), .m_valid_i_reg(m_valid_i_reg), - .m_valid_i_reg_0(m_valid_i_reg_0), .next_pending_r_reg_0(next_pending_r_reg), .sel_first_reg_0(sel_first_reg_1), .sel_first_reg_1(sel_first_reg_2), - .\state_reg[0]_rep (\state_reg[0]_rep_0 ), + .\state_reg[0] (\state_reg[0] ), .\state_reg[1] (\state_reg[1] )); LUT3 #( .INIT(8'hB8)) \memory_reg[3][0]_srl4_i_2 (.I0(s_axburst_eq1), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq0), .O(\state_reg[0]_rep )); FDRE s_axburst_eq0_reg @@ -2220,28 +2222,29 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator .Q(sel_first_reg_0), .R(1'b0)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd wrap_cmd_0 - (.E(E), + (.D(D), + .E(E), .aclk(aclk), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .\axaddr_offset_r_reg[1]_0 (\axaddr_offset_r_reg[1] ), .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3] ), - .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), + .\axaddr_offset_r_reg[3]_1 (\axaddr_offset_r_reg[3]_0 ), .m_axi_awaddr(m_axi_awaddr), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_1 ), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), + .\m_payload_i_reg[47] (\m_payload_i_reg[51] [18:0]), + .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_0 ), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), .m_valid_i_reg(m_valid_i_reg), - .next_pending_r_reg_0(next_pending_r_reg_0), - .next_pending_r_reg_1(next_pending_r_reg_1), + .next(next), .sel_first_reg_0(sel_first__0), .sel_first_reg_1(sel_first_reg_3), .si_rs_awvalid(si_rs_awvalid), .\state_reg[1] (\state_reg[1] ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3] ), - .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 ), - .\wrap_second_len_r_reg[3]_2 (\wrap_second_len_r_reg[3]_1 )); + .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_cmd_translator" *) @@ -2252,14 +2255,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ \axaddr_incr_reg[3] , \axaddr_incr_reg[11] , sel_first_reg_1, - Q, - \axlen_cnt_reg[1] , next_pending_r_reg_0, r_rlast, - \state_reg[0]_rep , + \state_reg[1]_rep , m_axi_araddr, - \wrap_second_len_r_reg[3] , \axaddr_offset_r_reg[3] , + \wrap_second_len_r_reg[3] , S, aclk, wrap_next_pending, @@ -2271,24 +2272,22 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ sel_first_reg_3, sel_first_reg_4, \m_payload_i_reg[47] , - E, - \m_payload_i_reg[47]_0 , - \state_reg[1] , + Q, si_rs_arvalid, + \m_payload_i_reg[51] , + E, CO, - \state_reg[1]_rep , - \m_payload_i_reg[44] , + \state_reg[0]_rep , + \state_reg[1]_rep_0 , + \state_reg[1]_rep_1 , + \m_payload_i_reg[48] , \m_payload_i_reg[3] , \m_payload_i_reg[11] , \m_payload_i_reg[38] , - \axaddr_offset_r_reg[3]_0 , - \m_payload_i_reg[35] , m_valid_i_reg, + axaddr_offset, D, - \state_reg[1]_0 , - \m_payload_i_reg[47]_1 , \wrap_second_len_r_reg[3]_0 , - \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] , m_axi_arready); output incr_next_pending; @@ -2297,14 +2296,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ output [3:0]\axaddr_incr_reg[3] ; output \axaddr_incr_reg[11] ; output sel_first_reg_1; - output [0:0]Q; - output \axlen_cnt_reg[1] ; output next_pending_r_reg_0; output r_rlast; - output \state_reg[0]_rep ; + output \state_reg[1]_rep ; output [11:0]m_axi_araddr; - output [3:0]\wrap_second_len_r_reg[3] ; output [3:0]\axaddr_offset_r_reg[3] ; + output [3:0]\wrap_second_len_r_reg[3] ; output [3:0]S; input aclk; input wrap_next_pending; @@ -2316,53 +2313,48 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ input sel_first_reg_3; input sel_first_reg_4; input \m_payload_i_reg[47] ; - input [0:0]E; - input [18:0]\m_payload_i_reg[47]_0 ; - input [1:0]\state_reg[1] ; + input [1:0]Q; input si_rs_arvalid; + input [22:0]\m_payload_i_reg[51] ; + input [0:0]E; input [0:0]CO; - input \state_reg[1]_rep ; - input \m_payload_i_reg[44] ; + input \state_reg[0]_rep ; + input \state_reg[1]_rep_0 ; + input \state_reg[1]_rep_1 ; + input \m_payload_i_reg[48] ; input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input \m_payload_i_reg[38] ; - input \axaddr_offset_r_reg[3]_0 ; - input \m_payload_i_reg[35] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input \state_reg[1]_0 ; - input [3:0]\m_payload_i_reg[47]_1 ; + input [3:0]axaddr_offset; + input [3:0]D; input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [2:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; input m_axi_arready; wire [0:0]CO; - wire [0:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [0:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; wire [11:4]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; wire axaddr_incr_reg_11__s_net_1; + wire [3:0]axaddr_offset; wire [3:0]\axaddr_offset_r_reg[3] ; - wire \axaddr_offset_r_reg[3]_0 ; - wire \axlen_cnt_reg[1] ; wire incr_next_pending; wire [11:0]m_axi_araddr; wire m_axi_arready; wire [3:0]\m_payload_i_reg[11] ; - wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[39] ; wire \m_payload_i_reg[39]_0 ; wire [3:0]\m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; wire \m_payload_i_reg[47] ; - wire [18:0]\m_payload_i_reg[47]_0 ; - wire [3:0]\m_payload_i_reg[47]_1 ; + wire \m_payload_i_reg[48] ; + wire [22:0]\m_payload_i_reg[51] ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; wire next_pending_r_reg; @@ -2378,18 +2370,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ wire sel_first_reg_4; wire si_rs_arvalid; wire \state_reg[0]_rep ; - wire [1:0]\state_reg[1] ; - wire \state_reg[1]_0 ; wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; + wire \state_reg[1]_rep_1 ; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - wire [2:0]\wrap_second_len_r_reg[3]_1 ; assign \axaddr_incr_reg[11] = axaddr_incr_reg_11__s_net_1; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 incr_cmd_0 (.CO(CO), - .D(D), .E(E), .O(O), .Q(Q), @@ -2398,26 +2388,24 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11]_0 (axaddr_incr_reg_11__s_net_1), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3] ), - .\axlen_cnt_reg[1]_0 (\axlen_cnt_reg[1] ), .incr_next_pending(incr_next_pending), .m_axi_arready(m_axi_arready), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), - .\m_payload_i_reg[46] ({\m_payload_i_reg[47]_0 [17:16],\m_payload_i_reg[47]_0 [13:12],\m_payload_i_reg[47]_0 [3:0]}), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .\m_payload_i_reg[51] ({\m_payload_i_reg[51] [22:19],\m_payload_i_reg[51] [17:15],\m_payload_i_reg[51] [13:12],\m_payload_i_reg[51] [3:0]}), .m_valid_i_reg(m_valid_i_reg), .sel_first_reg_0(sel_first_reg_2), .sel_first_reg_1(sel_first_reg_3), - .\state_reg[1] (\state_reg[1]_0 ), - .\state_reg[1]_0 (\state_reg[1] ), - .\state_reg[1]_rep (\state_reg[1]_rep )); - (* SOFT_HLUTNM = "soft_lutpair8" *) + .si_rs_arvalid(si_rs_arvalid), + .\state_reg[1]_rep (\state_reg[1]_rep_1 )); + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT3 #( .INIT(8'h1D)) r_rlast_r_i_1 (.I0(s_axburst_eq0), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq1), .O(r_rlast)); FDRE s_axburst_eq0_reg @@ -2438,26 +2426,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .D(sel_first_i), .Q(sel_first_reg_0), .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT3 #( .INIT(8'hB8)) \state[1]_i_2 (.I0(s_axburst_eq1), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq0), - .O(\state_reg[0]_rep )); + .O(\state_reg[1]_rep )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wrap_cmd_0 - (.E(E), + (.D(D), + .E(E), .aclk(aclk), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .axaddr_offset(axaddr_offset), .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3] ), - .\axaddr_offset_r_reg[3]_1 (\axaddr_offset_r_reg[3]_0 ), .m_axi_araddr(m_axi_araddr), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_1 ), + .\m_payload_i_reg[47] (\m_payload_i_reg[51] [18:0]), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), .m_valid_i_reg(m_valid_i_reg), .next_pending_r_reg_0(next_pending_r_reg), @@ -2465,12 +2452,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .sel_first_reg_0(sel_first_reg_1), .sel_first_reg_1(sel_first_reg_4), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[1] (\state_reg[1] ), - .\state_reg[1]_rep (\state_reg[1]_rep ), + .\state_reg[0]_rep (\state_reg[0]_rep ), + .\state_reg[1]_rep (\state_reg[1]_rep_0 ), + .\state_reg[1]_rep_0 (\state_reg[1]_rep_1 ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3] ), - .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 ), - .\wrap_second_len_r_reg[3]_2 (\wrap_second_len_r_reg[3]_1 )); + .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_incr_cmd" *) @@ -2480,7 +2467,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd axaddr_incr_reg, \axaddr_incr_reg[11]_0 , Q, - \axlen_cnt_reg[3]_0 , + \axlen_cnt_reg[6]_0 , S, incr_next_pending, aclk, @@ -2490,19 +2477,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd \m_payload_i_reg[47] , CO, E, - \m_payload_i_reg[46] , + \m_payload_i_reg[51] , \m_payload_i_reg[11] , m_valid_i_reg, - D, - m_valid_i_reg_0, + \state_reg[0] , \state_reg[1] , - \state_reg[0]_rep ); + \cnt_read_reg[0]_rep__0 ); output next_pending_r_reg_0; output [3:0]\axaddr_incr_reg[3]_0 ; output [7:0]axaddr_incr_reg; output \axaddr_incr_reg[11]_0 ; output [0:0]Q; - output \axlen_cnt_reg[3]_0 ; + output \axlen_cnt_reg[6]_0 ; output [3:0]S; input incr_next_pending; input aclk; @@ -2512,16 +2498,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd input \m_payload_i_reg[47] ; input [0:0]CO; input [0:0]E; - input [7:0]\m_payload_i_reg[46] ; + input [11:0]\m_payload_i_reg[51] ; input [7:0]\m_payload_i_reg[11] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input m_valid_i_reg_0; + input [0:0]\state_reg[0] ; input [1:0]\state_reg[1] ; - input \state_reg[0]_rep ; + input \cnt_read_reg[0]_rep__0 ; wire [0:0]CO; - wire [0:0]D; wire [0:0]E; wire [3:0]O; wire [0:0]Q; @@ -2555,13 +2539,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd wire \axaddr_incr_reg[8]_i_1_n_7 ; wire \axlen_cnt[1]_i_1_n_0 ; wire \axlen_cnt[2]_i_1_n_0 ; - wire \axlen_cnt[3]_i_2_n_0 ; - wire \axlen_cnt[4]_i_1__0_n_0 ; - wire \axlen_cnt[5]_i_1__0_n_0 ; - wire \axlen_cnt[6]_i_1__0_n_0 ; + wire \axlen_cnt[3]_i_1_n_0 ; + wire \axlen_cnt[4]_i_1_n_0 ; + wire \axlen_cnt[4]_i_2_n_0 ; + wire \axlen_cnt[4]_i_3_n_0 ; + wire \axlen_cnt[4]_i_4_n_0 ; + wire \axlen_cnt[5]_i_1_n_0 ; + wire \axlen_cnt[6]_i_1_n_0 ; + wire \axlen_cnt[6]_i_2_n_0 ; wire \axlen_cnt[7]_i_2_n_0 ; - wire \axlen_cnt[7]_i_3__0_n_0 ; - wire \axlen_cnt_reg[3]_0 ; + wire \axlen_cnt[7]_i_3_n_0 ; + wire \axlen_cnt[7]_i_4_n_0 ; + wire \axlen_cnt_reg[6]_0 ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; @@ -2569,59 +2558,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd wire \axlen_cnt_reg_n_0_[5] ; wire \axlen_cnt_reg_n_0_[6] ; wire \axlen_cnt_reg_n_0_[7] ; + wire \cnt_read_reg[0]_rep__0 ; wire incr_next_pending; wire [7:0]\m_payload_i_reg[11] ; - wire [7:0]\m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; + wire [11:0]\m_payload_i_reg[51] ; wire [0:0]m_valid_i_reg; - wire m_valid_i_reg_0; - wire next_pending_r_i_5_n_0; wire next_pending_r_reg_0; wire sel_first_reg_0; wire sel_first_reg_1; - wire \state_reg[0]_rep ; + wire [0:0]\state_reg[0] ; wire [1:0]\state_reg[1] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED ; LUT6 #( - .INIT(64'h559AAAAAAAAAAAAA)) + .INIT(64'h6A6A6A6AAA6AAAAA)) \axaddr_incr[0]_i_15 - (.I0(\m_payload_i_reg[46] [3]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [3]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[3])); LUT6 #( - .INIT(64'h0000AAAA559AAAAA)) + .INIT(64'h262626262A262A2A)) \axaddr_incr[0]_i_16 - (.I0(\m_payload_i_reg[46] [2]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [5]), - .I5(\m_payload_i_reg[46] [4]), + (.I0(\m_payload_i_reg[51] [2]), + .I1(\m_payload_i_reg[51] [5]), + .I2(\m_payload_i_reg[51] [4]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[2])); LUT6 #( - .INIT(64'h00000000559AAAAA)) + .INIT(64'h060606060A060A0A)) \axaddr_incr[0]_i_17 - (.I0(\m_payload_i_reg[46] [1]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [1]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[1])); LUT6 #( - .INIT(64'h000000000000559A)) + .INIT(64'h0101010102010202)) \axaddr_incr[0]_i_18 - (.I0(\m_payload_i_reg[46] [0]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [0]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[0])); LUT3 #( .INIT(8'hB8)) @@ -2765,86 +2753,126 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd .D(\axaddr_incr_reg[8]_i_1_n_6 ), .Q(axaddr_incr_reg[5]), .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair109" *) LUT5 #( .INIT(32'hF88F8888)) \axlen_cnt[1]_i_1 (.I0(E), - .I1(\m_payload_i_reg[46] [6]), + .I1(\m_payload_i_reg[51] [6]), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(Q), - .I4(\axlen_cnt_reg[3]_0 ), + .I4(\axlen_cnt_reg[6]_0 ), .O(\axlen_cnt[1]_i_1_n_0 )); LUT6 #( .INIT(64'hF8F8F88F88888888)) \axlen_cnt[2]_i_1 (.I0(E), - .I1(\m_payload_i_reg[46] [7]), + .I1(\m_payload_i_reg[51] [7]), .I2(\axlen_cnt_reg_n_0_[2] ), .I3(Q), .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\axlen_cnt_reg[3]_0 ), + .I5(\axlen_cnt_reg[6]_0 ), .O(\axlen_cnt[2]_i_1_n_0 )); LUT6 #( .INIT(64'hAAA90000FFFFFFFF)) - \axlen_cnt[3]_i_2 + \axlen_cnt[3]_i_1 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(Q), - .I4(\axlen_cnt_reg[3]_0 ), + .I4(\axlen_cnt_reg[6]_0 ), .I5(\m_payload_i_reg[47] ), - .O(\axlen_cnt[3]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair105" *) - LUT5 #( - .INIT(32'hAAAAAAA9)) - \axlen_cnt[4]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[4]_i_1__0_n_0 )); + .O(\axlen_cnt[3]_i_1_n_0 )); LUT6 #( - .INIT(64'hAAAAAAAAAAAAAAA9)) - \axlen_cnt[5]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[5] ), - .I1(\axlen_cnt_reg_n_0_[3] ), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(Q), + .INIT(64'h888B8B8B8B888888)) + \axlen_cnt[4]_i_1 + (.I0(\m_payload_i_reg[51] [8]), + .I1(E), + .I2(\axlen_cnt[4]_i_2_n_0 ), + .I3(\axlen_cnt[4]_i_3_n_0 ), + .I4(\axlen_cnt[4]_i_4_n_0 ), .I5(\axlen_cnt_reg_n_0_[4] ), - .O(\axlen_cnt[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair106" *) - LUT3 #( - .INIT(8'hA6)) - \axlen_cnt[6]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[6] ), - .I1(\axlen_cnt[7]_i_3__0_n_0 ), - .I2(\axlen_cnt_reg_n_0_[5] ), - .O(\axlen_cnt[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair106" *) + .O(\axlen_cnt[4]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair110" *) LUT4 #( - .INIT(16'hA9AA)) - \axlen_cnt[7]_i_2 - (.I0(\axlen_cnt_reg_n_0_[7] ), - .I1(\axlen_cnt_reg_n_0_[5] ), + .INIT(16'h0002)) + \axlen_cnt[4]_i_2 + (.I0(\axlen_cnt[7]_i_4_n_0 ), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[4]_i_2_n_0 )); + LUT2 #( + .INIT(4'h1)) + \axlen_cnt[4]_i_3 + (.I0(\axlen_cnt_reg_n_0_[2] ), + .I1(\axlen_cnt_reg_n_0_[3] ), + .O(\axlen_cnt[4]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair109" *) + LUT2 #( + .INIT(4'h1)) + \axlen_cnt[4]_i_4 + (.I0(\axlen_cnt_reg_n_0_[1] ), + .I1(Q), + .O(\axlen_cnt[4]_i_4_n_0 )); + LUT5 #( + .INIT(32'h8FF88888)) + \axlen_cnt[5]_i_1 + (.I0(E), + .I1(\m_payload_i_reg[51] [9]), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt[6]_i_2_n_0 ), + .I4(\axlen_cnt_reg[6]_0 ), + .O(\axlen_cnt[5]_i_1_n_0 )); + LUT6 #( + .INIT(64'hF8F88FF888888888)) + \axlen_cnt[6]_i_1 + (.I0(E), + .I1(\m_payload_i_reg[51] [10]), .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt[7]_i_3__0_n_0 ), - .O(\axlen_cnt[7]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair105" *) + .I3(\axlen_cnt[6]_i_2_n_0 ), + .I4(\axlen_cnt_reg_n_0_[5] ), + .I5(\axlen_cnt_reg[6]_0 ), + .O(\axlen_cnt[6]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair111" *) LUT5 #( .INIT(32'h00000001)) - \axlen_cnt[7]_i_3__0 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[7]_i_3__0_n_0 )); + \axlen_cnt[6]_i_2 + (.I0(\axlen_cnt_reg_n_0_[1] ), + .I1(\axlen_cnt_reg_n_0_[4] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(Q), + .O(\axlen_cnt[6]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAAAAAAAACCCCC0CC)) + \axlen_cnt[7]_i_2 + (.I0(\m_payload_i_reg[51] [11]), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt[7]_i_3_n_0 ), + .I3(\axlen_cnt[7]_i_4_n_0 ), + .I4(Q), + .I5(E), + .O(\axlen_cnt[7]_i_2_n_0 )); + LUT2 #( + .INIT(4'hE)) + \axlen_cnt[7]_i_3 + (.I0(\axlen_cnt_reg_n_0_[5] ), + .I1(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[7]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair111" *) + LUT4 #( + .INIT(16'h0001)) + \axlen_cnt[7]_i_4 + (.I0(\axlen_cnt_reg_n_0_[3] ), + .I1(\axlen_cnt_reg_n_0_[2] ), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[1] ), + .O(\axlen_cnt[7]_i_4_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), - .D(D), + .D(\state_reg[0] ), .Q(Q), .R(1'b0)); FDRE \axlen_cnt_reg[1] @@ -2862,50 +2890,43 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_2_n_0 ), + .D(\axlen_cnt[3]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); FDRE \axlen_cnt_reg[4] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[4]_i_1__0_n_0 ), + .D(\axlen_cnt[4]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[4] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[5] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[5]_i_1__0_n_0 ), + .D(\axlen_cnt[5]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[5] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[6] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[6]_i_1__0_n_0 ), + .D(\axlen_cnt[6]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[6] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[7] (.C(aclk), .CE(m_valid_i_reg), .D(\axlen_cnt[7]_i_2_n_0 ), .Q(\axlen_cnt_reg_n_0_[7] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair110" *) LUT5 #( .INIT(32'h55545555)) - next_pending_r_i_2 + next_pending_r_i_3 (.I0(E), .I1(\axlen_cnt_reg_n_0_[6] ), .I2(\axlen_cnt_reg_n_0_[5] ), .I3(\axlen_cnt_reg_n_0_[7] ), - .I4(next_pending_r_i_5_n_0), - .O(\axlen_cnt_reg[3]_0 )); - LUT4 #( - .INIT(16'h0001)) - next_pending_r_i_5 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(\axlen_cnt_reg_n_0_[1] ), - .I2(\axlen_cnt_reg_n_0_[4] ), - .I3(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_i_5_n_0)); + .I4(\axlen_cnt[7]_i_4_n_0 ), + .O(\axlen_cnt_reg[6]_0 )); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), @@ -2926,56 +2947,49 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 \axaddr_incr_reg[3]_0 , axaddr_incr_reg, \axaddr_incr_reg[11]_0 , - Q, - \axlen_cnt_reg[1]_0 , S, aclk, sel_first_reg_0, O, sel_first_reg_1, \m_payload_i_reg[47] , + Q, + si_rs_arvalid, + \m_payload_i_reg[51] , E, CO, - \m_payload_i_reg[46] , \state_reg[1]_rep , - \m_payload_i_reg[44] , + \m_payload_i_reg[48] , \m_payload_i_reg[3] , \m_payload_i_reg[11] , m_valid_i_reg, - D, - \state_reg[1] , - m_axi_arready, - \state_reg[1]_0 ); + m_axi_arready); output incr_next_pending; output [3:0]\axaddr_incr_reg[3]_0 ; output [7:0]axaddr_incr_reg; output \axaddr_incr_reg[11]_0 ; - output [0:0]Q; - output \axlen_cnt_reg[1]_0 ; output [3:0]S; input aclk; input sel_first_reg_0; input [3:0]O; input sel_first_reg_1; input \m_payload_i_reg[47] ; + input [1:0]Q; + input si_rs_arvalid; + input [12:0]\m_payload_i_reg[51] ; input [0:0]E; input [0:0]CO; - input [7:0]\m_payload_i_reg[46] ; input \state_reg[1]_rep ; - input \m_payload_i_reg[44] ; + input \m_payload_i_reg[48] ; input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input \state_reg[1] ; input m_axi_arready; - input [1:0]\state_reg[1]_0 ; wire [0:0]CO; - wire [0:0]D; wire [0:0]E; wire [3:0]O; - wire [0:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; wire \axaddr_incr[4]_i_2__0_n_0 ; @@ -3004,15 +3018,20 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 wire \axaddr_incr_reg[8]_i_1__0_n_5 ; wire \axaddr_incr_reg[8]_i_1__0_n_6 ; wire \axaddr_incr_reg[8]_i_1__0_n_7 ; + wire \axlen_cnt[0]_i_1__1_n_0 ; wire \axlen_cnt[1]_i_1__1_n_0 ; wire \axlen_cnt[2]_i_1__1_n_0 ; - wire \axlen_cnt[3]_i_2__0_n_0 ; - wire \axlen_cnt[4]_i_1_n_0 ; - wire \axlen_cnt[5]_i_1_n_0 ; - wire \axlen_cnt[6]_i_1_n_0 ; + wire \axlen_cnt[3]_i_1__1_n_0 ; + wire \axlen_cnt[4]_i_1__0_n_0 ; + wire \axlen_cnt[4]_i_2__0_n_0 ; + wire \axlen_cnt[5]_i_1__0_n_0 ; + wire \axlen_cnt[6]_i_1__0_n_0 ; + wire \axlen_cnt[6]_i_2__0_n_0 ; + wire \axlen_cnt[6]_i_3_n_0 ; wire \axlen_cnt[7]_i_2__0_n_0 ; - wire \axlen_cnt[7]_i_3_n_0 ; - wire \axlen_cnt_reg[1]_0 ; + wire \axlen_cnt[7]_i_3__0_n_0 ; + wire \axlen_cnt[7]_i_4__0_n_0 ; + wire \axlen_cnt_reg_n_0_[0] ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; @@ -3024,59 +3043,57 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 wire m_axi_arready; wire [3:0]\m_payload_i_reg[11] ; wire [3:0]\m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; - wire [7:0]\m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; + wire \m_payload_i_reg[48] ; + wire [12:0]\m_payload_i_reg[51] ; wire [0:0]m_valid_i_reg; - wire next_pending_r_i_2__1_n_0; - wire next_pending_r_i_4__0_n_0; + wire next_pending_r_i_2__2_n_0; wire next_pending_r_reg_n_0; wire sel_first_reg_0; wire sel_first_reg_1; - wire \state_reg[1] ; - wire [1:0]\state_reg[1]_0 ; + wire si_rs_arvalid; wire \state_reg[1]_rep ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED ; LUT6 #( .INIT(64'hAAAA6AAAAAAAAAAA)) \axaddr_incr[0]_i_15 - (.I0(\m_payload_i_reg[46] [3]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [3]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[3])); LUT6 #( .INIT(64'h2A2A262A2A2A2A2A)) \axaddr_incr[0]_i_16 - (.I0(\m_payload_i_reg[46] [2]), - .I1(\m_payload_i_reg[46] [5]), - .I2(\m_payload_i_reg[46] [4]), + (.I0(\m_payload_i_reg[51] [2]), + .I1(\m_payload_i_reg[51] [5]), + .I2(\m_payload_i_reg[51] [4]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[2])); LUT6 #( .INIT(64'h0A0A060A0A0A0A0A)) \axaddr_incr[0]_i_17 - (.I0(\m_payload_i_reg[46] [1]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [1]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[1])); LUT6 #( .INIT(64'h0202010202020202)) \axaddr_incr[0]_i_18 - (.I0(\m_payload_i_reg[46] [0]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [0]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[0])); LUT3 #( .INIT(8'hB8)) @@ -3220,97 +3237,131 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 .D(\axaddr_incr_reg[8]_i_1__0_n_6 ), .Q(axaddr_incr_reg[5]), .R(1'b0)); + LUT6 #( + .INIT(64'h44444F4444444444)) + \axlen_cnt[0]_i_1__1 + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt[6]_i_3_n_0 ), + .I2(Q[1]), + .I3(si_rs_arvalid), + .I4(Q[0]), + .I5(\m_payload_i_reg[51] [6]), + .O(\axlen_cnt[0]_i_1__1_n_0 )); LUT5 #( .INIT(32'hF88F8888)) \axlen_cnt[1]_i_1__1 (.I0(E), - .I1(\m_payload_i_reg[46] [6]), + .I1(\m_payload_i_reg[51] [7]), .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(Q), - .I4(\axlen_cnt_reg[1]_0 ), + .I3(\axlen_cnt_reg_n_0_[0] ), + .I4(\axlen_cnt[6]_i_3_n_0 ), .O(\axlen_cnt[1]_i_1__1_n_0 )); LUT6 #( .INIT(64'hF8F8F88F88888888)) \axlen_cnt[2]_i_1__1 (.I0(E), - .I1(\m_payload_i_reg[46] [7]), + .I1(\m_payload_i_reg[51] [8]), .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(Q), + .I3(\axlen_cnt_reg_n_0_[0] ), .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\axlen_cnt_reg[1]_0 ), + .I5(\axlen_cnt[6]_i_3_n_0 ), .O(\axlen_cnt[2]_i_1__1_n_0 )); LUT6 #( .INIT(64'hAAA90000FFFFFFFF)) - \axlen_cnt[3]_i_2__0 + \axlen_cnt[3]_i_1__1 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(Q), - .I4(\axlen_cnt_reg[1]_0 ), + .I3(\axlen_cnt_reg_n_0_[0] ), + .I4(\axlen_cnt[6]_i_3_n_0 ), .I5(\m_payload_i_reg[47] ), - .O(\axlen_cnt[3]_i_2__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) + .O(\axlen_cnt[3]_i_1__1_n_0 )); + LUT6 #( + .INIT(64'hF88FF8F888888888)) + \axlen_cnt[4]_i_1__0 + (.I0(E), + .I1(\m_payload_i_reg[51] [9]), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt[4]_i_2__0_n_0 ), + .I5(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[4]_i_1__0_n_0 )); + LUT3 #( + .INIT(8'h01)) + \axlen_cnt[4]_i_2__0 + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .O(\axlen_cnt[4]_i_2__0_n_0 )); LUT5 #( - .INIT(32'h55545555)) - \axlen_cnt[3]_i_3__0 + .INIT(32'h8FF88888)) + \axlen_cnt[5]_i_1__0 (.I0(E), - .I1(\axlen_cnt_reg_n_0_[7] ), + .I1(\m_payload_i_reg[51] [10]), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt[6]_i_2__0_n_0 ), + .I4(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[5]_i_1__0_n_0 )); + LUT6 #( + .INIT(64'hF8F88FF888888888)) + \axlen_cnt[6]_i_1__0 + (.I0(E), + .I1(\m_payload_i_reg[51] [11]), .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt_reg_n_0_[5] ), - .I4(next_pending_r_i_4__0_n_0), - .O(\axlen_cnt_reg[1]_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'hAAAAAAA9)) - \axlen_cnt[4]_i_1 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(\axlen_cnt_reg_n_0_[3] ), - .I2(Q), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .O(\axlen_cnt[4]_i_1_n_0 )); - LUT6 #( - .INIT(64'hAAAAAAAAAAAAAAA9)) - \axlen_cnt[5]_i_1 - (.I0(\axlen_cnt_reg_n_0_[5] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[4] ), - .I5(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'h9A)) - \axlen_cnt[6]_i_1 - (.I0(\axlen_cnt_reg_n_0_[6] ), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt[7]_i_3_n_0 ), - .O(\axlen_cnt[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT4 #( - .INIT(16'hA9AA)) - \axlen_cnt[7]_i_2__0 - (.I0(\axlen_cnt_reg_n_0_[7] ), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt[7]_i_3_n_0 ), - .O(\axlen_cnt[7]_i_2__0_n_0 )); + .I3(\axlen_cnt[6]_i_2__0_n_0 ), + .I4(\axlen_cnt_reg_n_0_[5] ), + .I5(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[6]_i_1__0_n_0 )); (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( .INIT(32'h00000001)) - \axlen_cnt[7]_i_3 + \axlen_cnt[6]_i_2__0 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[4] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(Q), - .O(\axlen_cnt[7]_i_3_n_0 )); + .I4(\axlen_cnt_reg_n_0_[0] ), + .O(\axlen_cnt[6]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT5 #( + .INIT(32'h55545555)) + \axlen_cnt[6]_i_3 + (.I0(E), + .I1(\axlen_cnt_reg_n_0_[6] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[7] ), + .I4(\axlen_cnt[7]_i_4__0_n_0 ), + .O(\axlen_cnt[6]_i_3_n_0 )); + LUT6 #( + .INIT(64'hAAAAAAAACCCCC0CC)) + \axlen_cnt[7]_i_2__0 + (.I0(\m_payload_i_reg[51] [12]), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt[7]_i_3__0_n_0 ), + .I3(\axlen_cnt[7]_i_4__0_n_0 ), + .I4(\axlen_cnt_reg_n_0_[0] ), + .I5(E), + .O(\axlen_cnt[7]_i_2__0_n_0 )); + LUT2 #( + .INIT(4'hE)) + \axlen_cnt[7]_i_3__0 + (.I0(\axlen_cnt_reg_n_0_[5] ), + .I1(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[7]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h0001)) + \axlen_cnt[7]_i_4__0 + (.I0(\axlen_cnt_reg_n_0_[2] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .O(\axlen_cnt[7]_i_4__0_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), - .D(D), - .Q(Q), + .D(\axlen_cnt[0]_i_1__1_n_0 ), + .Q(\axlen_cnt_reg_n_0_[0] ), .R(1'b0)); FDRE \axlen_cnt_reg[1] (.C(aclk), @@ -3327,59 +3378,51 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_2__0_n_0 ), + .D(\axlen_cnt[3]_i_1__1_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); FDRE \axlen_cnt_reg[4] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[4]_i_1_n_0 ), + .D(\axlen_cnt[4]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[4] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[5] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[5]_i_1_n_0 ), + .D(\axlen_cnt[5]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[5] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[6] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[6]_i_1_n_0 ), + .D(\axlen_cnt[6]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[6] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[7] (.C(aclk), .CE(m_valid_i_reg), .D(\axlen_cnt[7]_i_2__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[7] ), - .R(\state_reg[1] )); + .R(1'b0)); LUT5 #( - .INIT(32'hFFFF505C)) + .INIT(32'h505CFF5C)) next_pending_r_i_1__2 - (.I0(next_pending_r_i_2__1_n_0), + (.I0(next_pending_r_i_2__2_n_0), .I1(next_pending_r_reg_n_0), .I2(\state_reg[1]_rep ), .I3(E), - .I4(\m_payload_i_reg[44] ), + .I4(\m_payload_i_reg[48] ), .O(incr_next_pending)); (* SOFT_HLUTNM = "soft_lutpair5" *) LUT4 #( .INIT(16'h0002)) - next_pending_r_i_2__1 - (.I0(next_pending_r_i_4__0_n_0), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt_reg_n_0_[7] ), - .O(next_pending_r_i_2__1_n_0)); - LUT4 #( - .INIT(16'h0001)) - next_pending_r_i_4__0 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(\axlen_cnt_reg_n_0_[1] ), - .I2(\axlen_cnt_reg_n_0_[4] ), - .I3(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_i_4__0_n_0)); + next_pending_r_i_2__2 + (.I0(\axlen_cnt[7]_i_4__0_n_0 ), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[6] ), + .O(next_pending_r_i_2__2_n_0)); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), @@ -3397,7 +3440,7 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_r_channel" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel (m_valid_i_reg, - \state_reg[1]_rep , + \state_reg[0]_rep , m_axi_rready, out, \skid_buffer_reg[46] , @@ -3410,7 +3453,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel areset_d1, D); output m_valid_i_reg; - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output m_axi_rready; output [33:0]out; output [12:0]\skid_buffer_reg[46] ; @@ -3438,7 +3481,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel wire rd_data_fifo_0_n_3; wire si_rs_rready; wire [12:0]\skid_buffer_reg[46] ; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire [12:0]trans_in; wire transaction_fifo_0_n_1; @@ -3529,7 +3572,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1 rd_data_fifo_0 (.aclk(aclk), .areset_d1(areset_d1), - .\cnt_read_reg[1]_rep__2_0 (rd_data_fifo_0_n_0), + .\cnt_read_reg[1]_rep__3_0 (rd_data_fifo_0_n_0), .\cnt_read_reg[2]_rep__0_0 (transaction_fifo_0_n_1), .in(in), .m_axi_rready(m_axi_rready), @@ -3537,7 +3580,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel .m_valid_i_reg(m_valid_i_reg), .out(out), .si_rs_rready(si_rs_rready), - .\state_reg[1]_rep (rd_data_fifo_0_n_3)); + .\state_reg[0]_rep (rd_data_fifo_0_n_3)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2 transaction_fifo_0 (.aclk(aclk), .areset_d1(areset_d1), @@ -3549,120 +3592,82 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel .s_ready_i_reg(rd_data_fifo_0_n_0), .si_rs_rready(si_rs_rready), .\skid_buffer_reg[46] (\skid_buffer_reg[46] ), - .\state_reg[1]_rep (\state_reg[1]_rep )); + .\state_reg[0]_rep (\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm - (\axlen_cnt_reg[7] , - Q, - D, - \axaddr_offset_r_reg[0] , - \axlen_cnt_reg[0] , - \wrap_second_len_r_reg[3] , - E, + (E, + \m_payload_i_reg[0] , + \m_payload_i_reg[0]_0 , s_axburst_eq0_reg, wrap_next_pending, sel_first_i, s_axburst_eq1_reg, r_push_r_reg, - \m_payload_i_reg[0] , - \m_payload_i_reg[0]_0 , \axlen_cnt_reg[3] , \axaddr_incr_reg[11] , m_axi_arvalid, m_valid_i0, \m_payload_i_reg[0]_1 , sel_first_reg, + Q, sel_first_reg_0, - m_axi_arready, si_rs_arvalid, - \axlen_cnt_reg[7]_0 , - \wrap_second_len_r_reg[3]_0 , - \axaddr_offset_r_reg[3] , - \cnt_read_reg[1]_rep__0 , - s_axburst_eq1_reg_0, - \m_payload_i_reg[44] , - \axlen_cnt_reg[0]_0 , - \wrap_second_len_r_reg[2] , - \m_payload_i_reg[35] , - \m_payload_i_reg[47] , - \m_payload_i_reg[35]_0 , - \axaddr_offset_r_reg[0]_0 , - \m_payload_i_reg[3] , + \m_payload_i_reg[39] , incr_next_pending, - \m_payload_i_reg[44]_0 , - \state_reg[0]_0 , + \m_payload_i_reg[46] , + \state_reg[0]_rep_0 , next_pending_r_reg, + m_axi_arready, areset_d1, sel_first_reg_1, sel_first_reg_2, + s_axburst_eq1_reg_0, + \cnt_read_reg[1]_rep__0 , s_axi_arvalid, s_ready_i_reg, sel_first_reg_3, aclk); - output \axlen_cnt_reg[7] ; - output [1:0]Q; - output [1:0]D; - output [0:0]\axaddr_offset_r_reg[0] ; - output [0:0]\axlen_cnt_reg[0] ; - output [1:0]\wrap_second_len_r_reg[3] ; output [0:0]E; + output \m_payload_i_reg[0] ; + output \m_payload_i_reg[0]_0 ; output s_axburst_eq0_reg; output wrap_next_pending; output sel_first_i; output s_axburst_eq1_reg; output r_push_r_reg; - output \m_payload_i_reg[0] ; - output \m_payload_i_reg[0]_0 ; output [0:0]\axlen_cnt_reg[3] ; output \axaddr_incr_reg[11] ; output m_axi_arvalid; output m_valid_i0; output [0:0]\m_payload_i_reg[0]_1 ; output sel_first_reg; + output [1:0]Q; output sel_first_reg_0; - input m_axi_arready; input si_rs_arvalid; - input \axlen_cnt_reg[7]_0 ; - input [1:0]\wrap_second_len_r_reg[3]_0 ; - input \axaddr_offset_r_reg[3] ; - input \cnt_read_reg[1]_rep__0 ; - input s_axburst_eq1_reg_0; - input [1:0]\m_payload_i_reg[44] ; - input [0:0]\axlen_cnt_reg[0]_0 ; - input [1:0]\wrap_second_len_r_reg[2] ; - input \m_payload_i_reg[35] ; - input [1:0]\m_payload_i_reg[47] ; - input \m_payload_i_reg[35]_0 ; - input [0:0]\axaddr_offset_r_reg[0]_0 ; - input \m_payload_i_reg[3] ; + input [0:0]\m_payload_i_reg[39] ; input incr_next_pending; - input \m_payload_i_reg[44]_0 ; - input \state_reg[0]_0 ; + input \m_payload_i_reg[46] ; + input \state_reg[0]_rep_0 ; input next_pending_r_reg; + input m_axi_arready; input areset_d1; input sel_first_reg_1; input sel_first_reg_2; + input s_axburst_eq1_reg_0; + input \cnt_read_reg[1]_rep__0 ; input s_axi_arvalid; input s_ready_i_reg; input sel_first_reg_3; input aclk; - wire [1:0]D; wire [0:0]E; wire [1:0]Q; wire aclk; wire areset_d1; wire \axaddr_incr_reg[11] ; - wire [0:0]\axaddr_offset_r_reg[0] ; - wire [0:0]\axaddr_offset_r_reg[0]_0 ; - wire \axaddr_offset_r_reg[3] ; - wire [0:0]\axlen_cnt_reg[0] ; - wire [0:0]\axlen_cnt_reg[0]_0 ; wire [0:0]\axlen_cnt_reg[3] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; wire \cnt_read_reg[1]_rep__0 ; wire incr_next_pending; wire m_axi_arready; @@ -3670,12 +3675,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm wire \m_payload_i_reg[0] ; wire \m_payload_i_reg[0]_0 ; wire [0:0]\m_payload_i_reg[0]_1 ; - wire \m_payload_i_reg[35] ; - wire \m_payload_i_reg[35]_0 ; - wire \m_payload_i_reg[3] ; - wire [1:0]\m_payload_i_reg[44] ; - wire \m_payload_i_reg[44]_0 ; - wire [1:0]\m_payload_i_reg[47] ; + wire [0:0]\m_payload_i_reg[39] ; + wire \m_payload_i_reg[46] ; wire m_valid_i0; wire next_pending_r_reg; wire [1:0]next_state; @@ -3692,14 +3693,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm wire sel_first_reg_2; wire sel_first_reg_3; wire si_rs_arvalid; - wire \state_reg[0]_0 ; - wire \wrap_cnt_r[3]_i_2__0_n_0 ; + wire \state_reg[0]_rep_0 ; wire wrap_next_pending; - wire [1:0]\wrap_second_len_r_reg[2] ; - wire [1:0]\wrap_second_len_r_reg[3] ; - wire [1:0]\wrap_second_len_r_reg[3]_0 ; - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'hAEAA)) \axaddr_incr[0]_i_1__0 @@ -3708,44 +3705,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I2(\m_payload_i_reg[0] ), .I3(m_axi_arready), .O(\axaddr_incr_reg[11] )); - LUT6 #( - .INIT(64'hAAAAACAAAAAAA0AA)) - \axaddr_offset_r[0]_i_1__0 - (.I0(\axaddr_offset_r_reg[0]_0 ), - .I1(\m_payload_i_reg[44] [1]), - .I2(Q[0]), - .I3(si_rs_arvalid), - .I4(Q[1]), - .I5(\m_payload_i_reg[3] ), - .O(\axaddr_offset_r_reg[0] )); - LUT6 #( - .INIT(64'h0400FFFF04000400)) - \axlen_cnt[0]_i_1__1 - (.I0(Q[1]), - .I1(si_rs_arvalid), - .I2(Q[0]), - .I3(\m_payload_i_reg[44] [1]), - .I4(\axlen_cnt_reg[0]_0 ), - .I5(\axlen_cnt_reg[7]_0 ), - .O(\axlen_cnt_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0E02)) - \axlen_cnt[3]_i_1__0 + \axlen_cnt[7]_i_1__0 (.I0(si_rs_arvalid), .I1(\m_payload_i_reg[0]_0 ), .I2(\m_payload_i_reg[0] ), .I3(m_axi_arready), .O(\axlen_cnt_reg[3] )); - LUT5 #( - .INIT(32'h00002320)) - \axlen_cnt[7]_i_1 - (.I0(m_axi_arready), - .I1(Q[1]), - .I2(Q[0]), - .I3(si_rs_arvalid), - .I4(\axlen_cnt_reg[7]_0 ), - .O(\axlen_cnt_reg[7] )); (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h2)) @@ -3761,7 +3729,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I1(\m_payload_i_reg[0]_0 ), .I2(si_rs_arvalid), .O(\m_payload_i_reg[0]_1 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair0" *) LUT5 #( .INIT(32'hFF70FFFF)) m_valid_i_i_1__1 @@ -3772,15 +3740,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I4(s_ready_i_reg), .O(m_valid_i0)); LUT5 #( - .INIT(32'hFFABEEAA)) + .INIT(32'hFF53DC50)) next_pending_r_i_1__1 - (.I0(\m_payload_i_reg[44]_0 ), + (.I0(\m_payload_i_reg[46] ), .I1(r_push_r_reg), .I2(E), - .I3(\state_reg[0]_0 ), + .I3(\state_reg[0]_rep_0 ), .I4(next_pending_r_reg), .O(wrap_next_pending)); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT3 #( .INIT(8'h20)) r_push_r_i_1 @@ -3788,21 +3756,21 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I1(\m_payload_i_reg[0] ), .I2(\m_payload_i_reg[0]_0 ), .O(r_push_r_reg)); - (* SOFT_HLUTNM = "soft_lutpair3" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'hFB08)) s_axburst_eq0_i_1__0 (.I0(wrap_next_pending), - .I1(\m_payload_i_reg[44] [0]), + .I1(\m_payload_i_reg[39] ), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq0_reg)); - (* SOFT_HLUTNM = "soft_lutpair3" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'hABA8)) s_axburst_eq1_i_1__0 (.I0(wrap_next_pending), - .I1(\m_payload_i_reg[44] [0]), + .I1(\m_payload_i_reg[39] ), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq1_reg)); @@ -3837,16 +3805,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I5(areset_d1), .O(sel_first_reg_0)); LUT6 #( - .INIT(64'h0000CFFFCCCC8888)) + .INIT(64'h0F000F00FF70F070)) \state[0]_i_1__0 - (.I0(si_rs_arvalid), - .I1(\cnt_read_reg[1]_rep__0 ), - .I2(s_axburst_eq1_reg_0), - .I3(m_axi_arready), - .I4(Q[1]), - .I5(Q[0]), + (.I0(m_axi_arready), + .I1(s_axburst_eq1_reg_0), + .I2(\m_payload_i_reg[0]_0 ), + .I3(\cnt_read_reg[1]_rep__0 ), + .I4(si_rs_arvalid), + .I5(\m_payload_i_reg[0] ), .O(next_state[0])); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT5 #( .INIT(32'h00337000)) \state[1]_i_1__0 @@ -3888,62 +3856,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .D(next_state[1]), .Q(\m_payload_i_reg[0] ), .R(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair0" *) LUT3 #( .INIT(8'h04)) - \wrap_boundary_axaddr_r[11]_i_1 + \wrap_boundary_axaddr_r[11]_i_1__0 (.I0(\m_payload_i_reg[0] ), .I1(si_rs_arvalid), .I2(\m_payload_i_reg[0]_0 ), .O(E)); - LUT6 #( - .INIT(64'h5575AA8A5545AA8A)) - \wrap_cnt_r[0]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(Q[0]), - .I2(si_rs_arvalid), - .I3(Q[1]), - .I4(\axaddr_offset_r_reg[3] ), - .I5(\axaddr_offset_r_reg[0] ), - .O(D[0])); - LUT4 #( - .INIT(16'hA6AA)) - \wrap_cnt_r[3]_i_1__0 - (.I0(\wrap_second_len_r_reg[3] [1]), - .I1(\wrap_second_len_r_reg[2] [0]), - .I2(\wrap_cnt_r[3]_i_2__0_n_0 ), - .I3(\wrap_second_len_r_reg[2] [1]), - .O(D[1])); - LUT6 #( - .INIT(64'hDD11DD11DD11DDF1)) - \wrap_cnt_r[3]_i_2__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(E), - .I2(\m_payload_i_reg[35] ), - .I3(\axaddr_offset_r_reg[0] ), - .I4(\m_payload_i_reg[47] [0]), - .I5(\m_payload_i_reg[47] [1]), - .O(\wrap_cnt_r[3]_i_2__0_n_0 )); - LUT6 #( - .INIT(64'hAA8AAA8AAABAAA8A)) - \wrap_second_len_r[0]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(Q[0]), - .I2(si_rs_arvalid), - .I3(Q[1]), - .I4(\axaddr_offset_r_reg[3] ), - .I5(\axaddr_offset_r_reg[0] ), - .O(\wrap_second_len_r_reg[3] [0])); - LUT6 #( - .INIT(64'hFB00FFFFFB00FB00)) - \wrap_second_len_r[3]_i_1__0 - (.I0(\axaddr_offset_r_reg[0] ), - .I1(\m_payload_i_reg[35] ), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[35]_0 ), - .I4(E), - .I5(\wrap_second_len_r_reg[3]_0 [1]), - .O(\wrap_second_len_r_reg[3] [1])); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) @@ -3953,16 +3873,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo \state_reg[0]_rep , SR, bresp_push, - bvalid_i_reg, out, b_push, shandshake_r, areset_d1, - Q, mhandshake_r, - si_rs_bready, - si_rs_bvalid, - \cnt_read_reg[1]_0 , + Q, in, aclk); output \cnt_read_reg[0]_rep__0_0 ; @@ -3970,17 +3886,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo output \state_reg[0]_rep ; output [0:0]SR; output bresp_push; - output bvalid_i_reg; output [11:0]out; input b_push; input shandshake_r; input areset_d1; - input [7:0]Q; input mhandshake_r; - input si_rs_bready; - input si_rs_bvalid; - input [1:0]\cnt_read_reg[1]_0 ; - input [15:0]in; + input [7:0]Q; + input [19:0]in; input aclk; wire [7:0]Q; @@ -3989,22 +3901,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo wire areset_d1; wire b_push; wire bresp_push; - wire bvalid_i_i_2_n_0; - wire bvalid_i_reg; wire [1:1]cnt_read; wire \cnt_read[0]_i_1_n_0 ; wire [1:0]cnt_read_0; wire \cnt_read_reg[0]_rep__0_0 ; wire \cnt_read_reg[0]_rep_n_0 ; - wire [1:0]\cnt_read_reg[1]_0 ; wire \cnt_read_reg[1]_rep__0_n_0 ; wire \cnt_read_reg[1]_rep__1_0 ; wire \cnt_read_reg[1]_rep_n_0 ; - wire [15:0]in; + wire [19:0]in; wire \memory_reg[3][0]_srl4_i_2__0_n_0 ; wire \memory_reg[3][0]_srl4_i_3_n_0 ; wire \memory_reg[3][0]_srl4_i_4_n_0 ; - wire \memory_reg[3][0]_srl4_i_5_n_0 ; wire \memory_reg[3][0]_srl4_n_0 ; wire \memory_reg[3][1]_srl4_n_0 ; wire \memory_reg[3][2]_srl4_n_0 ; @@ -4016,37 +3924,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo wire mhandshake_r; wire [11:0]out; wire shandshake_r; - wire si_rs_bready; - wire si_rs_bvalid; wire \state_reg[0]_rep ; - (* SOFT_HLUTNM = "soft_lutpair108" *) LUT2 #( .INIT(4'hE)) \bresp_cnt[7]_i_1 (.I0(areset_d1), .I1(bresp_push), .O(SR)); - (* SOFT_HLUTNM = "soft_lutpair108" *) - LUT4 #( - .INIT(16'h002A)) - bvalid_i_i_1 - (.I0(bvalid_i_i_2_n_0), - .I1(si_rs_bready), - .I2(si_rs_bvalid), - .I3(areset_d1), - .O(bvalid_i_reg)); - LUT6 #( - .INIT(64'hFFFFFFFF00070707)) - bvalid_i_i_2 - (.I0(\cnt_read_reg[0]_rep__0_0 ), - .I1(\cnt_read_reg[1]_rep__1_0 ), - .I2(shandshake_r), - .I3(\cnt_read_reg[1]_0 [1]), - .I4(\cnt_read_reg[1]_0 [0]), - .I5(si_rs_bvalid), - .O(bvalid_i_i_2_n_0)); - (* SOFT_HLUTNM = "soft_lutpair107" *) + (* SOFT_HLUTNM = "soft_lutpair112" *) LUT3 #( .INIT(8'h96)) \cnt_read[0]_i_1 @@ -4054,7 +3940,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .I1(b_push), .I2(shandshake_r), .O(\cnt_read[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair107" *) + (* SOFT_HLUTNM = "soft_lutpair112" *) LUT4 #( .INIT(16'hE718)) \cnt_read[1]_i_1 @@ -4133,54 +4019,43 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .D(in[0]), .Q(\memory_reg[3][0]_srl4_n_0 )); LUT6 #( - .INIT(64'h0000000000000090)) + .INIT(64'h0000000700000000)) \memory_reg[3][0]_srl4_i_1__0 - (.I0(Q[7]), - .I1(\memory_reg[3][7]_srl4_n_0 ), + (.I0(\cnt_read_reg[1]_rep__1_0 ), + .I1(\cnt_read_reg[0]_rep__0_0 ), .I2(\memory_reg[3][0]_srl4_i_2__0_n_0 ), .I3(\memory_reg[3][0]_srl4_i_3_n_0 ), .I4(\memory_reg[3][0]_srl4_i_4_n_0 ), - .I5(\memory_reg[3][0]_srl4_i_5_n_0 ), + .I5(mhandshake_r), .O(bresp_push)); - LUT5 #( - .INIT(32'h82820082)) - \memory_reg[3][0]_srl4_i_2__0 - (.I0(mhandshake_r), - .I1(\memory_reg[3][6]_srl4_n_0 ), - .I2(Q[6]), - .I3(\memory_reg[3][5]_srl4_n_0 ), - .I4(Q[5]), - .O(\memory_reg[3][0]_srl4_i_2__0_n_0 )); LUT6 #( - .INIT(64'h2FF22FF2FFFF2FF2)) + .INIT(64'h6FF6FFFFFFFF6FF6)) + \memory_reg[3][0]_srl4_i_2__0 + (.I0(\memory_reg[3][0]_srl4_n_0 ), + .I1(Q[0]), + .I2(Q[2]), + .I3(\memory_reg[3][2]_srl4_n_0 ), + .I4(Q[1]), + .I5(\memory_reg[3][1]_srl4_n_0 ), + .O(\memory_reg[3][0]_srl4_i_2__0_n_0 )); + LUT6 #( + .INIT(64'h6FF6FFFFFFFF6FF6)) \memory_reg[3][0]_srl4_i_3 - (.I0(\memory_reg[3][1]_srl4_n_0 ), - .I1(Q[1]), - .I2(\memory_reg[3][2]_srl4_n_0 ), - .I3(Q[2]), - .I4(Q[4]), - .I5(\memory_reg[3][4]_srl4_n_0 ), + (.I0(\memory_reg[3][3]_srl4_n_0 ), + .I1(Q[3]), + .I2(Q[4]), + .I3(\memory_reg[3][4]_srl4_n_0 ), + .I4(Q[5]), + .I5(\memory_reg[3][5]_srl4_n_0 ), .O(\memory_reg[3][0]_srl4_i_3_n_0 )); - LUT6 #( - .INIT(64'hF222FFFFFFFFF222)) + LUT4 #( + .INIT(16'h6FF6)) \memory_reg[3][0]_srl4_i_4 - (.I0(Q[1]), - .I1(\memory_reg[3][1]_srl4_n_0 ), - .I2(\cnt_read_reg[0]_rep__0_0 ), - .I3(\cnt_read_reg[1]_rep__1_0 ), - .I4(\memory_reg[3][0]_srl4_n_0 ), - .I5(Q[0]), + (.I0(\memory_reg[3][7]_srl4_n_0 ), + .I1(Q[7]), + .I2(\memory_reg[3][6]_srl4_n_0 ), + .I3(Q[6]), .O(\memory_reg[3][0]_srl4_i_4_n_0 )); - LUT6 #( - .INIT(64'h2FF22FF2FFFF2FF2)) - \memory_reg[3][0]_srl4_i_5 - (.I0(Q[5]), - .I1(\memory_reg[3][5]_srl4_n_0 ), - .I2(Q[3]), - .I3(\memory_reg[3][3]_srl4_n_0 ), - .I4(\memory_reg[3][4]_srl4_n_0 ), - .I5(Q[4]), - .O(\memory_reg[3][0]_srl4_i_5_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 " *) SRL16E #( @@ -4192,7 +4067,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[6]), + .D(in[10]), .Q(out[2])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 " *) @@ -4205,7 +4080,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[7]), + .D(in[11]), .Q(out[3])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 " *) @@ -4218,7 +4093,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[8]), + .D(in[12]), .Q(out[4])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 " *) @@ -4231,7 +4106,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[9]), + .D(in[13]), .Q(out[5])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 " *) @@ -4244,7 +4119,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[10]), + .D(in[14]), .Q(out[6])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 " *) @@ -4257,7 +4132,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[11]), + .D(in[15]), .Q(out[7])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 " *) @@ -4270,7 +4145,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[12]), + .D(in[16]), .Q(out[8])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 " *) @@ -4283,7 +4158,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[13]), + .D(in[17]), .Q(out[9])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 " *) @@ -4296,7 +4171,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[14]), + .D(in[18]), .Q(out[10])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 " *) @@ -4309,7 +4184,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[15]), + .D(in[19]), .Q(out[11])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 " *) @@ -4361,7 +4236,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[4]), .Q(\memory_reg[3][4]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 " *) @@ -4374,7 +4249,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[5]), .Q(\memory_reg[3][5]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 " *) @@ -4387,7 +4262,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[6]), .Q(\memory_reg[3][6]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 " *) @@ -4400,7 +4275,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[7]), .Q(\memory_reg[3][7]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 " *) @@ -4413,7 +4288,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[4]), + .D(in[8]), .Q(out[0])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 " *) @@ -4426,7 +4301,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[5]), + .D(in[9]), .Q(out[1])); LUT2 #( .INIT(4'h2)) @@ -4438,80 +4313,112 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0 - (Q, - mhandshake, + (mhandshake, m_axi_bready, + bvalid_i_reg, \skid_buffer_reg[1] , bresp_push, shandshake_r, m_axi_bvalid, mhandshake_r, + si_rs_bready, + si_rs_bvalid, + areset_d1, + \cnt_read_reg[0]_rep__0 , + \cnt_read_reg[1]_rep__1 , in, - aclk, - areset_d1); - output [1:0]Q; + aclk); output mhandshake; output m_axi_bready; + output bvalid_i_reg; output [1:0]\skid_buffer_reg[1] ; input bresp_push; input shandshake_r; input m_axi_bvalid; input mhandshake_r; + input si_rs_bready; + input si_rs_bvalid; + input areset_d1; + input \cnt_read_reg[0]_rep__0 ; + input \cnt_read_reg[1]_rep__1 ; input [1:0]in; input aclk; - input areset_d1; - wire [1:0]Q; wire aclk; wire areset_d1; wire bresp_push; + wire bvalid_i_i_2_n_0; + wire bvalid_i_reg; + wire [1:0]cnt_read; wire \cnt_read[0]_i_1__0_n_0 ; wire \cnt_read[1]_i_1__0_n_0 ; + wire \cnt_read_reg[0]_rep__0 ; + wire \cnt_read_reg[1]_rep__1 ; wire [1:0]in; wire m_axi_bready; wire m_axi_bvalid; wire mhandshake; wire mhandshake_r; wire shandshake_r; + wire si_rs_bready; + wire si_rs_bvalid; wire [1:0]\skid_buffer_reg[1] ; - (* SOFT_HLUTNM = "soft_lutpair110" *) + LUT4 #( + .INIT(16'h002A)) + bvalid_i_i_1 + (.I0(bvalid_i_i_2_n_0), + .I1(si_rs_bready), + .I2(si_rs_bvalid), + .I3(areset_d1), + .O(bvalid_i_reg)); + LUT6 #( + .INIT(64'hFFFFFFFF00151515)) + bvalid_i_i_2 + (.I0(shandshake_r), + .I1(cnt_read[1]), + .I2(cnt_read[0]), + .I3(\cnt_read_reg[0]_rep__0 ), + .I4(\cnt_read_reg[1]_rep__1 ), + .I5(si_rs_bvalid), + .O(bvalid_i_i_2_n_0)); + (* SOFT_HLUTNM = "soft_lutpair113" *) LUT3 #( .INIT(8'h96)) \cnt_read[0]_i_1__0 - (.I0(Q[0]), + (.I0(cnt_read[0]), .I1(bresp_push), .I2(shandshake_r), .O(\cnt_read[0]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair110" *) + (* SOFT_HLUTNM = "soft_lutpair113" *) LUT4 #( .INIT(16'hE718)) \cnt_read[1]_i_1__0 - (.I0(Q[0]), + (.I0(cnt_read[0]), .I1(bresp_push), .I2(shandshake_r), - .I3(Q[1]), + .I3(cnt_read[1]), .O(\cnt_read[1]_i_1__0_n_0 )); (* KEEP = "yes" *) FDSE \cnt_read_reg[0] (.C(aclk), .CE(1'b1), .D(\cnt_read[0]_i_1__0_n_0 ), - .Q(Q[0]), + .Q(cnt_read[0]), .S(areset_d1)); (* KEEP = "yes" *) FDSE \cnt_read_reg[1] (.C(aclk), .CE(1'b1), .D(\cnt_read[1]_i_1__0_n_0 ), - .Q(Q[1]), + .Q(cnt_read[1]), .S(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair109" *) + (* SOFT_HLUTNM = "soft_lutpair114" *) LUT3 #( .INIT(8'h08)) m_axi_bready_INST_0 - (.I0(Q[1]), - .I1(Q[0]), + (.I0(cnt_read[1]), + .I1(cnt_read[0]), .I2(mhandshake_r), .O(m_axi_bready)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] " *) @@ -4519,8 +4426,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa SRL16E #( .INIT(16'h0000)) \memory_reg[3][0]_srl4 - (.A0(Q[0]), - .A1(Q[1]), + (.A0(cnt_read[0]), + .A1(cnt_read[1]), .A2(1'b0), .A3(1'b0), .CE(bresp_push), @@ -4532,31 +4439,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa SRL16E #( .INIT(16'h0000)) \memory_reg[3][1]_srl4 - (.A0(Q[0]), - .A1(Q[1]), + (.A0(cnt_read[0]), + .A1(cnt_read[1]), .A2(1'b0), .A3(1'b0), .CE(bresp_push), .CLK(aclk), .D(in[1]), .Q(\skid_buffer_reg[1] [1])); - (* SOFT_HLUTNM = "soft_lutpair109" *) + (* SOFT_HLUTNM = "soft_lutpair114" *) LUT4 #( .INIT(16'h2000)) mhandshake_r_i_1 (.I0(m_axi_bvalid), .I1(mhandshake_r), - .I2(Q[0]), - .I3(Q[1]), + .I2(cnt_read[0]), + .I3(cnt_read[1]), .O(mhandshake)); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1 - (\cnt_read_reg[1]_rep__2_0 , + (\cnt_read_reg[1]_rep__3_0 , m_valid_i_reg, m_axi_rready, - \state_reg[1]_rep , + \state_reg[0]_rep , out, si_rs_rready, m_axi_rvalid, @@ -4564,10 +4471,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa in, aclk, areset_d1); - output \cnt_read_reg[1]_rep__2_0 ; + output \cnt_read_reg[1]_rep__3_0 ; output m_valid_i_reg; output m_axi_rready; - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output [33:0]out; input si_rs_rready; input m_axi_rvalid; @@ -4583,6 +4490,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \cnt_read[1]_i_1__1_n_0 ; wire \cnt_read[2]_i_1_n_0 ; wire \cnt_read[3]_i_1_n_0 ; + wire \cnt_read[3]_i_2_n_0 ; wire \cnt_read[4]_i_1_n_0 ; wire \cnt_read[4]_i_2_n_0 ; wire \cnt_read[4]_i_3_n_0 ; @@ -4593,8 +4501,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \cnt_read_reg[0]_rep_n_0 ; wire \cnt_read_reg[1]_rep__0_n_0 ; wire \cnt_read_reg[1]_rep__1_n_0 ; - wire \cnt_read_reg[1]_rep__2_0 ; wire \cnt_read_reg[1]_rep__2_n_0 ; + wire \cnt_read_reg[1]_rep__3_0 ; + wire \cnt_read_reg[1]_rep__3_n_0 ; wire \cnt_read_reg[1]_rep_n_0 ; wire \cnt_read_reg[2]_rep__0_0 ; wire \cnt_read_reg[2]_rep__0_n_0 ; @@ -4615,7 +4524,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire m_valid_i_reg; wire [33:0]out; wire si_rs_rready; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire wr_en0; wire \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED ; @@ -4653,48 +4562,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED ; LUT3 #( - .INIT(8'h69)) + .INIT(8'h96)) \cnt_read[0]_i_1__1 - (.I0(\cnt_read_reg[0]_rep__3_n_0 ), - .I1(\cnt_read_reg[1]_rep__2_0 ), - .I2(wr_en0), + (.I0(\cnt_read_reg[0]_rep__2_n_0 ), + .I1(\cnt_read_reg[1]_rep__3_0 ), + .I2(\cnt_read[3]_i_2_n_0 ), .O(\cnt_read[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( - .INIT(16'h7E81)) + .INIT(16'hE718)) \cnt_read[1]_i_1__1 (.I0(\cnt_read_reg[0]_rep__2_n_0 ), - .I1(\cnt_read_reg[1]_rep__2_0 ), - .I2(wr_en0), + .I1(\cnt_read_reg[1]_rep__3_0 ), + .I2(\cnt_read[3]_i_2_n_0 ), .I3(\cnt_read_reg[1]_rep__2_n_0 ), .O(\cnt_read[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT5 #( - .INIT(32'h7FFE8001)) + .INIT(32'hFE7F0180)) \cnt_read[2]_i_1 (.I0(\cnt_read_reg[1]_rep__2_n_0 ), .I1(\cnt_read_reg[0]_rep__2_n_0 ), - .I2(\cnt_read_reg[1]_rep__2_0 ), - .I3(wr_en0), + .I2(\cnt_read_reg[1]_rep__3_0 ), + .I3(\cnt_read[3]_i_2_n_0 ), .I4(\cnt_read_reg[2]_rep__2_n_0 ), .O(\cnt_read[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h7FFFFFFE80000001)) + .INIT(64'hDFFFFFFB20000004)) \cnt_read[3]_i_1 (.I0(\cnt_read_reg[1]_rep__2_n_0 ), - .I1(wr_en0), - .I2(\cnt_read_reg[1]_rep__2_0 ), + .I1(\cnt_read[3]_i_2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_0 ), .I3(\cnt_read_reg[0]_rep__2_n_0 ), .I4(\cnt_read_reg[2]_rep__2_n_0 ), .I5(\cnt_read_reg[3]_rep__2_n_0 ), .O(\cnt_read[3]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT6 #( + .INIT(64'h08808880FFFFFFFF)) + \cnt_read[3]_i_2 + (.I0(\cnt_read_reg[4]_rep__2_n_0 ), + .I1(\cnt_read_reg[3]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), + .I3(\cnt_read_reg[2]_rep__2_n_0 ), + .I4(\cnt_read_reg[0]_rep__3_n_0 ), + .I5(m_axi_rvalid), + .O(\cnt_read[3]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'hB)) - \cnt_read[3]_i_2 + \cnt_read[3]_i_3 (.I0(m_valid_i_reg), .I1(si_rs_rready), - .O(\cnt_read_reg[1]_rep__2_0 )); + .O(\cnt_read_reg[1]_rep__3_0 )); LUT5 #( .INIT(32'h9AA69AAA)) \cnt_read[4]_i_1 @@ -4705,22 +4624,22 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I4(\cnt_read[4]_i_3_n_0 ), .O(\cnt_read[4]_i_1_n_0 )); LUT5 #( - .INIT(32'h75FFFFFF)) + .INIT(32'hFFFF7F77)) \cnt_read[4]_i_2 - (.I0(\cnt_read_reg[1]_rep__2_n_0 ), - .I1(m_valid_i_reg), - .I2(si_rs_rready), - .I3(wr_en0), - .I4(\cnt_read_reg[0]_rep__2_n_0 ), + (.I0(\cnt_read_reg[1]_rep__3_n_0 ), + .I1(\cnt_read_reg[0]_rep__3_n_0 ), + .I2(m_valid_i_reg), + .I3(si_rs_rready), + .I4(\cnt_read[3]_i_2_n_0 ), .O(\cnt_read[4]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT5 #( - .INIT(32'h00000010)) + .INIT(32'h00000400)) \cnt_read[4]_i_3 - (.I0(\cnt_read_reg[0]_rep__3_n_0 ), - .I1(m_valid_i_reg), - .I2(si_rs_rready), - .I3(wr_en0), + (.I0(\cnt_read_reg[0]_rep__2_n_0 ), + .I1(si_rs_rready), + .I2(m_valid_i_reg), + .I3(\cnt_read[3]_i_2_n_0 ), .I4(\cnt_read_reg[1]_rep__2_n_0 ), .O(\cnt_read[4]_i_3_n_0 )); (* KEEP = "yes" *) @@ -4812,6 +4731,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .Q(\cnt_read_reg[1]_rep__2_n_0 ), .S(areset_d1)); (* KEEP = "yes" *) + (* ORIG_CELL_NAME = "cnt_read_reg[1]" *) + FDSE \cnt_read_reg[1]_rep__3 + (.C(aclk), + .CE(1'b1), + .D(\cnt_read[1]_i_1__1_n_0 ), + .Q(\cnt_read_reg[1]_rep__3_n_0 ), + .S(areset_d1)); + (* KEEP = "yes" *) (* ORIG_CELL_NAME = "cnt_read_reg[2]" *) FDSE \cnt_read_reg[2] (.C(aclk), @@ -4945,9 +4872,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa m_valid_i_i_2 (.I0(\cnt_read_reg[3]_rep__2_n_0 ), .I1(\cnt_read_reg[4]_rep__2_n_0 ), - .I2(\cnt_read_reg[0]_rep__3_n_0 ), - .I3(\cnt_read_reg[2]_rep__2_n_0 ), - .I4(\cnt_read_reg[1]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), + .I3(\cnt_read_reg[0]_rep__3_n_0 ), + .I4(\cnt_read_reg[2]_rep__2_n_0 ), .I5(\cnt_read_reg[2]_rep__0_0 ), .O(m_valid_i_reg)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] " *) @@ -4962,14 +4889,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .Q(out[0]), .Q31(\NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED )); LUT6 #( - .INIT(64'hAA2A2AAA2A2A2AAA)) + .INIT(64'h800AAAAAAAAAAAAA)) \memory_reg[31][0]_srl32_i_1 (.I0(m_axi_rvalid), - .I1(\cnt_read_reg[4]_rep__2_n_0 ), - .I2(\cnt_read_reg[3]_rep__2_n_0 ), - .I3(\cnt_read_reg[1]_rep__2_n_0 ), - .I4(\cnt_read_reg[2]_rep__2_n_0 ), - .I5(\cnt_read_reg[0]_rep__3_n_0 ), + .I1(\cnt_read_reg[0]_rep__3_n_0 ), + .I2(\cnt_read_reg[2]_rep__2_n_0 ), + .I3(\cnt_read_reg[1]_rep__3_n_0 ), + .I4(\cnt_read_reg[3]_rep__2_n_0 ), + .I5(\cnt_read_reg[4]_rep__2_n_0 ), .O(wr_en0)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 " *) @@ -5339,15 +5266,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa \state[1]_i_4 (.I0(\cnt_read_reg[0]_rep__3_n_0 ), .I1(\cnt_read_reg[2]_rep__2_n_0 ), - .I2(\cnt_read_reg[1]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), .I3(\cnt_read_reg[3]_rep__2_n_0 ), .I4(\cnt_read_reg[4]_rep__2_n_0 ), - .O(\state_reg[1]_rep )); + .O(\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2 - (\state_reg[1]_rep , + (\state_reg[0]_rep , m_valid_i_reg, \skid_buffer_reg[46] , s_ready_i_reg, @@ -5358,7 +5285,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa in, aclk, areset_d1); - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output m_valid_i_reg; output [12:0]\skid_buffer_reg[46] ; input s_ready_i_reg; @@ -5399,7 +5326,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire s_ready_i_reg; wire si_rs_rready; wire [12:0]\skid_buffer_reg[46] ; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED ; @@ -5414,7 +5341,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT3 #( .INIT(8'h69)) \cnt_read[0]_i_1__2 @@ -5422,7 +5349,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I1(s_ready_i_reg), .I2(r_push_r), .O(\cnt_read[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT4 #( .INIT(16'h7E81)) \cnt_read[1]_i_1__2 @@ -5766,97 +5693,93 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I3(\cnt_read_reg[2]_rep__0_n_0 ), .I4(\cnt_read_reg[4]_rep__0_n_0 ), .I5(\cnt_read_reg[3]_rep__0_n_0 ), - .O(\state_reg[1]_rep )); + .O(\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm - (E, - \axlen_cnt_reg[7] , - \axlen_cnt_reg[7]_0 , - \axlen_cnt_reg[7]_1 , - \axlen_cnt_reg[7]_2 , + (D, + \axaddr_offset_r_reg[0] , + Q, + E, + \state_reg[1]_rep_0 , + \state_reg[1]_rep_1 , + \axlen_cnt_reg[0] , s_axburst_eq0_reg, - wrap_next_pending, sel_first_i, incr_next_pending, s_axburst_eq1_reg, - next_pending_r_reg, - \axlen_cnt_reg[3] , - \axaddr_offset_r_reg[0] , - D, - \wrap_cnt_r_reg[3] , + next, \wrap_second_len_r_reg[3] , - axaddr_offset, - \axaddr_incr_reg[11] , + \axlen_cnt_reg[3] , \m_payload_i_reg[0] , m_axi_awvalid, + \axaddr_incr_reg[11] , + \m_payload_i_reg[0]_0 , sel_first_reg, sel_first_reg_0, + \axaddr_offset_r_reg[3] , si_rs_awvalid, - \axlen_cnt_reg[6] , - Q, - next_pending_r_reg_0, + \wrap_second_len_r_reg[3]_0 , \m_payload_i_reg[44] , - \state_reg[1]_0 , - next_pending_r_reg_1, - \axlen_cnt_reg[0] , + \axlen_cnt_reg[0]_0 , + \axlen_cnt_reg[6] , + wrap_next_pending, + \m_payload_i_reg[48] , + next_pending_r_reg, \axaddr_offset_r_reg[1] , - \m_payload_i_reg[47] , + axaddr_offset, \m_payload_i_reg[35] , - \wrap_second_len_r_reg[3]_0 , - \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \m_payload_i_reg[3] , areset_d1, sel_first_reg_1, - \cnt_read_reg[1]_rep__1 , s_axburst_eq1_reg_0, + \cnt_read_reg[1]_rep__1 , m_axi_awready, sel_first_reg_2, \cnt_read_reg[1]_rep__1_0 , \cnt_read_reg[0]_rep__0 , sel_first__0, aclk); + output [2:0]D; + output [0:0]\axaddr_offset_r_reg[0] ; + output [1:0]Q; output [0:0]E; - output \axlen_cnt_reg[7] ; - output \axlen_cnt_reg[7]_0 ; - output \axlen_cnt_reg[7]_1 ; - output \axlen_cnt_reg[7]_2 ; + output \state_reg[1]_rep_0 ; + output \state_reg[1]_rep_1 ; + output [0:0]\axlen_cnt_reg[0] ; output s_axburst_eq0_reg; - output wrap_next_pending; output sel_first_i; output incr_next_pending; output s_axburst_eq1_reg; - output next_pending_r_reg; - output [0:0]\axlen_cnt_reg[3] ; - output [1:0]\axaddr_offset_r_reg[0] ; - output [0:0]D; - output [3:0]\wrap_cnt_r_reg[3] ; + output next; output [3:0]\wrap_second_len_r_reg[3] ; - output [0:0]axaddr_offset; - output \axaddr_incr_reg[11] ; - output [0:0]\m_payload_i_reg[0] ; + output [0:0]\axlen_cnt_reg[3] ; + output \m_payload_i_reg[0] ; output m_axi_awvalid; + output \axaddr_incr_reg[11] ; + output [0:0]\m_payload_i_reg[0]_0 ; output sel_first_reg; output sel_first_reg_0; + input \axaddr_offset_r_reg[3] ; input si_rs_awvalid; + input [3:0]\wrap_second_len_r_reg[3]_0 ; + input [1:0]\m_payload_i_reg[44] ; + input [0:0]\axlen_cnt_reg[0]_0 ; input \axlen_cnt_reg[6] ; - input [1:0]Q; - input next_pending_r_reg_0; - input \m_payload_i_reg[44] ; - input \state_reg[1]_0 ; - input next_pending_r_reg_1; - input [0:0]\axlen_cnt_reg[0] ; + input wrap_next_pending; + input \m_payload_i_reg[48] ; + input next_pending_r_reg; input \axaddr_offset_r_reg[1] ; - input [1:0]\m_payload_i_reg[47] ; + input [1:0]axaddr_offset; input \m_payload_i_reg[35] ; - input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [1:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \m_payload_i_reg[3] ; input areset_d1; input sel_first_reg_1; - input \cnt_read_reg[1]_rep__1 ; input s_axburst_eq1_reg_0; + input \cnt_read_reg[1]_rep__1 ; input m_axi_awready; input sel_first_reg_2; input \cnt_read_reg[1]_rep__1_0 ; @@ -5864,37 +5787,35 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm input sel_first__0; input aclk; - wire [0:0]D; + wire [2:0]D; wire [0:0]E; wire [1:0]Q; wire aclk; wire areset_d1; wire \axaddr_incr_reg[11] ; - wire [0:0]axaddr_offset; - wire [1:0]\axaddr_offset_r_reg[0] ; + wire [1:0]axaddr_offset; + wire [0:0]\axaddr_offset_r_reg[0] ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; - wire [1:0]\axaddr_offset_r_reg[3] ; + wire \axaddr_offset_r_reg[3] ; wire [0:0]\axlen_cnt_reg[0] ; + wire [0:0]\axlen_cnt_reg[0]_0 ; wire [0:0]\axlen_cnt_reg[3] ; wire \axlen_cnt_reg[6] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; - wire \axlen_cnt_reg[7]_1 ; - wire \axlen_cnt_reg[7]_2 ; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; wire \cnt_read_reg[1]_rep__1_0 ; wire incr_next_pending; wire m_axi_awready; wire m_axi_awvalid; - wire [0:0]\m_payload_i_reg[0] ; + wire \m_payload_i_reg[0] ; + wire [0:0]\m_payload_i_reg[0]_0 ; wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; - wire [1:0]\m_payload_i_reg[47] ; + wire [1:0]\m_payload_i_reg[44] ; + wire \m_payload_i_reg[48] ; + wire next; wire next_pending_r_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; wire [1:0]next_state; wire s_axburst_eq0_reg; wire s_axburst_eq1_reg; @@ -5906,129 +5827,108 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm wire sel_first_reg_1; wire sel_first_reg_2; wire si_rs_awvalid; - wire \state_reg[1]_0 ; + wire \state_reg[1]_rep_0 ; + wire \state_reg[1]_rep_1 ; wire \wrap_cnt_r[3]_i_2_n_0 ; - wire [3:0]\wrap_cnt_r_reg[3] ; wire wrap_next_pending; - wire \wrap_second_len_r[0]_i_2_n_0 ; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - (* SOFT_HLUTNM = "soft_lutpair103" *) + (* SOFT_HLUTNM = "soft_lutpair106" *) LUT4 #( .INIT(16'hEEFE)) \axaddr_incr[0]_i_1 (.I0(sel_first_reg_2), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\axlen_cnt_reg[7]_0 ), + .I1(\m_payload_i_reg[0] ), + .I2(\state_reg[1]_rep_0 ), + .I3(\state_reg[1]_rep_1 ), .O(\axaddr_incr_reg[11] )); LUT6 #( .INIT(64'hAAAAACAAAAAAA0AA)) \axaddr_offset_r[0]_i_1 - (.I0(\axaddr_offset_r_reg[3] [0]), - .I1(Q[1]), - .I2(\axaddr_offset_r_reg[0] [1]), + (.I0(\axaddr_offset_r_reg[0]_0 ), + .I1(\m_payload_i_reg[44] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\m_payload_i_reg[3] ), - .O(axaddr_offset)); + .O(\axaddr_offset_r_reg[0] )); LUT6 #( .INIT(64'h0400FFFF04000400)) \axlen_cnt[0]_i_1 - (.I0(\axaddr_offset_r_reg[0] [0]), + (.I0(Q[0]), .I1(si_rs_awvalid), - .I2(\axaddr_offset_r_reg[0] [1]), - .I3(Q[1]), - .I4(\axlen_cnt_reg[0] ), + .I2(Q[1]), + .I3(\m_payload_i_reg[44] [1]), + .I4(\axlen_cnt_reg[0]_0 ), .I5(\axlen_cnt_reg[6] ), - .O(D)); - (* SOFT_HLUTNM = "soft_lutpair104" *) + .O(\axlen_cnt_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair106" *) LUT4 #( .INIT(16'hCCFE)) - \axlen_cnt[3]_i_1 + \axlen_cnt[7]_i_1 (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axaddr_offset_r_reg[0] [1]), - .I3(\axaddr_offset_r_reg[0] [0]), + .I1(\m_payload_i_reg[0] ), + .I2(\state_reg[1]_rep_0 ), + .I3(\state_reg[1]_rep_1 ), .O(\axlen_cnt_reg[3] )); - (* SOFT_HLUTNM = "soft_lutpair101" *) - LUT5 #( - .INIT(32'h0000CCFE)) - \axlen_cnt[7]_i_1__0 - (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\axlen_cnt_reg[7]_0 ), - .I4(\axlen_cnt_reg[6] ), - .O(\axlen_cnt_reg[7]_1 )); - (* SOFT_HLUTNM = "soft_lutpair103" *) + (* SOFT_HLUTNM = "soft_lutpair108" *) LUT2 #( .INIT(4'h2)) m_axi_awvalid_INST_0 - (.I0(\axlen_cnt_reg[7]_0 ), - .I1(\axlen_cnt_reg[7] ), + (.I0(\state_reg[1]_rep_1 ), + .I1(\state_reg[1]_rep_0 ), .O(m_axi_awvalid)); - (* SOFT_HLUTNM = "soft_lutpair104" *) LUT2 #( .INIT(4'hB)) \m_payload_i[31]_i_1 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(si_rs_awvalid), - .O(\m_payload_i_reg[0] )); + .O(\m_payload_i_reg[0]_0 )); LUT6 #( - .INIT(64'hA000A0A0A800A8A8)) + .INIT(64'hCFCF000045000000)) \memory_reg[3][0]_srl4_i_1 - (.I0(\axlen_cnt_reg[7]_0 ), - .I1(m_axi_awready), - .I2(\axlen_cnt_reg[7] ), - .I3(\cnt_read_reg[0]_rep__0 ), - .I4(\cnt_read_reg[1]_rep__1_0 ), - .I5(s_axburst_eq1_reg_0), - .O(\axlen_cnt_reg[7]_2 )); + (.I0(s_axburst_eq1_reg_0), + .I1(\cnt_read_reg[0]_rep__0 ), + .I2(\cnt_read_reg[1]_rep__1_0 ), + .I3(m_axi_awready), + .I4(\state_reg[1]_rep_1 ), + .I5(\state_reg[1]_rep_0 ), + .O(\m_payload_i_reg[0] )); LUT5 #( - .INIT(32'hFFFF44F0)) + .INIT(32'hB8BBB888)) next_pending_r_i_1 - (.I0(E), - .I1(next_pending_r_reg_0), + (.I0(\m_payload_i_reg[48] ), + .I1(E), .I2(\axlen_cnt_reg[6] ), - .I3(next_pending_r_reg), - .I4(\m_payload_i_reg[44] ), + .I3(next), + .I4(next_pending_r_reg), .O(incr_next_pending)); - LUT5 #( - .INIT(32'hFFAEBBAA)) - next_pending_r_i_1__0 - (.I0(\m_payload_i_reg[44] ), - .I1(next_pending_r_reg), - .I2(E), - .I3(\state_reg[1]_0 ), - .I4(next_pending_r_reg_1), - .O(wrap_next_pending)); LUT6 #( - .INIT(64'h0CAE0CFF00FF00FF)) - next_pending_r_i_3 - (.I0(s_axburst_eq1_reg_0), - .I1(\cnt_read_reg[1]_rep__1_0 ), - .I2(\cnt_read_reg[0]_rep__0 ), - .I3(\axlen_cnt_reg[7] ), - .I4(m_axi_awready), - .I5(\axlen_cnt_reg[7]_0 ), - .O(next_pending_r_reg)); - (* SOFT_HLUTNM = "soft_lutpair102" *) + .INIT(64'hAAAA22AAEAEA22EA)) + next_pending_r_i_4 + (.I0(\state_reg[1]_rep_0 ), + .I1(\state_reg[1]_rep_1 ), + .I2(m_axi_awready), + .I3(\cnt_read_reg[1]_rep__1_0 ), + .I4(\cnt_read_reg[0]_rep__0 ), + .I5(s_axburst_eq1_reg_0), + .O(next)); + (* SOFT_HLUTNM = "soft_lutpair107" *) LUT4 #( .INIT(16'hFB08)) s_axburst_eq0_i_1 (.I0(wrap_next_pending), - .I1(Q[0]), + .I1(\m_payload_i_reg[44] [0]), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq0_reg)); - (* SOFT_HLUTNM = "soft_lutpair102" *) + (* SOFT_HLUTNM = "soft_lutpair107" *) LUT4 #( .INIT(16'hABA8)) s_axburst_eq1_i_1 (.I0(wrap_next_pending), - .I1(Q[0]), + .I1(\m_payload_i_reg[44] [0]), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq1_reg)); @@ -6037,50 +5937,50 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm sel_first_i_1 (.I0(si_rs_awvalid), .I1(areset_d1), - .I2(\axlen_cnt_reg[7]_0 ), - .I3(\axlen_cnt_reg[7] ), - .I4(\axlen_cnt_reg[7]_2 ), + .I2(\state_reg[1]_rep_1 ), + .I3(\state_reg[1]_rep_0 ), + .I4(\m_payload_i_reg[0] ), .I5(sel_first_reg_1), .O(sel_first_i)); LUT6 #( .INIT(64'hFFFFFFFF44440F04)) sel_first_i_1__1 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(sel_first_reg_2), - .I2(\axaddr_offset_r_reg[0] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(areset_d1), .O(sel_first_reg)); LUT6 #( .INIT(64'hFFFFFFFF44440F04)) sel_first_i_1__2 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(sel_first__0), - .I2(\axaddr_offset_r_reg[0] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(areset_d1), .O(sel_first_reg_0)); LUT6 #( - .INIT(64'hF232FE32FE3EFE3E)) + .INIT(64'hAEFE0E0EFEFE5E5E)) \state[0]_i_1 - (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_0 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\cnt_read_reg[1]_rep__1 ), - .I4(s_axburst_eq1_reg_0), + (.I0(\state_reg[1]_rep_0 ), + .I1(si_rs_awvalid), + .I2(\state_reg[1]_rep_1 ), + .I3(s_axburst_eq1_reg_0), + .I4(\cnt_read_reg[1]_rep__1 ), .I5(m_axi_awready), .O(next_state[0])); LUT6 #( - .INIT(64'h20E0202000E00000)) + .INIT(64'h2E220E0000000000)) \state[1]_i_1 (.I0(m_axi_awready), - .I1(\axlen_cnt_reg[7] ), - .I2(\axlen_cnt_reg[7]_0 ), - .I3(\cnt_read_reg[0]_rep__0 ), - .I4(\cnt_read_reg[1]_rep__1_0 ), - .I5(s_axburst_eq1_reg_0), + .I1(\state_reg[1]_rep_0 ), + .I2(\cnt_read_reg[0]_rep__0 ), + .I3(\cnt_read_reg[1]_rep__1_0 ), + .I4(s_axburst_eq1_reg_0), + .I5(\state_reg[1]_rep_1 ), .O(next_state[1])); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[0]" *) @@ -6088,7 +5988,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[0]), - .Q(\axaddr_offset_r_reg[0] [0]), + .Q(Q[0]), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[0]" *) @@ -6096,7 +5996,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[0]), - .Q(\axlen_cnt_reg[7]_0 ), + .Q(\state_reg[1]_rep_1 ), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[1]" *) @@ -6104,7 +6004,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[1]), - .Q(\axaddr_offset_r_reg[0] [1]), + .Q(Q[1]), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[1]" *) @@ -6112,45 +6012,36 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[1]), - .Q(\axlen_cnt_reg[7] ), + .Q(\state_reg[1]_rep_0 ), .R(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair101" *) + (* SOFT_HLUTNM = "soft_lutpair108" *) LUT3 #( .INIT(8'h04)) - \wrap_boundary_axaddr_r[11]_i_1__0 - (.I0(\axlen_cnt_reg[7] ), + \wrap_boundary_axaddr_r[11]_i_1 + (.I0(\state_reg[1]_rep_0 ), .I1(si_rs_awvalid), - .I2(\axlen_cnt_reg[7]_0 ), + .I2(\state_reg[1]_rep_1 ), .O(E)); LUT6 #( - .INIT(64'hAAAAA4AA55555455)) - \wrap_cnt_r[0]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(axaddr_offset), - .I2(\axaddr_offset_r_reg[0] [1]), + .INIT(64'h55555855AAAAA8AA)) + \wrap_cnt_r[0]_i_1__0 + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\wrap_second_len_r_reg[3]_0 [0]), - .O(\wrap_cnt_r_reg[3] [0])); - LUT5 #( - .INIT(32'h23106754)) - \wrap_cnt_r[1]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(E), - .I2(\wrap_second_len_r_reg[3]_0 [0]), - .I3(\wrap_second_len_r_reg[3]_0 [1]), - .I4(\axaddr_offset_r_reg[1] ), - .O(\wrap_cnt_r_reg[3] [1])); + .O(D[0])); LUT6 #( - .INIT(64'hA999A9AAAAAAAAAA)) + .INIT(64'h959AAAAAAAAAAAAA)) \wrap_cnt_r[2]_i_1 (.I0(\wrap_second_len_r_reg[3] [2]), - .I1(\wrap_second_len_r[0]_i_2_n_0 ), - .I2(axaddr_offset), - .I3(E), - .I4(\wrap_second_len_r_reg[3]_0 [0]), + .I1(\axaddr_offset_r_reg[0] ), + .I2(E), + .I3(\wrap_second_len_r_reg[3]_0 [0]), + .I4(\axaddr_offset_r_reg[3] ), .I5(\wrap_second_len_r_reg[3] [1]), - .O(\wrap_cnt_r_reg[3] [2])); + .O(D[1])); LUT4 #( .INIT(16'hA6AA)) \wrap_cnt_r[3]_i_1 @@ -6158,63 +6049,53 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm .I1(\wrap_second_len_r_reg[3] [1]), .I2(\wrap_cnt_r[3]_i_2_n_0 ), .I3(\wrap_second_len_r_reg[3] [2]), - .O(\wrap_cnt_r_reg[3] [3])); + .O(D[2])); LUT6 #( - .INIT(64'hAAAE0004AAAEFFFF)) + .INIT(64'hBB11BB11BB11BBF1)) \wrap_cnt_r[3]_i_2 - (.I0(axaddr_offset), - .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[47] [1]), - .I3(\m_payload_i_reg[47] [0]), - .I4(E), - .I5(\wrap_second_len_r_reg[3]_0 [0]), + (.I0(E), + .I1(\wrap_second_len_r_reg[3]_0 [0]), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r_reg[0] ), + .I4(axaddr_offset[0]), + .I5(axaddr_offset[1]), .O(\wrap_cnt_r[3]_i_2_n_0 )); LUT6 #( - .INIT(64'hFFFFF1FF00000100)) + .INIT(64'hFFFFF2FF00000200)) \wrap_second_len_r[0]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(axaddr_offset), - .I2(\axaddr_offset_r_reg[0] [1]), + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\wrap_second_len_r_reg[3]_0 [0]), .O(\wrap_second_len_r_reg[3] [0])); - LUT6 #( - .INIT(64'h0000000004000404)) - \wrap_second_len_r[0]_i_2 - (.I0(axaddr_offset), - .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[35] ), - .I3(E), - .I4(\axaddr_offset_r_reg[3] [1]), - .I5(\m_payload_i_reg[47] [0]), - .O(\wrap_second_len_r[0]_i_2_n_0 )); LUT6 #( .INIT(64'h2222EEE2EEEE2222)) \wrap_second_len_r[1]_i_1 (.I0(\wrap_second_len_r_reg[3]_0 [1]), .I1(E), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[47] [1]), - .I4(axaddr_offset), + .I2(axaddr_offset[0]), + .I3(axaddr_offset[1]), + .I4(\axaddr_offset_r_reg[0] ), .I5(\axaddr_offset_r_reg[1] ), .O(\wrap_second_len_r_reg[3] [1])); LUT6 #( - .INIT(64'hE2E2E2E22E22E2E2)) + .INIT(64'hEE2E22E2EE2E2222)) \wrap_second_len_r[2]_i_1 (.I0(\wrap_second_len_r_reg[3]_0 [2]), .I1(E), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[47] [1]), - .I4(\axaddr_offset_r_reg[1] ), - .I5(axaddr_offset), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r_reg[0] ), + .I4(axaddr_offset[0]), + .I5(axaddr_offset[1]), .O(\wrap_second_len_r_reg[3] [2])); LUT6 #( .INIT(64'hFB00FFFFFB00FB00)) \wrap_second_len_r[3]_i_1 - (.I0(axaddr_offset), + (.I0(\axaddr_offset_r_reg[0] ), .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[47] [0]), + .I2(axaddr_offset[0]), .I3(\m_payload_i_reg[35] ), .I4(E), .I5(\wrap_second_len_r_reg[3]_0 [3]), @@ -6223,56 +6104,61 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_wrap_cmd" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd - (next_pending_r_reg_0, + (wrap_next_pending, sel_first_reg_0, - next_pending_r_reg_1, m_axi_awaddr, - \axaddr_offset_r_reg[3]_0 , \wrap_second_len_r_reg[3]_0 , - wrap_next_pending, + \axaddr_offset_r_reg[3]_0 , aclk, sel_first_reg_1, E, \m_payload_i_reg[47] , + next, + \m_payload_i_reg[46] , \state_reg[1] , si_rs_awvalid, - \cnt_read_reg[1]_rep__1 , axaddr_incr_reg, \m_payload_i_reg[38] , \axaddr_incr_reg[3] , + \axaddr_offset_r_reg[3]_1 , + \axaddr_offset_r_reg[1]_0 , \m_payload_i_reg[47]_0 , \wrap_second_len_r_reg[3]_1 , m_valid_i_reg, - \wrap_second_len_r_reg[3]_2 , + D, \m_payload_i_reg[6] ); - output next_pending_r_reg_0; + output wrap_next_pending; output sel_first_reg_0; - output next_pending_r_reg_1; output [11:0]m_axi_awaddr; - output [3:0]\axaddr_offset_r_reg[3]_0 ; output [3:0]\wrap_second_len_r_reg[3]_0 ; - input wrap_next_pending; + output [3:0]\axaddr_offset_r_reg[3]_0 ; input aclk; input sel_first_reg_1; input [0:0]E; input [18:0]\m_payload_i_reg[47] ; + input next; + input \m_payload_i_reg[46] ; input [1:0]\state_reg[1] ; input si_rs_awvalid; - input \cnt_read_reg[1]_rep__1 ; input [7:0]axaddr_incr_reg; input \m_payload_i_reg[38] ; input [3:0]\axaddr_incr_reg[3] ; + input \axaddr_offset_r_reg[3]_1 ; + input \axaddr_offset_r_reg[1]_0 ; input [3:0]\m_payload_i_reg[47]_0 ; input [3:0]\wrap_second_len_r_reg[3]_1 ; input [0:0]m_valid_i_reg; - input [3:0]\wrap_second_len_r_reg[3]_2 ; + input [2:0]D; input [6:0]\m_payload_i_reg[6] ; + wire [2:0]D; wire [0:0]E; wire aclk; wire [7:0]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; + wire \axaddr_offset_r_reg[1]_0 ; wire [3:0]\axaddr_offset_r_reg[3]_0 ; + wire \axaddr_offset_r_reg[3]_1 ; wire [11:0]axaddr_wrap; wire [11:0]axaddr_wrap0; wire \axaddr_wrap[0]_i_1_n_0 ; @@ -6307,30 +6193,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd wire \axlen_cnt[0]_i_1__0_n_0 ; wire \axlen_cnt[1]_i_1__0_n_0 ; wire \axlen_cnt[2]_i_1__0_n_0 ; - wire \axlen_cnt[3]_i_1__1_n_0 ; + wire \axlen_cnt[3]_i_1__0_n_0 ; wire \axlen_cnt_reg_n_0_[0] ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; - wire \cnt_read_reg[1]_rep__1 ; wire [11:0]m_axi_awaddr; wire \m_payload_i_reg[38] ; + wire \m_payload_i_reg[46] ; wire [18:0]\m_payload_i_reg[47] ; wire [3:0]\m_payload_i_reg[47]_0 ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; + wire next; + wire next_pending_r_i_2_n_0; + wire next_pending_r_reg_n_0; wire sel_first_reg_0; wire sel_first_reg_1; wire si_rs_awvalid; wire [1:0]\state_reg[1] ; wire [11:0]wrap_boundary_axaddr_r; + wire [1:1]wrap_cnt; wire [3:0]wrap_cnt_r; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3]_0 ; wire [3:0]\wrap_second_len_r_reg[3]_1 ; - wire [3:0]\wrap_second_len_r_reg[3]_2 ; wire [3:3]\NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED ; FDRE \axaddr_offset_r_reg[0] @@ -6358,31 +6245,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .Q(\axaddr_offset_r_reg[3]_0 [3]), .R(1'b0)); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[0]_i_1 - (.I0(\m_payload_i_reg[47] [0]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[0]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[0]), + (.I0(axaddr_wrap0[0]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[0]), + .I3(next), + .I4(\m_payload_i_reg[47] [0]), .O(\axaddr_wrap[0]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[10]_i_1 - (.I0(\m_payload_i_reg[47] [10]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[10]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[10]), + (.I0(axaddr_wrap0[10]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[10]), + .I3(next), + .I4(\m_payload_i_reg[47] [10]), .O(\axaddr_wrap[10]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[11]_i_1 - (.I0(\m_payload_i_reg[47] [11]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[11]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[11]), + (.I0(axaddr_wrap0[11]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[11]), + .I3(next), + .I4(\m_payload_i_reg[47] [11]), .O(\axaddr_wrap[11]_i_1_n_0 )); LUT3 #( .INIT(8'hF6)) @@ -6394,39 +6281,39 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd LUT6 #( .INIT(64'h6FF6FFFFFFFF6FF6)) \axaddr_wrap[11]_i_8 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(wrap_cnt_r[2]), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(wrap_cnt_r[1]), - .I4(wrap_cnt_r[0]), - .I5(\axlen_cnt_reg_n_0_[0] ), + (.I0(wrap_cnt_r[0]), + .I1(\axlen_cnt_reg_n_0_[0] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(wrap_cnt_r[2]), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(wrap_cnt_r[1]), .O(\axaddr_wrap[11]_i_8_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[1]_i_1 - (.I0(\m_payload_i_reg[47] [1]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[1]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[1]), + (.I0(axaddr_wrap0[1]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[1]), + .I3(next), + .I4(\m_payload_i_reg[47] [1]), .O(\axaddr_wrap[1]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[2]_i_1 - (.I0(\m_payload_i_reg[47] [2]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[2]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[2]), + (.I0(axaddr_wrap0[2]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[2]), + .I3(next), + .I4(\m_payload_i_reg[47] [2]), .O(\axaddr_wrap[2]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[3]_i_1 - (.I0(\m_payload_i_reg[47] [3]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[3]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[3]), + (.I0(axaddr_wrap0[3]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[3]), + .I3(next), + .I4(\m_payload_i_reg[47] [3]), .O(\axaddr_wrap[3]_i_1_n_0 )); LUT3 #( .INIT(8'h6A)) @@ -6457,58 +6344,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I2(\m_payload_i_reg[47] [12]), .O(\axaddr_wrap[3]_i_6_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[4]_i_1 - (.I0(\m_payload_i_reg[47] [4]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[4]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[4]), + (.I0(axaddr_wrap0[4]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[4]), + .I3(next), + .I4(\m_payload_i_reg[47] [4]), .O(\axaddr_wrap[4]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[5]_i_1 - (.I0(\m_payload_i_reg[47] [5]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[5]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[5]), + (.I0(axaddr_wrap0[5]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[5]), + .I3(next), + .I4(\m_payload_i_reg[47] [5]), .O(\axaddr_wrap[5]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[6]_i_1 - (.I0(\m_payload_i_reg[47] [6]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[6]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[6]), + (.I0(axaddr_wrap0[6]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[6]), + .I3(next), + .I4(\m_payload_i_reg[47] [6]), .O(\axaddr_wrap[6]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[7]_i_1 - (.I0(\m_payload_i_reg[47] [7]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[7]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[7]), + (.I0(axaddr_wrap0[7]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[7]), + .I3(next), + .I4(\m_payload_i_reg[47] [7]), .O(\axaddr_wrap[7]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[8]_i_1 - (.I0(\m_payload_i_reg[47] [8]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[8]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[8]), + (.I0(axaddr_wrap0[8]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[8]), + .I3(next), + .I4(\m_payload_i_reg[47] [8]), .O(\axaddr_wrap[8]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[9]_i_1 - (.I0(\m_payload_i_reg[47] [9]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[9]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[9]), + (.I0(axaddr_wrap0[9]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[9]), + .I3(next), + .I4(\m_payload_i_reg[47] [9]), .O(\axaddr_wrap[9]_i_1_n_0 )); FDRE \axaddr_wrap_reg[0] (.C(aclk), @@ -6609,9 +6496,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd (.I0(\m_payload_i_reg[47] [15]), .I1(\axlen_cnt_reg_n_0_[0] ), .I2(E), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(\axlen_cnt_reg_n_0_[2] ), .O(\axlen_cnt[0]_i_1__0_n_0 )); LUT6 #( .INIT(64'hAAC3AAC3AAC3AAC0)) @@ -6620,8 +6507,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I1(\axlen_cnt_reg_n_0_[1] ), .I2(\axlen_cnt_reg_n_0_[0] ), .I3(E), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[3] ), + .I5(\axlen_cnt_reg_n_0_[2] ), .O(\axlen_cnt[1]_i_1__0_n_0 )); LUT6 #( .INIT(64'hAAAACCC3AAAACCC0)) @@ -6635,14 +6522,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .O(\axlen_cnt[2]_i_1__0_n_0 )); LUT6 #( .INIT(64'hFFFFAAA80000AAA8)) - \axlen_cnt[3]_i_1__1 + \axlen_cnt[3]_i_1__0 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(\axlen_cnt_reg_n_0_[0] ), .I4(E), .I5(\m_payload_i_reg[47] [18]), - .O(\axlen_cnt[3]_i_1__1_n_0 )); + .O(\axlen_cnt[3]_i_1__0_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), @@ -6664,7 +6551,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_1__1_n_0 ), + .D(\axlen_cnt[3]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); LUT6 #( @@ -6787,21 +6674,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I4(\m_payload_i_reg[38] ), .I5(\m_payload_i_reg[47] [9]), .O(m_axi_awaddr[9])); + LUT5 #( + .INIT(32'hC0E2FFE2)) + next_pending_r_i_1__0 + (.I0(next_pending_r_reg_n_0), + .I1(next), + .I2(next_pending_r_i_2_n_0), + .I3(E), + .I4(\m_payload_i_reg[46] ), + .O(wrap_next_pending)); LUT6 #( .INIT(64'hFBFBFBFBFBFBFB00)) - next_pending_r_i_2__0 + next_pending_r_i_2 (.I0(\state_reg[1] [1]), .I1(si_rs_awvalid), .I2(\state_reg[1] [0]), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_reg_1)); + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(\axlen_cnt_reg_n_0_[2] ), + .O(next_pending_r_i_2_n_0)); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), .D(wrap_next_pending), - .Q(next_pending_r_reg_0), + .Q(next_pending_r_reg_n_0), .R(1'b0)); FDRE sel_first_reg (.C(aclk), @@ -6881,28 +6777,37 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .D(\m_payload_i_reg[47] [9]), .Q(wrap_boundary_axaddr_r[9]), .R(1'b0)); + LUT5 #( + .INIT(32'h1540B5E0)) + \wrap_cnt_r[1]_i_1 + (.I0(E), + .I1(\wrap_second_len_r_reg[3]_0 [0]), + .I2(\axaddr_offset_r_reg[3]_1 ), + .I3(\wrap_second_len_r_reg[3]_0 [1]), + .I4(\axaddr_offset_r_reg[1]_0 ), + .O(wrap_cnt)); FDRE \wrap_cnt_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [0]), + .D(D[0]), .Q(wrap_cnt_r[0]), .R(1'b0)); FDRE \wrap_cnt_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [1]), + .D(wrap_cnt), .Q(wrap_cnt_r[1]), .R(1'b0)); FDRE \wrap_cnt_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [2]), + .D(D[1]), .Q(wrap_cnt_r[2]), .R(1'b0)); FDRE \wrap_cnt_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [3]), + .D(D[2]), .Q(wrap_cnt_r[3]), .R(1'b0)); FDRE \wrap_second_len_r_reg[0] @@ -6937,57 +6842,56 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 sel_first_reg_0, next_pending_r_reg_1, m_axi_araddr, - \wrap_second_len_r_reg[3]_0 , \axaddr_offset_r_reg[3]_0 , + \wrap_second_len_r_reg[3]_0 , wrap_next_pending, aclk, sel_first_reg_1, E, \m_payload_i_reg[47] , - \state_reg[1] , + \state_reg[0]_rep , si_rs_arvalid, \state_reg[1]_rep , + \state_reg[1]_rep_0 , axaddr_incr_reg, \m_payload_i_reg[38] , \axaddr_incr_reg[3] , - \axaddr_offset_r_reg[3]_1 , - \m_payload_i_reg[35] , - \m_payload_i_reg[47]_0 , - \wrap_second_len_r_reg[3]_1 , + axaddr_offset, + D, m_valid_i_reg, - \wrap_second_len_r_reg[3]_2 , + \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] ); output next_pending_r_reg_0; output sel_first_reg_0; output next_pending_r_reg_1; output [11:0]m_axi_araddr; - output [3:0]\wrap_second_len_r_reg[3]_0 ; output [3:0]\axaddr_offset_r_reg[3]_0 ; + output [3:0]\wrap_second_len_r_reg[3]_0 ; input wrap_next_pending; input aclk; input sel_first_reg_1; input [0:0]E; input [18:0]\m_payload_i_reg[47] ; - input [1:0]\state_reg[1] ; + input \state_reg[0]_rep ; input si_rs_arvalid; input \state_reg[1]_rep ; + input \state_reg[1]_rep_0 ; input [7:0]axaddr_incr_reg; input \m_payload_i_reg[38] ; input [3:0]\axaddr_incr_reg[3] ; - input \axaddr_offset_r_reg[3]_1 ; - input \m_payload_i_reg[35] ; - input [3:0]\m_payload_i_reg[47]_0 ; - input [3:0]\wrap_second_len_r_reg[3]_1 ; + input [3:0]axaddr_offset; + input [3:0]D; input [0:0]m_valid_i_reg; - input [2:0]\wrap_second_len_r_reg[3]_2 ; + input [3:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; + wire [3:0]D; wire [0:0]E; wire aclk; wire [7:0]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; + wire [3:0]axaddr_offset; wire [3:0]\axaddr_offset_r_reg[3]_0 ; - wire \axaddr_offset_r_reg[3]_1 ; wire \axaddr_wrap[0]_i_1__0_n_0 ; wire \axaddr_wrap[10]_i_1__0_n_0 ; wire \axaddr_wrap[11]_i_1__0_n_0 ; @@ -7050,10 +6954,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; wire [11:0]m_axi_araddr; - wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire [18:0]\m_payload_i_reg[47] ; - wire [3:0]\m_payload_i_reg[47]_0 ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; wire next_pending_r_reg_0; @@ -7061,8 +6963,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire sel_first_reg_0; wire sel_first_reg_1; wire si_rs_arvalid; - wire [1:0]\state_reg[1] ; + wire \state_reg[0]_rep ; wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r_reg_n_0_[0] ; wire \wrap_boundary_axaddr_r_reg_n_0_[10] ; wire \wrap_boundary_axaddr_r_reg_n_0_[11] ; @@ -7075,7 +6978,6 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire \wrap_boundary_axaddr_r_reg_n_0_[7] ; wire \wrap_boundary_axaddr_r_reg_n_0_[8] ; wire \wrap_boundary_axaddr_r_reg_n_0_[9] ; - wire \wrap_cnt_r[1]_i_1__0_n_0 ; wire \wrap_cnt_r_reg_n_0_[0] ; wire \wrap_cnt_r_reg_n_0_[1] ; wire \wrap_cnt_r_reg_n_0_[2] ; @@ -7083,31 +6985,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3]_0 ; wire [3:0]\wrap_second_len_r_reg[3]_1 ; - wire [2:0]\wrap_second_len_r_reg[3]_2 ; wire [3:3]\NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED ; FDRE \axaddr_offset_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [0]), + .D(axaddr_offset[0]), .Q(\axaddr_offset_r_reg[3]_0 [0]), .R(1'b0)); FDRE \axaddr_offset_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [1]), + .D(axaddr_offset[1]), .Q(\axaddr_offset_r_reg[3]_0 [1]), .R(1'b0)); FDRE \axaddr_offset_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [2]), + .D(axaddr_offset[2]), .Q(\axaddr_offset_r_reg[3]_0 [2]), .R(1'b0)); FDRE \axaddr_offset_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [3]), + .D(axaddr_offset[3]), .Q(\axaddr_offset_r_reg[3]_0 [3]), .R(1'b0)); LUT5 #( @@ -7116,7 +7017,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[0] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [0]), .O(\axaddr_wrap[0]_i_1__0_n_0 )); LUT5 #( @@ -7125,7 +7026,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[10] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [10]), .O(\axaddr_wrap[10]_i_1__0_n_0 )); LUT5 #( @@ -7134,7 +7035,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[11] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [11]), .O(\axaddr_wrap[11]_i_1__0_n_0 )); LUT3 #( @@ -7149,10 +7050,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 \axaddr_wrap[11]_i_8__0 (.I0(\wrap_cnt_r_reg_n_0_[0] ), .I1(\axlen_cnt_reg_n_0_[0] ), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\wrap_cnt_r_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\wrap_cnt_r_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[1] ), + .I3(\wrap_cnt_r_reg_n_0_[1] ), + .I4(\axlen_cnt_reg_n_0_[2] ), + .I5(\wrap_cnt_r_reg_n_0_[2] ), .O(\axaddr_wrap[11]_i_8__0_n_0 )); LUT5 #( .INIT(32'hB8FFB800)) @@ -7160,7 +7061,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[1] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [1]), .O(\axaddr_wrap[1]_i_1__0_n_0 )); LUT5 #( @@ -7169,7 +7070,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[2] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [2]), .O(\axaddr_wrap[2]_i_1__0_n_0 )); LUT5 #( @@ -7178,7 +7079,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[3] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [3]), .O(\axaddr_wrap[3]_i_1__0_n_0 )); LUT3 #( @@ -7215,7 +7116,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[4] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [4]), .O(\axaddr_wrap[4]_i_1__0_n_0 )); LUT5 #( @@ -7224,7 +7125,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[5] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [5]), .O(\axaddr_wrap[5]_i_1__0_n_0 )); LUT5 #( @@ -7233,7 +7134,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[6] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [6]), .O(\axaddr_wrap[6]_i_1__0_n_0 )); LUT5 #( @@ -7242,7 +7143,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[7] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [7]), .O(\axaddr_wrap[7]_i_1__0_n_0 )); LUT5 #( @@ -7251,7 +7152,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[8] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [8]), .O(\axaddr_wrap[8]_i_1__0_n_0 )); LUT5 #( @@ -7260,7 +7161,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[9] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [9]), .O(\axaddr_wrap[9]_i_1__0_n_0 )); FDRE \axaddr_wrap_reg[0] @@ -7357,14 +7258,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .Q(\axaddr_wrap_reg_n_0_[9] ), .R(1'b0)); LUT6 #( - .INIT(64'hA3A3A3A3A3A3A3A0)) + .INIT(64'hFFFF555400005554)) \axlen_cnt[0]_i_1__2 - (.I0(\m_payload_i_reg[47] [15]), - .I1(\axlen_cnt_reg_n_0_[0] ), - .I2(E), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(E), + .I5(\m_payload_i_reg[47] [15]), .O(\axlen_cnt[0]_i_1__2_n_0 )); LUT6 #( .INIT(64'hAAC3AAC3AAC3AAC0)) @@ -7542,10 +7443,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .O(m_axi_araddr[9])); LUT6 #( .INIT(64'hFBFBFBFBFBFBFB00)) - next_pending_r_i_2__2 - (.I0(\state_reg[1] [0]), + next_pending_r_i_3__1 + (.I0(\state_reg[0]_rep ), .I1(si_rs_arvalid), - .I2(\state_reg[1] [1]), + .I2(\state_reg[1]_rep ), .I3(\axlen_cnt_reg_n_0_[1] ), .I4(\axlen_cnt_reg_n_0_[2] ), .I5(\axlen_cnt_reg_n_0_[3] ), @@ -7634,61 +7535,52 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .D(\m_payload_i_reg[47] [9]), .Q(\wrap_boundary_axaddr_r_reg_n_0_[9] ), .R(1'b0)); - LUT5 #( - .INIT(32'h13D320E0)) - \wrap_cnt_r[1]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(E), - .I2(\axaddr_offset_r_reg[3]_1 ), - .I3(\m_payload_i_reg[35] ), - .I4(\wrap_second_len_r_reg[3]_0 [1]), - .O(\wrap_cnt_r[1]_i_1__0_n_0 )); FDRE \wrap_cnt_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [0]), + .D(\wrap_second_len_r_reg[3]_1 [0]), .Q(\wrap_cnt_r_reg_n_0_[0] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_cnt_r[1]_i_1__0_n_0 ), + .D(\wrap_second_len_r_reg[3]_1 [1]), .Q(\wrap_cnt_r_reg_n_0_[1] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [1]), + .D(\wrap_second_len_r_reg[3]_1 [2]), .Q(\wrap_cnt_r_reg_n_0_[2] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [2]), + .D(\wrap_second_len_r_reg[3]_1 [3]), .Q(\wrap_cnt_r_reg_n_0_[3] ), .R(1'b0)); FDRE \wrap_second_len_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [0]), + .D(D[0]), .Q(\wrap_second_len_r_reg[3]_0 [0]), .R(1'b0)); FDRE \wrap_second_len_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [1]), + .D(D[1]), .Q(\wrap_second_len_r_reg[3]_0 [1]), .R(1'b0)); FDRE \wrap_second_len_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [2]), + .D(D[2]), .Q(\wrap_second_len_r_reg[3]_0 [2]), .R(1'b0)); FDRE \wrap_second_len_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [3]), + .D(D[3]), .Q(\wrap_second_len_r_reg[3]_0 [3]), .R(1'b0)); endmodule @@ -7703,6 +7595,8 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice si_rs_arvalid, s_axi_rvalid, si_rs_rready, + \wrap_cnt_r_reg[3] , + D, Q, \s_arid_r_reg[11] , \axaddr_incr_reg[11] , @@ -7712,24 +7606,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice \axaddr_incr_reg[11]_0 , \axaddr_incr_reg[7]_0 , \axaddr_incr_reg[3] , + \wrap_cnt_r_reg[1] , + \wrap_second_len_r_reg[3] , axaddr_offset, \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , \axlen_cnt_reg[3] , next_pending_r_reg, - shandshake, - \wrap_cnt_r_reg[2] , - D, - \wrap_cnt_r_reg[2]_0 , - \axaddr_offset_r_reg[3] , - \axaddr_offset_r_reg[1]_0 , - \wrap_second_len_r_reg[3]_0 , next_pending_r_reg_0, + shandshake, + axaddr_offset_0, \axlen_cnt_reg[3]_0 , + next_pending_r_reg_1, + next_pending_r_reg_2, \wrap_boundary_axaddr_r_reg[6] , \axaddr_offset_r_reg[0] , \wrap_boundary_axaddr_r_reg[6]_0 , - \axaddr_offset_r_reg[0]_0 , \m_axi_awaddr[10] , \m_axi_araddr[10] , \s_axi_bid[11] , @@ -7741,19 +7632,20 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice s_axi_rready, S, \m_payload_i_reg[3] , - \axaddr_offset_r_reg[3]_0 , \state_reg[1]_rep , + \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \state_reg[1]_rep_0 , \state_reg[0]_rep , s_axi_awvalid, b_push, si_rs_bvalid, - \wrap_second_len_r_reg[2] , \state_reg[1]_rep_1 , - axaddr_offset_0, - \axaddr_offset_r_reg[3]_1 , - \state_reg[1]_rep_2 , + \wrap_second_len_r_reg[3]_0 , + \state_reg[1] , + \axaddr_offset_r_reg[3]_0 , \state_reg[0]_rep_0 , + \state_reg[1]_rep_2 , sel_first, sel_first_1, s_axi_bready, @@ -7786,8 +7678,10 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice output si_rs_arvalid; output s_axi_rvalid; output si_rs_rready; - output [53:0]Q; - output [53:0]\s_arid_r_reg[11] ; + output [3:0]\wrap_cnt_r_reg[3] ; + output [3:0]D; + output [57:0]Q; + output [57:0]\s_arid_r_reg[11] ; output [7:0]\axaddr_incr_reg[11] ; output [0:0]CO; output [3:0]O; @@ -7795,24 +7689,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice output [3:0]\axaddr_incr_reg[11]_0 ; output [0:0]\axaddr_incr_reg[7]_0 ; output [3:0]\axaddr_incr_reg[3] ; + output \wrap_cnt_r_reg[1] ; + output \wrap_second_len_r_reg[3] ; output [2:0]axaddr_offset; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; output \axlen_cnt_reg[3] ; output next_pending_r_reg; - output shandshake; - output [0:0]\wrap_cnt_r_reg[2] ; - output [1:0]D; - output \wrap_cnt_r_reg[2]_0 ; - output [2:0]\axaddr_offset_r_reg[3] ; - output \axaddr_offset_r_reg[1]_0 ; - output \wrap_second_len_r_reg[3]_0 ; output next_pending_r_reg_0; + output shandshake; + output [3:0]axaddr_offset_0; output \axlen_cnt_reg[3]_0 ; + output next_pending_r_reg_1; + output next_pending_r_reg_2; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; output \axaddr_offset_r_reg[0] ; output [6:0]\wrap_boundary_axaddr_r_reg[6]_0 ; - output \axaddr_offset_r_reg[0]_0 ; output \m_axi_awaddr[10] ; output \m_axi_araddr[10] ; output [13:0]\s_axi_bid[11] ; @@ -7824,31 +7715,32 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice input s_axi_rready; input [3:0]S; input [3:0]\m_payload_i_reg[3] ; - input [2:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[1]_rep ; + input [2:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \state_reg[1]_rep_0 ; input \state_reg[0]_rep ; input s_axi_awvalid; input b_push; input si_rs_bvalid; - input [2:0]\wrap_second_len_r_reg[2] ; input \state_reg[1]_rep_1 ; - input [0:0]axaddr_offset_0; - input [2:0]\axaddr_offset_r_reg[3]_1 ; - input \state_reg[1]_rep_2 ; + input [3:0]\wrap_second_len_r_reg[3]_0 ; + input [1:0]\state_reg[1] ; + input [3:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[0]_rep_0 ; + input \state_reg[1]_rep_2 ; input sel_first; input sel_first_1; input s_axi_bready; input s_axi_arvalid; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; input [31:0]s_axi_awaddr; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; @@ -7863,16 +7755,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice input [0:0]\state_reg[1]_rep_3 ; wire [0:0]CO; - wire [1:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [53:0]Q; + wire [57:0]Q; wire [3:0]S; wire aclk; wire ar_pipe_n_2; wire aresetn; wire aw_pipe_n_1; - wire aw_pipe_n_86; + wire aw_pipe_n_92; wire [3:0]axaddr_incr_reg; wire [7:0]\axaddr_incr_reg[11] ; wire [3:0]\axaddr_incr_reg[11]_0 ; @@ -7881,14 +7773,12 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [3:0]\axaddr_incr_reg[7] ; wire [0:0]\axaddr_incr_reg[7]_0 ; wire [2:0]axaddr_offset; - wire [0:0]axaddr_offset_0; + wire [3:0]axaddr_offset_0; wire \axaddr_offset_r_reg[0] ; - wire \axaddr_offset_r_reg[0]_0 ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; - wire \axaddr_offset_r_reg[1]_0 ; wire [2:0]\axaddr_offset_r_reg[3] ; - wire [2:0]\axaddr_offset_r_reg[3]_0 ; - wire [2:0]\axaddr_offset_r_reg[3]_1 ; + wire [3:0]\axaddr_offset_r_reg[3]_0 ; wire \axlen_cnt_reg[3] ; wire \axlen_cnt_reg[3]_0 ; wire b_push; @@ -7900,13 +7790,15 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire m_valid_i0; wire next_pending_r_reg; wire next_pending_r_reg_0; + wire next_pending_r_reg_1; + wire next_pending_r_reg_2; wire [11:0]out; wire [12:0]r_push_r_reg; - wire [53:0]\s_arid_r_reg[11] ; + wire [57:0]\s_arid_r_reg[11] ; wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -7914,7 +7806,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -7936,6 +7828,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire si_rs_rready; wire \state_reg[0]_rep ; wire \state_reg[0]_rep_0 ; + wire [1:0]\state_reg[1] ; wire \state_reg[1]_rep ; wire \state_reg[1]_rep_0 ; wire \state_reg[1]_rep_1 ; @@ -7943,35 +7836,34 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [0:0]\state_reg[1]_rep_3 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; wire [6:0]\wrap_boundary_axaddr_r_reg[6]_0 ; - wire [0:0]\wrap_cnt_r_reg[2] ; - wire \wrap_cnt_r_reg[2]_0 ; - wire [2:0]\wrap_second_len_r_reg[2] ; + wire \wrap_cnt_r_reg[1] ; + wire [3:0]\wrap_cnt_r_reg[3] ; wire \wrap_second_len_r_reg[3] ; - wire \wrap_second_len_r_reg[3]_0 ; + wire [3:0]\wrap_second_len_r_reg[3]_0 ; system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice ar_pipe - (.D(D), + (.D({D[3:2],D[0]}), .Q(\s_arid_r_reg[11] ), .aclk(aclk), .\aresetn_d_reg[0] (aw_pipe_n_1), - .\aresetn_d_reg[0]_0 (aw_pipe_n_86), + .\aresetn_d_reg[0]_0 (aw_pipe_n_92), .\axaddr_incr_reg[11] (\axaddr_incr_reg[11]_0 ), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3]_0 ), .\axaddr_incr_reg[7] (\axaddr_incr_reg[7] ), .\axaddr_incr_reg[7]_0 (\axaddr_incr_reg[7]_0 ), - .axaddr_offset_0(axaddr_offset_0), - .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0]_0 ), - .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1]_0 ), - .\axaddr_offset_r_reg[2] (\axaddr_offset_r_reg[3] [1]), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] [2],\axaddr_offset_r_reg[3] [0]}), - .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_1 ), + .axaddr_offset_0(axaddr_offset_0[2]), + .\axaddr_offset_r_reg[0] (axaddr_offset_0[0]), + .\axaddr_offset_r_reg[1] (axaddr_offset_0[1]), + .\axaddr_offset_r_reg[3] (axaddr_offset_0[3]), + .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), .\axlen_cnt_reg[3] (\axlen_cnt_reg[3]_0 ), .\m_axi_araddr[10] (\m_axi_araddr[10] ), .\m_payload_i_reg[3]_0 (\m_payload_i_reg[3] ), .m_valid_i0(m_valid_i0), .m_valid_i_reg_0(ar_pipe_n_2), - .next_pending_r_reg(next_pending_r_reg_0), + .next_pending_r_reg(next_pending_r_reg_1), + .next_pending_r_reg_0(next_pending_r_reg_2), .s_axi_araddr(s_axi_araddr), .s_axi_arburst(s_axi_arburst), .s_axi_arid(s_axi_arid), @@ -7983,13 +7875,13 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .s_ready_i_reg_0(si_rs_arvalid), .sel_first_1(sel_first_1), .\state_reg[0]_rep (\state_reg[0]_rep_0 ), + .\state_reg[1] (\state_reg[1] ), .\state_reg[1]_rep (\state_reg[1]_rep_1 ), .\state_reg[1]_rep_0 (\state_reg[1]_rep_2 ), .\state_reg[1]_rep_1 (\state_reg[1]_rep_3 ), .\wrap_boundary_axaddr_r_reg[6] (\wrap_boundary_axaddr_r_reg[6]_0 ), - .\wrap_cnt_r_reg[2] (\wrap_cnt_r_reg[2] ), - .\wrap_cnt_r_reg[2]_0 (\wrap_cnt_r_reg[2]_0 ), - .\wrap_second_len_r_reg[2] (\wrap_second_len_r_reg[2] ), + .\wrap_cnt_r_reg[3] (\wrap_cnt_r_reg[3] ), + .\wrap_second_len_r_reg[1] (D[1]), .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3]_0 )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 aw_pipe (.CO(CO), @@ -7999,19 +7891,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .S(S), .aclk(aclk), .aresetn(aresetn), - .\aresetn_d_reg[1]_inv (aw_pipe_n_86), + .\aresetn_d_reg[1]_inv (aw_pipe_n_92), .\aresetn_d_reg[1]_inv_0 (ar_pipe_n_2), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11] (\axaddr_incr_reg[11] ), .axaddr_offset(axaddr_offset), .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0] ), + .\axaddr_offset_r_reg[0]_0 (\axaddr_offset_r_reg[0]_0 ), .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), - .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3] ), .\axlen_cnt_reg[3] (\axlen_cnt_reg[3] ), .b_push(b_push), .\m_axi_awaddr[10] (\m_axi_awaddr[10] ), .m_valid_i_reg_0(si_rs_awvalid), .next_pending_r_reg(next_pending_r_reg), + .next_pending_r_reg_0(next_pending_r_reg_0), .s_axi_awaddr(s_axi_awaddr), .s_axi_awburst(s_axi_awburst), .s_axi_awid(s_axi_awid), @@ -8026,6 +7920,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .\state_reg[1]_rep (\state_reg[1]_rep ), .\state_reg[1]_rep_0 (\state_reg[1]_rep_0 ), .\wrap_boundary_axaddr_r_reg[6] (\wrap_boundary_axaddr_r_reg[6] ), + .\wrap_cnt_r_reg[1] (\wrap_cnt_r_reg[1] ), .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3] )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__parameterized1 b_pipe (.aclk(aclk), @@ -8057,34 +7952,34 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (s_axi_arready, s_ready_i_reg_0, m_valid_i_reg_0, + \wrap_cnt_r_reg[3] , + \wrap_second_len_r_reg[1] , Q, \axaddr_incr_reg[7] , \axaddr_incr_reg[11] , \axaddr_incr_reg[7]_0 , \axaddr_incr_reg[3] , - \wrap_cnt_r_reg[2] , D, - \wrap_cnt_r_reg[2]_0 , - \axaddr_offset_r_reg[2] , - \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0] , \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , - next_pending_r_reg, + \axaddr_offset_r_reg[3] , \axlen_cnt_reg[3] , + axaddr_offset_0, + next_pending_r_reg, + next_pending_r_reg_0, \wrap_boundary_axaddr_r_reg[6] , - \axaddr_offset_r_reg[0] , \m_axi_araddr[10] , \aresetn_d_reg[0] , aclk, m_valid_i0, \aresetn_d_reg[0]_0 , \m_payload_i_reg[3]_0 , - \wrap_second_len_r_reg[2] , \state_reg[1]_rep , - axaddr_offset_0, + \wrap_second_len_r_reg[3] , + \state_reg[1] , \axaddr_offset_r_reg[3]_0 , - \state_reg[1]_rep_0 , \state_reg[0]_rep , + \state_reg[1]_rep_0 , sel_first_1, s_axi_arvalid, s_axi_arid, @@ -8098,38 +7993,38 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice output s_axi_arready; output s_ready_i_reg_0; output m_valid_i_reg_0; - output [53:0]Q; + output [3:0]\wrap_cnt_r_reg[3] ; + output \wrap_second_len_r_reg[1] ; + output [57:0]Q; output [3:0]\axaddr_incr_reg[7] ; output [3:0]\axaddr_incr_reg[11] ; output [0:0]\axaddr_incr_reg[7]_0 ; output [3:0]\axaddr_incr_reg[3] ; - output [0:0]\wrap_cnt_r_reg[2] ; - output [1:0]D; - output \wrap_cnt_r_reg[2]_0 ; - output \axaddr_offset_r_reg[2] ; - output [1:0]\axaddr_offset_r_reg[3] ; + output [2:0]D; + output \axaddr_offset_r_reg[0] ; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; - output next_pending_r_reg; + output \axaddr_offset_r_reg[3] ; output \axlen_cnt_reg[3] ; + output [0:0]axaddr_offset_0; + output next_pending_r_reg; + output next_pending_r_reg_0; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; - output \axaddr_offset_r_reg[0] ; output \m_axi_araddr[10] ; input \aresetn_d_reg[0] ; input aclk; input m_valid_i0; input \aresetn_d_reg[0]_0 ; input [3:0]\m_payload_i_reg[3]_0 ; - input [2:0]\wrap_second_len_r_reg[2] ; input \state_reg[1]_rep ; - input [0:0]axaddr_offset_0; - input [2:0]\axaddr_offset_r_reg[3]_0 ; - input \state_reg[1]_rep_0 ; + input [3:0]\wrap_second_len_r_reg[3] ; + input [1:0]\state_reg[1] ; + input [3:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[0]_rep ; + input \state_reg[1]_rep_0 ; input sel_first_1; input s_axi_arvalid; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; @@ -8137,8 +8032,8 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice input [3:0]\axaddr_incr_reg[3]_0 ; input [0:0]\state_reg[1]_rep_1 ; - wire [1:0]D; - wire [53:0]Q; + wire [2:0]D; + wire [57:0]Q; wire aclk; wire \aresetn_d_reg[0] ; wire \aresetn_d_reg[0]_0 ; @@ -8177,15 +8072,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \axaddr_incr_reg[8]_i_6__0_n_2 ; wire \axaddr_incr_reg[8]_i_6__0_n_3 ; wire [0:0]axaddr_offset_0; - wire \axaddr_offset_r[1]_i_3__0_n_0 ; - wire \axaddr_offset_r[2]_i_2__0_n_0 ; + wire \axaddr_offset_r[0]_i_2__0_n_0 ; + wire \axaddr_offset_r[1]_i_2__0_n_0 ; + wire \axaddr_offset_r[2]_i_2_n_0 ; wire \axaddr_offset_r[2]_i_3__0_n_0 ; + wire \axaddr_offset_r[2]_i_4_n_0 ; wire \axaddr_offset_r[3]_i_2__0_n_0 ; wire \axaddr_offset_r_reg[0] ; wire \axaddr_offset_r_reg[1] ; - wire \axaddr_offset_r_reg[2] ; - wire [1:0]\axaddr_offset_r_reg[3] ; - wire [2:0]\axaddr_offset_r_reg[3]_0 ; + wire \axaddr_offset_r_reg[3] ; + wire [3:0]\axaddr_offset_r_reg[3]_0 ; wire \axlen_cnt_reg[3] ; wire \m_axi_araddr[10] ; wire \m_payload_i[0]_i_1__0_n_0 ; @@ -8225,10 +8121,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \m_payload_i[45]_i_1__0_n_0 ; wire \m_payload_i[46]_i_1__1_n_0 ; wire \m_payload_i[47]_i_1__0_n_0 ; + wire \m_payload_i[48]_i_1__0_n_0 ; + wire \m_payload_i[49]_i_1__0_n_0 ; wire \m_payload_i[4]_i_1__0_n_0 ; wire \m_payload_i[50]_i_1__0_n_0 ; wire \m_payload_i[51]_i_1__0_n_0 ; - wire \m_payload_i[52]_i_1__0_n_0 ; wire \m_payload_i[53]_i_1__0_n_0 ; wire \m_payload_i[54]_i_1__0_n_0 ; wire \m_payload_i[55]_i_1__0_n_0 ; @@ -8239,6 +8136,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \m_payload_i[5]_i_1__0_n_0 ; wire \m_payload_i[60]_i_1__0_n_0 ; wire \m_payload_i[61]_i_1__0_n_0 ; + wire \m_payload_i[62]_i_1__0_n_0 ; + wire \m_payload_i[63]_i_1__0_n_0 ; + wire \m_payload_i[64]_i_1__0_n_0 ; wire \m_payload_i[6]_i_1__0_n_0 ; wire \m_payload_i[7]_i_1__0_n_0 ; wire \m_payload_i[8]_i_1__0_n_0 ; @@ -8248,10 +8148,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire m_valid_i0; wire m_valid_i_reg_0; wire next_pending_r_reg; + wire next_pending_r_reg_0; wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -8296,10 +8197,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer_reg_n_0_[45] ; wire \skid_buffer_reg_n_0_[46] ; wire \skid_buffer_reg_n_0_[47] ; + wire \skid_buffer_reg_n_0_[48] ; + wire \skid_buffer_reg_n_0_[49] ; wire \skid_buffer_reg_n_0_[4] ; wire \skid_buffer_reg_n_0_[50] ; wire \skid_buffer_reg_n_0_[51] ; - wire \skid_buffer_reg_n_0_[52] ; wire \skid_buffer_reg_n_0_[53] ; wire \skid_buffer_reg_n_0_[54] ; wire \skid_buffer_reg_n_0_[55] ; @@ -8310,20 +8212,30 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer_reg_n_0_[5] ; wire \skid_buffer_reg_n_0_[60] ; wire \skid_buffer_reg_n_0_[61] ; + wire \skid_buffer_reg_n_0_[62] ; + wire \skid_buffer_reg_n_0_[63] ; + wire \skid_buffer_reg_n_0_[64] ; wire \skid_buffer_reg_n_0_[6] ; wire \skid_buffer_reg_n_0_[7] ; wire \skid_buffer_reg_n_0_[8] ; wire \skid_buffer_reg_n_0_[9] ; wire \state_reg[0]_rep ; + wire [1:0]\state_reg[1] ; wire \state_reg[1]_rep ; wire \state_reg[1]_rep_0 ; wire [0:0]\state_reg[1]_rep_1 ; wire \wrap_boundary_axaddr_r[3]_i_2__0_n_0 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; - wire [0:0]\wrap_cnt_r_reg[2] ; - wire \wrap_cnt_r_reg[2]_0 ; - wire [2:0]\wrap_second_len_r_reg[2] ; - wire \wrap_second_len_r_reg[3] ; + wire \wrap_cnt_r[3]_i_2__0_n_0 ; + wire \wrap_cnt_r[3]_i_3_n_0 ; + wire [3:0]\wrap_cnt_r_reg[3] ; + wire \wrap_second_len_r[0]_i_2__0_n_0 ; + wire \wrap_second_len_r[0]_i_3_n_0 ; + wire \wrap_second_len_r[0]_i_4_n_0 ; + wire \wrap_second_len_r[0]_i_5_n_0 ; + wire \wrap_second_len_r[3]_i_2__0_n_0 ; + wire \wrap_second_len_r_reg[1] ; + wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED ; FDRE #( @@ -8446,6 +8358,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .DI({1'b0,1'b0,1'b0,1'b0}), .O(\axaddr_incr_reg[11] ), .S(Q[11:8])); + LUT6 #( + .INIT(64'hFFFFF8FF00000800)) + \axaddr_offset_r[0]_i_1__0 + (.I0(Q[38]), + .I1(\axaddr_offset_r[0]_i_2__0_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [0]), + .O(\axaddr_offset_r_reg[0] )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axaddr_offset_r[0]_i_2__0 @@ -8455,65 +8377,68 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I3(Q[2]), .I4(Q[36]), .I5(Q[0]), - .O(\axaddr_offset_r_reg[0] )); - LUT1 #( - .INIT(2'h1)) - \axaddr_offset_r[1]_i_1__0 - (.I0(\axaddr_offset_r_reg[1] ), - .O(\axaddr_offset_r_reg[3] [0])); + .O(\axaddr_offset_r[0]_i_2__0_n_0 )); LUT6 #( - .INIT(64'h1FDF00001FDFFFFF)) - \axaddr_offset_r[1]_i_2__0 - (.I0(\axaddr_offset_r[1]_i_3__0_n_0 ), - .I1(Q[35]), - .I2(Q[39]), - .I3(\axaddr_offset_r[2]_i_3__0_n_0 ), - .I4(\state_reg[1]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [0]), + .INIT(64'hFFFFF8FF00000800)) + \axaddr_offset_r[1]_i_1__0 + (.I0(Q[39]), + .I1(\axaddr_offset_r[1]_i_2__0_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [1]), .O(\axaddr_offset_r_reg[1] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hB8)) - \axaddr_offset_r[1]_i_3__0 - (.I0(Q[3]), - .I1(Q[36]), - .I2(Q[1]), - .O(\axaddr_offset_r[1]_i_3__0_n_0 )); LUT6 #( - .INIT(64'hAC00FFFFAC000000)) + .INIT(64'hAFA0CFCFAFA0C0C0)) + \axaddr_offset_r[1]_i_2__0 + (.I0(Q[4]), + .I1(Q[2]), + .I2(Q[35]), + .I3(Q[3]), + .I4(Q[36]), + .I5(Q[1]), + .O(\axaddr_offset_r[1]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT1 #( + .INIT(2'h1)) \axaddr_offset_r[2]_i_1__0 - (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), + (.I0(\axaddr_offset_r[2]_i_2_n_0 ), + .O(axaddr_offset_0)); + LUT6 #( + .INIT(64'h03FFF3FF55555555)) + \axaddr_offset_r[2]_i_2 + (.I0(\axaddr_offset_r_reg[3]_0 [2]), .I1(\axaddr_offset_r[2]_i_3__0_n_0 ), .I2(Q[35]), .I3(Q[40]), - .I4(\state_reg[1]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [1]), - .O(\axaddr_offset_r_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .I4(\axaddr_offset_r[2]_i_4_n_0 ), + .I5(\state_reg[1]_rep ), + .O(\axaddr_offset_r[2]_i_2_n_0 )); LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_2__0 - (.I0(Q[5]), + \axaddr_offset_r[2]_i_3__0 + (.I0(Q[4]), .I1(Q[36]), - .I2(Q[3]), - .O(\axaddr_offset_r[2]_i_2__0_n_0 )); + .I2(Q[2]), + .O(\axaddr_offset_r[2]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_3__0 - (.I0(Q[4]), + \axaddr_offset_r[2]_i_4 + (.I0(Q[5]), .I1(Q[36]), - .I2(Q[2]), - .O(\axaddr_offset_r[2]_i_3__0_n_0 )); + .I2(Q[3]), + .O(\axaddr_offset_r[2]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFF8FF00000800)) \axaddr_offset_r[3]_i_1__0 (.I0(Q[41]), .I1(\axaddr_offset_r[3]_i_2__0_n_0 ), - .I2(\state_reg[1]_rep_0 ), + .I2(\state_reg[1] [1]), .I3(s_ready_i_reg_0), - .I4(\state_reg[0]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [2]), - .O(\axaddr_offset_r_reg[3] [1])); + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [3]), + .O(\axaddr_offset_r_reg[3] )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axaddr_offset_r[3]_i_2__0 @@ -8526,7 +8451,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .O(\axaddr_offset_r[3]_i_2__0_n_0 )); LUT4 #( .INIT(16'hFFDF)) - \axlen_cnt[3]_i_4 + \axlen_cnt[3]_i_2__0 (.I0(Q[41]), .I1(\state_reg[0]_rep ), .I2(s_ready_i_reg_0), @@ -8538,6 +8463,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.I0(\m_payload_i_reg_n_0_[38] ), .I1(sel_first_1), .O(\m_axi_araddr[10] )); + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[0]_i_1__0 @@ -8545,7 +8471,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__0 @@ -8553,7 +8479,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__0 @@ -8561,7 +8487,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__0 @@ -8569,7 +8495,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[12] ), .O(\m_payload_i[12]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__1 @@ -8577,7 +8503,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1__0 @@ -8585,7 +8511,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[14] ), .O(\m_payload_i[14]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1__0 @@ -8593,7 +8519,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[15] ), .O(\m_payload_i[15]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1__0 @@ -8601,7 +8527,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[16] ), .O(\m_payload_i[16]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1__0 @@ -8609,7 +8535,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[17] ), .O(\m_payload_i[17]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1__0 @@ -8617,7 +8543,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[18] ), .O(\m_payload_i[18]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1__0 @@ -8625,7 +8551,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[19] ), .O(\m_payload_i[19]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__0 @@ -8633,7 +8559,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1__0 @@ -8641,7 +8567,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[20] ), .O(\m_payload_i[20]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1__0 @@ -8649,7 +8575,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[21] ), .O(\m_payload_i[21]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1__0 @@ -8657,7 +8583,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[22] ), .O(\m_payload_i[22]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1__0 @@ -8665,7 +8591,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[23] ), .O(\m_payload_i[23]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1__0 @@ -8673,7 +8599,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[24] ), .O(\m_payload_i[24]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1__0 @@ -8681,7 +8607,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[25] ), .O(\m_payload_i[25]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1__0 @@ -8689,7 +8615,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[26] ), .O(\m_payload_i[26]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1__0 @@ -8697,7 +8623,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[27] ), .O(\m_payload_i[27]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1__0 @@ -8705,7 +8631,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[28] ), .O(\m_payload_i[28]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1__0 @@ -8713,7 +8639,6 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[29] ), .O(\m_payload_i[29]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__0 @@ -8721,7 +8646,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1__0 @@ -8729,7 +8654,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[30] ), .O(\m_payload_i[30]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_2__0 @@ -8737,7 +8662,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[31] ), .O(\m_payload_i[31]_i_2__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1__0 @@ -8745,7 +8670,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[32] ), .O(\m_payload_i[32]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1__0 @@ -8753,7 +8678,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[33] ), .O(\m_payload_i[33]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1__0 @@ -8761,7 +8686,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[34] ), .O(\m_payload_i[34]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1__0 @@ -8769,7 +8694,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[35] ), .O(\m_payload_i[35]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1__0 @@ -8777,7 +8702,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[36] ), .O(\m_payload_i[36]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1__0 @@ -8785,7 +8710,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[38] ), .O(\m_payload_i[38]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1__0 @@ -8793,7 +8718,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[39] ), .O(\m_payload_i[39]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__0 @@ -8801,7 +8726,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1__0 @@ -8809,7 +8734,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[44] ), .O(\m_payload_i[44]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1__0 @@ -8817,7 +8742,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[45] ), .O(\m_payload_i[45]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_1__1 @@ -8825,7 +8750,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[46] ), .O(\m_payload_i[46]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[47]_i_1__0 @@ -8833,7 +8758,23 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[47] ), .O(\m_payload_i[47]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[48]_i_1__0 + (.I0(s_axi_arlen[4]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[48] ), + .O(\m_payload_i[48]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[49]_i_1__0 + (.I0(s_axi_arlen[5]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[49] ), + .O(\m_payload_i[49]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__0 @@ -8841,87 +8782,79 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[50]_i_1__0 - (.I0(s_axi_arid[0]), + (.I0(s_axi_arlen[6]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[50] ), .O(\m_payload_i[50]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[51]_i_1__0 - (.I0(s_axi_arid[1]), + (.I0(s_axi_arlen[7]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[51] ), .O(\m_payload_i[51]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hB8)) - \m_payload_i[52]_i_1__0 - (.I0(s_axi_arid[2]), - .I1(s_axi_arready), - .I2(\skid_buffer_reg_n_0_[52] ), - .O(\m_payload_i[52]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[53]_i_1__0 - (.I0(s_axi_arid[3]), + (.I0(s_axi_arid[0]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[53] ), .O(\m_payload_i[53]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[54]_i_1__0 - (.I0(s_axi_arid[4]), + (.I0(s_axi_arid[1]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[54] ), .O(\m_payload_i[54]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[55]_i_1__0 - (.I0(s_axi_arid[5]), + (.I0(s_axi_arid[2]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[55] ), .O(\m_payload_i[55]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[56]_i_1__0 - (.I0(s_axi_arid[6]), + (.I0(s_axi_arid[3]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[56] ), .O(\m_payload_i[56]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[57]_i_1__0 - (.I0(s_axi_arid[7]), + (.I0(s_axi_arid[4]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[57] ), .O(\m_payload_i[57]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[58]_i_1__0 - (.I0(s_axi_arid[8]), + (.I0(s_axi_arid[5]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[58] ), .O(\m_payload_i[58]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[59]_i_1__0 - (.I0(s_axi_arid[9]), + (.I0(s_axi_arid[6]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[59] ), .O(\m_payload_i[59]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__0 @@ -8929,23 +8862,47 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[60]_i_1__0 - (.I0(s_axi_arid[10]), + (.I0(s_axi_arid[7]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[60] ), .O(\m_payload_i[60]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[61]_i_1__0 - (.I0(s_axi_arid[11]), + (.I0(s_axi_arid[8]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[61] ), .O(\m_payload_i[61]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[62]_i_1__0 + (.I0(s_axi_arid[9]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[62] ), + .O(\m_payload_i[62]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[63]_i_1__0 + (.I0(s_axi_arid[10]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[63] ), + .O(\m_payload_i[63]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[64]_i_1__0 + (.I0(s_axi_arid[11]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[64] ), + .O(\m_payload_i[64]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__0 @@ -8953,7 +8910,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__0 @@ -8961,7 +8918,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__0 @@ -8969,7 +8926,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__0 @@ -9199,6 +9156,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(\m_payload_i[47]_i_1__0_n_0 ), .Q(Q[41]), .R(1'b0)); + FDRE \m_payload_i_reg[48] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[48]_i_1__0_n_0 ), + .Q(Q[42]), + .R(1'b0)); + FDRE \m_payload_i_reg[49] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[49]_i_1__0_n_0 ), + .Q(Q[43]), + .R(1'b0)); FDRE \m_payload_i_reg[4] (.C(aclk), .CE(\state_reg[1]_rep_1 ), @@ -9209,61 +9178,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[50]_i_1__0_n_0 ), - .Q(Q[42]), + .Q(Q[44]), .R(1'b0)); FDRE \m_payload_i_reg[51] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[51]_i_1__0_n_0 ), - .Q(Q[43]), - .R(1'b0)); - FDRE \m_payload_i_reg[52] - (.C(aclk), - .CE(\state_reg[1]_rep_1 ), - .D(\m_payload_i[52]_i_1__0_n_0 ), - .Q(Q[44]), + .Q(Q[45]), .R(1'b0)); FDRE \m_payload_i_reg[53] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[53]_i_1__0_n_0 ), - .Q(Q[45]), + .Q(Q[46]), .R(1'b0)); FDRE \m_payload_i_reg[54] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[54]_i_1__0_n_0 ), - .Q(Q[46]), + .Q(Q[47]), .R(1'b0)); FDRE \m_payload_i_reg[55] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[55]_i_1__0_n_0 ), - .Q(Q[47]), + .Q(Q[48]), .R(1'b0)); FDRE \m_payload_i_reg[56] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[56]_i_1__0_n_0 ), - .Q(Q[48]), + .Q(Q[49]), .R(1'b0)); FDRE \m_payload_i_reg[57] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[57]_i_1__0_n_0 ), - .Q(Q[49]), + .Q(Q[50]), .R(1'b0)); FDRE \m_payload_i_reg[58] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[58]_i_1__0_n_0 ), - .Q(Q[50]), + .Q(Q[51]), .R(1'b0)); FDRE \m_payload_i_reg[59] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[59]_i_1__0_n_0 ), - .Q(Q[51]), + .Q(Q[52]), .R(1'b0)); FDRE \m_payload_i_reg[5] (.C(aclk), @@ -9275,13 +9238,31 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[60]_i_1__0_n_0 ), - .Q(Q[52]), + .Q(Q[53]), .R(1'b0)); FDRE \m_payload_i_reg[61] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[61]_i_1__0_n_0 ), - .Q(Q[53]), + .Q(Q[54]), + .R(1'b0)); + FDRE \m_payload_i_reg[62] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[62]_i_1__0_n_0 ), + .Q(Q[55]), + .R(1'b0)); + FDRE \m_payload_i_reg[63] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[63]_i_1__0_n_0 ), + .Q(Q[56]), + .R(1'b0)); + FDRE \m_payload_i_reg[64] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[64]_i_1__0_n_0 ), + .Q(Q[57]), .R(1'b0)); FDRE \m_payload_i_reg[6] (.C(aclk), @@ -9313,14 +9294,22 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(m_valid_i0), .Q(s_ready_i_reg_0), .R(m_valid_i_reg_0)); - LUT5 #( - .INIT(32'hAAAAAAA8)) - next_pending_r_i_3__0 - (.I0(\state_reg[1]_rep ), - .I1(Q[38]), + LUT4 #( + .INIT(16'h0001)) + next_pending_r_i_2__1 + (.I0(Q[40]), + .I1(Q[39]), .I2(Q[41]), - .I3(Q[39]), - .I4(Q[40]), + .I3(Q[38]), + .O(next_pending_r_reg_0)); + LUT5 #( + .INIT(32'h00000002)) + next_pending_r_i_3__2 + (.I0(next_pending_r_reg_0), + .I1(Q[42]), + .I2(Q[45]), + .I3(Q[43]), + .I4(Q[44]), .O(next_pending_r_reg)); LUT5 #( .INIT(32'hF444FFFF)) @@ -9559,6 +9548,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(s_axi_arlen[3]), .Q(\skid_buffer_reg_n_0_[47] ), .R(1'b0)); + FDRE \skid_buffer_reg[48] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arlen[4]), + .Q(\skid_buffer_reg_n_0_[48] ), + .R(1'b0)); + FDRE \skid_buffer_reg[49] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arlen[5]), + .Q(\skid_buffer_reg_n_0_[49] ), + .R(1'b0)); FDRE \skid_buffer_reg[4] (.C(aclk), .CE(s_axi_arready), @@ -9568,80 +9569,92 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice FDRE \skid_buffer_reg[50] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[0]), + .D(s_axi_arlen[6]), .Q(\skid_buffer_reg_n_0_[50] ), .R(1'b0)); FDRE \skid_buffer_reg[51] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[1]), + .D(s_axi_arlen[7]), .Q(\skid_buffer_reg_n_0_[51] ), .R(1'b0)); - FDRE \skid_buffer_reg[52] - (.C(aclk), - .CE(s_axi_arready), - .D(s_axi_arid[2]), - .Q(\skid_buffer_reg_n_0_[52] ), - .R(1'b0)); FDRE \skid_buffer_reg[53] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[3]), + .D(s_axi_arid[0]), .Q(\skid_buffer_reg_n_0_[53] ), .R(1'b0)); FDRE \skid_buffer_reg[54] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[4]), + .D(s_axi_arid[1]), .Q(\skid_buffer_reg_n_0_[54] ), .R(1'b0)); FDRE \skid_buffer_reg[55] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[5]), + .D(s_axi_arid[2]), .Q(\skid_buffer_reg_n_0_[55] ), .R(1'b0)); FDRE \skid_buffer_reg[56] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[6]), + .D(s_axi_arid[3]), .Q(\skid_buffer_reg_n_0_[56] ), .R(1'b0)); FDRE \skid_buffer_reg[57] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[7]), + .D(s_axi_arid[4]), .Q(\skid_buffer_reg_n_0_[57] ), .R(1'b0)); FDRE \skid_buffer_reg[58] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[8]), + .D(s_axi_arid[5]), .Q(\skid_buffer_reg_n_0_[58] ), .R(1'b0)); FDRE \skid_buffer_reg[59] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[9]), + .D(s_axi_arid[6]), .Q(\skid_buffer_reg_n_0_[59] ), .R(1'b0)); - FDRE \skid_buffer_reg[5] + FDRE \skid_buffer_reg[5] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_araddr[5]), + .Q(\skid_buffer_reg_n_0_[5] ), + .R(1'b0)); + FDRE \skid_buffer_reg[60] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arid[7]), + .Q(\skid_buffer_reg_n_0_[60] ), + .R(1'b0)); + FDRE \skid_buffer_reg[61] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arid[8]), + .Q(\skid_buffer_reg_n_0_[61] ), + .R(1'b0)); + FDRE \skid_buffer_reg[62] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_araddr[5]), - .Q(\skid_buffer_reg_n_0_[5] ), + .D(s_axi_arid[9]), + .Q(\skid_buffer_reg_n_0_[62] ), .R(1'b0)); - FDRE \skid_buffer_reg[60] + FDRE \skid_buffer_reg[63] (.C(aclk), .CE(s_axi_arready), .D(s_axi_arid[10]), - .Q(\skid_buffer_reg_n_0_[60] ), + .Q(\skid_buffer_reg_n_0_[63] ), .R(1'b0)); - FDRE \skid_buffer_reg[61] + FDRE \skid_buffer_reg[64] (.C(aclk), .CE(s_axi_arready), .D(s_axi_arid[11]), - .Q(\skid_buffer_reg_n_0_[61] ), + .Q(\skid_buffer_reg_n_0_[64] ), .R(1'b0)); FDRE \skid_buffer_reg[6] (.C(aclk), @@ -9685,12 +9698,12 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I4(Q[39]), .O(\wrap_boundary_axaddr_r_reg[6] [1])); LUT6 #( - .INIT(64'h8888082AAAAA082A)) + .INIT(64'hA0A002A2AAAA02A2)) \wrap_boundary_axaddr_r[2]_i_1__0 (.I0(Q[2]), - .I1(Q[35]), - .I2(Q[39]), - .I3(Q[40]), + .I1(Q[40]), + .I2(Q[35]), + .I3(Q[39]), .I4(Q[36]), .I5(Q[38]), .O(\wrap_boundary_axaddr_r_reg[6] [2])); @@ -9713,14 +9726,14 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I2(Q[41]), .O(\wrap_boundary_axaddr_r[3]_i_2__0_n_0 )); LUT6 #( - .INIT(64'h002AA02A0A2AAA2A)) + .INIT(64'h002A0A2AA02AAA2A)) \wrap_boundary_axaddr_r[4]_i_1__0 (.I0(Q[4]), .I1(Q[41]), .I2(Q[35]), .I3(Q[36]), - .I4(Q[39]), - .I5(Q[40]), + .I4(Q[40]), + .I5(Q[39]), .O(\wrap_boundary_axaddr_r_reg[6] [4])); (* SOFT_HLUTNM = "soft_lutpair12" *) LUT5 #( @@ -9741,55 +9754,144 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I3(Q[41]), .O(\wrap_boundary_axaddr_r_reg[6] [6])); LUT6 #( - .INIT(64'hA656AAAAAAAAAAAA)) + .INIT(64'h55555855AAAAA8AA)) + \wrap_cnt_r[0]_i_1 + (.I0(\wrap_second_len_r[0]_i_2__0_n_0 ), + .I1(\wrap_second_len_r[0]_i_3_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\wrap_second_len_r_reg[3] [0]), + .O(\wrap_cnt_r_reg[3] [0])); + LUT2 #( + .INIT(4'h9)) + \wrap_cnt_r[1]_i_1__0 + (.I0(\wrap_second_len_r_reg[1] ), + .I1(\wrap_cnt_r[3]_i_2__0_n_0 ), + .O(\wrap_cnt_r_reg[3] [1])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT3 #( + .INIT(8'h9A)) \wrap_cnt_r[2]_i_1__0 (.I0(D[1]), - .I1(\wrap_second_len_r_reg[2] [0]), - .I2(\state_reg[1]_rep ), - .I3(axaddr_offset_0), - .I4(\wrap_cnt_r_reg[2]_0 ), - .I5(D[0]), - .O(\wrap_cnt_r_reg[2] )); + .I1(\wrap_cnt_r[3]_i_2__0_n_0 ), + .I2(\wrap_second_len_r_reg[1] ), + .O(\wrap_cnt_r_reg[3] [2])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT4 #( + .INIT(16'hA6AA)) + \wrap_cnt_r[3]_i_1__0 + (.I0(D[2]), + .I1(\wrap_second_len_r_reg[1] ), + .I2(\wrap_cnt_r[3]_i_2__0_n_0 ), + .I3(D[1]), + .O(\wrap_cnt_r_reg[3] [3])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT5 #( + .INIT(32'hAAAAABAA)) + \wrap_cnt_r[3]_i_2__0 + (.I0(\wrap_cnt_r[3]_i_3_n_0 ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), + .I4(\axaddr_offset_r_reg[3] ), + .O(\wrap_cnt_r[3]_i_2__0_n_0 )); LUT6 #( - .INIT(64'hFFFFFFBAFFFFFFFF)) + .INIT(64'h00000800FFFFF8FF)) + \wrap_cnt_r[3]_i_3 + (.I0(\axaddr_offset_r[0]_i_2__0_n_0 ), + .I1(Q[38]), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\wrap_second_len_r_reg[3] [0]), + .O(\wrap_cnt_r[3]_i_3_n_0 )); + LUT6 #( + .INIT(64'hCCCCC0CCCCCCCACC)) + \wrap_second_len_r[0]_i_1__0 + (.I0(\wrap_second_len_r[0]_i_2__0_n_0 ), + .I1(\wrap_second_len_r_reg[3] [0]), + .I2(\state_reg[1] [0]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [1]), + .I5(\wrap_second_len_r[0]_i_3_n_0 ), + .O(D[0])); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFF2FF)) \wrap_second_len_r[0]_i_2__0 - (.I0(\wrap_second_len_r_reg[3] ), + (.I0(\axaddr_offset_r_reg[3]_0 [3]), .I1(\state_reg[1]_rep ), - .I2(\axaddr_offset_r_reg[3]_0 [2]), - .I3(\axaddr_offset_r_reg[2] ), - .I4(axaddr_offset_0), - .I5(\axaddr_offset_r_reg[1] ), - .O(\wrap_cnt_r_reg[2]_0 )); + .I2(\wrap_second_len_r[3]_i_2__0_n_0 ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), + .I4(\axaddr_offset_r_reg[1] ), + .I5(\axaddr_offset_r_reg[0] ), + .O(\wrap_second_len_r[0]_i_2__0_n_0 )); + LUT6 #( + .INIT(64'h00000000FFE200E2)) + \wrap_second_len_r[0]_i_3 + (.I0(Q[0]), + .I1(Q[36]), + .I2(Q[2]), + .I3(Q[35]), + .I4(\wrap_second_len_r[0]_i_4_n_0 ), + .I5(\wrap_second_len_r[0]_i_5_n_0 ), + .O(\wrap_second_len_r[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'hB8)) + \wrap_second_len_r[0]_i_4 + (.I0(Q[3]), + .I1(Q[36]), + .I2(Q[1]), + .O(\wrap_second_len_r[0]_i_4_n_0 )); + LUT4 #( + .INIT(16'hFFDF)) + \wrap_second_len_r[0]_i_5 + (.I0(Q[38]), + .I1(\state_reg[1] [0]), + .I2(s_ready_i_reg_0), + .I3(\state_reg[1] [1]), + .O(\wrap_second_len_r[0]_i_5_n_0 )); LUT6 #( - .INIT(64'h0EF0FFFF0EF00000)) + .INIT(64'hC3AAC0AAC3AAC3AA)) \wrap_second_len_r[1]_i_1__0 - (.I0(\axaddr_offset_r_reg[2] ), - .I1(\axaddr_offset_r_reg[3] [1]), - .I2(axaddr_offset_0), - .I3(\axaddr_offset_r_reg[1] ), - .I4(\state_reg[1]_rep ), - .I5(\wrap_second_len_r_reg[2] [1]), - .O(D[0])); + (.I0(\wrap_second_len_r_reg[3] [1]), + .I1(\axaddr_offset_r_reg[0] ), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\state_reg[1]_rep ), + .I4(\axaddr_offset_r_reg[3] ), + .I5(\axaddr_offset_r[2]_i_2_n_0 ), + .O(\wrap_second_len_r_reg[1] )); LUT6 #( - .INIT(64'hD2D0FFFFD2D00000)) + .INIT(64'h02FCFFFF02FC0000)) \wrap_second_len_r[2]_i_1__0 - (.I0(\axaddr_offset_r_reg[1] ), - .I1(axaddr_offset_0), - .I2(\axaddr_offset_r_reg[2] ), - .I3(\axaddr_offset_r_reg[3] [1]), + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[1] ), + .I2(\axaddr_offset_r_reg[0] ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), .I4(\state_reg[1]_rep ), - .I5(\wrap_second_len_r_reg[2] [2]), + .I5(\wrap_second_len_r_reg[3] [2]), .O(D[1])); + LUT6 #( + .INIT(64'hEF00FFFFEF00EF00)) + \wrap_second_len_r[3]_i_1__0 + (.I0(\axaddr_offset_r_reg[0] ), + .I1(\axaddr_offset_r_reg[1] ), + .I2(\axaddr_offset_r[2]_i_2_n_0 ), + .I3(\wrap_second_len_r[3]_i_2__0_n_0 ), + .I4(\state_reg[1]_rep ), + .I5(\wrap_second_len_r_reg[3] [3]), + .O(D[2])); LUT6 #( .INIT(64'h00000000EEE222E2)) \wrap_second_len_r[3]_i_2__0 - (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), + (.I0(\axaddr_offset_r[2]_i_4_n_0 ), .I1(Q[35]), .I2(Q[4]), .I3(Q[36]), .I4(Q[6]), .I5(\axlen_cnt_reg[3] ), - .O(\wrap_second_len_r_reg[3] )); + .O(\wrap_second_len_r[3]_i_2__0_n_0 )); endmodule (* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) @@ -9801,11 +9903,13 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \axaddr_incr_reg[11] , CO, O, + \wrap_cnt_r_reg[1] , + \wrap_second_len_r_reg[3] , axaddr_offset, \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , \axlen_cnt_reg[3] , next_pending_r_reg, + next_pending_r_reg_0, \wrap_boundary_axaddr_r_reg[6] , \axaddr_offset_r_reg[0] , \m_axi_awaddr[10] , @@ -9814,8 +9918,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \aresetn_d_reg[1]_inv_0 , aresetn, S, - \axaddr_offset_r_reg[3] , \state_reg[1]_rep , + \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \state_reg[1]_rep_0 , \state_reg[0]_rep , s_axi_awvalid, @@ -9832,15 +9937,17 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 output s_axi_awready; output s_ready_i_reg_0; output m_valid_i_reg_0; - output [53:0]Q; + output [57:0]Q; output [7:0]\axaddr_incr_reg[11] ; output [0:0]CO; output [3:0]O; + output \wrap_cnt_r_reg[1] ; + output \wrap_second_len_r_reg[3] ; output [2:0]axaddr_offset; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; output \axlen_cnt_reg[3] ; output next_pending_r_reg; + output next_pending_r_reg_0; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; output \axaddr_offset_r_reg[0] ; output \m_axi_awaddr[10] ; @@ -9849,15 +9956,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 input \aresetn_d_reg[1]_inv_0 ; input aresetn; input [3:0]S; - input [2:0]\axaddr_offset_r_reg[3] ; input \state_reg[1]_rep ; + input [2:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \state_reg[1]_rep_0 ; input \state_reg[0]_rep ; input s_axi_awvalid; input b_push; input sel_first; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; @@ -9869,7 +9977,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire [0:0]CO; wire [0:0]E; wire [3:0]O; - wire [53:0]Q; + wire [57:0]Q; wire [3:0]S; wire aclk; wire aresetn; @@ -9905,10 +10013,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \axaddr_incr_reg[8]_i_6_n_3 ; wire [2:0]axaddr_offset; wire \axaddr_offset_r[1]_i_3_n_0 ; - wire \axaddr_offset_r[2]_i_2_n_0 ; + wire \axaddr_offset_r[2]_i_2__0_n_0 ; wire \axaddr_offset_r[2]_i_3_n_0 ; wire \axaddr_offset_r[3]_i_2_n_0 ; wire \axaddr_offset_r_reg[0] ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; wire [2:0]\axaddr_offset_r_reg[3] ; wire \axlen_cnt_reg[3] ; @@ -9918,10 +10027,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire m_valid_i0; wire m_valid_i_reg_0; wire next_pending_r_reg; + wire next_pending_r_reg_0; wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -9929,7 +10039,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire s_ready_i0; wire s_ready_i_reg_0; wire sel_first; - wire [61:0]skid_buffer; + wire [64:0]skid_buffer; wire \skid_buffer_reg_n_0_[0] ; wire \skid_buffer_reg_n_0_[10] ; wire \skid_buffer_reg_n_0_[11] ; @@ -9967,10 +10077,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \skid_buffer_reg_n_0_[45] ; wire \skid_buffer_reg_n_0_[46] ; wire \skid_buffer_reg_n_0_[47] ; + wire \skid_buffer_reg_n_0_[48] ; + wire \skid_buffer_reg_n_0_[49] ; wire \skid_buffer_reg_n_0_[4] ; wire \skid_buffer_reg_n_0_[50] ; wire \skid_buffer_reg_n_0_[51] ; - wire \skid_buffer_reg_n_0_[52] ; wire \skid_buffer_reg_n_0_[53] ; wire \skid_buffer_reg_n_0_[54] ; wire \skid_buffer_reg_n_0_[55] ; @@ -9981,6 +10092,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \skid_buffer_reg_n_0_[5] ; wire \skid_buffer_reg_n_0_[60] ; wire \skid_buffer_reg_n_0_[61] ; + wire \skid_buffer_reg_n_0_[62] ; + wire \skid_buffer_reg_n_0_[63] ; + wire \skid_buffer_reg_n_0_[64] ; wire \skid_buffer_reg_n_0_[6] ; wire \skid_buffer_reg_n_0_[7] ; wire \skid_buffer_reg_n_0_[8] ; @@ -9990,6 +10104,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r[3]_i_2_n_0 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; + wire \wrap_cnt_r_reg[1] ; wire \wrap_second_len_r_reg[3] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED ; @@ -10144,7 +10259,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[39]), .I5(\axaddr_offset_r[2]_i_3_n_0 ), .O(\axaddr_offset_r_reg[1] )); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \axaddr_offset_r[1]_i_3 @@ -10157,19 +10272,19 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \axaddr_offset_r[2]_i_1 (.I0(\axaddr_offset_r_reg[3] [1]), .I1(\state_reg[1]_rep ), - .I2(\axaddr_offset_r[2]_i_2_n_0 ), + .I2(\axaddr_offset_r[2]_i_2__0_n_0 ), .I3(\axaddr_offset_r[2]_i_3_n_0 ), .I4(Q[35]), .I5(Q[40]), .O(axaddr_offset[1])); + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_2 + \axaddr_offset_r[2]_i_2__0 (.I0(Q[5]), .I1(Q[36]), .I2(Q[3]), - .O(\axaddr_offset_r[2]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair42" *) + .O(\axaddr_offset_r[2]_i_2__0_n_0 )); LUT3 #( .INIT(8'hB8)) \axaddr_offset_r[2]_i_3 @@ -10199,7 +10314,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .O(\axaddr_offset_r[3]_i_2_n_0 )); LUT4 #( .INIT(16'hFFDF)) - \axlen_cnt[3]_i_3 + \axlen_cnt[3]_i_2 (.I0(Q[41]), .I1(\state_reg[0]_rep ), .I2(m_valid_i_reg_0), @@ -10218,7 +10333,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[0] ), .O(skid_buffer[0])); - (* SOFT_HLUTNM = "soft_lutpair65" *) + (* SOFT_HLUTNM = "soft_lutpair70" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1 @@ -10226,7 +10341,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[10] ), .O(skid_buffer[10])); - (* SOFT_HLUTNM = "soft_lutpair62" *) + (* SOFT_HLUTNM = "soft_lutpair69" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1 @@ -10234,7 +10349,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[11] ), .O(skid_buffer[11])); - (* SOFT_HLUTNM = "soft_lutpair64" *) + (* SOFT_HLUTNM = "soft_lutpair67" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1 @@ -10242,7 +10357,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[12] ), .O(skid_buffer[12])); - (* SOFT_HLUTNM = "soft_lutpair64" *) + (* SOFT_HLUTNM = "soft_lutpair69" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__0 @@ -10250,7 +10365,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[13] ), .O(skid_buffer[13])); - (* SOFT_HLUTNM = "soft_lutpair63" *) + (* SOFT_HLUTNM = "soft_lutpair68" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1 @@ -10258,7 +10373,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[14] ), .O(skid_buffer[14])); - (* SOFT_HLUTNM = "soft_lutpair63" *) + (* SOFT_HLUTNM = "soft_lutpair68" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1 @@ -10266,7 +10381,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[15] ), .O(skid_buffer[15])); - (* SOFT_HLUTNM = "soft_lutpair62" *) + (* SOFT_HLUTNM = "soft_lutpair67" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1 @@ -10274,7 +10389,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[16] ), .O(skid_buffer[16])); - (* SOFT_HLUTNM = "soft_lutpair58" *) + (* SOFT_HLUTNM = "soft_lutpair66" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1 @@ -10282,7 +10397,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[17] ), .O(skid_buffer[17])); - (* SOFT_HLUTNM = "soft_lutpair61" *) + (* SOFT_HLUTNM = "soft_lutpair62" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1 @@ -10290,7 +10405,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[18] ), .O(skid_buffer[18])); - (* SOFT_HLUTNM = "soft_lutpair61" *) + (* SOFT_HLUTNM = "soft_lutpair66" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1 @@ -10298,7 +10413,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[19] ), .O(skid_buffer[19])); - (* SOFT_HLUTNM = "soft_lutpair69" *) + (* SOFT_HLUTNM = "soft_lutpair74" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1 @@ -10306,7 +10421,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[1] ), .O(skid_buffer[1])); - (* SOFT_HLUTNM = "soft_lutpair60" *) + (* SOFT_HLUTNM = "soft_lutpair65" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1 @@ -10314,7 +10429,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[20] ), .O(skid_buffer[20])); - (* SOFT_HLUTNM = "soft_lutpair60" *) + (* SOFT_HLUTNM = "soft_lutpair65" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1 @@ -10322,7 +10437,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[21] ), .O(skid_buffer[21])); - (* SOFT_HLUTNM = "soft_lutpair59" *) + (* SOFT_HLUTNM = "soft_lutpair64" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1 @@ -10330,7 +10445,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[22] ), .O(skid_buffer[22])); - (* SOFT_HLUTNM = "soft_lutpair59" *) + (* SOFT_HLUTNM = "soft_lutpair64" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1 @@ -10338,7 +10453,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[23] ), .O(skid_buffer[23])); - (* SOFT_HLUTNM = "soft_lutpair58" *) + (* SOFT_HLUTNM = "soft_lutpair63" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1 @@ -10346,7 +10461,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[24] ), .O(skid_buffer[24])); - (* SOFT_HLUTNM = "soft_lutpair57" *) + (* SOFT_HLUTNM = "soft_lutpair63" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1 @@ -10354,7 +10469,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[25] ), .O(skid_buffer[25])); - (* SOFT_HLUTNM = "soft_lutpair52" *) + (* SOFT_HLUTNM = "soft_lutpair62" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1 @@ -10362,7 +10477,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[26] ), .O(skid_buffer[26])); - (* SOFT_HLUTNM = "soft_lutpair57" *) + (* SOFT_HLUTNM = "soft_lutpair61" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1 @@ -10378,7 +10493,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[28] ), .O(skid_buffer[28])); - (* SOFT_HLUTNM = "soft_lutpair56" *) + (* SOFT_HLUTNM = "soft_lutpair61" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1 @@ -10386,7 +10501,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[29] ), .O(skid_buffer[29])); - (* SOFT_HLUTNM = "soft_lutpair69" *) + (* SOFT_HLUTNM = "soft_lutpair74" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1 @@ -10394,7 +10509,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[2] ), .O(skid_buffer[2])); - (* SOFT_HLUTNM = "soft_lutpair55" *) + (* SOFT_HLUTNM = "soft_lutpair60" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1 @@ -10402,7 +10517,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[30] ), .O(skid_buffer[30])); - (* SOFT_HLUTNM = "soft_lutpair55" *) + (* SOFT_HLUTNM = "soft_lutpair60" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_2 @@ -10410,7 +10525,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[31] ), .O(skid_buffer[31])); - (* SOFT_HLUTNM = "soft_lutpair54" *) + (* SOFT_HLUTNM = "soft_lutpair59" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1 @@ -10418,7 +10533,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[32] ), .O(skid_buffer[32])); - (* SOFT_HLUTNM = "soft_lutpair54" *) + (* SOFT_HLUTNM = "soft_lutpair59" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1 @@ -10426,7 +10541,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[33] ), .O(skid_buffer[33])); - (* SOFT_HLUTNM = "soft_lutpair53" *) + (* SOFT_HLUTNM = "soft_lutpair58" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1 @@ -10434,7 +10549,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[34] ), .O(skid_buffer[34])); - (* SOFT_HLUTNM = "soft_lutpair53" *) + (* SOFT_HLUTNM = "soft_lutpair58" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1 @@ -10442,7 +10557,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[35] ), .O(skid_buffer[35])); - (* SOFT_HLUTNM = "soft_lutpair52" *) + (* SOFT_HLUTNM = "soft_lutpair57" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1 @@ -10450,7 +10565,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[36] ), .O(skid_buffer[36])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair57" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1 @@ -10458,7 +10573,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[38] ), .O(skid_buffer[38])); - (* SOFT_HLUTNM = "soft_lutpair51" *) + (* SOFT_HLUTNM = "soft_lutpair56" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1 @@ -10466,7 +10581,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[39] ), .O(skid_buffer[39])); - (* SOFT_HLUTNM = "soft_lutpair68" *) + (* SOFT_HLUTNM = "soft_lutpair73" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1 @@ -10474,7 +10589,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[3] ), .O(skid_buffer[3])); - (* SOFT_HLUTNM = "soft_lutpair51" *) + (* SOFT_HLUTNM = "soft_lutpair55" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1 @@ -10482,7 +10597,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[44] ), .O(skid_buffer[44])); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1 @@ -10490,7 +10605,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[45] ), .O(skid_buffer[45])); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair55" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_1__0 @@ -10498,7 +10613,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[46] ), .O(skid_buffer[46])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair54" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[47]_i_1 @@ -10506,7 +10621,23 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[47] ), .O(skid_buffer[47])); - (* SOFT_HLUTNM = "soft_lutpair67" *) + (* SOFT_HLUTNM = "soft_lutpair54" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[48]_i_1 + (.I0(s_axi_awlen[4]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[48] ), + .O(skid_buffer[48])); + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[49]_i_1 + (.I0(s_axi_awlen[5]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[49] ), + .O(skid_buffer[49])); + (* SOFT_HLUTNM = "soft_lutpair72" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1 @@ -10514,111 +10645,127 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[4] ), .O(skid_buffer[4])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair53" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[50]_i_1 - (.I0(s_axi_awid[0]), + (.I0(s_axi_awlen[6]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[50] ), .O(skid_buffer[50])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[51]_i_1 - (.I0(s_axi_awid[1]), + (.I0(s_axi_awlen[7]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[51] ), .O(skid_buffer[51])); - (* SOFT_HLUTNM = "soft_lutpair48" *) - LUT3 #( - .INIT(8'hB8)) - \m_payload_i[52]_i_1 - (.I0(s_axi_awid[2]), - .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[52] ), - .O(skid_buffer[52])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[53]_i_1 - (.I0(s_axi_awid[3]), + (.I0(s_axi_awid[0]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[53] ), .O(skid_buffer[53])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[54]_i_1 - (.I0(s_axi_awid[4]), + (.I0(s_axi_awid[1]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[54] ), .O(skid_buffer[54])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[55]_i_1 - (.I0(s_axi_awid[5]), + (.I0(s_axi_awid[2]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[55] ), .O(skid_buffer[55])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[56]_i_1 - (.I0(s_axi_awid[6]), + (.I0(s_axi_awid[3]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[56] ), .O(skid_buffer[56])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[57]_i_1 - (.I0(s_axi_awid[7]), + (.I0(s_axi_awid[4]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[57] ), .O(skid_buffer[57])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[58]_i_1 - (.I0(s_axi_awid[8]), + (.I0(s_axi_awid[5]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[58] ), .O(skid_buffer[58])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[59]_i_1 - (.I0(s_axi_awid[9]), + (.I0(s_axi_awid[6]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[59] ), .O(skid_buffer[59])); - (* SOFT_HLUTNM = "soft_lutpair68" *) + (* SOFT_HLUTNM = "soft_lutpair73" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[5]_i_1 + (.I0(s_axi_awaddr[5]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[5] ), + .O(skid_buffer[5])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[60]_i_1 + (.I0(s_axi_awid[7]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[60] ), + .O(skid_buffer[60])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[61]_i_1 + (.I0(s_axi_awid[8]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[61] ), + .O(skid_buffer[61])); + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[5]_i_1 - (.I0(s_axi_awaddr[5]), + \m_payload_i[62]_i_1 + (.I0(s_axi_awid[9]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[5] ), - .O(skid_buffer[5])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + .I2(\skid_buffer_reg_n_0_[62] ), + .O(skid_buffer[62])); + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[60]_i_1 + \m_payload_i[63]_i_1 (.I0(s_axi_awid[10]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[60] ), - .O(skid_buffer[60])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + .I2(\skid_buffer_reg_n_0_[63] ), + .O(skid_buffer[63])); + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[61]_i_1 + \m_payload_i[64]_i_1 (.I0(s_axi_awid[11]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[61] ), - .O(skid_buffer[61])); - (* SOFT_HLUTNM = "soft_lutpair67" *) + .I2(\skid_buffer_reg_n_0_[64] ), + .O(skid_buffer[64])); + (* SOFT_HLUTNM = "soft_lutpair72" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1 @@ -10626,7 +10773,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[6] ), .O(skid_buffer[6])); - (* SOFT_HLUTNM = "soft_lutpair65" *) + (* SOFT_HLUTNM = "soft_lutpair70" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1 @@ -10634,7 +10781,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[7] ), .O(skid_buffer[7])); - (* SOFT_HLUTNM = "soft_lutpair66" *) + (* SOFT_HLUTNM = "soft_lutpair71" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1 @@ -10642,7 +10789,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[8] ), .O(skid_buffer[8])); - (* SOFT_HLUTNM = "soft_lutpair66" *) + (* SOFT_HLUTNM = "soft_lutpair71" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1 @@ -10872,6 +11019,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .D(skid_buffer[47]), .Q(Q[41]), .R(1'b0)); + FDRE \m_payload_i_reg[48] + (.C(aclk), + .CE(E), + .D(skid_buffer[48]), + .Q(Q[42]), + .R(1'b0)); + FDRE \m_payload_i_reg[49] + (.C(aclk), + .CE(E), + .D(skid_buffer[49]), + .Q(Q[43]), + .R(1'b0)); FDRE \m_payload_i_reg[4] (.C(aclk), .CE(E), @@ -10882,61 +11041,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 (.C(aclk), .CE(E), .D(skid_buffer[50]), - .Q(Q[42]), + .Q(Q[44]), .R(1'b0)); FDRE \m_payload_i_reg[51] (.C(aclk), .CE(E), .D(skid_buffer[51]), - .Q(Q[43]), - .R(1'b0)); - FDRE \m_payload_i_reg[52] - (.C(aclk), - .CE(E), - .D(skid_buffer[52]), - .Q(Q[44]), + .Q(Q[45]), .R(1'b0)); FDRE \m_payload_i_reg[53] (.C(aclk), .CE(E), .D(skid_buffer[53]), - .Q(Q[45]), + .Q(Q[46]), .R(1'b0)); FDRE \m_payload_i_reg[54] (.C(aclk), .CE(E), .D(skid_buffer[54]), - .Q(Q[46]), + .Q(Q[47]), .R(1'b0)); FDRE \m_payload_i_reg[55] (.C(aclk), .CE(E), .D(skid_buffer[55]), - .Q(Q[47]), + .Q(Q[48]), .R(1'b0)); FDRE \m_payload_i_reg[56] (.C(aclk), .CE(E), .D(skid_buffer[56]), - .Q(Q[48]), + .Q(Q[49]), .R(1'b0)); FDRE \m_payload_i_reg[57] (.C(aclk), .CE(E), .D(skid_buffer[57]), - .Q(Q[49]), + .Q(Q[50]), .R(1'b0)); FDRE \m_payload_i_reg[58] (.C(aclk), .CE(E), .D(skid_buffer[58]), - .Q(Q[50]), + .Q(Q[51]), .R(1'b0)); FDRE \m_payload_i_reg[59] (.C(aclk), .CE(E), .D(skid_buffer[59]), - .Q(Q[51]), + .Q(Q[52]), .R(1'b0)); FDRE \m_payload_i_reg[5] (.C(aclk), @@ -10948,13 +11101,31 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 (.C(aclk), .CE(E), .D(skid_buffer[60]), - .Q(Q[52]), + .Q(Q[53]), .R(1'b0)); FDRE \m_payload_i_reg[61] (.C(aclk), .CE(E), .D(skid_buffer[61]), - .Q(Q[53]), + .Q(Q[54]), + .R(1'b0)); + FDRE \m_payload_i_reg[62] + (.C(aclk), + .CE(E), + .D(skid_buffer[62]), + .Q(Q[55]), + .R(1'b0)); + FDRE \m_payload_i_reg[63] + (.C(aclk), + .CE(E), + .D(skid_buffer[63]), + .Q(Q[56]), + .R(1'b0)); + FDRE \m_payload_i_reg[64] + (.C(aclk), + .CE(E), + .D(skid_buffer[64]), + .Q(Q[57]), .R(1'b0)); FDRE \m_payload_i_reg[6] (.C(aclk), @@ -10995,14 +11166,22 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .Q(m_valid_i_reg_0), .R(\aresetn_d_reg[1]_inv_0 )); LUT5 #( - .INIT(32'hAAAAAAA8)) - next_pending_r_i_4 - (.I0(\state_reg[1]_rep ), - .I1(Q[38]), - .I2(Q[41]), - .I3(Q[39]), - .I4(Q[40]), + .INIT(32'hFFFFFFFD)) + next_pending_r_i_2__0 + (.I0(next_pending_r_reg_0), + .I1(Q[42]), + .I2(Q[43]), + .I3(Q[44]), + .I4(Q[45]), .O(next_pending_r_reg)); + LUT4 #( + .INIT(16'h0001)) + next_pending_r_i_3__0 + (.I0(Q[40]), + .I1(Q[39]), + .I2(Q[41]), + .I3(Q[38]), + .O(next_pending_r_reg_0)); LUT1 #( .INIT(2'h1)) s_ready_i_i_1__1 @@ -11244,6 +11423,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .D(s_axi_awlen[3]), .Q(\skid_buffer_reg_n_0_[47] ), .R(1'b0)); + FDRE \skid_buffer_reg[48] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awlen[4]), + .Q(\skid_buffer_reg_n_0_[48] ), + .R(1'b0)); + FDRE \skid_buffer_reg[49] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awlen[5]), + .Q(\skid_buffer_reg_n_0_[49] ), + .R(1'b0)); FDRE \skid_buffer_reg[4] (.C(aclk), .CE(s_axi_awready), @@ -11253,61 +11444,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 FDRE \skid_buffer_reg[50] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[0]), + .D(s_axi_awlen[6]), .Q(\skid_buffer_reg_n_0_[50] ), .R(1'b0)); FDRE \skid_buffer_reg[51] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[1]), + .D(s_axi_awlen[7]), .Q(\skid_buffer_reg_n_0_[51] ), .R(1'b0)); - FDRE \skid_buffer_reg[52] - (.C(aclk), - .CE(s_axi_awready), - .D(s_axi_awid[2]), - .Q(\skid_buffer_reg_n_0_[52] ), - .R(1'b0)); FDRE \skid_buffer_reg[53] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[3]), + .D(s_axi_awid[0]), .Q(\skid_buffer_reg_n_0_[53] ), .R(1'b0)); FDRE \skid_buffer_reg[54] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[4]), + .D(s_axi_awid[1]), .Q(\skid_buffer_reg_n_0_[54] ), .R(1'b0)); FDRE \skid_buffer_reg[55] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[5]), + .D(s_axi_awid[2]), .Q(\skid_buffer_reg_n_0_[55] ), .R(1'b0)); FDRE \skid_buffer_reg[56] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[6]), + .D(s_axi_awid[3]), .Q(\skid_buffer_reg_n_0_[56] ), .R(1'b0)); FDRE \skid_buffer_reg[57] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[7]), + .D(s_axi_awid[4]), .Q(\skid_buffer_reg_n_0_[57] ), .R(1'b0)); FDRE \skid_buffer_reg[58] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[8]), + .D(s_axi_awid[5]), .Q(\skid_buffer_reg_n_0_[58] ), .R(1'b0)); FDRE \skid_buffer_reg[59] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[9]), + .D(s_axi_awid[6]), .Q(\skid_buffer_reg_n_0_[59] ), .R(1'b0)); FDRE \skid_buffer_reg[5] @@ -11319,15 +11504,33 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 FDRE \skid_buffer_reg[60] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[10]), + .D(s_axi_awid[7]), .Q(\skid_buffer_reg_n_0_[60] ), .R(1'b0)); FDRE \skid_buffer_reg[61] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[11]), + .D(s_axi_awid[8]), .Q(\skid_buffer_reg_n_0_[61] ), .R(1'b0)); + FDRE \skid_buffer_reg[62] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[9]), + .Q(\skid_buffer_reg_n_0_[62] ), + .R(1'b0)); + FDRE \skid_buffer_reg[63] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[10]), + .Q(\skid_buffer_reg_n_0_[63] ), + .R(1'b0)); + FDRE \skid_buffer_reg[64] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[11]), + .Q(\skid_buffer_reg_n_0_[64] ), + .R(1'b0)); FDRE \skid_buffer_reg[6] (.C(aclk), .CE(s_axi_awready), @@ -11389,7 +11592,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[35]), .I5(Q[38]), .O(\wrap_boundary_axaddr_r_reg[6] [3])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \wrap_boundary_axaddr_r[3]_i_2 @@ -11407,7 +11610,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[39]), .I5(Q[40]), .O(\wrap_boundary_axaddr_r_reg[6] [4])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT5 #( .INIT(32'h2A222AAA)) \wrap_boundary_axaddr_r[5]_i_1 @@ -11425,10 +11628,20 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I2(Q[35]), .I3(Q[41]), .O(\wrap_boundary_axaddr_r_reg[6] [6])); + LUT6 #( + .INIT(64'hFFFFFFBAFFFFFFFF)) + \wrap_second_len_r[0]_i_2 + (.I0(\wrap_second_len_r_reg[3] ), + .I1(\state_reg[1]_rep ), + .I2(\axaddr_offset_r_reg[3] [2]), + .I3(axaddr_offset[1]), + .I4(\axaddr_offset_r_reg[0]_0 ), + .I5(\axaddr_offset_r_reg[1] ), + .O(\wrap_cnt_r_reg[1] )); LUT6 #( .INIT(64'h00000000EEE222E2)) \wrap_second_len_r[3]_i_2 - (.I0(\axaddr_offset_r[2]_i_2_n_0 ), + (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), .I1(Q[35]), .I2(Q[4]), .I3(Q[36]), @@ -11505,7 +11718,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa wire \skid_buffer_reg_n_0_[8] ; wire \skid_buffer_reg_n_0_[9] ; - (* SOFT_HLUTNM = "soft_lutpair77" *) + (* SOFT_HLUTNM = "soft_lutpair82" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[0]_i_1__1 @@ -11513,7 +11726,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair72" *) + (* SOFT_HLUTNM = "soft_lutpair77" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__1 @@ -11521,7 +11734,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair71" *) + (* SOFT_HLUTNM = "soft_lutpair76" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__1 @@ -11529,7 +11742,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair72" *) + (* SOFT_HLUTNM = "soft_lutpair77" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__1 @@ -11543,7 +11756,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa (.I0(s_axi_bready), .I1(s_axi_bvalid), .O(p_1_in)); - (* SOFT_HLUTNM = "soft_lutpair71" *) + (* SOFT_HLUTNM = "soft_lutpair76" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_2 @@ -11551,7 +11764,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair77" *) + (* SOFT_HLUTNM = "soft_lutpair82" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__1 @@ -11559,7 +11772,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair76" *) + (* SOFT_HLUTNM = "soft_lutpair81" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__1 @@ -11567,7 +11780,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair76" *) + (* SOFT_HLUTNM = "soft_lutpair81" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__1 @@ -11575,7 +11788,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair75" *) + (* SOFT_HLUTNM = "soft_lutpair80" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__1 @@ -11583,7 +11796,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair75" *) + (* SOFT_HLUTNM = "soft_lutpair80" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__1 @@ -11591,7 +11804,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair74" *) + (* SOFT_HLUTNM = "soft_lutpair79" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__1 @@ -11599,7 +11812,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair74" *) + (* SOFT_HLUTNM = "soft_lutpair79" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__1 @@ -11607,7 +11820,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair73" *) + (* SOFT_HLUTNM = "soft_lutpair78" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__1 @@ -11615,7 +11828,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair73" *) + (* SOFT_HLUTNM = "soft_lutpair78" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__1 @@ -11721,7 +11934,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .D(m_valid_i0), .Q(s_axi_bvalid), .R(\aresetn_d_reg[1]_inv )); - (* SOFT_HLUTNM = "soft_lutpair70" *) + (* SOFT_HLUTNM = "soft_lutpair75" *) LUT4 #( .INIT(16'hF4FF)) s_ready_i_i_1 @@ -11736,7 +11949,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .D(s_ready_i0), .Q(\skid_buffer_reg[0]_0 ), .R(\aresetn_d_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair70" *) + (* SOFT_HLUTNM = "soft_lutpair75" *) LUT2 #( .INIT(4'h8)) shandshake_r_i_1 @@ -11967,7 +12180,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair96" *) + (* SOFT_HLUTNM = "soft_lutpair101" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__2 @@ -11975,7 +12188,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair95" *) + (* SOFT_HLUTNM = "soft_lutpair100" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__2 @@ -11983,7 +12196,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair95" *) + (* SOFT_HLUTNM = "soft_lutpair100" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__2 @@ -11991,7 +12204,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[12] ), .O(\m_payload_i[12]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair94" *) + (* SOFT_HLUTNM = "soft_lutpair99" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__2 @@ -11999,7 +12212,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair94" *) + (* SOFT_HLUTNM = "soft_lutpair99" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1__1 @@ -12007,7 +12220,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[14] ), .O(\m_payload_i[14]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair93" *) + (* SOFT_HLUTNM = "soft_lutpair98" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1__1 @@ -12015,7 +12228,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[15] ), .O(\m_payload_i[15]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair93" *) + (* SOFT_HLUTNM = "soft_lutpair98" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1__1 @@ -12023,7 +12236,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[16] ), .O(\m_payload_i[16]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair92" *) + (* SOFT_HLUTNM = "soft_lutpair97" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1__1 @@ -12031,7 +12244,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[17] ), .O(\m_payload_i[17]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair92" *) + (* SOFT_HLUTNM = "soft_lutpair97" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1__1 @@ -12039,7 +12252,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[18] ), .O(\m_payload_i[18]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair91" *) + (* SOFT_HLUTNM = "soft_lutpair96" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1__1 @@ -12047,7 +12260,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[19] ), .O(\m_payload_i[19]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair100" *) + (* SOFT_HLUTNM = "soft_lutpair105" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__2 @@ -12055,7 +12268,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair91" *) + (* SOFT_HLUTNM = "soft_lutpair96" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1__1 @@ -12063,7 +12276,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[20] ), .O(\m_payload_i[20]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair90" *) + (* SOFT_HLUTNM = "soft_lutpair95" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1__1 @@ -12071,7 +12284,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[21] ), .O(\m_payload_i[21]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair90" *) + (* SOFT_HLUTNM = "soft_lutpair95" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1__1 @@ -12079,7 +12292,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[22] ), .O(\m_payload_i[22]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair89" *) + (* SOFT_HLUTNM = "soft_lutpair94" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1__1 @@ -12087,7 +12300,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[23] ), .O(\m_payload_i[23]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair89" *) + (* SOFT_HLUTNM = "soft_lutpair94" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1__1 @@ -12095,7 +12308,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[24] ), .O(\m_payload_i[24]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair88" *) + (* SOFT_HLUTNM = "soft_lutpair93" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1__1 @@ -12103,7 +12316,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[25] ), .O(\m_payload_i[25]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair88" *) + (* SOFT_HLUTNM = "soft_lutpair93" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1__1 @@ -12111,7 +12324,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[26] ), .O(\m_payload_i[26]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair87" *) + (* SOFT_HLUTNM = "soft_lutpair92" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1__1 @@ -12119,7 +12332,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[27] ), .O(\m_payload_i[27]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair87" *) + (* SOFT_HLUTNM = "soft_lutpair92" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1__1 @@ -12127,7 +12340,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[28] ), .O(\m_payload_i[28]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair86" *) + (* SOFT_HLUTNM = "soft_lutpair91" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1__1 @@ -12135,7 +12348,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[29] ), .O(\m_payload_i[29]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair100" *) + (* SOFT_HLUTNM = "soft_lutpair105" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__2 @@ -12143,7 +12356,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair86" *) + (* SOFT_HLUTNM = "soft_lutpair91" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1__1 @@ -12151,7 +12364,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[30] ), .O(\m_payload_i[30]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair85" *) + (* SOFT_HLUTNM = "soft_lutpair90" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_1__1 @@ -12159,7 +12372,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[31] ), .O(\m_payload_i[31]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair85" *) + (* SOFT_HLUTNM = "soft_lutpair90" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1__1 @@ -12167,7 +12380,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[32] ), .O(\m_payload_i[32]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair84" *) + (* SOFT_HLUTNM = "soft_lutpair89" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1__1 @@ -12175,7 +12388,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[33] ), .O(\m_payload_i[33]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair84" *) + (* SOFT_HLUTNM = "soft_lutpair89" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1__1 @@ -12183,7 +12396,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[34] ), .O(\m_payload_i[34]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair83" *) + (* SOFT_HLUTNM = "soft_lutpair88" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1__1 @@ -12191,7 +12404,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[35] ), .O(\m_payload_i[35]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair83" *) + (* SOFT_HLUTNM = "soft_lutpair88" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1__1 @@ -12199,7 +12412,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[36] ), .O(\m_payload_i[36]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair82" *) + (* SOFT_HLUTNM = "soft_lutpair87" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[37]_i_1 @@ -12207,7 +12420,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[37] ), .O(\m_payload_i[37]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair82" *) + (* SOFT_HLUTNM = "soft_lutpair87" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1__1 @@ -12215,7 +12428,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[38] ), .O(\m_payload_i[38]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair81" *) + (* SOFT_HLUTNM = "soft_lutpair86" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1__1 @@ -12223,7 +12436,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[39] ), .O(\m_payload_i[39]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair99" *) + (* SOFT_HLUTNM = "soft_lutpair104" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__2 @@ -12231,7 +12444,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair81" *) + (* SOFT_HLUTNM = "soft_lutpair86" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[40]_i_1 @@ -12239,7 +12452,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[40] ), .O(\m_payload_i[40]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair80" *) + (* SOFT_HLUTNM = "soft_lutpair85" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[41]_i_1 @@ -12247,7 +12460,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[41] ), .O(\m_payload_i[41]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair80" *) + (* SOFT_HLUTNM = "soft_lutpair85" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[42]_i_1 @@ -12255,7 +12468,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[42] ), .O(\m_payload_i[42]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair79" *) + (* SOFT_HLUTNM = "soft_lutpair84" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[43]_i_1 @@ -12263,7 +12476,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[43] ), .O(\m_payload_i[43]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair78" *) + (* SOFT_HLUTNM = "soft_lutpair83" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1__1 @@ -12271,7 +12484,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[44] ), .O(\m_payload_i[44]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair79" *) + (* SOFT_HLUTNM = "soft_lutpair84" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1__1 @@ -12285,7 +12498,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa (.I0(s_axi_rready), .I1(s_axi_rvalid), .O(p_1_in)); - (* SOFT_HLUTNM = "soft_lutpair78" *) + (* SOFT_HLUTNM = "soft_lutpair83" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_2 @@ -12293,7 +12506,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[46] ), .O(\m_payload_i[46]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair99" *) + (* SOFT_HLUTNM = "soft_lutpair104" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__2 @@ -12301,7 +12514,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair98" *) + (* SOFT_HLUTNM = "soft_lutpair103" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__2 @@ -12309,7 +12522,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair98" *) + (* SOFT_HLUTNM = "soft_lutpair103" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__2 @@ -12317,7 +12530,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair97" *) + (* SOFT_HLUTNM = "soft_lutpair102" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__2 @@ -12325,7 +12538,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair97" *) + (* SOFT_HLUTNM = "soft_lutpair102" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__2 @@ -12333,7 +12546,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair96" *) + (* SOFT_HLUTNM = "soft_lutpair101" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__2 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl index 69c2992b..759a48f6 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl @@ -21,7 +21,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd is axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[3]_0\ : out STD_LOGIC; + \axlen_cnt_reg[6]_0\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; @@ -31,13 +31,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd is \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg_0 : in STD_LOGIC; + \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \state_reg[0]_rep\ : in STD_LOGIC + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_9_b2s_incr_cmd"; @@ -72,13 +71,18 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC; - signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_2_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_3_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_4_n_0\ : STD_LOGIC; + signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC; - signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[3]_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; + signal \^axlen_cnt_reg[6]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; @@ -86,68 +90,69 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; - signal next_pending_r_i_5_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair105"; - attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \axlen_cnt[1]_i_1\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \axlen_cnt[4]_i_4\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_2\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_4\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of next_pending_r_i_3 : label is "soft_lutpair110"; begin Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; - \axlen_cnt_reg[3]_0\ <= \^axlen_cnt_reg[3]_0\; + \axlen_cnt_reg[6]_0\ <= \^axlen_cnt_reg[6]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( - INIT => X"559AAAAAAAAAAAAA" + INIT => X"6A6A6A6AAA6AAAAA" ) port map ( - I0 => \m_payload_i_reg[46]\(3), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(3), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000AAAA559AAAAA" + INIT => X"262626262A262A2A" ) port map ( - I0 => \m_payload_i_reg[46]\(2), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(5), - I5 => \m_payload_i_reg[46]\(4), + I0 => \m_payload_i_reg[51]\(2), + I1 => \m_payload_i_reg[51]\(5), + I2 => \m_payload_i_reg[51]\(4), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000559AAAAA" + INIT => X"060606060A060A0A" ) port map ( - I0 => \m_payload_i_reg[46]\(1), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(1), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( - INIT => X"000000000000559A" + INIT => X"0101010102010202" ) port map ( - I0 => \m_payload_i_reg[46]\(0), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(0), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 @@ -368,10 +373,10 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(6), + I1 => \m_payload_i_reg[51]\(6), I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), - I4 => \^axlen_cnt_reg[3]_0\, + I4 => \^axlen_cnt_reg[6]_0\, O => \axlen_cnt[1]_i_1_n_0\ ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 @@ -380,14 +385,14 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(7), + I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \^axlen_cnt_reg[3]_0\, + I5 => \^axlen_cnt_reg[6]_0\, O => \axlen_cnt[2]_i_1_n_0\ ); -\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) @@ -396,73 +401,127 @@ begin I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), - I4 => \^axlen_cnt_reg[3]_0\, + I4 => \^axlen_cnt_reg[6]_0\, I5 => \m_payload_i_reg[47]\, - O => \axlen_cnt[3]_i_2_n_0\ + O => \axlen_cnt[3]_i_1_n_0\ ); -\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 +\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAAAAA9" + INIT => X"888B8B8B8B888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \^q\(0), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[4]_i_1__0_n_0\ + I0 => \m_payload_i_reg[51]\(8), + I1 => E(0), + I2 => \axlen_cnt[4]_i_2_n_0\, + I3 => \axlen_cnt[4]_i_3_n_0\, + I4 => \axlen_cnt[4]_i_4_n_0\, + I5 => \axlen_cnt_reg_n_0_[4]\, + O => \axlen_cnt[4]_i_1_n_0\ ); -\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6 +\axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"AAAAAAAAAAAAAAA9" + INIT => X"0002" ) port map ( - I0 => \axlen_cnt_reg_n_0_[5]\, + I0 => \axlen_cnt[7]_i_4_n_0\, + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[4]_i_2_n_0\ + ); +\axlen_cnt[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"1" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, - I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \^q\(0), - I5 => \axlen_cnt_reg_n_0_[4]\, - O => \axlen_cnt[5]_i_1__0_n_0\ + O => \axlen_cnt[4]_i_3_n_0\ ); -\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3 +\axlen_cnt[4]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"A6" + INIT => X"1" ) port map ( - I0 => \axlen_cnt_reg_n_0_[6]\, - I1 => \axlen_cnt[7]_i_3__0_n_0\, + I0 => \axlen_cnt_reg_n_0_[1]\, + I1 => \^q\(0), + O => \axlen_cnt[4]_i_4_n_0\ + ); +\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"8FF88888" + ) + port map ( + I0 => E(0), + I1 => \m_payload_i_reg[51]\(9), I2 => \axlen_cnt_reg_n_0_[5]\, - O => \axlen_cnt[6]_i_1__0_n_0\ + I3 => \axlen_cnt[6]_i_2_n_0\, + I4 => \^axlen_cnt_reg[6]_0\, + O => \axlen_cnt[5]_i_1_n_0\ ); -\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4 +\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A9AA" + INIT => X"F8F88FF888888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[7]\, - I1 => \axlen_cnt_reg_n_0_[5]\, + I0 => E(0), + I1 => \m_payload_i_reg[51]\(10), I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt[7]_i_3__0_n_0\, - O => \axlen_cnt[7]_i_2_n_0\ + I3 => \axlen_cnt[6]_i_2_n_0\, + I4 => \axlen_cnt_reg_n_0_[5]\, + I5 => \^axlen_cnt_reg[6]_0\, + O => \axlen_cnt[6]_i_1_n_0\ ); -\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5 +\axlen_cnt[6]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \^q\(0), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[7]_i_3__0_n_0\ + I0 => \axlen_cnt_reg_n_0_[1]\, + I1 => \axlen_cnt_reg_n_0_[4]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \^q\(0), + O => \axlen_cnt[6]_i_2_n_0\ + ); +\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAACCCCC0CC" + ) + port map ( + I0 => \m_payload_i_reg[51]\(11), + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt[7]_i_3_n_0\, + I3 => \axlen_cnt[7]_i_4_n_0\, + I4 => \^q\(0), + I5 => E(0), + O => \axlen_cnt[7]_i_2_n_0\ + ); +\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[5]\, + I1 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[7]_i_3_n_0\ + ); +\axlen_cnt[7]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[3]\, + I1 => \axlen_cnt_reg_n_0_[2]\, + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[1]\, + O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => D(0), + D => \state_reg[0]\(0), Q => \^q\(0), R => '0' ); @@ -486,7 +545,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_2_n_0\, + D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -494,25 +553,25 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[4]_i_1__0_n_0\, + D => \axlen_cnt[4]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[5]_i_1__0_n_0\, + D => \axlen_cnt[5]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[6]_i_1__0_n_0\, + D => \axlen_cnt[6]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( @@ -520,9 +579,9 @@ begin CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, - R => m_valid_i_reg_0 + R => '0' ); -next_pending_r_i_2: unisim.vcomponents.LUT5 +next_pending_r_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"55545555" ) @@ -531,19 +590,8 @@ next_pending_r_i_2: unisim.vcomponents.LUT5 I1 => \axlen_cnt_reg_n_0_[6]\, I2 => \axlen_cnt_reg_n_0_[5]\, I3 => \axlen_cnt_reg_n_0_[7]\, - I4 => next_pending_r_i_5_n_0, - O => \^axlen_cnt_reg[3]_0\ - ); -next_pending_r_i_5: unisim.vcomponents.LUT4 - generic map( - INIT => X"0001" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => \axlen_cnt_reg_n_0_[1]\, - I2 => \axlen_cnt_reg_n_0_[4]\, - I3 => \axlen_cnt_reg_n_0_[3]\, - O => next_pending_r_i_5_n_0 + I4 => \axlen_cnt[7]_i_4_n_0\, + O => \^axlen_cnt_reg[6]_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( @@ -572,33 +620,29 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 is \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[1]_0\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); + si_rs_arvalid : in STD_LOGIC; + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]\ : in STD_LOGIC; - m_axi_arready : in STD_LOGIC; - \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) + m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_9_b2s_incr_cmd"; end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 is - signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; @@ -624,15 +668,20 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; + signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_2__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_3_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[1]_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_4__0_n_0\ : STD_LOGIC; + signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; @@ -641,34 +690,29 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; - signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC; - signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; + signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3__0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \next_pending_r_i_2__1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_2__0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_3\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_4__0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \next_pending_r_i_2__2\ : label is "soft_lutpair5"; begin - Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; - \axlen_cnt_reg[1]_0\ <= \^axlen_cnt_reg[1]_0\; incr_next_pending <= \^incr_next_pending\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAAAAAAA" ) port map ( - I0 => \m_payload_i_reg[46]\(3), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(3), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 @@ -676,12 +720,12 @@ begin INIT => X"2A2A262A2A2A2A2A" ) port map ( - I0 => \m_payload_i_reg[46]\(2), - I1 => \m_payload_i_reg[46]\(5), - I2 => \m_payload_i_reg[46]\(4), + I0 => \m_payload_i_reg[51]\(2), + I1 => \m_payload_i_reg[51]\(5), + I2 => \m_payload_i_reg[51]\(4), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 @@ -689,12 +733,12 @@ begin INIT => X"0A0A060A0A0A0A0A" ) port map ( - I0 => \m_payload_i_reg[46]\(1), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(1), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 @@ -702,12 +746,12 @@ begin INIT => X"0202010202020202" ) port map ( - I0 => \m_payload_i_reg[46]\(0), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(0), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 @@ -922,16 +966,29 @@ begin Q => \^axaddr_incr_reg\(5), R => '0' ); +\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44444F4444444444" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt[6]_i_3_n_0\, + I2 => Q(1), + I3 => si_rs_arvalid, + I4 => Q(0), + I5 => \m_payload_i_reg[51]\(6), + O => \axlen_cnt[0]_i_1__1_n_0\ + ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(6), + I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \^q\(0), - I4 => \^axlen_cnt_reg[1]_0\, + I3 => \axlen_cnt_reg_n_0_[0]\, + I4 => \axlen_cnt[6]_i_3_n_0\, O => \axlen_cnt[1]_i_1__1_n_0\ ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 @@ -940,14 +997,14 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(7), + I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \^q\(0), + I3 => \axlen_cnt_reg_n_0_[0]\, I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \^axlen_cnt_reg[1]_0\, + I5 => \axlen_cnt[6]_i_3_n_0\, O => \axlen_cnt[2]_i_1__1_n_0\ ); -\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) @@ -955,70 +1012,60 @@ begin I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \^q\(0), - I4 => \^axlen_cnt_reg[1]_0\, + I3 => \axlen_cnt_reg_n_0_[0]\, + I4 => \axlen_cnt[6]_i_3_n_0\, I5 => \m_payload_i_reg[47]\, - O => \axlen_cnt[3]_i_2__0_n_0\ + O => \axlen_cnt[3]_i_1__1_n_0\ ); -\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT5 +\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"55545555" + INIT => X"F88FF8F888888888" ) port map ( I0 => E(0), - I1 => \axlen_cnt_reg_n_0_[7]\, - I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt_reg_n_0_[5]\, - I4 => \next_pending_r_i_4__0_n_0\, - O => \^axlen_cnt_reg[1]_0\ - ); -\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"AAAAAAA9" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \axlen_cnt_reg_n_0_[3]\, - I2 => \^q\(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - O => \axlen_cnt[4]_i_1_n_0\ + I1 => \m_payload_i_reg[51]\(9), + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt[4]_i_2__0_n_0\, + I5 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[4]_i_1__0_n_0\ ); -\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6 +\axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( - INIT => X"AAAAAAAAAAAAAAA9" + INIT => X"01" ) port map ( - I0 => \axlen_cnt_reg_n_0_[5]\, - I1 => \^q\(0), + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[4]\, - I5 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[5]_i_1_n_0\ + O => \axlen_cnt[4]_i_2__0_n_0\ ); -\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3 +\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( - INIT => X"9A" + INIT => X"8FF88888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[6]\, - I1 => \axlen_cnt_reg_n_0_[5]\, - I2 => \axlen_cnt[7]_i_3_n_0\, - O => \axlen_cnt[6]_i_1_n_0\ + I0 => E(0), + I1 => \m_payload_i_reg[51]\(10), + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt[6]_i_2__0_n_0\, + I4 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[5]_i_1__0_n_0\ ); -\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4 +\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"A9AA" + INIT => X"F8F88FF888888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[7]\, - I1 => \axlen_cnt_reg_n_0_[5]\, + I0 => E(0), + I1 => \m_payload_i_reg[51]\(11), I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt[7]_i_3_n_0\, - O => \axlen_cnt[7]_i_2__0_n_0\ + I3 => \axlen_cnt[6]_i_2__0_n_0\, + I4 => \axlen_cnt_reg_n_0_[5]\, + I5 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[6]_i_1__0_n_0\ ); -\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 +\axlen_cnt[6]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) @@ -1027,15 +1074,60 @@ begin I1 => \axlen_cnt_reg_n_0_[4]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \^q\(0), - O => \axlen_cnt[7]_i_3_n_0\ + I4 => \axlen_cnt_reg_n_0_[0]\, + O => \axlen_cnt[6]_i_2__0_n_0\ + ); +\axlen_cnt[6]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55545555" + ) + port map ( + I0 => E(0), + I1 => \axlen_cnt_reg_n_0_[6]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[7]\, + I4 => \axlen_cnt[7]_i_4__0_n_0\, + O => \axlen_cnt[6]_i_3_n_0\ + ); +\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAACCCCC0CC" + ) + port map ( + I0 => \m_payload_i_reg[51]\(12), + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt[7]_i_3__0_n_0\, + I3 => \axlen_cnt[7]_i_4__0_n_0\, + I4 => \axlen_cnt_reg_n_0_[0]\, + I5 => E(0), + O => \axlen_cnt[7]_i_2__0_n_0\ + ); +\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[5]\, + I1 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[7]_i_3__0_n_0\ + ); +\axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[2]\, + I1 => \axlen_cnt_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + O => \axlen_cnt[7]_i_4__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => D(0), - Q => \^q\(0), + D => \axlen_cnt[0]_i_1__1_n_0\, + Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE @@ -1058,7 +1150,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_2__0_n_0\, + D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -1066,25 +1158,25 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[4]_i_1_n_0\, + D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[5]_i_1_n_0\, + D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[6]_i_1_n_0\, + D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( @@ -1092,41 +1184,30 @@ begin CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, - R => \state_reg[1]\ + R => '0' ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFFF505C" + INIT => X"505CFF5C" ) port map ( - I0 => \next_pending_r_i_2__1_n_0\, + I0 => \next_pending_r_i_2__2_n_0\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep\, I3 => E(0), - I4 => \m_payload_i_reg[44]\, + I4 => \m_payload_i_reg[48]\, O => \^incr_next_pending\ ); -\next_pending_r_i_2__1\: unisim.vcomponents.LUT4 +\next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( - I0 => \next_pending_r_i_4__0_n_0\, - I1 => \axlen_cnt_reg_n_0_[5]\, - I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt_reg_n_0_[7]\, - O => \next_pending_r_i_2__1_n_0\ - ); -\next_pending_r_i_4__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0001" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => \axlen_cnt_reg_n_0_[1]\, - I2 => \axlen_cnt_reg_n_0_[4]\, - I3 => \axlen_cnt_reg_n_0_[3]\, - O => \next_pending_r_i_4__0_n_0\ + I0 => \axlen_cnt[7]_i_4__0_n_0\, + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[6]\, + O => \next_pending_r_i_2__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( @@ -1151,49 +1232,34 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm is port ( - \axlen_cnt_reg[7]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[0]\ : out STD_LOGIC; + \m_payload_i_reg[0]_0\ : out STD_LOGIC; s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; - \m_payload_i_reg[0]\ : out STD_LOGIC; - \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_valid_i0 : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); sel_first_reg_0 : out STD_LOGIC; - m_axi_arready : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; - \axlen_cnt_reg[7]_0\ : in STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC; - \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; - s_axburst_eq1_reg_0 : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]_0\ : in STD_LOGIC; - \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[3]\ : in STD_LOGIC; + \m_payload_i_reg[39]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); incr_next_pending : in STD_LOGIC; - \m_payload_i_reg[44]_0\ : in STD_LOGIC; - \state_reg[0]_0\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; + \state_reg[0]_rep_0\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; + m_axi_arready : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; + s_axburst_eq1_reg_0 : in STD_LOGIC; + \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; @@ -1206,25 +1272,22 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; - signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair1"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -1235,17 +1298,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair0"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); - \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_next_pending <= \^wrap_next_pending\; - \wrap_second_len_r_reg[3]\(1 downto 0) <= \^wrap_second_len_r_reg[3]\(1 downto 0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AEAA" @@ -1257,33 +1318,7 @@ begin I3 => m_axi_arready, O => \axaddr_incr_reg[11]\ ); -\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAAACAAAAAAA0AA" - ) - port map ( - I0 => \axaddr_offset_r_reg[0]_0\(0), - I1 => \m_payload_i_reg[44]\(1), - I2 => \^q\(0), - I3 => si_rs_arvalid, - I4 => \^q\(1), - I5 => \m_payload_i_reg[3]\, - O => \^axaddr_offset_r_reg[0]\(0) - ); -\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0400FFFF04000400" - ) - port map ( - I0 => \^q\(1), - I1 => si_rs_arvalid, - I2 => \^q\(0), - I3 => \m_payload_i_reg[44]\(1), - I4 => \axlen_cnt_reg[0]_0\(0), - I5 => \axlen_cnt_reg[7]_0\, - O => \axlen_cnt_reg[0]\(0) - ); -\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4 +\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0E02" ) @@ -1294,18 +1329,6 @@ begin I3 => m_axi_arready, O => \axlen_cnt_reg[3]\(0) ); -\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00002320" - ) - port map ( - I0 => m_axi_arready, - I1 => \^q\(1), - I2 => \^q\(0), - I3 => si_rs_arvalid, - I4 => \axlen_cnt_reg[7]_0\, - O => \axlen_cnt_reg[7]\ - ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" @@ -1339,13 +1362,13 @@ m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFABEEAA" + INIT => X"FF53DC50" ) port map ( - I0 => \m_payload_i_reg[44]_0\, + I0 => \m_payload_i_reg[46]\, I1 => \^r_push_r_reg\, I2 => \^e\(0), - I3 => \state_reg[0]_0\, + I3 => \state_reg[0]_rep_0\, I4 => next_pending_r_reg, O => \^wrap_next_pending\ ); @@ -1365,7 +1388,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => \^wrap_next_pending\, - I1 => \m_payload_i_reg[44]\(0), + I1 => \m_payload_i_reg[39]\(0), I2 => \^sel_first_i\, I3 => incr_next_pending, O => s_axburst_eq0_reg @@ -1376,7 +1399,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => \^wrap_next_pending\, - I1 => \m_payload_i_reg[44]\(0), + I1 => \m_payload_i_reg[39]\(0), I2 => \^sel_first_i\, I3 => incr_next_pending, O => s_axburst_eq1_reg @@ -1422,15 +1445,15 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000CFFFCCCC8888" + INIT => X"0F000F00FF70F070" ) - port map ( - I0 => si_rs_arvalid, - I1 => \cnt_read_reg[1]_rep__0\, - I2 => s_axburst_eq1_reg_0, - I3 => m_axi_arready, - I4 => \^q\(1), - I5 => \^q\(0), + port map ( + I0 => m_axi_arready, + I1 => s_axburst_eq1_reg_0, + I2 => \^m_payload_i_reg[0]_0\, + I3 => \cnt_read_reg[1]_rep__0\, + I4 => si_rs_arvalid, + I5 => \^m_payload_i_reg[0]\, O => next_state(0) ); \state[1]_i_1__0\: unisim.vcomponents.LUT5 @@ -1477,7 +1500,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 Q => \^m_payload_i_reg[0]\, R => areset_d1 ); -\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 +\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) @@ -1487,69 +1510,6 @@ r_push_r_i_1: unisim.vcomponents.LUT3 I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); -\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5575AA8A5545AA8A" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^q\(0), - I2 => si_rs_arvalid, - I3 => \^q\(1), - I4 => \axaddr_offset_r_reg[3]\, - I5 => \^axaddr_offset_r_reg[0]\(0), - O => D(0) - ); -\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^wrap_second_len_r_reg[3]\(1), - I1 => \wrap_second_len_r_reg[2]\(0), - I2 => \wrap_cnt_r[3]_i_2__0_n_0\, - I3 => \wrap_second_len_r_reg[2]\(1), - O => D(1) - ); -\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DD11DD11DD11DDF1" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^e\(0), - I2 => \m_payload_i_reg[35]\, - I3 => \^axaddr_offset_r_reg[0]\(0), - I4 => \m_payload_i_reg[47]\(0), - I5 => \m_payload_i_reg[47]\(1), - O => \wrap_cnt_r[3]_i_2__0_n_0\ - ); -\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA8AAA8AAABAAA8A" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^q\(0), - I2 => si_rs_arvalid, - I3 => \^q\(1), - I4 => \axaddr_offset_r_reg[3]\, - I5 => \^axaddr_offset_r_reg[0]\(0), - O => \^wrap_second_len_r_reg[3]\(0) - ); -\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"FB00FFFFFB00FB00" - ) - port map ( - I0 => \^axaddr_offset_r_reg[0]\(0), - I1 => \m_payload_i_reg[35]\, - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[35]_0\, - I4 => \^e\(0), - I5 => \wrap_second_len_r_reg[3]_0\(1), - O => \^wrap_second_len_r_reg[3]\(1) - ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -1562,17 +1522,13 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo is \state_reg[0]_rep\ : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bresp_push : out STD_LOGIC; - bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; areset_d1 : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; - si_rs_bready : in STD_LOGIC; - si_rs_bvalid : in STD_LOGIC; - \cnt_read_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \in\ : in STD_LOGIC_VECTOR ( 15 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -1581,7 +1537,6 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo is signal \^bresp_push\ : STD_LOGIC; - signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 to 1 ); signal \cnt_read[0]_i_1_n_0\ : STD_LOGIC; signal cnt_read_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); @@ -1593,7 +1548,6 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; - signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; @@ -1603,10 +1557,8 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair107"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair112"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -1678,30 +1630,6 @@ begin I1 => \^bresp_push\, O => SR(0) ); -bvalid_i_i_1: unisim.vcomponents.LUT4 - generic map( - INIT => X"002A" - ) - port map ( - I0 => bvalid_i_i_2_n_0, - I1 => si_rs_bready, - I2 => si_rs_bvalid, - I3 => areset_d1, - O => bvalid_i_reg - ); -bvalid_i_i_2: unisim.vcomponents.LUT6 - generic map( - INIT => X"FFFFFFFF00070707" - ) - port map ( - I0 => \^cnt_read_reg[0]_rep__0_0\, - I1 => \^cnt_read_reg[1]_rep__1_0\, - I2 => shandshake_r, - I3 => \cnt_read_reg[1]_0\(1), - I4 => \cnt_read_reg[1]_0\(0), - I5 => si_rs_bvalid, - O => bvalid_i_i_2_n_0 - ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" @@ -1795,68 +1723,54 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000000000090" + INIT => X"0000000700000000" ) port map ( - I0 => Q(7), - I1 => \memory_reg[3][7]_srl4_n_0\, + I0 => \^cnt_read_reg[1]_rep__1_0\, + I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => \memory_reg[3][0]_srl4_i_2__0_n_0\, I3 => \memory_reg[3][0]_srl4_i_3_n_0\, I4 => \memory_reg[3][0]_srl4_i_4_n_0\, - I5 => \memory_reg[3][0]_srl4_i_5_n_0\, + I5 => mhandshake_r, O => \^bresp_push\ ); -\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT5 +\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"82820082" + INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => mhandshake_r, - I1 => \memory_reg[3][6]_srl4_n_0\, - I2 => Q(6), - I3 => \memory_reg[3][5]_srl4_n_0\, - I4 => Q(5), + I0 => \memory_reg[3][0]_srl4_n_0\, + I1 => Q(0), + I2 => Q(2), + I3 => \memory_reg[3][2]_srl4_n_0\, + I4 => Q(1), + I5 => \memory_reg[3][1]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_2__0_n_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"2FF22FF2FFFF2FF2" + INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => \memory_reg[3][1]_srl4_n_0\, - I1 => Q(1), - I2 => \memory_reg[3][2]_srl4_n_0\, - I3 => Q(2), - I4 => Q(4), - I5 => \memory_reg[3][4]_srl4_n_0\, + I0 => \memory_reg[3][3]_srl4_n_0\, + I1 => Q(3), + I2 => Q(4), + I3 => \memory_reg[3][4]_srl4_n_0\, + I4 => Q(5), + I5 => \memory_reg[3][5]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_3_n_0\ ); -\memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 +\memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT4 generic map( - INIT => X"F222FFFFFFFFF222" + INIT => X"6FF6" ) port map ( - I0 => Q(1), - I1 => \memory_reg[3][1]_srl4_n_0\, - I2 => \^cnt_read_reg[0]_rep__0_0\, - I3 => \^cnt_read_reg[1]_rep__1_0\, - I4 => \memory_reg[3][0]_srl4_n_0\, - I5 => Q(0), + I0 => \memory_reg[3][7]_srl4_n_0\, + I1 => Q(7), + I2 => \memory_reg[3][6]_srl4_n_0\, + I3 => Q(6), O => \memory_reg[3][0]_srl4_i_4_n_0\ ); -\memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"2FF22FF2FFFF2FF2" - ) - port map ( - I0 => Q(5), - I1 => \memory_reg[3][5]_srl4_n_0\, - I2 => Q(3), - I3 => \memory_reg[3][3]_srl4_n_0\, - I4 => \memory_reg[3][4]_srl4_n_0\, - I5 => Q(4), - O => \memory_reg[3][0]_srl4_i_5_n_0\ - ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" @@ -1868,7 +1782,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(6), + D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E @@ -1882,7 +1796,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(7), + D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E @@ -1896,7 +1810,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(8), + D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E @@ -1910,7 +1824,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(9), + D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E @@ -1924,7 +1838,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(10), + D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E @@ -1938,7 +1852,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(11), + D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E @@ -1952,7 +1866,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(12), + D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E @@ -1966,7 +1880,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(13), + D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E @@ -1980,7 +1894,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(14), + D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E @@ -1994,7 +1908,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(15), + D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E @@ -2050,7 +1964,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E @@ -2064,7 +1978,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E @@ -2078,7 +1992,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E @@ -2092,7 +2006,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E @@ -2106,7 +2020,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(4), + D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E @@ -2120,7 +2034,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(5), + D => \in\(9), Q => \out\(1) ); \state[0]_i_2\: unisim.vcomponents.LUT2 @@ -2139,48 +2053,76 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ is port ( - Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); mhandshake : out STD_LOGIC; m_axi_bready : out STD_LOGIC; + bvalid_i_reg : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); bresp_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; + si_rs_bready : in STD_LOGIC; + si_rs_bvalid : in STD_LOGIC; + areset_d1 : in STD_LOGIC; + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; + \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - aclk : in STD_LOGIC; - areset_d1 : in STD_LOGIC + aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_9_b2s_simple_fifo"; end \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ is - signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal bvalid_i_i_2_n_0 : STD_LOGIC; + signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair110"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair113"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair113"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; - attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair114"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; - attribute SOFT_HLUTNM of mhandshake_r_i_1 : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of mhandshake_r_i_1 : label is "soft_lutpair114"; begin - Q(1 downto 0) <= \^q\(1 downto 0); +bvalid_i_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"002A" + ) + port map ( + I0 => bvalid_i_i_2_n_0, + I1 => si_rs_bready, + I2 => si_rs_bvalid, + I3 => areset_d1, + O => bvalid_i_reg + ); +bvalid_i_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFF00151515" + ) + port map ( + I0 => shandshake_r, + I1 => cnt_read(1), + I2 => cnt_read(0), + I3 => \cnt_read_reg[0]_rep__0\, + I4 => \cnt_read_reg[1]_rep__1\, + I5 => si_rs_bvalid, + O => bvalid_i_i_2_n_0 + ); \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( - I0 => \^q\(0), + I0 => cnt_read(0), I1 => bresp_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__0_n_0\ @@ -2190,10 +2132,10 @@ begin INIT => X"E718" ) port map ( - I0 => \^q\(0), + I0 => cnt_read(0), I1 => bresp_push, I2 => shandshake_r, - I3 => \^q\(1), + I3 => cnt_read(1), O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE @@ -2201,7 +2143,7 @@ begin C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, - Q => \^q\(0), + Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE @@ -2209,7 +2151,7 @@ begin C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, - Q => \^q\(1), + Q => cnt_read(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 @@ -2217,8 +2159,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"08" ) port map ( - I0 => \^q\(1), - I1 => \^q\(0), + I0 => cnt_read(1), + I1 => cnt_read(0), I2 => mhandshake_r, O => m_axi_bready ); @@ -2227,8 +2169,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"0000" ) port map ( - A0 => \^q\(0), - A1 => \^q\(1), + A0 => cnt_read(0), + A1 => cnt_read(1), A2 => '0', A3 => '0', CE => bresp_push, @@ -2241,8 +2183,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"0000" ) port map ( - A0 => \^q\(0), - A1 => \^q\(1), + A0 => cnt_read(0), + A1 => cnt_read(1), A2 => '0', A3 => '0', CE => bresp_push, @@ -2257,8 +2199,8 @@ mhandshake_r_i_1: unisim.vcomponents.LUT4 port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, - I2 => \^q\(0), - I3 => \^q\(1), + I2 => cnt_read(0), + I3 => cnt_read(1), O => mhandshake ); end STRUCTURE; @@ -2268,10 +2210,10 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1\ is port ( - \cnt_read_reg[1]_rep__2_0\ : out STD_LOGIC; + \cnt_read_reg[1]_rep__3_0\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; m_axi_rready : out STD_LOGIC; - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; @@ -2290,6 +2232,7 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; + signal \cnt_read[3]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; @@ -2300,8 +2243,9 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; - signal \^cnt_read_reg[1]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; + signal \^cnt_read_reg[1]_rep__3_0\ : STD_LOGIC; + signal \cnt_read_reg[1]_rep__3_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; @@ -2352,10 +2296,10 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \cnt_read[3]_i_3\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -2380,6 +2324,8 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; + attribute KEEP of \cnt_read_reg[1]_rep__3\ : label is "yes"; + attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__3\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; @@ -2481,62 +2427,75 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; begin - \cnt_read_reg[1]_rep__2_0\ <= \^cnt_read_reg[1]_rep__2_0\; + \cnt_read_reg[1]_rep__3_0\ <= \^cnt_read_reg[1]_rep__3_0\; m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( - INIT => X"69" + INIT => X"96" ) port map ( - I0 => \cnt_read_reg[0]_rep__3_n_0\, - I1 => \^cnt_read_reg[1]_rep__2_0\, - I2 => wr_en0, + I0 => \cnt_read_reg[0]_rep__2_n_0\, + I1 => \^cnt_read_reg[1]_rep__3_0\, + I2 => \cnt_read[3]_i_2_n_0\, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( - INIT => X"7E81" + INIT => X"E718" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, - I1 => \^cnt_read_reg[1]_rep__2_0\, - I2 => wr_en0, + I1 => \^cnt_read_reg[1]_rep__3_0\, + I2 => \cnt_read[3]_i_2_n_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"7FFE8001" + INIT => X"FE7F0180" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, - I2 => \^cnt_read_reg[1]_rep__2_0\, - I3 => wr_en0, + I2 => \^cnt_read_reg[1]_rep__3_0\, + I3 => \cnt_read[3]_i_2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"7FFFFFFE80000001" + INIT => X"DFFFFFFB20000004" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, - I1 => wr_en0, - I2 => \^cnt_read_reg[1]_rep__2_0\, + I1 => \cnt_read[3]_i_2_n_0\, + I2 => \^cnt_read_reg[1]_rep__3_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[3]_rep__2_n_0\, O => \cnt_read[3]_i_1_n_0\ ); -\cnt_read[3]_i_2\: unisim.vcomponents.LUT2 +\cnt_read[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"08808880FFFFFFFF" + ) + port map ( + I0 => \cnt_read_reg[4]_rep__2_n_0\, + I1 => \cnt_read_reg[3]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, + I3 => \cnt_read_reg[2]_rep__2_n_0\, + I4 => \cnt_read_reg[0]_rep__3_n_0\, + I5 => m_axi_rvalid, + O => \cnt_read[3]_i_2_n_0\ + ); +\cnt_read[3]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, - O => \^cnt_read_reg[1]_rep__2_0\ + O => \^cnt_read_reg[1]_rep__3_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT5 generic map( @@ -2552,25 +2511,25 @@ begin ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"75FFFFFF" + INIT => X"FFFF7F77" ) port map ( - I0 => \cnt_read_reg[1]_rep__2_n_0\, - I1 => \^m_valid_i_reg\, - I2 => si_rs_rready, - I3 => wr_en0, - I4 => \cnt_read_reg[0]_rep__2_n_0\, + I0 => \cnt_read_reg[1]_rep__3_n_0\, + I1 => \cnt_read_reg[0]_rep__3_n_0\, + I2 => \^m_valid_i_reg\, + I3 => si_rs_rready, + I4 => \cnt_read[3]_i_2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000010" + INIT => X"00000400" ) port map ( - I0 => \cnt_read_reg[0]_rep__3_n_0\, - I1 => \^m_valid_i_reg\, - I2 => si_rs_rready, - I3 => wr_en0, + I0 => \cnt_read_reg[0]_rep__2_n_0\, + I1 => si_rs_rready, + I2 => \^m_valid_i_reg\, + I3 => \cnt_read[3]_i_2_n_0\, I4 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_3_n_0\ ); @@ -2662,6 +2621,14 @@ begin Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); +\cnt_read_reg[1]_rep__3\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \cnt_read[1]_i_1__1_n_0\, + Q => \cnt_read_reg[1]_rep__3_n_0\, + S => areset_d1 + ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE port map ( C => aclk, @@ -2801,9 +2768,9 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 port map ( I0 => \cnt_read_reg[3]_rep__2_n_0\, I1 => \cnt_read_reg[4]_rep__2_n_0\, - I2 => \cnt_read_reg[0]_rep__3_n_0\, - I3 => \cnt_read_reg[2]_rep__2_n_0\, - I4 => \cnt_read_reg[1]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, + I3 => \cnt_read_reg[0]_rep__3_n_0\, + I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[2]_rep__0_0\, O => \^m_valid_i_reg\ ); @@ -2825,15 +2792,15 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA2A2AAA2A2A2AAA" + INIT => X"800AAAAAAAAAAAAA" ) port map ( I0 => m_axi_rvalid, - I1 => \cnt_read_reg[4]_rep__2_n_0\, - I2 => \cnt_read_reg[3]_rep__2_n_0\, - I3 => \cnt_read_reg[1]_rep__2_n_0\, - I4 => \cnt_read_reg[2]_rep__2_n_0\, - I5 => \cnt_read_reg[0]_rep__3_n_0\, + I1 => \cnt_read_reg[0]_rep__3_n_0\, + I2 => \cnt_read_reg[2]_rep__2_n_0\, + I3 => \cnt_read_reg[1]_rep__3_n_0\, + I4 => \cnt_read_reg[3]_rep__2_n_0\, + I5 => \cnt_read_reg[4]_rep__2_n_0\, O => wr_en0 ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E @@ -3335,10 +3302,10 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 port map ( I0 => \cnt_read_reg[0]_rep__3_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, - I2 => \cnt_read_reg[1]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, I3 => \cnt_read_reg[3]_rep__2_n_0\, I4 => \cnt_read_reg[4]_rep__2_n_0\, - O => \state_reg[1]_rep\ + O => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -3347,7 +3314,7 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2\ is port ( - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); s_ready_i_reg : in STD_LOGIC; @@ -3397,8 +3364,8 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair10"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -3875,7 +3842,7 @@ m_valid_i_i_3: unisim.vcomponents.LUT5 I3 => \cnt_read_reg[2]_rep__0_n_0\, I4 => \cnt_read_reg[4]_rep__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, - O => \state_reg[1]_rep\ + O => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -3884,46 +3851,44 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm is port ( + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[7]\ : out STD_LOGIC; - \axlen_cnt_reg[7]_0\ : out STD_LOGIC; - \axlen_cnt_reg[7]_1\ : out STD_LOGIC; - \axlen_cnt_reg[7]_2\ : out STD_LOGIC; + \state_reg[1]_rep_0\ : out STD_LOGIC; + \state_reg[1]_rep_1\ : out STD_LOGIC; + \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; - wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; - next_pending_r_reg : out STD_LOGIC; - \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); - D : out STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \next\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_incr_reg[11]\ : out STD_LOGIC; - \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[0]\ : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; + \axaddr_incr_reg[11]\ : out STD_LOGIC; + \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[6]\ : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); - next_pending_r_reg_0 : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; - \state_reg[1]_0\ : in STD_LOGIC; - next_pending_r_reg_1 : in STD_LOGIC; - \axlen_cnt_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + wrap_next_pending : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; + next_pending_r_reg : in STD_LOGIC; \axaddr_offset_r_reg[1]\ : in STD_LOGIC; - \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; + \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : in STD_LOGIC; @@ -3937,27 +3902,23 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^axlen_cnt_reg[7]\ : STD_LOGIC; - signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[7]_2\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^incr_next_pending\ : STD_LOGIC; - signal \^next_pending_r_reg\ : STD_LOGIC; + signal \^m_payload_i_reg[0]\ : STD_LOGIC; + signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sel_first_i\ : STD_LOGIC; + signal \^state_reg[1]_rep_0\ : STD_LOGIC; + signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC; - signal \^wrap_next_pending\ : STD_LOGIC; - signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair103"; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair101"; - attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair103"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair102"; - attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair108"; + attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair107"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -3968,18 +3929,17 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair101"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108"; begin E(0) <= \^e\(0); - axaddr_offset(0) <= \^axaddr_offset\(0); - \axaddr_offset_r_reg[0]\(1 downto 0) <= \^axaddr_offset_r_reg[0]\(1 downto 0); - \axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\; - \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; - \axlen_cnt_reg[7]_2\ <= \^axlen_cnt_reg[7]_2\; + Q(1 downto 0) <= \^q\(1 downto 0); + \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); incr_next_pending <= \^incr_next_pending\; - next_pending_r_reg <= \^next_pending_r_reg\; + \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; + \next\ <= \^next\; sel_first_i <= \^sel_first_i\; - wrap_next_pending <= \^wrap_next_pending\; + \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; + \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -3987,9 +3947,9 @@ begin ) port map ( I0 => sel_first_reg_2, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \^axlen_cnt_reg[7]_0\, + I1 => \^m_payload_i_reg[0]\, + I2 => \^state_reg[1]_rep_0\, + I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 @@ -3997,57 +3957,45 @@ begin INIT => X"AAAAACAAAAAAA0AA" ) port map ( - I0 => \axaddr_offset_r_reg[3]\(0), - I1 => Q(1), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[0]_0\(0), + I1 => \m_payload_i_reg[44]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \m_payload_i_reg[3]\, - O => \^axaddr_offset\(0) + O => \^axaddr_offset_r_reg[0]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( - I0 => \^axaddr_offset_r_reg[0]\(0), + I0 => \^q\(0), I1 => si_rs_awvalid, - I2 => \^axaddr_offset_r_reg[0]\(1), - I3 => Q(1), - I4 => \axlen_cnt_reg[0]\(0), + I2 => \^q\(1), + I3 => \m_payload_i_reg[44]\(1), + I4 => \axlen_cnt_reg[0]_0\(0), I5 => \axlen_cnt_reg[6]\, - O => D(0) + O => \axlen_cnt_reg[0]\(0) ); -\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT4 +\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axaddr_offset_r_reg[0]\(1), - I3 => \^axaddr_offset_r_reg[0]\(0), - O => \axlen_cnt_reg[3]\(0) - ); -\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0000CCFE" - ) - port map ( - I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \^axlen_cnt_reg[7]_0\, - I4 => \axlen_cnt_reg[6]\, - O => \axlen_cnt_reg[7]_1\ + I1 => \^m_payload_i_reg[0]\, + I2 => \^state_reg[1]_rep_0\, + I3 => \^state_reg[1]_rep_1\, + O => \axlen_cnt_reg[3]\(0) ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( - I0 => \^axlen_cnt_reg[7]_0\, - I1 => \^axlen_cnt_reg[7]\, + I0 => \^state_reg[1]_rep_1\, + I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 @@ -4055,67 +4003,55 @@ m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 INIT => X"B" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, - O => \m_payload_i_reg[0]\(0) + O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A000A0A0A800A8A8" + INIT => X"CFCF000045000000" ) port map ( - I0 => \^axlen_cnt_reg[7]_0\, - I1 => m_axi_awready, - I2 => \^axlen_cnt_reg[7]\, - I3 => \cnt_read_reg[0]_rep__0\, - I4 => \cnt_read_reg[1]_rep__1_0\, - I5 => s_axburst_eq1_reg_0, - O => \^axlen_cnt_reg[7]_2\ + I0 => s_axburst_eq1_reg_0, + I1 => \cnt_read_reg[0]_rep__0\, + I2 => \cnt_read_reg[1]_rep__1_0\, + I3 => m_axi_awready, + I4 => \^state_reg[1]_rep_1\, + I5 => \^state_reg[1]_rep_0\, + O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( - INIT => X"FFFF44F0" + INIT => X"B8BBB888" ) port map ( - I0 => \^e\(0), - I1 => next_pending_r_reg_0, + I0 => \m_payload_i_reg[48]\, + I1 => \^e\(0), I2 => \axlen_cnt_reg[6]\, - I3 => \^next_pending_r_reg\, - I4 => \m_payload_i_reg[44]\, + I3 => \^next\, + I4 => next_pending_r_reg, O => \^incr_next_pending\ ); -\next_pending_r_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFAEBBAA" - ) - port map ( - I0 => \m_payload_i_reg[44]\, - I1 => \^next_pending_r_reg\, - I2 => \^e\(0), - I3 => \state_reg[1]_0\, - I4 => next_pending_r_reg_1, - O => \^wrap_next_pending\ - ); -next_pending_r_i_3: unisim.vcomponents.LUT6 +next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( - INIT => X"0CAE0CFF00FF00FF" + INIT => X"AAAA22AAEAEA22EA" ) port map ( - I0 => s_axburst_eq1_reg_0, - I1 => \cnt_read_reg[1]_rep__1_0\, - I2 => \cnt_read_reg[0]_rep__0\, - I3 => \^axlen_cnt_reg[7]\, - I4 => m_axi_awready, - I5 => \^axlen_cnt_reg[7]_0\, - O => \^next_pending_r_reg\ + I0 => \^state_reg[1]_rep_0\, + I1 => \^state_reg[1]_rep_1\, + I2 => m_axi_awready, + I3 => \cnt_read_reg[1]_rep__1_0\, + I4 => \cnt_read_reg[0]_rep__0\, + I5 => s_axburst_eq1_reg_0, + O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( - I0 => \^wrap_next_pending\, - I1 => Q(0), + I0 => wrap_next_pending, + I1 => \m_payload_i_reg[44]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg @@ -4125,8 +4061,8 @@ s_axburst_eq1_i_1: unisim.vcomponents.LUT4 INIT => X"ABA8" ) port map ( - I0 => \^wrap_next_pending\, - I1 => Q(0), + I0 => wrap_next_pending, + I1 => \m_payload_i_reg[44]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg @@ -4138,9 +4074,9 @@ sel_first_i_1: unisim.vcomponents.LUT6 port map ( I0 => si_rs_awvalid, I1 => areset_d1, - I2 => \^axlen_cnt_reg[7]_0\, - I3 => \^axlen_cnt_reg[7]\, - I4 => \^axlen_cnt_reg[7]_2\, + I2 => \^state_reg[1]_rep_1\, + I3 => \^state_reg[1]_rep_0\, + I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); @@ -4149,11 +4085,11 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFFFFF44440F04" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, - I2 => \^axaddr_offset_r_reg[0]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); @@ -4162,38 +4098,38 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFFFFF44440F04" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, - I2 => \^axaddr_offset_r_reg[0]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"F232FE32FE3EFE3E" + INIT => X"AEFE0E0EFEFE5E5E" ) port map ( - I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_0\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \cnt_read_reg[1]_rep__1\, - I4 => s_axburst_eq1_reg_0, + I0 => \^state_reg[1]_rep_0\, + I1 => si_rs_awvalid, + I2 => \^state_reg[1]_rep_1\, + I3 => s_axburst_eq1_reg_0, + I4 => \cnt_read_reg[1]_rep__1\, I5 => m_axi_awready, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"20E0202000E00000" + INIT => X"2E220E0000000000" ) port map ( I0 => m_axi_awready, - I1 => \^axlen_cnt_reg[7]\, - I2 => \^axlen_cnt_reg[7]_0\, - I3 => \cnt_read_reg[0]_rep__0\, - I4 => \cnt_read_reg[1]_rep__1_0\, - I5 => s_axburst_eq1_reg_0, + I1 => \^state_reg[1]_rep_0\, + I2 => \cnt_read_reg[0]_rep__0\, + I3 => \cnt_read_reg[1]_rep__1_0\, + I4 => s_axburst_eq1_reg_0, + I5 => \^state_reg[1]_rep_1\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE @@ -4201,7 +4137,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(0), - Q => \^axaddr_offset_r_reg[0]\(0), + Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE @@ -4209,7 +4145,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(0), - Q => \^axlen_cnt_reg[7]_0\, + Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE @@ -4217,7 +4153,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(1), - Q => \^axaddr_offset_r_reg[0]\(1), + Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE @@ -4225,56 +4161,44 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(1), - Q => \^axlen_cnt_reg[7]\, + Q => \^state_reg[1]_rep_0\, R => areset_d1 ); -\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 +\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( - I0 => \^axlen_cnt_reg[7]\, + I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, - I2 => \^axlen_cnt_reg[7]_0\, + I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); -\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 +\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAAA4AA55555455" + INIT => X"55555855AAAAA8AA" ) port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^axaddr_offset\(0), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \wrap_second_len_r_reg[3]_0\(0), - O => \wrap_cnt_r_reg[3]\(0) - ); -\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"23106754" - ) - port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^e\(0), - I2 => \wrap_second_len_r_reg[3]_0\(0), - I3 => \wrap_second_len_r_reg[3]_0\(1), - I4 => \axaddr_offset_r_reg[1]\, - O => \wrap_cnt_r_reg[3]\(1) + O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A999A9AAAAAAAAAA" + INIT => X"959AAAAAAAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), - I1 => \wrap_second_len_r[0]_i_2_n_0\, - I2 => \^axaddr_offset\(0), - I3 => \^e\(0), - I4 => \wrap_second_len_r_reg[3]_0\(0), + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^e\(0), + I3 => \wrap_second_len_r_reg[3]_0\(0), + I4 => \axaddr_offset_r_reg[3]\, I5 => \^wrap_second_len_r_reg[3]\(1), - O => \wrap_cnt_r_reg[3]\(2) + O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -4285,47 +4209,34 @@ sel_first_i_1: unisim.vcomponents.LUT6 I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), - O => \wrap_cnt_r_reg[3]\(3) + O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAE0004AAAEFFFF" + INIT => X"BB11BB11BB11BBF1" ) port map ( - I0 => \^axaddr_offset\(0), - I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[47]\(1), - I3 => \m_payload_i_reg[47]\(0), - I4 => \^e\(0), - I5 => \wrap_second_len_r_reg[3]_0\(0), + I0 => \^e\(0), + I1 => \wrap_second_len_r_reg[3]_0\(0), + I2 => \axaddr_offset_r_reg[1]\, + I3 => \^axaddr_offset_r_reg[0]\(0), + I4 => axaddr_offset(0), + I5 => axaddr_offset(1), O => \wrap_cnt_r[3]_i_2_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFF1FF00000100" + INIT => X"FFFFF2FF00000200" ) port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^axaddr_offset\(0), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \wrap_second_len_r_reg[3]_0\(0), O => \^wrap_second_len_r_reg[3]\(0) ); -\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0000000004000404" - ) - port map ( - I0 => \^axaddr_offset\(0), - I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[35]\, - I3 => \^e\(0), - I4 => \axaddr_offset_r_reg[3]\(1), - I5 => \m_payload_i_reg[47]\(0), - O => \wrap_second_len_r[0]_i_2_n_0\ - ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2222EEE2EEEE2222" @@ -4333,23 +4244,23 @@ sel_first_i_1: unisim.vcomponents.LUT6 port map ( I0 => \wrap_second_len_r_reg[3]_0\(1), I1 => \^e\(0), - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[47]\(1), - I4 => \^axaddr_offset\(0), + I2 => axaddr_offset(0), + I3 => axaddr_offset(1), + I4 => \^axaddr_offset_r_reg[0]\(0), I5 => \axaddr_offset_r_reg[1]\, O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"E2E2E2E22E22E2E2" + INIT => X"EE2E22E2EE2E2222" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(2), I1 => \^e\(0), - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[47]\(1), - I4 => \axaddr_offset_r_reg[1]\, - I5 => \^axaddr_offset\(0), + I2 => \axaddr_offset_r_reg[1]\, + I3 => \^axaddr_offset_r_reg[0]\(0), + I4 => axaddr_offset(0), + I5 => axaddr_offset(1), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 @@ -4357,9 +4268,9 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FB00FFFFFB00FB00" ) port map ( - I0 => \^axaddr_offset\(0), + I0 => \^axaddr_offset_r_reg[0]\(0), I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[47]\(0), + I2 => axaddr_offset(0), I3 => \m_payload_i_reg[35]\, I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(3), @@ -4372,27 +4283,28 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd is port ( - next_pending_r_reg_0 : out STD_LOGIC; + wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; - next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - wrap_next_pending : in STD_LOGIC; + \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); + \next\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_awvalid : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; + \axaddr_offset_r_reg[1]_0\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -4434,17 +4346,24 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; + signal next_pending_r_i_2_n_0 : STD_LOGIC; + signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^wrap_next_pending\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; + wrap_next_pending <= \^wrap_next_pending\; + \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -4479,38 +4398,38 @@ begin ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(0), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(0), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(0), + I0 => axaddr_wrap0(0), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(0), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(10), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(10), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(10), + I0 => axaddr_wrap0(10), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(10), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(11), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(11), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(11), + I0 => axaddr_wrap0(11), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(11), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_3\: unisim.vcomponents.LUT3 @@ -4528,48 +4447,48 @@ begin INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => wrap_cnt_r(2), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => wrap_cnt_r(1), - I4 => wrap_cnt_r(0), - I5 => \axlen_cnt_reg_n_0_[0]\, + I0 => wrap_cnt_r(0), + I1 => \axlen_cnt_reg_n_0_[0]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => wrap_cnt_r(2), + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(1), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(1), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(1), + I0 => axaddr_wrap0(1), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(1), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(2), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(2), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(2), + I0 => axaddr_wrap0(2), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(2), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(3), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(3), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(3), + I0 => axaddr_wrap0(3), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(3), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 @@ -4614,74 +4533,74 @@ begin ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(4), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(4), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(4), + I0 => axaddr_wrap0(4), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(4), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(5), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(5), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(5), + I0 => axaddr_wrap0(5), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(5), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(6), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(6), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(6), + I0 => axaddr_wrap0(6), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(6), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(7), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(7), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(7), + I0 => axaddr_wrap0(7), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(7), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(8), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(8), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(8), + I0 => axaddr_wrap0(8), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(8), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(9), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(9), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(9), + I0 => axaddr_wrap0(9), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(9), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE @@ -4827,9 +4746,9 @@ begin I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 @@ -4841,8 +4760,8 @@ begin I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[0]\, I3 => E(0), - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[3]\, + I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 @@ -4858,7 +4777,7 @@ begin I5 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[2]_i_1__0_n_0\ ); -\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) @@ -4869,7 +4788,7 @@ begin I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), - O => \axlen_cnt[3]_i_1__1_n_0\ + O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -4899,7 +4818,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_1__1_n_0\, + D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -5059,7 +4978,19 @@ begin I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); -\next_pending_r_i_2__0\: unisim.vcomponents.LUT6 +\next_pending_r_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"C0E2FFE2" + ) + port map ( + I0 => next_pending_r_reg_n_0, + I1 => \next\, + I2 => next_pending_r_i_2_n_0, + I3 => E(0), + I4 => \m_payload_i_reg[46]\, + O => \^wrap_next_pending\ + ); +next_pending_r_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) @@ -5067,17 +4998,17 @@ begin I0 => \state_reg[1]\(1), I1 => si_rs_awvalid, I2 => \state_reg[1]\(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, - O => next_pending_r_reg_1 + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => \axlen_cnt_reg_n_0_[2]\, + O => next_pending_r_i_2_n_0 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => wrap_next_pending, - Q => next_pending_r_reg_0, + D => \^wrap_next_pending\, + Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE @@ -5184,11 +5115,23 @@ sel_first_reg: unisim.vcomponents.FDRE Q => wrap_boundary_axaddr_r(9), R => '0' ); +\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"1540B5E0" + ) + port map ( + I0 => E(0), + I1 => \^wrap_second_len_r_reg[3]_0\(0), + I2 => \axaddr_offset_r_reg[3]_1\, + I3 => \^wrap_second_len_r_reg[3]_0\(1), + I4 => \axaddr_offset_r_reg[1]_0\, + O => wrap_cnt(1) + ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(0), + D => D(0), Q => wrap_cnt_r(0), R => '0' ); @@ -5196,7 +5139,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(1), + D => wrap_cnt(1), Q => wrap_cnt_r(1), R => '0' ); @@ -5204,7 +5147,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(2), + D => D(1), Q => wrap_cnt_r(2), R => '0' ); @@ -5212,7 +5155,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(3), + D => D(2), Q => wrap_cnt_r(3), R => '0' ); @@ -5221,7 +5164,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), - Q => \wrap_second_len_r_reg[3]_0\(0), + Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE @@ -5229,7 +5172,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), - Q => \wrap_second_len_r_reg[3]_0\(1), + Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE @@ -5237,7 +5180,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), - Q => \wrap_second_len_r_reg[3]_0\(2), + Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE @@ -5245,7 +5188,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), - Q => \wrap_second_len_r_reg[3]_0\(3), + Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; @@ -5259,25 +5202,24 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 is sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); - \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -5359,21 +5301,18 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; - signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; - \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(0), + D => axaddr_offset(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); @@ -5381,7 +5320,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(1), + D => axaddr_offset(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); @@ -5389,7 +5328,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(2), + D => axaddr_offset(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); @@ -5397,7 +5336,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(3), + D => axaddr_offset(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); @@ -5409,7 +5348,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); @@ -5421,7 +5360,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); @@ -5433,7 +5372,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); @@ -5454,10 +5393,10 @@ begin port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, - I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \wrap_cnt_r_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \wrap_cnt_r_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[1]\, + I3 => \wrap_cnt_r_reg_n_0_[1]\, + I4 => \axlen_cnt_reg_n_0_[2]\, + I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 @@ -5468,7 +5407,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); @@ -5480,7 +5419,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); @@ -5492,7 +5431,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); @@ -5544,7 +5483,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); @@ -5556,7 +5495,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); @@ -5568,7 +5507,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); @@ -5580,7 +5519,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); @@ -5592,7 +5531,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); @@ -5604,7 +5543,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); @@ -5763,15 +5702,15 @@ begin ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( - INIT => X"A3A3A3A3A3A3A3A0" + INIT => X"FFFF555400005554" ) port map ( - I0 => \m_payload_i_reg[47]\(15), - I1 => \axlen_cnt_reg_n_0_[0]\, - I2 => E(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => E(0), + I5 => \m_payload_i_reg[47]\(15), O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 @@ -6001,14 +5940,14 @@ begin I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); -\next_pending_r_i_2__2\: unisim.vcomponents.LUT6 +\next_pending_r_i_3__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( - I0 => \state_reg[1]\(0), + I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, - I2 => \state_reg[1]\(1), + I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[3]\, @@ -6126,23 +6065,11 @@ sel_first_reg: unisim.vcomponents.FDRE Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); -\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"13D320E0" - ) - port map ( - I0 => \^wrap_second_len_r_reg[3]_0\(0), - I1 => E(0), - I2 => \axaddr_offset_r_reg[3]_1\, - I3 => \m_payload_i_reg[35]\, - I4 => \^wrap_second_len_r_reg[3]_0\(1), - O => \wrap_cnt_r[1]_i_1__0_n_0\ - ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(0), + D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); @@ -6150,7 +6077,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_cnt_r[1]_i_1__0_n_0\, + D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); @@ -6158,7 +6085,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(1), + D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); @@ -6166,7 +6093,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(2), + D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); @@ -6174,32 +6101,32 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(0), - Q => \^wrap_second_len_r_reg[3]_0\(0), + D => D(0), + Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(1), - Q => \^wrap_second_len_r_reg[3]_0\(1), + D => D(1), + Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(2), - Q => \^wrap_second_len_r_reg[3]_0\(2), + D => D(2), + Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(3), - Q => \^wrap_second_len_r_reg[3]_0\(3), + D => D(3), + Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; @@ -6212,38 +6139,38 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); + \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[1]\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \wrap_cnt_r_reg[2]_0\ : out STD_LOGIC; - \axaddr_offset_r_reg[2]\ : out STD_LOGIC; - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; - next_pending_r_reg : out STD_LOGIC; + \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; + axaddr_offset_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); + next_pending_r_reg : out STD_LOGIC; + next_pending_r_reg_0 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; m_valid_i0 : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; - axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \state_reg[1]_rep_0\ : in STD_LOGIC; + \wrap_second_len_r_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_1 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -6256,8 +6183,8 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is end system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is - signal \^d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 ); + signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^q\ : STD_LOGIC_VECTOR ( 57 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; @@ -6287,13 +6214,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; - signal \axaddr_offset_r[1]_i_3__0_n_0\ : STD_LOGIC; - signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_4_n_0\ : STD_LOGIC; signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; - signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; - signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; @@ -6332,10 +6261,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; - signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; @@ -6346,12 +6276,16 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; @@ -6392,10 +6326,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; - signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; @@ -6406,85 +6341,102 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; - signal \^wrap_cnt_r_reg[2]_0\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC; + signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_5_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3__0\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_1__0\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_4\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_2__0\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair14"; begin - D(1 downto 0) <= \^d\(1 downto 0); - Q(53 downto 0) <= \^q\(53 downto 0); + D(2 downto 0) <= \^d\(2 downto 0); + Q(57 downto 0) <= \^q\(57 downto 0); + \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; - \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; - \axaddr_offset_r_reg[3]\(1 downto 0) <= \^axaddr_offset_r_reg[3]\(1 downto 0); + \axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; - \wrap_cnt_r_reg[2]_0\ <= \^wrap_cnt_r_reg[2]_0\; - \wrap_second_len_r_reg[3]\ <= \^wrap_second_len_r_reg[3]\; + \wrap_second_len_r_reg[1]\ <= \^wrap_second_len_r_reg[1]\; \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' @@ -6673,6 +6625,19 @@ begin O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3 downto 0) => \^q\(11 downto 8) ); +\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF8FF00000800" + ) + port map ( + I0 => \^q\(38), + I1 => \axaddr_offset_r[0]_i_2__0_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(0), + O => \^axaddr_offset_r_reg[0]\ + ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" @@ -6684,71 +6649,74 @@ begin I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), - O => \axaddr_offset_r_reg[0]\ + O => \axaddr_offset_r[0]_i_2__0_n_0\ ); -\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1 +\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"1" + INIT => X"FFFFF8FF00000800" ) port map ( - I0 => \^axaddr_offset_r_reg[1]\, - O => \^axaddr_offset_r_reg[3]\(0) + I0 => \^q\(39), + I1 => \axaddr_offset_r[1]_i_2__0_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(1), + O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"1FDF00001FDFFFFF" + INIT => X"AFA0CFCFAFA0C0C0" ) port map ( - I0 => \axaddr_offset_r[1]_i_3__0_n_0\, - I1 => \^q\(35), - I2 => \^q\(39), - I3 => \axaddr_offset_r[2]_i_3__0_n_0\, - I4 => \state_reg[1]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(0), - O => \^axaddr_offset_r_reg[1]\ + I0 => \^q\(4), + I1 => \^q\(2), + I2 => \^q\(35), + I3 => \^q\(3), + I4 => \^q\(36), + I5 => \^q\(1), + O => \axaddr_offset_r[1]_i_2__0_n_0\ ); -\axaddr_offset_r[1]_i_3__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT1 generic map( - INIT => X"B8" + INIT => X"1" ) port map ( - I0 => \^q\(3), - I1 => \^q\(36), - I2 => \^q\(1), - O => \axaddr_offset_r[1]_i_3__0_n_0\ + I0 => \axaddr_offset_r[2]_i_2_n_0\, + O => axaddr_offset_0(0) ); -\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 +\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"AC00FFFFAC000000" + INIT => X"03FFF3FF55555555" ) port map ( - I0 => \axaddr_offset_r[2]_i_2__0_n_0\, + I0 => \axaddr_offset_r_reg[3]_0\(2), I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), - I4 => \state_reg[1]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(1), - O => \^axaddr_offset_r_reg[2]\ + I4 => \axaddr_offset_r[2]_i_4_n_0\, + I5 => \state_reg[1]_rep\, + O => \axaddr_offset_r[2]_i_2_n_0\ ); -\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => \^q\(5), + I0 => \^q\(4), I1 => \^q\(36), - I2 => \^q\(3), - O => \axaddr_offset_r[2]_i_2__0_n_0\ + I2 => \^q\(2), + O => \axaddr_offset_r[2]_i_3__0_n_0\ ); -\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => \^q\(4), + I0 => \^q\(5), I1 => \^q\(36), - I2 => \^q\(2), - O => \axaddr_offset_r[2]_i_3__0_n_0\ + I2 => \^q\(3), + O => \axaddr_offset_r[2]_i_4_n_0\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( @@ -6757,11 +6725,11 @@ begin port map ( I0 => \^q\(41), I1 => \axaddr_offset_r[3]_i_2__0_n_0\, - I2 => \state_reg[1]_rep_0\, + I2 => \state_reg[1]\(1), I3 => \^s_ready_i_reg_0\, - I4 => \state_reg[0]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(2), - O => \^axaddr_offset_r_reg[3]\(1) + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(3), + O => \^axaddr_offset_r_reg[3]\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( @@ -6776,7 +6744,7 @@ begin I5 => \^q\(3), O => \axaddr_offset_r[3]_i_2__0_n_0\ ); -\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT4 +\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) @@ -7166,6 +7134,26 @@ begin I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); +\m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arlen(4), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[48]\, + O => \m_payload_i[48]_i_1__0_n_0\ + ); +\m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arlen(5), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[49]\, + O => \m_payload_i[49]_i_1__0_n_0\ + ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -7181,7 +7169,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(0), + I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ @@ -7191,27 +7179,17 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(1), + I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); -\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => s_axi_arid(2), - I1 => \^s_axi_arready\, - I2 => \skid_buffer_reg_n_0_[52]\, - O => \m_payload_i[52]_i_1__0_n_0\ - ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => s_axi_arid(3), + I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ @@ -7221,7 +7199,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(4), + I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ @@ -7231,7 +7209,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(5), + I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ @@ -7241,7 +7219,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(6), + I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ @@ -7251,7 +7229,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(7), + I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ @@ -7261,7 +7239,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(8), + I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ @@ -7271,7 +7249,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(9), + I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ @@ -7291,7 +7269,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(10), + I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ @@ -7301,11 +7279,41 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(11), + I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); +\m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(9), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[62]\, + O => \m_payload_i[62]_i_1__0_n_0\ + ); +\m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(10), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[63]\, + O => \m_payload_i[63]_i_1__0_n_0\ + ); +\m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(11), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[64]\, + O => \m_payload_i[64]_i_1__0_n_0\ + ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -7642,6 +7650,22 @@ begin Q => \^q\(41), R => '0' ); +\m_payload_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[48]_i_1__0_n_0\, + Q => \^q\(42), + R => '0' + ); +\m_payload_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[49]_i_1__0_n_0\, + Q => \^q\(43), + R => '0' + ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -7655,7 +7679,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[50]_i_1__0_n_0\, - Q => \^q\(42), + Q => \^q\(44), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE @@ -7663,15 +7687,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[51]_i_1__0_n_0\, - Q => \^q\(43), - R => '0' - ); -\m_payload_i_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \state_reg[1]_rep_1\(0), - D => \m_payload_i[52]_i_1__0_n_0\, - Q => \^q\(44), + Q => \^q\(45), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE @@ -7679,7 +7695,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[53]_i_1__0_n_0\, - Q => \^q\(45), + Q => \^q\(46), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE @@ -7687,7 +7703,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[54]_i_1__0_n_0\, - Q => \^q\(46), + Q => \^q\(47), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE @@ -7695,7 +7711,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[55]_i_1__0_n_0\, - Q => \^q\(47), + Q => \^q\(48), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE @@ -7703,7 +7719,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[56]_i_1__0_n_0\, - Q => \^q\(48), + Q => \^q\(49), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE @@ -7711,7 +7727,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[57]_i_1__0_n_0\, - Q => \^q\(49), + Q => \^q\(50), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE @@ -7719,7 +7735,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[58]_i_1__0_n_0\, - Q => \^q\(50), + Q => \^q\(51), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE @@ -7727,7 +7743,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[59]_i_1__0_n_0\, - Q => \^q\(51), + Q => \^q\(52), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE @@ -7743,7 +7759,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[60]_i_1__0_n_0\, - Q => \^q\(52), + Q => \^q\(53), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE @@ -7751,7 +7767,31 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[61]_i_1__0_n_0\, - Q => \^q\(53), + Q => \^q\(54), + R => '0' + ); +\m_payload_i_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[62]_i_1__0_n_0\, + Q => \^q\(55), + R => '0' + ); +\m_payload_i_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[63]_i_1__0_n_0\, + Q => \^q\(56), + R => '0' + ); +\m_payload_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[64]_i_1__0_n_0\, + Q => \^q\(57), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE @@ -7794,16 +7834,27 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); -\next_pending_r_i_3__0\: unisim.vcomponents.LUT5 +\next_pending_r_i_2__1\: unisim.vcomponents.LUT4 generic map( - INIT => X"AAAAAAA8" + INIT => X"0001" ) port map ( - I0 => \state_reg[1]_rep\, - I1 => \^q\(38), + I0 => \^q\(40), + I1 => \^q\(39), I2 => \^q\(41), - I3 => \^q\(39), - I4 => \^q\(40), + I3 => \^q\(38), + O => \^next_pending_r_reg_0\ + ); +\next_pending_r_i_3__2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000002" + ) + port map ( + I0 => \^next_pending_r_reg_0\, + I1 => \^q\(42), + I2 => \^q\(45), + I3 => \^q\(43), + I4 => \^q\(44), O => next_pending_r_reg ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 @@ -8122,6 +8173,22 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); +\skid_buffer_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arlen(4), + Q => \skid_buffer_reg_n_0_[48]\, + R => '0' + ); +\skid_buffer_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arlen(5), + Q => \skid_buffer_reg_n_0_[49]\, + R => '0' + ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -8134,7 +8201,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(0), + D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); @@ -8142,23 +8209,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(1), + D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); -\skid_buffer_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \^s_axi_arready\, - D => s_axi_arid(2), - Q => \skid_buffer_reg_n_0_[52]\, - R => '0' - ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(3), + D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); @@ -8166,7 +8225,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(4), + D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); @@ -8174,7 +8233,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(5), + D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); @@ -8182,7 +8241,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(6), + D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); @@ -8190,7 +8249,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(7), + D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); @@ -8198,7 +8257,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(8), + D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); @@ -8206,7 +8265,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(9), + D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); @@ -8218,20 +8277,44 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); -\skid_buffer_reg[60]\: unisim.vcomponents.FDRE +\skid_buffer_reg[60]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(7), + Q => \skid_buffer_reg_n_0_[60]\, + R => '0' + ); +\skid_buffer_reg[61]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(8), + Q => \skid_buffer_reg_n_0_[61]\, + R => '0' + ); +\skid_buffer_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(9), + Q => \skid_buffer_reg_n_0_[62]\, + R => '0' + ); +\skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), - Q => \skid_buffer_reg_n_0_[60]\, + Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); -\skid_buffer_reg[61]\: unisim.vcomponents.FDRE +\skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), - Q => \skid_buffer_reg_n_0_[61]\, + Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE @@ -8291,13 +8374,13 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"8888082AAAAA082A" + INIT => X"A0A002A2AAAA02A2" ) port map ( I0 => \^q\(2), - I1 => \^q\(35), - I2 => \^q\(39), - I3 => \^q\(40), + I1 => \^q\(40), + I2 => \^q\(35), + I3 => \^q\(39), I4 => \^q\(36), I5 => \^q\(38), O => \wrap_boundary_axaddr_r_reg[6]\(2) @@ -8327,15 +8410,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"002AA02A0A2AAA2A" + INIT => X"002A0A2AA02AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(41), I2 => \^q\(35), I3 => \^q\(36), - I4 => \^q\(39), - I5 => \^q\(40), + I4 => \^q\(40), + I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 @@ -8361,70 +8444,185 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); -\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6 +\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"55555855AAAAA8AA" + ) + port map ( + I0 => \wrap_second_len_r[0]_i_2__0_n_0\, + I1 => \wrap_second_len_r[0]_i_3_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \wrap_second_len_r_reg[3]\(0), + O => \wrap_cnt_r_reg[3]\(0) + ); +\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^wrap_second_len_r_reg[1]\, + I1 => \wrap_cnt_r[3]_i_2__0_n_0\, + O => \wrap_cnt_r_reg[3]\(1) + ); +\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( - INIT => X"A656AAAAAAAAAAAA" + INIT => X"9A" ) port map ( I0 => \^d\(1), - I1 => \wrap_second_len_r_reg[2]\(0), - I2 => \state_reg[1]_rep\, - I3 => axaddr_offset_0(0), - I4 => \^wrap_cnt_r_reg[2]_0\, - I5 => \^d\(0), - O => \wrap_cnt_r_reg[2]\(0) + I1 => \wrap_cnt_r[3]_i_2__0_n_0\, + I2 => \^wrap_second_len_r_reg[1]\, + O => \wrap_cnt_r_reg[3]\(2) + ); +\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"A6AA" + ) + port map ( + I0 => \^d\(2), + I1 => \^wrap_second_len_r_reg[1]\, + I2 => \wrap_cnt_r[3]_i_2__0_n_0\, + I3 => \^d\(1), + O => \wrap_cnt_r_reg[3]\(3) + ); +\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAABAA" + ) + port map ( + I0 => \wrap_cnt_r[3]_i_3_n_0\, + I1 => \^axaddr_offset_r_reg[0]\, + I2 => \^axaddr_offset_r_reg[1]\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, + I4 => \^axaddr_offset_r_reg[3]\, + O => \wrap_cnt_r[3]_i_2__0_n_0\ + ); +\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000800FFFFF8FF" + ) + port map ( + I0 => \axaddr_offset_r[0]_i_2__0_n_0\, + I1 => \^q\(38), + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \wrap_second_len_r_reg[3]\(0), + O => \wrap_cnt_r[3]_i_3_n_0\ + ); +\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"CCCCC0CCCCCCCACC" + ) + port map ( + I0 => \wrap_second_len_r[0]_i_2__0_n_0\, + I1 => \wrap_second_len_r_reg[3]\(0), + I2 => \state_reg[1]\(0), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(1), + I5 => \wrap_second_len_r[0]_i_3_n_0\, + O => \^d\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFBAFFFFFFFF" + INIT => X"FFFFFFFFFFFFF2FF" ) port map ( - I0 => \^wrap_second_len_r_reg[3]\, + I0 => \axaddr_offset_r_reg[3]_0\(3), I1 => \state_reg[1]_rep\, - I2 => \axaddr_offset_r_reg[3]_0\(2), - I3 => \^axaddr_offset_r_reg[2]\, - I4 => axaddr_offset_0(0), - I5 => \^axaddr_offset_r_reg[1]\, - O => \^wrap_cnt_r_reg[2]_0\ + I2 => \wrap_second_len_r[3]_i_2__0_n_0\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, + I4 => \^axaddr_offset_r_reg[1]\, + I5 => \^axaddr_offset_r_reg[0]\, + O => \wrap_second_len_r[0]_i_2__0_n_0\ + ); +\wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFE200E2" + ) + port map ( + I0 => \^q\(0), + I1 => \^q\(36), + I2 => \^q\(2), + I3 => \^q\(35), + I4 => \wrap_second_len_r[0]_i_4_n_0\, + I5 => \wrap_second_len_r[0]_i_5_n_0\, + O => \wrap_second_len_r[0]_i_3_n_0\ + ); +\wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \^q\(3), + I1 => \^q\(36), + I2 => \^q\(1), + O => \wrap_second_len_r[0]_i_4_n_0\ + ); +\wrap_second_len_r[0]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFDF" + ) + port map ( + I0 => \^q\(38), + I1 => \state_reg[1]\(0), + I2 => \^s_ready_i_reg_0\, + I3 => \state_reg[1]\(1), + O => \wrap_second_len_r[0]_i_5_n_0\ ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0EF0FFFF0EF00000" + INIT => X"C3AAC0AAC3AAC3AA" ) port map ( - I0 => \^axaddr_offset_r_reg[2]\, - I1 => \^axaddr_offset_r_reg[3]\(1), - I2 => axaddr_offset_0(0), - I3 => \^axaddr_offset_r_reg[1]\, - I4 => \state_reg[1]_rep\, - I5 => \wrap_second_len_r_reg[2]\(1), - O => \^d\(0) + I0 => \wrap_second_len_r_reg[3]\(1), + I1 => \^axaddr_offset_r_reg[0]\, + I2 => \^axaddr_offset_r_reg[1]\, + I3 => \state_reg[1]_rep\, + I4 => \^axaddr_offset_r_reg[3]\, + I5 => \axaddr_offset_r[2]_i_2_n_0\, + O => \^wrap_second_len_r_reg[1]\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"D2D0FFFFD2D00000" + INIT => X"02FCFFFF02FC0000" ) port map ( - I0 => \^axaddr_offset_r_reg[1]\, - I1 => axaddr_offset_0(0), - I2 => \^axaddr_offset_r_reg[2]\, - I3 => \^axaddr_offset_r_reg[3]\(1), + I0 => \^axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[1]\, + I2 => \^axaddr_offset_r_reg[0]\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, I4 => \state_reg[1]_rep\, - I5 => \wrap_second_len_r_reg[2]\(2), + I5 => \wrap_second_len_r_reg[3]\(2), O => \^d\(1) ); +\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"EF00FFFFEF00EF00" + ) + port map ( + I0 => \^axaddr_offset_r_reg[0]\, + I1 => \^axaddr_offset_r_reg[1]\, + I2 => \axaddr_offset_r[2]_i_2_n_0\, + I3 => \wrap_second_len_r[3]_i_2__0_n_0\, + I4 => \state_reg[1]_rep\, + I5 => \wrap_second_len_r_reg[3]\(3), + O => \^d\(2) + ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( - I0 => \axaddr_offset_r[2]_i_2__0_n_0\, + I0 => \axaddr_offset_r[2]_i_4_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, - O => \^wrap_second_len_r_reg[3]\ + O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; @@ -8436,15 +8634,17 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 i s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_cnt_r_reg[1]\ : out STD_LOGIC; + \wrap_second_len_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; + next_pending_r_reg_0 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; @@ -8453,15 +8653,16 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 i \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -8475,7 +8676,7 @@ end system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 ); + signal \^q\ : STD_LOGIC_VECTOR ( 57 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; @@ -8502,8 +8703,9 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; + signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC; - signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; @@ -8511,10 +8713,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; - signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 ); + signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; @@ -8552,10 +8755,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; - signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; @@ -8566,78 +8770,89 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair65"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair62"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair62"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair58"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair69"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair60"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair60"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair58"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair57"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair67"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair67"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair62"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair62"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair56"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair56"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair69"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair54"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair54"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair67"; - attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair67"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair65"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair61"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair56"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair44"; begin - Q(53 downto 0) <= \^q\(53 downto 0); + Q(57 downto 0) <= \^q\(57 downto 0); + axaddr_offset(2 downto 0) <= \^axaddr_offset\(2 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; + \wrap_second_len_r_reg[3]\ <= \^wrap_second_len_r_reg[3]\; \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" @@ -8851,7 +9066,7 @@ begin ) port map ( I0 => \^axaddr_offset_r_reg[1]\, - O => axaddr_offset(0) + O => \^axaddr_offset\(0) ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6 generic map( @@ -8883,13 +9098,13 @@ begin port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \state_reg[1]_rep\, - I2 => \axaddr_offset_r[2]_i_2_n_0\, + I2 => \axaddr_offset_r[2]_i_2__0_n_0\, I3 => \axaddr_offset_r[2]_i_3_n_0\, I4 => \^q\(35), I5 => \^q\(40), - O => axaddr_offset(1) + O => \^axaddr_offset\(1) ); -\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) @@ -8897,7 +9112,7 @@ begin I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), - O => \axaddr_offset_r[2]_i_2_n_0\ + O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( @@ -8920,7 +9135,7 @@ begin I3 => \^m_valid_i_reg_0\, I4 => \state_reg[0]_rep\, I5 => \axaddr_offset_r_reg[3]\(2), - O => axaddr_offset(2) + O => \^axaddr_offset\(2) ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( @@ -8935,7 +9150,7 @@ begin I5 => \^q\(3), O => \axaddr_offset_r[3]_i_2_n_0\ ); -\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4 +\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) @@ -9325,6 +9540,26 @@ begin I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); +\m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awlen(4), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[48]\, + O => skid_buffer(48) + ); +\m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awlen(5), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[49]\, + O => skid_buffer(49) + ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -9340,7 +9575,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(0), + I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) @@ -9350,27 +9585,17 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(1), + I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); -\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => s_axi_awid(2), - I1 => \^s_axi_awready\, - I2 => \skid_buffer_reg_n_0_[52]\, - O => skid_buffer(52) - ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => s_axi_awid(3), + I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) @@ -9380,7 +9605,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(4), + I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) @@ -9390,7 +9615,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(5), + I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) @@ -9400,7 +9625,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(6), + I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) @@ -9410,7 +9635,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(7), + I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) @@ -9420,7 +9645,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(8), + I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) @@ -9430,7 +9655,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(9), + I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) @@ -9450,7 +9675,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(10), + I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) @@ -9460,11 +9685,41 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(11), + I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); +\m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(9), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[62]\, + O => skid_buffer(62) + ); +\m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(10), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[63]\, + O => skid_buffer(63) + ); +\m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(11), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[64]\, + O => skid_buffer(64) + ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -9801,6 +10056,22 @@ begin Q => \^q\(41), R => '0' ); +\m_payload_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(48), + Q => \^q\(42), + R => '0' + ); +\m_payload_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(49), + Q => \^q\(43), + R => '0' + ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -9814,7 +10085,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(50), - Q => \^q\(42), + Q => \^q\(44), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE @@ -9822,15 +10093,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(51), - Q => \^q\(43), - R => '0' - ); -\m_payload_i_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => E(0), - D => skid_buffer(52), - Q => \^q\(44), + Q => \^q\(45), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE @@ -9838,7 +10101,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(53), - Q => \^q\(45), + Q => \^q\(46), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE @@ -9846,7 +10109,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(54), - Q => \^q\(46), + Q => \^q\(47), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE @@ -9854,7 +10117,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(55), - Q => \^q\(47), + Q => \^q\(48), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE @@ -9862,7 +10125,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(56), - Q => \^q\(48), + Q => \^q\(49), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE @@ -9870,7 +10133,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(57), - Q => \^q\(49), + Q => \^q\(50), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE @@ -9878,7 +10141,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(58), - Q => \^q\(50), + Q => \^q\(51), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE @@ -9886,7 +10149,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(59), - Q => \^q\(51), + Q => \^q\(52), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE @@ -9902,7 +10165,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(60), - Q => \^q\(52), + Q => \^q\(53), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE @@ -9910,7 +10173,31 @@ begin C => aclk, CE => E(0), D => skid_buffer(61), - Q => \^q\(53), + Q => \^q\(54), + R => '0' + ); +\m_payload_i_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(62), + Q => \^q\(55), + R => '0' + ); +\m_payload_i_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(63), + Q => \^q\(56), + R => '0' + ); +\m_payload_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(64), + Q => \^q\(57), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE @@ -9964,18 +10251,29 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); -next_pending_r_i_4: unisim.vcomponents.LUT5 +\next_pending_r_i_2__0\: unisim.vcomponents.LUT5 generic map( - INIT => X"AAAAAAA8" + INIT => X"FFFFFFFD" ) port map ( - I0 => \state_reg[1]_rep\, - I1 => \^q\(38), - I2 => \^q\(41), - I3 => \^q\(39), - I4 => \^q\(40), + I0 => \^next_pending_r_reg_0\, + I1 => \^q\(42), + I2 => \^q\(43), + I3 => \^q\(44), + I4 => \^q\(45), O => next_pending_r_reg ); +\next_pending_r_i_3__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \^q\(40), + I1 => \^q\(39), + I2 => \^q\(41), + I3 => \^q\(38), + O => \^next_pending_r_reg_0\ + ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" @@ -10299,6 +10597,22 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); +\skid_buffer_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awlen(4), + Q => \skid_buffer_reg_n_0_[48]\, + R => '0' + ); +\skid_buffer_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awlen(5), + Q => \skid_buffer_reg_n_0_[49]\, + R => '0' + ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -10311,7 +10625,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(0), + D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); @@ -10319,23 +10633,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(1), - Q => \skid_buffer_reg_n_0_[51]\, - R => '0' - ); -\skid_buffer_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \^s_axi_awready\, - D => s_axi_awid(2), - Q => \skid_buffer_reg_n_0_[52]\, + D => s_axi_awlen(7), + Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(3), + D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); @@ -10343,7 +10649,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(4), + D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); @@ -10351,7 +10657,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(5), + D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); @@ -10359,7 +10665,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(6), + D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); @@ -10367,7 +10673,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(7), + D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); @@ -10375,7 +10681,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(8), + D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); @@ -10383,7 +10689,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(9), + D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); @@ -10399,7 +10705,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(10), + D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); @@ -10407,10 +10713,34 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(11), + D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); +\skid_buffer_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(9), + Q => \skid_buffer_reg_n_0_[62]\, + R => '0' + ); +\skid_buffer_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(10), + Q => \skid_buffer_reg_n_0_[63]\, + R => '0' + ); +\skid_buffer_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(11), + Q => \skid_buffer_reg_n_0_[64]\, + R => '0' + ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -10538,18 +10868,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); +\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFBAFFFFFFFF" + ) + port map ( + I0 => \^wrap_second_len_r_reg[3]\, + I1 => \state_reg[1]_rep\, + I2 => \axaddr_offset_r_reg[3]\(2), + I3 => \^axaddr_offset\(1), + I4 => \axaddr_offset_r_reg[0]_0\(0), + I5 => \^axaddr_offset_r_reg[1]\, + O => \wrap_cnt_r_reg[1]\ + ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( - I0 => \axaddr_offset_r[2]_i_2_n_0\, + I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, - O => \wrap_second_len_r_reg[3]\ + O => \^wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; @@ -10609,22 +10952,22 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_register_slice_v2_1_9_axi signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair71"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair71"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair75"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair75"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair73"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair73"; - attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair70"; - attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair75"; + attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair75"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; @@ -11171,52 +11514,52 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_register_slice_v2_1_9_axi signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair96"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair94"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair94"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair92"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair92"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair90"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair90"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair88"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair88"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair86"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair86"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair84"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair84"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair82"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair82"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair99"; - attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair80"; - attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair80"; - attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair79"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair78"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair79"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair78"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair99"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair101"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair91"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair91"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair90"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair90"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair101"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; @@ -12509,7 +12852,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is m_axi_bvalid : in STD_LOGIC; areset_d1 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; - \in\ : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -12517,11 +12860,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is - signal bid_fifo_0_n_5 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal bresp_fifo_0_n_2 : STD_LOGIC; signal bresp_push : STD_LOGIC; - signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^cnt_read_reg[0]_rep__0\ : STD_LOGIC; + signal \^cnt_read_reg[1]_rep__1\ : STD_LOGIC; signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); @@ -12533,13 +12877,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair113"; - attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair113"; - attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair112"; - attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair117"; + attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair117"; + attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair115"; + attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair115"; + attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair116"; + attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair116"; begin + \cnt_read_reg[0]_rep__0\ <= \^cnt_read_reg[0]_rep__0\; + \cnt_read_reg[1]_rep__1\ <= \^cnt_read_reg[1]_rep__1\; si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo port map ( @@ -12549,16 +12895,12 @@ bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 areset_d1 => areset_d1, b_push => b_push, bresp_push => bresp_push, - bvalid_i_reg => bid_fifo_0_n_5, - \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, - \cnt_read_reg[1]_0\(1 downto 0) => cnt_read(1 downto 0), - \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, - \in\(15 downto 0) => \in\(15 downto 0), + \cnt_read_reg[0]_rep__0_0\ => \^cnt_read_reg[0]_rep__0\, + \cnt_read_reg[1]_rep__1_0\ => \^cnt_read_reg[1]_rep__1\, + \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), shandshake_r => shandshake_r, - si_rs_bready => si_rs_bready, - si_rs_bvalid => \^si_rs_bvalid\, \state_reg[0]_rep\ => \state_reg[0]_rep\ ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 @@ -12722,10 +13064,12 @@ bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 ); bresp_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ port map ( - Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, bresp_push => bresp_push, + bvalid_i_reg => bresp_fifo_0_n_2, + \cnt_read_reg[0]_rep__0\ => \^cnt_read_reg[0]_rep__0\, + \cnt_read_reg[1]_rep__1\ => \^cnt_read_reg[1]_rep__1\, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, @@ -12733,13 +13077,15 @@ bresp_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9 mhandshake => mhandshake, mhandshake_r => mhandshake_r, shandshake_r => shandshake_r, + si_rs_bready => si_rs_bready, + si_rs_bvalid => \^si_rs_bvalid\, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => bid_fifo_0_n_5, + D => bresp_fifo_0_n_2, Q => \^si_rs_bvalid\, R => '0' ); @@ -12807,22 +13153,20 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; - next_pending_r_reg_0 : out STD_LOGIC; + wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[3]\ : out STD_LOGIC; - next_pending_r_reg_1 : out STD_LOGIC; + \axlen_cnt_reg[6]\ : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; - wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; @@ -12832,21 +13176,23 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator sel_first_reg_3 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 22 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + \next\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_awvalid : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; + \axaddr_offset_r_reg[1]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg_0 : in STD_LOGIC; - \m_payload_i_reg[47]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); - \state_reg[0]_rep_0\ : in STD_LOGIC + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_9_b2s_cmd_translator"; @@ -12864,7 +13210,6 @@ begin incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd port map ( CO(0) => CO(0), - D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(0) => Q(0), @@ -12873,19 +13218,20 @@ incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), - \axlen_cnt_reg[3]_0\ => \axlen_cnt_reg[3]\, + \axlen_cnt_reg[6]_0\ => \axlen_cnt_reg[6]\, + \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, incr_next_pending => incr_next_pending, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), - \m_payload_i_reg[46]\(7 downto 6) => \m_payload_i_reg[47]_0\(17 downto 16), - \m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12), - \m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, + \m_payload_i_reg[51]\(11 downto 8) => \m_payload_i_reg[51]\(22 downto 19), + \m_payload_i_reg[51]\(7 downto 6) => \m_payload_i_reg[51]\(17 downto 16), + \m_payload_i_reg[51]\(5 downto 4) => \m_payload_i_reg[51]\(13 downto 12), + \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), - m_valid_i_reg_0 => m_valid_i_reg_0, next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, - \state_reg[0]_rep\ => \state_reg[0]_rep_0\, + \state_reg[0]\(0) => \state_reg[0]\(0), \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 @@ -12894,7 +13240,7 @@ incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 ) port map ( I0 => s_axburst_eq1, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); @@ -12924,28 +13270,29 @@ sel_first_reg: unisim.vcomponents.FDRE ); wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd port map ( + D(2 downto 0) => D(2 downto 0), E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), + \axaddr_offset_r_reg[1]_0\ => \axaddr_offset_r_reg[1]\, \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), - \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, + \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0), - \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_1\(3 downto 0), + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, + \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[51]\(18 downto 0), + \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), - next_pending_r_reg_0 => next_pending_r_reg_0, - next_pending_r_reg_1 => next_pending_r_reg_1, + \next\ => \next\, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, si_rs_awvalid => si_rs_awvalid, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), - \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) + \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); end STRUCTURE; library IEEE; @@ -12960,14 +13307,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[1]\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; - \state_reg[0]_rep\ : out STD_LOGIC; + \state_reg[1]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; @@ -12979,24 +13324,22 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; - E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); - \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 22 downto 0 ); + E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]_rep\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \state_reg[0]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; + \state_reg[1]_rep_1\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; - \m_payload_i_reg[35]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]_0\ : in STD_LOGIC; - \m_payload_i_reg[47]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC ); @@ -13011,39 +13354,37 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair7"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 port map ( CO(0) => CO(0), - D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), - Q(0) => Q(0), + Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), - \axlen_cnt_reg[1]_0\ => \axlen_cnt_reg[1]\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[46]\(7 downto 6) => \m_payload_i_reg[47]_0\(17 downto 16), - \m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12), - \m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(22 downto 19), + \m_payload_i_reg[51]\(8 downto 6) => \m_payload_i_reg[51]\(17 downto 15), + \m_payload_i_reg[51]\(5 downto 4) => \m_payload_i_reg[51]\(13 downto 12), + \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, - \state_reg[1]\ => \state_reg[1]_0\, - \state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\ + si_rs_arvalid => si_rs_arvalid, + \state_reg[1]_rep\ => \state_reg[1]_rep_1\ ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( @@ -13051,7 +13392,7 @@ r_rlast_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => s_axburst_eq0, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq1, O => r_rlast ); @@ -13085,23 +13426,22 @@ sel_first_reg: unisim.vcomponents.FDRE ) port map ( I0 => s_axburst_eq1, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq0, - O => \state_reg[0]_rep\ + O => \state_reg[1]_rep\ ); wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 port map ( + D(3 downto 0) => D(3 downto 0), E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), + axaddr_offset(3 downto 0) => axaddr_offset(3 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0), - \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_1\(3 downto 0), + \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[51]\(18 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, @@ -13109,12 +13449,12 @@ wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, si_rs_arvalid => si_rs_arvalid, - \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\, + \state_reg[0]_rep\ => \state_reg[0]_rep\, + \state_reg[1]_rep\ => \state_reg[1]_rep_0\, + \state_reg[1]_rep_0\ => \state_reg[1]_rep_1\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), - \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) + \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); end STRUCTURE; library IEEE; @@ -13124,7 +13464,7 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel is port ( m_valid_i_reg : out STD_LOGIC; - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); @@ -13266,7 +13606,7 @@ rd_data_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1 port map ( aclk => aclk, areset_d1 => areset_d1, - \cnt_read_reg[1]_rep__2_0\ => rd_data_fifo_0_n_0, + \cnt_read_reg[1]_rep__3_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[2]_rep__0_0\ => transaction_fifo_0_n_1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, @@ -13274,7 +13614,7 @@ rd_data_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1 m_valid_i_reg => \^m_valid_i_reg\, \out\(33 downto 0) => \out\(33 downto 0), si_rs_rready => si_rs_rready, - \state_reg[1]_rep\ => rd_data_fifo_0_n_3 + \state_reg[0]_rep\ => rd_data_fifo_0_n_3 ); transaction_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2\ port map ( @@ -13288,7 +13628,7 @@ transaction_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_ s_ready_i_reg => rd_data_fifo_0_n_0, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\ + \state_reg[0]_rep\ => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -13305,8 +13645,10 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); - \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 53 downto 0 ); + \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + D : out STD_LOGIC_VECTOR ( 3 downto 0 ); + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); + \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -13314,24 +13656,21 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_cnt_r_reg[1]\ : out STD_LOGIC; + \wrap_second_len_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; - shandshake : out STD_LOGIC; - \wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \wrap_cnt_r_reg[2]_0\ : out STD_LOGIC; - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - \axaddr_offset_r_reg[1]_0\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; + shandshake : out STD_LOGIC; + axaddr_offset_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; + next_pending_r_reg_1 : out STD_LOGIC; + next_pending_r_reg_2 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \axaddr_offset_r_reg[0]_0\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); @@ -13343,31 +13682,32 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is s_axi_rready : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; - axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \state_reg[1]_rep_2\ : in STD_LOGIC; + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; + \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -13388,37 +13728,37 @@ end system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; - signal aw_pipe_n_86 : STD_LOGIC; + signal aw_pipe_n_92 : STD_LOGIC; begin ar_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice port map ( - D(1 downto 0) => D(1 downto 0), - Q(53 downto 0) => \s_arid_r_reg[11]\(53 downto 0), + D(2 downto 1) => D(3 downto 2), + D(0) => D(0), + Q(57 downto 0) => \s_arid_r_reg[11]\(57 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, - \aresetn_d_reg[0]_0\ => aw_pipe_n_86, + \aresetn_d_reg[0]_0\ => aw_pipe_n_92, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), - axaddr_offset_0(0) => axaddr_offset_0(0), - \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]_0\, - \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]_0\, - \axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[3]\(1), - \axaddr_offset_r_reg[3]\(1) => \axaddr_offset_r_reg[3]\(2), - \axaddr_offset_r_reg[3]\(0) => \axaddr_offset_r_reg[3]\(0), - \axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0), + axaddr_offset_0(0) => axaddr_offset_0(2), + \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), + \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), + \axaddr_offset_r_reg[3]\ => axaddr_offset_0(3), + \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i0 => m_valid_i0, m_valid_i_reg_0 => ar_pipe_n_2, - next_pending_r_reg => next_pending_r_reg_0, + next_pending_r_reg => next_pending_r_reg_1, + next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -13426,41 +13766,43 @@ ar_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_regi s_ready_i_reg_0 => si_rs_arvalid, sel_first_1 => sel_first_1, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, + \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \state_reg[1]_rep_1\(0) => \state_reg[1]_rep_3\(0), \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), - \wrap_cnt_r_reg[2]\(0) => \wrap_cnt_r_reg[2]\(0), - \wrap_cnt_r_reg[2]_0\ => \wrap_cnt_r_reg[2]_0\, - \wrap_second_len_r_reg[2]\(2 downto 0) => \wrap_second_len_r_reg[2]\(2 downto 0), - \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]_0\ + \wrap_cnt_r_reg[3]\(3 downto 0) => \wrap_cnt_r_reg[3]\(3 downto 0), + \wrap_second_len_r_reg[1]\ => D(1), + \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); aw_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 port map ( CO(0) => CO(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), - Q(53 downto 0) => Q(53 downto 0), + Q(57 downto 0) => Q(57 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, - \aresetn_d_reg[1]_inv\ => aw_pipe_n_86, + \aresetn_d_reg[1]_inv\ => aw_pipe_n_92, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\, + \axaddr_offset_r_reg[0]_0\(0) => \axaddr_offset_r_reg[0]_0\(0), \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, - \axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]_0\(2 downto 0), + \axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, + next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -13471,6 +13813,7 @@ aw_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_regi \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), + \wrap_cnt_r_reg[1]\ => \wrap_cnt_r_reg[1]\, \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\ ); b_pipe: entity work.\system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ @@ -13509,42 +13852,39 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; - \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); - axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - r_push : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; + r_push : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; m_valid_i0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; - m_axi_arready : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; - \m_payload_i_reg[61]\ : in STD_LOGIC_VECTOR ( 30 downto 0 ); + \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 34 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; - D : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \m_payload_i_reg[35]_0\ : in STD_LOGIC; - \m_payload_i_reg[3]\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; + m_axi_arready : in STD_LOGIC; areset_d1 : in STD_LOGIC; - \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; + \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \m_payload_i_reg[38]\ : in STD_LOGIC; - \wrap_second_len_r_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -13552,154 +13892,117 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is - signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal ar_cmd_fsm_0_n_0 : STD_LOGIC; - signal ar_cmd_fsm_0_n_10 : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_13 : STD_LOGIC; - signal ar_cmd_fsm_0_n_17 : STD_LOGIC; - signal ar_cmd_fsm_0_n_18 : STD_LOGIC; - signal ar_cmd_fsm_0_n_22 : STD_LOGIC; - signal ar_cmd_fsm_0_n_23 : STD_LOGIC; + signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; - signal ar_cmd_fsm_0_n_4 : STD_LOGIC; signal ar_cmd_fsm_0_n_6 : STD_LOGIC; - signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal ar_cmd_fsm_0_n_8 : STD_LOGIC; + signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; - signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; - signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; + signal \^m_payload_i_reg[0]\ : STD_LOGIC; + signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; - signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; - signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC; signal wrap_next_pending : STD_LOGIC; begin - Q(2 downto 0) <= \^q\(2 downto 0); - axaddr_offset(0) <= \^axaddr_offset\(0); + Q(1 downto 0) <= \^q\(1 downto 0); + \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; + \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push <= \^r_push\; sel_first <= \^sel_first\; - \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; + \wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\; ar_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm port map ( - D(1) => ar_cmd_fsm_0_n_3, - D(0) => ar_cmd_fsm_0_n_4, - E(0) => \^wrap_boundary_axaddr_r_reg[11]\, - Q(1 downto 0) => state(1 downto 0), + E(0) => \^wrap_boundary_axaddr_r_reg[0]\, + Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, - \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_18, - \axaddr_offset_r_reg[0]\(0) => \^axaddr_offset\(0), - \axaddr_offset_r_reg[0]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, - \axlen_cnt_reg[0]\(0) => ar_cmd_fsm_0_n_6, - \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, - \axlen_cnt_reg[3]\(0) => ar_cmd_fsm_0_n_17, - \axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0, - \axlen_cnt_reg[7]_0\ => cmd_translator_0_n_10, + \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_9, + \axlen_cnt_reg[3]\(0) => ar_cmd_fsm_0_n_8, \cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, - \m_payload_i_reg[0]\ => \m_payload_i_reg[0]\, - \m_payload_i_reg[0]_0\ => \m_payload_i_reg[0]_0\, + \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]\, + \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_1\(0) => E(0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, - \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, - \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, - \m_payload_i_reg[44]\(1 downto 0) => \m_payload_i_reg[61]\(15 downto 14), - \m_payload_i_reg[44]_0\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1), + \m_payload_i_reg[39]\(0) => \m_payload_i_reg[64]\(14), + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, m_valid_i0 => m_valid_i0, next_pending_r_reg => cmd_translator_0_n_1, r_push_r_reg => \^r_push\, - s_axburst_eq0_reg => ar_cmd_fsm_0_n_10, - s_axburst_eq1_reg => ar_cmd_fsm_0_n_13, - s_axburst_eq1_reg_0 => cmd_translator_0_n_13, + s_axburst_eq0_reg => ar_cmd_fsm_0_n_3, + s_axburst_eq1_reg => ar_cmd_fsm_0_n_6, + s_axburst_eq1_reg_0 => cmd_translator_0_n_11, s_axi_arvalid => s_axi_arvalid, s_ready_i_reg => s_ready_i_reg, sel_first_i => sel_first_i, - sel_first_reg => ar_cmd_fsm_0_n_22, - sel_first_reg_0 => ar_cmd_fsm_0_n_23, + sel_first_reg => ar_cmd_fsm_0_n_13, + sel_first_reg_0 => ar_cmd_fsm_0_n_16, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, - \state_reg[0]_0\ => cmd_translator_0_n_11, - wrap_next_pending => wrap_next_pending, - \wrap_second_len_r_reg[2]\(1 downto 0) => D(1 downto 0), - \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len\(3), - \wrap_second_len_r_reg[3]\(0) => \wrap_cmd_0/wrap_second_len\(0), - \wrap_second_len_r_reg[3]_0\(1) => \wrap_cmd_0/wrap_second_len_r\(3), - \wrap_second_len_r_reg[3]_0\(0) => \^q\(0) + \state_reg[0]_rep_0\ => cmd_translator_0_n_9, + wrap_next_pending => wrap_next_pending ); cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_1 port map ( CO(0) => CO(0), - D(0) => ar_cmd_fsm_0_n_6, - E(0) => \^wrap_boundary_axaddr_r_reg[11]\, + D(3 downto 0) => D(3 downto 0), + E(0) => \^wrap_boundary_axaddr_r_reg[0]\, O(3 downto 0) => O(3 downto 0), - Q(0) => cmd_translator_0_n_9, + Q(1 downto 0) => \^q\(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]\(3 downto 1) => \axaddr_offset_r_reg[3]\(2 downto 0), - \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\, - \axlen_cnt_reg[1]\ => cmd_translator_0_n_10, + axaddr_offset(3 downto 0) => axaddr_offset(3 downto 0), + \axaddr_offset_r_reg[3]\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_10, - \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_13, - \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0), - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, + \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_3, + \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_6, + \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, - \m_payload_i_reg[47]_0\(18 downto 0) => \m_payload_i_reg[61]\(18 downto 0), - \m_payload_i_reg[47]_1\(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0), - \m_payload_i_reg[47]_1\(0) => \^axaddr_offset\(0), + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \m_payload_i_reg[51]\(22 downto 0) => \m_payload_i_reg[64]\(22 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), - m_valid_i_reg(0) => ar_cmd_fsm_0_n_17, + m_valid_i_reg(0) => ar_cmd_fsm_0_n_8, next_pending_r_reg => cmd_translator_0_n_1, - next_pending_r_reg_0 => cmd_translator_0_n_11, + next_pending_r_reg_0 => cmd_translator_0_n_9, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, - sel_first_reg_2 => ar_cmd_fsm_0_n_18, - sel_first_reg_3 => ar_cmd_fsm_0_n_22, - sel_first_reg_4 => ar_cmd_fsm_0_n_23, + sel_first_reg_2 => ar_cmd_fsm_0_n_9, + sel_first_reg_3 => ar_cmd_fsm_0_n_13, + sel_first_reg_4 => ar_cmd_fsm_0_n_16, si_rs_arvalid => si_rs_arvalid, - \state_reg[0]_rep\ => cmd_translator_0_n_13, - \state_reg[1]\(1 downto 0) => state(1 downto 0), - \state_reg[1]_0\ => ar_cmd_fsm_0_n_0, - \state_reg[1]_rep\ => \^r_push\, + \state_reg[0]_rep\ => \^m_payload_i_reg[0]_0\, + \state_reg[1]_rep\ => cmd_translator_0_n_11, + \state_reg[1]_rep_0\ => \^m_payload_i_reg[0]\, + \state_reg[1]_rep_1\ => \^r_push\, wrap_next_pending => wrap_next_pending, - \wrap_second_len_r_reg[3]\(3) => \wrap_cmd_0/wrap_second_len_r\(3), - \wrap_second_len_r_reg[3]\(2 downto 0) => \^q\(2 downto 0), - \wrap_second_len_r_reg[3]_0\(3) => \wrap_cmd_0/wrap_second_len\(3), - \wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0), - \wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0), - \wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_3, - \wrap_second_len_r_reg[3]_1\(1) => \wrap_second_len_r_reg[0]\(0), - \wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_4 + \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(19), + D => \m_payload_i_reg[64]\(23), Q => \r_arid_r_reg[11]\(0), R => '0' ); @@ -13707,7 +14010,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(29), + D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(10), R => '0' ); @@ -13715,7 +14018,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(30), + D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(11), R => '0' ); @@ -13723,7 +14026,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(20), + D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(1), R => '0' ); @@ -13731,7 +14034,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(21), + D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(2), R => '0' ); @@ -13739,7 +14042,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(22), + D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(3), R => '0' ); @@ -13747,7 +14050,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(23), + D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(4), R => '0' ); @@ -13755,7 +14058,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(24), + D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(5), R => '0' ); @@ -13763,7 +14066,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(25), + D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(6), R => '0' ); @@ -13771,7 +14074,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(26), + D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(7), R => '0' ); @@ -13779,7 +14082,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(27), + D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(8), R => '0' ); @@ -13787,7 +14090,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(28), + D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(9), R => '0' ); @@ -13801,24 +14104,27 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel is \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC; - \axlen_cnt_reg[7]\ : out STD_LOGIC; - \axlen_cnt_reg[7]_0\ : out STD_LOGIC; - b_push : out STD_LOGIC; + \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[1]_rep_0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); + b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \in\ : out STD_LOGIC_VECTOR ( 15 downto 0 ); + \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 30 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 34 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[44]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \m_payload_i_reg[48]\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \axaddr_offset_r_reg[1]\ : in STD_LOGIC; + axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; @@ -13836,86 +14142,81 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel is signal aw_cmd_fsm_0_n_10 : STD_LOGIC; - signal aw_cmd_fsm_0_n_11 : STD_LOGIC; - signal aw_cmd_fsm_0_n_14 : STD_LOGIC; + signal aw_cmd_fsm_0_n_13 : STD_LOGIC; + signal aw_cmd_fsm_0_n_19 : STD_LOGIC; + signal aw_cmd_fsm_0_n_2 : STD_LOGIC; + signal aw_cmd_fsm_0_n_22 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; - signal aw_cmd_fsm_0_n_27 : STD_LOGIC; - signal aw_cmd_fsm_0_n_28 : STD_LOGIC; - signal aw_cmd_fsm_0_n_3 : STD_LOGIC; - signal aw_cmd_fsm_0_n_5 : STD_LOGIC; + signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_9 : STD_LOGIC; - signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; - signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; - signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; + signal \next\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC; - signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 ); signal wrap_next_pending : STD_LOGIC; begin - \axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0); + \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\; aw_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm port map ( - D(0) => aw_cmd_fsm_0_n_14, + D(2 downto 1) => wrap_cnt(3 downto 2), + D(0) => aw_cmd_fsm_0_n_2, E(0) => \^wrap_boundary_axaddr_r_reg[0]\, - Q(1 downto 0) => Q(15 downto 14), + Q(1 downto 0) => state(1 downto 0), aclk => aclk, areset_d1 => areset_d1, - \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_24, - axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[0]\(1 downto 0) => state(1 downto 0), + \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_22, + axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1), + \axaddr_offset_r_reg[0]\(0) => \^axaddr_offset_r_reg[0]\(0), + \axaddr_offset_r_reg[0]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, - \axaddr_offset_r_reg[3]\(1) => \^axaddr_offset_r_reg[3]\(2), - \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axlen_cnt_reg[0]\(0) => cmd_translator_0_n_9, - \axlen_cnt_reg[3]\(0) => aw_cmd_fsm_0_n_11, + \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, + \axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_9, + \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, + \axlen_cnt_reg[3]\(0) => aw_cmd_fsm_0_n_19, \axlen_cnt_reg[6]\ => cmd_translator_0_n_10, - \axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\, - \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\, - \axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_3, - \axlen_cnt_reg[7]_2\ => \^b_push\, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1_0\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, - \m_payload_i_reg[0]\(0) => E(0), + \m_payload_i_reg[0]\ => \^b_push\, + \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1), - next_pending_r_reg => aw_cmd_fsm_0_n_10, - next_pending_r_reg_0 => cmd_translator_0_n_0, - next_pending_r_reg_1 => cmd_translator_0_n_1, - s_axburst_eq0_reg => aw_cmd_fsm_0_n_5, - s_axburst_eq1_reg => aw_cmd_fsm_0_n_9, - s_axburst_eq1_reg_0 => cmd_translator_0_n_12, + \m_payload_i_reg[44]\(1 downto 0) => Q(15 downto 14), + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \next\ => \next\, + next_pending_r_reg => cmd_translator_0_n_0, + s_axburst_eq0_reg => aw_cmd_fsm_0_n_10, + s_axburst_eq1_reg => aw_cmd_fsm_0_n_13, + s_axburst_eq1_reg_0 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, - sel_first_reg => aw_cmd_fsm_0_n_27, - sel_first_reg_0 => aw_cmd_fsm_0_n_28, + sel_first_reg => aw_cmd_fsm_0_n_24, + sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, - \state_reg[1]_0\ => cmd_translator_0_n_11, - \wrap_cnt_r_reg[3]\(3 downto 0) => wrap_cnt(3 downto 0), + \state_reg[1]_rep_0\ => \state_reg[1]_rep\, + \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) @@ -13923,7 +14224,8 @@ aw_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator port map ( CO(0) => CO(0), - D(0) => aw_cmd_fsm_0_n_14, + D(2 downto 1) => wrap_cnt(3 downto 2), + D(0) => aw_cmd_fsm_0_n_2, E(0) => \^wrap_boundary_axaddr_r_reg[0]\, O(3 downto 0) => O(3 downto 0), Q(0) => cmd_translator_0_n_9, @@ -13931,135 +14233,135 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]\(3 downto 1) => \^axaddr_offset_r_reg[3]\(2 downto 0), + \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, + \axaddr_offset_r_reg[3]\(3 downto 1) => \axaddr_offset_r_reg[3]\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axlen_cnt_reg[3]\ => cmd_translator_0_n_10, - \cnt_read_reg[1]_rep__1\ => aw_cmd_fsm_0_n_10, + \axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\, + \axlen_cnt_reg[6]\ => cmd_translator_0_n_10, + \cnt_read_reg[0]_rep__0\ => \^b_push\, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_5, - \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_9, + \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_10, + \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_13, + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, - \m_payload_i_reg[47]_0\(18 downto 0) => Q(18 downto 0), - \m_payload_i_reg[47]_1\(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0), - \m_payload_i_reg[47]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), + \m_payload_i_reg[47]_0\(3 downto 1) => axaddr_offset(2 downto 0), + \m_payload_i_reg[47]_0\(0) => \^axaddr_offset_r_reg[0]\(0), + \m_payload_i_reg[51]\(22 downto 0) => Q(22 downto 0), \m_payload_i_reg[6]\(6 downto 0) => D(6 downto 0), - m_valid_i_reg(0) => aw_cmd_fsm_0_n_11, - m_valid_i_reg_0 => aw_cmd_fsm_0_n_3, + m_valid_i_reg(0) => aw_cmd_fsm_0_n_19, + \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, - next_pending_r_reg_0 => cmd_translator_0_n_1, - next_pending_r_reg_1 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, - sel_first_reg_1 => aw_cmd_fsm_0_n_24, - sel_first_reg_2 => aw_cmd_fsm_0_n_27, - sel_first_reg_3 => aw_cmd_fsm_0_n_28, + sel_first_reg_1 => aw_cmd_fsm_0_n_22, + sel_first_reg_2 => aw_cmd_fsm_0_n_24, + sel_first_reg_3 => aw_cmd_fsm_0_n_25, si_rs_awvalid => si_rs_awvalid, - \state_reg[0]_rep\ => cmd_translator_0_n_12, - \state_reg[0]_rep_0\ => \^b_push\, + \state_reg[0]\(0) => aw_cmd_fsm_0_n_9, + \state_reg[0]_rep\ => cmd_translator_0_n_11, \state_reg[1]\(1 downto 0) => state(1 downto 0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), - \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => wrap_cnt(3 downto 0) + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(19), - Q => \in\(4), + D => Q(23), + Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(29), - Q => \in\(14), + D => Q(33), + Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(30), - Q => \in\(15), + D => Q(34), + Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(20), - Q => \in\(5), + D => Q(24), + Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(21), - Q => \in\(6), + D => Q(25), + Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(22), - Q => \in\(7), + D => Q(26), + Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(23), - Q => \in\(8), + D => Q(27), + Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(24), - Q => \in\(9), + D => Q(28), + Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(25), - Q => \in\(10), + D => Q(29), + Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(26), - Q => \in\(11), + D => Q(30), + Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(27), - Q => \in\(12), + D => Q(31), + Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(28), - Q => \in\(13), + D => Q(32), + Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE @@ -14094,6 +14396,38 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ Q => \in\(3), R => '0' ); +\s_awlen_r_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(19), + Q => \in\(4), + R => '0' + ); +\s_awlen_r_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(20), + Q => \in\(5), + R => '0' + ); +\s_awlen_r_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(21), + Q => \in\(6), + R => '0' + ); +\s_awlen_r_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(22), + Q => \in\(7), + R => '0' + ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -14115,23 +14449,23 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s is m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arready : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; @@ -14146,54 +14480,41 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); - signal \RD.ar_channel_0_n_14\ : STD_LOGIC; - signal \RD.ar_channel_0_n_15\ : STD_LOGIC; - signal \RD.ar_channel_0_n_44\ : STD_LOGIC; - signal \RD.ar_channel_0_n_45\ : STD_LOGIC; - signal \RD.ar_channel_0_n_46\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; - signal \RD.ar_channel_0_n_5\ : STD_LOGIC; + signal \RD.ar_channel_0_n_48\ : STD_LOGIC; + signal \RD.ar_channel_0_n_49\ : STD_LOGIC; + signal \RD.ar_channel_0_n_50\ : STD_LOGIC; + signal \RD.ar_channel_0_n_7\ : STD_LOGIC; + signal \RD.ar_channel_0_n_8\ : STD_LOGIC; + signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_1\ : STD_LOGIC; - signal SI_REG_n_124 : STD_LOGIC; - signal SI_REG_n_125 : STD_LOGIC; - signal SI_REG_n_126 : STD_LOGIC; - signal SI_REG_n_127 : STD_LOGIC; - signal SI_REG_n_128 : STD_LOGIC; - signal SI_REG_n_129 : STD_LOGIC; - signal SI_REG_n_130 : STD_LOGIC; - signal SI_REG_n_131 : STD_LOGIC; - signal SI_REG_n_132 : STD_LOGIC; - signal SI_REG_n_133 : STD_LOGIC; - signal SI_REG_n_134 : STD_LOGIC; - signal SI_REG_n_135 : STD_LOGIC; - signal SI_REG_n_136 : STD_LOGIC; - signal SI_REG_n_137 : STD_LOGIC; - signal SI_REG_n_138 : STD_LOGIC; - signal SI_REG_n_139 : STD_LOGIC; + signal SI_REG_n_10 : STD_LOGIC; + signal SI_REG_n_11 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; + signal SI_REG_n_142 : STD_LOGIC; + signal SI_REG_n_143 : STD_LOGIC; + signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; + signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; + signal SI_REG_n_151 : STD_LOGIC; + signal SI_REG_n_152 : STD_LOGIC; signal SI_REG_n_153 : STD_LOGIC; + signal SI_REG_n_154 : STD_LOGIC; + signal SI_REG_n_155 : STD_LOGIC; + signal SI_REG_n_156 : STD_LOGIC; signal SI_REG_n_157 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_159 : STD_LOGIC; - signal SI_REG_n_160 : STD_LOGIC; - signal SI_REG_n_161 : STD_LOGIC; - signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; - signal SI_REG_n_167 : STD_LOGIC; - signal SI_REG_n_168 : STD_LOGIC; - signal SI_REG_n_169 : STD_LOGIC; - signal SI_REG_n_170 : STD_LOGIC; - signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_172 : STD_LOGIC; signal SI_REG_n_173 : STD_LOGIC; signal SI_REG_n_174 : STD_LOGIC; @@ -14201,34 +14522,58 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; - signal \WR.aw_channel_0_n_42\ : STD_LOGIC; - signal \WR.aw_channel_0_n_43\ : STD_LOGIC; - signal \WR.aw_channel_0_n_44\ : STD_LOGIC; - signal \WR.aw_channel_0_n_45\ : STD_LOGIC; + signal SI_REG_n_179 : STD_LOGIC; + signal SI_REG_n_180 : STD_LOGIC; + signal SI_REG_n_181 : STD_LOGIC; + signal SI_REG_n_182 : STD_LOGIC; + signal SI_REG_n_183 : STD_LOGIC; + signal SI_REG_n_184 : STD_LOGIC; + signal SI_REG_n_185 : STD_LOGIC; + signal SI_REG_n_186 : STD_LOGIC; + signal SI_REG_n_187 : STD_LOGIC; + signal SI_REG_n_188 : STD_LOGIC; + signal SI_REG_n_189 : STD_LOGIC; + signal SI_REG_n_190 : STD_LOGIC; + signal SI_REG_n_191 : STD_LOGIC; + signal SI_REG_n_28 : STD_LOGIC; + signal SI_REG_n_29 : STD_LOGIC; + signal SI_REG_n_30 : STD_LOGIC; + signal SI_REG_n_31 : STD_LOGIC; + signal SI_REG_n_8 : STD_LOGIC; + signal SI_REG_n_86 : STD_LOGIC; + signal SI_REG_n_87 : STD_LOGIC; + signal SI_REG_n_88 : STD_LOGIC; + signal SI_REG_n_89 : STD_LOGIC; + signal SI_REG_n_9 : STD_LOGIC; + signal \WR.aw_channel_0_n_47\ : STD_LOGIC; + signal \WR.aw_channel_0_n_48\ : STD_LOGIC; + signal \WR.aw_channel_0_n_49\ : STD_LOGIC; signal \WR.aw_channel_0_n_5\ : STD_LOGIC; - signal \WR.aw_channel_0_n_6\ : STD_LOGIC; + signal \WR.aw_channel_0_n_50\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; + signal \WR.aw_channel_0_n_8\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \WR.b_channel_0_n_3\ : STD_LOGIC; + signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/m_valid_i0\ : STD_LOGIC; signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); - signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_2\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_push : STD_LOGIC; signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); @@ -14259,57 +14604,57 @@ begin s_axi_arready <= \^s_axi_arready\; \RD.ar_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel port map ( - CO(0) => SI_REG_n_137, - D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1), + CO(0) => SI_REG_n_153, + D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 0), E(0) => \ar_pipe/p_1_in\, - O(3) => SI_REG_n_138, - O(2) => SI_REG_n_139, - O(1) => SI_REG_n_140, - O(0) => SI_REG_n_141, - Q(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0), - S(3) => \RD.ar_channel_0_n_44\, - S(2) => \RD.ar_channel_0_n_45\, - S(1) => \RD.ar_channel_0_n_46\, - S(0) => \RD.ar_channel_0_n_47\, + O(3) => SI_REG_n_154, + O(2) => SI_REG_n_155, + O(1) => SI_REG_n_156, + O(0) => SI_REG_n_157, + Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), + S(3) => \RD.ar_channel_0_n_47\, + S(2) => \RD.ar_channel_0_n_48\, + S(1) => \RD.ar_channel_0_n_49\, + S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), - axaddr_offset(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1), - \axaddr_offset_r_reg[3]_0\ => SI_REG_n_153, + axaddr_offset(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 0), + \axaddr_offset_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[1]_rep__0\ => \RD.r_channel_0_n_1\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, - \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_14\, - \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_15\, - \m_payload_i_reg[11]\(3) => SI_REG_n_133, - \m_payload_i_reg[11]\(2) => SI_REG_n_134, - \m_payload_i_reg[11]\(1) => SI_REG_n_135, - \m_payload_i_reg[11]\(0) => SI_REG_n_136, - \m_payload_i_reg[35]\ => SI_REG_n_157, - \m_payload_i_reg[35]_0\ => SI_REG_n_158, - \m_payload_i_reg[38]\ => SI_REG_n_178, - \m_payload_i_reg[3]\ => SI_REG_n_176, - \m_payload_i_reg[3]_0\(3) => SI_REG_n_129, - \m_payload_i_reg[3]_0\(2) => SI_REG_n_130, - \m_payload_i_reg[3]_0\(1) => SI_REG_n_131, - \m_payload_i_reg[3]_0\(0) => SI_REG_n_132, - \m_payload_i_reg[44]\ => SI_REG_n_159, - \m_payload_i_reg[47]\ => SI_REG_n_160, - \m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1), - \m_payload_i_reg[61]\(30 downto 19) => s_arid(11 downto 0), - \m_payload_i_reg[61]\(18 downto 15) => si_rs_arlen(3 downto 0), - \m_payload_i_reg[61]\(14) => si_rs_arburst(1), - \m_payload_i_reg[61]\(13 downto 12) => si_rs_arsize(1 downto 0), - \m_payload_i_reg[61]\(11 downto 0) => si_rs_araddr(11 downto 0), - \m_payload_i_reg[6]\(6) => SI_REG_n_169, - \m_payload_i_reg[6]\(5) => SI_REG_n_170, - \m_payload_i_reg[6]\(4) => SI_REG_n_171, - \m_payload_i_reg[6]\(3) => SI_REG_n_172, - \m_payload_i_reg[6]\(2) => SI_REG_n_173, - \m_payload_i_reg[6]\(1) => SI_REG_n_174, - \m_payload_i_reg[6]\(0) => SI_REG_n_175, + \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_8\, + \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_9\, + \m_payload_i_reg[11]\(3) => SI_REG_n_149, + \m_payload_i_reg[11]\(2) => SI_REG_n_150, + \m_payload_i_reg[11]\(1) => SI_REG_n_151, + \m_payload_i_reg[11]\(0) => SI_REG_n_152, + \m_payload_i_reg[38]\ => SI_REG_n_191, + \m_payload_i_reg[3]\(3) => SI_REG_n_145, + \m_payload_i_reg[3]\(2) => SI_REG_n_146, + \m_payload_i_reg[3]\(1) => SI_REG_n_147, + \m_payload_i_reg[3]\(0) => SI_REG_n_148, + \m_payload_i_reg[46]\ => SI_REG_n_174, + \m_payload_i_reg[47]\ => SI_REG_n_172, + \m_payload_i_reg[48]\ => SI_REG_n_173, + \m_payload_i_reg[64]\(34 downto 23) => s_arid(11 downto 0), + \m_payload_i_reg[64]\(22) => SI_REG_n_86, + \m_payload_i_reg[64]\(21) => SI_REG_n_87, + \m_payload_i_reg[64]\(20) => SI_REG_n_88, + \m_payload_i_reg[64]\(19) => SI_REG_n_89, + \m_payload_i_reg[64]\(18 downto 15) => si_rs_arlen(3 downto 0), + \m_payload_i_reg[64]\(14) => si_rs_arburst(1), + \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), + \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), + \m_payload_i_reg[6]\(6) => SI_REG_n_183, + \m_payload_i_reg[6]\(5) => SI_REG_n_184, + \m_payload_i_reg[6]\(4) => SI_REG_n_185, + \m_payload_i_reg[6]\(3) => SI_REG_n_186, + \m_payload_i_reg[6]\(2) => SI_REG_n_187, + \m_payload_i_reg[6]\(1) => SI_REG_n_188, + \m_payload_i_reg[6]\(0) => SI_REG_n_189, m_valid_i0 => \ar_pipe/m_valid_i0\, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push => r_push, @@ -14318,8 +14663,12 @@ begin s_ready_i_reg => \^s_axi_arready\, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, - \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_5\, - \wrap_second_len_r_reg[0]\(0) => SI_REG_n_150 + \wrap_boundary_axaddr_r_reg[0]\ => \RD.ar_channel_0_n_7\, + \wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0), + \wrap_second_len_r_reg[3]_0\(3) => SI_REG_n_8, + \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_9, + \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_10, + \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_11 ); \RD.r_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel port map ( @@ -14337,73 +14686,81 @@ begin si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, - \state_reg[1]_rep\ => \RD.r_channel_0_n_1\ + \state_reg[0]_rep\ => \RD.r_channel_0_n_1\ ); SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice port map ( - CO(0) => SI_REG_n_124, - D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1), + CO(0) => SI_REG_n_140, + D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 0), E(0) => \aw_pipe/p_1_in\, - O(3) => SI_REG_n_125, - O(2) => SI_REG_n_126, - O(1) => SI_REG_n_127, - O(0) => SI_REG_n_128, - Q(53 downto 42) => s_awid(11 downto 0), + O(3) => SI_REG_n_141, + O(2) => SI_REG_n_142, + O(1) => SI_REG_n_143, + O(0) => SI_REG_n_144, + Q(57 downto 46) => s_awid(11 downto 0), + Q(45) => SI_REG_n_28, + Q(44) => SI_REG_n_29, + Q(43) => SI_REG_n_30, + Q(42) => SI_REG_n_31, Q(41 downto 38) => si_rs_awlen(3 downto 0), Q(37) => si_rs_awburst(1), Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), - S(3) => \WR.aw_channel_0_n_42\, - S(2) => \WR.aw_channel_0_n_43\, - S(1) => \WR.aw_channel_0_n_44\, - S(0) => \WR.aw_channel_0_n_45\, + S(3) => \WR.aw_channel_0_n_47\, + S(2) => \WR.aw_channel_0_n_48\, + S(1) => \WR.aw_channel_0_n_49\, + S(0) => \WR.aw_channel_0_n_50\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), - \axaddr_incr_reg[11]_0\(3) => SI_REG_n_133, - \axaddr_incr_reg[11]_0\(2) => SI_REG_n_134, - \axaddr_incr_reg[11]_0\(1) => SI_REG_n_135, - \axaddr_incr_reg[11]_0\(0) => SI_REG_n_136, - \axaddr_incr_reg[3]\(3) => SI_REG_n_138, - \axaddr_incr_reg[3]\(2) => SI_REG_n_139, - \axaddr_incr_reg[3]\(1) => SI_REG_n_140, - \axaddr_incr_reg[3]\(0) => SI_REG_n_141, + \axaddr_incr_reg[11]_0\(3) => SI_REG_n_149, + \axaddr_incr_reg[11]_0\(2) => SI_REG_n_150, + \axaddr_incr_reg[11]_0\(1) => SI_REG_n_151, + \axaddr_incr_reg[11]_0\(0) => SI_REG_n_152, + \axaddr_incr_reg[3]\(3) => SI_REG_n_154, + \axaddr_incr_reg[3]\(2) => SI_REG_n_155, + \axaddr_incr_reg[3]\(1) => SI_REG_n_156, + \axaddr_incr_reg[3]\(0) => SI_REG_n_157, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), - \axaddr_incr_reg[7]\(3) => SI_REG_n_129, - \axaddr_incr_reg[7]\(2) => SI_REG_n_130, - \axaddr_incr_reg[7]\(1) => SI_REG_n_131, - \axaddr_incr_reg[7]\(0) => SI_REG_n_132, - \axaddr_incr_reg[7]_0\(0) => SI_REG_n_137, + \axaddr_incr_reg[7]\(3) => SI_REG_n_145, + \axaddr_incr_reg[7]\(2) => SI_REG_n_146, + \axaddr_incr_reg[7]\(1) => SI_REG_n_147, + \axaddr_incr_reg[7]\(0) => SI_REG_n_148, + \axaddr_incr_reg[7]_0\(0) => SI_REG_n_153, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), - axaddr_offset_0(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[0]\ => SI_REG_n_168, - \axaddr_offset_r_reg[0]_0\ => SI_REG_n_176, - \axaddr_offset_r_reg[1]\ => SI_REG_n_145, - \axaddr_offset_r_reg[1]_0\ => SI_REG_n_157, - \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1), - \axaddr_offset_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), - \axaddr_offset_r_reg[3]_1\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1), - \axlen_cnt_reg[3]\ => SI_REG_n_147, - \axlen_cnt_reg[3]_0\ => SI_REG_n_160, + axaddr_offset_0(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 0), + \axaddr_offset_r_reg[0]\ => SI_REG_n_182, + \axaddr_offset_r_reg[0]_0\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(0), + \axaddr_offset_r_reg[1]\ => SI_REG_n_163, + \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), + \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), + \axlen_cnt_reg[3]\ => SI_REG_n_164, + \axlen_cnt_reg[3]_0\ => SI_REG_n_172, b_push => b_push, \cnt_read_reg[3]_rep__2\ => \RD.r_channel_0_n_0\, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), - \m_axi_araddr[10]\ => SI_REG_n_178, - \m_axi_awaddr[10]\ => SI_REG_n_177, - \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_44\, - \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_45\, - \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_46\, - \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_47\, + \m_axi_araddr[10]\ => SI_REG_n_191, + \m_axi_awaddr[10]\ => SI_REG_n_190, + \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, + \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, + \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, + \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i0 => \ar_pipe/m_valid_i0\, - next_pending_r_reg => SI_REG_n_148, - next_pending_r_reg_0 => SI_REG_n_159, + next_pending_r_reg => SI_REG_n_165, + next_pending_r_reg_0 => SI_REG_n_166, + next_pending_r_reg_1 => SI_REG_n_173, + next_pending_r_reg_2 => SI_REG_n_174, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, - \s_arid_r_reg[11]\(53 downto 42) => s_arid(11 downto 0), + \s_arid_r_reg[11]\(57 downto 46) => s_arid(11 downto 0), + \s_arid_r_reg[11]\(45) => SI_REG_n_86, + \s_arid_r_reg[11]\(44) => SI_REG_n_87, + \s_arid_r_reg[11]\(43) => SI_REG_n_88, + \s_arid_r_reg[11]\(42) => SI_REG_n_89, \s_arid_r_reg[11]\(41 downto 38) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(37) => si_rs_arburst(1), \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), @@ -14412,7 +14769,7 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => \^s_axi_arready\, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -14420,7 +14777,7 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -14440,82 +14797,92 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, - \state_reg[0]_rep\ => \WR.aw_channel_0_n_7\, - \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_15\, + \state_reg[0]_rep\ => \WR.aw_channel_0_n_8\, + \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, + \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_5\, - \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_6\, - \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_5\, - \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_14\, + \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, + \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_7\, + \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_3\(0) => \ar_pipe/p_1_in\, - \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_161, - \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_162, - \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_163, - \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_164, - \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_165, - \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_166, - \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_167, - \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_169, - \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_170, - \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_171, - \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_172, - \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_173, - \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_174, - \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_175, - \wrap_cnt_r_reg[2]\(0) => SI_REG_n_150, - \wrap_cnt_r_reg[2]_0\ => SI_REG_n_153, - \wrap_second_len_r_reg[2]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0), - \wrap_second_len_r_reg[3]\ => SI_REG_n_146, - \wrap_second_len_r_reg[3]_0\ => SI_REG_n_158 + \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_175, + \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_176, + \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_177, + \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_178, + \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_179, + \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_180, + \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_181, + \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_183, + \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_184, + \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_185, + \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_186, + \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_187, + \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_188, + \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_189, + \wrap_cnt_r_reg[1]\ => SI_REG_n_158, + \wrap_cnt_r_reg[3]\(3) => SI_REG_n_8, + \wrap_cnt_r_reg[3]\(2) => SI_REG_n_9, + \wrap_cnt_r_reg[3]\(1) => SI_REG_n_10, + \wrap_cnt_r_reg[3]\(0) => SI_REG_n_11, + \wrap_second_len_r_reg[3]\ => SI_REG_n_159, + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); \WR.aw_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel port map ( - CO(0) => SI_REG_n_124, - D(6) => SI_REG_n_161, - D(5) => SI_REG_n_162, - D(4) => SI_REG_n_163, - D(3) => SI_REG_n_164, - D(2) => SI_REG_n_165, - D(1) => SI_REG_n_166, - D(0) => SI_REG_n_167, + CO(0) => SI_REG_n_140, + D(6) => SI_REG_n_175, + D(5) => SI_REG_n_176, + D(4) => SI_REG_n_177, + D(3) => SI_REG_n_178, + D(2) => SI_REG_n_179, + D(1) => SI_REG_n_180, + D(0) => SI_REG_n_181, E(0) => \aw_pipe/p_1_in\, - O(3) => SI_REG_n_125, - O(2) => SI_REG_n_126, - O(1) => SI_REG_n_127, - O(0) => SI_REG_n_128, - Q(30 downto 19) => s_awid(11 downto 0), + O(3) => SI_REG_n_141, + O(2) => SI_REG_n_142, + O(1) => SI_REG_n_143, + O(0) => SI_REG_n_144, + Q(34 downto 23) => s_awid(11 downto 0), + Q(22) => SI_REG_n_28, + Q(21) => SI_REG_n_29, + Q(20) => SI_REG_n_30, + Q(19) => SI_REG_n_31, Q(18 downto 15) => si_rs_awlen(3 downto 0), Q(14) => si_rs_awburst(1), Q(13 downto 12) => si_rs_awsize(1 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), - S(3) => \WR.aw_channel_0_n_42\, - S(2) => \WR.aw_channel_0_n_43\, - S(1) => \WR.aw_channel_0_n_44\, - S(0) => \WR.aw_channel_0_n_45\, + S(3) => \WR.aw_channel_0_n_47\, + S(2) => \WR.aw_channel_0_n_48\, + S(1) => \WR.aw_channel_0_n_49\, + S(0) => \WR.aw_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), - \axaddr_offset_r_reg[1]\ => SI_REG_n_145, + axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), + \axaddr_offset_r_reg[0]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(0), + \axaddr_offset_r_reg[1]\ => SI_REG_n_163, \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), - \axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_6\, - \axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_7\, + \axaddr_offset_r_reg[3]_0\ => SI_REG_n_158, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_3\, \cnt_read_reg[1]_rep__1_0\ => \WR.b_channel_0_n_2\, - \in\(15 downto 4) => b_awid(11 downto 0), - \in\(3 downto 0) => b_awlen(3 downto 0), + \in\(19 downto 8) => b_awid(11 downto 0), + \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), - \m_payload_i_reg[35]\ => SI_REG_n_146, - \m_payload_i_reg[38]\ => SI_REG_n_177, - \m_payload_i_reg[3]\ => SI_REG_n_168, - \m_payload_i_reg[44]\ => SI_REG_n_148, - \m_payload_i_reg[47]\ => SI_REG_n_147, - \m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), + \m_payload_i_reg[35]\ => SI_REG_n_159, + \m_payload_i_reg[38]\ => SI_REG_n_190, + \m_payload_i_reg[3]\ => SI_REG_n_182, + \m_payload_i_reg[46]\ => SI_REG_n_166, + \m_payload_i_reg[47]\ => SI_REG_n_164, + \m_payload_i_reg[48]\ => SI_REG_n_165, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, si_rs_awvalid => si_rs_awvalid, + \state_reg[1]_rep\ => \WR.aw_channel_0_n_7\, + \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_8\, \wrap_boundary_axaddr_r_reg[0]\ => \WR.aw_channel_0_n_5\ ); \WR.b_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel @@ -14525,8 +14892,8 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, - \in\(15 downto 4) => b_awid(11 downto 0), - \in\(3 downto 0) => b_awlen(3 downto 0), + \in\(19 downto 8) => b_awid(11 downto 0), + \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, @@ -14564,10 +14931,10 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -14589,10 +14956,10 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -14682,7 +15049,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; - attribute C_S_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_S_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; @@ -14851,7 +15218,7 @@ VCC: unisim.vcomponents.VCC s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -14859,7 +15226,7 @@ VCC: unisim.vcomponents.VCC s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -14886,16 +15253,16 @@ entity system_design_auto_pc_2 is aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; - s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; @@ -14907,12 +15274,13 @@ entity system_design_auto_pc_2 is s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; @@ -15005,7 +15373,7 @@ architecture STRUCTURE of system_design_auto_pc_2 is attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; - attribute C_S_AXI_PROTOCOL of inst : label is 1; + attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; @@ -15081,12 +15449,12 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), - s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), + s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, - s_axi_arregion(3 downto 0) => B"0000", + s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, @@ -15094,12 +15462,12 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), - s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), + s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, - s_axi_awregion(3 downto 0) => B"0000", + s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, @@ -15116,7 +15484,7 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), - s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), + s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v index 0eae9605..546b4931 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v @@ -14,22 +14,22 @@ // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) -module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready) -/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready" */; +module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awregion[3:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arregion[3:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready" */; input aclk; input aresetn; input [11:0]s_axi_awid; input [31:0]s_axi_awaddr; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [2:0]s_axi_awsize; input [1:0]s_axi_awburst; - input [1:0]s_axi_awlock; + input [0:0]s_axi_awlock; input [3:0]s_axi_awcache; input [2:0]s_axi_awprot; + input [3:0]s_axi_awregion; input [3:0]s_axi_awqos; input s_axi_awvalid; output s_axi_awready; - input [11:0]s_axi_wid; input [31:0]s_axi_wdata; input [3:0]s_axi_wstrb; input s_axi_wlast; @@ -41,12 +41,13 @@ module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_aw input s_axi_bready; input [11:0]s_axi_arid; input [31:0]s_axi_araddr; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [2:0]s_axi_arsize; input [1:0]s_axi_arburst; - input [1:0]s_axi_arlock; + input [0:0]s_axi_arlock; input [3:0]s_axi_arcache; input [2:0]s_axi_arprot; + input [3:0]s_axi_arregion; input [3:0]s_axi_arqos; input s_axi_arvalid; output s_axi_arready; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl index f6e265c7..5d064c5f 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl @@ -18,16 +18,16 @@ entity system_design_auto_pc_2 is aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; - s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; @@ -39,12 +39,13 @@ entity system_design_auto_pc_2 is s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; @@ -81,7 +82,7 @@ architecture stub of system_design_auto_pc_2 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready"; +attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awregion[3:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arregion[3:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; begin diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v new file mode 100644 index 00000000..d4c8f85c --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v @@ -0,0 +1,408 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_protocol_converter:2.1 +// IP Revision: 9 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_auto_pc_3 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) +input wire [3 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) +input wire [1 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) +input wire s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) +output wire s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) +input wire [11 : 0] s_axi_wid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) +input wire s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) +input wire s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) +output wire s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) +output wire s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) +input wire s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) +input wire [3 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) +input wire [1 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) +input wire s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) +output wire s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) +output wire s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) +output wire s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) +input wire s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) +output wire [11 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) +output wire [31 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) +output wire [7 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) +output wire [2 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) +output wire [1 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) +output wire [0 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) +output wire [3 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) +output wire [2 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) +output wire [3 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) +output wire [3 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) +output wire m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) +input wire m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) +output wire [31 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) +output wire [3 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) +output wire m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) +output wire m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) +input wire m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) +input wire [11 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) +input wire [1 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) +input wire m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) +output wire m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) +output wire [11 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) +output wire [31 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) +output wire [7 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) +output wire [2 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) +output wire [1 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) +output wire [0 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) +output wire [3 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) +output wire [2 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) +output wire [3 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) +output wire [3 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) +output wire m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) +input wire m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) +input wire [11 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) +input wire [31 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) +input wire [1 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) +input wire m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) +input wire m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) +output wire m_axi_rready; + + axi_protocol_converter_v2_1_9_axi_protocol_converter #( + .C_FAMILY("zynq"), + .C_M_AXI_PROTOCOL(0), + .C_S_AXI_PROTOCOL(1), + .C_IGNORE_ID(0), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_SUPPORTS_WRITE(1), + .C_AXI_SUPPORTS_READ(1), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_TRANSLATION_MODE(2) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awregion(4'H0), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(s_axi_wid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arregion(4'H0), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(1'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v new file mode 100644 index 00000000..1b35f18c --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v @@ -0,0 +1,410 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_protocol_converter:2.1 +// IP Revision: 9 + +(* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +(* CHECK_LICENSE_TYPE = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) +(* CORE_GENERATION_INFO = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=0,C_S_AXI_PROTOCOL=1,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ +_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_TRANSLATION_MODE=2}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_auto_pc_3 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) +input wire [3 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) +input wire [1 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) +input wire s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) +output wire s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) +input wire [11 : 0] s_axi_wid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) +input wire s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) +input wire s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) +output wire s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) +output wire s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) +input wire s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) +input wire [3 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) +input wire [1 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) +input wire s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) +output wire s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) +output wire s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) +output wire s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) +input wire s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) +output wire [11 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) +output wire [31 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) +output wire [7 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) +output wire [2 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) +output wire [1 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) +output wire [0 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) +output wire [3 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) +output wire [2 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) +output wire [3 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) +output wire [3 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) +output wire m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) +input wire m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) +output wire [31 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) +output wire [3 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) +output wire m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) +output wire m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) +input wire m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) +input wire [11 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) +input wire [1 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) +input wire m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) +output wire m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) +output wire [11 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) +output wire [31 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) +output wire [7 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) +output wire [2 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) +output wire [1 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) +output wire [0 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) +output wire [3 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) +output wire [2 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) +output wire [3 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) +output wire [3 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) +output wire m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) +input wire m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) +input wire [11 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) +input wire [31 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) +input wire [1 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) +input wire m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) +input wire m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) +output wire m_axi_rready; + + axi_protocol_converter_v2_1_9_axi_protocol_converter #( + .C_FAMILY("zynq"), + .C_M_AXI_PROTOCOL(0), + .C_S_AXI_PROTOCOL(1), + .C_IGNORE_ID(0), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_SUPPORTS_WRITE(1), + .C_AXI_SUPPORTS_READ(1), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_TRANSLATION_MODE(2) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awregion(4'H0), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(s_axi_wid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arregion(4'H0), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(1'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp new file mode 100644 index 0000000000000000000000000000000000000000..39605819ec63321fb1238e016d712e71cc8b98c4 GIT binary patch literal 42666 zcmaHSbBs4k?C09HZQHhO+qP{R&)U1s+V(zc+qUif?!EWVC3ngFCTTM>$xNFzpH9<e zlw?7{(13t|pn&9bQ)Cfy8BtaKTj~Et6#tQ{x2v1Ey`h=8tF@(rp|QK0qoI?jA*-Ra zgQ2OTgPXaR8-tgbDXjv1G$Yj{qZB<&mD)T#-HaT)@;zL_8(h@en`eTj$IF8<6f}&x zdXxerjhw>F1hvNejAE!0>|?A<(00%FdrI+0({k~lS{8Kl1ouW`qaKjb|IteKKdtIu z83nN*fq-}^fq;nr2d&JFUF^L7w?e%-`i@5&NPc(qTH7zgz<9g`p0!`4<1VBFjd0Qq zsA%EBvMn?DbbrZCM!25)Ju_W$w~N4GGVGDKP~@#6OHO8!Voog<s5YF;Uz5rXOz;$o z#rg0l?>n`kV-O*6J5NF-oQ7A7Mm&2`W-`_+f--+bVM`#7ywc!Dg)ze2Xh~=K4h#$4 zT4|nzrZmv?7#Sd@TngVd?{A8ab!x7Ht@`Y=8dk@}Rq5&gb^gTW3xN!<N*I>?f&+WM z%r#Vzm_+ImD@-tp6Qt)L-gKD7s}@p(h55MZ&Gdu;yrMRe&YyckAD{u$I%W6ScwOJ0 z@d002$)I4ZDfih_*cQetu@bS7%1{{{u$5x+f$y%Q>DZ%78+?#69^X!tT(pr{nBHj& zDc*fjqp?icrwgJ0%;ddcX=j)syH}YSP6&oMMuxfjBT_!7xTo#V4u(%8HS~1^9LM_3 z&4;GDkk3U_o6L31d=t?=<jq}d7;W5$PEkl&WfRUp8Y6e;F!=*AGaE!OdHZbSCXYe? z8`yR|{|_Z-%7icrLJSB45#6KfAaB9uy-uUvhj8^}t$v#+Y!)57r&K1{X5p;mlD|k^ zvG@i?T}FU?Sl55~ElV};=g`5j*LQsXB9wE(Q;GT#RWPykzCw&CEvem<nH$U47L;VC zap6?&bzF0V%Z~M*bQ=GpOj0{D%=!llq-l@>tKv4OIfaLhBn(qE+F84p%sx>XbNEN) z!|oE<+<<N7IIUa1lO?HyH4I-yu9Qj?d)A<wBs&zj$L{UVzcz)J;V$%<9cleiK_}P= z#@cuPgM#=1IoyO14P$&8_yaLEn1SvYawG5D&;)mIe2DhgO%=N@FmQEflp>t8xIq=N zUqO@))}9Kr3ywXq!3$MRN;$uKe0s*!A8N!B?95bfteuE7D9RUIf#`%;ws3RQXkpg2 zHz)iDVu>$-g>rwlCDQ5et%NHvmHIG&z`{E1K-f<(XJnxgQW{2^;P{D8ny}FuN8z-@ z>$3#a&hgPTHd8JYkK(~USCVu*l`~F+1%hto06!n1{T;~#OhCTeQX$J98bgIsA-UsR z;LmBss&lSlvMtt;Gn0RqcG9UcR{<FH^yvlNL@}XW??gCf6>ldWzZ%LsZp3O<so4ZG zTsjPw_vU@5cq4*x<Iw&jC~N`?Kjrc6vhuR{N=C7P=*(KW)>GF$|In(oAlgu9_Yh+A zs(PK?y@3y^gb7+S$Cs)QqWy!qimixV6TPl0OY8EdbP}^#P)4J=!pi4j4ik+5GYS%^ zvQ^r=nCDo%-$XQyKdp`~kuYUlE3TPimsbm3FDLcmkQX)DP^+~_#kkwrKG;SM#bpF$ z)H+C;EF+IZ)Og)#e|wK^tbaDWLR2sG;RJ0fehvB%ON}JJnjFrhKcmSHMV%Ar@J~>u zf{3Hf`%2u>jZL^gix_B(gQD|}#;G|@6GLsLOxw9to{{g8=%XW7d)Uwlm#Uh`d%_x# z23LrQYYpLF+`ZJCyuN3O@OAwo6Ho0M>qBGleGNIJJz*OTIDYCe<OY|>x^A-BMmMga zSBh|W7s@Ua|AA1>Etd-O`!dFCSLlEf`Q{<CcvCDnqO2l)IM6GSJR)z^Qc(!w?<RVu zq6xJ2{IV<Is^y!C<(8;ncVwSzbky`z?w&^qnLo8Wt|B7Ki#koWF>>uR&FK=xRhW~1 z(SgflbA^0U$>VH1ziJwr!Xc*2$Thy@+Tq0ufsy4#G#>HmQmWc`j9)3_b|Tm>6}6b@ z!kzJkGcu)|UqBim-F4M+51Ng@XFP)K6$*Q+7IWwd<u5R&%w?4(a%65VS|iaZiZbkK z4aHWV+c?!PuU|0tXCkm$=<U@(RO}#?T`V~GWhfTICZU@+%~4()Yd3X2*<>o4a0T$u z><(WPsI*Zx(imq2t|oIR@{&Y%qMQDeZR|R{pe^2_#p2gH;oXUZL+f!ZUdomdd8LBh zDSRQdZAxu6jc~6!aG!w3REZ%5*+wbWRqxt5c6sQ<_Ga<ZOpJNL)E|bZf9@gq-N?V} zqR6#+N#6+yuV$ff{WExby&mml?cY6CcyQOnml@JI<g31s5w;8GZYkcy*9!2*Qc$?s z%-rS%e=FDh(9Z>i@RmCwyt_Wt#FOy&x_vPR+n#I2b0C!};ftzRfC8ap^|+Dmu#$~! zJEHUHfkQs?_+^#s$=-T;CRbD#?1i48YQ)WYo1h{P?0Y<ti00(>zWY1^+sf?e0PBA! zM}MWq+adUE@u-zi$4n=d7FnN6(N(N}W54l--gMvOKyN1)dSU;FXWVguxs?a+Qz#Dn z{_k`u0@uG+GEjv^e)K00U)(+_8g0K2Rxu(oXiUP-v$HcNiC-D8no5B|M%$36gBE_z z&%gp)Me<$hwhEX#v@KQq-&4i^`&os$b)m@z1_H_j0|NT*bp<jrbz<<cw@Xixbu3{* z3tvk$G;XxPEaQV|QU623$1)rxBqsl-jt-=}QN|98LiBVk6Qfw0jb{2a|LAUAQZrwG z;|mYZNgG*$5KKEQY;42K=Emt!gGq#2tAI@&NLEDqgGg$~?$OjnqRx_3Q0I>V)VEjS z*3lnhDbEzApCU$*Injc01AiX~3;9R1XO01?pl+P+I%*)8q!(ZHPEGcP7Gfnb?K`Gw zrUp{j*En|W5o*v#M18e^@Br6V^6#ehQSv)~d6VySHR>q?chP<o9{i@_%xcqr4+Lc$ zB=u<j*exrik~TN&RQ_$@&23fTX1Qmy!simKbBFwa%>G9;HbdQ@i9t37L=fxI)JT6k zEqW*ZE`WB>F`a0VN?|`p7hY<sqS4BGqS41a<?e3=F5t%D1f@VF(-oVq$mGz<2%T`l z0MS9B&|Kf(x~9)tbOkQBfEqUqO!e2R7aftbv)}Gcu&|qraBSxtRH(7&NUF{;T$D~E z)di2f<xI4JC0?>AH=UDkTefKvzq)XrL{Y-y%?|N)roi#l!sq91FI%4C?*De-e_jIu z`QPlnLG~a0fAcjZD{ogtCpQK+Q#;WAC%gIr{k7lu?~)m$|2eyIb#pgi@USwo%gd5i z+!8{HxcfjSRS*w>ij2W<5wX@07m-gV8L@9|Yc~#mC~v+BdtO^h5R_^#%z3x4KHbR6 z&hs35{ot0LwwdzzI3Di?__zyn-PfHfOY#KpmuTa`U2w!$C39=(P*r=?4jm9J3drs* z+VSKiKt`)~R~+1ZIUYIyOgWJAJzu=Nd_6e{;MA^Lwo-O<Dh$LbYYaw3C}yM7i5R4} zfEO5t69kk$#mGX>vmK<y)aW^9;d5{A4kdEXAJt(y7Y=xvy|NT;&tl!2=St@7Of1hs z6cDMgBj7q^r^PS**?h4|YXB8Q93fc+4em*{(2HPqS0Bi9NrR{esFv*}p(P_5KkOJP zUd^p>Hk1M9gEA3Y%fn=K8)cePb|M#KBSvF(HKv57Q88vW`-s>2>gNn0{YQw?gq0x$ zFfJ=Y#5Hl4k*W}JEGc@j@1PGdx72R8<Zic=ZvT7ofB1hpHqH}y{Q2#0m+ESS3~2~l z>#W;~xO!IjmJ8JRe^Fj^dXHNt>-o^M`CCwEmq7<2RMLzX2nYOwwTcJ_R&;BQWq^Sj z$Y=G0gSrbT%F_^oF6s3{!UKRJn@wAn%gge;#`Jt7P3iI9FjRe~(w|Un;uA{ovZ6$> zG?WkKvsEo+KF00(#tI`QqoJ9^4z}ubFbo3zA>;dgrE3|^V3MLbDLtJ`gW;)(v$FxO zqnthbsh6M#Qqt96MRnrp-u=Tkdb6NaA+QU<U^7W-xBzp$j(G)gRaWh?J_Oh0w)VZ4 z%#(ZD=PUZWkfXCi;j^d!&R{IQN-mHsIL`kikulr&ikiveXnG*Y;)T)YE&ui!ADtFA z=F5<-U1LV2!E=@SOtJFdvUlZFWcNiEhsy&cuj`Uq>3^#%cN$xj_46&@sOPztpvgZ0 zlXWo&Mu8F>y%v*a(ntWUsCPH1+n&#V-U<hA-cKD-lKL>97>;aNMt}$a{lD=Q4MTUt z^&hTe|0CT0KfV}T%#2;7>7?U4rx;@pU7X#fCKwrI=~<Rp*;rJl6zC`ArYCA-=q9G; znww<kWM;?dWYwA2l$iz)I{zOq=15}#^!^is@?Tv3p9FfES(M4^!UQqFeZ8?=wUyVb zZSdTW1&5q*dVvTpCbA*AjCtQ&Ic)%`f)$+u7A?TxMJv>&kiY_sQV^rmeNc;bP|(dU zUB`^$G#EEj4}UhwHEIAliR}713lLH%kpGVr43tZ$#ejhNrGS8N{s${~IplVmtLf=C z)8lQ2f+G^}X*qd9JH(5MI(fcD5J4kAlXZw5-NNI8gc%Wch|ce$Y~NDcdCzjcCA@7r zZYM5?YtC?2YuxnO)<zK4-kn!ZkrGkLgMMq}xH|LqJ!xq}f6U%M7L{GSD#+mVi5+`M zpLN$W!4t#4e$GtWg%@;HsdvVXYXnzb<A3J+UyBn8%kZRMW>vJA(uXe)6nN^%S#D~Y zL~?4L36P2cujVAJ<R(1<Y1MfR9aw*@imdj$NS&%@NSfKoOhA<-t(|rO8?J5--<m{Q z#W(k*O53J3*QZ8Drxx4<knjzkEt%-&<t;m;PHaPF=d=iyARk5e-Qkg#Lq>im!x($Q z_rK_EO5F4<#Iz4)SQo19L}Zrho$RyRpzt5y?T&KT*TZttiMphm5<^O=J}?AkpE2gi zZPL)x8X)HTeKTz@kCMT9=4`v_hPJtKWe@52aR1HJyTN;%+1Rt>x?Zz4Xq04sbjfh3 z)X<y(zt+3`*87ti#^@W5H8YlJ!ArpgXHoj#vd+<HTK4dydC54_$^}t>@`@g+ljfmV zUPrU1YRB%HHO;{0-lEZ^-MXsL=}+#M1s7O(z3F|zj`_f2-mWKRgoQ_S#jd|?#=nPb z<r(qUFZ#>-mi0ka7kLf+-Hb9XExJ6zz=TGl32kx!W<*8#QXLdN+ku3mqWJqsPI*Ip zd9Yo1nyiGbxT#js3)j$0rO}C<8{j*iLjJ-LqegiXK&Kfgn*{a69uvpWBM~W^MuoAn z;c|f=*nN&5h(G#xVE?f4H3v@6q*F{j8V!%~$JrX5k^JJ{knbj-#VINly7xNKho7)- zRn)iV^Jr0BP)SMcn;S5+Tt8FHT+xbwK#WihxIbopA8<bGs;~We+RxveTncl*!#~Es z<EBQ{cpl#4OaBH`&Q)N41{&7R@^W+GcIo7cf3`29`D<yIgg5nmF;sA~Ub9tl^KlrY zP6?;QfQ{u6erlZ%3}gsze>b^(xrG>^igV{3mvvgphY^17L2N`A@=P-+5W|UT5q5ID z{Mr}(6j;AvC9+QeM92v{mp6VZ{9sSqD1rj570jQ-Td0TTkdNFVjGA8=7k-mzgMS#6 zaSz@gj-F`;E#QWc4g|3`J`Kfif45YAae>otNY~RqaZETl?ceusedZb@aou&Ym^*8& z4+WZ!uP*T_Q3(@iDv%76gm^h#O}8}mQZ?1G_!JLDn1nb3>K(%E3pqN*@A_U5_$uiS z*$}CBmBJxQ>so~g?#em7fANCs-4Mrp!n%J~M=_iBw5^Xwa>)hCZ6firWdlU=DiYrd zk{`Smm&>ZR+uRk@A^`Vk?3=+ygjao^x5dRGs%oku&W<^Lh8)j2$Bn6`-0>G%eRruG zhvfNF^*;|%8+~?3%|T!03=9cBGy;?%mlMS34XMdI@NQc)C6l-hE_qsiYJYD590VC^ zA!79j6a_zQ8fhuZidk19PlO>Cfg}Lh&}%}I!Y|#)eio&Z=Eh%?`VIxVnbpMZ{@QSI zYN7?o!b#PGk(iX!&j$ecXjeb_H@VXSK{Pl*CLD^LT@lU6`yg<8$#Ggk>kKpA*j+kn z)Qn>T#%^PG6TAEGmQZW@xRv-C^K>P~zs}5#lRXH!-_i%ibAeU4A6RSNH;muJkL?*p zs61b6%-w&jD9T<94~~~S2<o$?zvOhezFz<oY@vVZ`eTfVV%lR9Z=P&m$lMJ)8#oxD zoSW{3*z~4q{m5oyEcZ(^ynp?2i&(v$c3C3$vx}r3j^r+<HUq0-=uHnHpjd#^9*^LP z2XX0yQr;awh2*~D2cjf4n6J(0C;Ji80Me>Ix~T;RjL!wJKiz1B%~6@HSP+hMmwm54 z_7NoE!37VD$$+MeFUAMMwV}#s9s0?$V@p9#mW2jo3(iV@Kyp=<zWzTd-d^dnt%*73 zTe3Zedw*u$v$Jx9t>1DQYJ$3o(?HS#E;PQ>0L{=qQ-#1?(tb>I&QMw9ugrHGKGYw? z!4Awap3ofSr_6U0zEmI0VHeXn|6Nr$q~;E6_vLR5l!U!sVyIur!+sVU05Qp}4HLlP zdkyC_5EHWN5YX?5{*n2f7{?e09rr7%`71pAtvC{B@qGpkDA4!+*kWkt_~)&-_q)6j z*rNM3*ZX;g8O53-WDa0OTe0%6(>wLU(V0E-z0cWhSxneP|Ayq}rSLr+*z}kqLXwWN z!jowIJ5|1aW_^VD{&r$>bY}a6<pXfvCd|_fdAzXE4i<u0AnL8i42Ohr`9gH-b5N+> zk7y^BRwjNg_97PSi*%n?28&h+`}PNqQ2~n;h!9Rxkk}Ul{AL#B`mj9~tSJQkTng4- znT}1FO63EJRfp8e9s$x?!kt0E(~O|?wTWk8G&7d+{RS<jvOu~IE*O#`5?(|jR*TIA z*S(kU8z*<(BDiBt`J}J_8Ouw}FG_TI&$2WD{^lon#9$oDJ(0P!CKt!QZM_BCl63=J znFa1q`0S+Jg*!ZhXUL+<iSON+5AlsWXo=-r8^kkFhogE>%PoDAw7p5El;v)H1hnEv zz+{%i2pJ`s_i>cVaeWxHj>LSlCFio|nT-3wMVBM6Xqj{SXi>xvb-A>gR1As^(fcOG z`Ze^G^ndF*EbQn>B$vj0q;kpd4<)lOxr%(UAhWWyAfxbQBmp}xS&-|&RkSs<;8bX| z7~|GO&|<JynLSRIh^}KCRK(})B2Jf-%W|2*w6;`;VVM<4kYT!L@5CZer^>#Zs6I@a zWh`dc>UvM(mAG;)Q(n)>MRO<7ut#NUlT0$0e__Dln#lY|R`qMiP(sjZe83Pu)#?+d zh>S+QUeQw;PAuD_BqfsYT8v6a6K_SIjSgior4U3KwimqKh%K1P8C7gqRkeU5n2PJ+ z_<%1$-ZPfdaq@%C9}iIi#ZRE{x^i6rLH@C@W8yB}o$j&D*`k;s0oY(;;&4TsVp@aZ z+M~VlI-Sty-t;cPSrI*P$5B0TrqfOnjVvJELU&Qx$j~_yaAL0H!JMQYNZ=|RFatTr z)!dBHn%4&)QUYYbVRao&z$4afSR%3$lMY!x%0wr~XA-vPlm1sRqE=8hWj`|fFjyxX zP<4^-MBZ*#7(;&1og(qTz5!JrilXDj9m_gJqannGEW%vMcT*a>k$+Rc773DtMdls6 z_sJBw9zd$}hBf(y?B@S1_{C~o4znl`KryiI>nr9$JMe3K6A@Py?~}eT=^g40p<^FI zE@I4(hB_b~<H0HSmW0itO#5z<8gz`L+9gco+~UGHlkEX{K`(+Y)ULyjyFf+PgZN<^ zU%V*0W+6V%f5kw%Ko!*!_<c+OFP$)i3aK4!dReg^(C<x>xIiU4LgB>`F?g%3#z%Pn zUP{3fCnU`{S$DF)Dod6g_N3`QM1~?aTQekU@+gbk`#))N6;z^bf7XH#s~#}~C2n^m zCyC~Nh8%EHsZD2o(hl7ND6OfMxr$>X|Lh!4En^ioN!HMMBPF7{MiG!ONJADoj!a5; z#!R@Fwc0w{eSOU{Y|37sV@~_Z7=;EE0O6X!Qi~mo*ZXEuB^{lEa6?iz*qdWBN4}~Q zc7q+|-&x_D06#rK4DBfYVhr_aCc>PF<ot_B?V9^LApHanGu?ps#<pK72(L2TFo)MX zvnYz6I=x7WZ)|bVnD8H)K!?zyq1nB#Sm*R*OYC$Sr>Ry)^Euv+H1@l;$nXYdIC7C> z+HP%i0BZW2mBH<lbZEe8zSEANF{X<ZgMg%tG*L~^o{|lfY0l7W{zh`AZGgyhPAGxe zW-oUjEQ5Uh!C^(Y8Ww=R@G?>j&Ym2u!7)o;UxXrU;$Mi)Th?x?+N}vUTgAGiQSppi z6y?50L(~lt77Mi{o_Q$Ij@_>z-Da<>L+y+_@Fnyy^R~f5=76RJN3}+e+Dn`2_&bzl zTQr*tcFUu08M3I#=A{r<JJvZRc4@bgX1tnWi3H-QUN0F`!=X)D^lS!yNAw1V7#LZz zs~buB){t0eBHm_sxNiyzWyzGP!ToUMgw4oZo>R3y+cmTVe|1m1&6V*?g#p;>kv6$G zKQr%$QN>f8QDd^OKe(uIH=)AF0*%8;Hru;1Omb*ysdlb9_x1c!#(4v*SQN;CV1iIR zCv;oYfIJXmhwna`*jmzA<wwT=cHq%uH+uafH)}$QulX>`p_-i48{)*Ol~VY2LADbQ zW<AG7$&E;A(97SE9MOvFE)7AsBS%h9r_d_{b~h-t3X8F+q?i_8B?^ighesAl>&S&d z=tC=RMKIJ4j`!umvtK(sHK>(<ATQFDxw7q%y1XecTVj}^SkjqrOgCGUmzE<Hw)2NG zR@6Z|RSyX?)`K3bz2=W3QG3>sT+6bqsw2uE<l6NWMr?7E=D6ICJ-81c3o74oRM>Jv z=!IXS1_b7FcQ_r!_=CbJrr$6Kcg_llLn~N}bw=yj67p4v*^zb!h+9WY_uKt}(02Il z8{N<BJ~Kv;Q;C)=(pZyIG?i;Bbw2Mvd$eVBGVD5?eZyy)M5wlutC-{NANn5dx1g`l z+YO-vS<4mWRh(KzCKAvTj14Ko;~rE3NDJg98=H$Ks9kFp`kp=2&~BI2Xri|f{}Pgf zA9@_3nU!+yU6a*AR$E|g{iZ{)L^vtbBQky_?m0Hw;MrACjiS|DtmKn|f9KNNZnL=4 zI&2oL#MO>9E6k*OfheXFCro^Z?6!@0y`eP$Er%mu3H4M^l#~AJlSW$Ov9fZkJ(QAP z#=z%HAsMgfkMk~QObU>2TnJmtMkDkmv?z*x_ra!%gevhK_m3#<;pkk#_CyR(-$<Bh z2yp9L)n^05xJ?3OoANszuh&pB+^{DY7HA>&Oa|OopA9@G^Zx6XFW*vtvtF$?;~dPF zWT<1Li=Y5oV9&vGsHz+gR;+q*dvY2zpt}rfa$J)-T}gy9l$<<IjNz^oTLNL|{8~6@ zU5`EgW|D?;MGx<DxoB5hYcPq+!wxg;Y>AA4&hWbRu#g8wLjrnI*+O?eoh-o{4iQ9& z`;`b+lCJq=2bWn~P(t;~iQN>d3~qzbamm&PaK(|YZK*DFvE?L&?62N@rRJpaNe*gf z=CK~KL5*V8Ph1($gM2!^%)btxB;r<8Q|7Q$N+&e%TSviC2U-Xuk*R^KV!#3ZBVs4A zW@BS*70f=;Jj56H#;eUpj^)8@-Jg}Fk#f3DR9z`{5~N>R_*7jmcI70Ri8vKC>yD=+ zU+Y|fgb0@qN2a3LjsliZVII9?FUyYsman1#7+ht!wS!{o)*v@i!<IWUBC=qaT|XRA zQ~iD`8Z1<VOdzVO$3uGg{4k|KH+^S_p6-A5#BV>C+!RH1%rD^aETEg?lhmEBte?ko z+)zChL1FI@6Zaz}8>YrWKcmhUWQTo9u?a6&nlsin6vi)Uuh{mF<v6{iCygJt${Q0+ zKPPdpmImF7<l?^w#?opCuvDvwEm5wmm5oxd3P5s3+&lWf$|4=va`uszeZZIrAV2wC z5KpX_oaDOln)&n1w5TxX2yd4=1Z-xCg=|#&6O%?TE`ZL)riytIC23;w)jI9!Kayb} zwh#E-rEW%$(1q=iU%cx!WP5qo%wku~m=RRW*9kcF2p4S7N)m&(g)*;7j_D9fF+RGf zh3P_9y!$p}Gkj3YV%G|S=u3JUG~)wdC=Pw1(uBdI>lQOsm4un(?+wE9V{~?zG66zO zMZ%@&BG%Zc+>5)~Gf;-zZGast%2Uj=I_))<X}f)_%xzvMEL}SnM})*hm3gY>V9)2t zi;5ymne4ycLC&C~70=Fl<S%7XoI<BIB6vAKv5++~s#D6vg-#L}4VXdEQ~h=DF|q-s zVx#k0BlXV?DR^FI8a`*oNuPEBVcBI>s;bq+I8q{@BZMc0uKg-L?T$I0)4{SAJNG3I zAP`1>`GTOSdSgLtu2ZXypH}!E;-Tl?3s)Pe-*7k9OR4@ng|JtL>Iikn4Q)3w99vQ! zDE~cOjnN-ms(*Q8uFY#4GAl8I>;IDKHERY>vp9VBpb@)K<)yNtc>IpYN(CFy|B>%Z z^LVA_-)nK|q=@~m%D(6MTxx6X5e^fo#q_Mt7uY(>Hi}n(?!MF%ti`6go7lTco`pe} zT<E@|_K}3Qq>%4EGAJ29J9H4Eham61)BI3#h1CSl-*ug^!OB3R=b2&o2RBaiU#3&G z5L1;#40cbZ<>w4Wtjw-C#{nkW=r+xAZ#*7Ja}#8<+|Sc?M&^}F-G6Z<K3&*?Q{x?y z5<K*~&~McMlY7{fjitUGakWZM+X5AWxOduAkF|^iQEA5S>AVr$=_hMj$`$WJT#b%~ z1e!avlc$}jy^^%vY>LyO2)7z!D458*l*;2{?P=55rWg~AYc8}R>&D?72FkMXD6Cyl zT0TLKEoSJ`=fsdPe(bL}RML@@ixce*B%?#%i!An)!5R$}A4-(EEmGltbD+b8v@bl} zrQC~)MYJxp=UnvKYQOl?6nhTe5{?et-x_7TM=VtB)iPMl&gyl{(+yrF;dLbrsc40u z?JIev2ieCHO__hbr#-Z5X5bl^AkIT>D0;`86suKp<QB5SiXkva9LmSi5gCRzOtG7f zi&4ReHEQKlPH>Xmn_YL+qg`{hC(@^wMLn6Za|Rw#_bE$K_m`4kSq~DB)ywl?bgZ)8 z?TIJ5af4-dF2{zNGh@X7g#j`FpM8}_{gyL&_{N$lU_e2#1zw!FSmgj&A3s?669C>Z zD_ecWe4MWtO|TkJt5~**8yTzQwR)2GQs^)_3@di?G+&QJ;$rfijQum2Go;OdPc zPT>OKr%FJ6RN-eIqN#1#3o3LZw}eKKoz0N%aJvCC4+ErIN|D{Jy4Al59#j}hm=pk% zwJ{ddF5ln|W+W9PBm!hK1YG{m2$f`d4Tk2O7T}czVt2(w<gartKu(k(dJ}{TbP60) z0z{ilnIWnyUl@P-*AC8H2p=j0pTBHd5M+?jI|UP^9*Dvbu8ddGoEPrKdVW=o04JrR zENY8Ghy2a#0^H_<qAu!3#z70sJoU;$-rx%oxKBc<Tx{4{kdpXltYRzTWsqb`LsmA& zc1bIYB%V8~e^cr<c1Z;OUC$Oo;J#Ur$I~ja!;xE6uYp5=EAZyB$A-e2%h0gAiyh}y zr^jy8=YALL&21w;(F(p_PoTm5^<anJP+Or3eF83;hnub2QjkBbXOHlvtNWR_(=ZPD zL0fyb|B<<?ep{=q=UKVWa2gJIT`K^K=SP*ho32O#C6_yU>6l&4caI6h>iqZLpLzfS zsR}-Rn8t%o(}s`P>~S4$(Ny4v>${sXMjT?T%R0k&{`V}yRmxj?pTJi&rO8w%JSMwK z8Y=&Yx`YY3hC(^O=PX?FYq_EAv<{6E^HRTeKc`ajT()7wqi^7Je7LGa+$BpnF>$zz zb=Kwhl24OJoUGy`H%c^SDlO6BC4pnk>cf7!;weXiJrJAU_Ar|?eXn!2wZuO4`k#8N zpi4q^qogsyckz*GLSNiUY$`dT9sd#gZZd~{JYO-N&ypos0RPbzS4q<Q&6Pj1WY*L( zxMt#d5-r1=2;%E+C@<RKB%PA|*md)RUZ@S<-$m`RE6-oTNF(&^9X()YL)70^kgxF^ zz#b@c1>%{m#8M+AF(iz{nu{`ea|rbG;GU5mOP-+97UiJ8)z7{~rZG*VbL+`m>{1T= zp&z)<k}fIa)f#L;#t_EVa6KkT&!og0jbQ7&t49;{w*GB3F2gM29JwCCo&@9Ck}e_Q zRsn88g+iJZ;?0PF9axT62lK}Eu9r32+&g_=ifzB|ds^TkI2U^J-0XlhovXnYjcF0F z#+^sGME(I*tku(|Pk8-eM^E$X%4sbOXMXjLyoLiOR^Rb3ccl*ikXdf6nRDuo|1x@R z&8;=2)V|xNmy4Gz9Eu>_DM?mY+8~m&dh2gemV3yx(*+;kg4`9XF+ylzy=2)^YaRVH z8G{ISB^5Ryjnxc_oUgI?R{yw6gu|v%*2q@n?Zta}?wC!oi!76AeEcGAg(aJ*vQXaT zr0w@lO3V3p?avgP_?(B8W6~<+$dt)%baV;HMRwUZ1g7xxS}N;^5YfPu*+=xpXR8_5 zFs_igEYb8-{`DXQH~^(u4rND3XqwA(-a<=CEz{ksX(qFjmY#t4xsAFCFU$&e0q1~6 zbyYx2@_<Eib(yde>tJZa9qWL*T;gEni7yKx+u;koZ_R=l#=b#K$Bi1}4^$VoLr%hV zabdfg8vv1(=bVB7q_)p&^Gk&d+{TUbnZsI}nV13lxsfo^1@&$%jHHjB-FU*#CqX6> zuW=^k?wS1}x<!U+thur8jGhfy?_3l8tK`O-mD(o0vgFPm+FH@9g0cNrM)bkU6`zC4 zzrus^RvODe`7xQ)5Bau~0?+y+XA1xEm|f(d(#11$NVCu68hSV0U0R~1a2Wi@vWv_- znQ6Q9yWc~aL~wXK^{L=I<@*!F^t$yIsqkP}Na@KCi0u(;TL)kW6i*P*S@)|bWE#zE zvHy!d?^Gyj+&%~l&-=-&kyCCL;mZkDPtPgZCR|wn%@5r?BeXiMj}(%bZ%ei%^jvQX zF~K3=SsGoqeLCieb8L|cc$&>NyZ-KEg=blLRsH_CW%ju^V}_g?UC!5C6jQQu-M<Uu zmPlrR<0V>6^O{V69DJ3F>U*7s9tJ&w7=NIMD3R<SVPHAwzdRd}Qn3b|ihz~xk$C%! z7Lx?%tE%!arC)AjT`lQ>Tr>$rFFf9&6W;g4keS#y7cig*=>XRE$Lu9s7xQT&$p+uK z$7$zzp~?~gY<N6kzZBE`AF1BwK>#7CpoW`vzPxdgk9fLFG9)_E><~^(DHN~_XNf|5 zICg&MA!^ZBui$Gz-$BcXs55|FH;#t<6-LIpILk2`)EFWq2<}Y(TS$EpQX-IT4qW9i z<%c}Yn#FLvA#v_GyYUDi+^;yaf~Ur_cClb`yNhtor}UsojG=4y?$_D+>U?K4HB2TJ z!;(-bNgVzeMevtnJm4z?(@P84aUR~mD_D}$HX87mfa%3HzIrZ#2!BItyinpL6V=v% z81??Ig=bwb3%DZXb)%WEEoe!ISNMVSiy&NqpG{fXACN+(11aqzGi{9-Uz-5Me_Bc$ z+a<EV$(b=BEg~2#DZ^X@hM_0gjwo%WgxMMPbyVHFf8$tk(}zx<Y$H`v7gaa*+i7e` zT9kMv=Ln5^2Gk;Wf}STE0&FSXmqzUQuqsDa8%F>62?4l`BDs^Mx+MR`e|pkM(G+xY zPhOk1l`gC}5Ze}6EMC|P#@hwh(dDK(k@y)~%cJE!ITbS*Ul^n1nhKH7-9*NKT0Mo8 z%_%uA8p$)D2E2Pb&!GflfwcRH1GaRa-=L!>(=&I5zmjEZ>S@o-J$qCUsTLiO*NKmH zf#uw8k(-E*BZ1|j2#|w`=h^O1v~9^846WlR|4M$j(ZD=6Usim%MZny=Eag182p*ym zE<4uAqgqfsHHcz6%Awv@K8}mVGRmW(RXt{j#sbr%(Ig7Cyic+nsPHLrv|!D5#GHMI z&MNX&r5u-yA-%nUjvyU*6I1*RkTo|2Zekkg30HdDQtjf3ipV5G+}{@Ruqd0A*uy;Z zyA=rHh-&CRe2>V$WXlm7snE}17ld9c`~_OLPkruamT5|{$e8X0&6T@Q$<`|!D((IT z3OB0Z+ij=_JhXB!%(OX<fLsieE%P7!1P$m<Yhlp-n@OwMA;rNV$BXk9nK~MMy>48h zM6_P{PQ)Y#FFBE}Mg$OD^E3g=$5*!I;a$ezqAKR=<(+>A=lDVPcCWQ%r`xU6`0*4l z661)ka(QZXK5?wKZH%gNrad~S;V|yNU3x+lGRolPcSA}9GIS4{pAiHVICym|nnP+B z2k$xeEt-?=@hq*V2*lhik;(dG2b_fM*2kHTJflu~bPksR&nn@AV}P8+7tv3%u}EHu zJiakk9wlaY96g5Z;Zqbw%Nhz>ld(yZ#_0BE%#No=X+LIq5d52gLd6v9)pqaOb1&HI z(d5Y8-;>$62uB0PF3+G>MjHnirglbMDqxvwp(~*KPKoT11m*Bo4ta;<*k3wIFq_0h zY{oYQD-fY3ER!-HE09n88IPKgiJ3qv2a~*>UfHibh5nDspNs^T>J<4*dJ!#!^=BW4 zJKe1udq48ey^N_Py-zGc6~4WlSBp)ZQ+3oH-w)sW{3l6X2*WPQXQtRR#t@;G&mCh8 zH)w+D{kz8kNZ7s2^H)^%SA)=C;%dd^LQNfr@>xb@``*gU@MD_k)F4)pN*&--3R|oD znMa3O-=a3hMT4Mq$$}`<dH0orY!mWOg{QgL0h@67*Pbav8_Ya4=2~Re&mn$Hz?CT2 zo_pXE!ySb+b>=3fI$}P7k|zyZr(_RvS44Og42~EUj$veYmW^M+JWJ;+EOYHdq7qB5 zf(=ws3mb>%aMIVIwq6Wwth@~kUfMtimI)FR#_W&~4D&r162fo1S$lqW?=i)conEdh z`JD`z{g7)r5m0B5EIRI4&y61GQ0VEe!k71dy*j%9#V<HM1~69TV99B27)8$hf|*q- z)g2#sLe2>CkCSL`;6F@WAh7{&c_le+ZBl0v?(3S|zm=zV+mOe5&*!SP?0%~VR8w|1 zm3&!WvtD$9wkcXyBe$DWeRP#Le<|_^<kgZ4<vb7TCX3u-@|w8M?V%I4Q-gI_Ux8;e zZ}XB9wAy*?`iG+m+yGCQeBr%eHH}+o3>4CSm(x8T0(k~5Iwsz-3$hieVwy=&JfByU zr!?Z*m^{m-C_rP11(5{JZp`A(pQd34u~!bHz3#RkWyki|n5crdI{2f^;6^B#=lRT5 z2vE0uvi>ADVnS^igBp?1vxTLm=@;ab34bckN#%@9UEn_P*@k{>O5<-cMXHnqBnyHG zSSUs#9!JQMqQ)}b7|P?PN5KkG4zTCe*@bjSjy3`r@<7LR!K{ggSQ&^^dF8Sy(i=rY zvo+yY<;gji6*>6n1!Tx6cmqM7Fixh6H#T~+UAJ^It)U#D?(@#oIb)04)(AC9`h54Y zsq1u{W^mh6w<`I(|H-zX4hgk95%IGdgcN1ChUvL*s<UH#cRCGyx2nui_|Kn(MK{p? z+l>Zs|9vM!bmVtk@fI10bPV)FJgKYzgK>pVD^1k#bNkz>S!z&fCr^N{d9S?poOh*h zg)EHB%#CVqh)Kkrl7+le?YsCgUGveNtvVyf0fDu>T?GMrtTqg<g<|9op}_urj3KFo zwk3NCZ#>m&93SGEBH#vIE-g=nV8?0V&>-fU^QWALR3s;L(e)4Yj%)1;OxMJ9aOa)P zLv|#%>kEWL^T9;LAP?|1f*nbU!|KFqE{B3f2OBAl&KCiCx^I@Du6d#GMm*uI2so+% zr^_nm%EbP9QU)@ulAYy~`7P!w{1xuZsdzrcWy=$=>}Lm!e@g|>-;94{XcsM#oB`*4 zq{pMDIUvg@_-4SuyrQ^*QQlDOH0+natsdN2B9pV>!J~K_hEDQBeRKYV*b~zXe{vxH zs`#zmu5=o^8QBJ&2`5?X_4qjQ;igEx>sRFATX777{;{~@FjlEC%|qGGF7_doqN?33 ziT*+t&ki-fF;jy)aaMkRg(l?51Y>zXPr{a7&N{AKznCg?VN3|}(G7k_IO<DA7V-Qn zQp0z=uv?b70e72QZH@{bDiijENWBB6TT^Ee=CUBl^qrHN;j_~Er{~6c^oc}qKJC*~ zPa3)^(T^6RJKK*O$-u?{UtHPx#+5YVHWfM=5~t8Z%JTDA=nN4r7HP9LE>+};TsVs0 zz>~u{&H`Qdky_TCE&bj|(xp)HM0UHHtQ3$lQGx&5!qHats@3&n$m+BcOIoy0_*!xu zHoeJbRR%B<ah?8D%GI{wS3A5v0orh-TDDPfq2|bzgi>J#@pp#`;Acd1XW5t4+;4hd zXvJLkx8a4~^#XIu2BUw|VA}G)819lWRY2!I%QqXUa>-fT`HbjS91+=<-7<rKCYAyo zeShsi!+JitEHUbmK$IANM|VC2mbxjHCK9>+2B*0;iWEo!^)UWdwFXxve|}vob8}5e zEuchSbrABP105-O!_4JO^a%trRB)O}qxbhYS@J+J^g~$Jr&y_jE-GLlcI#g#GsNW$ z>(8nmYX(qDzfN%6d6IP!;tHN}@!&0`X;{jgCXr|l>&;`4i8diw398q!8_v=t^n5{= z<EYRV*v1gsxt)vb4K0w=a^3?zn@SkHT%2Z<0fJZ{wpx3uULfZ2jw`9HqOEF=eS4b| zsjQ@>s*cSba#D#^OPvVVAG`i2@1p;DecaK0#Q9=OPVAt0Id^J|bS}T^VCHt+QQAs4 z+RJ%<z)8%YX0h{~mARWp5q(a$+E6(&18qAq(fBB|wH_9wbXLbl>s+SY23n!F<CZfM zmY6ls<Dt!b^K*MgPNrWCm-(>0nVNI!WSUC8#;gW5d#CrgbnK{VK)t$9NpBU+*$m+k z>bS{SNh2fX1RCjZz6w(>%$oyKH-c@h%bn2BSs|C0y(ycJ6ADEIzU3R}T+o8)W(*i< zaK7?W=FOXW>6?-7Sy~)joa90Ub<aiAYQ%k?G+I@K3@pQ#zmf7cNJrdrEk-US`wbvg zGS}KWh=bzbNIBBc+x*@W`qb5m7!NhBr~bfbYU~P>NP+}^kJLN1?rWW9QX#}Tk-tWM zq>}WJONm4XacIjUs@W&_Lli`lSqO@b&}Ty5?VWd6>v>0EwN&KBrIFFdYa;hEGpP-r z%(qR-ybE{;YKJ*DV?N}qhEoyPu0hA$!f4QiwX#o&np|vhbqakDi$|&)Jsy<~h=m|F z?n@yXeKm;l=V5PXL)ImQQoiBHm(6+Wgj!>dAH?wMp@@p?_S;F8o#wF2ccyljus@ZR z5C@4kS-X*Rz{uj5G8@u_DT8hFaP#4aJP-^2lqW6ODkbQmo8;vy&D$oS5{aHL956IH zg8wZ-0B8i`4AJMJt!Z1^ppFUtS~qAdXDS!dB2#Tu8NNRr;j+}K9(tV?L@a0=imf^J zuM`fEI(LQ3-MUiGdli5b2d__miJYHTX{PC+7i&ZzC8T`9m*$1;*CLgnKwS&aTaN3K zXtt4FnLdWB4hy3HJ*|r!XUUq-0+R=Zz#UR8)@ys3jv#)xXvpJu?yAcdV)lJPgr%~r z0MV{ip+cxUD37IT$*<A?tHrPgErAL$bsxy@N^-VowZbni$vIOS_!nV!-xPhriwCxV z?T=T#{P2mF^i=Co5)6;lvR>uzG4=Baiq_UO;&!<6DT3W{?JZ>m#L7S)($!)xV)n<G z&Q_j-ad*7fCDkkaCTe?hap{5>E4({UaY}#_*|X``zGCL9a0mPwb?q<atE3{lu<zp= zaMb9235VVotU3_JfbE}-pS*wDfwx0u@((|wV3_B1IgEhtBAmWgvRe}%8wtk^+;et; zbxPyz`0{RZeRX}a`7p7xxMSH3g<oOaTd&wk9H}9D9Pn@PSx}!Dkk^HeCmL(V%IV!e z2e(1mXuqs~z&<-L+siD(jOt36ByRhW`?Mpgb2OKngh4lG=5RC-4DB!ECw@6CAuw;C zrV6W{`rs`q<*xz4MKOlHi-=hcH^-02_>5RgJ11AXFGY$w%~9}+@p~ZIBF{zHf7Ks1 zQyqgZZl$SztB%q~LMyYH`-Wk=a!5tJjE?~atZZE36@Khdvy`2FkBXrzPQ_@f=js_Z z-*jmIj5%T7PLv1<>s`z_O-;_`SM%d|y%dkqn7FErR{TUOyqYw|Aa%AwifoD7ApV9h zY;qHiFXCZrrb-3bF*`eHoY7$>Rn@LNgpRBNV{6++(ol`y>#b+5>a>{#5T6BJFaUMw z!pQIh-Bg7<hqcq3Ep|<MXtnduAVO*)-33B*(m}wOi&Sq*#61s;kSj&jELH8c0GjZ( zkp)W@T7c2UL=wpla6O5WCX6juX|wvlWRksY@V(NZ-8~4Iainl*w;FdZ?|C-v!`fb8 zI{jpI>ro--Z_Qw|E<3->h4c6&sJ1(GBbS9{NOZXhSmN?z3rVsol8Z0#ZZ=c3Nds8A zrmd&Ud7*>e(#Y*w?IKO9Lp|u-@3qEg2TiG&&$`nITjYbxTO<uf|IpiCwd+T<gCodx zJ3B+G87mWBI5`ayUJ9a(aVj5i<iM9bHV$OFF-N2eWd|kZPo7zine*0zmv@o#hq)AP z++uK{`dM3=^Ll`&;@kt`i7EpLle~{!p^18frkRdHQLHp&?MsW;#^PVeey(UQ$;-c( z#S$VPoK@FEha#oI*uc&ca+^C_PVz=U^sKf65$r<##Wi&qL0;;G7MapONnnS7^(RUB z53MiKwBN}gj09>N|6PT$m(~C8_rt?#2_D<VJ4jsIP`0%)w7W$|@s%QLolTg6GeQ!S zdTqC6un@&WE@kaRXkJ$#ED`U@_=`2_a{y`tAVD%|1WJv*<En(E!8qCiuzbppU*c|@ zSnk*w^4VQe2qF}7EpdVxCwHl!5~p`Y-2Y+)lJ~K`okxbqAW&usR+!LEKwsFL_%b-1 zTs=BlIQs)*Gi^4ALONe`?|_!(XJ+Wh0+duF;q4YQ>tuh+X5*`qS-3|2GFTQ_oy|}< zI}BrEm9-y^9HryLX_MdqChW)pBlV7b0}Po*4$N|5m|aK2xSGX^O)^wF?ox>`=&)>A zj_k*ebnXuR(ybxPZ<@4^068UFT=^7zEX=aa$pvSIV6-oiU|r6ZV%wVz!GW@{9|xjp zgI7CB$+rII4)1#h!!aaG?><hyf>&~BR%tlP4+AvhTaQe1%QJHo0yLSc{3u~7R7aoe zXR9-GiObOmO&{!&pbb(qDPVRCKNc*x6JTD<wU95s!hosa6t?%}pQ*s4Jb0d>Hno0| zHyGt)ShnV$dChe68}tf6`U_q)1o8{INe~CX3<I4)i!1-J!iE*V^TVtwf)g@mKoEYG z5PAVpBo&ptR7~LafU<EPX7%S5+FZ$$h;G}26*>{t`~~(`EcwA4!5}*ZVEUXNs|CbR zO$fD>dwMr1Jc&_e^LC`Geo>3z1ufQXb6T~neKN!vC5W7_(Qgkj=k8a0h|D(b_YOYa z6F$<Zd*p;v<#H&NY3I?71i%L%D5{xMxulM%^mA{NUc8&1fAPyh!6z^pWj$G%icP-Q zZlkI0*Ff&NW*GDl(nGp5=n_9KXXr283~t|#RKA7|3lS^!pzbGlR!s0C;0}-f8_i0y zPZXZE-ltUT;nv(S95q6igaS+>hWuFnwW?m{fE(-%B|oT3egV@T(?C@T3BvF@>JuIA zH+X2}nIC{n3~9}Dy!?1Ch?l0GsLv}=4ld|H@m|en8#0C+T7ag<)GW%qQ4Czbq(1P% zEQ%(C(}=tpYjN#(cV@MQZ+_O9*{FjFexmB9!8VOIpuJf-2k>-|gRX%DrEH-KdXER? zKh@#u=n}poE`+G*7Z20Jcm=6w1P%^5O3$I%v#U&bQ9Hc#Y0lx&H&_1<QJ&W-zNvrJ zy%XqCE=sL6Ti1t}VrUgX&7@E0$Ry<{wmbUn{lgwc0Raf4^Rs(JsvLDc<xfHnvxsaP z>_ySN|5-%8>}hYW-;OWkz|IT@_N9<XZRS$-7;K1f0;lpDJXVV?ne}a~fa@=f^F3^` zG3F^=K%h6<ZOk?6=pWA`#e`fsT-bI3^Faup4TN=!o@UbQSl}tD(rL|K2tx<R#=>%x zeonRr?d;A@{BTA;wm&*`1aK7g$_e~b>xcg!iwoM5KPjB04gH`%NqMA=4RyM(OqVdE z{?5bMYtIcB_m~8dN9X<tB){g=u?)mEcEU%0%mKGcCbU+!GA;$-S4_luEhX`Zw7m_{ z0=b{o_UU~s5ac#qha~XVD*>(%hTEZj$!t&zwI!**z0EvRcT5hM1i9zXhn>w2QmiBi z!?455Tr#pceb5=Tg6@XQnWQ}^a@@)zAIMrpR)aMVu!I{^*>XZ9L}B6vG7HGcmj8;# zh_o2q_g8TPVS->z69x_Tnyu?{oF5okMlQ`JZ1YTSAAT6Rf<AR@sk82@Q}cU?K#!43 zgl<~VaM3vIJD|70o{&fh^GBD|wC+UGk;@T<9qvG4?3C#%I?&PITm=47C1s`YN9t1_ zn%PztfN=!+$f9e-*P!ybXmXOHG5R-{MpisXKcbjOSzqSRPiHV_{0fxx8B3I<>8E{% zbc1F4se-mG`;)%pC6E64q0Dz<pwnBzIZ<_uLAp?nbB-ROdCrs9suO^#0IKKU^}@|? zyfI|HyFR^AaiXN))|k<kgdc3ERX-%RN|r#apfrlaz(zJptpF@J;Cmc)sJJmpJMbau z9C5gI)#Ld!V;*r#3mBSK-*thdoH;(6R$q5{>&IJvZ0}D{N}S?|u2~q0n=hUs*w=%2 zm;%>N0gLnuhj_=!cL2u(n#Fi158=8s4t7jB+tyyuRVTO1sZ&yQbP5wvC@joaCxF`# zzmaL2|FuN|sEkcy9co{|>q}lmh%X|%h3DQ~7zzFyZw`Ky%(%I0?~A6Jxo1&QYDo6R zKgmXfaw%)K@hVw$hHBV4gHy2`S}Lz|c#v-%w3q#98SZfRX^EyR1ezJgxncI{6;e9A zrbxIVxja=`=YWn<^UX`~cn4Nyc5Vi711Oud1sQtm!$d9a*uiX#0w+&lrjqSDhAfdH z&=G=L@e)ls84}U)deyMqT&k>dz(?u$hNO6mv@3wF`C~QqbH&@~|8Ol6#b?do@x$vf z9Emt$Om4tjHZhI4z9JE(ci?){uCV-9jdLOsiTNAd?Y`M~@&u#%8GVk{c)eUCtr^Un z-(UStz+AJpb97&3Z`;pFP0@jbudeY!gI>m_jJHmCp8;T~uqKbea88#!G<cGN!q|hL zZ#4NV5{8kEf$pRrey#+%MUD5jzWF}cDAqaNr(Mys6vrpHrkXCqNw=nMVa9Q7%s*`f zhCB~aR*vD3^D!I%K8Nrz6m1MSQ@|wETi(A8-W~|Uej=Fsv@**t71Blt{PuI9U6Y`t zM+8vmv+po5#R5`JD(GFhhyyS5-6Hrm+9QcRtlgt<Rx;Tj*XuN9^7E720~3zN#=yZ8 zeP0)?2!lwFHsalS2V|^JhiK8|<`SkSav3@pcxsuPsBCv@L^~6Y)!!gd7n-4`2i2cq zmLE;m>GeH@?fmd@wQa^wz$)Pib8CS0zxaCVxVV}qN)Wd|aCdiiclY2PAi>?;gKMzh z?(Po3g9i`RSa50FWxMmu%<k-OXaC?-oqO-AmiOwsTh;Xnw~dOOV572+w_Q#P7hgI6 z>%*iobrcbozg3A>JsPUEgGmdLAGz~+Am}|RD!2KsyF2E4Ry`xyEw+HA8+_g`?wNs| zf6-(nM~@Ym`1t_zJOdY=&(fd7kkoviDqw;;jb1nc=T^7kjiDDM4l6&E8qTe(Ug&Ok z4F2YQZ5c;=<I9O{T@{J8q21vKK#gg)=<-%)E(;ZLNzb9_80cVi_EU_U4vmhJ#`;LS zKeMO10V%&KRFyUlN0#Jx$B>-`P36V?qMt05amQbF@b%@TuGG(2Q1gfeA3uF{7F7c# zm)R9TFv>9U`IZPcubN8=lOt6NKO6cJf<sqUUKUG~3gR}9Zt2L-=S2X2^|2?*$ny<r zkZo>M##jZ{5{czU-r`XmJ>%YtHPHe$cB-XpmQLt%0Uo`7QL*8^WW%z9^Rqi)YAE7} zMusNtluFsN>i*hQPRL0P16{k}R>9gt3zq0HQP&u7YOSX(z@a;jVI5f6CCBdsxJ`eU z-<v<JsjzoL5~t&(atN^Iz{lv$ip|$|(0#b;>wV?HR>B+QX-~MGs~^$18nM4vUNEpB zoo^wo6BMp|9B=&?v!65#ajROG>HrWIZm1iLd0cFKs3r>a;c2Q3r9JQGn4;aV_x22R z<_O)rKE}?Idhx({3fPU!TN6&Fb0vL5ck#lpDsz_XY$f+_1o(%j`$hcvunXO`sJSYi zgY%|B?tq5px~wDe4WUASp3wiV)vxke_ygC%z=W_lOFp9<>kSyYYn={%c}sayq0`Fm zPMZvoyuEL3W}1HuPc_bP%9H`3DcH_42kgsc=^Xxcl0BLpjXx=C`E3SPu{+$!jQ8$b z<-5WhCB)*oPsqNM*)r3U1)%6mvN$nc-)6>TUb?AdNvJ>Q>jg61Ud;vp``0~e0|#ge zrc#o>4K8X2|E;leh&U1T;1lBMrt~=KzU_~Jx{K(ua5Ro(v6j=_Y!$Y<4;0YRExmp= z|Jfud?l7MSdIZr-!`U@J7T>Fl>&n$@abKM0PT|CZn6@mDK1_l*jn*f%q8F3os`SJ~ z<`q|YnkoHO<uvFUcNjJ3eo#{{^>t({ABZ^ma0#J*JJtTCF8Q#Kb3|;>>Zx|IE;fyM zJmwHJ_{}3LuKsb@r?{dC<KRrE^)*1Qs~m0S><<HbS5BH-U6<;-ooybRQTrT>;06>> zzm{^bZ(Qj;4PP0zN1p#N7>0I67lUy>YA)SNAYgTW&U-XDv0pT5fFC=IN~Ji%AtKr3 z{8TsdTJpCo-D2Jo^GeN{Q+KbDs;We~XZz8PCKB#lhZU2HFZqy^L8W5ZNTj<UJZ1|T zhV~t>Zycv8Qlbt;l#WyJ_qG{zw3Z|yUAUV~|GazqLzG#n`ciXAi0(Wl`^=&mLd*6y z0q)~!PADk`8@g5f3q)cT4+?Delq?Fl;*Yq(vx!IwJve@<5iwC#a@c#NFd@aTsEh_< zi_Y#}vHiq{43Lv%)8;IcRR`CZg7Z`MkkEzSBjpW|lNcwylKD791Lb44l+hB9t4XSM zEBhIKNv33c8!HI^t_`&^7m}A&V|N%PlBHeE{y7IdpqfUfwXtlMVR)57=}UIFb!GG? zBN+7G;n(kX8GE#=2C^QP0@<JTP>++*U|=<Lf?4$Mz4@+sS~4{E9lNYPA@Q+qj6I)A zk1%&ytgLWE5|*YQe5v#LknygDxB$ocbLZ2KopIN&51$>f?svOTAr(ys9$FpGV+~td znc*s`N`a{lfg8&Y>&k{jnX-Vkwp;YC!V6ux!Ax)`0fJg)M<0WS^*pJ7E~3mHUJCq^ zoO$Ya2yOZq1lBXO*mwa##yNBrcq~7jAa`G_?Z5oMnekEYY2DX8;)>;*v?yk!vp=-@ zv(Lc$_En=PmtMq@E+f_`<MxB%_`c@Awsudn5VJPqgDXN?j~*^-UPrt<Zrm%K2FyTj z>9S0{QQQm+%0c^=qk9vsW~i-0qCyJSH4aaS>!?CZ8rLyDf!*OBF6g+j0(-&gQz$Xw zd8qfsuA-9R*wpBOBsE%RqlvH<eyXM3t?98xHPJ3*u%0XvD5liPLsHD)%|}+{1!1;> znC|UfQT3_nKF!;+0)};wca7{b*y>2lTPt5J7T@^=E)a-W9144|CSA2su<X4mKjM-m zMRSa|^9Z$1D&=y&LYKNDN|jGeX1L3Q9C}miYhYtFQ$)t%2-PvAf3uP!hE-G?57iPS zPHsn#nEY$dtBV_Vdg?qZ@wBpF9$<SskN?rs<TNfu)^naOV<HCKKvMnw*+fGJsR6qS zgB$SSM0}LIjWb8X{B`=H@?fY=3)YgP38~+`1#lwXIaMR0a{8KX^n^G-_v4!oFulC~ zgUIXtnVE>#)=U4sS3DuDaJK!!3)#lgh(<~7fMN+CKd)^ArG7bR1@aE>8IlJ6hn8p^ z$KFFO%V&+0-FOv<Vx&L2U5KB>U%RiTaPn}kA*x`jk?Xa8Mnl*(KXi*u3Hz}P3;PB9 zaEODe4!==j6LJxHDHXUcX6rAoUP&|=GH84K{ioJj+~)nrU7{%R8noZb3cMp1X`BcC z_S|rHgq~xTEpXK%aWnmG<W6Id2EFTNVT0Cq!`Y#ifvO@bpcUdL7M9nyf}6i7F%Qo0 zO+m^#qr>m<X}~_&qF<}|hr$ROhbiR*oEepbgnaWBRk5N<3me$<*+cm+9ZfKQmSwt{ z5^49ypiExh?_eKz9ov~mH?6}aAN>z_a9;fodwz8#;OF(cd@6G+q2*3hJ;+*Em^=3> z_<n^v8~^jk(mBpw16ratdFg=mwA8YG@Ue;Y<FT=E&o+mbhHg7?1mwKjXemv$s<%%B zlju8B$D^y~jPMh!c=k3O+nVEq<>AGSwGO9m?AJikhIr`6a}A~I^5k7XVG$s1xXfO4 zEa@E0Gmg`_2{$HD!6`$JMx?p<SL#h-6qXsT1rD6rqK%<cRL$rt6T<Fgaw^_!6dnN` zobeJ;LGPe=P9<YYqzS9^Y;5(ZpvNWAy+ul=YaOZIh=eFha@NP43pB@lq$4c0l<9d7 zSSVUy1nNjfD%0g7Su8lhIlYU{x<6j<><80nAk2*wT0JApcPeZI@_vf4XlFpz7>QN= z%JNj{TiVmL_9w8uB2HD)vB9C^coe?e>;9vo>v?<qko#SgedaQyH^NHWCzEz?9qm@f z1;e&xwR9)rwx=ezz+k-VRidNis`Kdh0JCT_(q&(oD5X?%cej~jme4qUvpKcMXwnk6 zRp`|+B<Z0Cqu1csQZi`y$+gbjL8vFnS0=J%XP{fLNex9%TASrGNwbTg$e2|nbs88V zG-E`$+kRgzpY?sRt(^$b_raTpbE?hwEK0^AZt1gBwD=?@lVv1jPPK*c4OTMGa7MBd ze>A9edB5;E$$R(SNq0slZoMtr^yf#fCCTA8BY=5Amf!uw>)TRxVu8Fo{aYbkgD+G; z#E)w_$LZj<g??u<h~f~l{M0dk9=4xT;DNWL0zD38#q@(D;cLRjLf!0Qfq7Jt8&QTx zGa$7rexMhbZFDeHrCa_>9%;ZEMk;pE(%+Qqp97*r$!AoHf0gF=&G8t0ij;WYdi^e{ z-uR?1$yWIHcR7>2mdgW0e@ui2jxelQmk)bh`TB(OM??$%o~m*&duy^EXfEcMC}E?S zOmVBE3fZw`=SWl%_n0o{m$o6C<6`0e$ireQ?DH$ox4mnvxHaA4`(hNOn?A7*^>2Sh zv(~U2z{{5=jvJ)5F6f8}SbI7c=j1v6WIhB7&1_FAEQB?qB}g6Zrq_(@iSI0qetP&v z?jx5zb2tQBd<CvKSDjUM7d4zW>FEO!284yBBpV-pQ%9AOyngJkqpjWN+K8_|C6<5t zBtg$IrQ&tNZQSc+cf|6B-)r}qQ`sgXD!`Am*zdCblY1xe*`Y1K@A6_!y^}cM(00~O z*NVOj<L2pe9=RhsWk-fcV(f=lw&Dn<@nvGUv6h!FauC}oJ<r-dPf6lzA1M=lp1)+E z)>(=>UY{H7J4#vhzPwiOO#tq$mpdmNU!z%&Dy(7z1I9&LYsVc?U*|3{mGy&W0BSUB zohg|fWui|6l%fwt)*sJQ5Z*E(PKgWmB{k`PILNX-WwMI$b-QIajOu%!f3gV!N++o@ zg^A#6SJEirtFIC=D^=o4Hx=R7BhaVz-!~?ed|{&O!`Cmi%WO#+?R>x@JJbc@O8WgQ z%0C?*NUv+^l4R(TD_^ODNp;L6Ofd;tf*JR3{N-=8*co~$^S1v)jo0zRG{95@Q)-`i z)%CZDq};LcvMZZ@=tv80IC0RjB)4X=gutRfQ?NZu-D42*)fmyTAI-rcfbQrKr}dY? zHJTR+!m|wjRebruTa&k(sxofU;tJyp3+gGH-H}&svuZ8JbllNLBC9IXk?fP@G#by5 zU}u~TgFd0`^hw9@#BaM)z{GD&RQ4BklGMa(Uf8bI=*|djTE#R9-qJdW$p<MBIgwmP zMJO5L9vB~AY_T&Z!izPg=zEWC1AT0Rn9WiI=&$zbb#w)EStQ`zV->Y0bz^`>5pM@C zAp%+ltkT(eshDTl*qB1jF_UJ`D!E_bCNR?UvAqe|x0~y{-!I>-%RVmOxSlPV!3zN| z_W^<<AH`0`-n0jYdU%6~gI1DXdrGNFr#By0o9y~Iw-1Wr{`QygYDplG51p*=)fjB9 zzMQDUPB7Jkpr@(MzQa&>ge$o8K3tN#&JYewBgm_SlZGA!uA>W<Tt4*H(P3{_IZ$a& zsHE)Xtn|Ts^9nhdX?w&csjRVx258nBep9>q;S#V}U?^P^r#eZ0G_dTJl$+><a_egg zOsT|CMV@zHFSmNne)&DwqLX359=>f@H#KBfK={WY>4ci&a?kf`IcX+7{9Gc!0KKdy zJnS<0z;78VMv8Qj9h$1&?OU>SHsfAZ9O&f^5e3Yl-l+}|-8h?;&*SYgzX=W#os35e zg9+B&f&MaWMMVCu45V=MHKl9Lk-a$65#Lfff1lw|OL7~Cx8g4ShMjc7EmltY&G?ZM zPhS9bz*_Ad%0=Kdd8+((Rk4y_PVq@bS^fZ`0@~WE&SkJ?sahf05~tgF+<QxfN3x_( z`fKOzKA`~ROZt4w*G+B1^MT|#zRSN=t=JK;eT|;F?66Co)oM6Q*q*cQJs6C-Hs|<0 zfu1I_6}$~GjDeylmVQe!x`qK;ADe;&uQ{WjJ;PAqIfbt+>6S$EIak^}XQ*TLI`X>j z!pk@Na`**@wcd}%fS6VK7FLTrK;ui@`USxCaSYq`I-_mhul0waidEbnKKB)XG}P@S zW7jl>H9#Y9{0pyAZ;OlZK3BZgSzDUovR~u8edmoa|CZLTmoj-+&hLVSv1>|2ULFTG ztliv-mx!VU=YaJdcwR4eTB661OIio_)Ax|ix5}&(JKAjyUa!hq<F!yR)GRs{ejipy zs4je}1{zq2Vm#KuU-}w<`iQ4I#Y&ec6d`*A?x`8vO0GEEcHEk^u-LK~#(c`bo$Y$g ze2HDiSlu?7S6mp9ys1^Bo>a@DnaD5iTsu(Iq?y6&SnqmXE@#fFnlLyTDnDx_8xN!R zuJqa>mYs+97tKbM`J4O87|)x+<)G9i{_bxz?0uT8VY1LdbxnXp$;gx72eWtSzn0iI z!&<bsn@R5$xxIje--c{`Gj>^>7h~g-%d$$^crymLP1SCxW@ZjSGp&k<v>tt~#^wXQ zp7y8rAArW!(q9@xx?QF&4?pd(0$wxDJ}epcZk%(@eC~*ISM-9b3yzySNGr|CB(Z$O z`8L(CX}kP>yW(AI2uS4aQNP9g&g*ef*Qd78R&y#@GS{q==AYTn5Pg)3JE7B~y#o3F z+VuomO1H%m8Ui8>1p<QP|GdG7$$wiA`Rf>|uCZbH1MAv%5YcR{lm7f^jzF}>V1+I~ zBv;n>OH~>tw|lzE?A8|$bw+5?redNNuj(f{-9wArguh<t5wYm<^>e`W(bM3LO90A@ zmJv4)*J&9`<3W4*;!j`xwz^G}fu0I&@(OZ54FihHMkaB!R!0SOx0VHLjRbTFlTGk| zW@T`CWf4-t?59uww*|Gk=PaGpePDr&F;z%VH_kBQ-(zB9tbvWe=9x=1#RBSP2|~n; zDLSV`w`Eu%`D&XMm>M2kx%Ao?vhg`Uy{;9Sa-ilduk@Kou8PXcHh))7;7jht>x(n0 z$+lFtj$X`oxx}JgL1v8<CHopnqqj$L$x2L_4Wj~VkI(!tVs@T#H<iz_R`2w9?ZMaN zs5o^!gD*N|h2<u!VxP;(ty#p@e(uyT47%zt!)YqsW!Aop1zn-o3<5NVZN<LPX`gQN z-UYoe5dYL(5E52UKSyXB04SIaK{WUKL9`&a4{h&Qd6QkK1baZt<_L9CCSpe<@%(D( zmm`e*U+{PJb2W-gYQsRHZD=?5-_@aQy46+Kwomg-C&`It$w43lx_Zsu$^W{S|9vZu zu-Dim^|RFxs`vK=OVt8v2)qNjkkgb8+Hj=gAL)w(6=u{z!DfVBq$*xvS*Q+aGBiY~ z7`E;)*g|PCJj5_xsE%PWv=3Mb-?5Kz2cZF#CdjCTPAsb{>4<K5ogU`-?^bMoMM$={ z?)}~#uM#$A9pdm@hck>hr`anp6WKqE+}K=c#x~ByJM~Occ2plyt~?>e8lL<`MJCqY zcrtHce_3bvp>3r}*0ntL*JJEHdF`txpAs(C@#ScDzEI~+&E_S($UULPyg%O58_vLN zw~1-lvD}&pyus2h#3LH)$G=o`fpLEY8ZnnrDVIM9Zg;zpW!)eCLhhCrVvDK{oxg4f z5Rv;5=kJx7y(*{tR<*;+%@9qONti^6e*iD5Sx2qT#ScJs9z2Zf{>%P)8g7Qii_Dsu zRGQv-*jsO2O<G%a=%zY~W}_-sy7c*UkSVmOh$*yXcmB)qP1%SuU}X~NEgL5S9;r9J z5zf3!o2sQvidYZ*%ERn)Xa^=E#(Iu!Qa>Pqfitj-gG3Mgol<CoM(4|>4f(=hJ_f0r z>gg*D8x8kh|MRS=PrYV>ox;87;9t@IeNP~rlmv5iP*)8F{Sp12yDW>fgSpFxvB{}P z#RSj)xkJ+Zq#}#Ll=2j#{G{{$+9`?S|97V(H8waivH`d_HYqkT-2az){D#MgaZ`eT zIG}|2|J^g`>lcf&97oqRzQ*&-nKHG#VZE|xfIQv7%$MWIimN}<u`|x6hHIP|JsQ56 zjWtxOf4<9p+PTbK1qQ4@Nbx{q4$Uh^HB1<^bm4^31SmuJ5s+oB<K-l5-tY5X4<EWX ziDV{-D!~oT#2jrM+toiR*R_eizkTJ(N+?S<?z?Zkzq!0Rd^?;t9C&@>>g>p!o@R~E z8Q#P_&m#?NVmCp?%{$n<;i<LU9X8`4j~RY&aqGr2HokpQZA$N{-kj(?`Knj<UU>hK z!GEx5_hib`eJcFq{_@pJ#D8H;*F|Vt+1PI_@a9j7V3x6QJWULsh*UIz6{qKA^WEj@ z(iPYzqUyu%e7EyF^>&{%<Ll_5QeKH0=&kwH-Dm!JBCqT*@8AYVm|iq|F#|a1dEF@M zAqwQl^*a>yxpMa5_i^DSVU}s475odhiJrcsqJ14bv||sv@^L(P7(1!i-8d5uuwyq` zH;fzD+?=+wyr#WAI#^k;68V*zohy3D@}7K|8kldF@bd8G^0GDX_3ncaT6nH|kjOh< zcHDH{3x6-3>e~xX-!Ikh!1y!#=~CR#rXwoHAtJMjlDxdF-N)hNm-`2^r-It`{HM+8 z!Jg60A8;F_dDG!dS%6Vj2Ekq;))5mK@3NEOjnvM~!_(a_najTOYd`#Yg@~s-WPqc| z>vTZTQj<NSFK*7W&qtp}-=uN#@8{3^&u0Ox!twy}(V`Q;m*#f78Qi;cdiQ$Y#y(HK z9fiHUkz}I#*9*G^1CsHsd$-oZ*M&7c(wQr`d>hn2e(&drsG97z-8Z)P(a5`x0rpAd z5H3=_*;c@x(E4foQsq4(V~)sqlYO?Cf79Lq6<HgE4;Pu=SDmP<;xbh-oK^<o*@U_t zuuLAW1~fWWZW!veO7GX+`W-`GdqOuL<6J<iV7z-?53=fV9UJ!<-d$2BWv*w<8n1kK z!kbpu9EVq|IJ${$_xB}G>P8QGWcN1vELZMT-`@_M15N_76>g$7;_5_ISY^uc4&FIM z-c9muOdjQVOP7<0ZqZ+37Ner){k;kJ-K$Is69%uZ^^T}tpZw<^JG}E=y7811c&|zO z9QA4QHYiCCb_3%TXpfeG{>v%%zb58qnhY6`c&BDIjnaE`ggt!jqTE+rmi=$8?7Z%h z-1V=oMdGJk)~n~|>Yn*{EQ?SrdUxM@6V4t--Hbak-rLti;HLd^57>9-#gE8{A2uF5 z@3Re_F1~st!MjDhh*)ZRyV^I+Uc8i=t<Ji*r}iYn*4}eD^oWqMgnvu8*%gtgS>|82 z-ll$SpZCN+7#&Wuc(T5^a^5!iwH5AxdTwdkljH8gE65DAC~MoCvI*`jT&nVL=E*d{ zR+&pUR(qf3JMzVi6}c89@b?_%J&@#jv3VS(EIh>Me=r#PTz8r^h;IOwwIKp=64_h0 z-!&}jh(Gz#nE*NLG?LzbIGXd3&f#*_0FJZod|T6ZpEYzPZ?V$3?e!+Df3oiRu%r*! zA`mdz;60oU=6pP%U)k=TMOtZ1;EG5+*G7MKIN?tcMM5nL?yS#6&^guY-t!lYXW7O; zEiZ<R{rj}s&bG|Ibru2^S+3mKu6JAOZymbE4o3H7-I1Mivfbjt7)@Iqt{S#Y#<xnf z{Z*@|AD>vhxT#j{;hKyUzPq#G_cilsHZ_~P`Oi4mD2|@mWxsb?wzZVlS_n)Byu8i% zJZ|{A%uSCz)*b(NkMBC7`3sooV%8{#;Ckel>qlMJJ8Lh&-%whA))TJk*o`;NsNj2d zXN}l%VL5;AO=q^D**zU4_T;#zMKF8}7WBQ>6KE*?-Es<AP*%(2)>D^MwU#yzus+eg zc&2D7dVZHP!ruruYOmsIuiA*nTJ-^18v*7fmr{0S)*P(eg-q0UwS28~0DlsW>8Fe? zXFXZ){PsP$9?m0er@vX9E^f!jO3spY>Ta~9uKCmNZMMbr>KE6QzdAV286Z_{{BRj1 z-cvNSupAdQL~=FIcmRFp2gc{hmjT)*BRS4or#1bber)`}P#Dd*tnI{qEvfHRruST* zW$eY$ZerYv@4{#Lw&<YPv0HmpQonXzvW`y+4IC(`?|Mb^Jd(odToTv{m1*JC!G*13 zj7njAru|@KOR+4FTUY*ADf}07CI~goo74R~44YW@;vWuQ5SIIo5BGKl`V#wzYo5Zj z;jeCuMh-o+BUpRmr8f=El)4|xckME9bD2(KqE8Dhzx3j%Ov~JDNZxQ{tQ`73ej@cT zMImN;_c1l8mh2PtVHNRt`O!8k<6v}?6j<cBIElL;9Ps`e_^0nZS^tW3{_>04v>(^< z(1O;dj>?`imT`N-_`_l0uZDRu5|6M}bgQbYnI*U-o{7|0?%ReGwTwA(rwX(ZU31p! z7W0Y(&GeZvcG0EVnT1i+zqyUmRH(vhFB{!3c!g~yzE9gKl;SAW33mLgS_s)J!STz` zzmT@+Kd6AsE5QM+f71L7ls|R6E9=neG;Ul7UUQ5!?pHu^JmJxPz0y-Mzis_&bn6^7 za-43vsob*W!ksz_OK{>LuA#A5s;fLT+sCVueb~&bqvNib-*CRLAHT*?F36&$ao-q7 zBXEwiv|y}W*zvR{IX!Z0-`{z>ixIcTn%B*>=rFOUNrG!tEqF_UxhXb4f{Sfcy(V1b zSh<Q-Rl)yszaNd6CFn3we_Sr6yzxdAmCJL0Ua!YY7oTE!v3oOrVVS$@$~)7!!EKU` zP(<JEl*7mp#V7bnXdJoB4nY#H!~~=8)HlWdM<Y251NmzR+HUD+J0d5KlnHf_37VPT zKD$ULJ|R@!_gPj1c9DC$yunx$cFVCt+%P;7uUOX4BjHYMUv54~#Ig#Hq_dez78`Lq z!IF;vg+_oNA6ef&U!Bm14x9O)Qp*>m+{mHW3|5{DG5b&S-1?Z2D78FeW|WHrO@EXi z#VX$2<j_Em|0F<8j&uKE38$&~$`Ea4d@c90w(*1R{AyXDl>lRnzQzY(W`oF&i2CIg zmaAvR&#kEd0anInDqm~Tv%kif@B*iJ=cVks6L0(C>d9FFyZYHv#ksnAIIh|5(uy(e z(z1yQxQr7a&0n2ttQ#&CFm9457RDRN?D0!GWy3L6i*;KXndA)Ms?%^j=n;#5TF}?S zDQ1?`QH+7DizlNGsA2jrmnL3aGCZIwn}{{0od#<?;j}bo23bQX7j6Bo;m@|#4ZoMr zsifOdzlyJN47D`tCY~UC-&qH}4D$yMW){420XVU#kVZO3DoYIE6KEft6lrfs@d>Kv zG|1?Gb@>UZ%rwZ%e|7Z<s>(FT%71me398OC$j*Ot(+R5aG|2IPb?XVL)ilV}e|6^x zs^c`s<9~JU396?w$S0f>Snub8-dpOYw7ZA=My7GXV*`^yM{86JhKa)Ne-5}`Sqd9Z z1)aCl$8eEZ3TsaVt+&*xaB^7+D^CTDx76cs2w4itpw#4+x)bhKro!S=LFFxVC0t~t z!u(S~=`D38oLr{D>{CJEEp;>;LZ-qDkEHM#){&k-jlvX<B==fMIX;=iCuYJ3L2Qff zIY^w2Wl&!gg5o4WzZ$R7fUe33+;7`CNTuh^JW+}gDNL<Fo(yUm)~3>$Xl7r~VY@Yg zD^)(w6o#Nt#!znMAZfbmBcNl4;pyE#05$U~=b<9X$%cNJ!FiQIY31OsNWqfJ;L!gR z#4bN>CL?D+U~hbY091%+m3@O#7mrMmhP_aMtrTD;i$s!$^}EE`tPo2ri-`;2KqEA? z|2`~J$$hB;>k7IWVFa=5;)(yTV6UMT7ET{T7yeil!azIKtcS2Z(@^Ki;RaNE249La zu0W4E|1|~2oOEnyjPB-<^E3L18l)Gp9lAEo+$-p~+9#CL#JN^RO<cGQUg0^)k<Pyu z6crlUxH3`nDz}jhYK!8<_6FrFu^1W)$LQw4Cm0m;UNvBfe1dLndJ3W`|ELs*il2dK z{XgmlqU7fwI{A+_gD4~rL=XSbQxM$-Q<z$CQ&gwu=KU8SN(QD`?SCZUBzLLd3Vj}W z;qv&?gp<xo?Cr~0kU3=s;LjbL@T}JeD?bcQCfx)J5~blq-fjC5`R&WS{51Ngh%EJb z;r~&@l`3#cB?8n$;Da%I9zTYdQ`;a0w7QsIU+4^xJX!m$X;iQkS?@u=WxN#H`fdG? zm?qlh{T8Pz2g13Zt{Is?B+&oj$J9Q20{;3d$m@m{$h6HVD}Znw^v^3=e33y(4C5)z zhb#r>&S1qO@i0VzEhVK^7Hq*8rph!YCI#h=WW^%|GQsFej;Xn@V4Qg3d@mOL^b z^R48NYMH-<E7&X|_2f2g$s-3c?@5NKmibyFhsh$Je|N%4JUxn}T!i*i;6swS8OpTW zOgkk>_kvg4xzVyQKV;TeTV^d{wy40!s;*@7f$jcgb3bl{?rK(~g$?)PY$EAs1<ID& zRc+2@<hL#NbL-dUMJBDCssCcNn<lNQ^kRqB^t4QWWQ?Y#;m5Hu%j_JMGaz$bBh2?i z84P9f2~;9MPwu)v>w3#^u&v|NU(pIt9a{UENe)Ysr}s2K0HK=}X?au)u_*T_ApjpN zDpj)$rK#Cf04Lk8pG)tC=(RL4cK<ffd#V1Y5O$`(P95TVBtin<TG*&&i+}?~Ttn`| zGgdKamDS~AlV{SmUQI!VRebt)7?oE7=rH!uNCmTOKL;2fCT9!n2s$Wm-j^yIh|PHm zU06(xA}yb<a8yXOMCC*4h^BN9L4_{qu>TGDhMBzCJ(A`!oTzUK?|StEK_s?4DU@6{ z{b-#AoSyd|v1+SzF^DMM{RjVPGDcM5NbERb1hUJ0CFwokK%YD74(tR{%-h#J%czIo z5rB!8UCq29jg9lO;VitTOWqNq;LDF8%f@TJQs_95h#LDyjFzH8ro%4YLljnlV-(54 z5KWMJKK|tGKK#WC+EwC{I?h&!>N||Wchc`S)$5Zdx;Mn+%_iu|W`|g~!`*iR*bRmL z(GVCBVun~>_K2f(XWyhCqBcZXkQ!a6**Cvq)YEvpw$uHz>1DxN*E;%93{1{hew-Rb znguvUVsvRr>;3k0gupX)gTV_Qa?n!8+1m^K8G!t0AnQ*H!#Gs8kZBNU@=XoJ*C0}> zQv=03Mh>WG4sjF?le($hY%<%QL|A;br|nJqVnt2jk_N>?)xqNf`OkiIdS*^^{E^MQ zdU|-&7NKJpp`l|ER2C?jI5JTgwYp|%x<pc|NS0qsQ+_bJ>YF(g%!?N**D!u48nWx3 z#|Tx-{YYUSVgx=`F)t0z@Wl<ydj52LfR5b&re$c0qdZhD%T5Q>){09xH5{%Kv6TL2 z6L9p0B2YAeJDPQaF1T&hB>gXLNeiZAm9*0YPLmC%QEsg4w)u^0lSGK5ncSb*F`D2Q z{%Jyi9`r}(SrO-@AoKVk)F}cdyvV1hCO9IHBv>s44T{~UW^-@-poEkH+29I;cB&yq zL5h&cy~T!xf@O)qw=V}XTMZczT%ONo`;%RkBhmr|)XCw8^`O%-EKzhVxNLu#k_pfO zMs|Ks693mo=ne{VJpY_H$Yn;xQLZ7L%e@sT%@7%xuLAA86hw(*O-2zc0Q*-DI$pOp zC=%lMCIR$9W=U`4S7jQa|ALPrBJ)(9z5WH0Quqt(?fwh)i@Z2;0|o1vf!h8g)>uXv zuL=50^;nUIQQUP5wm(UjqULofimD-fVT{Xa6<{Kfo>?N-n8rchkyVx!HI&phe+%cT z75l}vU29*E4tQAdIdXS#64`Srrh0K!F~k}})-5t|uG-*GUV3P2;aTiomVA}jRg6gj zcm2Uhp@HT}t1xSJ3|Uz%(;#o6uPBBwjc~QE)xowxwm<!bMH&ir3KJ&)x<8xXly0M@ zFmZ?F9uYvK{Ap<HU*GQ`nIXtu#VphO*S||Isv#@?Zz1^4rTWj6{hw<N3#<`-i2u11 z{<*&YbNx>p2(v^{JBxCb0!hRTNfcruTzP<43RiIf9ik?^QOZ!J6k%QH=O>92ZZQkv zUt)qIvrFWeLxddI*!Ny$duNi>R?lc+JIWc+(Z0eBSM|ZVv+cnU7><*gn(M%*)QFEm z(IX#W61`kY3$JoXEm3}$7xt2VLHPk4*VA{wCk#3bF>7TMp#GBlKx6?ngF3%a5+Yja z?cwk)-6TPM_hEV6FPO-2pYF=mE4W@N+n*d%$8yqoLFqik@CsKEbhbg0-SN_(_Z1+` z6;+^Nh;_YsqB#_OM-L@O1m&!rnCeMqCjE>FN#*h0PWNj(HB48XnmlNzmJ}COm$4CU zl3jiiwFzz#vi)A?3zXDXVISu_q$W5fRskpm4#>hrlDc-2Z=kCcmlzg1143OdL1(>< z;lIN`VUxily)8E#6qNaNm<wcAx2t}v6RHGkqfR<;_}2?B4sHvMUt*He*wnz8X&kv? z3<^0YGo=P6kb4M?&3_;oVXUK|zzO6hIVNTrs(3PM@g{I)T5GMZZ>K(wPBm5dFL=DP zWH^n7SbR>X^Pk%h<PHYAi~qS9%0O;@klXM-w>!w)0CEqXg4=c(o}V*Q%mpR3LzSS! zw$WWR<^zi#I6E!&hKT3=&KZ?kLJg^z22M|*IU$%N^`XSR>ZS0ea5>%gnL}_XciwMa zh8Mz}zjz`CRm$JL3If!Yej2^UL;mJI$_*SPL?Ue8-DHOtr`Z4ky(F!nJ)Z^P#MX*^ zUx^**9JW*fM<L3y-17Q%QT9;Q_keg1{>kT;ZQXV;eS5H;51`P^VnP)n$8yK5^MFx^ z!z{P8s9ls>l=T%yd<bui3c|G|pw<6KSpTF2$TH6Ih^=alkF;J3>PIy~4wTMux2A5+ zD!8E}a;H`{*uTVU7up1sS8&DIZ+kXlC__^zmsr_7_ZFqn5s+Ci2%8(+Gc&ilZc>oB zlop~aBCoeNqC>DJ+pPGp3*M4)WJ*%oULmn(Tw<F&#nqw_W;VZ>sAFAc)}az=*MCD6 z(+AekAvHFB9;^>(2#1tA0X@tsu8m`Zr_DCU24OAS2O7ZGz7WYh;L-UZg$cn_`t)jG zU8-*W-P?844Su0&I$E@9Z9h6ws-q>f7`&bZto2;aeqj#~CCTX{oTk|wi|}@&><fP+ z?UCgzdZYv@G-}wP8awu^ti2oPJ>~Hxi`qo@;oH5o@_PBYdAYRvw{NcDsp1r&7O^$` z;^glg9s)+^jsaBRBV@Zmdvc1A4sUz~Lp^;3X+@jnp9eV_|E#X?BiZv1+Ih69w5nz8 zngDu*g#`FXx&>DSSCm~gIR#fY%+kphkJEQIiu#=ch}-TGl390_1kG`bf58<|1}7C$ z$P0^x@X*Q{z>hu~(E3Kehw?@UR(Ckq@3m>pHig;RAvw@|{t@zQY*|s4Grk}9`tC7d z+WnY5U$!!D0DraC59q&B*Xvdtwp9S0Isi!?_nJDdMxXm+_D-x0&+EIC(}j<o>_|!e z9*EvWZ~8=iOt=demz_Q7?_VPH_;&a)J6%s{=HI;PUqxfSKH|WmgY7{^*TDGqTW!>U zHPHwA`aaKkL9d>+nYFXW{i*|LCiAzMmzj~3!<|Cjz6@5G^w8^BzZX*uyZ~b*cpb}7 z<>$JXtSWV2*+%F_QIEZ4-{n`K&fbmaw?$pz)7(=aaUNDRoaJ4B7tb;FgG`LiU{j1L zXsP_Aom(rODs4|sXj@;3N2}qsIlxlIBf;3w{=joTbU9+L<i*vGJ@@7DY~|+4*Nni} zFHpvpwQr`^e{~J;?)bzSu*YK}64<{?Fy2S>WXDCyOjDK5YsqsXJ4$=Ad3VGMni;*I ziR7KUbHlZ5kGt5+vZ7pW)D#sJW)|*x<Lkl83CMk)d3Xu*p9@q+NRB||Kc;+2Hz0nw z;&Ne_y3o*eiJf_Wxo4t`%txPN|Ffd&i~aia{PNw%IFp8RTgH*G@1QLAMMG4~zf$6> zr7fxZysUo-#aCL}yqgTwr<~}NoNE8M1Cb*|Y<fYx=VDgBIb4QM9*uYMCQrpPTE(Yd z&XNNTl0`I<8k1X8pT=o7H2>8y+0iK$dXZA|>|v`5)Q)s@7&P_rH3bTGENw$uUaELT z*c~b83yJRcF$oXs0Iz(O8Y_WYGzIRl3-b|4)1|f0+pq8;C}uftouR}CJP4joC-I(S zf5>)@>IDA=e-6zr>pL$81?=R$bwZPZ0{lS%{-A&-P{2#@=OPHJGjz0Y!bn{cdNW4t z1~%m5lP^kW@TTp-iC+cCcgqYA9mu|BsEj`xX@?L->Xl-026U!w!MUUz{f*6Ypl%P& z|0*E<Uzl}<%G`fp`lXoM0i9Y~chFiBZj~ddZ5ZoL7IhjRTk2M8H*$}e)=66@*3?WZ z#;SID(c_A?#M<$9F3~jwD+8mneM<vG`zpox7dyrRdw1{5JbUWq8W#2IrkW5B&g|j} zD{wqvWk$TmuvzQMu>!ZKfnf8VNsH~`%~G{l#cFrK1dFDquiwFPg%(Q4eeUZ<=t5IO z)k3FplqWF^clK(P+9e)>I0^;XM&uB5zR$wYrIj(72D03<2*Lf~;dFwW5$~uhl|7!4 zus<J_`zsOYcQjiz8h2u1(qxqCDB+FXr@q7Eb93m{y3N<J;?B3w`&-gL_O6GyX;Kdl zBlrP9UrakQRF1knrchj;iG9g;^t-&*!LxT2VgKcgvS99p`#%YcSR14M)7#`vskeD& zHD`GzQvHH~e}dhDApyZ`f8r@WBe(A2wIlL4+ZoSJSjH5{_mLESKKfNWnG8Db&>G9j zm%)e)9z?Lb^)z>GKFfBqvs1qIq*!uGGw;A{=i`+@hg!n#Uc9nug4(1oE#_wxvM8gw zE|dQF#<4RRqxy#*Cm&9hM9$cH&u##TaU-TpoZxBqa6#9Hi7}*}g2|1(7NGK8&G)bI z!c_6j$Tuo_eZw1qo?dwiBK0QZ)>SjdvujjPtH<U(H#AW}txsVj{$dHB(uu^M2V5GG z_%ne^ITC*gaLGmDkCzZ6vsR*2eBoe?z@0)%e9W*%zfMz=OzV~ICT#PlW29*g2*U1m zI3d@X<VIK}xw*>(owtUmOwM7pC9+OE*FwAz0vJBqY0N?0E@d!GVSsC3m_i@a4fV2| zqBp~{#k#5%-0!y<)bc5DtI_Tn_-VQEXi8?^9$t~m$|sCmi~?h~u>vxTj)9>A3>9F= z00G(86BXn^tFGw}(AI<a-6|7X&1{LSd(!)hHhQD-ret<iF;h+|cnE99A)MJ5a09KK zhsc&;!Jw%Jp+Urd?inW$up5di`(t>&M>Y!FKsZ5Zs8LOrd-jJV7=t&hHVol1-nnPT zzQ8}xQdmx#{385M?6;@e9L)}D1H$kUC}o!nntWHmYJwZl3t<HDvb_5&;n&i~avyTU z5%1fyFQ+XJehIW`)_BM+`tWMjxQi}YcjO)4+`VeHoCnmj`R@7Q_H4fEKI8oXov*g# zX?GpD)ng&to)yacnrY`*17Xl<d%?*8P=KiYYy~rjcH`tMfvNQ@J(&98<V;iK&(2F@ z)4w>OOQ7t@M|3K9Bjqt3|3wU_)=kiV)52W>{UTLGQC~p1$lveDSwG7Sze*t6HR`A_ zLn6b|nG5Zi4YyE#(teJvtZCybefV*_eJ*3%noC1(yZB@j{7)n}@22us&NNa50{d1W zdjRM??wY=#w<;tb54lyf$QD!%7|^WClCdM3=U0%VR*&3ZDbCz_p^`iVOoQbFa~i~o ziB<L3mek7Ksu{5><7t^uszDmS9)CYYc6~yQUTlZiE>B<_f0QE<>$a4Q2sPl5g#<MK zw>_QdDlYcNAsGx!V3-HPH3-O_^jswn5C~z#Y!iam*2RXFaxg-6!Ug5sIA|h6+18zS zqf~xv6{BJCCN{cTe#>tsE(5~>7-+*mU<rcY@)|*In4vQPUYKDN%R2$B&}2z9&~Bv# zI@LDBWfA!xC6gU|B&P!j+?-Pgg2LKyBUd(g$XshD7fM+q*sVIqPXTr>IDJQuTXRlB zQ1~BOx8$5FM`{14bEePzZh`jdC5P33<pr#G{}StY=aj0?%W_#8njfy=i_Jg+O<pbz zQ%soT1)-Uc$w$)T2$x}k>Zq6=|0~>a&8rMGqPRA1R}#YvWu&;aXxF?Eq)BDZo5+o# zRrj8N;ojO4Q7;q6C*%arxB8|N0W`o$)@MxL#D8CH!MohE>Y%4r_q^wZKY=c$Z^HW& z+4D6>$nNq=6NbGGPz4iN*nSG4!L2#N#P)j-LG$+0#7{B4{W~v6Y4MZ)y#@Hv+PYn6 zOtUjSB!|z+r$;YY;y1hE!NsrLZ^`U{|C4@6dz-tPGrPfC7+M2#10oPHN3}Cx+T5F` z7ueJIwUha@Pk_rlWtt+bvW}^@zUw`%5xfd#n9tbXTc7GldQ?HzxJa2S@H>K4Rl?yc z?G30@J(!KS29>D$vj<n8a^~->EVxYAoh`dWuxd8Zmqv0-4_A})o-3&Rlsn)*C*U2@ zCcj*i7P$D0`=v;Hf7HIi%#)*8&$aQ7`rsO8Pg6wyRHiFfv%2+MD?yqi1=1`muu_3x z>VH$O&>+hxd9Y?(R|_73G|T;eXx1;w4SX|@W<`TFOZ-300*lz_5g7h=^#W@a3Rts{ zL7GJm)~q40W@-J?ENieRRZTf*gGDKtGaC!6S;xo+V9lx(8l?Z{o^g@^yOFrE(ZHI8 z`v1@@l>amfYs#d}E<n?<tT*|?Y$pKPGdJ85&({)lxxn2Y{#*d1+;rJ_gYxC4vV9ps zk2t!{<F_m?=-&!PdPi{iUhgwVyqq=G_cJ9Mt8U6`f#ZFockglzDvnBn_B~bpc1Jlv z-MrRi7FAOA?#5{%%$SL-0`!V`$%t|WIY}j|zhH`MR7U44&7tPB56q4<*deW}FdJ^X ze*`rz>ghgFDTxsXV`&sX%?wff&kSlCnmtDia%S+m?nIwWDADu2)!T_FFPbe@yD|YF zX(vYn*?p;%SPo`wF@Ox+PKPi=s@~`f0i)leg&J(k1sk*e8IdshWzc`GN|GVBHTi=c zsh{S78*>s|!>1ZNv$IVE8GHU2;lV~?u+bN6d=;lyKj%Rha~iDZQCSQkmf%=VTLw!& z&Kdgm+15@H6gv!XNrQ6wuVg{7!vu34l+%Bu2#OsRn9HD?VqwgBv}(!8{7(^Bu_%yQ zy{cOswz)pGy4$@^_bAEKjsQMLw5VuYoNK%ve+Gi?B`u%Sw4({6#09wfL&;#c5rW-5 zg~*B_*r2^1y1}3ZqAfUi>wk+L6+y7!OV=sb2}TVe2on}~{8^Oivv5_Xe_0RYKj^F6 zyzrvklU$y0ezfu;Z}WUo6LljdUPg`NMnf}F9fd6h$wpNdZjP@gsfTuqpzr*|V9#|# zkI{1I1poj;=w84!lAG&KAVgfxmj!Tf=v1-kL)`f0UthP@yLU=_H-5OPg(`ZV>j<>G zHPE(YHIIn*3E#e${}%XgVG9~Hc)mG39EG8i2H@E}(0zi9sX~DxRMK_h<?$PsgURCK ztDB7JeXNUMQxoDM1jwD`h!ACTPRA!GMYim+)q~&Kj0|ZxEpE=nTYwscv#03lt2MCR zf#FyS&BJSBYe-N?Zmj_)xS2Rcozi{>jhl7XQj`R)%*$!1FLp!FcbO7X{LD+2qMSHk z%xA$#j0Jup^Sg6;BIEc7Gj#y*QTv{elmBD;@?A^I>8NC3^oz%1?e;ASNV(SbGOsn+ zmbL;q_PMZQx!X-^`4wT!&v@iv%~439j&pU3R3X`y95g^N4xC`X1VQb!hS;jptUSF@ z)naXJ6Ns>_FOT77XG6`wLA9Q*V<ookE`VVYE0Je?vM`yQj~%x7jE@xz%&<jg0*tW5 zC~Y{0&B!VWkk*5;bOOwwuAjtK#U|W7!Ic#U)d!~>r65nsL7H|cgJDV*Tm!?D47euK z|5<Qa8q!n}Wxl^wdq7}X$~uJkz0x3hx=M&St?+1c&|FX!(ld8Axrh{WkFS+Hk)d99 zCtGkLsgw97Ns7=iKkA`ez8&Ni>LAPt?Gah!jbDHLrDldy=lQ|8MwN8=>Eg245BK8e ztPZO7`p&jzgORrT@bztU)f30(;3M#Eb?h8T-XQ0&kk=Qcc~PFlr%G1LAZM%a+5kky zm<8ITLG(}IwLaL(EKmlfzYDMRV7Pp`%PGF)u}G8`2py^E(5r5TO6Lgm&tRXZPxKuZ z5<EX*-xUeSU#N_5cP@z$7HucffX>oSnPum#fcYSTJECR5LTd%1|Ab3Gkw4CYzAD@K zwSC%p+-am&kE6@K@YBhKj$q-kU~yZ#jblAvY!JO?zXB<2^~eyMl{A905(98nQY%)R zGp$25r$WmFN?tf|BAa*VKa3C{rN+CLNGx6!mjr`{biXcp^~?f<i$m&Vg4c?-_xu1y zgKCyj9b{y7ZDSxn16K1B!i1gi5QBjf4CG*-gb70#$m1>x3#wO#X}&{47(|h>+mI)x zb7)VMqBRc@0~y+q55tgEK8QO*(;IjiBSfz`n}NXs3^rhJK!_%cT<uJj6kD%t0;MKS zHlT4CpD@g@y*IJXaOINozof+He<UR||0N~0ivJ}gw)3E*1eCKpab;73a~2ttvZ$bD z;)AIC=7P*4D;*{aV#{c*Inb?<Mf=(@?RP?iV;Ub)_wzy^+)qK@cBLAul+;2v^+Xlr z3o_6MOoA*YWeXiGgkVTifVh8<6o%U{SG!=R0i;ILds^jQTcP=IrU@z{7v*Inpf65a zsM|5^hY2ccl?PV4AD5rOprC&_X=i-=3H%TNLS${(F4Wx5%s-2-j%k1L7T1!1Uo4m1 z$-0|({o#f{gR3=nVFcira=kh(lKJUY7WMv5pFTYox3)e#j_W=>vp|tAEin-$^P>#i z*AauNw6PBtgP#XwlNfXj?b-f(9w_W;*v3qw`7_k-Jq!vD5Dp98DOkC%M*-e!|5|YO z@cSZBO&k#n8X+19KUhRPzvfHroC6+8km^F}2T$N=0o&rM@hu3&XS%Tj)|e4=?-q!! zUU=C%{d(_XNWfF01SOaj(658}9zrxH>VuFSL~iOF4riY~yato&WX3CE^!2vE&HmFI zCgL_4!nWy+x?7owb%bvK`jh$aZr6x=`7@GoP^Q!QirAw}k>9WUsqGrEM;?^(IQU4A zK9T3woO?=;K7vXIcL~x5Fn5t4y$5qAiF;o1e08|!Xe<@6;Qy8DOk#riQ55ZM`JRcp zI?6jn)}yn*)0t7M-BPx{@4|(I8SvE9u4(_TK`eKq!&0_yOamO`bf$(QNZmL=;AA<U zxl0t;ves=7+p^XSj`Cc}c8_V0A)o0qCyC|p`(Bz-yJ(|>_fRdkhf|EQ%;tUtYq=iM z92`_5xQzo+woNc>fLxx3$6t`ATVbsQRX_l~xNDhZ*4!(Z<p`&@-1XrsS3{baSvl&o zCj_ROU`-8-?84{m#Q$jHW^XeYptUYaTk?(JgxR}q<4-q$-G1R3|J?c8$OfRHHjDup z&jK6qsSS1Y?#(LApR2mgRI4{A-|Hd)^S>-0u@gXD_ap!y0ds^6!<XEN+Yh+%!-Yq0 z<1b=N-Tf)t;HVAv;0Jf6M5}JTlo$l=<cL<Sk1jk-=j|lKHCY>bHU3DqoSr&}+5T&{ z09u7u)&`z4%Z&rv(-aL4|9IL4O}2%6{1MoL2VUAp`1E?|C)A!9$2uBwnLhh+X|7_B zoBT$ns*uj%Y0fU3WsK~S!rCEPw6dibn22JW@qzf=R-WzuYp!m};52i3+z_<vRK)w` z#l}%18Tk<9!+%qp>C3)No1a~8CN3Smq;li+VB)>f)st&|P|g%uT|;DUg!?c?&G)u< zwl-LlybFl}y*|F+)qOwTzx3v8{mM1_v@r`nB-B7jEsVSMIhg{yZH}+?i#pN-t_w`J z!FOOr$UZWM8GR!Vot!ck8$*d$i+Gl&8EyNq(C*~Y?C#Xv=icb)#pdPOJ_r<T!|x#` z0={?px@kUbyiZu)-Dr<`y7auT5#}b`0nT#{JgY9BFArZfoOs6U5BB68WUdWVFVl$D zl$?HH`K38EDE0f-xi0XXj(?=vZKndfOdQP<^lg4@MMb^(;GS)=R;=&g(N;8(xtJXQ z{Jh5c+FZ-!9<yO@a~O8wl|}dURcD5W*TjkQ?m&ixQ@Z%Y(akrPl}_;PzVAKa^;wkO z?`hOc2tTLECyt9yy)e3ZfiLdrA=*WI_}Ytq`P<7&F8ZsHB{y^p4~uMiS;xV(*?j#N zX5kC(BBE7czJPeCaR2;YOePff^mBdRqcmDHlg>TFp>zjtsE0YD(Ddm{YW+pzk?ob? zvQBi%_nx~`<CO)QYx+wo_KF&BJ*oy51EGeu&k^ruSmOO$I5P-TF3IH<6gp^>95Q&b zS8HQ&QWGI)N!(CTpXz2C$z;MziuNMbGK13g&`4}Ux2cTtzVai$_X#)z7e$R=OMZ?; z9Qpp|)AvizvYUz`BaT0?<{SRqz5h>FSNv9Kvd>J?x=X&G3Vsntmh(RoBwE5?a2pro zlgUuE%S;*3(IKFV=U7=M`^42d{KXfSni}6rm-HgrbD@b^hSy+mfZpa}NY_CQ7EhVb zqiRk0()}Ua_t+{O*`r_AQIn+(4=R){y-CiFe#}VLX<okPK+b{xH!-cBLFl8dF)&Ga zb{l?zJAd@|ST}A>MgnjJw`Sw~(dF)(+<vF&{kO5RG@Ra{6pagoKkN>VZye_9@2K9P zs5izO%#NOssHxvk%1M|v*+#oCfkP33mrQOu8NZ^^6=;nsv%N?JE)D+<eJH^MH1eDd z#r%%KrJ&7a%<-yq+4*`nqzF1rh9nMiMpMNPSKu<|7ouN|K=U%+C4<{eDu~nwfk=|{ z_nauMuDXTO-cL>Dvp5wVCKapxIX0F_c9s+y(<z-fM{a^KVS<?So~4@SN@eSMR2vy` zU7RHzmI7zf6qnOd$2s(ig7X!gIAfkPb3mnv%?y>kCb^%SNg<XBurqE*xzZT9QCvbA zHeRLk7fcwJO2s?-Jw^n)ZVZ3~&2H9Dmr4~o87jliNzwPx47v1LLh}{>S9|9f)zs4N z@zAT%JJJ!PO7TdOB31B2KtLe~LO?*8kkEvKAV{xLR18R!BE44;5RhJ!pfu?L(L+^= zsCV|pd*1i@-t(???}z*8hLvRh*E7$5W@pVz=C_j!zG}vs&-FS}1WI3T1m@2Dkr*Z} zY?(j%O|R{%0NEAldSvU@#K2wcgOX(T^xM0FpZ|=0gE}>%^yyFCiD@>cI^#yK-s$+{ zCTU~0yjyG7Cf-$V>)c8Gclg3DH*zN)%Z}j{vtEB3(5pJDomP_UQeit8PxG1Q(a!1h z>#JG^m+8?f=g2Fp#m`TU8TW8`JC!wv&V<nKjtD1mZ9Tm<K{H)$U796gS?oHXabc2E zv&{8V{;lEK8k4O_!l)I-sJXVrEz{LmX7TKBUQ6#W9w*e<VZBM)SGp6UR!ijZHPgE( zT5hmf>Drr`I=_Do8z9ADw}5dEjUAesOUV&^T7kC+U}^TyUjNMcqK|9DqHk{Bv3G7H zEBT7_lS)psq7tu$qLNa;*2EH%DOy68fO*4xB0Y1trY}Fg>uTJf!&4BI9B_VcNk6uN zJAFtOgT>0GjY~a=f^whSTV7dVmM^=eN_3{n6RW!0KQa>OVAZ7JCJV_0AXjeyX$Hx4 ze(A#dT9?mkh-n`aHq9&)yTBs;q0{la(TD*n^HR8wk&)Z-otIeo;01x~M4Edwsn(R> zA9)2-vrwr^vEnU)hcpG-b<u*v2{d6fX0zKH5gQ|W5s1ZXn-Z2i6)k}Pc^v^n!>y~% zWU6#Yl)SM?l<HDEaGEek6DCgR3y0EfMQ)7vMj{r8ZA<d@URPZb;=j}oy4bD7X}m4` zaZ*~xG2NGiST5`9l^u`CsXq>~CDl%PJo%`yyQ-e^)yA1qVBZkC9Mw0sTHry%V%)*U z8#idVKs;`|KrHq&OhZ*Dy<wQ_pv%5I7sq=a+XKE=lDN}$v09Tc*799(&Ea|9mc)&b zK|RFQoE>O&vk0T=1Ot`2YI1Q6@e^IIW#?za0sE&b@#h0})8el4z00{@VJF!#xA>ec zHcoU?*)W@_Kq~fZHBNkGg|RhOSSfgrMYSI&J*HN+ldxI@ssJOj6?_G!zS0|Tcxl@t zIouR`QTESQgIr!%1P>-mZ|3*(hIvoloPqkFxHBDm>lx4~@Y;8gVPiPR{pzsGvTUUT zQgM77W8BO;`si-|JjI|d`q4s{bR<8rTnXJZSR;KaeIm5plm<*O#SRuCFEX6?7kdis zwRBN=6Y7vbyMIvd2liim>x{cj<AkTWB|M-JF`fvOc2OKqA}Y;Sq};seX;bELSF*@l z<b~-O3Vy50QXkJC%k$2xk=EBP^Su=BgWSGc991GxO~KO7Q)xoQ&!tx*gN8&-ges7W zgW?;6WIWBzg}e^Ko3#oYV+{<^wR$y6P(ylS^=e1#OrrUrHk-O>wa1>!QKHTBj7~C2 z=Ssxp^b{P|ES#RVGa={*%{a;ybr=@a!45rV(_%iHPCk%C>Ug<n5y!!4cO8_-2t5UZ z#}dQ(l8k8lQ@9c%jx6SZ#Txk~9WYE`%lY{~ng?U`IzipxStcHvaaIJK+<8zzr(sk* zvcpfd2y;GnPM>Q0l)@z)FJuI><*8YvZ_B`&Dlt%e36(#i!ZD`EZ|t7uyH@>JBu7a^ zYoa9CE!}e)G&NMOA*H?KwQEMq2ORxst#Pea(Lj<>2@|l-8;+_SjMS?F^%`VB)6f;+ zoQaS;rqxRt*>)!Wq=Cn?FM?O%3|pr^y@0-s7yH)JG2tAmNm}JQ9U<pLols!c=1KhW zv20i8!o|9wyq4u`Usva8^+~+#EB8zTaK<X5wQiY-wVes^)$mm045p&1Kc}LVE(Lv^ z7FJ;RwWna*S5_?RuX*R5NKV>^rC7(3rHugjxt;=(mVn-yF-t1}q>yL|$c9B+lt_;8 zr>)gOnbe%^N2fAk^lSP=@}T*LkOS<-{+e#);Ur=>>CZ?wslJE3Z)87)>5@*~#8Sn5 z;NVZ^M+VBZB8|^%WaU!Jn+g}&YUim4sd1znzmP4^TR88kr)cWP1qP)kJSc-1=5k8( z5S|s~c<KNiP6ky@E`}h>)6k#{*A0#D(k10%JLX*Sg22x>mm|YS^y*%Rh4_ZwL#;hW zoEE}!6HXHYY2qMF6;3k%X$ByT-dVCUKFMf6CNYe(rvQAND=HKpd4>NpQ=@fa%+0t9 zQ*<C0th3vJe<PeDHNf(q<F(NR*&_P{I$cxQBClZQLLrVZ*;nDYVjQ2-JU8wNFR5wu z6xc0)*6S6~QWhF{l`>$_CmlM@MaBCmhLn#N3C(!^0&_Vh5)q4<Jy0`EboU%hbP-!N zb|v@rq_U*g^}ZC?Ni<k$sNyV3`ING`9|ah)h!|eubazy|GCZ=Rw@6Z~@Yl=&=8`ru zoH~J;*K?2s`$my*|Ajb`51S_dW{0Ghrqkdls2eB6;pJ3X&pqRqB$Q)8?zd|qoMXYZ zCwipn-U#Jn#C1?a<liTR=g%y3T;5ydw~658Q9>8~D5hal!2h^7J^B>WWxG+iz@UI% zD{x!Y)N~f_l5$@Pjh*f+ytzsO%kg$fE)-QxQJ(V)yjje((qM+)YKe7B4aNgYO4yq$ zzY4gxEJYWkmhM}iWV{=ewcoytVl$PzwpLSX`*CZN&jx*oqVT5Eu$yb=+oc?h_O1+C z<FV0K-4jt2@6a0a<WH!Lm&6K9f+&n5o%3|W^7IwC2Fea&pDrix_43Q{5Qg35M`h&a zzhLlNG;KL2Lg;F<i0JFGt61lT2E(ImHYS)cwC;N7F3!<Ia<0+&2<u125Bti<ob}fb z_~bbCiPU=y?ZL7^HOH^>7Yoz3ishZYqF@+Si6@eH=tVl}TMJ3@8X${-d<Dy}Iv`&F z$zBIX8-aWSq$4cDnt@yavi>OA3gjV>l=ZN48<6zgq@!0M`GVMXjfkC5nLM4<;C<-4 zWyH&?*<JJFnas<aZ08lp-v`@ryev~c)qh4R^e*l5A1`HAn9UEqFcHp+_RKb2;toM3 z<+-bRNQGw8KHmjF6Y~Q+6zrQ-hJp|B+*LrZo%Z=U2qr6DJ|*Y>E)t`WJurVI9j?NI z{5@u#9s5DzkG@E+;FiUgjfm$9pzIs-gP`sP`Xaf#K&I}@%MPnKJcRQFgR@P)ON1bC zrW5^EF7w<8j#bDs)82loo8VZq3i;5qvERxO9IsR&Q%#Hdt!{whf=XnvX<EOP9aO#& zdEYdu-^vy$Ux`dGz1?qh9V%aej5EF2Z)IhB0`dNuEkm+xu_xaf+l>}sRt=F(V_}}~ zCi@%rr)75vb@5RfZXDZ>E_l#bfNpx2MbeDwdL!wk=qy3*u*uYn3j4qsj_fjv8OT2A zTSsdudHi8a3H#$teUMdT!F`egCG%T!!tAuHNsWSnY^|*eD_dKWeZfr+Jv*1qw-TIp zefkW?=G9-ljjAxGC0$R=D(akzuV6t}y`q?r)HIC_;X#XcEz?nK0EY&BF_zh2lX;86 zMEyeJt|t3_evM?NkEt@PBYL_us2fg_t@J7r3?EY~5c6hlKBhMO=26;;>f-L;8huz1 zCg0i?R;@5UEqkxw(4zcl_3`V!uTti^nm-ih7A3j5hG1>CAl`I))NVPa&e3Z@QGOlI zpttZ2mT!P82U2hnmNP&i#u@a`Q?OhC(h$g;X;^LnnF-{=3@i_U+yGK=7MA2FigNY0 zAa@Q(1WiN<#dW=p>{ttlX~~73oe^qb*FD3ywrSZ^jb_x-)8qB@)Ux%cE~qayqPiOB z^^cVNk%~G}CP%9GNMVl@wH%xW_ryt11L#4%br)?)J@}a&Zq>!0hYPE)bunJSpHeHN zf|~3>7f#Qk^Tp9*DTVx0@wb1fpZ(CgPk};cqB!YBifq-i>>yF3>{_UKUY|={3`RY> zPs!Y@P!?45S2u)<rxhpJr3f4q7g!@T&+9%a-mRo)Rwy$mi9Uhw>AOmr$Nr3)vW9(1 z63u`$244x^xAp$e>eSCIwwd%qJ%Qs6u}15N2z{82N-7SlAvXwg<h(ArBz!y<2Ek-c zEEYo7b(vgUB@PGPukKwY=Z$$v8|2HZ=uCgdEhb!UJH2EnNhOe;LvLy@MktWaPt;HE zZoXeu%Y4+s%|*pTrOW`zW+P3oIFm0@5it%=q2Tu|Vw-TrWmYVKXng=>KqVt2SEC48 zAF!R_FGM7=z}hUW(ifSFGzZ~PIP4S+!qre%3Jy0y;cFngb(9T-cR)C#bl7Tv^miLj zSN>^LQl8uQzHo~4%c%`TFNO!Ih8b6Fb_YJ^s&I@pL2WEbS6^vh=<(j_P@~h_*nt(5 z&lZU~uV&%Yo}l{sSiQz1Ug86G{ZC!IBnNI<^XZ3t!`+5OXTOUM`v~4&UknchmaUuJ z!Oyu8gGAKmcVv>OGgg_WRG4<A>7D9l3$Httc?vRaE>eVmf}NYXAsJlD?=lHGTOAsp zlKH&_4NysE5-&Zdgr%F80aPNEahNdN0WatVFz4S>{Y1)T^Q`qyy|xtM(%0_W&BH$D zbKmRl;|uHjZmcN(T+vOcoZU&MKcY(4j1vdQh-B2MDW&P(hUoqf(P}J7c1^$e*dZc= zsfUi?9CeA~8ZJU!d0!)27hcmn3CtK6IJ>&1NslSV3Vb^iA+y61@=$OCLvJAL{uW-d z(VZ{ma_qC9^?NP#>7E<z*pO-(-NJB)?RsXeYi!nd?K0(5ZK{6YSIY@!si^T<{IP0R z$*@E6M({GX3A(P4b2_1D_w`T{&!%Q8YQ0EeYb3)0awUOPOyBN<<Zz*o{+71fLn=Dy z-jdX_`8BN8WbT$kWioSP|B05wR`%^h4=$NQuo%xb>vgi&dtYk|TA^{X_~ubPyQX>$ zCPg_iE9dr`e^F)dmB-~1OP7rx0<AKf{S2j%zJb!Fn0z}y8qZk{h&Mfm%M@*D`q09l z;4aUWK$()w=QSZy5?=ix^~<LB#mr0&i~~;ZzoG-{yn_$t+%>_&M3|N!uL|BGkiYo_ zU`8_`#6F_(pB8!nl;#jK#sg-Ir&L#sD6U}!KR7sL`6LUS?U6jWPjX5vC|*dwSpMmm zE0)LaoKB?Ka1<cd4za*w(Oju2H226EV%bLDXBAd1(_TePWryhn`glN$SOXZ*)d&Dq zG6Yzy+MH~|{<$^@KKc$)*tz&s73Q_Wgj|E=8&>iCcREhocU-h}Em>k~UyBWm_tqg! zpc8?XoaX>A#sOf=f`HMon2MLh8H5C#C0`P(vkBco3k7?O=hP7KLURvRNHCpC8{|wo z!nfl!W_azbvv)0LNvIDPTe|tylPaZ0l-HcMgHFG~$WoBCjK1Bpayp*bGAhusjidJO zWSRR0SnG=c1;5)^I~bsAkMLCG4i=Pt8J8jQn%*d3nmzGeBjQRq%yAr(N<>n2%9NWH z-Y~~GqC{Q@y8@0IJK{K3z;X4T_+G9}LL687$~7JFpy5QQZ(dXRxI$ZM6L7m*7eYTo zN)1ur9OU+gGNlKt8Gy!FgIPQcmSM_9^b86|Se%N`M&(XyspPj?E$IkNI%XtwCJ+=^ zzKER_nA6$DafcZ<bowCybv2Hth=gaoar4MX!U!_D%MbO~h>jgOEOHHCJlXZXu@V#& z&k7Lf!f9=C>36qPL<nJe9ZP;l7#d%~7A79R!YhQJXnuAWvIHQ<Dg%RDMiL;!df%#t z7(rtXP=T$ewq^dD%21CY|LCo}&^2Zps6a%Ex|I1=dLZN-s@IybRdU%qgRqFBFRU|e z_L>;j#^IO*KAGv)AshTaQ_ts4>CfR;e;dMhA|tS<Q<F+#%YH~a6|gsU)J74sa^Hb- z&NI}ny;|{X3-_^YG;$}O&nP459I+aO(K2`?(xT_jQ8FwkDuQwUVGCF4x)s*y-^P<% zUGn>dIalY)#{Yv$N+n#5#jY}og04=|STy&N;<adC_)R6>S!F2FkoomhXUXT(GxhD; zxQm~+bgJ>5zN%>(Dk4Qzs`l`>z6*(Ksz$KT?cBx{c<=AP1G%4h2$Marlw=Hz@oghu z2Zp~kd{OEACY<#18q!NB$#2RIqQtI9f}+u<t*a2@wS+g{1?8+o3OV--jmgrA?weF( z8{gJFy<blc-l-n3u^JUo|1So++8{=@TWdiS8W$UhxxE;RG;yhLwTGmB$W)Dy%ruq! zwI~%4%*D}cn~WqyL|uW@kP`$uR?+%fIEj$v%SBtbVwywNQ$3hU+AU;ZFQ)P7PMmu2 z54ct1f~QQ$J|$=gvN=10Wtx?{P(ZaDV^DwsH0i8If}ySj#<MUq)NOy?E4a!O6u@ro z%pa%?>N?4x3s)I0bS>lcsHKNubDI!c$@hX@Or_KYkua=O3wtn1C&Hay=ikp;z3gs( zz-;yKB<*HnwOs1KP$OS3`rFVONmnHY336#=o?vuK+=tXsT@*khKME0-kKca>0F?~` zROCq)7ydK=P?}J*f%^BiZw2H5K!wx-fbt|uE3Y7PEV5|)?g9bS2RR#b^pHsn_R~86 zP<<&5?Om)H0I%d>yefjCgC;fdTNPmKTRVSoYWA+2Y3Y4^GGD%wdb69k#zo0hBZs}# zf^y-cM#mp*Duf5-B*tYi)IF&J7yfuYu?LhNI~G*Wl3qi3=f?BzYYvN#(Y~6Ta`=Sh zvu_hvb#bxQ{|2rc=Gd00DS8d@N<m~*aq65ytdPtE@?H&)?_jwBMeBiFfTj94h&BTG z36=>!lC=Q23(Hw3+6p8Q?0otyh_(Ys1IerEF6R)Mw%wVpZJqA7VE7r)k(|+TA89bL zG+EpstDjRfaM!FKI$Khbaa=6%p;gjOJ&9LlJAZ-v^@kG>SzY)%c+W7oE%`PeAbY3t zz#-ZGboz|U3f4UB;<_c}J&uccAkBWzq_=--C7p)RmDOQ{4I(!W^2;m0B0Z042Tzu& z=6((FngHvci`l~MIF0<lQQdQ(g7mg(zrIW+hm_x{aDId!t;b%Y#l|;MrPu#rD$k{K z62@!zo=W``^NeP&uI*JaGINscOY}LeCvPs&Bc^?0e-kWykJhphXJ-`oM$qZp&)z&- z>O@hzsP>J{WJafuWU+>v+WZBmf;o?X%7gp=f$IN(3IhB8L!k1gM=e`aqQa~&y%r2J zl4z?6F*gloiO)~eut7e34;wor9b!9YB?2KRpPnD?fuQ`&5tI`pK~R2`3Wo9yApqq! z7#IQl7qL?4Li9f`a76!+5dCXw9??G~O#i`0^nWM=(f|GnnEr>+fc_8X5YJIzVplHY z5vwHVTZ*4CK4sbqLm#-B#;B!e*R{ZX5UZmEh|dZpKF99a5LZXU%Y`m;@eT8S^hR4j zHzGnezRGO2>Me+k#1qlS^E*t3{@CL(<5PR*a{El0q}%P*W3_bg-W2{6Wpw^r`wd-5 zbS=7A+uQD&J1)0n6dog=FKX*nwLJ)&8L@hFbK%anwXy~2j%}y1AM*a2u0IkfUVfPT z?&tHhmEx=aWD`YqQ%F*4%e3UP^!NR2Iel&Wj;h~JbI__uKMi?S)wXQ?Ox)$g{`))A zgX%m#s{PCTtD(=b=@s5fbv_B%9GiT%bGZF(o?@N)TgvyP@sY&<rLS{Co1MN}ggS@U z1{my}041(Lw?KC5M^7mH?tGm~-JVS9UtU;U4F6vDXusuLdTgAIb=UH&xPRB&Vh3R^ ze1xv`dvle09Cy5Qbcp+xz{%<TwJ)=u@sC@+wSL<edfdaiUv@U6<oy?N_xR(Nj@Qxc z-tkT2Rr*3_zn|6e<oLxO?_|hUp6q&)uYd7I27c#XYZ62$E9?IGb{TAdh3_%*{kZp_ z&YdnE8Aq`m_XX)au-ek0+k{fy9I=k`i6`$?>;@nD6IFa3<o4$43(;kvct&lO@>NE` z8~!BUU3vI%NBT7HL7h*1`s(M!4i{h53I8S^)ijB&_3oT)pN@Az>$kQm3DYslbs6(@ z-$LGR*<j$`9JLzkMs==e2C}WVKM(kx{Sfi!N6rtw!vUR{$5Pvkx8vh<*0)jmf!3?( z7yU(ebz44-bZW0}{IO2h43E#*&#qHDkZts}`;(rlc5>H^gY;=?Y}Hi8pIUXyA6zZp z9=~W`nqPG(t`xgG@O^G}d^!i+=uOeuyzjAF&`jx#rL~eM)4D~qHMnaXl78;S#^S<` z=g#6ymEP`-q!XU@x3%NcdXD{&Q9|`UX`Y!>4BWcC=Ib+gJgakHOjPyT*sWU8p##FZ zzB{VZ0SDi|g|kUpAM*OB`5z7}xPJ%xrV$Y{lQ4rl(kKxZQTGk#aMDc1KwSg(li&{B zh`>EJ7&^lEVX*eD)^_$7CkHoc8!xQ8^)0luoVAmiHQL<`YwwGd^0h<%vnL98meNS8 zu~Q%r$|48^KV$=LN1mzR=<hu3Z9HB4{yRI$KRv}VKt7HXfdH=X?a1yEkap->QogP( zzqSD?MSN5iA@uVZdddwhr~3OgI2k(nVJ_XmN@3Ce;`o*1hwC)39H9U8takp5<IyIO zj&}cI7fCI#pa2?V0Pb8+%fRjEtmwhMlYjFfNqO4Y{CcL3FR*>L1j&pb`@b3m{~IG8 zyBoh6MOqo<p3*`fTJ;bJPPjcs54pjt$N#=XzHYzz{JMW8<Z^UoKA@-l&6)k&P4ic; wUpMyqhnJYy-+IB@{Qc_m>%M&daH{z?PGFZlLjy8$s4xxqlO;hQY;z#>AA3+=1poj5 literal 0 HcmV?d00001 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci new file mode 100644 index 00000000..9f8cb6a6 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci @@ -0,0 +1,191 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_auto_pc_3</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_protocol_converter" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ASSOCIATED_BUSIF">S_AXI:M_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ASSOCIATED_RESET">ARESETN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.POLARITY">ACTIVE_LOW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.TYPE">INTERCONNECT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_READ">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_auto_pc_3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MI_PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TRANSLATION_MODE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">9</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml new file mode 100644 index 00000000..302216c2 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml @@ -0,0 +1,4475 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_auto_pc_3</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>S_AXI</spirit:name> + <spirit:displayName>S_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M_AXI</spirit:name> + <spirit:displayName>M_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK</spirit:name> + <spirit:displayName>CLK</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:displayName>aclk frequency</spirit:displayName> + <spirit:description>aclk frequency</spirit:description> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLK.FREQ_HZ" spirit:minimum="1" spirit:maximum="1000000000" spirit:rangeType="long">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_BUSIF">S_AXI:M_AXI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_RESET">ARESETN</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST</spirit:name> + <spirit:displayName>RST</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RST.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TYPE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RST.TYPE">INTERCONNECT</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_verilogsynthesis</spirit:name> + <spirit:displayName>Verilog Synthesis</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_synthesisconstraints</spirit:name> + <spirit:displayName>Synthesis Constraints</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis.constraints</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_synthesisconstraints_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsynthesiswrapper</spirit:name> + <spirit:displayName>Verilog Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogbehavioralsimulation</spirit:name> + <spirit:displayName>Verilog Simulation</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b18269a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsimulationwrapper</spirit:name> + <spirit:displayName>Verilog Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b18269a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:26:55 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1)){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awregion</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8)){1}}" spirit:bitStringLength="4">0xF</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_buser" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1)){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arregion</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_ruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awaddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wstrb" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_buser" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_araddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_aruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_ruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="string"> + <spirit:name>C_FAMILY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_M_AXI_PROTOCOL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_PROTOCOL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_IGNORE_ID</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ID_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ADDR_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_DATA_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_WRITE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_READ</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_READ">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_USER_SIGNALS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_AWUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ARUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_WUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_RUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_BUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_TRANSLATION_MODE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_40181835</spirit:name> + <spirit:enumeration>32</spirit:enumeration> + <spirit:enumeration>64</spirit:enumeration> + <spirit:enumeration>128</spirit:enumeration> + <spirit:enumeration>256</spirit:enumeration> + <spirit:enumeration>512</spirit:enumeration> + <spirit:enumeration>1024</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_7235ff92</spirit:name> + <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:enumeration>AXI3</spirit:enumeration> + <spirit:enumeration>AXI4LITE</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_dfc23cd1</spirit:name> + <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:enumeration>AXI4LITE</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_5714a2f2</spirit:name> + <spirit:enumeration spirit:text="Conversion: Incompatible bursts split into multiple transactions">2</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_940700f2</spirit:name> + <spirit:enumeration spirit:text="READ WRITE">READ_WRITE</spirit:enumeration> + <spirit:enumeration spirit:text="READ ONLY">READ_ONLY</spirit:enumeration> + <spirit:enumeration spirit:text="WRITE ONLY">WRITE_ONLY</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="blk_mem_gen" xilinx:version="8.3" xilinx:isGenerated="true" xilinx:checksum="4a61723d"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="f2c57a43"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="a5b90438"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_a_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axilite_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_r_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_w_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b_downsizer.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_simple_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wrap_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_incr_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wr_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_rd_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_cmd_translator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_b_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_r_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_aw_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_ar_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi_protocol_converter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_synthesisconstraints_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_auto_pc_3_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>synth/system_design_auto_pc_3.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/simulation/fifo_generator_vlog_beh.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + <spirit:exportedName>fifo_generator_vlog_beh</spirit:exportedName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="2bf3d781"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="6a3f9594"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_a_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axilite_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_r_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_w_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b_downsizer.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_simple_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wrap_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_incr_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wr_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_rd_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_cmd_translator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_b_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_r_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_aw_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_ar_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi_protocol_converter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>sim/system_design_auto_pc_3.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_auto_pc_3.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>The AXI Protocol Converter IP provides the facility to change the protocol of the connection between an AXI4/AXI3/AXI4-Lite master and slave. It will convert between AXI4->AXI3/AXI4-Lite, AXI3->AXI4/AXI4-Lite, AXI4-Lite->AXI4/AXI3.</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>SI_PROTOCOL</spirit:name> + <spirit:displayName>SI PROTOCOL</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MI_PROTOCOL</spirit:name> + <spirit:displayName>MI PROTOCOL</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_dfc23cd1" spirit:order="3">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:displayName>READ_WRITE Mode</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.READ_WRITE_MODE" spirit:choiceRef="choice_pairs_940700f2" spirit:order="4">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TRANSLATION_MODE</spirit:name> + <spirit:displayName>Translation Mode</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.TRANSLATION_MODE" spirit:choiceRef="choice_pairs_5714a2f2" spirit:order="5">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:displayName>Address Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ADDR_WIDTH" spirit:order="6" spirit:minimum="12" spirit:maximum="64" spirit:rangeType="long">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:displayName>Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.DATA_WIDTH" spirit:choiceRef="choice_list_40181835" spirit:order="7">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:displayName>ID Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ID_WIDTH" spirit:order="8" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:displayName>AWUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.AWUSER_WIDTH" spirit:order="9" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:displayName>ARUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ARUSER_WIDTH" spirit:order="10" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:displayName>RUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.RUSER_WIDTH" spirit:order="11" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:displayName>WUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.WUSER_WIDTH" spirit:order="12" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:displayName>BUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.BUSER_WIDTH" spirit:order="13" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">system_design_auto_pc_3</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>AXI Protocol Converter</xilinx:displayName> + <xilinx:coreRevision>9</xilinx:coreRevision> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="35a0dd09"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="64031b26"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="ac342c66"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="7ccb8402"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="c67d7c48"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc new file mode 100644 index 00000000..8808ceb4 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc @@ -0,0 +1,57 @@ +# (c) Copyright 2012-2017 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# DO NOT MODIFY THIS FILE. +# ######################################################### +# +# This XDC is used only in OOC mode for synthesis, implementation +# +# ######################################################### + + +create_clock -period 16 -name aclk [get_ports aclk] + + diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v new file mode 100644 index 00000000..77d59681 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v @@ -0,0 +1,765 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:26:55 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode funcsim +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v +// Design : system_design_auto_pc_3 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +(* NotValidForBitStream *) +module system_design_auto_pc_3 + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready); + (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) input aclk; + (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) input aresetn; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) input [11:0]s_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input [31:0]s_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [3:0]s_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input [2:0]s_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input [1:0]s_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [1:0]s_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input [3:0]s_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input [2:0]s_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input [3:0]s_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input s_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output s_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) input [11:0]s_axi_wid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input [31:0]s_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input [3:0]s_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input s_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) input s_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) output s_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) output [11:0]s_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) output [1:0]s_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) output s_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input s_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) input [11:0]s_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input [31:0]s_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [3:0]s_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input [2:0]s_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input [1:0]s_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [1:0]s_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input [3:0]s_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input [2:0]s_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input [3:0]s_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input s_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output s_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) output [11:0]s_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) output [31:0]s_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) output [1:0]s_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) output s_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) output s_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) input s_axi_rready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) output [11:0]m_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) output [31:0]m_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) output [7:0]m_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) output [2:0]m_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) output [1:0]m_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) output [0:0]m_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) output [3:0]m_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) output [2:0]m_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) output [3:0]m_axi_awregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) output [3:0]m_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) output m_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) input m_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) output [31:0]m_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) output [3:0]m_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) output m_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) output m_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) input m_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) input [11:0]m_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) input [1:0]m_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) input m_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) output m_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) output [11:0]m_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) output [31:0]m_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) output [7:0]m_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) output [2:0]m_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) output [1:0]m_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) output [0:0]m_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) output [3:0]m_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) output [2:0]m_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) output [3:0]m_axi_arregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) output [3:0]m_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) output m_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) input m_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) input [11:0]m_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) input [31:0]m_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) input [1:0]m_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) input m_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) input m_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) output m_axi_rready; + + wire aclk; + wire aresetn; + wire [31:0]m_axi_araddr; + wire [1:0]m_axi_arburst; + wire [3:0]m_axi_arcache; + wire [11:0]m_axi_arid; + wire [7:0]m_axi_arlen; + wire [0:0]m_axi_arlock; + wire [2:0]m_axi_arprot; + wire [3:0]m_axi_arqos; + wire m_axi_arready; + wire [3:0]m_axi_arregion; + wire [2:0]m_axi_arsize; + wire m_axi_arvalid; + wire [31:0]m_axi_awaddr; + wire [1:0]m_axi_awburst; + wire [3:0]m_axi_awcache; + wire [11:0]m_axi_awid; + wire [7:0]m_axi_awlen; + wire [0:0]m_axi_awlock; + wire [2:0]m_axi_awprot; + wire [3:0]m_axi_awqos; + wire m_axi_awready; + wire [3:0]m_axi_awregion; + wire [2:0]m_axi_awsize; + wire m_axi_awvalid; + wire [11:0]m_axi_bid; + wire m_axi_bready; + wire [1:0]m_axi_bresp; + wire m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire m_axi_rlast; + wire m_axi_rready; + wire [1:0]m_axi_rresp; + wire m_axi_rvalid; + wire [31:0]m_axi_wdata; + wire m_axi_wlast; + wire m_axi_wready; + wire [3:0]m_axi_wstrb; + wire m_axi_wvalid; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [3:0]s_axi_arlen; + wire [1:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire s_axi_arready; + wire [2:0]s_axi_arsize; + wire s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [3:0]s_axi_awlen; + wire [1:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire s_axi_awready; + wire [2:0]s_axi_awsize; + wire s_axi_awvalid; + wire [11:0]s_axi_bid; + wire s_axi_bready; + wire [1:0]s_axi_bresp; + wire s_axi_bvalid; + wire [31:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire s_axi_rlast; + wire s_axi_rready; + wire [1:0]s_axi_rresp; + wire s_axi_rvalid; + wire [31:0]s_axi_wdata; + wire [11:0]s_axi_wid; + wire s_axi_wlast; + wire s_axi_wready; + wire [3:0]s_axi_wstrb; + wire s_axi_wvalid; + wire [0:0]NLW_inst_m_axi_aruser_UNCONNECTED; + wire [0:0]NLW_inst_m_axi_awuser_UNCONNECTED; + wire [11:0]NLW_inst_m_axi_wid_UNCONNECTED; + wire [0:0]NLW_inst_m_axi_wuser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_buser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_ruser_UNCONNECTED; + + (* C_AXI_ADDR_WIDTH = "32" *) + (* C_AXI_ARUSER_WIDTH = "1" *) + (* C_AXI_AWUSER_WIDTH = "1" *) + (* C_AXI_BUSER_WIDTH = "1" *) + (* C_AXI_DATA_WIDTH = "32" *) + (* C_AXI_ID_WIDTH = "12" *) + (* C_AXI_RUSER_WIDTH = "1" *) + (* C_AXI_SUPPORTS_READ = "1" *) + (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) + (* C_AXI_SUPPORTS_WRITE = "1" *) + (* C_AXI_WUSER_WIDTH = "1" *) + (* C_FAMILY = "zynq" *) + (* C_IGNORE_ID = "0" *) + (* C_M_AXI_PROTOCOL = "0" *) + (* C_S_AXI_PROTOCOL = "1" *) + (* C_TRANSLATION_MODE = "2" *) + (* DowngradeIPIdentifiedWarnings = "yes" *) + (* P_AXI3 = "1" *) + (* P_AXI4 = "0" *) + (* P_AXILITE = "2" *) + (* P_AXILITE_SIZE = "3'b010" *) + (* P_CONVERSION = "2" *) + (* P_DECERR = "2'b11" *) + (* P_INCR = "2'b01" *) + (* P_PROTECTION = "1" *) + (* P_SLVERR = "2'b10" *) + system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter inst + (.aclk(aclk), + .aresetn(aresetn), + .m_axi_araddr(m_axi_araddr), + .m_axi_arburst(m_axi_arburst), + .m_axi_arcache(m_axi_arcache), + .m_axi_arid(m_axi_arid), + .m_axi_arlen(m_axi_arlen), + .m_axi_arlock(m_axi_arlock), + .m_axi_arprot(m_axi_arprot), + .m_axi_arqos(m_axi_arqos), + .m_axi_arready(m_axi_arready), + .m_axi_arregion(m_axi_arregion), + .m_axi_arsize(m_axi_arsize), + .m_axi_aruser(NLW_inst_m_axi_aruser_UNCONNECTED[0]), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awburst(m_axi_awburst), + .m_axi_awcache(m_axi_awcache), + .m_axi_awid(m_axi_awid), + .m_axi_awlen(m_axi_awlen), + .m_axi_awlock(m_axi_awlock), + .m_axi_awprot(m_axi_awprot), + .m_axi_awqos(m_axi_awqos), + .m_axi_awready(m_axi_awready), + .m_axi_awregion(m_axi_awregion), + .m_axi_awsize(m_axi_awsize), + .m_axi_awuser(NLW_inst_m_axi_awuser_UNCONNECTED[0]), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_bid(m_axi_bid), + .m_axi_bready(m_axi_bready), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'b0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .m_axi_rready(m_axi_rready), + .m_axi_rresp(m_axi_rresp), + .m_axi_ruser(1'b0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_wdata(m_axi_wdata), + .m_axi_wid(NLW_inst_m_axi_wid_UNCONNECTED[11:0]), + .m_axi_wlast(m_axi_wlast), + .m_axi_wready(m_axi_wready), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wuser(NLW_inst_m_axi_wuser_UNCONNECTED[0]), + .m_axi_wvalid(m_axi_wvalid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arburst(s_axi_arburst), + .s_axi_arcache(s_axi_arcache), + .s_axi_arid(s_axi_arid), + .s_axi_arlen(s_axi_arlen), + .s_axi_arlock(s_axi_arlock), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_arready(s_axi_arready), + .s_axi_arregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_arsize(s_axi_arsize), + .s_axi_aruser(1'b0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awburst(s_axi_awburst), + .s_axi_awcache(s_axi_awcache), + .s_axi_awid(s_axi_awid), + .s_axi_awlen(s_axi_awlen), + .s_axi_awlock(s_axi_awlock), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awready(s_axi_awready), + .s_axi_awregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_awsize(s_axi_awsize), + .s_axi_awuser(1'b0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(NLW_inst_s_axi_buser_UNCONNECTED[0]), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp), + .s_axi_ruser(NLW_inst_s_axi_ruser_UNCONNECTED[0]), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wid(s_axi_wid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wuser(1'b0), + .s_axi_wvalid(s_axi_wvalid)); +endmodule + +(* C_AXI_ADDR_WIDTH = "32" *) (* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) +(* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "12" *) +(* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_READ = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) +(* C_AXI_SUPPORTS_WRITE = "1" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_FAMILY = "zynq" *) +(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "0" *) (* C_S_AXI_PROTOCOL = "1" *) +(* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_axi_protocol_converter" *) +(* P_AXI3 = "1" *) (* P_AXI4 = "0" *) (* P_AXILITE = "2" *) +(* P_AXILITE_SIZE = "3'b010" *) (* P_CONVERSION = "2" *) (* P_DECERR = "2'b11" *) +(* P_INCR = "2'b01" *) (* P_PROTECTION = "1" *) (* P_SLVERR = "2'b10" *) +module system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awregion, + s_axi_awqos, + s_axi_awuser, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wuser, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_buser, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arregion, + s_axi_arqos, + s_axi_aruser, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_ruser, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awuser, + m_axi_awvalid, + m_axi_awready, + m_axi_wid, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wuser, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_buser, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_aruser, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_ruser, + m_axi_rvalid, + m_axi_rready); + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [3:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [1:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awregion; + input [3:0]s_axi_awqos; + input [0:0]s_axi_awuser; + input s_axi_awvalid; + output s_axi_awready; + input [11:0]s_axi_wid; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input s_axi_wlast; + input [0:0]s_axi_wuser; + input s_axi_wvalid; + output s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output [0:0]s_axi_buser; + output s_axi_bvalid; + input s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [3:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [1:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arregion; + input [3:0]s_axi_arqos; + input [0:0]s_axi_aruser; + input s_axi_arvalid; + output s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output s_axi_rlast; + output [0:0]s_axi_ruser; + output s_axi_rvalid; + input s_axi_rready; + output [11:0]m_axi_awid; + output [31:0]m_axi_awaddr; + output [7:0]m_axi_awlen; + output [2:0]m_axi_awsize; + output [1:0]m_axi_awburst; + output [0:0]m_axi_awlock; + output [3:0]m_axi_awcache; + output [2:0]m_axi_awprot; + output [3:0]m_axi_awregion; + output [3:0]m_axi_awqos; + output [0:0]m_axi_awuser; + output m_axi_awvalid; + input m_axi_awready; + output [11:0]m_axi_wid; + output [31:0]m_axi_wdata; + output [3:0]m_axi_wstrb; + output m_axi_wlast; + output [0:0]m_axi_wuser; + output m_axi_wvalid; + input m_axi_wready; + input [11:0]m_axi_bid; + input [1:0]m_axi_bresp; + input [0:0]m_axi_buser; + input m_axi_bvalid; + output m_axi_bready; + output [11:0]m_axi_arid; + output [31:0]m_axi_araddr; + output [7:0]m_axi_arlen; + output [2:0]m_axi_arsize; + output [1:0]m_axi_arburst; + output [0:0]m_axi_arlock; + output [3:0]m_axi_arcache; + output [2:0]m_axi_arprot; + output [3:0]m_axi_arregion; + output [3:0]m_axi_arqos; + output [0:0]m_axi_aruser; + output m_axi_arvalid; + input m_axi_arready; + input [11:0]m_axi_rid; + input [31:0]m_axi_rdata; + input [1:0]m_axi_rresp; + input m_axi_rlast; + input [0:0]m_axi_ruser; + input m_axi_rvalid; + output m_axi_rready; + + wire \<const0> ; + wire m_axi_arready; + wire m_axi_awready; + wire [11:0]m_axi_bid; + wire [1:0]m_axi_bresp; + wire [0:0]m_axi_buser; + wire m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire m_axi_rlast; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_ruser; + wire m_axi_rvalid; + wire m_axi_wready; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [3:0]s_axi_arlen; + wire [1:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire [2:0]s_axi_arsize; + wire [0:0]s_axi_aruser; + wire s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [3:0]s_axi_awlen; + wire [1:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire [2:0]s_axi_awsize; + wire [0:0]s_axi_awuser; + wire s_axi_awvalid; + wire s_axi_bready; + wire s_axi_rready; + wire [31:0]s_axi_wdata; + wire s_axi_wlast; + wire [3:0]s_axi_wstrb; + wire [0:0]s_axi_wuser; + wire s_axi_wvalid; + + assign m_axi_araddr[31:0] = s_axi_araddr; + assign m_axi_arburst[1:0] = s_axi_arburst; + assign m_axi_arcache[3:0] = s_axi_arcache; + assign m_axi_arid[11:0] = s_axi_arid; + assign m_axi_arlen[7] = \<const0> ; + assign m_axi_arlen[6] = \<const0> ; + assign m_axi_arlen[5] = \<const0> ; + assign m_axi_arlen[4] = \<const0> ; + assign m_axi_arlen[3:0] = s_axi_arlen; + assign m_axi_arlock[0] = s_axi_arlock[0]; + assign m_axi_arprot[2:0] = s_axi_arprot; + assign m_axi_arqos[3:0] = s_axi_arqos; + assign m_axi_arregion[3] = \<const0> ; + assign m_axi_arregion[2] = \<const0> ; + assign m_axi_arregion[1] = \<const0> ; + assign m_axi_arregion[0] = \<const0> ; + assign m_axi_arsize[2:0] = s_axi_arsize; + assign m_axi_aruser[0] = s_axi_aruser; + assign m_axi_arvalid = s_axi_arvalid; + assign m_axi_awaddr[31:0] = s_axi_awaddr; + assign m_axi_awburst[1:0] = s_axi_awburst; + assign m_axi_awcache[3:0] = s_axi_awcache; + assign m_axi_awid[11:0] = s_axi_awid; + assign m_axi_awlen[7] = \<const0> ; + assign m_axi_awlen[6] = \<const0> ; + assign m_axi_awlen[5] = \<const0> ; + assign m_axi_awlen[4] = \<const0> ; + assign m_axi_awlen[3:0] = s_axi_awlen; + assign m_axi_awlock[0] = s_axi_awlock[0]; + assign m_axi_awprot[2:0] = s_axi_awprot; + assign m_axi_awqos[3:0] = s_axi_awqos; + assign m_axi_awregion[3] = \<const0> ; + assign m_axi_awregion[2] = \<const0> ; + assign m_axi_awregion[1] = \<const0> ; + assign m_axi_awregion[0] = \<const0> ; + assign m_axi_awsize[2:0] = s_axi_awsize; + assign m_axi_awuser[0] = s_axi_awuser; + assign m_axi_awvalid = s_axi_awvalid; + assign m_axi_bready = s_axi_bready; + assign m_axi_rready = s_axi_rready; + assign m_axi_wdata[31:0] = s_axi_wdata; + assign m_axi_wid[11] = \<const0> ; + assign m_axi_wid[10] = \<const0> ; + assign m_axi_wid[9] = \<const0> ; + assign m_axi_wid[8] = \<const0> ; + assign m_axi_wid[7] = \<const0> ; + assign m_axi_wid[6] = \<const0> ; + assign m_axi_wid[5] = \<const0> ; + assign m_axi_wid[4] = \<const0> ; + assign m_axi_wid[3] = \<const0> ; + assign m_axi_wid[2] = \<const0> ; + assign m_axi_wid[1] = \<const0> ; + assign m_axi_wid[0] = \<const0> ; + assign m_axi_wlast = s_axi_wlast; + assign m_axi_wstrb[3:0] = s_axi_wstrb; + assign m_axi_wuser[0] = s_axi_wuser; + assign m_axi_wvalid = s_axi_wvalid; + assign s_axi_arready = m_axi_arready; + assign s_axi_awready = m_axi_awready; + assign s_axi_bid[11:0] = m_axi_bid; + assign s_axi_bresp[1:0] = m_axi_bresp; + assign s_axi_buser[0] = m_axi_buser; + assign s_axi_bvalid = m_axi_bvalid; + assign s_axi_rdata[31:0] = m_axi_rdata; + assign s_axi_rid[11:0] = m_axi_rid; + assign s_axi_rlast = m_axi_rlast; + assign s_axi_rresp[1:0] = m_axi_rresp; + assign s_axi_ruser[0] = m_axi_ruser; + assign s_axi_rvalid = m_axi_rvalid; + assign s_axi_wready = m_axi_wready; + GND GND + (.G(\<const0> )); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl new file mode 100644 index 00000000..d1ade2d4 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl @@ -0,0 +1,580 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:26:55 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode funcsim +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl +-- Design : system_design_auto_pc_3 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 12; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_SUPPORTS_READ : integer; + attribute C_AXI_SUPPORTS_READ of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_AXI_SUPPORTS_WRITE : integer; + attribute C_AXI_SUPPORTS_WRITE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "zynq"; + attribute C_IGNORE_ID : integer; + attribute C_IGNORE_ID of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_M_AXI_PROTOCOL : integer; + attribute C_M_AXI_PROTOCOL of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_S_AXI_PROTOCOL : integer; + attribute C_S_AXI_PROTOCOL of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_TRANSLATION_MODE : integer; + attribute C_TRANSLATION_MODE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "yes"; + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_9_axi_protocol_converter"; + attribute P_AXI3 : integer; + attribute P_AXI3 of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "3'b010"; + attribute P_CONVERSION : integer; + attribute P_CONVERSION of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute P_DECERR : string; + attribute P_DECERR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b11"; + attribute P_INCR : string; + attribute P_INCR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b01"; + attribute P_PROTECTION : integer; + attribute P_PROTECTION of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute P_SLVERR : string; + attribute P_SLVERR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b10"; +end system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter; + +architecture STRUCTURE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter is + signal \<const0>\ : STD_LOGIC; + signal \^m_axi_arready\ : STD_LOGIC; + signal \^m_axi_awready\ : STD_LOGIC; + signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_bvalid\ : STD_LOGIC; + signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_rlast\ : STD_LOGIC; + signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_rvalid\ : STD_LOGIC; + signal \^m_axi_wready\ : STD_LOGIC; + signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_arvalid\ : STD_LOGIC; + signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_awvalid\ : STD_LOGIC; + signal \^s_axi_bready\ : STD_LOGIC; + signal \^s_axi_rready\ : STD_LOGIC; + signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_wlast\ : STD_LOGIC; + signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_wvalid\ : STD_LOGIC; +begin + \^m_axi_arready\ <= m_axi_arready; + \^m_axi_awready\ <= m_axi_awready; + \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); + \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); + \^m_axi_buser\(0) <= m_axi_buser(0); + \^m_axi_bvalid\ <= m_axi_bvalid; + \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); + \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); + \^m_axi_rlast\ <= m_axi_rlast; + \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); + \^m_axi_ruser\(0) <= m_axi_ruser(0); + \^m_axi_rvalid\ <= m_axi_rvalid; + \^m_axi_wready\ <= m_axi_wready; + \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); + \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); + \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); + \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); + \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); + \^s_axi_arlock\(0) <= s_axi_arlock(0); + \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); + \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); + \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); + \^s_axi_aruser\(0) <= s_axi_aruser(0); + \^s_axi_arvalid\ <= s_axi_arvalid; + \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); + \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); + \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); + \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); + \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); + \^s_axi_awlock\(0) <= s_axi_awlock(0); + \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); + \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); + \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); + \^s_axi_awuser\(0) <= s_axi_awuser(0); + \^s_axi_awvalid\ <= s_axi_awvalid; + \^s_axi_bready\ <= s_axi_bready; + \^s_axi_rready\ <= s_axi_rready; + \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); + \^s_axi_wlast\ <= s_axi_wlast; + \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); + \^s_axi_wuser\(0) <= s_axi_wuser(0); + \^s_axi_wvalid\ <= s_axi_wvalid; + m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); + m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); + m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); + m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); + m_axi_arlen(7) <= \<const0>\; + m_axi_arlen(6) <= \<const0>\; + m_axi_arlen(5) <= \<const0>\; + m_axi_arlen(4) <= \<const0>\; + m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); + m_axi_arlock(0) <= \^s_axi_arlock\(0); + m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); + m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); + m_axi_arregion(3) <= \<const0>\; + m_axi_arregion(2) <= \<const0>\; + m_axi_arregion(1) <= \<const0>\; + m_axi_arregion(0) <= \<const0>\; + m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); + m_axi_aruser(0) <= \^s_axi_aruser\(0); + m_axi_arvalid <= \^s_axi_arvalid\; + m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); + m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); + m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); + m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); + m_axi_awlen(7) <= \<const0>\; + m_axi_awlen(6) <= \<const0>\; + m_axi_awlen(5) <= \<const0>\; + m_axi_awlen(4) <= \<const0>\; + m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); + m_axi_awlock(0) <= \^s_axi_awlock\(0); + m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); + m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); + m_axi_awregion(3) <= \<const0>\; + m_axi_awregion(2) <= \<const0>\; + m_axi_awregion(1) <= \<const0>\; + m_axi_awregion(0) <= \<const0>\; + m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); + m_axi_awuser(0) <= \^s_axi_awuser\(0); + m_axi_awvalid <= \^s_axi_awvalid\; + m_axi_bready <= \^s_axi_bready\; + m_axi_rready <= \^s_axi_rready\; + m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); + m_axi_wid(11) <= \<const0>\; + m_axi_wid(10) <= \<const0>\; + m_axi_wid(9) <= \<const0>\; + m_axi_wid(8) <= \<const0>\; + m_axi_wid(7) <= \<const0>\; + m_axi_wid(6) <= \<const0>\; + m_axi_wid(5) <= \<const0>\; + m_axi_wid(4) <= \<const0>\; + m_axi_wid(3) <= \<const0>\; + m_axi_wid(2) <= \<const0>\; + m_axi_wid(1) <= \<const0>\; + m_axi_wid(0) <= \<const0>\; + m_axi_wlast <= \^s_axi_wlast\; + m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); + m_axi_wuser(0) <= \^s_axi_wuser\(0); + m_axi_wvalid <= \^s_axi_wvalid\; + s_axi_arready <= \^m_axi_arready\; + s_axi_awready <= \^m_axi_awready\; + s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); + s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); + s_axi_buser(0) <= \^m_axi_buser\(0); + s_axi_bvalid <= \^m_axi_bvalid\; + s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); + s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); + s_axi_rlast <= \^m_axi_rlast\; + s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); + s_axi_ruser(0) <= \^m_axi_ruser\(0); + s_axi_rvalid <= \^m_axi_rvalid\; + s_axi_wready <= \^m_axi_wready\; +GND: unisim.vcomponents.GND + port map ( + G => \<const0>\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_auto_pc_3 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of system_design_auto_pc_3 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of system_design_auto_pc_3 : entity is "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}"; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_auto_pc_3 : entity is "yes"; + attribute X_CORE_INFO : string; + attribute X_CORE_INFO of system_design_auto_pc_3 : entity is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; +end system_design_auto_pc_3; + +architecture STRUCTURE of system_design_auto_pc_3 is + signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of inst : label is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of inst : label is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of inst : label is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of inst : label is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of inst : label is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of inst : label is 12; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of inst : label is 1; + attribute C_AXI_SUPPORTS_READ : integer; + attribute C_AXI_SUPPORTS_READ of inst : label is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; + attribute C_AXI_SUPPORTS_WRITE : integer; + attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of inst : label is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of inst : label is "zynq"; + attribute C_IGNORE_ID : integer; + attribute C_IGNORE_ID of inst : label is 0; + attribute C_M_AXI_PROTOCOL : integer; + attribute C_M_AXI_PROTOCOL of inst : label is 0; + attribute C_S_AXI_PROTOCOL : integer; + attribute C_S_AXI_PROTOCOL of inst : label is 1; + attribute C_TRANSLATION_MODE : integer; + attribute C_TRANSLATION_MODE of inst : label is 2; + attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; + attribute P_AXI3 : integer; + attribute P_AXI3 of inst : label is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of inst : label is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of inst : label is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of inst : label is "3'b010"; + attribute P_CONVERSION : integer; + attribute P_CONVERSION of inst : label is 2; + attribute P_DECERR : string; + attribute P_DECERR of inst : label is "2'b11"; + attribute P_INCR : string; + attribute P_INCR of inst : label is "2'b01"; + attribute P_PROTECTION : integer; + attribute P_PROTECTION of inst : label is 1; + attribute P_SLVERR : string; + attribute P_SLVERR of inst : label is "2'b10"; +begin +inst: entity work.system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter + port map ( + aclk => aclk, + aresetn => aresetn, + m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), + m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), + m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), + m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), + m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), + m_axi_arlock(0) => m_axi_arlock(0), + m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), + m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), + m_axi_arready => m_axi_arready, + m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), + m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), + m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), + m_axi_arvalid => m_axi_arvalid, + m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), + m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), + m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), + m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), + m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), + m_axi_awlock(0) => m_axi_awlock(0), + m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), + m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), + m_axi_awready => m_axi_awready, + m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), + m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), + m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), + m_axi_awvalid => m_axi_awvalid, + m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), + m_axi_bready => m_axi_bready, + m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), + m_axi_buser(0) => '0', + m_axi_bvalid => m_axi_bvalid, + m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), + m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), + m_axi_rlast => m_axi_rlast, + m_axi_rready => m_axi_rready, + m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), + m_axi_ruser(0) => '0', + m_axi_rvalid => m_axi_rvalid, + m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), + m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), + m_axi_wlast => m_axi_wlast, + m_axi_wready => m_axi_wready, + m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), + m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), + m_axi_wvalid => m_axi_wvalid, + s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), + s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), + s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), + s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), + s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), + s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), + s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), + s_axi_arready => s_axi_arready, + s_axi_arregion(3 downto 0) => B"0000", + s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), + s_axi_aruser(0) => '0', + s_axi_arvalid => s_axi_arvalid, + s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), + s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), + s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), + s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), + s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), + s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), + s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), + s_axi_awready => s_axi_awready, + s_axi_awregion(3 downto 0) => B"0000", + s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), + s_axi_awuser(0) => '0', + s_axi_awvalid => s_axi_awvalid, + s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), + s_axi_bready => s_axi_bready, + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), + s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), + s_axi_bvalid => s_axi_bvalid, + s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast => s_axi_rlast, + s_axi_rready => s_axi_rready, + s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), + s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), + s_axi_rvalid => s_axi_rvalid, + s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), + s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), + s_axi_wlast => s_axi_wlast, + s_axi_wready => s_axi_wready, + s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), + s_axi_wuser(0) => '0', + s_axi_wvalid => s_axi_wvalid + ); +end STRUCTURE; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v new file mode 100644 index 00000000..2cde4439 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v @@ -0,0 +1,98 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:26:55 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode synth_stub +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v +// Design : system_design_auto_pc_3 +// Purpose : Stub declaration of top-level module interface +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +module system_design_auto_pc_3(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awid, m_axi_awaddr, m_axi_awlen, m_axi_awsize, m_axi_awburst, m_axi_awlock, m_axi_awcache, m_axi_awprot, m_axi_awregion, m_axi_awqos, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wlast, m_axi_wvalid, m_axi_wready, m_axi_bid, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_arid, m_axi_araddr, m_axi_arlen, m_axi_arsize, m_axi_arburst, m_axi_arlock, m_axi_arcache, m_axi_arprot, m_axi_arregion, m_axi_arqos, m_axi_arvalid, m_axi_arready, m_axi_rid, m_axi_rdata, m_axi_rresp, m_axi_rlast, m_axi_rvalid, m_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awid[11:0],m_axi_awaddr[31:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awlock[0:0],m_axi_awcache[3:0],m_axi_awprot[2:0],m_axi_awregion[3:0],m_axi_awqos[3:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wlast,m_axi_wvalid,m_axi_wready,m_axi_bid[11:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_arid[11:0],m_axi_araddr[31:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arlock[0:0],m_axi_arcache[3:0],m_axi_arprot[2:0],m_axi_arregion[3:0],m_axi_arqos[3:0],m_axi_arvalid,m_axi_arready,m_axi_rid[11:0],m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_rready" */; + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [3:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [1:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awqos; + input s_axi_awvalid; + output s_axi_awready; + input [11:0]s_axi_wid; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input s_axi_wlast; + input s_axi_wvalid; + output s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output s_axi_bvalid; + input s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [3:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [1:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arqos; + input s_axi_arvalid; + output s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output s_axi_rlast; + output s_axi_rvalid; + input s_axi_rready; + output [11:0]m_axi_awid; + output [31:0]m_axi_awaddr; + output [7:0]m_axi_awlen; + output [2:0]m_axi_awsize; + output [1:0]m_axi_awburst; + output [0:0]m_axi_awlock; + output [3:0]m_axi_awcache; + output [2:0]m_axi_awprot; + output [3:0]m_axi_awregion; + output [3:0]m_axi_awqos; + output m_axi_awvalid; + input m_axi_awready; + output [31:0]m_axi_wdata; + output [3:0]m_axi_wstrb; + output m_axi_wlast; + output m_axi_wvalid; + input m_axi_wready; + input [11:0]m_axi_bid; + input [1:0]m_axi_bresp; + input m_axi_bvalid; + output m_axi_bready; + output [11:0]m_axi_arid; + output [31:0]m_axi_araddr; + output [7:0]m_axi_arlen; + output [2:0]m_axi_arsize; + output [1:0]m_axi_arburst; + output [0:0]m_axi_arlock; + output [3:0]m_axi_arcache; + output [2:0]m_axi_arprot; + output [3:0]m_axi_arregion; + output [3:0]m_axi_arqos; + output m_axi_arvalid; + input m_axi_arready; + input [11:0]m_axi_rid; + input [31:0]m_axi_rdata; + input [1:0]m_axi_rresp; + input m_axi_rlast; + input m_axi_rvalid; + output m_axi_rready; +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl new file mode 100644 index 00000000..ba30de08 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl @@ -0,0 +1,108 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:26:55 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode synth_stub +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl +-- Design : system_design_auto_pc_3 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity system_design_auto_pc_3 is + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + +end system_design_auto_pc_3; + +architecture stub of system_design_auto_pc_3 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awid[11:0],m_axi_awaddr[31:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awlock[0:0],m_axi_awcache[3:0],m_axi_awprot[2:0],m_axi_awregion[3:0],m_axi_awqos[3:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wlast,m_axi_wvalid,m_axi_wready,m_axi_bid[11:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_arid[11:0],m_axi_araddr[31:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arlock[0:0],m_axi_arcache[3:0],m_axi_arprot[2:0],m_axi_arregion[3:0],m_axi_arqos[3:0],m_axi_arvalid,m_axi_arready,m_axi_rid[11:0],m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_rready"; +attribute X_CORE_INFO : string; +attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; +begin +end; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci index b4db82ff..c3a1a383 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci @@ -268,7 +268,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_HAS_REGSLICE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_ISSUANCE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_SECURE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_SI">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCHK_MAX_RD_BURSTS">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCHK_MAX_WR_BURSTS">2</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml index b8e9cd43..14af4e4d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml @@ -58,7 +58,7 @@ <spirit:parameter> <spirit:name>NUM_MI</spirit:name> <spirit:displayName>Number of Master Interfaces</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_MI" spirit:order="3" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">1</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_MI" spirit:order="3" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">2</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>STRATEGY</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd new file mode 100644 index 00000000..a87d0835 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd @@ -0,0 +1,195 @@ +-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-- DO NOT MODIFY THIS FILE. + +-- IP VLNV: cern.ch:ip:axi_wb_i2c_master:3.2.0 +-- IP Revision: 8 + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.numeric_std.ALL; + +LIBRARY hdl_lib; +USE hdl_lib.axi_wb_i2c_master; + +ENTITY system_design_axi_wb_i2c_master_1_0 IS + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); +END system_design_axi_wb_i2c_master_1_0; + +ARCHITECTURE system_design_axi_wb_i2c_master_1_0_arch OF system_design_axi_wb_i2c_master_1_0 IS + ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; + ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "yes"; + COMPONENT axi_wb_i2c_master IS + GENERIC ( + C_S00_AXI_DATA_WIDTH : INTEGER; + C_S00_AXI_ADDR_WIDTH : INTEGER + ); + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); + END COMPONENT axi_wb_i2c_master; + ATTRIBUTE X_INTERFACE_INFO : STRING; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_T"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_T"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; +BEGIN + U0 : axi_wb_i2c_master + GENERIC MAP ( + C_S00_AXI_DATA_WIDTH => 32, + C_S00_AXI_ADDR_WIDTH => 32 + ) + PORT MAP ( + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + axi_int_o => axi_int_o, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_awaddr => s00_axi_awaddr, + s00_axi_awprot => s00_axi_awprot, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_awready => s00_axi_awready, + s00_axi_wdata => s00_axi_wdata, + s00_axi_wstrb => s00_axi_wstrb, + s00_axi_wvalid => s00_axi_wvalid, + s00_axi_wready => s00_axi_wready, + s00_axi_bresp => s00_axi_bresp, + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_araddr => s00_axi_araddr, + s00_axi_arprot => s00_axi_arprot, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_arready => s00_axi_arready, + s00_axi_rdata => s00_axi_rdata, + s00_axi_rresp => s00_axi_rresp, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_rready => s00_axi_rready + ); +END system_design_axi_wb_i2c_master_1_0_arch; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc new file mode 100755 index 00000000..ed65d9e8 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc @@ -0,0 +1 @@ +create_clock -period 10.000 -name axi_aclk -waveform {0.000 5.000} [get_ports s00_axi_aclk] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd new file mode 100644 index 00000000..ed6c0347 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd @@ -0,0 +1,199 @@ +-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-- DO NOT MODIFY THIS FILE. + +-- IP VLNV: cern.ch:ip:axi_wb_i2c_master:3.2.0 +-- IP Revision: 8 + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.numeric_std.ALL; + +LIBRARY hdl_lib; +USE hdl_lib.axi_wb_i2c_master; + +ENTITY system_design_axi_wb_i2c_master_1_0 IS + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); +END system_design_axi_wb_i2c_master_1_0; + +ARCHITECTURE system_design_axi_wb_i2c_master_1_0_arch OF system_design_axi_wb_i2c_master_1_0 IS + ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; + ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "yes"; + COMPONENT axi_wb_i2c_master IS + GENERIC ( + C_S00_AXI_DATA_WIDTH : INTEGER; + C_S00_AXI_ADDR_WIDTH : INTEGER + ); + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); + END COMPONENT axi_wb_i2c_master; + ATTRIBUTE X_CORE_INFO : STRING; + ATTRIBUTE X_CORE_INFO OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "axi_wb_i2c_master,Vivado 2016.2"; + ATTRIBUTE CHECK_LICENSE_TYPE : STRING; + ATTRIBUTE CHECK_LICENSE_TYPE OF system_design_axi_wb_i2c_master_1_0_arch : ARCHITECTURE IS "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}"; + ATTRIBUTE X_INTERFACE_INFO : STRING; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_T"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_T"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; +BEGIN + U0 : axi_wb_i2c_master + GENERIC MAP ( + C_S00_AXI_DATA_WIDTH => 32, + C_S00_AXI_ADDR_WIDTH => 32 + ) + PORT MAP ( + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + axi_int_o => axi_int_o, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_awaddr => s00_axi_awaddr, + s00_axi_awprot => s00_axi_awprot, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_awready => s00_axi_awready, + s00_axi_wdata => s00_axi_wdata, + s00_axi_wstrb => s00_axi_wstrb, + s00_axi_wvalid => s00_axi_wvalid, + s00_axi_wready => s00_axi_wready, + s00_axi_bresp => s00_axi_bresp, + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_araddr => s00_axi_araddr, + s00_axi_arprot => s00_axi_arprot, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_arready => s00_axi_arready, + s00_axi_rdata => s00_axi_rdata, + s00_axi_rresp => s00_axi_rresp, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_rready => s00_axi_rready + ); +END system_design_axi_wb_i2c_master_1_0_arch; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..e11d020252edf7486db98e9c14676157968ef93a GIT binary patch literal 79211 zcma%hQ;;aZvhCQmZQHhO+qP}n_RO9=wr$(CZM=Qse!O?y*XfAWofXxU(V4X}`(u@Y zG%yGX000040ETvw^gjat=znhiE{1<__H=eJwbeH<b+$CO(>L_6)OR=1w`4Nbw>A7X z;iS)~&!BIqVBzU3@8Ck`Vr&Bppzt65gh?kB-T?ss20#G-sQycT&MvM-bZ)LHlXja7 z2wj)dXq=>h)RS}wCA3}?UK6wsQ3V1D2-Jx!k#j|LC0}o!NhvmpO)iLS@#~mQ;97M1 zt#&gct=!Om>}TcmSB5Vjf22q+7lHIHsKWK7`m^mB?#N;ag7-YrXw&b^$zdaWjc4mt zyHtVV7H$gWO#-8(2n$m?!eU)Yp|pu%GMsJQ2RI6rwchE+yoezXq(Ya;Q$9ejW$zxc zyyMhK=O8TRGyvf^v1qKp#XZnTQg?#pX;q7gosknB10Wc&`Zmf-#EUMnAFqB8<*FA* zG8PN>$+z|;8;r+~I*h#KrK8<F1#0^FWqW#pN5iXoYdJeV@*Ld@ZKv%5Y=)z}@w22D z+XE&Q;l(%cIrXr&?icprYWing)t@z$Q3AoJpD{&`UW_g&99`H;IqW1hIrs{ac;pfU z{P2*(<IsxdG^mOh0?W@9AgziREa{WoT4(EZq>hxR(vu)r(xi=lP<9IO{Y>^~mYQBV zV9%91njPijk8FURH>Eefu*jVNH%`0K>edXmp2O_=k=O0!h4&9HFTC$>wcxDdt1cDi z`7eg1>@pLp3z2p#<7hQV=tfv?)3s|fs{$+OeTHQE<&Z08)LU6{drw^4?XtZ~4Z1fI zyFtlCp7dgx<IjRvPwmiRxENgwuqWFbBD36k3$FP8FM+`R5poZe+RW=8ank>R>Axh9 zrJcU9y`77xhYOvDiLvaIEYyGijKIFHd?Iwr5OWG0RA>}GHRb?=7$z5sEt!<JH!V?{ zFHcVeP7I}4Byd|K>p1L7o3Kb5-<kzdGZ1LvKoZ3e&s&v^?y>VGl${?Ln}z%mUd63- zRd!AA<Nbn9;20;mgVXQp7KI6xUUjJdz)AVML|5(3|Bd8-O6!D3rl9FxDfs*=1@M27 zm>4_IdDz<IrcB#q3!sFqJ}bv)G)E)&LCFz~BKq4^Flb=lxU*snB8UwG2le;+ek27g zi*84qr#YW|wy6V0m)~dvAlG0kEr<)Ek?vb^YHM)H^{A5;!d%g1k_E69ntoGA3^=_Q z8jjSM3-jw`%R%_K41GJ=@}=L9?!WNr59fjVr;fOM0!^i%P-0jYln_j4-!+#4PzbEx zs~ih1_ie-l5RHEXtcL{RYR`|YB_c~nJ9y2Cg8D4+@8UpwvZyIekLaaO`Abx#nAU#i z^eTq&Q2k-Fn}Hn^&o~I{Qi|XL+J#G?faEFSt0;sSCA3*65Dtt@OSLm-(Oj`VWV)Jz z&_Xj&lf=BCdtlT|1PVUWd6s`^0mUVL>VaI;2QX4WH?NmY_PaO5N;Dj7sM@Cf*xptR zzZ9mvBR0pskAMgrXXw+n$@&Pl{j{;cfs0%_su)mTQzvt@aUTvIv1Ehoau!;J?&-5d z$;JU%t9v2HBjd`mz2yh>)RJ+&@`Y<#o3~V1Z56_!E0pAo#n}LZZ<CuBscF22sj-i; zd2mKnkuez`((PT-algODcXxFB{Os+>nf3$v&yA(1@xL1Ux3RHc006ZAB}M<Y$61)z z<f`ggZ!)0xzSg!qS%!|RokM7Ut28J81;$mUbVH6SElTT->x@f&-IAMXXO$!(J&<wW zb8(^T!-Fxf*~+(hxGMPlvSGnQJAw4p3wHMeR@OMT*<)pZ2ZgpbM5RfcXa)yyJTv6V zkg2X{Hgg{L=K0mF9}c=qO*lQV_U2Dl(I{^eUiQDNRJxO;4u_ig#M+Qzz*)b{@CsC$ zfEG*x_+dHSfqp>}?6ufo4B!@o{q=7S$BNII88%1{AeHy@W;wpzk8t<EvqD$iELvmf zyF9r*yVeGJpU9PSR@0H<DyTahe>-=)@$RA(%u00wgKFbbX)zgqj-w8T+)rYskRKu% zHqECqAZ<UK2Z%hQzLXdWb=*GRJ3T`4qq`<NKK${pyZ`-Zdfx@OkIA9S<J9GH=F-J2 zXYMHWXO4GCACpUO>50vYa;<iqZQH44@SOR1kdb?)=2Gp9L(y1;WS~El7`!-~L5!O5 zG_1|E@c14H(fpJc$PjbXy;f*pux>Y@4a42<g<Oi(UFlJbKqA`i*OO`5t5ELjVEqG2 z**l;&0QPy+89l07%g6hCf|;&^1<{-7<DqIQM5_?)_vZ@!KiP1AKWB6Qj|G&l0089w z9UJJ}P0WT<1?>h25JX>jVHu|KGcMOOCdd-CC{Jh0w_TUz#4z_k4d%QV(teHo{B&<y z75M>_W!Q%KdOEWq*>JqHTEn8o866t@3$#u<k(J%4a};Yn9`gYA3+inb!ga(beRiw? zT(r&-s6?%j0#i-rOePOn@%^MgW27~|^ZIvW|2AG#`w{itKQ-)0`e=Tojha7`KK`)2 ze`)wk?%^Nl0}OaV-kxI5Yv}J@+RQ{g`_B(9jvgZ9^2>$yfjnRhnI?=9(1r3mt>HXi zi;yPn5>Q2dfhk%Zu}4f0_X?^IdBPYmj~m``r=EP{%oTlc7x4oAXVz|rE<7bM0RTdk z|7GsK%$l=>p@V6u4y1?9aH3i~OYzF0gca{Q1#npZ$ZIL)cmv4EoF(KX1J?K&2ID;A z8qm7{(i$9~2{tlB`ZOZL-dYF=0)*xxVgoiBPH5=#JE-X(A^~6`Fi;=?gFz6Xjk;@Z z=4)QP81sU7^~JC1uj;n$wyrLjPEvB(%Z}h<jOsS;Hg*dLR&h4)zUy^%QDD`}&r94( z&)Jv2@rbOpcjs!&C42}}@NnQmULFAJCs6l%kHxL&d`+k_^TNV{+1PI>twg#%i2IZn zC+%Mw)k35R_pnrjNuRGf{(PPsU!dQC4?{CORZnTplB#w)Q&;1XA}>uidFIBfNo=Cr z%Z*NUh#47wYSzt9uFcLO&Mjjz)ll_{Sx=trsT%95h$U84)z$RcnPbc{L0hX6$J!s9 z8oQb*xKqdc%<U4B_0pmM^DNK$mJi*&_RR8Qjx^NO#a`$(!sirC>Di-ovl=Gc@Gqo> zrHkyeWz~~M9OlslqLP5qk#?5e@y970a+SW&Y2D}Pqj#lgCt2aLkchQ~iBnLsxG)qa zoO}bhDjIn8G<8+=^YbX*EQ?Q*cDY`tH<I(SD5v$}qUJKHuIf^^)O>k1PQytp6JQxS zfAK65f;W`K+TNwS#i%~VGX2St#M$_C<-+dt7*|=&FA!$v^cbyopQ^7KT>_CiWYThA zj`62WRwvIs7Nf!1u|slWm@`Ed)uRW?hsM90y5ca;4DXa}I;e?{R@oPSB%t45oP$2J z)Rm1t75dCah()vPENZG}4jWa5&RfRKM~Q@lL4D%#22E*CRl~EWv?ng1-*lMicS_pF z_a7a|6dXl8#zFXYQ5^aI2+5-UQI|P^o&pjlq4Yu0x5$D!&ccF}-;bV(v~&Gn%0$ls zy-yUS@gaiGdY8*N6HeL@L`YONejwsp5#yAJiknC~`H<{dWBGH$PM$N0zDUC=vee3> zCv;tB2i+q=*YMn3*(`de1e6j4(%_MKo+@BHZQ@s@86YHGQpmHVv|Y_fcE2d2yubhP zkh+XDb1H2ra=u49)HiinKAKn{G{fM0bPM4|-tFU8h75^z*XS^8MV#7v92>j*jMy#* z+Qrku-&{;q8(}-LW<X`hkQmjG=HRPq+PtS)wrgdeAh)+%76I6yO;?*3sJ+^jlw&b| zI++8UnBBF)i4eI|xcTQAm~%67ERbe2u(}1_1ge&ue4{?2We>Kjw}q;w+sU)oGDJNb zI}2+Wg@sf8>0+dcrf1-3rL|>g$>iQy!pa7rYyBx11+tZ8Rkh{lzAjT&b7xCN>=LWd zBl=u-c}b_8E4npnCu~KPwN%rmG%4-hs#KM<arMme{gV%yo{g#MYWrabgfAYVQS0KO zp2{xL<XXnX<I?@p(`=oh{v_4@y8PB*ELA_hz-5SWdc;49G}!v}Sfvf~a<N4Sh%Q4| zNd=2m1cK?y0znna6tLJ|LW|<DVEp=j9LKLuFDn$4WQMlu!$^9@tU^p)qbt279fjF$ z8JSZxvIbWdb1`93CvG@>gu6)N6JwX4Xh#H3?_}@OzT6<2(ZH8Iyh=;SmS<-_D+HrF zyLPA4`qvcn*0+vM)BkltNmi&gQ>F__0V37E4|hpL{p{I%sHpEg(mYhwj^48S+Q*C~ z!WEigZmuPzYCn2v+?oEWH>Q^&f9<Pu;@p*$heiC_Gizzq<|@CePf#j#$Zheq!yl=q zV$9ajzNFeak0^+_cpBbR%UXr>>|;TF0y5i=_m^W+r<W&Hq!Nc)8JUemO?6%Ac4sWS zj+WBHW}hE%r>1Odb#~TV?25rN!e?LZw|D&x99qK7X%2wB;px!z7>9<u>a!zl9C4A; zqp62yGdxlw;WO6yMZ(ucLl8(4P7YUZ_#${8IpvWp6VN0YLChfWq$SjY#384Y!~#<k z2~1I%;?!O=CMXh^ph{wbR^}(p)10`7K*f3{Hf{Kkj33CSU%-v*ni-Ap^3V=|+3{1D zSwwZFhk{{#c?O1TIXwA|4*bZ<qR2BigpVS$Gv64IrEQbZvk7@UX%f;5Jr;VN;4Q5H z@!N#y7HAX+CWh4RS&;P^3?p)7ss*v>8SZt-E=tLzheg?_G3lu5Ngp&K*b`2e8Q@3s zIVkVbuG61Z>6!H{pP_Z|#f9Yt_uNbDorisohDD9I#OC2M036xiJ{gd`Gd#i~e~aGV zAsab0Txh`K;|S66ss0Yq;GRS=W2KQ=nAVnF$&#TpEB*_YEh>mvFVhqS^lx=+QG$q! zbuonnt}P0v`Ia}$KhyM|`MNVFrbvMMzb~)Mi&=_O3T_GsURr2Vl+d$9S(uZ&5_|94 z#n?`Uz$PiT9EXkEC2P^QTOK>Tzdge9MF#S1PJ-)wd1S@kda8i+^oRSo-Li!hTHo~t zQ!t@`hbcT2co-lIyCCguv5$#>MG14;`{qE>M-9f~Vj2vHL-ls(7G?G|yp37k+92k% z!4+De`SxCeNg5#Z!z)gaJ!w;XC~p0tU`-O<OQJYS?jxc+Dr`MtL;(#aUWS)$`#9~V zQ)CT&j?*90Dhiy@M#vG^xAb<3%8)qFV`YaN?8PToGtJ*@(<>4@p`YELIPKf2aKH@* zwkq)Cq3j6UgIv6jMJY?}C%=}~Bd5}B@J6EG39$&6bRzI#c4K0BJwP$Wj?{f0<})$o z;`rYXQ|1X(@r$FIB>=TEB+vC0azI0d>Eo;unH9V2nQ_)}l5CTgwwpZRHnGGH5mU^F z-}mLVu|bdhXfON-F@5BRAH9kmJ?qz`D=SEJ^NBJ_K(!VJ6MCx7nla%x!FUIqBUTIS zWm3D}R<Bnx6tf)C$lGSc)TJ9ClHqzk9ye3<f#t1#E8`jj4`N867zl?u#4XI%fA6Lm z7rbHl;r1%~z+MlaD&IGQ56d9s4<;%G!0yIVfUf-rb3~#T1hbC-+3acJz=N&@f;;e_ z7!39b*i-bm#TKwa)diX>sK+oFOeq}&m~()AHP}kW`n=u1b(e<SY~pPyao_sr#CzP4 z16xT`VrPa;jFEnt1g=si*cp^H^%<e*)ytmOX#e<@GSywCWds(2E06#W#*;G$bOb8n zfc;o7Gk64>8dykRj~xK#!|RmJhRntd^Gm`DRDqRopZ%H?<6|g1F`>Ll$QkYS&Km9d zdjq+tz{)t+^;%{Bey<ix9(~^(e&Uhe8ml}RB&i^S9LqQn0>c%pUYQ>vyr@0;?Cpez zkaI?aT_5^BCDVSy_%blgM#AN-UMDugdn3<}730H`5M^Bud^E;dycKfH*FKlwgr0$b z&+FTWfak^P;)C;f+lvzw9pZ}kHzUISfW(udI?11TTc8vj;)wV+BEs_E5My}~-e1T& zB6J(#Zy4m9QKE>G1A)(HyA|ce<Hf+zGR~WT&+lH}zftAcO%$)*Wnkr6Bn6+*dh_75 z#2xjk-yLD+nimD1+J4GyqHbD(>n)rPQHUVpi2T;o{%kWACE7+tLg9$qu8FZd1@MTb z2*;D0FyivuZg{|LndB-AzDiiSWch$k^V_lvu4=J>*T_i_<FMSWTexjwaMRyPOC+Bb zVAgvV*TP}~cq1(waaruN&d2E3&tLUY6UxQ~p8#V|@7YD0sUJ|ja9hBw3!m~cgw9LH zp^u)4tiu(-UyE$ACgxOdBXOB<c<?pcE`%HN5Vw*ajXT}>*qpX=-oV%Vp7Ct;JFm?= zf|w0i6~$L<x}oIBfX|Y@{C&<v>=-hkf%j=41&o~)e5C)J>6k6T<Vcyrt_?m>-mDPI zi;A@}&=zuM!r>9Oxq;!ShJ)ecj3+6%=dj>wT>QF2<x)J>+Wz<}3u&={%aXq-#_A(& zGaddCOX3vH>G5NG>WO@7w1LuTH)P}DQp5s2kNzSWZ)!F8I>OX^tC6`eW{+fQrNrvs zl%wl+e{awKJ+y!2;+KvxE)Q=$;rk)=!$p{d3hFDDkZv{_xhF(*6d~pym<v&pB*eD} zQIm#yU)iTLSFYA-i<TH_fIxkupEg4{*%i9yr#MEgRtp#{PRQ5Hj_R`Z@DU+ef{@Pz zf$~&;+`@x!6(OI?1@$38y?<^j?sE~LJQt3(h?|xq<Z~gQI!6dQ-)|M(<>Ezs1Uz}~ zy#=9%0_CYs>|w}(*p-aJRD{@-odcog59KLO>;cP#*ky_OARoIIdnshskl_ATk1?MX z1Q{Z<3mN;%&H4^a<UA_qr;t!eI|sp39Lj6+V1Q;E&XW>dc}yFP`@}>pf{7pWdz&c& zvjDkBL|M>Y3zu=<MJQ#J>I^m-`ca!)#Ih;q$IQ}@>pFzOT76F6811$>%tG)<LSasy z1_B>iE@FoS>Z8#VahHq^B3}T?Ydh$U(j>g=X-{da?wFFVD+hu17Ud}`>@4#%<czhl zK%G|pUe)qnd~#Gr(6M`-=OT9uO~ibzeq5<{memRTnoHi*c4$Gj`rHS~@@C~oeEX;m zqiY_<#EJ>&c~5erUK`x0bsGf<>eZG5Rl&$bQ9;N>R3W$zO0*J$^p>Pmkeq~7u>XL# zPDsBTl_RCX?DRaik%(M`l>|MYl@twaEhQIWB~2$^KXQZxzmlc%q~Yu|Z+txtsR%p4 z%P#JyXe%j5uWdYpm5f~U@o!yL@*m<fKh&q9(oj+Za*@)1AT>k}DK-4}H2OC-QWvMe z+$5w=jnm~bfLvdOmma4J)=+Y@G_+iYRE%5)HLP4;MwFhBi;$ZAhq)R;Bg&0q^t2LP zEsZR<Sg%ghSw>PCRc=Bm&Cacj&R+^z8pF=82v?JT{%!yHw(UHtAG*GXD79l>RV*%B zXq|+l3FH=!Ep;eIN*&5YNFC8t(I9en<?)J8VRFYBT4+b^N9urXpY29bQF0g5&~g`2 zF>;T+rG0&?IJya`c)BqfMe6z&)4?^u&)}lZSM2WG?o~<YV<+NRnKBv^!=Kr*C!pUR zn-=oy1^qipOl1AB6elk>TaG=YnXxj45E|0MsQ^M#d$wsv6Kc$Oy*p}LnIS^)3BIL7 zr<x?j&rlv)=^0LlWpsP9F?Baad@$utGG`kK1+I*?L6Fj5Kp^C1TFy)c$#D<)0TWwj z(>cDeYWOk=yeY_i4pL(}s8MRUF06f!()e~#8C4FmWrv~{*^?-Tqz71MT?h&sdSMRD z=ix2XGD@6e+l(4d6FJy&3d}~$j6#zsKf|(<#7y$3g%65ZH72tb2Jy*<lkj$>nW-{v z{1eGcb7d>QM^?IID2)P#DP6<D7V3QAI*Ipqdt?d~zQaeLAguIO{*by_eOyxo5^TNR zjS7WoEElzYqwT&!SSgj@8(9q^?5Wx~018!oi(Y|DyFIx;Wmn*MP!3tvGp+E_EP!}a z4qe~Ebf}y_<NH702sxElPZ%MMPv}0+0_|3FgP>GT=v!tAl-Qbnsf0qQWPQ>QRjIgA zIR#>DFuh(UeN5|jZ_A;O%@@$6ObX>#Db4-s9q=d8bvpk2;s8t5Rx&t+f|#YP04!8i zQuzgvY)s!5w1Cu0=pB>$IM1O<R2Fb^A9K_o16r`moEC~!Ph|mW>vx4&Jog5r4*_=2 z9P9$l0;}lNO8OL%;maF}T|av~+u+Oq8pT*H^Mmgl@MX|*WuJSrQ0Nw{Q@4agKE*zO zJw?lR0AX&jv8~W96=2)cbCp;wsDkM?!KWM|s=;usZ3?h~dXsf(>xJ%I)r&p*sL<P4 zSkw>>iUDLHNMvmqtQA`TyntFrUO|0cvH{~zHgkA;$3WPriXAeB$yN$PK<O1=xUX}6 z!UJwe&y<&*XoCEXDR}$$lx$J(^&K*;<{F{ds9B{#45G~jLEu`zEY8o{0rgdV&*p*B zt$}<J`&Ur_yJPmCmJ3edbSjt5OhMJh!|26%9Ss8Z%W<AfLTo!k$2n|9(Iyoosjm9e z#Nxc7`<JznYfRQ6|5oEX^MqR0k<uy@!RW8jxa1Eur;+IyIpo?Yn!)VOWu@E<Ja6EU zE;s}a2i5Af%|9U1%d|;0&gnw3U!ol!)k(h=g9BWx&`M$LqfK)4fb6M#$2QQmO@D+% z5^vRLKVb#fTg_6;hH%q(ziEYY*B_57+M}--J03)X+%!}h$HBN8Pi7ZC__m4r7t_GK zAeEOZ?7Yb9Q`5WR``^9e`=<!Ee);34A*oGI#42k;qr2AZqMXCIimkN1K8t%3e`B-L zUaW24$GW_{zR+Bp-KY<I+`y*4u-Znsw7kC9#kz<`iGp=a&M?m4F$<5D`X=`Ly!gFC zZ$g*ioNu)i{^{)XJ_H-bK+*Hao@Okw9(keN_D!6|y(Gr%-qy7)j{YF(PH%p7aZjv* zH=ALS;|nu$_dXp?j4err95%<09=S3;4f~O>ce&0QU|Z)V;qci_mU=b1!b8!#D>!8- z=E%IU4kEnLCW3iWjq*j0ws~tlbtyKP^2N2L{<@>$e%YFUvrennKNh%K^ZM2{@@i)= zFGJ)A(=)GS)%IjyZw91C1oAuAv{Q*~ujNLehf!bo!wMhe<1xcr)ft_d2l;q{RgNS4 zmwutAoqb~`l$(?S%D`<b!=Gk(Kxn9Xuf`gtRRn4n1fmqlqjaJ*@-E?2rkLgY#)>c4 z2<fYjc{bzz_?z8bq+{cg7<&~&v0XwYY&p`^(kU9GuL`O7ZqoJhgLu0;U4+Yv%}uXM z!R=~?03M<_y1cJFbYzRs<??n%1UD`?^`6zmwGU#&+Jh$qxl^t~^Z<mzUPTJ(B_RXq z@4f84(&iHOb#vO8hy`~U9+<g!N&1sq(4#o(A9i_wEadnQ9U3I^!7N2eX_R9Y3(m4| zmL#T9c3ip)TN!Lo`U>|IN(9M*3UFp%E@TQc%HhnpL;*$cCmM@*`;}s|PIWo?PFJnz zFbg0#sEC9NU|rIYB6{_hTgFp6$jJ7({9G%P*yikww24N~x_DA!MSY{keQinYCF&M| z6GY=S2^{S@cj%p-HSfYH^*CR_F)@|VH=+!`E9+ghQ$a<D(BiylFJv%7!3N?LFd>Iu z4YEl`XvHNuG|@ZJ1>JK7h)AQhkz^b71}vm#LvJhHXi-QQkE)o((XHi7ATcP*fwVsH z%GeH#l!0Z+GLGRQn4UbNT_Q&+o$RNS#7WTumbG(C`4t=#>HY7e6YF{oT(>nQWOen* zE-`#J^S&s><);%qWGwM*X|I-<Aj{&0^TA4-EHTwZayQVN<f8`7$jFM2bj`ntd?!6O zB(>B!s&afP{gaZl{L`BC6=AdMUm~y7e2t>9K9kcdavx5|Gixa{$+Ii<j%iJiTJIA$ z*$+u<isbke#>#Xy$mWx+Cu=F_t&ztMp4dz$zU@vvP@P7~=qS&37})x8da8Dy+Q47^ z%xaGv(!@MjOUIS=Nn{=os94TOu9wlaJ*amMN^TVEP?64ipT?YMI@B^~+57#B9Tnw7 zF#Hk|qPe$CeZa<g&b*j^?~3bVF3u(`BQ+r9NjoL~?(v}e<!3D;)kFP^Dx0gsv{Qpw zxcGXXcHF?uhvs5?7bpJERteN&;YqLfAB$9S!26}SsBf5<8o}~pYORtU_`F#zuvcwt zgciZ(No>%-w#euJ1m}4bdrj%a=iSdd>#itb1p-Tc3K~<O>IVi(!t0ZwlosLoB{pex zET%`mz3nb_q(#WRd0Od8i=y)+YppUNhL$JilDpzhK;H{_M8;-0ou!{@W%ysc2G|Ty zQ(qdWH5?YSQ6p{FO{*PnY)FXPH`yn<8tH*BCsCGjz`qhXGV<(6>Hu6gpaggkF#OmS z#_vDhNi0mtZW`6E0p!=Gq-mR>VDH0^=MAczG(hIbSN>`8g8X9BjDHq`i_~kg({UY= zt0un4I1ld5lQKTfXvc{^d%-(63%`xs#n1KEg?f!irq1)%1#>5NmF;NnAT40KGkdeM zD%2!t>Fd>GLcWqJ9sg2m^VdlhlB~?zs~wO&JW7f#b-y~k3o4(2h|T;%|5Ejd#dC={ zOI<1NB`BiacRRw5gG;YI@Hqb0X?8e~;wM)@LI<=r^}XL?D}lPC^%Wo(eN<G;TR{Ga z4b0t>YD5v50%;SoYjh&E0fn*znHvM9vBQzZJ-vBLo%yZ1Yb{xW*!L){IWpHtZ+%@d z2RyD3t`ypPV>JnTmBAxiwOlU+O6)H4#}y2}Q3XtSgRI8%4oEv|3$&<)=l!WIu0Ma| z(oexBxWD``GCH9xumAG!nV7`R;11H7#cE_q3E3{<NtC{wrK7M{ThA~T#Y&O-xFfOu z*HbBLy@<^bIapmuJMDnYkvW(@&Ydf2hz=}#bWllxrV|rKijvI~$QL@;eDqRKVryb= zFoh?9*)v;bFy&){v@5s&b_97P^dK8XPkP*|LUya)Ac8gia#wxr?Bf;n#^es3EsvUj z<b?qP^EL`Cx)Z}E;i{{`yIN#xdT-2w2V!1jYj970+^YbYu|B=udCLCI!QH>f^s=nN zAZ)+*w@)?Lz*Edk-d)_ZsXUwy^8xwW9Od87ik-=-it3Lj8szlyK!T93wX^~QX^2P% zQdEdK<nTao$nZdU2vTBZ>F=yr(C_~vyZ?_^t)3EZtoTod3H}F)|5A^!v@>>s9-o?? zl8$$mq>+qqpQMj^osy=LnwFiWmYi~&9H*z3re#`UW@1vJkfWWDnHsN_q8Xo>ZETRD zk(wE!kyd43QDjhH05c*O0E=Z1VIV;N|BjO+<{*HYMFIfW#Q*@1{g<ERVdv8UuAr>@ zdL|3q9V}>dCUGm9MIc%diE=Jm@*0<7U;#u#L4@FiSQ1R2OF$qSsF0#3bc^5>fY8G; z`}w`=eL9nyE&0M{{kx*&o$ESd$ISQ$!I+w|tYAFqmvc2d^ix?{VtOom;zTSl@K<K$ zHmp&X-;J#-k^=3^FXZ>U(;=<8<b_^p|H>Y-nu~5dAL3N1efO@Fz)A%JLM8ZYkr<}Z zblLJ|J6W~-cU;QARKnTwPeUp)Oz*{!q_ADC(-s{rrDrR?>&IX!9XiS68c_J8y~dY1 z?7LW4>1_k4>FeZd2(?iXh+Q(s0_zno?4SO`N8xT5)fk$_<K>gaYoQ|;Pg-h=SS`*w z%fv9fOC^!vsE6Ilq62hKT6=eT-P29FIqLCwp8;WQzvlN0#L48b`C?E;&S9?Igy0*- zCE>a$-b|Eu(#UY!8p3@Fi9(Nbu5WUt9<9byGkdl&Q%=!L;kTp%_H=C%|H2XJ$u`O; zEd=bv_4B^vBk$u}{_fR(?bp1nxc^+&sOK6?cw#U&Us?_a4?|l%<}dfiN_!=~PNbcl zX@QF4M^bGA!NiIS(&HPNFAgTAx5~BB5O$b4e_zMs-Ol!t@EG!Qn7!*C{d1<?9S<la zs@fr$4nwS4*dt7>_^sg_3=0FdDR>MmYOqpPD?AAt)k$Ay(N3%S_gZoTti@)zIPpF! zb<-So<Iz-#6iE&(0Zyh|Q%)aV-AgUaiE2Ha1J~&Wo4E-XtLi7%>N>wt!L%x?m%@XL z%peSD*hd-T%J#QG^O()L<@VG=_CV|5sn)+IagPVUHiDO7#9d~>{Xg(_=<=5`O%*$e zM_|SyZ1L(PnUNo#<)tFpIcSG!VtBuK3op&kYcCerr;HN@+i<M;60>`T-7A#H27f7I z;nVeHXZIFsc_A$??RA3vRePEBX8pq`e@lscRoT3p;E6d5ypD5yUYC@gZVdijqT%`+ zuN-qOoXDe)dpkS0v3NAEtY4{gZJo15dZdJ@WIUJvZ<}YT>cH0+nwgk7aY8a=<;d|# zrfGVL^O$}#=qz;|oGO1-@#7|c3@l8J8J25F1lwgc*d(uNx#M1CWHgM_MVb%*<v>RH z`S1JeQrF&_j%ki&JD;5A0DJVU;<b?~Q*L`Z&Ax&>D%f4lx-Vb2Bco8BnvccU%SC_x zv=R-Br;MYF@933XcTpSO=KoZD)r_3Da0Cz3gW*5<Lu?^A!Vg$y^LSJa-Dizd?VE~6 zdD)_T4Ue3=@2TtRS^4hNJFE{|0S&Bf5_P#8cMvsw5Fz7Y7S`a${RveQWxk}U$*YC+ z*m1uGY2)O5dZ==uo<YPinWf84otL@qvM1KM?1*IQzM@I5&4%R1rhRTmOYx>CL$mmJ zsYR){3~z_#9OhnHK5W1`z6$J1n?=5}musObzexxGAvf_~nb^|Lm{QT4QpwqE&~jm< zXSdd+puy0!{qm{A>soSLxHcx))e#+6S6!^wB16>Hku=BBx9wXg!TI?1`J(5KUT}QY zkN%3QynMdRe-F}(mI3Mo0h?)Ro^Ea)moOg$a()k&u|42X@T>J==AfCytV-#-ZD3Qy zDGi~`-u{4rzwU*Ef`X>G5!CMSWwGZK1Ssc~k)@5v*2;-7=VtSc(Z@XRl9s#I;IyqO zsQYpr$WtBGDta#Mx71n{e_cwEmrIUq<cM>y{XGuSsM#ESyeJ*CR=$Pl*E8VKHQ(}V zo`zp+t9IGH?6F?djxeZgF}VGqH;4*O+PqMP963Gjo~qG9R!WP01NUE&BDpdBE^@!x z$7i8KE?88j^@SK~c<avWQ_K7jsd<(x*bQ%Yop~gTUG;(CURNVE=!M6&jHK1OPTtBn zKMo!#z4~2i>dvdHet(6O8cO;;Hl<)k$W*ZKZRncu%uXXYhRHsicTl8xL{eT(U|veF z3r8ReNh5#wa9ZmLQh}*$^LSeG{++eYAgb0`w0)K(P-j#866i{cVa`~21s<;m8A@#@ z`}^qFa`b}@hoy<uh1G?%h4m$jy#4pvT}@_x7Jn$C>|zQ&uCoOJQbnX){8}`0U+(}9 z$yFngM|#fZp|9;nvlfeb9lr5euQ`AQUA7Z#0c`+n0u2m5A@?8+|7rysnG#%W{2VBj zPT3ZBIA8BYZyTrJc|U)A+jW8v{KCr_5(w6$WOah<1TECDe%0<85CH$czl()g0ffSS zGLX+yQS*z8i#^RiF*1c+L%TZJQ!<uqBq3k>t-<etk=h*TOIslSb0VBbUU;-;f+Ouk zOfYOf8?%d_IHs5V`KEiCjprT@ao@V>RNP7Xg#>C`lU+|5*ZL=7zC8OJNRyrl$9950 z%#RcMw9XZF0+aGQk!hY8t8gM0S~JGGXgP`;_u!%)j5M0q#3|mJ6u?t8v6iNB)8gZg zJA(eJF2FIfm{#Ogid8FKl0&Sp)K2YktSU;Ha+X{+NVsmktlE-zXU|Hl7?m@dOUN%w zT3!)Jts%&Ex#xNtG`tGGv;_lR1Wur*hl|br5Hvy|TB!Z?U1OuIt1I7<ujj)>Nq-y5 zQDgQDcs_E&8p~!aeC-r<42tW^$)9H;=+hNUDl=pLOWK;JJPMX~9d;#u+Y)?gf7vkV zRqd2HLfh)QvtyyeN(r`ciW>D6HuuE6be+(72+2XKMyd|}EFhq35@j&u-j}y6{r4x1 zs-yRG-u)~D52>_YY2OdqRdqG#6iRwrM*VELH~mtno~GIkpu>G+UrSpxit>W3P~b?l zKkAWw)KA!`MOs9hqH2MWehc}usFSWad8?mooL{u^Z`u>qS@5jfACHe6Deh9D9e%;B z<8V&T9S`Z+i{fIh4?#cXRRf-Ya#8qZc|)dNy;oX<q6(i|kN4>rd#qX;G>X*NkgMoE zf~|&%CZ>0k)vAfm6W>HGCBZhxcBa?!nBq|Onh}Zq6~@E%D^R`+vYq!G7KESuq_ee~ zA;|oR1{i@sEsj|obualWuD9`<TuppaZfL*ZCv$lYjrbnVA8dxVNwsF2y`&HRkUNX- zF7K{j+hj<zM)Rq1e7ob;4+f`le25vL7^f8WI~EUNg6swF_A|WB;`V#h&dlRATVubK zSHL}{T{$za-@Ph3={{9UO}k6FKrdHD1+eiQc~6f!TH!0SwFUSFVa)7m%xZ!1+|^C| z&sD8yqnxkSc^Q$t#s!z}cuKQmUi0}Vj#mIT9zoW!^7pu%ew91ECV8l-QR(2!&HfWL zP@Qb$C_7HE9I+UxljYZ1g<D+oJ{4H~H|yiio|5mcaV6b(Io)~&@X7notLKca@Oe$Y zG10qm-kNwjU5}Wx{6A5g9(k(fv;wOok5%LqI_`5SMrt&FH_kWRx68T;q08;L1xa@Q zl3SVZDe=}O0$#*#PHM!b_;D8KTFw7`)A+b$_@Fa2GI$zn2FlyT{6si>;>*{I`{w8? z8Yn-K{;(q8T_60>r#vdtHHo^#*(hd$*~QF((mfpsEo>ZWG*#<4u&+Pub;9}~`)k@m z_seu?G&hKB@AGF7o9im~<VyHHLUOVveqsgk6onPy>f<S3KU9D8N4fUE1#P|#{2-Yd zubVhcrViYpff62#Er=*CUr0+y!NWNx7ObR;M7er)O+vlxHa@Y&-N>-JJA50Whycz# zCHDOX9nh%TWH5rp7_VDn70RMA+geob{8I98Q4v(Vb%cQ1c<(#tW*9_{&a(AmH?nC@ zpXFYu`Xx4;H;}kriw^KMO)Y#Hq_!u-<o9cv;&I`90W&df#}VBW(08iKC5rylmX2Sy zrGJXM7gMdMd^a!x5=a>%Q_5$Z!x=Ol_a-{4=2_FlWFphUeab~eKSupmQ4L7w6hkQ{ zx!VEhntLh<b1NP<#pWd$(+-Cdgm!Ihdgzu}6%ypiQ$dfXTfY)O<8zjw=+5vNQIvw6 zd}6ik;^XHx*$UdUOj!Mqd%~nuK5XRo#v~?rar+{lT63$0-=4)6q4VCRnp9`a<9TwC z>f@)}e|jP?XrTZx(VU^VwH`=-y|J|&6vhP6Y!jH*k3A|HzW0U<;FV^^tt*3?-w0-o z=^%06EXO5TNVu5tS&0`<uM?7G0!|cu9qez*1lOwX54PxJwbmkQH`u7Ju<eHP%{`3U zVbf2mJU>!-rL^_$dqqu&??`st`rY{3ptv)#d#ltSKnJ36)Bqo2b`=q@dgXNU>v<5Q zpZpq0S({v!XH9whuN}R1jwiJ)`=p*Z4KH8x8gO;xqs6ENx?uZuQ?$^p(oG%(+c_h~ z;ZJt8?w~hTXin<#*Y3*<h+FK>l3#ZRc#LzYZ|7$mCQNmL(^Vn+0`jk%y@*NQhicw8 z^X_K|J|swdIa=#zL6rg79W7Y|;}mx3pMvO_hhAHnY2P;o$ts62VF@Z;EmBRX?%7RV zCVxU>@Avb}*Kh4f6Pf0bZ52k2Y7C!44|IQJC~qN&;|cVh89R=r#5ax+ku&-2M!=3< z*bo0CHDWv(&RRJp)Ypr@-x!<r!xFd;u_-frU#LR@ZqC$LIf@qdMmzV}^Gp#PkK430 z6>5vkA;2Ts7VoFV2Fr%$e3SAQVyXwd=T<`jdn4XJW<!PZlrm}bxjadY7p2m&mWH+r z*=G<*pPk>Kk>EHbC}k+hM@&#`%Aipv!SZG#IPJU`q!!sHWj`_>vRJ;J$C=|P8=ZL1 za^JIrka+T;Zb6%mMYH)m4|i8q=;Ky@yuH1j70R+qM<B|p<XB<+p3x_I^3wQQrN3Ow z17cty9juULmwe0h<f*aTuLx|Fm(yMFl<2J*^9K?b6D;zJg7PsGe{po4#T}<oIjolf z|GZvjB(MAXbw2=Spz{6v7~z!O=Wsb~%=EX8=vL=BC~_@MG207MQMM1aJ{1Q?)24@g zYTL`zRvz^vwtUDqYZgDo_jMbCU#$^tH2O&<oe-PIfA-uK?E6oD(g?xqlG|7m9?Cag zEDNi{Q^opg$PZ(xo1FaaN$wVdTA4IJXK|ZZWw60$0Zi}b7{ba6*>D51OQ&8Smu=}T zXs6z8J3Q&K%lL7bfGx*YI~nrG!Sna+cK@Ub8!$Cd^;x7sGAG*96Zim%ccs~!lhi6k zwp{sm+zhNu9h6ukjwd@_8SU!hOlAOmiGS^e{s1FOwpc;iCJg^(af&|AOin1a?!;8b zz_{OVqLO~?n(U%x#t${f^R?@&EOkA)@O=8K+qyF$t?uXy|K3_1w7d^;WMm?0<GPW8 zZ+WI|c{tMsWx6vw{yr_f;K%+Jkh>2&z%A$Js0#DC@Px45G&a|lWd>pbzlvb5xOf&( zOHB+v$&kf{KL5yJc?-L{#@Oj@*SM|9OUISCsKPLDE)YKs*`Z$73VYAU8N0PeknOva zzWZpnY^&5as@i?{^d0poKgPwq(e@^Pt+zV5azyXE-?cG*ZJR5Z_fT#bd!FQuWs;|N zXW0_iIJn}&9VP>-@JQKRwWC#LoK~F0Fmu}v5z$@O!h6r69lCE+k_8KvicfS*BK`vU z&!Xwe-1v4Llfx(<VwD?vwX0qAw7eRxy|vqw35!Nd$K9o;4OFNjzt48HxlebVWV~(V zLw%SIak}`t;Emb8W`RI;rPu5%VHXmYPbfQ)cz;gY0x~>F+BF9R$du^>6{UlOSdW*} z77;VWR2VcN#nrgHy!Vd@G5WHl-LYK=BuUx=3cRw1*-d2BR_V-6QS{cZw1IC(9{5eG zo7UJ=n7eI#b()<vY0AIwDK;Nkij;IuQYx<w^7;L#>K4FD+IJOD@+XujK&P5wmUZlJ z+MV<6MtEOkpX;>U_LKM!ePmDu>Pw$NWPDp-c^$`w-Q6s{2EXbq<XCx@DN=^R(thE` zl|dq1oAiy@t-N=7;d3=-Yc^prLd)=e+FM?+i+dx}_UVb8@!(C^R>&4$C+nBrs0%w? zZTCtuk^Cd06q~f^f^gMC7-}T=A!KBlVU;}{{Mz04+^{r^T7%lxP=$YP$3J--&tKjO z6UmN&qNPpmmn(I%>+Ln)cCk2ldek(G|5MHU<~iZ=4Ph$RZW1{0=Q2ztW)3~|5y!>( z_73dTF*_I$gt#eXV7m;qznZ(4VNh~?pKoUZlidx&`Z6(D(U@(PGSm1QL$g6xPjm($ z3)N79;os5qpj3`fk&w999%9tYD1f1oIf@hFOG0gyiI1`943sdq6;v)D``iQ<5fmoC zg(<`z#Ca@Z0F@Z=2jQIa6xjqJUQ?3roPAq}4OB@W4t6a7GD#h1ojI}dffRKI!(@_o z9@=>cvNgdemP&N>a6Y9f^8EfNY+88WCxt{kgg*|Gm<1_@54;3mF6mgf8nBRLfc)Y? zk^S<Cx+DhBCg}GK6jb~p*;um6&O-rkH{<1klP>`k^YmUOl8h$QDkT`>s8mQW+fb;Q zCO}H?POl)xAjqZHO9DX=>7WYfj5{tCp?FjWW9(zV5E|g3ke3G#^ulWkt``K>k?Em| zn2c086k!;sfGQTVq>e}4NAMDS@LD)!1L17p{gvGKgyhCx0PeR5>Iip>XmBX{GEfNL z4dT%#!i^6>7=!f>K~2oSm|z-yF%Q9k9s|aRluOb;6t#F@3o|4~u>B2eQOIg9TJnkz zpgO3aVaa&*i0)>EW#cK03O@m+t}M)gJzQGIU<bn_!Z2;biwG(#?p<{gtyJ=fB9OYX ziQN2;p^8j@T7oi1;x88vnq4M!D$053B~prawWEuI)NI?CdN()+)7FW*4e2Z31NUXB zudBu`@^wFqj!oV05t><ASS*h7+zw_eOh^qHvM3+{<b=C}mYj;Z4a9vclrbW3VMAbL zsIH+4B-Hc~+=UXaL0aM!+Y_|#SV(QMz>0yg%c5<>8Iax23Be<5J(**_FB=fq?K@)< zeTG_cDw;D)Yo_@7U9TZ}Ku}#t@FV{cOi+}ifn9Gr230fTd&1qHh;jG7jmX))DJ1a= z;!tei5gEnIP0#{-;bB4oI=U|r?YT&6jg2y5nqYLLN@UQ!0^v)Mlfe`eZ4w22;0g~1 z^sExYHXhe^gp=uchS`vzb%*=a4FI1nKqH2h&QV+v1xLoeDfaLh4q+swjsiG9Jy6<Y z(s#irioqO+Ar32aOXE!!uW>x&e9%yBC}k{WKHsJJ`v>ia^@6iJVZWOIiL5F*_452l zpKkWxQRZ6q2%-K$J_x`VLN!`v7U)0;e}XmDJ1=U`r5Eb~Vs>YP<r#=4u(~S7CJ&p9 z^mhKcwDH}Q=x1JzB;RQ7$Il*5sAC5~(!ly@B0Y>@`HMUh-=GBx9FSLZP3Qmz!zjbB zA0fx+hl0~n!l_$E<?#wK-oHJ3ejU4U33y(_=_PPMAtLUC{UwV`1{he|tvTnYcOh#7 z5alxx(Em<Be_~rt7a;?l9dX}V5A2&Ut<hU@89?Cgnt|Nk*_+oD;)z3@NRiu)UgGW; z9>6!#gW>xXJxf!&ewP*42*}bzUp1z$X%Id11yVXewhJ(VR?#HGsbnPdPqEq~-|fQk z&RgjArR5<jMUoDVLMM(bSghtI(!{!)6SdmHp9qh^Z;qbc-naO{3vevbyB0V1w<xHR z9R2~b4IOs;Elc;6&{$|fb(3vxK`ieiO~a69EStQx_wca(;W`*p>U&$COTG{2pDxO! z-tWpK;)ONqIImlf#@rhV)oGmEc+)<;jOG{RMiz@KRRI%nro~02TP<f49bX5A$?J$> z)i5lx0Ow0er<rh{Z4_=_J}zx@u@kQ8p-4gcvg-P+=9rgE8rHI6lyU#MT2c+pw0Y{! z*^(4hWKzG51dnbnO$jpJ^YE`WQL?00EQ+zrlPtDx+i4SbDG&pWV30fsI>_5m`%B^C z@+a9&Z8S6Raz)_0b8-?k|Nck+C-Trtz1JigRS&oI^lp%%R;jj`H^KmsvHuCW_c=sc z>={JMkJjn~rfe@EPj_N>Y5l;Lb9-Vxf9e2a(Ph6M<E!j8l-k|1KMK7kKSj*e?J!5n z%xQ-SVPqQ3=Yjss8EJxMWnRz`AE21SB)9}+r=ZbU?jFwCOTg>wSc8wrFXb`+J3~9& z90&S~LUd|fvJw@KCgx`5Gc)CBVvdzFjGm``+gj3{aB0qo2SxbG#Y<7ZRvMQQ!tMg_ zJSPAUd-pkwPnJA(SB?sE6c4qh>rj!0UNC^*_#S{E*2ur-7s>Dh&SdWbC2u-&H1H$Z zZF}Lcm-y@G)yT4q8qMf)Gj=c=VFhHopdL5(w@KP`l|&{sQS3HhjYqT+C5O}g?Am0F zcLLS+eB52Hs8{c7j~}{&KbSBhgx!^)K_+i3!~<Eh7Y$riPNwQ&3<AP5%8XpUKE3 z=y?|@I;)ufR8gy`o-GeFM%Lr!4<gR<I}r4a&kGTfTG1OmL+uAV#}J~Lk*!ThLk2Mt zU&N34?Jg6>gS@2xNms-tzeN%>@8)%Eu|%9v<S7zO+iuM1f}&%Sa_A#xGGnnpSBY|5 z>rW|a3IC&(2#?@SdWfZIZFfF5g(CU$%X$NYeRnge2*q)hEKecoWW~z{ct`=gN!oM` zUf*<SNlm%?-iCWAj(5);+{*Fc{`;ZKY3b{ZVYa{Uk3`f93w2&nm^_<_?I?9Fn;49g z5-kE{E}S;LDQGQ!2)C|G?+%O2d3wn2S2jA7ODV5{j|*nY_y!}P=A^DCYi*55bEc}> z1^De49~b^k=R**8Au!&ASny-6EldF^6eX{zR5@vGFX?`rw`XBTVZ{)f03V*u5D2wJ zB8{`29^jrFIKh#F%O3b?SKG#%Y+eMNc{aG;e!!l7p`Q8RRPTn`np%fiLrc+tHrQ)| zeXgyU3yOR&8iMA`P3nxaWOFUMAtL3B=dDYM93k|j=KZOh!*Kmcg6vv+Z<0!Qo@b%B zo{0EjHAI|S2VD9dyAQXXL^(td9P!+HosdKnbM`F?L<-#^7cHe1bAg9eU03R{PiGo> zM?pi<aJZx}$WB7-l>L!{qVcM^xefZ-b6~T$DYrDVFP1aN(9ucgimmj=>GKeE-iBz5 zv=Xg3Mcx7Bt$8Y;|7qxg>tC}}+^hBK>|lK3>eX`doi7IH>77Yr+j&uNAv4&xU$+<q z*ZVMva6p5-!^b%454o)w_Z@_J)=Bs@M*a!JMZ%|jkyMa7b;=luE;%UjlC8Q{wbs!o z@pYCIA|;k^UJ)`FIRJMKE8#VHOTi8Eq>RR-Ig}xyXCJk(^(xk&J$qoMIrJa)67)t` zAHr(yue$N|18~9t1FDFDsV)Tw&<xC^rd_XE;0@D<S-BD<Lu|GlY2{qa)#@`}MjbnH zA<3wAcNimu7(MlUXismsw9HO%hgU>Xff&GQ42uV+8_0eYHX_VMC^Qj3_e^$u1SWK| z5}OF)msKsm<^GaHdxdoXRNIspa8F*9=kN`YQhbEB4vyMa6Bx{XTn2;LimDg^QB>1- zUF>;)3@Gom_l3K07Ra#cHM9sct#&{bd8*J&g6ThB(Tu{@T2+JeYTf>(;cl4(l}I-@ zX;SY>5sa2IwewmQQM9wxN8K_r_+>`N)DXQZl|IXKqO?mSAU-VK_>|4Bh7Q}5K?4;7 z5dy;ix?&FC$xS^CBX*xeqokNE0#$qPs3tZ|78|z9U4!^p5}V@maz9sJp<CB8W@2?E z0fg?~)<G4b-$P1Y8OL8{tMf7-8Cb!^pBl&x>0vTxn;E9_z=*+>(#nm|6QJr9Y&^7C z!-djK7>v4~^G6Fe8Co80tDbStD(I-Ij>j1A#8xf$p8mXuh+Z8BtQo#8C2*ZVO@2As zP|#XJ;QV0}>nLz$>f#?ppnOb-CD<T@pC7>_k9AaMzYn3Hk%-fS8axqSmk?~%5@Ci- zne#lqY>?fF`Tg5X%40Ejz0E`-_9C>&rg42HLlG`l6xl_`(|2E&8sWc*zs1zzFSYci z)BFA<{uKRWM0@(SvMFU28lX``BGHBAe5KlYPt&5{HO9zzVg_~55T(JLG7jg&k#$3w zWTNA3N3(}?hec1F!H(RSiCX`(s}iM@+d&0I5(s{r5Q+X#1Ok{lhNCF<H^oMpPmmv@ zKLkKimhR}=&mvq(_#x?}QQ?K6Lx(|_iS!PA(fk{_J<SqOo%kr<EgI#2GpFJKjxG5> zs}Q^5Un4b*;q@7b!Nw3@0Cq-8g`(D95nn3``J5P$Xi8p|ni(qrC?tNQIoxA(sD^*Y zQD9jMFGO?aRk|)<`mglqJLP!xjFk(*3xmO6EQH7T2P<I}j6G$cj=s@glox+|<j3ac zQCEs@kUR@s-k7`R$}?m`#xjX<g!Th(7MGd`^$KfE#A~%y$5|nu_M=ChO}`D)W3DqE zx!kP7AmVS7lh(uLy)o31(3qr=>HU1W{!(Hcy1LC8SD-EtzrNr57A5jgHyWB+h1(Y~ z;(m(YASq4uXHjEV$@=3InjkQ0q9DT9!=YUQZT{_9aLVL>MMB_pr13%#0{K4xCqUT0 zJF@fSL*1c%Q=@~XK7CLGmqV7HhQuM7>o$RCCrl4PSHf-W2gRF@T%i}XnkR~e)Le&p z9qOWJ=Fm4YlF1lQC?+XkSr0W$V<Uw69uo{iGf}ovquqKGNITzVEC6f=bq*oTCm-7p zgz<L7ol(7yioy_el(03Hpq1I5tFD<+X!3VSM;iU2jCCn*-k`0;&|u2`FvRRzrD@5v z4PUV!44{8JxI6Q@86i%|nEFy{c8hh9@1_voZ4Yij$c{x|wBIid7n1f&q7`RMk$c*k zaL$H=UKT~k5a&>tH%pqxKJL2=m*>CT$$HYL1W|#1C|TaG&#F%)E%Dd#smBQ%cO}dv z_KGNG?*of2N8R~9z;w{w#TV<(DB-+6`@VW3nRFcG=<d{{XjW2Fzrs_8RXC$R_1c$! z+2HP09}I_9X!Fc@8UXqIbXFg1;r2p#=8OjrgIAAD90!RQ&>XMJSfDFe>Epm3WR#QC zx}6<}zU?3W+gg9TwIeOg^ySHaHY7|NVEc<AT5)|Pi7G}ijMW=xrUg>ozFqR>I4OMI z#hC?qJKQdhRu8clqR30(g|IAfDoUHzRoYpEl1GxHwd!ol!q=%wzNYxLBs$ZL<-bL@ zzg@NtvA9PONuyUFU&3?EwzHH+i=m}Vqsi;hgKTk@;l(4>mnDY4wjmbB<ax;~v@E3b z=h{6Ap)#uB{7^IGy5->=H>K6Fhr~63?sG7U{Aam$I*u*?MU=_<Fk*~j1&%-p%JW@0 zM=xu=;q2f(oaH{z8dpzr6rs7Ip_D%HP`m2=y8KahR#Fg?HE91xP85w3e@LpFG)-9C z#ED-1syCA_DzO)$CjpX7*o0_HG3XYx+R=W0qy2}F*N{0=-ShttGzb?zba&C9xT3)L zNbHan#|~+yw66rbh9tU0w3+IxcC_i;+yZa3jq%c49%icZh$TGySN@Zp_cMmQi*ycc z?IV<}o@+;|N0j!J?thHF|7-CTU$=<zUyEIwe<4<Q`oDSnr=OThqCCB#AhCqf=zrtN zuI3U>tmQJ?e-dHt!J42Z^NT*4(+EFNs(dnk;M8E`OFg^y9&$a&=Z#Ht-GzRqI>UlS zr_mOIdpVcv8z;EHa+wzlwZ!K$WGJg+nwl6Y+r|4kc(e=29gN?Z+8wgp#TE>^c)Nqk z8!XN1%)a7G>)yV{H0w!0;;ieBgZ5VFGd8C#_<7-dWMk!vua*0dt&c<#>;1*?=(n~U zKo6#V^(j0PDo6@0$dqS5YS#OTOhr5FFU-mH!CPCX5}W<&@#&p6@tPmVi~?R6D(3jB z8!EC8Ju@5_%x?I+I&URJIPWpeiPM+X+AW{x>Q8B%2ncvDl}Hnyf9%{Hik6bg0~3x` z<U>v|eDX;Q1tD?_4anl0KKf^hSxHJ)s-q4jdB4nAV3+<t@Ui3Qs%qY#`8)i+{MJm$ zL`i>NP|B82DMEqT`{e%5>~LlW5w;QYs*z?tVD_n=*;^E$kowr4pYmK?gp|`-en7Og zk;qnE89&tj0?Y_>W+UX2)icN~K1)KZ7w=U0e^MsUSBK_3+**#Ne)Po1pm|%>NUE9m z5k{L~50>|Fu>ShdC{WO)Z4>A@sDuDMcS$_TX_tx!rnG||wgVWUoeEmYdbhd7mzrkO z?6T38VxizsmYl}VJ3vs)Xja4NV|lW;5?&uzrJ|2IrJbLlT)dJVDAx$xmLn`NCN(EN zIP_x$_-inE1sLl^RHm#qu(%4hmM1Iy!ryL*E_7|QL}H7ifmS|eUs<W-ATledz@Yb@ ze)n4BnEd!!LRg?v?Eq>&;TDZ_1645ry$ov>M!oXfVyLp~7P8dLW^284)BnBabGp$i zy_9v9nUWwZ>q*XejGYu`A~~E@drCAH@n|9hynLgLD=eRci#s2ymONj?V=7l+AlKc6 z3zL7m_E#MT56yDIZT*4x8WmH**SAW}zMdtei#>BH{=f{aLUR$EY_&lvt0k}>u=a8} zr*HdK)Y;dOo^lH)!_P7b&@qzBv3yqKBiBr<X|KZ+mD?O;Pa5hc9!wA1aHx7vGS>Iq z@JVJ87njKfBu(3-^^xs!6OsFw#_9hw?XA*j|81KQeEwYoC)2o^>=~cQ9$qW9DasSu zG?ijporKG6vgd%W{iQ8a;d#4=UG|?EvWZf!14v`JWxJinwW1Z8A%aZ=lN9In{;H_j zJ2XkWWO@y6eCK;ByZ>t?kq5ap*<}5t20Z|{QM14JM@NyCC`So*D{6^yHHI18B9YDa zJxtcm9>!X=6?jzysczDHZ9z^mHiYH!btxEf3`$eT{CFn^`gA_-tU-!~&U{t>I9jyY zMM@M};mBv%id>}WiZq)?4RbL((yv$+4*3Z6IgT<OA$vy^LX|O;S0MjaRbtu#)+q*y zN20BeuzgWFU6D5hBAKP*s(MIVmKTg8-XvYznO5{LFyQF7vfPK|czjU;(Jcfy?3M+G zJ##@!RYOb<H~JN1uY+H0RQs?7VF{^Zc_)&&U=5QcNNX2Fwb}Uv18nJZv4{q?en{FW z5W??`L){U>@D^~?m-HKQx!&gvld7h&q1QFKLQp}1*D`Hj>jK1)e3@3SVPp{3T^6*d zSnDNZnYgq(6zL9I-zAIW$+XIbi2~B*MNzSKe*T1TvQk%HWR9I*3II-O)2)hz44DAY z>PW>rIN4I()(WI~?WzgkIjh1cX9tc!c+O0-hlt#m6TnxJA3&TNYM&v6mT=fxlJFDO zb3f`xKOoK<!o3f1_Q8p=^BW<&`|vV?Qj9v!sulJIGStVs4oFiFkbC6Ad^5go=Ent4 zVX#`)lZKFWlxx-vWV;b;h5AG6{P+m+8FUY05FD^|ed11bluE~ys#vRVbe130ox{8} z3Vg!y2&obx!jWke42#BYv;8^bFKVj>0BL*TW*`6|H5<LI*QnhSq)wFqSt*yYz!rCg z84{aBC$d8tU6D4#OURHCUAUE4D}J=mhT{5Da(x<IuR(+(Y`uqEUm!EDZC>&=u*~`O zK@j|Gu^KXD&9uOoX{818gbd{Z7qHzrz^oxd_ZV3CrrYASz5q^P$!~}MdQ1a{`M&d< z$e*B%P?aHy4>IreI6t(0JpYD_|Mr6ieG{Tc9mzBA*kcQ8lbgs_)S|@z^XMS9C`Fi~ zDN*i+_pj!kpt3{XZ)Mqr7$d_%51DrCn_OeM5)Kj|SFr;zeCeS5`#Ww;^)Yv1^Q3@N zvJ^6d&Coi-5Q%n(2EZTMVd?0iEiyx{yn4!|!ZokdlQzqiV<eWp!~3C-->J?F<(s#x zsqEFm031GQHAlIJDvK&+^~2@?Y2|Dk7Gio0kY-X|f8`m@%u)wfA7p5qiV5OM%G`l{ zQDdVU0BNo)9p++sq0!UCON0J)Fvotvmw?lQPE#?8LYNN>60Xc}wM=45e<ecFrEGD- z5#EU)n_cF})Sa`_grR%*G%>GMWl6x4@D)JU`+*Rc6a~c+Z)WLJ7yu%=dTb+>HKQ5~ z3*Q*{edQ_u%n?-713+X+N?lFsRFMFWJCag<i#ih|(aa`3_|_Du<&AymQ|%Z&c-JZM zp){LV?L7-tvhuI3VC(&e4L6HQJ8-g{1s<g)EF~NlbuCJ-t5XTn2UvEsTA(avo8rFb z|0Y=O50XK(ydde!y_L?pDvk#%!<1R!;bR%3ooTlYev6VJHJoVceFnkI{MXUQ;06_) zki;yCQTn{q8psCV^i`KhNa7^v1%IaPjd0I$uQET<x62&)V_)TDc=?tWM*ouj6Y1tn zrzz@Y#!Dm<g#O!O#A1((gio#52xhJVqU8aWl?tXIiLB&K3?52H(mh7Q=3<q0?vVo| z2EHDuFJM!EWkK|<qVt29xs`i8;JB@O083l!`IIQ+(6CN=kS?aoY^Z=GVp{Q%F3*88 zI`#t_;;I@+kIx|V_KXl77+Yr6jcD9%cT&kf(o-?y*hCdPUEx0zlPku7W%U?Y*&!>Q zq}1oDg(OCkHwkAppjdm1gkDmsU#D%gVPkQq(}PW=EI&}}qapMs+f=s^M!yJs&k&Ka zA5V*+$s;1|O20Mj0Vi)Ie&}>qPD9cYv9R3RVZ3-uVjvEKp&=vfH7Qd}+M5&*ep9(j zK8ad;&>JMZEQ^P2UF+<R@j1Sm2m&K=-#Tm9rpKkmUOCG!f2PCR=ruB#jGGweBv2Vy z>8o8t%#&t1a4xd(4@;H6O!$#ep77U>r((iJM0`LGwvaC8B=Is5j0jwVOhzS~J@K9$ zC<k$Lyzt%bw4W-ZX~sVe!530vS$DNgR6K)sQA`r~acdWl?HnnWs9|>pwX+~3_d4;w zgi2O1-sRg_Sdc3dxWl~}bblAZlI!AbWne0fwvtszwj!CIk05{jR8%&labS&q7|DrC zzsw;TI1LI3V9o?aZ&i`5u*Ode;m?uq*?7)@2?-~kcZjW-Y1_B8hU#SM9hx-4aY&}v z`F8|pi|!816&Z;QPh4jll%#5;G~xxWGJZ|qL&PK^rwngXI?=^`%MoD^<A1``$6{8T ziSJJDZ<p*qS!Fo<Mmlk}PE(v{>cM9Be_@0Df1&(;pi?hXpNCoT+oEmCIA=v-<eM=s zq9`<``-li-Zua0t9^s6mLV<FQ0+VJ=KPd9Y+()M1+)*Q`a8v-Oo2YyOG=ZH>@!KV7 zZ0vB19O5Vn{W7YH0%h2}j5q8~Z`dAzkDSImWzuL&MG+%v@i3$a(cXG0eC+XC6oO{J zQ6WH`j%`w@DTGswo|>oHQXMfB%Y$&P;8Eym9}M=mPmbbEy%TG^BKpV4*#Q^_b@^Dq z79Zu7dPR*$Log5Y9Vn=7TDZjTMRl=_VvhjLu!aRn6lH2F8FDd71rJp`MtO<T$uN2) z-hKIIcmNlS=ct_UVa%u6AR2wke#(N_!o9;oisIgpB5QH)2$9~nT{xFWg_ii`$MYE6 zb=#QWV=aU&9>!qo5<`x*jk*&=f}>B-tSB(fok2@J7#AZuct?Z;<K9vEPzE@$omCLM zG{?PhT$*!a8f?V%B3i%=d1wdn;X^P+Ipc}o9^xsIAw9bNao~Us3Iq<aDXJO2LkSTY zwS{kk6T*RzK(OK*5r@zqyt)-|ml%;END*MKS*swj9e0Tp$;RHrhYZ8D!3*I>yo$Oc zT)=O^+oF0?`=9@3#=g9XI*M0rWkE$ef*<X9Bs_@v!a`+N7{hn|rM`MXVSwjB?o*|A z+8%Tn1tnbPZn{NWBC4)tyGoqCh)>ToM|bAhYL1HX+~C*e&X*VGA{5P+z>y8z)UZZ< zLw|M5*+tg83{2=~dn&uv+%N322PBl>ZPrmY9uZJtrujTBNO?XWSZ0}Ze#q|Cp5C@_ ziYJ6Ny4dn4BY#dCT95=As=l1+8g7t=2@&E~)8V7<@|sATBxi~P-SCvb3i)@7lNQbb z4>_mlzmT(s3x4X4h26dnjc?cSE0KmRWVJU8UtDRlF8bToKX%LaJz+?kc`sS}OT$3} zC^&io1uJ0$w?dso0`vMN=HGg}{Fc0tHqdms`IR?wpxwo6>1C+mowQAVguXvji|T0I z-LTTa+9$KBpU#;ua0F%^2Igy{JJCBynq6HQtBYzqG2B=o{Cc6t7xZ4iCmo+p?ooo_ z{bv^}gbHM4ivDQ~xs%@w@{R=H!RaYA-`0k$6F+3Sqf5GLssWp$;TqzBr#GjOD!kT~ z8?DtR3#Or7m1y<g@Af;NbDKwV+_lqwm6W|cxovIzELYJ{<_DFk=rEJlV>4OOkk{ih zSu&B=;|1jKHsI-}S>u)s)gjuTLX{oaeo$SqLya`gH#xk&A*ZerLUN{QLAYhwKM>(i z7BV@#Cale<z)@ii@0i*s&ji-m1@^;5LO6A@#A0JHeRAD`y-d3afst}$7<9!G2?0Uh zlC4WIGWtMEu+~#p>s>s3I;_Wp_NYk$n{HQH8HAKJvtm52Z|{x2_EOX&w~tR1Z$ht( zkM?@Of%Fi|peyY|&#*zCz8z#puH$@8b++*EEEDfb9WCj#2}N^L7IUDvRn!eGh%^L% z;KBvrqV7de5ryAJq(`MpWo7W3pEU8&F_!8ow7ME(yxI|<TNTP(G_7py{gu(elJ~pH zv>_^8wq*|b*R7`hq^@#<$gKN2G`UjO<<NgF^0eS~Q}t#f`XZa?K$dFGo2}6{h6*=a z3=xe3B1YkK-{3D%Av>dNaZx_q@whfSJ{dgBf^@s#l1dkOb=9v$yLE6x0Sovc-rY&K zN91%%q#ajEIHzHGBh6prXMe@CbQ!~hdy_krbli9wB??_{<cGG~BIM`o$H-bJL!9H= zg$69H_zv6Jdvj~+_Wspr;>vO4%aQD9$32X0ILE6|Sa@|ch4qww=<ppHQG<S0Y3&$c zb&5(S@ja-ik!}-Ovkiq-QXH6#P?+)lgm*F@GSoXyzk_V#Ce1umRup~t`|+UKO$&TP zngD(+#Lq@1OjKw?H$fgM^oL3<kZywhtx&)NViUb5r?lV3NU7%*W2DMC$XH3#lJuT5 z0Dxf&?%g$@z*=h@&<YdHbJ>%7@1q3w9bSxCr6}UX_{3Tl8vW=Qtsud_s;Z4@ICOLV zs|ywixKDiGp$2`L8fyv}^O|y&5`C5RY^SNiXi)jVg+6MZ%L>1P455T``Dn}XU3Of7 z(j{I80rGiw82-{<<v_i5bKaj+=Fti&3vKPZw?cH#TRm1LV9!_`bzEF*!51K8(~LOR zj)R<t%wEonxEH2&pAy*PgQd~2Vk|;2D|Q?K$+5^MWGn7AgDGWKdcR}VkAonezv3+M zluty2c+=Pr9{JE9sF4ocVLk1OWU|S)Hi^vGDVuxwROtS}_Ceq;<L{m~3q`B%C}(?( zmX?$6!rJl_i+k4&9&Qxpj@M_H+zWkSaCQI1_n#GWA*TjcW-q?~F$w(DAwS*Wl~<!f zxq5<o*cO&Kb0IE%lK**Ub3}bN#`IwIaY%nve5!j($Df_k#N4d1H~~$zVTmue2Xemy z+poqBHXk=<Q!RQa2mJ4X?L+9{7BDox)z2~GGAOu3wn_e~{kKw0#ei3ybAR6^BWVDk z-}L9&fHmv<J&ypwliklM+ZC^?D+a?Ne+9Qzv{mkYGXI{JgYMXX|I}Y%%*|PVZHNy1 zd)3juG2p;=w4Qdv<{o5W_uy`LmNuy{iOtpeQv~yuO%B!o;;V(<D#6amKNH4pQ{6k! z3NHfdOzz1?b)th`1g4sN_f?FzvaQ*DRJn^lUY~SX|HU=k@IM1zsnocEE1|G#++TNr zCO76L373SQ?zSo}zB@anyp4=oFBZ+;UUA-@%dxuJNOQ<9boW0&Wf;7Vh|3+9oalLU z^=ZcWL2dM{<#mngNU1I^HTvE@F0_PMN*mxq)$vWa`?ufb#u7798P)01`V}1%+{%W^ z!7gRFjmbI%#_b5dhHe2U;AP4le&chKQXdML==QXStppjG4gNrK>yvLYZ%SA{0{MQW za|R6p#_=bZH06aH;;pC`$%O+yRch>~k`-lW2y$*%&?u}!zCNDl(QdW*N!iN2o7&j< z{80EG)xakfar~F}>K}`|eaKxs_30*QhNFCUdy3hS@3bj+VDV6^*`_-4+fgLZS(ibh zfOyu6*M!kpr`6M1@7ZNv9T_jQBo!y=?H*XK9@@Ez_(flAlp+YNSr&cW>+8-P^i7My zYpT!LoG;3~0|v<DeS$<}3%A;HxyTuFc7FmL<p*msEz*9B=N>o^E$w$Rv$S+uZ+|?C z-8>1pCTQh4O7mX%LnIoqzP04L`KtAE-ewS`{VN}6TR*@<g6f(we4jG>j~WKMV0yv9 z%^O|w$YptSFz_zI9J8~@WeeS?vpqU=8w>2b)cbP!-Jh7}cNd>5PyVe*n)IK{93Qr_ z3kU7yZF>o#w!ZjaXQkh&hvxdh2znwJIpq7F4>c7A7$xLp-aKZJo3%bXsrp?V=uqoi zw{ndByme|B-P&{m3}0<iU<m)&HVF(bY+D1q|C`(FQ+3!4kYA)A_iMAfzaAhfjxr5w z79ZRhwMPWROZc=!Gop9edRsem<ri@&at>1o^@Hj0%M_B{OtSX-h_<CM$}L8a=NzhG z+qv+|+B?$r<mo?C?a8-=G0r^-7RNSat;h$wko0M5XMF$HdbE4T%URAeSP2XAS7rkk zq;d>`ANSU`6|=4f41|T2`?VRdtq1Ta4escNZC|$0foduh&R4?Q(m-;HJ5)JNcu{+9 zr8o$wG#nqOE6!+H7ID<1E}+|$7at)tiEH&(k^`qKix%5Piqvy21t6s8@O_}=xN;-w zI5|$QqdE(-*s+iXxg?cFkYgaJht+<7ZMcW^K!)`I@PU%x%Z+@(&2gH*(U<gmWIL(L z((PJGiS3cd2fBl01b8`43^@7?<Tz!sgi(`K_}#7-xCkjSTpwsMj@-yoY(IQa!8PWj z1uE`McrHdG7C2jz`+zZ9sU+M%R~h`&$tpsmuuBm3LWqdHY?ktoegj)~p!5xv0tr$l zJ&2JV(0ka3-(&5BNV;8{2oO^4cs@`i+&sO82i*!29;9M<?xuhc%8?qJqvs7m-StpU ztbQA|XIpGwV%Wg$V*?}ab`2s#Na<h`P>scNSnQ3(a#+lQ#gte~gpm4;#Rph?jm2Or zeuTx?6jf1UF)`Mfzy~UfCpW@`%}5FsKfz*dET+X`lI=9;t0ESGvRPj2J?LWzU(}=! zy^r#UCaxbe9c!hJwJOJ2nc$R}3r0=uW77;IYf%|-!t%dZ?uF&8SZ>`dEwu+2biE)O z<ADP3*QJ;Vw;zrq8rBGuWz{{>mwbg=meu&E*0mJ7CDOI>0Yl5luul}QHK}VmMhGP# zmm8rcgcoN0#6HQF*e5B0kTPP#z6)7za-1IG>q{15%_p$T&4*&7k<bsCKp{8shcL&< z56gaF83MZ}DyBbU7-1uNndOv$FF&G8<Oj{AlpC>$KeiQVXZ3;JQXU#Ktl^f;o?>s~ z_5Hn#Z%5cIT99(;<bDM9h7XByobIB-ruL)Q%gp<+5tDunTlLj%Oo|*e3f1ezXa4^A z(b&y%VlP`#T%-;MP9(nv%7T;`_PK{p{O7%HrKrm|cVT?xo({%HON4t=Wz=J`(IOT+ zg=J$Oewv~$4dUJIIQan7>+THvND#suHwA|cPmwr;74bbv7JrE#gbU%1n~xuIA4%Bl zjBi7Q66ij{4d+Mb;9yT&PrF~>bTT1dMb+Ym<0CHdIt`oq%q{>n9cySxL*k!(ZO*Xg z59-GH>PWUmcuPWg%(nmfls0Fk@_w=7sCjD&UUE}|jzeOXNW+AeoYbI^kXRAO?;qGL zOFRMD4_1*&FmFkMwAr?r+@yl;lLkMZPo()nM1{m%laym;Y~fSE-KCkqS*e@kt}5f- zBhM0TC{Uc;40ubVsI9uEw`6aDe%iVyk*1!8AM=Mj680W}wbSvES3cbyGh~X)7sT+m zA2KavgqMTE`bW9)FfX-97a#oTf*(Fc3%l5r)P*wR8)hmrMWN#Nx86@we&6kFihoK? z>t5Nt2#fpcwh2AqsJSpPtqhg@#n(P3dCJsYIxG9DtYt3p@<)I<<@=NJnxGf%cq52j zQFj)bDK)x@GXWtfLbX?P6D<5fjf63q*{QrB`@)7tE^kO&`x$@_DXs}Y>@?<3k+|5J zc*)s*zTXF&zJ7HIZVYr21i}ksHdLT}<uT8iW*v7zX63yNpB<ARQ$296+7Uj-{GeB> zzuC40wS|`&bUQAVi9Mi*#I=bD*oG6MFTtU=(yO)=JvPK!as@Wq4naK_ZRQ<YR~3>X zb$&Q9TEduMwyjA0@NbON2u)=%;nxf@oP4SfdSqx+Gmi2vk%G`5Y#zI<a~#y5EN^eR z%f~j}N9#+vQ54KZqN0pG5}|y;{Gv)@SLfv@p@B&xu7{jJrZ-{V7r%dwdK(+}$fZ;% z)hW-RP?Z{LuLhk<jGcP(tc=99QwV55rq)T~N?T)2wPAgF<FmzhW8$n^*Y!8w4(=)j zWBc1mx9^&(1Y?`2A-Nd#ayBUDA4Gj<j*icAR)cm8$M|Sf5xbs90_Vt+o2yA&H>H4c z1Zvo9lF9(*h}GsZQ#ZX|7W%8Y)R4HUi2)xH#cXD#Ix)U1w5Nu8szE;{#>zyE3DHd~ z7zqW)5C>+Z@@6>{p0gWEaEyHHQ-eQ#H<GRUWYRwCSqaS6TMc@d7%LL{n-zOik{m07 zTeyaODZ91Vna&{Y`wdfn{Hlb2kHN2%Trva?a7GgPaFI9Nsb?&T=c0&}JOEm!`^Aj5 zbRlqg_q`9pz|5&jkT6}(k7>@5@+RG{1liv;o}7ARJ!9CP0&?i}yi#kh3u`vBh6-2d zzMc1xw(?&N=ak2N`FpLetdq%RxuH}?x}1SbiL7*MZ=YAXcUu1igH@VH6t|c%H}!VR zPrTezp8`5s8b^<dqfB;r9A3sj{83ztt%$-94ln2dZ`!>8wbcw-?nHjoqRf9Qzie9W z=KogTptRhT|E(l)Xt{g;TNUAi>Oj4dZF!s;?TNH<W2LU3KVPblN@kYf+~FXpaPNqb zDY$n8$Yxv>LX<)jhzM=ny^N10K=9#$LBVfk*W9n=BGF3S%_p{dhftl-n2R_{K{cq^ zXw2&ZzNA#AEXl&B64P;Ca$XkVr`4*XWuf5rv6N3p>w5=2j@OT2v^g%Q&7B?sE$|Fv z7w5Dm|71O*3XkS2k>At(iU%qqcEwWwzUEn&qz!vG;>5?S^-=9406M21)W;pWz9R+C z_3+Rp)xMi52^MPPJHvx}SxF+Y#+C{k)SpbQU|nsq(M&9a@EYTO;Z}n_FpwdHB_FvS zl$f8IZKsx`Hl~%1y)2AQQ=8C-8l=QhJ|)#waP?CH&XLWPkL2k$?ET})LgR_i!9T97 zXeO+}u&!PSsX;xk+VRZGzvr|Y4u;r@|8=#Lc59){;mSAigOB;cN3}q<*U}u$R{_mo zc>XQtyI;Lrud4(Lz@ZGl3B`zS)?A>2q`38P(|W-dE}F`fGs%Kd6d>Cpg}Ri)*dS+) zk=_Bd6rR`%I}W{H18U3qqz6N4(882hHa*gVJ~e2K0p8BRu~cxr$>5qRr%Gk&z+_cq z_>cVV^UpJDu5Bv7Yv1Qj*bGi_zKx||Qx*bcPmPVtr2jZDIs9fSDWf}sr`F7f^cRr8 z9e+gB<=zA#G-^(ughEP3+V+T~rCh0+LaJJtEJBo@+p{3F&V0Kadt>-|IU{N*e6d(H z$>wBo9Yo~^nK9#~Z__<<k4_^jV;kS_0-8x(@kdxjr*Ci<{@6?rLfvv=^RQWEcJcB9 zUPnHEGWRGgt6?ndA8#WuqaxXV?eH!kUfnDj&XDsv!AuyM3HevXXuNT+1Gf<*;v-~b zC!cd>p<s5}e9HA_1tIDAv@jw1cdeiPID^OI+R|g;+Q9Glr8`pQig0zYjR|odt&DkA z*V0gBgsj*QN*HlDiwiBy1KAUW#QfHMa(eW;^tw6f4@ndl&=4I_cy5D(nT}ePk3BQJ z9r|R-xKokWcThMcq7>yWw#<xU>wXVua`E$e4__v^pT(xZu3jf7)9wFb<t<>_in^`A zILyq<%-C?lNyE&{j17YuW~PRjnK=$~0}V9n1{!9@{^|E-o@S&s(&*POZTYUf);jxa z+vi+e-7DL7|GL000cpmtUM_t~!pV8olD&1v-(rlK$P$;?f1;I}$K9v5d)dD`oz{jm z|E#QGc9)m>(*cU7lH#^Q6yE*JiITqJ&Ofvi-kk3U7V*Fl`gLQKb#x)rFz5H#rZIpi z3%ko-=~O@J{-tYiZr|O!)eZ2biqHpAJIruqACd_Q8w{OWrx1T`#$om#**I3~)|`<s zr1b#jmv`dSIqCd94*%~1d;%0HR1fT>F0dZXp9PEok^sU1>Y;jYFReh?5YEv4tYCKt zG^j0zM+eXez!>IH7+4SRrvX<3niUsO+>e|x<-LXsx`_TPY_eyZhj)7LO_z3?vTpu= zNn)*@Lz7l~%w{r=ttK?h!P@{2uTUZ&8-%|ISQT^t*n)gi17$$;L0*0ZI;%K{J`8S8 zay}k!*%;@(i^L*){q|QS^cK!i=MeVsIDPf|EuM|eAzPyTjWuprp{VYVc#sm}#IRJ* zZg|*xDt2;I%sV|QQtt;s$a{}QMG{8&&B{#ene~2(TFp|2E~prkJ;pe!^pote5wY>- zt#;s}ui@O@e;mx{NHbp$qjJmosfNXyQ^d{6kI>K>=W<FCf7w3EOEHH&spPjk6p1<x ziw7wqPWa7li<=ep(}eBy9wyK=&KakOUmM-7r0X0Kc(W<5`_1QD?`Q?CZN<EYr)ZA* z&6D{yA^NaA+pGBZD%n(&pY<Nj$~4Z!mL&Gzz4bESW_`y_{wS_8B3}9*N{R{h8IF6A zYgy$PONV)H=zCrx*O9YT;~d#<1<_W%8quZ^aW>kpJ(QDrw-54TONZZC_vjpY$x(E= zzHPGMKI^h#c+fiQ1kuNCuPC2=_@Z>fdQ9IYII3;>e*cBTM1@$@`5*p}g^R<A$9wH8 z0M1)uY59J70)k>lL7e}~ToiJ!NeH?ga{+l&!R;0mP2wD;?4hs*L$n4Gqg0|1bA`!3 zaPI@FQTJB$O5oXc&DZ;^xAR)Ji-+xlzocBCfb**vy$b>Bhpu~4<1h46S5F&sW@zPB zU%pgyiQa2R&mP45Za4qyN`#KeL$Qz|US*o1WUZ!7DNIAXLYq5KVkPOTCrkIMgv&f( zQH5imkV7q0r8<FWc=2ac*12jqAP><S5j+8u2V_8yB0qA1P9W-`dk8OEfpma8cyCDX z6>to|3TpuUiR_XcBmqDJ2;pAhgNgwOkV2R)6ktdY6$BdG$0kSvW<Y(cMIPV^gb~c6 z9Z30wI}qp69%u~r3Jc}~^us(7fMy{BQ7><R#t^TR;0%a<<VPHkwwOU3l)o^z9}pBa zt}d}FD-;_oQixk!aTs*^vvtp+f&&B83Xu=}2-P5rw#NmL5BrD(o~84d8<L0cg4!T) z5d{fDJV0+Cxfp{2AiN+ph%T)~;$~reBtWY`A}A-=M|EH2Uqjym<4!P??p(A%h7ew` z8`v(Szq!%!ksjUiM=27u0mRTbkdM$HRxly38mbPqgAvRPsss)J1p$F*gRfp7#hsiv zpT$@@x+niMUs(#3us_7Ihf1D*Dnow&B@d=$!|sb|gTVgJp!!Cc5l^+IODF~t;?QK$ z@PtxviM`$yn%-NcBmWNAyuBnsj7rWFJ&YrHiU0^>6Q~PCJoHLi=6AWT=F#!!v&i(= zOt<B1)FD=K$F((|5Re(&_t^^3n9C-W$Bk*qYS|hUQ54A?32f;i?^_-tBx;YK()OWN zKyaUudOBJu)TAgZB~(POMkF|rz@MLgNIogGq3$v-+*6$~&-4CVTP^90Z)uj&FEeM4 zXn~RylxbmU`6%|1+@{c?*y_n@tDWLmXCrvRH%sLhwD1GV(LJ{mPqjszdd;5PQRJuX z&vaQl#7YqhTPTv~kzr%GZC&PVeq}o;c1bqHo2A>Odu_S<PT|Pqh4Jx81%0!*F3j4r zIg$GjTlpy5$ob?*-cTDngxda|+AmGJsI;2;s{6K@px#&w{vyJHq0gn9EL8W`+`dm5 zMf=Yh8$rP$V1GHd=Q-@6uh?s|pY1Dc0bZQ*0#6$Ik1#g1<eH)x&w4bSMS@WZ0x=Wy zCT@Vt9B@K5bvM>TNlfM|EG=GeRJEeo27<+crh@-mVhd~v;aW+w{ukQK;bAmdM^H%@ zFG4qs#e$&%F?%PxLX~X_x0lfOTl5rac3UJ`%~m-cFXHdF*eMX1dqBA?-pb#&DH9`R zY5)bd0cy?c958N@oFGRfJS|>`oKE(l$5^tQA4erL?HP!=MA5F(e0&d+Lk>3O-b76* zJLfc>Hbs7<gfr6sl2*(y`FA{J3aXkf8;oA`TyS9yJ_8HC78|2R^iqtoc~DsUvw14a zW!My87Dfok=!x`}2&pjF5ZRjm%n2eByI6wXb1z6RlI*C8D3|9`XV8-AFNP;BBA*Q@ z2!LKP|F(^&haQX-It%+--851QKe+fZ0)6iRz!pY63)_PqYz*t275@eF#*dq}xc-Vc zjqM#b$OV}FMjixRDT)-_iG2Bjp2nHT))ev`R#hQnPcDRBD{P_y?=|{d`D(Cv8r2HX zpAmi@QM@G>eVQDa3tnt!5lv!l5dBVL{D@mNk2{mgPfW=(C>DmF_a*Hr5D}UJ#wZ^$ zA4Oakez1oESruW(y7AIPST=;dOzg6H(4&u5d)`2%i?yog#4HGgv$R}|q8i2c4WaM3 z1<h_U&TJKvISAI%5q_6Tgg%pKxT$UXcpdv4X6w2JZE~2lu?K`&`=#4>;F_PzV#cbm z2c5YXeRdmk?c?};XNx(#c3=T6T{ZFmX{{-`Tamfgv%kE7Z0owH4Y^xz_?l9%g)t9R zr>}(N=Z2x#DlYR0gdoD@)LK(kw+yp8h!f&e0DV@w#S+CUUuU`TMKX*Dq;Is8PS?>M zy+7h<qbbA`yl=nOROZ*M(*`xA{>>2K@?@<k-7i9?4Q|T9Bp>n0UqV0cbc-fE<JY!E zVJ)(=kGj592OP=L&pgER49LJKy!!m9*xzS3izoLP7&hh{2;p_?HX)I`bNK3hP)kfv zS{%|y=#GGSSOmuc$yC_#8@V*=iiJg>2WchiP343I6uc)=q<@fX8vxi0ftE@e1zYQW z)EZ*>*_E)w5KFi~$4Szi@H_IIT>mmc@HM|jHpQa_4oD2d091xJg{%W~2!QQ?)sRY1 zIf$Q_KjC*}>TT;aK89A_^=f~?uHVW-;ZSX?La0;=-A#o<o*E_HC2O-}WOn*;;@5Bb z9l^o!38u#q`F=bCc}kjeH~*e5q``%;_97tMQX=7sMkf#cZJA!QrEfN7Ul4t^-eIb$ zfu5=M!ack+`e3Ea5<lIg^e$kzLF4Lmv`rxl#@IF>pMzObs2^s^qk;H$#PWl)nGf{N zQ?4UH_=tsVz&6i6v7M!Qu&JY^PX<{YXEtax-L@f;we~{rU(JLzOZ4=O(mRxiB4vTp z4l<BiBx$9A<uq7EWs?jGOOup^MGid+DGUzagerHBz>UU2j`b{o4F~aK0i|FUwOl!& zlzrwVMiC#Eb;yJa_Jy6<gYlIkv=|}O9NoVg2K)!ekE*FzoTF^YSR|VMLPN}OxrMD$ zp!m(%WGWZ(xBRz@($8zq6i#B1`sjn9uGN#eEAd(U<z?#Y%5j<!y0`)e%y1{MPR<QP zNP>{3YBPPqNoHb-HkA#2pU34JDv9Z&>gd?J#Pbve|1`?}>UHo$JXP_b&^7f?@=2xI zja%ZWM>DdWtE14XoP&MZwQZX`ta?FrOrFaE2WvX3DdKA4vg+JXE@7K@sOWxe7nbAE z)c7voT^)DvgJP{Evtsb63Adh71TEQt0$d7Y8?)oeHsUcf;z4t}F#sVsxcfU+MXxaX zE+PA98p1EBy=YcmR%yWdWPOSFep~hZx~lYkFw|Gm5Of39M7nj`CnU?zGj@zvaAfH9 z>`QtvO+%HJZT+l)atpSqod+2zwS>Vc!dl13wvMYz*!>n)Gjw83(TaMzb0qgb)f`hd zAK_QyyRYyHJe_T>Omdb^@azjr^Zt?cXZUlU@;3}fX#{$A?899-J>RJoCB>jK7bs&b za{in@!+DyI-v?(c0=4$6f%&MS6Fpt+{_=lBcpr?Eh?Z>M@$u<QfWRbD{N38lXY+6Z zhs>lu3Bt;MtXTq=_YM$VYX)ZRUKMt&GxPu7b&lLwdXvh@ik|v8>aht~f0NOg1j8Ns zn7jG9O9kGL_5a4M_dsU>wQL6kYXuM};IfZEu}Gi^{?!Eg$z_&LW=BMpd<K~T!jKVv zFr9zm*AdoWi`u|9E0TTtMfEfPteR9H&f-LNnVhv+xrt8BQ_o--XrY`~V`ShdFhNS8 zJJfLs$+(J^&8c_pg_hj}G2s-Cb3IPrx=L`{M<gp9QlmgN@q>Ex%<Az6<!bH6EA6VI z)kcN0JKFbcN6Dra1zp;Sa~{jZpPhm;&K5}y84UK~O`B!0p0gXmWwE^D8@**U{1f-z zn{cVSf5bZTPB?M+EcSOkWSWXZczb0xxyyOZrRK^sL6x2QCvRF#>Ls5lsgDx*cx7@P zOK!fE)!?ie$v1Vm5;*re-e>QJc_(B)N$YE#n_KDHD>lg}>JlXjTLeB(CJWn4t|gxW z)TM>H{Q4PoQ9#+#msg`(wCbblK12!x&OaTwE%^=dX4nss9eNqMGcAY|bOn<?$TPXN ziBv=Jrfh}PQtEYwNr6O%7={zsrrNR^tckYoO+TpDO((k&PkoauM=cHIJc}7lq?@u8 zb-j`uWxi+avnZ<}5opM6=oz&KVu2Nbd=OjknS?m)n9lz91!!MWQJn2`H<n3{7<vWQ zQe;U&Z!HW8k;B<5@se11wiZXJgbUj<{H}JmzCQO_99du~<o~L|>#yUBQcg@Ow>NF| zarZYjB)I*ft&VolCpl7`5KE`CKITUfR@WMOPVwu_dV!kuj$&b-lX@H6E^Dc9EMGDr z-ZeoxW2Pob$%3&Uoz0yf_ZHQnA)D>6Kun85zQ9T0*d~YdojXx>6|>v#^jDnq0y(82 zb;%GfLxh}<<Rr$z5N{<*3M<vZh`8@Ct>JLVfTV!7?8ACVr2?UNNxHZ%h*r^bL50$g zyadj1!Gcyb)9_kBu1{gG<jczt5Dh92{xSqe2H`Ji@~*>FkDU03NOjQg`TlMaB8hTm z<Qg0Mh7Lj$@sZ<Kmag?mQ=q}5t;tcJe5pTBbrP;2eN@8<CeM%mi(l_zjs~esCyviE z%^Fc_y0ozqP2RUC&k(kAb1?~qc1GnvNx$Z{Qe052^cn?b)!7vx5uxH!#`(C#U-Xir z0N@3TKN`3jKnCp(acKy=fcA$2zX9ui#;xNc8X_?mMih8#*2$SiEh@-90FJa2izeFF z4P+l6k9ZXsX&2<;vp}^Wo@bsqu^l*<jtz%yvVIivgFt=x^rmGoj;@(NRYvMIYoWYY z<@DvRxo@r9<4IXLL)>UCL1k`y)AU|LI`UlX5Bv*%h}Qg<7c2%pzJei?GneIsl9_<K z7AoX3_~ixQW~~xUHE0gEAszOjPJT^XLVsK@%da!2m@k35g-Tc6UGf2DA)d3PSZ9jJ zcQ!d)W#%rPn^Dz9qiC)|k|LxiaZ6Qpk{<i~e-4jCRhzw{xpAq&q!@83ys$z^>~Ks~ z{4bKG^cZ1fwk-#PQi{VdsTP(Ck_Od&fu1LUWAz(d6k<RBPee?s;3Y=C8{B={ALj_) zz-q$-oIc#kuXCW&&^8l1@Fws9VhhQe46F~~?lR_@EpGqaNy7I1Jp7Myxzjr>dSy}~ zDx_@&ts=ErSt2TgZ9A<ZrJ6a#CQONN7Wa2qUGXj~Sw9|I#H1|vBUJLUpCy%&c8n!& zpSz?|$}o<wZMB?%{=X2OToRlj%2UKNke5RSSlK)DiKfXz)JIIH@K0ewLe@-Z2^O(J zvOy+j_{4Lt&Eo}q_zr-OUK5uL-b_W<@|XcF_Fr(YUUnh;7B1oV4p1TbATa9YQ^U;( zTcAhaz8l{+V{PgB?%_;tgTvk(*IX|5sKp=Th7eHf3ET;?+Jq8*#LF{Q3;vY3_z!8T zwg&`OaAn-Ilx1jI8Vcdq_&CsogK+<XWgzj#ej;l@;&SRIW4PQ`>9g#fL|FpyE>b#k z0aILz%C<x&=mlTJqNo&o8CfEK-)*O2+WFy$+7s}Ga+1>mb=IfOas*qh#5NmD#heXT z@h*bF7R?l!oxMDU636?@u24DdM6X%Q1yApvsQE{)`a>@7``XOQKl*=X^{q{`;J&Am z<p$M144jW;mmJ$5_gg;fqNsi49NlVqxe}-ph_Or2qUo+rs*=>mZe<(^NYf}Cb?I%o zb_fV#N(sTRtrXHRrd^VRi?|Bf>wIk^6V7--f%*&Td}I<8z}tq<ouKVx)a|=1cE9q? zURI@<7k!)T{FSY>-lD|V*>}klVOCGN-tvR-NaTTu&aBL_(biCd^L?nEIO2QTQrTBc zxo59_H{4|@6x&UW>%c$SsDldYra!xw%E8KSlMFiLME4!SsZ^JAf&1_^KT&Lj({3)t z0{365KQJCs#CF2#<ZtbAqJ=dEx^1+#$%+49rm-!w*U83zm{s*h&&5j`SG^KniD4D7 z_x4RO)CFqx^1uz=m%*7O16>qv;<d^00s?>J%VJ=DXuBwY|2A^g&U&muQBObw6k$pl zF}lm}c#H)kgL%dGKQeteGhZrmB06Fu3uz#3Tb0TiQ%!b=P(w7ZVfLo*%R=;FGS`J6 z__?$)%co_X$RXjgoMX;>O>SOxkASp@JO}Foa%4LlJ6-PhOZMphG;%Pde;c|NfXR7^ zAUiq+5VgZg5v%IGit5KdSWEGYH_?>~Q7##vTL5F}gxqN8-(?#=<sQLab1sRz9c%ZQ zKc93!hE_|OKAPc+hhZp$)T1p2T3?+X%Jg3g-iYsnNRWso+GTOyp9D1Uys)V$;w?$n zRviW^R}mC-$yFzBUdZyOYv{Kyj=ZI7G)uN>R#$awC)%}DwApI@ddt#qC$4!Ai@Z>o zU7?NIB`U+j^_)$AZh}&C?>FR?UrlZ&++|3cl!=XXa_#$4$)3-Kxug^jNCOV{zA*^y zKx(oJVe3ONny(!sIuc(;X;I8dKZW(7GPLmnw(0&<+rZw^$hbVc8)!_M?5SjR{srd@ z#%EDs$es=%@EKz16J7m<Ha^<d?%O_q$_LAeOf|-hPhK|gi5(@}&z8MPK4c(!a2?{J zLH=1hjYDq75aKtI_+v17A9*Mn@^2)G$3gTy_Wy<=3V)=kJU;F0fDGqhBS&dL*?=2h zV|0Wwv_BX44EW@J8|IuW)E*`O)DT1Egk_zyKCHXL%b{jKDJ|IcS5kY-qom>-<&T3` z#P9fp_^*NGjs%Qu??`YlzsfCV-yf84+SJ9T<}&||s)9u?RS#Le9nqSk-i)C_zb*7$ zarDmoZa;d^K*N#$L{ljH>HJ~PcS~|-+ecdi5yfOZIB%quHlixRVDeUYQ6<mT7wwd% z7w)j+dv};c+|Cx%-qtQFmlx{<(YT@AWO|ZA6a&?RQy#=XouIRdf#o^j&FGNZ$CVI@ zc2*OUoM#wAv1q4DNGu-}?)Rqscss1i0FkAQZ>Nkhir6MA0b#{fFyzJVc|tG{tBf*n z4`R~gYCbM#O+4|06Cn<@#o=e<-D|4m9*IdIBndU-(<=gYLa>|y)>Flw66am<w{)OZ z@8Z{FpjJ`h*La}_NFskbswk-EubDy<u#G#Ha(eh0v~8*Gl19Rjr2Gb;jq?iFg+g)) zU=In7lXp+33JZ=O!Xsbs0v%$Ct#ZkWq1c3`p;onISa79`Z1Bh}x9ICK|#!hM#G z(DfDtSO}7|LIF4hx(5Al$=A;+3{ey3#c)XdfDZM=%aos`$JD%caV^?E*)`%=c)*9P z#E5xj7yp4GFQ%dsDu!4Ml$kO8EDfjNEk<VHC1A$}w~!j2a#=^U7+_SsZtCkzOuogd zE)Dl(Be(3-NyhOlM0-}4dfn;za1L<s2$ev5yJ<+9u<_<|`SB45f&4DWi;LlVj9{DK zNLts?nj(l>Y0#R6;`MN#37#~Yupq{55+~d#5`}l@x1leOeHK--$H@JrRsH(O{Wesa zX%gpIM9z6cij5XZh0mADV$Tm<SF$*}lE)0qClA?y-D|1y^N?O?48<Xc=U>#N$4EDD z=+)^^NaKXnXn!`OzYB=PRlw)hu*O{3`tyKW|J@ly@x}qC0kwx455#X=PF3Fm2$_sv z1P7-8#t4syApL;|D>$$t&<k=4)f*3N56;zwGD7l30WSfy0Y(VkFyP*`{s<B$)TgCT z8xEs509Fyp+C})Ljn*XLBkCpJD&-b;rI3n;-*s2!G@0iS%|4#2U%j!Tt1&7-AKIG& z3<GSp;fujLl8Sg^WY!+Yo8s&pe!)y4#awVBXIU!Ah`KFEtb<;_RP+s#eLy-wBxhMJ z(e{bm(Oy3fFbVHO)`F~S!t#P3>X#nXmUFs=*)28cF>p@nQG!K_9Ka+uv<><OtHDtC zFb-yo8bZ$IPThVMNjk?~@W|$l+r9-Poue;sXLIMukzv~QCzh?15GXuH%C;lfMk{7b zlq5uXWGB+DQ|s}m7{L#ru!XXu<B6jUA(_VPhb20DQ#dsCp!*US!Rxo+LB0^o%_H1% zfzeq<p9&v|OG5h&3Y#r-oXHiNin+6QXOs}-ON8#iDd*v=2Lq?Pse|GI@avK%yJqTY ziZgH7WS)@S6Q#u(SphlaF^^~#F2(q<&<oz63|lAx{_{G#5OMN2C?Or9xg&2+G|Lc3 zavUhqB9ud3h<g&t5RA`^kU|<nuRHYR8oc<ZC5^xcTc|FlaYH&-EFd@R<!8rBA_4<8 z*wW90DBg*@myOD8sh0?zuH%-;`6S=NH0)9YI44X+r5L#03Z`#^A=}4!f0*x5dkUtr z-A0JFsq_6looW<ETvqBfN#lPm6LCGdtYhj%G$2cd>chOm0kXmMz+Z}kkRWCugh(#A zLFxcyfDpkYH3$pfJVNyPSp@M?78C|Vf=GZ6B6eW_V}f=82~Y;e9q^YrAajTW*dC~z zCX`Dh&^q7(QiyDe3+>VXWC=Wg$V2hQ1%Lnd)aw7aTD?<9!7S-SGwiO#{H|$K0X&|) z4D5s|9a!KWz;1|h#110xInWQ{+;(LN8{Y)FkOozpiYWA+3trJ2U9Xp%+eXZ$I(QCw zqShDK9{Hlg$mZHf(-XyD-UV12Y8F(M@wr%-^W7?JA>RLCR<EJ!XAFxnr3R9RF25Ei zT95Fv*v;Jve}Ol`2b1#mS))5ghy(0KmSs=Y(eR?r>K1M=D?x#a3#VtnxV7z0MMs|8 z4|YEGK|Oq9P6}|%Vl*O5RL+JrDXiw0hG_?N*lNb`C!U)-T2}3D6pj&>cMG<h$#~hn zid8*{ZzvL>3hs=<@vb0@Aib+|HS)Cg>5Ym^W@V|2e=%z0LUtKIuEFtMJ>sNzYwTj$ zreRo8D_W_sP}bt8iDq-B$zh0`nR{r<5)M+3&LBBqn~9^e!<NuRiz{P?<<TPwt77{W z(cem{9!3?ZAQsJGNfrLjT72=GuT0@xy!ca;_z6kavLIru7q;F7ean`rP4EA$eF%9R zP`;zchXh;&*3-cMe+h`cO!Rz3!t1Wd7;RSPrtq5qtfn0UnCAX6h(kLbnXxve1aJhy z*0te81Zz81_~`VYFywmZMj*4myMjFAYLlb|vvCx@J172eQKG~}9Dy6jNPnQSbhSOP zGd<*|fn~yLgxCRn=?&V2z=L9i6w+cJvBVVIiu4+#FNlLSfbW2~ga-`)5&%Ma4c9W! z-7I&!uSv9P-^Gb$2Id&#niv$6u$yTFtw9}uB4DKj`Xq@FVpC<fQ6W$+k03Z5!H<yr z59E2#D$Zu-U>;&DC&-XTa5b0ilmV0p)sbyg-f<HqOn~1E@LD-#Jcl$@h8$%E33Cgc zvSQy$noL7)sQZ0iQW|8kx|bU=HapOuOWX1b<JhwD(6a53!QXX|#!IV=U2||{!Iz#% zGMUUo6Wg|viEZ1?i*09O+csZp+qP}nc-j1RtF~(Y+gsK5tMhgDJzcjh>h$Sz`V3Cd zY5TOyd?hEea6C(udP9XV(u!nxew;%PZ(u4bnf&9#40BBczdfL@7I&1v3?Qdy(m0Bq z-mjUlcF<@82<t%g@UcuDWE9)ti;?ds=m|H%<(SLWPdQBL#qEsZ0-E8yt)&xrIn3?e z&t=4t#x)}^N?;D1Fws~IQ{aEwpl!<sRH_h<3{$Ug?2rfnd|WBYFG)`q=MEyVNZ+T( zkR98{iG9=-U|E-)Xib+wdKU%N_XH9|IO=f(LgRVGeZQt_>ZJO@cAVPeo4y`2?|5{N z^`ACVo2Rv&w2aldjYwxe>9{yoF7Huw;>iUPs|0$q<t@1vWTz8lL5|qhP?41db2ImD z7M=nn>NQi=5#DpLAkozZ^F(L9kFdD866?}1ov9)JBy(jTt|KCgK#z_9jNGD?RPQ4n z6V(f~oB(}t!8fu1`_k_U!E!+;0?i5Q1tEKg2r_enE1zymdi=jHA!PW+!2&{PQ~9-A z4hCiovkKQ0zmuM8tvNY)lqis!gd76FhKu5!1PmW>(Zq-Hs8r^3xM$&Iib{bs^fy$& z1<U#UE0GSW0qK(Y)91sY-HN5pC{VTi!KtKvF#JU1pMRq`i9=0(cMW3kvIdz*H^1%U zz(ChZg>$CBGAHB5fVA|L@SW+!7p<JG0Vr<pM4lh`{8vOLo~0jkHke~@IIOpbKX>s} z8Q(??rPXxZl|}yHc0RgWa_!0S9G2)jwr3m|j^;$MC-bq`$Ncqf$akFIKTuQKD^NC1 z6vzSNiQgl4TonRqcUy*W`w+BAqsPeKw6K&euc>wq@ENcpPCEpuloe@Vr5y%kTrBN> zBOQp`6qgm<5@-CL<hR7vF=z!SdgBDWzx!KMp1-FSFU)&xES+#$gm$;bs#gvv>v_K@ zGXalB08CZKTU{b~m%5Z-J$WuHor<>rj+DNZ%oJp(A${H$UBE5Os6I<SO%AU-wO9_H zjJKjYj*Z>cu?p3if&`q(k%f@bDeSEC%E;X#CjYBlf@K^`q{Nez7~Hwv3&oKSJg7kw zt1FWTYV<7}eB?P<sFb?dsF*4+bjPNvvv&(*EXL`q;GK1cL^uB{os`=9CA1Z*%N6XC zlNgr@rj2zpyhU4o3-<t`zK`m+er#S%&DxOM?0cX#?bedk?0a^bSZ5VQ!60;-R3|9x z*JQ9Nb+6ZeA$(KxmY6VF&~?mxa3WHPS1y4IW6B*lne0DHi5YL=VxN7emFm0wc!<O$ zu6$1Tg5O4c$PkD|@F6A9AA-TWZXv`c2ub8#tVE4Oa<R!18vQYmi6xQu&!on|dgd<o zN#NQ2qclJ(u+s_AV!66vQ|d6c?IRq~&Ws;<s)M1WBfb&^DCfiy1_mY$;)l1X-F${4 zajeS{A^ewm>_a63{PqJj^Upbm2BTiRh;~=mwDoT(i5&*c*F^z)Bn<Mnkp}Vlo^M0m zA<@vnI0*Q~6u<O3hKY5dQ@|4V{cp4L8%41_pcgI@O}6wOs-S)!+TQcaTJB}9^GfaJ zj;@vxtJ&{&U>5FFU3ZTCQ0_!<CMo1y-g<Qk-ElvMEN{3nGJ4Q>#GX&$0`ajlYHi-D zJidnT)mMR)r_U1eoNd;fRTT`aKx)5%Eja~#$EXM=&BRSt>4W-la;5voZ6XoNBfo>s z*-H(az@^={CMA$Gf<MOb*&IKn-Z!UkspG~Mze%7a=If^Cb-F)1jHiKw`_y2=JBpzJ zvA#=YuMbipsOC+v36wcVlt$%jw?ULRmZeJ2M``c^Y)BuVo;)*@@)8XQ(|3+$RTOMo z?@<S7EN_(uCsd==dr?dFygqkz#dd~ZJKqP#pM(QDPX?WK>Py0ch%w3$fAwRtqMh6M zc|^y=q*ocqt{Bk0j(AE28llHl2~yf9A+2aTOj;^jC81mu?n>m@AIl<9+K}Lhq3oF{ zAJCXY2YSL?#4F(ki|{tUBfbmY)-?8Kdf9Vc*Rj@1;U|mmPH1jx)+_Gy;LMSpWiT{K zq3MGp0FuftmtwOouRS<k7dM?v7NPH7`w(h1ROKMt$U|;FVjxu_!g;6><4!%lKuxc) zt;apR?DwxVc&58t3hC@X6p_&LfIm2+^|0ZOHa@N0I-?#=rCInJ2pr0_K_+4hs>8jb z>*ZTs6rsqU&o@z}rt3P*Qh8oa8Rq{BM;A0QQ+OmDrs3*=NBAoXo5g@e9D?(0<&O@? zjF=xom~BVow(}+Mw}s49){mt<2aVqrQB##aKYUH~N{6pizxV!Xu^)ix++^dh!1vJ7 zj}7>YYC1K$Yl<b|xZs$jruYSFI7<;;z;enW>Pa16t3z(_?7c(TyiQKmOvaxuV$-$- zT-a|Un*x>%-xF-UkD=<pI!r<W0BRLjxEct!dj}09EkFr7{kyWD73#r(h|%qXo;5$4 z-=;LpfN8QCm~S3)bhIs|K{!vXyuLU2>)k5O34+xST3+3^T5^m-6)e!VSU9;t)3@8} zTm7FBbj`h67QMgy^FUNMM6Ysa5f_YX7;bJ<$feD~mFXfoO;B!so)niuVv_aT{=nkI z`W!cw4TV}D1vFb^mZDA-M*2B0e<U3%CW^|mFzcY6D9#`^jZ<bQg)0j|c$Go7xstY? z2qGypmQ!ZzSE=uK7Z)@lDOVKV<A-wl!QB&UxRJHy3YvgNU{jqYRH|?Fw7pP0D?g5K zD-uR82UqM2S<IvLm=bdHv;=CGBW;8e)X_R=VUlsAo%zM{bOdU<rRjQ9+rL}`LmZh^ zA?UhL(VR)llHRcIX^@mR7M6X*L6s{?*Q_{1x_X~^iKdaW^^c+Gw!8D&7Uh)pc}}LZ zTIrPv&DAe~R5O@GrEP)JDUr3ziiR#<yIh#5&MYb|oD7`CYB#fL9N6x79aGGt0o}GW zX-@)mg%yaZX@=KX3hj-HMjRQuj)`Z|tY~U#*n8RTOPp^Z&z5xoS9IP%ls7E9;3XLp z;+`~9n&^Pt^Zgj1fzKxRryTlc97;JL6C6~HR6_s|V`G<{zC0<WjC}1YSk%H(nT4kH z(X}cb+UtO4`lI-)NI-W3zF^7+NBfxS!8a|n3i#WLg;$Q`&PgS=Dz7MT(;0@L2{NNd zX=Qf!+4#98-Z+ki$o(i~)R<wfK~{VzY}A-#&&fo5seII!ov4@)9sqHR7-+0ex4s1G zr8S)3UPsi83A6p4pWc>ME&ZbuQihD5grXQy18^@=28k)J98i=H@0tj6i>QoJ=rmhX z)~_wR&^)BHjT_vrefV?tdetGZ`Rtibn{X*NuyZ#J73@y{4>V<OVnjyEg`GeWiktc0 ztvT6}gwV24-q-#Y&Pfz+shm_t2)Na~<mRy#^wCkL4aMVhmpn8%DYrWwTQHADWd{Iu zIEbTtTGb$QD3Y>usL{Z}HQRj45WCXs?dzu=8_WOo1t*d#$n4DjTg}c9`ZCvhTar^_ zmLjjJ+FcOTaucrd%3iF}3I`kCzQk)`uTiRJi5a=rzb}x}0!T1cj2C?h?l9LB#363| zcKG|Kb70TcZ9)V#VOjJ}1>)Tc=Ne0RRppbf$DeLz=)2@{vS~Sp6orryFJV|t=((o< zC(GECjXXi#mstWI8HkteBlk-IWQ;;s7WVIAy(=+r3X_W-M1HlnGo*!wIcD)ETO_8D zu-o;*#$>0iC%Ex83e7v>K6m5eW31vvbuT{wmz?Nb?JH$JvCmjor_X}jPnF*23tn;n zm(N{TXY{EL8sutU^uuR^@PUo?%v04I$F$&c%@!}(9Z+0&yGv%^Ct`0j;PkxWMsv<j zr<C-#<KWwalj<bg^l;k#@t~3&)fjeufG8oP5^)rZ_>mwNblIn>`I~NOGwlLmJ}s`~ zuIj?-{vvw>={n^^k&CxKU}mulv_VqA^S*_uH08Kp5f7ghH=GuSH?ATtua5#M#F=w$ zJ`D&X&2zE9Tq~!p8idH{yjYDPhZT0fE2;Q2LW`z}4SJbM3hSw0xQ=EFH-xEr1uLt3 z=!!vC{pHVWzuqK@4r4+LI%1Tp2g>=s=Iqa)#;`nqT8#QxNSNKa@f><l0VpavpgMV= zb(v}2NBUgOY<(|W>rsB)VbryF0({wKrVk$p+DG=qMn#F-zr8KF!`j+jN<SZL$uPx% z-Y$$Xt8=Kbdr$*spP;B*;;g*OfZ4MI9Q~kUI4V-YD|)}utcsd&p1rjSqO=+SysYub zC7C2P=J)LQ%#{`s>?>lUo$T(Qy0rb+keF;rt@cdM<`mAp?)qbZ6On&#JUxF=m&(os zFE2<#O#<ntanrj|cnkDEKz6uVZkB86tG|EZjV0`j966vO7`b-ubji|U!c2?3qT^pz zAHXT558PpK`_q>J^^=XW*#!T`Nf0W)&n%5Td}>tKSFm7nVVE)rSn-3}d^A13Pp1ww zB%Z!?|D}^#LCe7Y<=Gq)4MgT^xiKJ8?#kIaLS0xaB5H_?MUt+a!;73XSgd5u5#L6P zeA)pqqX|U0+(7FdeD@cN)`WQCSgwB-!Gw3hkAJHS8FS{U3pPNbAhdqpw#~0RHW|_I z?23n~E-xf>Am<|~Uu$I1%eEKHqkfqB{u?^fG)ZXssG4VEanq}8t$LPfy1aZ=NSVhj zP^H4HA3j^Bsj_NvE%Q|5z>WCK19#zV0sggk@WO*-E}uzA<&CRuC`D;!3O6|1@!|G@ zm+?^pX9TYK6LNs-nOUc&R=;WJTIe+ClMCU#7T%u4d}`%bz6|A^$vaPnGsR??G%nSe zep;%pm|%uJqHP&$FE>kkhTqE1whMP{plz&z_vcqcmH_6*fUzmO%j<pU?+Cd^s+1Al zj&A|s9jKN?Qy_4csA~V+Y0Bd)5)bdWvY}WDAd1MZfYO<7g42+T(<ZvEyoF09x_y8} zbC~5xUN6%<V;b$|DTIToc`7LKF0@8TAg&eRMgOw~_m<%sYWoe)$KCyPemiR7s{I-s zYa?VOfCufDm-|NzT*cosX90Z4Y##PY@Jia1@Rw92|E(U#(y7$$ixba6_I;?gp}6B6 z=T2DdWelh_lG;i9nzN}+nK5z{xih5F7PAM@6RxZ!Cd)0XO!l5S^mIp!&Xa7+mO--o z)2Z6D1(G$7jUEhT%(l|Yl|IA12gDI3I1Y~nqD%mjd$TRlX*M!6%(K>bBa7?(!O7(3 ziRi~(;k$=LkB!w~xpU%R$ErKod1?&>-KF_uD5aqzArcjfWw{8}CS$G>N%l<gyL6Dc z!mAbDNz4Z3$cZ@+codt=pg%s_t&+}bbK57NSmSBK9l54erhcWeG@4YPK(VM<ObL`i zU)1y_sYH?p-@?0Xv}?4GNiQkiz~#Fs@A#!-%AXDZKuLl>BqkQEp%9j?GN!PUcom?n zyI*+Sdp`LT%cc_>KcmT9CE;EhHtVKcL2y%SK^4@3{n05?A6thkggE5boDp}t+6&b- zAeq|=h?eQ_16-QrrGCuHp(u#YLEL6zIbi~<NAl-Fj0ZIGDZ^jiyz>#uv~G)k$%h@^ zqhKjI{tQ!hQy82(8%bh;<<=aPqLIk4Or|&w9uC%H2~*KFY8Rz-v0F{D4^s!f-1KXX zwKSG*ZmXEt4{9Quut2bhyNpz(&N66H%9E`_sAs&uqah1ud4~AWhQlSFye=fxEMukd z!7a~(FD&ubjiEIf>=5}(*s!E%FrzYeuz)6O_=s+zH2uBhB8Z*dNmz#Evm-XZ9b2KS zz>6HOETG`VA|f|$2U<7(*3H^b&{+iLul?Rk@X|SNdz3nglBlJRbj&HvW>#~uO9^5) z5(ILE*vOZ6Y;wz?U60Au_|1)>h}6gROh!s}>|<8@g`kXSED47%9rDjF##@^mBOiHs zg*O}`RtfX+!GEMv$8_&*-dTUd0(TX&vvZ<F+02MnPoxzGg6!=~GEy8?DLAGm8`?4V zHI?3_l8BgM(Uf}Au*?OiTkmO7iY5x;3VTs0yjW63^zkbu3gQb55u1v>2aVYMlkd`p zZ7M<-^kzdBTl(J1Qq4cB34drsHLS<(DC7=8Szl6#newyoLk3YT*GGC{p|#)yjq;yk zL3=^NB<^+lu;M?uS6gWI&{qn8!zAr}tK)g&xO60n0HllKNEWdm($0OuVFO!6FAW%J z9x+v)ITxQ+r6TyF-`laixZ`EcK{tF@i=}y&ng_Ev&3iDpN0DoOA!-(rpT+U$jPN1Q z5i!4mxkBMOi`%xea9P|7&#;Jj>go@(LXXSA!NJ49(|J2;!qu3j>D5<IC6CF`_~NKR zEM#~|;rn7~{XOBW-UPLR7u&v2BVIe;Im#%k<hf)aBQ4C!f>xB5ON-0;aQ97xYz!EQ zRYN|};j_RJF-C=I91MUXV6reG^=I}3^RYV`7-9O0pubphasSy;)?CSrpZ0s!6pum& z%WFh!$s>LA489;q9NKZm#-Q6)He5C}e%w)Vtih)i<SnF0Z~|tSjCcatwcca4fN+gG zxhJ33VU27AqubxFzHB;~rj)7XX6aKpe&6)(YWZh-2w$9mTJhMq)6ME7no@?N_{(b- zXiY}Y>ni*4XT8fvPVE8pmvO5bUFp5fvxkO>_=l5)xj6@ZO}g#Il<cyUgrn1XiP=6l zsk4@DOcupA-ba1E4|h$I;=NaaQIAoMs;$L3)S5@m$;O=&<{mu8$_g!%B@D7V)y8ui zUD5=;gW9M-x5}(sFoR8bzG)zDN@+F}q2U1ov$g3_w*jjUQ+T-WyT_Wpi@HXLU&p<R z*#}->>7s{9)4_ngi)+e5?&d{*i=qCHX7tHkk?Z(0re66B6RWnZ203<%d{j0_g^INi z^Fnc#+IOhR%Mtsb^9vhY&v*#3&&mq<r$(CMMM0V5``cKB$uN7J+mMKm(nr=VW3J;e ztCsXq85A4z5nIRXWk*Q0n7SIb*$dCLuQXMw>v(py!n4V9AMT}|bGsTu0H$fQXVjAm zmS&fLfEXofr}(k!4yv=)zg5e(&GaB-d8zrR>bj2x&34jGP0uPT0}dXzt03Q;%KN3F zr95Dv*dRt}L5yg0-&Qq+j^SF(l_W(5*;U&Xi#j{-hB`TSuvuo=-cfr->(^4Dc;Njh z)|*(RDFfYaf8#pdCzuiJW(DjKcv0eQlBYH~ss1YLu!2+4KU0hMZyMT#H5(u<$+(_8 zv&|aI?RXBe^#;p7t$=kv3E5YWA=E>-9nRz0N@r-4`*QjdyR!S?CzaXDzOZWej_^@) zWqcOEY1@w`HVi0L9CWd%Bv_>^Mi<9e99ABbS>-CGTjZBX*Cpl?`TQr4+n)XbZ3dd_ z49{|Gx|^skUh|&oc}ZoD4jbmCdiwL;@DH4fqV-h+lb|M!KM5EWFlcs>G0JvRWrrEd zA#nRdi@yYR#6Zc};gQ%}FJV%=j3fqJ2sf|Im~E=P=-4$bBD#q|@vt?rF5RSW<F7^` zhfT4MF#zxBVc2SoUC|cG)|(_>$oUe}`(F-q?Za-XSNzjqmo|B`h&h(D#h^fKp`rHt z>QH^-5&GE+N_z3c6R}}}LRL&%ezIW?`6vCR;_s)xQPtZbD{9RV_MpaKzIoPLAdJ4t zlLoUjXVvch(g$}W1S`Z3GUWQF>1F1f?^c4@t*Q{K(`*_X9H|$3BNTZsUfR;yyw%Dg zoKvVLnMbDbskL<p!4}YCi<BoTI~~PGA@<l+WZF|#Bc=jJvK(p=zf!G^HsPgtk3T^) zar3Nr<5A;V8ojCs_glY<omk&M2@6U$M<<fRd+m7hR(R!fShiz|hhzw8RW}RbVVy$B z%<5t4#2?u)IExBFIH`)I3XlpR$KDg=nX;51`^FhM0!~HU`Ow(BvlEo6GH8x_tNaOG zT{Ck=@*BhmIIY`i*{M!yKA8RCj{^kl)AMw`+zMdud5%kIw4E}<#z6C+uRDc^8$Ryn zwdyx4v_71yZJP0~{2|%i<vjO;17g9iP04>exk~OJn7~Ps!^Kol<>=<f;pf3Kw}NtD zNx2t0SOU;|lGpRBCAP;+x>}O_ioer2jD>GUOzFOmJuUyv;tZoY!%v1$pfq8@yn|R3 zQ`RZ7fmma17<9!Nj}aipurZUueQ8Bt+aQA#ui+v1him_;Y2bL!=JF39YN@?sZD{hU z<q0XY<pw9PROT#RWQjuF#42X_!=o)-IO)lz*2KDG=R=rFr`3XA_l3Ay_Rkf;W4;jM zWKk;L2qJ%>7$!2r;4pzpL*}&_T4ql7FkB%wEly^t?1IkVwf8^GEqw907jo=}E&<b= z97^+G%4fW<44uU@Kln>@Bd=9e#o^l{I?ZtcxRntP&21(>V(`}f1(bh65^5@%H^no_ zr#vrY_c>D}!-1&@gfE|Zdc^)1lG7S>72qkSCeMfy3~}$I!bkc}+Id#Z%V68%i*~O} zRK}~TzmWTz^>FSrDJmkuXcYXFQYT>@GHx63MxOXbV6ZYH&HR4ZuLGeu>g{y5N z7oqR{2QaOZMebTJ!okRW+1LBjcsrF4bJlpVn%$tA<B$t%(UwvF_YsRGzG5>|koK-Z zp_9TdbWislw;tIUcyg@-+#1D}lfT;5mi>ypIR0S)j8GwFQF2Z(5==Defiv;HbWkap z!z~+8G&@<_s0||6r=ZYXXWO%TtiO^qnE5&3U}hf!a}E@*7e>Zb^+c;#Rl><y^W!K; zJ<Jt6{JRkO&RdqG)a08zqaMAGMtU_>v)qDL3y3L;4g?!{DjM6OEpTniZ(z|~el$J4 zJ<`K1A3Z;+d1-#f-r_#-v}vtvi+%wZ+!`IR_J`faB{t09bF8X94QWC<#WxxVGk>i8 z-rA*wbL5_%y;L>2`u4C%weM7+_~Da(PK}J5=^d+PWl{L2A+joLWk56f$C=Na7t_#H zZUv^HAFCe_7Z`NTuN*lT{<&a0`7ALjy+CT9gOmvSg8hqaRa}@-T?D`RnHEx}94j}{ z4(Ev>JcN;!-(;^~B~c;)i#8k%jJ&YY-XZ6<ZoZ`db;lVd(h>VxD!mIg0i3NfH^Eqb z%%4KYk6(J10b*#PuLK+(MJpl{=(GAkyay?)>DmP1f6Pd9VWX<l5;pT61{z-Yj_d?2 zu`?S-W;!Ax-bFk~J*h`HjInIb7#%yf2M@!a8TA+Vr3ROH{D&hFZoG7VJY|KP99Y!X zK_RmWvmGL3RxP{*So@lUdhSpq5za!(@}&Eh88Pxd#`-wAz~*K7`tsY~7o>C&Ac_be zg$*XNN*nDfrh~U5fH>w@ICl{0v=WYMWb>LI&7_o?Z>2or71uv}o+0~2Y-_2=4de7? z|NMwOmyYz=ktT$e=)OlnE37itekH_<KGM7t41~fTE7I8I>%o;Jk%kZXY)(0qO`CFs ziYDB5oSBKO!$M=jGE5NUw{;S0(#-IXf_d&478Yvkz8`xSGukI)uj<UmwDe2$Fc)_% zuQri=AE6I{4Ag<#>XYQ*MO=)T7bik?x0^BM`Kh556fF%nR|K+2_DHeg~27a;AP zX7vFLQ|{$4b4W@jhJaW+y<zfj)v4PFs}OVIzwwTMrk<)t3M-&LA9EVnWffL+WR&Jq z0q%_O<4BUs4xfXASfo`PuC$^$Cs+!Bq}+Ye=^j&Vmui)*D#7_WZC*t?@@UzLzl21K z!>M}^x5@>0Q=P)~eiqqwi#>?4)Vj>(1|I82#BZPirrbLZw<DcNbHS#ewv2s02z?`R zmOYcsS+gbO)X^Ns{$Vu<aZ$)ivE8zSd&pbky}Gh{yZ=7#a{NcOC>$li)lV4}Ie3nW zX$#yW)BSYl?-`mn)>ZYW97~sLpe?c99x(>8wq-C-0v40ldttcLjk-Hif8d+n>nWM+ zg13ON(+}Wgh+wzM)a~Nbk}3c4MBPyK(am(-kj}7hy#QV-3O**=#&sgoPurDO$$-2S zi@$qS^~eb;bWLHxMQIeZ5(_U1klx`7!U2{`GuvOAhCHrB)kTJ2<=b+F7d$Q7U^{g3 z&|HL?gm8cKOew!(wHY*f#hs8{uB>-$Ew;dJuT~c|xt_IgB~o*pw5(|OT%GJv3e@+o zWCXB~gSQTB>*ipE^kO1@dtDUstGBdVW1zo7E>P1z^?zD<4dQ_#0b9Por2l1F2-}80 z<~7GG`Ry*<gWY$iwpjf(SN)3Mm<A2u2o67c`UP(vCUZCvQSskhF>z;xS>8Pyh@hb& zNUc*9AMdG9p|MGb67Na+@8#k;ajg23O=Lxo7|$(XTmKf^m8736qeL6|0o%??$usLO zJun=N><sVJAci(CzG;)9wg?kATRbDrpi_b?vRI;ESCb8_n)gA%GZT;7pO>-cF|a!5 zrn_)_7i1w+Siq>}Om6)_jQwU`PnqOz%>JGsC_(Mq60~&Si*4c0AEq#Ch@qC}8#TT| zeHrQz8w}HX{5*@MMS(kPEdbd1nGBvF)JxDG87BhH!_;O!Q#Y5L&GagvH(Q=%plnFM zOvvo5)!Ba6qyp8WGL3gUexLm?BO?+j0`J@!Xxm;ACv370A|5sI+94y{Ys4H^k$c6D zE=4+YtaqzF*8FN!SxS4fKUHM8(0^qmfr}C#RIP9UnlwvNnSJD5;jHLKDkZEC;Fz3q zQHO0rQIJ#9^`Z>gK%z~>o5#&iOgTtUr-IO5*t!qs@4g?0<q38;jSD>&Im4%4JN^+X z7hx@6MVxA7S%ga;Y2Aw+BFjte3yy;nh5<@yJkDx;W#gKsIn)V4g4|gxZ?<*v23JRL zV2N_Q{8|cF&9&4xoItY+Nv|VQaq$x$k*s7DaP37ysFf8kd-ms)#yh`A-UxGtA@}mr zzOWSV(}=^Hns&PGRAe%6BS+#uAH#{dzIyL3+mAXK!>_eq2pz1o^SLg|;6I9O;q@jl zdU#hwvO1lRoVV*^9X|o;Qigd;q;L<f_wOkdWJ5CTf7$D8UeA%AJs4DvbgU}&Qd&Bp zR-`44Fi7U94UXl1@eRaOR!pwAm75SFG<?n2_X#j5Yd3weBvy4&c?sjxJgM18IzKd) zt?(VbX)E6i9yz1GnvLIXL}YjT<AQdTFtTcB{CB-o9bZ;=&T=p(GYaO6U9*S33gNB? zZY21l6}4Q3Dcrw4Rvv#q8?_>-r0=?zASxzB|I=z|n5_s^!iW}mMjM&hCiK#hHhSz@ zb~4z5{6KZ*mSt^ZWqnTPa;Lrk{f(T7J5b-VZxhjRGJE%#*_u`<I3xk|j+V|O9^ip8 z+2&O0&B#at=@mCg>5Zk4dXKBMOS_!9UjStSSjCVPs>(v>x4&UB_etszIkae<Xj0_r zKa4|t61JFXfB3Zq{-M#Q$rfru!`6VPV=ouVP`-t%4~D2Q&+fai|96TyXZ_vOmFqfs zS4cL>fg|#K2bzv-P!c>x58|LlEZ7*3hljxMD-3d5e)DW|a6bu#1jQ0OVLU0AX)cqD zbO~6Xj)Yi}83qDph^F4U-Oo)5VFD-j)6c5PQ;UB+QoD<>A&9tlTrf{H8Dq3gDyIdA zSJv<gXV*mC1|-Z+eV8m|ep-ICq!wQYK_Kk>=p!LoRYqPdMg9E#dpxWm9q#(H-)87i z5A!nTI|gG!Ex9@=QA@;8a&eYkb7vsJgjb&93yR@7Q*BwAxxdW|;QpsPvBEb#dN)o1 z(Y83mC6UAe$jZjn7a;WGXt#W;%ul;&{ohd^R`;Oa4nzb4_CV`=$NZ=eE+#waIq`V) z4E6kA&xt^_3Gq7hbvV%n`omw&`>MYxFqMsIGzZB7epZU)NtbmcMZWdY_=CSu!nHgj zycTwOvddARV+&jp71%DGh*E$8*bOf8nBdv<FP**479$h!2h>e)KYm|_^+Z2QovTOU zeV08=jf{Tk0yF=a`26$InV}NAnX|vydHWK1Z}nf>7_MmMkKWYfjW9>l*0{{TY(^~t zQ~`oz?mreT8s#+k(R$@fv*=fh7>%qA!m9?bsS)7ftCE<jM6lX5MM>l{I>|Dyu)zYa zoPtJ5!6bB-D;<h625ilcm1kIPUyh}}T3{oW4Qrfdnb7MZ+n05H52_WSg}n{o=iZe+ zZB<|pK#W8rQa>~C;GNE6c>8kE(lsW6i_UUSz@5_`$4R8o1m=F?RG4W?C<;2X7diEr zueN<lv-T^3Uum4v*j5pk<ymu<t<e{wHi8eg8}nTw93;q%<^DSJ$8l2*K74yhL4Pvj zV3<VMos`n1weZg$i?B<l_UNtH1Pu09!kuR+C2ol8JtdT#cS1XBq8J4~wm|MTu0*NV z8>qvs&lzAVur4zhV7J0GYDcs|HJc3lB~GY2>i@gG+~XW#*L)LjQ9smiIWjiJo6^f4 z8&|iHc!bN=$)%8Q7P}pq7#pT%MPFN8o9CQ_q{=SR^GBd3EX-UKDUH>Dbsi+RZlD}2 zL_GV%*>ZT78YJGnBezMP%7#6NRdgLx+U^@y#t1t{Eo41QzcqnDPCz+ln;Xm|<R-*q z(;VVc5Gd++8#L$I$K4)n4wBYAKw#n8Wx>6Hw6VQAsDZb6X0`d-&)9rxGWSp_-Y0}^ z-D_#%J}c*Xi8g-7jS#~mEonb9mbNR&q0xGpo>w!e7O%cxI8kFd7x!8jr6wx5+x-Ue znJ}m(V60bv9%qeJTb$W=RgamMh^n^!3I;U3mo)p16Y9kLwNk}-Iwl*9HiV|qCJgO3 zt*St5$>)MqJ(?;Tg);lg&Q!-OEBhsEes&nBgm2>s>9{iu_PT>1BKwJc&x=&yO+QB; z%7#6PMS2(1=h}z7NuS6j$D3l7VoYEkAM^qq!g87L11GohcUY9Rxr%<gl!I43N8-zM zVNIjj&}`nI>l;k?Mub|qT~L)6l^CzGoFWgI0(-M5J+V<ZsXDX6Pc&&}O^fopQXDPj ziIqHYRB7QD8#y!pR)R9KmcRMPA|}wZgukLO3^Lx-tFTsBF-4xad#P!{)H0lnHZM** zMN)!GcWb$^B*$~oGGRQl)UO47;-p!B44~J->RFQxx3<Q#fd&DrF>b)tB^<jkSC3j6 zw)ZTh9<l(icS<GgjeUVY(jFCn{W9_3nNmHfD(T=E^9#NtjxR_)c!pGuvPn2_&7>YW z1F&!6kKKr<N3o6Ad&W}_v5ncct0(Pg8nJgOC+)3$fp*d!=VR?&!{X@owu{%6(Ms%g zK~*^I8KHWQ>rr1RoF5A;4gRR`E|&ue*{a-4i}s$$)I%;;fT3iK2Z&($SN74x_`E4C z>V;fAVVpAYR!dvlL>jC*OH_y>B^4NRfv3xk-z~Os(XQFlLr-J&@BgTW;79G>W2lF` zYO#5#$8J#7qwr!6p5?zF{@~e8Jt{lq;92|&;tpJa)I(om^wvn)GcjV{q#e7d`|3kB zb_1s#<??lrcIu(7udYA7q!Ih~yf5kNCXlE{>28Kc{j7k{jXiLE(s9nv5$Q<NHXscL zq>va(JBOxHV_AfzMnSt?+@Uiv)LV|0o7d4RGgjprQC_T?@0hsgFiqXAb-8>L6?zg; zktBvGk5v%*I8>pyvBdqN?&^x)f1(lh-b&hkdWw4o@yBiPO5DCh5qDjE0hze>C-umc zF7e9;khsg|t10&vLpyTCOZ?)c8@J{2)y9{&%j2tF?n@HCeTyaT!jrsx3nlK#E_w&S zL%1G^t693LFtG^>;C~$qEX>CLL3{>dL)X=dr74*;dhDOq#1r^fSZ5rqk)MDNaKw3< z;<5}zg?cg0oPz0EobtFdmXLS(j2e3lS&!h2C$NksL=RtakJ#_lg@vcv-2_{Gat>jc z**vvfjYRBgg_U@9$IS=yH_q7O;ufmAHLR_drDrbTGTX}WVdlUycbx_;Y82N}Az`2% zhP$?nskygsT)_Y@hWIKY3rn)}QU^qfD!!@$LuE$goAe7bmDe-S9{4Uun%Qkg?3j8? z2FYny%zw<lORA5YK~HvQw8UtVf!p?uxN=Ye18x*&|kmT|qNutzTj*w2%`XAF(! zTMceR^Vs#xM1lBDj)+dJ+2<`n;Sp%&;!1AzCbcQUO)?)>8&k3x+?JHe)2y*o+`Ee7 zhnY@<tryNa`jzLLf+b({+7tYh;O<?D7-|!oNGgW0h>Gn62AbpXRl!@}`7eoLIDgpV z`LC1VyI}6~{AhX0ame@)`Ghe%;k$l>?*h4h$bShP^M<(J3D#1F|Mbl<>WFVI^JfdJ z9GrBF2bBGm|2jOr%lCRb)GuwS{)IOSdNHFDnw$3Sv1?9e(z~d9m%oR-GAlXP-GO@* zY+@uWiJ);)dyoL?jOU5yo#bM|`Z!#JaPB5^sWm#PRf@>Rg%9qO3xaH+t)ce^-W+$D zZsC%qD)R}Z;lTHwa+2T>$ltzwgZhT987CR~n|kl>mn8XB$i9k$n}Z|3O4kVBU}j>i ztM6*2>tdj5#%QQ(rT<l7ugjoIPit?a?;uVs9^o=Z7Y1){=QuV(M<+?cIM2k)s6Z}5 zGb%McQZ7L~GCoyTD?u$WIZQ37OwTM&-woID?f)t#ATDRB@cZ^n5C`)AtsG!veBR*} zjn$OI^ZxmP?DyGRa|0GC;qlY|dxj3<2i8zj^7=?%EP{Kip|tx=;=W@<kLOcUvl7b4 z?#i%&<VNUEhx{a0wJ8Tz*U24){kegITS1!-K!>{46dl^xK^5Cw9dFWI+ue)(SA-1@ zm5<s)xZ9^q$EKq4<I{k7M|;N!tow(~r~1Q1_`p>-)!UOzIGsxqaO|v3_Th-??XIqi zTia%mHG9eDjJrdVhAn*{GH#i=3eASiWGUO--<#nA#b1Zv3`N+6{xs6Kby60%rmJeQ z)Is*C2J&`xdn<UV@4YENPW9w=y_HICYwqaOS+{hT?R;x*U%emQ>T-X&ak%>Q>O4sd z2_I?d>uKt<y9&;CIhBvE%IYte?7HuK-D`h$E%o+s?^w?GnBipivRl7OyjC4c*Y%L? zd~x;Ycs`u7moGa?ClbDTj4#_uM?CqYre!^|k&%hs^me#?zqr|Hf46pMd$~130DU~T zJJo=Uz24lMYSgB}Z6@iuZqjzs56C{lUDpx-)~tB!Bwe5{x2r;;VA=Bb(ubkCh27iq z@PX6Av+(Gx`dkoC2E2sMQd4qv6{>}@9{1MzDWc2K8B}-=Zk?KUn(lg~1STF`)uHN` zL-OLVZ5wA-R(4fVau+S(dXUF>Mc(dvZOaET0fW05@nn}J>RtH6DWw45y}N{(4L5$X zuFI_I$-u3XL(}WTt6eKeE@^3O;`T}SB-O(zyVCQ1cXw~%V&S4n*Im|zM>m^JMKm0K zEM1|*v3B(($=lJ+Y2giY5c^V)^3cia?e>0nKdq$TesQo{=~B0>MYDu;mrVO``@9i8 z*K}oBTDyK*Bm~+yH<$r-QW|z&n9sd+KHb~5P_h7V-%g8NctQ7*Tv{J>c=tZoLZ5%R z)(P|Qkp6T$pKKU~$LQ$CSf<W(Y98MM>ZN+fne-Ac7)K+}0fS-~Y773smF6j{$GM7U zqhWd|k)H~&x{zn4|1f2vHnDBwBdajXxrF3Yg`-XwMIB?=#1Qy+3sYg6O0qi`nEruA zVii6XkGJyOusgdovh@XXg1kM6F?)yC2ze<@zN3OMJ3hZpr2AMiWpHzAR!olWJ2f=3 zx9Qx&={{s(ce?YwH-3)An{>=D1wr~_j{Y{f4K5DRC}aHO@^X8PqD9kV=eXcz=ZMVL zSH5n1+NY7kHtn1RN7b}!w}gl42JIAQ%ND&wv{Ck5uiL~De*2h9{ydKHj4TF={ANHw zE>r>P!fo8#sPvxeI)YKbP&7W(bny$4Qv)&!+T|{kzrB{;)wM0`p5ICe2}fckj-Nix z88p1izP${LWgpSW=;BT-FbBGISA{EAr9=k#`{utN#(#FddF!0`Ump1afSWXjO;5Ku z;dE$EHwB{(s;ykQG>h314j0@voo-dy9B30ZlgLjSLu<f+m!k(CI=as#(HEbx!L_z@ z#6zTq@Kn!er6-RrVJKvnmj!BX-Zl-LS`4GJI|CM78t+Va3E|Gqf%hA4{26@?Fka&1 zB1h*IO6e2vhm((Ph+_i0aa<PDfipF%lN*1Qary^JrYhINdp)R^Bwl+OA7ZnDO6t!! zbN!rg3GxNJE0eBvx`;FsHqrtwrMtnHvneOhYM-Qyou0>#QRS-35oNk5TJQ8N$_lGb zbzieZCAukA6X}u#pU!N|yA1AO5o-T&^Nt%PwD|g=?8NdJj+eIPSF1C43n>6DhOyIo z^{AN6S=2}CLUv8?Wh@Ev%8NnA^13YsF;KdY4M0WH1j;eiTCi7I^U_&kuzqkms*qn$ z!CS)Z|4*>~yz9Tct~;DA5K|UNzvQu`37l(6#Uwcy7*z7Y$phxLEp-J}^m-LMF|Xj5 zmz_3p%5B%>TC!8hEk*m5L&B1IHe>%W@ODCXQ*OD{vWS<Zvz}DThE>Nf<k`@!ZZm03 zi)vgInWm>j!^5oDVD7EpmFv^NI9GUj6}>5vZ8D|REuHD!1fy0AQr0SF>ct*E8hgpX zeoWv#M|+SjMexj6A@}E|t8}OfQDE6Mx?dQIzt!#9$}asZ^U-tQ|8D3^{}&y#5;^>| zI+vrM1n#ypNvasXp{Yt{bK*1Kb9xL9GxXsic;fx^?r?6!Uin{Mj7k{44>P(Hu{j1s z1F{AUQDKsw!Wg+O&A>h|x~}$x-@CwEB!|2Nb74sslF6Ex2_(r>X-pbvObRc`gd|tb zIU$AR!vT1i<4rb>cw0y#*suwXEqY~>4rNv-!x~e5h>j$YbdIs(S=reJMgxQ{@^=!L z0|Qd}=AtK@5_ROH9g&<au*hTc3Lpn_Op72Xp=T72g;BKUb+4dx{+$d<6dB&Z6HA;z zJ+f$@mYj-c2)BFB$Iey%#*D5gYZPwEBAksUzne?8*(wc{kI-lPFoa|zT*JN8h+!-m zFj{mWbFl@aoV0i-L5_zg4gNt6Oz5ePaMv)ZEaDyCE<FEzD9iMZfKyQPa`)w~FaGxP zz)L53X5J#G0Veo#x|qYWUkO-~TsVs5!!1>|KpJ%@+ZG94;GXBwa-=}h$<ZYnCYZf@ zji8`}g@_$yEZGZQ)Li-4alykDm_lzT(kE0k;4kI8OgeYt$e~{VwqPvTDjoD9Wqi<0 zK}6tZ@{CV&z4rB9_^df7j1Mx!iSLPwcMj*lc^a!4*=5JdPJrLn9ZDj)U+3`Nq5X9W zW3&)%$g3Zsd0gDHSFZ$qhhJ$E#i374*myI7bg_+*W;l<AGu^9PIk%!aU^FY2y~<&H zbF6<@@L2<ldKt0fM8Ls`h!abrS%_WQppD_1&0YoVgATVah^4_cW7fA|xcm#md$8i( z()~?huzwN{MaS+F<FmU(5HGO)N#4KDjn`6jNO(%@ijWbxZlz7F94)SbH=Z*PJA!V& zRe4K$sSYh?J96O8t;yyh{RuwV8P(ukW$k2RymE!trzBRFFqJ9$mT%W{lBEIdv6kYA z=`-OwFR{F}m=OU#=xCwhYj-DFSFP@CTxsFV{E*uix_<#5bN18bIL@*nt!s+TTGFW$ z;pj~lG~o$yDNOxr(cYw{%^W(dD3(ClV#8eY>EycIUO3o%K6pPtDH1zSYTxzLFpp55 zp@h;nJ%<0>nIx3JrX^%d2#<IsoJe5OEI66fLTGIvWOTCbO*E~=s1(X%wf&JN5|Buy z^pH<?9o;8b>b9t9c*J(sS;wvN5vp0r(KhPk+L8Si?m@Zzp8k9F2~>q@uYO5)-S>hA zq}do@os~p<Ce8ynBO+SHrwu9AAY-5kwvb{edf@8x_r1TrE3%JutUIL0L1$6)34MQl zU;N|$HT~&_nDb^O9<$j*!ta)l1kv}@C`2xb`MddU!T^Dl+J#n$y4PCRB};~yF)3VX zpHQ(eq@ExYca2iEzfZ-k*LCCa0@ap>6VEGJu0t1^^M?~_LU^&a7a<&}!ut8E(U+U% zVr5|B(m}`01+9#)7e?C^3}jA+&jbZ1!+^>0OmUcBt8hC=-$9e}UZ15*qJS7AVr74; zq&2V?F2OTtlE9v`5c9iaDauI+^!kCWKT``67s$cI@<)DV#xejoGzB)05TRbRNys)F zcAi+d^2W8qUQ>H`g$rqDo)w|dkmbh!wJDMr7RNvyjbD>c0oOx7qq#UyM0kz}I$BQz zy<B-Udl}NNm_<{5b7#x#5UC9Xz5+K0L1f+OOBAwyg{EOUIhvIf>R7$sN!T@4m%!3) zofnY06_^uui%Tw->=m`>688(xdCC?R>!Zy><q&zWNrR177VPAV1%^BUx)?cRP8x=` z_S;yP*vCXK&yh{{*Xd8+?5_pEoBx7B`VRNlD%bjKSf7rzgGJ))-eZq_w{_cor4G{g ziIJpOh48%w3tF~DVG|y3tp4$h3gfdZ@5XsnGTyd!lCB1K$1D>5j_pl=T_zT-Z^6#u z&1`Klg)b%*1XWYf{as3o;XcO5s(UXcDGW*AW~TJpyi9~vP9~M2nY83l_d0^VV%((f zP!GpXsNCnW??LD|JW~t3s5ryh!?Rxb%PgbZh7Kjz5mfam1{B2g)6edRS{Z47e~&7L z6Y9HF%laek&nw07G8tcMU^K0-C^%$X?2_j>+c`$nX=7*Z!Gz5*B~=WA{SDN}V>Ega zJ*vB_srB&q#=dn)A!)&`VKCXz+Z}8viyKJL^e7VJ51|GoF{b~E9Kl*r&dp5I?>3O; z7v+2t#Bm|55QN0X9|Y&{X-Vx6s5a^UW;9L(gmMZi|NCjQUZRvuRav-M$IG0W94gCB zlSJOO#&k!e6bc$B{ew}I>^N*8E`)?r&4oeOhfgO-K)i>}$4t>+EOfvhj*gkQHZW7N zP8%h1;B3cC`E%d|A1eqTQiMc(7aQA!B6I_>;mrEP_BH;Q^q+rEAF__;Orz6kKuj7E zT@EfrTlM}PE-^_+q&N5Oxz?K$454gVI1>w-14M5s84!OKjbQP&tkwR_A6DfDXzQk^ zv;BJqqvkv9s3Ur7O#Q02!{qBE)BnbylC(rebERhB2GYj2#7t0CS@?a^Re9Z&wEZOO z9()sw+Z-0o#~E-L5<>YqFvi;9m+(MaORzxRw^K%FHIiHaV(yklOia1Qsh#lBhm1gq zLjj{yYsW^C%p?N_Ip>g3&OLU#@2!Irq{Q?WA^1fg<HY;g-{&|5Iv;LoDyF5jRt&au zh!_B5q*sU6C2h&EpgB-eQ<P-3gz2J0Y4uRrw7YxBWsY<h`gi{+A549PP}g?MhhBl2 z;z;jEoPaO7v9M@S+~*KNM%s0Sq5mTOcKzx;oBQQ?twaR2O%$Gn>{@g2WBZ{LoX3^Y z3cTB)cI}&ID@K|olmOHG7HMj3(*4A=mL*@BzC}Gbo1h@+1`Mq0)K{5>+1nO2HtjA> z&;5Rtn%kj32-`jk1N&^mG>-(X9JTM2Y7k(Y_PsS8QNEvC34&XH22*!#Y>8l8DD$LV z;6!SJdB-a?k14*OnPYA%hQs2fm}zX<6(-iK>>}l7Yvv+;@hi0-wt356`zt3WcKfCw z%zdR`;TS=6XY7~1@GU(4gMu;>(D=i-TD(!~9`Nc>T6olZ1jRbKf-!<bQGe}k$`his zvQhU4*p3E>34#Z??e<6P7P#c_zJF1{-CvZ5?ia=XMP;Au60niA{ioHxFA8Bn3*ERF z&_Sn|=Z|`y=ilNR5eBDk-jmVxAWr;*?!Oud1IdDdL0H1RCxGW<Z^KZsQKBcOC{N&* z#sqzyFLOx&*?@&`j^kuMpHXUn*U+3ipRBd!EBnw?V57v?syXihi039$BhEWaAabb9 zqtG(&W|DF{QIC=HV~`1Nw+Nb`3LY3KEl6rw2>E*=XZ3S;Q=_TVp3dn^k{eoZgW;DH zMy{_sBob;d3@JVRtT(tr?kbP9_A(!)wHIY!xu)*<!|9@`b*r`4tYGllm*ZTpgqB=J zQ$lM=?O%|SG)YfGrK0CUp~qYv&P}VX#|!$HLmP_<+<HaS{a(dHp>&XFq=%ruZmdnn zRx3U{??LFF_xWSCemdOGpl2(x+iOLyUp-T{uejbf<bV6CYP0_ECO+XmV_1*%(cwY| zKQEa6?U#)VMtFx&-QGbvdLr;<A%(k9%r}7GWub(-Dc*;}^=4uGVhjzx7}hUFh!@`H z{&mgqeIAOvUsg21#K+<HeOmsyP6zvkH|X%$2z2`bKQzH^d(dsb-SxkQ@T`m<utMNd z^fQ|0xUvaUyGdPnlpOwWyM)CQ!k$g{6YcJ-?)GQH`?Sj^m#+08K*1SMz^2PX<GzL0 z#pUhbZhE$Kbn=jgc#1}I^FOxi#6%zF>1p4}K%T1Nockrs5}NAW+CZd=^;SpQ^MdTv z_E<Q9vec`8o-Mf6-3U)re+6z!OR=bS*2YkNS=O!%vjLA&I2ym^c8=b#Vaw~%d*8xJ z)xi7X9e2sax%t~vN!s9+*Rba)E{n8l#rt9TJ*5d6o@6j*SF?8Fr$YssTRJ<&(Nfih z?iiQw)SsjfQFujI29WAufpK=@i^BBs3hAPC`~)6@(WNYBUlXYLy*l(CuxksWHoEC7 z(i@``cJjl_<oW)vVv1pdy=$GUHQZdRQaj@XD0Pfi2?W+(TD>y+uz2v`;nQseX19On zcj~;K-R&CJakZtrZT7vFz5sU^6&@{$E!iU$@774tcl?#)S*C2FYAmm_I|Fvep0Z!{ z4`b>oc<D@i5)HU1KFKx>(EYg0tGj=eMrq(7U_B~EDAXz_8z{bRRPYu{M1Gl8-4Z&$ z$j^c06fp*UDn~r4e(TC6#p(rGko)694+2mmMUwW>D8D3VV$CN`FC#bF$Bd+LLd<Y8 zI+}%k-DAEr^7mocUAFE<(up>Pr`6_?=S#y&oK3GbOO#WqInQLP77NlxjPm7O8xS=% zgQZFI50#Y;u*i4o(_l`6>2oZ9KJlfbVf}Z=ht}>iT|$B$q$QU}i2<?hqrHWL+Yg1+ zvC0WTELn<^NF}Gs(bQ8lvrpHOScOem%4YmRe`ki`sGIq?bw)I{^p}H+34=5E+B+ys zpD2&;-XuIH)y&iCgQI6vM#~rd8RC&~&PMzE(=(6ixqwNP5RP>3M5j+N7$IWFCq4nZ zQb%|sXm11kAyw8o*XBN;N*a(uIrDhaLiKiHGPTR*!QperN}!yVtD~6)ddqTAdO{XB z;9P>IoS9qY;?uwp_0dv~{ZMD%yRpkU(lubk_<Cx%^C{UJXXQzc6WRX3Jt>+hvpU&7 z7y5{sBE!+Ta@hiU+PKp`>1~JpdD@%tDsf_vPzCKpb5zpBls@hL&r0CTw>~uLb>!ye zX5?mM<YpMORw@(JEEAL<!*NRXajSd681~iuJou-Rz6?dN<4mkqLK!;O6)zt7R4&hz z5}9rG3<trRl;~rw^-pe!w~0zeedl`rR{G*@#n$6do-E$%#7Bm+wa=|m8NIh@4uT8A zlqw`A(d)^K5*4s>p$0@TveiWW=TFkM*-$r<@AIK8_S;#Gx0x?428cCBze1NC=d&N$ zW`3=!*UJn~&c@16f~P*n%FHi}*=IVMlG$$kNBtzeF4?FQA5M&_EZ)DooY!e!am(r) z`26E0;*klb-8b{AeX&e$lBG=_T)fZa<J~a>So0izk8#dg7N(&9^D%1p_d*(A#c(Hy zs^(#2c2_;1p|J6ymv`+c95kD>kmMft3$)TXabLWcq$2WH9&Y@x1pqX=ean0WGKTrS zx^Ri1f9-BGH`49FLawRVy{@XH*&bRQ)u0>!{jt?CjY<!WxUkqE6O4_MnSqT{`l<ZM z&Mq;nVrM&@?Nn9a%mHt4*6HxpaT<E~U!46@d}Q4dHh{+F#I|kQw(X>2V`AI3&B?@e zCKD$e+cqXXdH>(hcX4jc#j4*^Yt`PXFS=LnT~$w&TR>3jcx@k<{Z#M$CzA<nSXJG% z5g|$FLj!h!b>6q*O#E!IFZ#Sz_wl{CQ+m%%?Nh2Jhr7?~=f7ekp@9UW8ltS*@|-!` z4aEcNI%(|sN2-z#SfOMocP+@0$}6uqtLIL<kY9R01CIH)Q-_@W4aQ&npI*#ao#%Z~ z@4yv|gTAt)bHf`UpZI@yCC33T1p1zh+cfUa%J0)CshibT#(ie~8;*Ty9$hAaU+vpX z@6T)32e*B_^k2On5N?FWTI%4N7S$hff1(sGq$v9`Y|Z&|A0W@MBPF3KCC8H#xjqlL zMZi;Zs5qNc9TNj_wX?Kjgb^=(X_>F}m&tM$3lzUXT5Rd8Th%W0o4}}N+qF<uH&>3R z_Z9N;@w_(S*(N#G@tk0d5RYk3P=jK}yg*X)B9A8jieOpRmA8gWZ5>-uMWBRUh>bm0 z&Fe<t!g_<Bc$ctRM_1}Sr{a$K<Hea{@qJWwxspPT`)9CFq`W}eS;~BPHIQ}VTO~Da zQ_kpE14Y33>pI`?cLex>8ghgxz}qEmkA_Yf>MjMKh}iy_l#g|Hm$1fU_`;ls;MdA* z`;ih-9Fbr^iwMMlk^{1L|9cXR%;SCttTwETV*R<WC3(vkp=|$J+}Tc`Mzw<w*wS|v z0A&I0>ofZ^#6ksw74$7`)<nJk#<iC~9xSJ7(EI*vHecGu&CA$+%VFR0EpNitoh(6f ztzrO3iHAWbP8Xj~&FT2Xmn^m$*3f5r!7dtZ9y&r9`g3*K{s5sh<fJNo-A!`ZSSbcG zsPpf^zhZ<bn$;QLEOj?qD86pGQ5LpEn&YK)H5BS!Mxx{s8|t(3(}_iSZ)<Q>+l}_0 z6!Z-qb$O^_d}OkGCBBy@5<v#Gp}VG^c7)-mv7g^f!%?F@-I6MO2yo_LuhR~sO3_>+ zNUBqA3lyl1UNzLIQiO68C&NN370UxS^CqqHCO_?Xc?#WKZmbqyxsT5<imVJh+geJn z5yC%W(^!qLAjNP-3Z(GnPEUmK4r(7t<|N%*cxRz_q&}>F7b&6@{%$HGgM3oPL$G+) zaT_?H49GSdJRuLr7A!_uBz9{m6XSSN!aDOe5yd*{+!YF9T--znWt;?J1b`V-{?vpq z$h1xTg6Pbg>&*PnadQ?v-`klx6U`e!CFocuQr_CE6MO{ngr2dhxgTB9TWko@hVwYm z;dCY)%D#b@ML2M#Q`E}9C1ge4$J2|i5m9duOf9cSj9nI7<L&oF_jsBG2)|ta+D?%A zAT`Se==k44p1c5+sGqNvG{;stZXUuXLWe*l7go)aYr5Kj>Ow`G>t&m<qs^x2(|(A( zVEP}ytk=_kcq^*Lw!%6}5m)bZrxu@LPPLC<T89WcW~+ri-1~k}Eo-K5+6dPIJ8zBH zk-i=^V%PVYeT4^}D`yt090V4*8*2XfMjKoDj6fid@LPn0vVofw48R^{5&Dw%$MoV~ zc||{oktrJb;4_yDP-||w`xcXMMhBZNxah*plj-`!Ir>7uR&%Wdk$BHLbjTGAWeDJ* z!zEx8Rt-z5ZwhPF$(V2x(e9!J{02`9h3M!Mk0WoOXErN-%#1@7{4~+Bw}tB%pn%}4 z;I_D$;t?u~=l<YT8B2tm_Q$NKm|sxdBI3{Sz>cwx@NxdxB!iz6)!}4UcG;q9>XZ-* z(~)xZu7ua4gM$+7fyZc`M@^=3dTZBj6W$YK9D#D#qQz+8$5H*4te0M6uI8aT@?ad^ z^@Bx)I*u+ui3U72etB*~?xgM6A}K{@&!H<m?>$##qyb2^ZjP>`p?F`Bqk$$ozKhX> z_|0JxE^oJsa-^=)kH#*k23FUVDMErS@QBR;GgGTA03o)|(W8Y+M;o61^TIuX5Z)a^ zpA^P_;Nd^;_zj*A`hG_Ld|v&s+hKdZqPg3o62g1^Z}IJW@%=yWQC*{lA+RM-x7pZ5 zbggPIsN4Kgy-B3Gxk%(2Bz=P*k>;+7cBh03JMGyELJjrV3p?fifc!U*{su<kjr$~1 zS1NH44{uYPTc=oAL>k=-7k1x+@c&<+b`4Th0()^RAecxpP=)Q{F5Cw3r_ld@(T{jl zYtfY~xor{;KWlMF-vp%yX**m$IB^BX03$P{vWhLOhSSUIoYT{XBcNhnbnz=#S%;8; zT#v93+4i>9p&Fi451P@!`gYs4+G*ADhON)ro9Itkfs9QvvSXhSsbh45hP#v8=yNr~ zJh>{Q2|F0UT;n63A*o{oAPpf#$A>^YSyC=<dI@P;-?1Zkvf8no`oYt)aGbeIZ=Z3l zPY2hhD8Z}B?$-8#Kq+E#HL_igHVd-lrM2z5m#<6d*UTrHnuX$qemztDQCqAhmdBE1 z^MKR1b+d&;sIPZXiB_9pFI~=I7ut(6Y_kaqFSaZUsv`sXODNPjKi!B4>u{FaBKyau zj18-VXhjkm?k0T6tr!355gscC$pqrX1qP)@LkIs)Y=^?khnU;Q{S|;@DA5V^12rFC zE`k3t(NjcoiVhf?2UB$xs(%rkCDljDUMct1)0-tnQ_rP>{(hI?mak#oD|;WeUR^J- zx<`7156JQTr&z!ATX~+ugXxBlajqr0iTJ;A=<&!K8RW#d6BU-h*V*2O$Gy2n_mLCl z|8Ft5_f*z^OsHoR;O53m=M(5gn6}_^Lns&b!YH_PzDx5|(DA6N7|SK5e_K5Ii!X=x zDBfaO%c_s*$kXaC;-+K?QoH;^=#cbe1dbm*@6UDi=0A4a<ue4;OL|K+uk@LZ(cb9t zQVj07p4N9uTub$GB?ce1{{HSiZ`Zqd&9*-7Y`0$S2&&}rXpvRRffU#1BTm`~uS4v9 zRZ2wl)BbVRQy)?D22yOJ`%Sz>d}A9(!YbN+`^6VAV2^qBn9JX9I~iQ?emjeoR{I<U z?R~zN?=DIc=QE0m^Nj4@f9$_A4*<p>0FZ5vErSiA>aV<$FNL|`0g-_8@~D_~jTfQi zjJOhy7L|{QhfM;TgI-n=eG*{Wo^#lZ1KPDV3Hal+SE3V&0~TBrr|THT;L7Spam};g zFH?=d$RhYl4X%W-ma#6k?h@ne>x3P_mJGO!cV}3enNRU52V?{$5}7keN0V6BfZ~Ux zBxt;ZhmLWniJ}Za1WqAkjE_$@){~0Uy?y&EGEL~B*G{K^PAUWSE&Y$5TS{{h;X3*w zyvZ-g#oHRA6`v+0&Gxf~0s8)t6y1-{=r8r_{_KNe2m~~{SHAw<ty$aCRJ891Kb5MP zzWdn?lWbpJS58HIT=(KVSv5X~o+ttxM0~DFC6+JXxDc=WZLIg}K8BQp3gp$iDw3!R zjP}2}I^~ReRIc56zYe!2j{~%fzm8jfFUcJ@DG6=XbHc6f+LS;)dbR{DcQ2laKw5NX z=?NbclxvJ6h#p*=2f2vclZNY0+l?Rn4U@b2lYBY@vH1Gn<Lqacy)<Xz_>aChSi}KR z!U6K55G*~2_>LcB`%QGak3Tx2hVX$?P0f)F<56>T;pM_Nwgc~x(d5tpZer8AZ%G)Y zLB69g!#z!rWIEhHBuPK0gPRsSsE!y%gl9v~(Ltz6b{^3FTUI)a6XHi1@ImXBo0F#< z01pC)ZU9VKD>V@ai9f^fP*>stx(!#8sg^iY<9Mn~mF57-H`UqB%d<m<0T+i2QG>@% zNVDv>XT0O;r}*3LNRCNzb(HPwv04hQbcoHqt{pH+{eIrDRJ*-a-(LKu`FryBu-o>@ zgx+x>B;+2KX{+Os4EVhN&+BhV?VBjq${=IRq~p=YR*DyD7iV$wPSrwE0*hhJRl4%; zpCi=+!dJ;(fkzE7JMT(TRX1wr#ERiT6O*hc)gaB7;&g$IAj<s5w_J%`2MKtAM>8ye zCbaM9kZu4FF<sD3n4&=E|5UFoa8`6<sNG7i$vvVv5P#%ARTaXo@oo{yfNtnaITq{B zNkWvMg5tn>pFo{XaSY=nCL72bL=ar@n}2xY26tnK!uQA6!Ud2-Y#^2xsEDN}jcRy< zJ(Wu&V=<V%|6bruCStOAY}G-J_(^m@O@i?okfz8XHH14N3*pAI;Hv{Sf(qfTe1n@s zcp3Yf^T$ag${%+a$Mt(e^pLk9#o*9xt|$C5^|-A+@t+6o3s#szbtHztvT41ljK!li zXx$(m9Z-;JLSIR}p|lI`MIqJte-`&KF%?01)<^|=^=J??(w(4pkU%;_c_BOh4KQ%W za-G2`>4KPB`q{q9{X=W~6a)w(4_1k+#jL-n`P&|>M3+-cR;yF9?2;re$_L2<brd6% z7}m*Afkb}48IDAO$*I{n&G-{!U|zIWpbVX~>|~0@w~Uyhxm!@GcdtnR15uoIz6-NU z0~K?e`wj!SJlYMrQ$z;hY9XMyIfN~Km0vjU=;<CRj7@ZhyCJUMdIC(JTMIjx7)ji) z6pUG)g~%w&u^mw2N!fl5DiYM75wr*lJQ+$=5g}_AMpSu`?o&FvR1A^wrcw-+BC;$| zXT6q|mfrJw$hE#MIo@pHYO)PGFbKH}<=Wb!xSn3iS+N`1-8A`0N6jUhMA;Ri((red z#{eaAkjftfx2}GNgAtjADa^OU3fAGV5JE8z;NcR34!lCjM(S9n!3^ay3#UNX9KR3r zI8MP*Y7BJOsgWFC{{iu097X1vKT$X+qC<v{&@X19>#Cj*bipX%>XSmaVz6Q49EWNE zxeYI^EgME!V0&tVQa{y@rQfv4L#`D<np2}-0a`!Z;H^LBmyW)y-I>?_2%ff34Q6o2 z{c3{46A#{swxu0k;_aEbzu1lDiVtl^)x8P%L47@Z_<k~k?r8lHF~rA8kvY*deYyC- zdbu%8XLg+z)Ddy-zKizAIfBB&B`=aKXQ~)$svdOZ<F!Q%B^#;cy)V$+r6NDY*Ad~# z89k@5E6{x~b-BB{X8mRpDrcnyViRuwdSHG62a{u=m8dwN38J#2t)%7us~Qd)mSbrM z8^-yk0_XLGiZY_a81((8MXV1R(WFKWv**%^(EMQzT%=0r)*mF<6i`Zsht=vBrJR4& z=jLnV^H&#eohk;Or7;b>)O5}o83k!>1KlCDrQXPpQwnFMc^1^&&kNKuXoJ_vM38DE zBOdHvy?`;TD2v%L#aZ#`IT@`N{X#VAU+``}oFB7zMN;^ss5bPKDpM8<H%-57HtHra z6@NU_ktHng26@oHx&2t(oeNVebYonEC95eZrb~_g6_N@rxF@4p9pznG>O<PYU6mid zh}N85>eE?OPNqqaB-&<lSMz<i#qq>ZcHz1Pn0Rok$WR9ZkP-o<f=mGjSWDKPVo--` zf(gy=$#>qn%|S^~>NI;(G3Ie(<*IHxqBOo@r|L8%jA_LTnM;2>?!w;PiZ(&-9|q)U z+fvkyDpHeRQY(-xMLY6*S%vaqL+APZ+8bC%UUzyY$QPjR!_Z69r4<t^kW&Z}U$Gq} zh-T*~ef`9fbNKx-*&`Msc)}ZO{e-Q4-#nuJnZJ85x#LhJI$}Jle*`7q99otl-<({C zkl~l{%dDC2T7q^1AQ=%Qb@M0@QHD@HIyYkY36Fh!Uy1`lelm0c3tmp0OXPgDi~0CZ zZC;WNQ=CgY$T{ksOeA%MB14J&=Zeaue<YiGz)01Pr`Q5W3FWw}S?N8%k~;DKzQ$8$ za-_@Sol0=aHz4$ZZnfCc`MC1gYD1!ME&puwJ0Yo!PzO*A6G=%&U?fn~5JO2TE%vpc zk8M%;+7RZ7c{&g*6zuTIJwL;*`UJD-bD2RttZ(wQ9syeBlOUQ!#?gi>9@p{-auD`l z_+V1B0fvy;wWweVH58z%K?^p7<l&a0q)-e_$W^l|Np<PWXqMQ&3&+Yt&6I3`Kg@pU z&eo_F#f{8TlK(F3Di^(X2ox>Ks*f_2^|K&2=```fs#ytyqet5E#>8rausFk3W%7s8 zkvZHE{rdjZ?V#A0-=jxB^2bSzdFpWGfQ6u+(OuP>Kym$&nCav<4_5Z3rW8H&!xGMT zexuOcstpH=0dEWpY(hm*mYgvNnt%s6y;J26rkuS;2Yc`A2I*sD>Tmcf_D_sS#}jmC z5K_6nD=SrHtBWn+TMU6SInpckufPcRK%t{3bAo|Qubn_dx_N^V8yH4Q%|d-^|J=Pn z{S6EQjWVEbB7$i05qS#o61IearvjGJy8b3yN@q+r16D94RrE+*9XX`k;o?!%xAmA- zQp=n%i4apH8xGsVQLcd#A$%kvpw<Fd=z*C0-#`KdJurPz7}Qz;79Ax$Lp7Z>{YUy; z*ivTU-7GGGI-zb!-~`+8jmE9C;i<2O;AmHIUTbe<C#hLEZbwPQAki%{IrI~8@5sQF ze-#h2M+Y$$!J(6J!jm5UWM$uO;g_W=%r*1GZht<ewKMYqI3n~1S564=QX>A!Jv0N- zLSV=z-Z4lJNHWsDBdXA*&_Vjb!Y{=VkOPsMLoEUoZW!v5z6ENKDjeT0EkQ`W2Yc?! z&DU6<Vz{2DCyrDq3SLBv7dPtQO=1{~bdL>xa&TxKHH`Q8AjCobycann9N#;FH?t^Y zBslipc|lr9J@Fw}%y1|&-9YxhTjI-#UpCyggR|1`*sZM5;iYU**4!*Z-zx6^R3;Xi z%#NOL$mbn9N!Az!3`sYXEQ?eprwxYZ8(Yam>D|Nw+bMkOevu;^5;CQ4&1$OKu0Lqk z0%wjiOiWeOq5K65$%b)B9b-%$IvU0d7ILf)0B3UrRF_aeaU=Xz+rHKM|EUiIu#i+l z%jolW&T1GEBD3z9=#!l?Vj|aKmz131t?{O~k+nP0+}uqft|ye7rB2e(h?agdJF^OQ z-yZ`VZ!%k}zbI!Vagc<DGm|1UP)yg3S!0A{p^0QrTXY}_F<bP%2^DmRJgNUn_4~h7 z1L&f{QbtEdOzdH&o}6Y|>bosNHKRtGzcqpFVWoqAOOIO<RpYb9tMz33#(KBzZ`kW^ zq?sK!mUrnI_bX=VyhEd5NcPGhq45{X_`tX#1Iezqo%%)cTF9J+VA@IW@D~xkr=tV$ zc6SC5bz5bv^P2X4xx*7kRmP@N3d_|ZrZKGFlZ#p;i$m%fI8@rLzE+^pE|AmCIs}JL zc)UjE(cJqPyHYFnqD^K--{0~$G!A53L-P$CdksPv_0nA+6zn75`PyuuY(R;24NaeL zBQ+JJ9H9=_Gyj&mh>hPz$K#DMOhVGsyGDpNvpXsE9t}p));r^)<jVW`!uWgwM!g+} zz^ogZ=*yMNSb^f=>!(1y4fOnOz4E}(?klv7%02dXdFL+AS84|z%P2(d{${q9eQm%~ ze8l;hBaQtU$^K7C-cKoY{AEKmnfnX3mCav|d=5S3$w$D`#IC$v)Axq!g^n>9VL<^* zOWjbE3c@H@nppe_L$(ReGlC%3TpvWn?W+IwJNw?RV(WXqs^y*eT;6u@yr(k)XXkF8 zDT=9@ko9Yuwk=ewvAXZVpc}luWpX^u2~?and=x0A>crj_Y}y!0iW|7gww4hM^A9Gw zZIz~d7p7_gGrf_G{@t46XeF4Xd_K50?5;1`DF{0SzjniApBsX*H-LkKY~hsa20V_H zl|F*Tq6{_GX<6nY(kkXEq4p%gt4gbDSLZ2&d*FwFp+KKIB>wi*bSd)->M$94zcutp z3xDYOca~(MT=A;u3hyp!q)UhmS3zZ36NCgu>F<6_6wQ32u3`&u8~Pq7CbUvc#5Vv- z;rW11A(flo{DcG+tdk6Er`r8)Pj)~i#cOhKnZ)@6vbJH`-)QoBI$b&kin(S?x{v`H z%k_Qh3I*F~4QUB0Q{&{8jBVJplM0u5C}T5<OmMK0%+<pF)_NSg({$w)^wC6$BSM2a zf?gz1@5f`1lss&pdGzmieOdyMoYY#-xLgKknLL>vaE*??9pS@;fz4!6AY2^AHd`2y zKZJPyN)4W_iugIxY6nZU>xRjmOfT}-R*I4BQM)Va6~28ZT_3Jm`rA$yLT+G<s0yi$ ztGVw|$g<z??y51VBaE$;`$wYyp~Ns^l?DnS=Xb<M8{?|%sO;3&grFF7;RD$LhtjD! zuN#w;g3*SPrzNUNsKuf=+-^=Jv=4;~9%{-#6+m=N5JxzPU^!hWCXIqnj->BYnrM~D z+o6`hX2cHe6|yvnd=@AH{-syr1&ICSOuKOiaM=+sIKU!P^ME8cEYvb(GWOo=L}-?^ zhG=AEVSJA56d!rfe8R4%9JczLgTT%%OyhP$r8$z@pxgtnki!+^myw4PQStIRN}vdI z(GY%Y!Zu=V#LfwlQTHto^f$|1q93BY6v;YEQWg5Q&3__6`ddt|SChHf^4g=@pw|Y~ z&3GU_$u1xu+@2dla;Qt;h4=;4lJW*kVj<T<N-s$$Bew@r)l=)VnMhnHifIgA@u*7> z02eTt>b)p_h7}T8i^cvXv`$F;7VD|s0!Kp^`S&mRr4Y-R-w!<5v&nb15B&Zz0xMAu zP6D&Z<fJ6-@h(i%o}Mm!l%B>d5M6T1KQ5-}p2AJrsGb~6r6`}YO_#}^L`<#7o@gIx z_2k4AGWC5^-2|+Pk<f!9;QQq_6C8uVF~pXC7-XeJN-aJ8+aszx$TR|9top|x+!Lz; zo@97$J8o=ZD{UFH%{q*Nr32<}>MIvWC?_R8UZvo8ae?Xloz`_3xUL?9*s`uhv-sPt z#&#L(Vv{OulHG=uf}qg2zQQ}wi#7}(hfVa8_Rz=s-uvTh;eF4p<yDn7F@(*_t~Q!` z3qWV`#va)ikMQq^;hFxQu({1n#GBd>FY8VU*3s-u5XC5ze>)XNEg86=cNG#MQM+GZ z5Yd$w;<<FVk}$FnDa-+ENkX>-3fMS^p>cnbC*)u?D=|VQN~@*cnLP+$D1+`SObE*8 zICF{95eR8cwVr${KxIEZ(pWC$CjJXW87fIeN}N;+Mk>;7uCsywHEIKqfaF)1pz47Y zb|)OZV3tWKrS#cq7EXA5aIn%t1pdGO7YzP?!xevCUohSz6BJD`D{P*Az6oX*Vz*S2 z1K|_uq4A(ujqm}VXZIRPphmQcF`x1(k0eq`y-O_Edi0ngt4pR^nmK-Bq+L}G!d(i2 z0VGmEEZT)lt#bX42X&+U-bJ{ydGb0OxfAJ(oJ3y6?qRuSRptGUu8mqo40l7pjGW1` zB1#lA8+_+O!nDyc(JS&GIX^F|D!sEIKAAODqAiV1OdkHS5fi!e1&#bscnQ6xzWN{R zhSS{`bXW9b#wsVJOto(xFRMg<Usgvje71&)zUa->Xn$`_Hh}6n9xa2^aoyX3aMd*0 zf_OIUSPDB%Sxm6CtfW&tAvztZ6(~9W8KLXvN=O`B2?v$M-iC{XPw7%@zl-vr^2|-V z^Y#$C;@q96*n8&kEdO<58}wZaw^{}$qpZMH9rVmJQGHsP?m+E6L_N0;-4d9CEzuIl zhxaYk3BJWo;%|XRcB^|cwG?{6(+kAS7=5l!8pH{*p4!+`01mG2TrP`V7Qx4)bPa^u zv3}9J53457x!0)@>1J5Hrg!o$#K7b=&7Q+;*32fr5tPre!5I|JF2m;Gnl-dB2|LQ$ z!z%0HfP?xlc`N5PrECzyc0%UPOiKm4ck0$+;mtj1O%Kqln2Tx~oe2GD=F!G5b_I2V z9G*k1g>yj}Hhh8(i&8@x+<S<DDuVd(Do8Ih!+S7M`X{25W?$rUs1cNpHBuw$Vyd;$ z=~Fp%G@H&CN2jBkprx{Yq)u!TVhvdtLQGfKNmUWd4`%_nitYv}PlrHfoPUI+37#(# zC=H@_EdmS4Hh-#C>K####IX}mfJm)yA8&+EZBs(5#90!L#G8;;HHf)9RE=P>cp6I8 zJg!*&=oXg0o9<-Eyfzc^D!TMo3UEQ3HpU0S^x?XZ#g*pckF-A)`l%@+uW>;<x~VBh z)$)>tF(koN4?Ic1e~TFSYLOI8e~y(mh+sgYy@3hGJlo?5I0rcBE{UrBt@h0Tz7#W| z+h6YQ2XagFmvrv7EbJq5%E98{U^_Ne<Q)rr6T*I;UrBJi-RK7#Dspy)81@_8t~F84 za1;!H4dv{rrpBg0z>Nv_Du6zOM2~^BD6S<t&q#M34?U#K<xf_XaaZ;|ISn^Qm{eei zF1CHPa0Ln*g3YEq1@RwtCV5|+X_5o58R%apg|f&DVE&la=*(mghVB6aYa95?b6O0U zLR(6qS`3R3KXS5lnE?Z@CaQ@8cG@~J*HLeW%SUox9=3}Uxn}V2)#<ya+FgpMLz4K2 zXTYIWgzof2M$r<Rh!g&h)!p~ukH_;JtzwHoMce?SS$>m%Ncd*Yyb|-eLy5@bqp=WJ zr`YtPb(2&z0hI;H!_U;|=zQ2{tm{Orzl<M5&JINd;K&$7FO5o4QLNRD;efW(H?(Oe zTbkFiDzDqg^v>wj{1?_dc+MOQ%nOKszoXR+&PYY>sBB(y(8qyXT4^nhMga*!W7&c2 zkPNEn+bD+d383U+w6-%aII2yk(4r-P<Q-9HG?^Nvzw))OKOAG3TTHCXK?N>+U_<3; zJlZn1i(!UdaC{+wOXI%&Ws@a_StCz<mtQW6mFGAN99md$1W8=6BAvx<wP#pAc<LkZ z<s$KINyk)4b7}nS95e+q#}n?Q%a#W8X-t)A!IJ*1C3y)swJ+d`0T7+6futkgDC^eX z^t|fVx=v?J(HK<2@14hC@;VaF6N5|SKT;{4&fhyV9)DPLU=o^T6w=%2@QdhN?CaB7 z^l0{UV`pr>x0F8jF6G^EtS%4A*W|Nh57R`<{mwEY-D>sSq#HH8>TK?&d;^gl3?Nn5 zBR1UH?eASL+AXyE$6=FA487B%mpFt#tt8LLmJv>%(Wq7^i6K@OZBk8}iI;nJr&h>s zgn_T6Q!R?mWH=+Gi%|lhaRSr|vN*pBw18I+D&vW#9iH2H^sUukQPc=43^}=bA%M`6 z^i(H#e)Oq-Y4J+#D5pFj?)3GC9%uz)ufC~ED2u9E8fMo(6CQ@*01e~orEJ_ph@<q~ zH?uJ<=U|bW4c&?%zCrKw?XBpC^8H>|H?!Fjw%sGvKm(I1`g_a=XNSFQJ=i9YP6?N? zi9vIkcSQOBH0K;;@09+7YM-2$OaV{^Gy1lEgR}EgDv1fzZ)W~unUrjwUFRCCXbN%n z6Sgy=QAJ9$S0^*HS^5t*BA<v53)l+*<R;u|B^k*vz5KjRsd-*_USSP((0gz2)rs4$ zItcg7;bvksr9=XBJ;)2Mj#BQl-wQ~9JL3oYFRVAKp_F_P>+&TZr3<TDJ5gqqJq2Nr z^5Gh=meIm!<&SDYZEkD3otMnNa5aU`dQi6S{cJ63#1Y>H&777P);@?vAH*5PtYMt~ z9AG6ksQntnaS;AIT~;CeVn)pX<&@w7zNwkdqj#01w$6{=+V;H<TiridzGn9KiGC_A z=K$}HmbX%vVjj`7QqRU|6{>lEv1@&I(J=&Ru~UXDvG6uPek2U0y;CmSB(4nRoFg^{ znHff4tPS?y4FW0AgTaS*nAP-zc9??v$#kQ9xk9`lf^q8w0(<WZdZryhYuzyZOgKRI zwSG?sFjnzKK0N{JPk0j5M6iP6@&ldqTrt7%E20qb;W8wWLW4KNsI(3KDX<8t_apT& z`~xvC%7@Jm!@6N#Bgm(w5N<62J{QuM^1Yr2f4ixtz5!}ZV>u(f6!g6^s`Ur7jTHo8 zG_JmK*yMH~tdMxqp}@G|N;2_Jk{O*sgGepNwXk5?6UJdVR%Na~=P%a(Y~paJpQo?e z26%Ya&)Wd46=!YpG(;dmKI)JgjwB^W<ktH;?rw|3Is^p8<L4+V5Qf^2mvlS-;4@8E z!myE2m(7$L5TCK7gR$tF@~5xe25i*zPTaUGhn!mU#y%Oco!Lk&N2h!-XWD6a+=LXZ z6(3_Nm5C7lY9WJIdW2Bga6`T(_{9Rml=QUir7IW!jgt~no&4-gcn|cl-hr&TA+0N8 zm8=icleA*Ia3%e%6Iv6-^*4)$L9d_|zvox}TXZu7q>)U?**4DlC}hs7OoXI@dg%+} z)NK$yu~Z`vT-33a4jBHi*`B_nOk)Lcsk_to5N-oYox59YN>H(=49k<nIXFWqMF?6w zze}_b76ex&ER5ap6%S%v%rBP3*~^oFSkZiu#ZhhxPK91XrY7V5P$@)csjF0#ygMQ# zeh3l-Cx)g4m(B#SfUweoOD*!i)R>UoG9rJ(NxU2U-i@^YHFtwXIeblDorcUiCaK@5 zP%oLd0F)Y8S<;EIN*Ko{K&1@vg^>)*p~kliDQLOhg9Y>qCILD9WazJ`+Pt#cG0FjV z;a#Gjc{~|Ep-wR}7wXiC{qQAInkb0XEUl3c$cb^H<a~-s53q7cT9%h~O=uAY#1F)c zBoK}yuGFg3r%k0UDK!^;TNJ__H;}ki#H2w+N$xScM3-!DIF%GTy#W>s3}qlxeSz>y zqbFPEV-v-E(w`kVBu(~LK;*H|5@58#|2f*#xZ+-)#@5yRuXJBzl}!$tslJ-kO+A2R zxQ15xXrRk(SZ?S_ZQfduW6DHqL7`xky@GIxMuF|YYyiJtd&^@G`921i-x;1}q+E=` z#-*V~vJgDiTJ<kqUR(nDAv>DA*o<i`gm9fqo~Sv=6n5pnS=LXx8|M6;uCg1UlQVE8 zawrlctPOEEpmAK-&0h@<CTj;`j+37XZb?Lrxm=>wV7>OR%ZjUhW}$x5m(1PAU10Pa zm^SOcgm9#BV})zfUOVF3qo$15X=|j4yhaA`Xe?r=irh)cSXq$+;57xJ(zscq86iH^ z=N95#NU(`;Xm62MLj4?&QAT`nNIX{B`0-!B>^LS{>V!i!ZJfhMCO7+7)<-E@NT$N$ z-^@Zr#kH?O|8pMa1iUXvG5;bJWD;c6=s%FH4N%oM0PRa|%6GZ(4^>!8jF)&t$mNM( zz|-{zB#?yp5(!9K(CI>}+)-bmNxSO4IML^XtxhM3094XeTHzN)qE@T%{rp=cY!Ml+ zTZKNSLia@rXyq;Br@;;(eihaeE7yD>&sGb{mULZ|RVd{F92%)kYtkL)g%mLqBbR6v zdYc2%W`=n%Dm%EP>K5(rm)p{lcoY$r?Qp1s_247IA~ku{>wPh2tAy)#_$JEI^EwDQ zr8)uw(5)czsI__nFVzc|8VL?n|E}l@<&@|P)9h`4R7#cWzXZ3d1?i%BrphtIxc1n` zyO0#nX)w-$n@^-MHS%Fpa(GB}EVA-#AB%q1z}F)d)H=iDRjKzK*cw5N9UZs$*}JTu z#Z-vtEDe5^RK;Zi9tcm49|s$57P_yn%(TNi@}kZ4I)$5dDgasU54q1e_*{ODm7I!1 z);Z_6bR&PQ?DQyyI4k-{e4?CeA`p}f-IyJAmW15wAauUU>>lm#qQVMa&WNLo)b`HA zbB&=Zch#w=U0?)i6&G4Ru%Q6bfn}Ez&b`Youg9-*q6nAIZidKm6O)@R{Ftds)ESPw zxa@!hKx}e}p7=HLcR==$c7mp)yjaf~9RIA67VT^ZJxP^ljXiU!IUA}Dv@4s0-G>vy z^4oi1ZI<K_{e~>BzZE0kJn;dMRY4`!Nz~@~f^7W6n6_WJC2`1UGI_wx1NbT8cL_z7 zldA#VIW4^uh=)iy&5SFLf9aP=Y+(<ZL}VWt`l?(Zc?7DX7<Zv$;%I&kI@|uC)_uO8 zl5O8HS5vZ$*_YWzPE(XL3%clAYDUcx+g?~7PW8i_p`aDc=Fj9?ui(*@JDpux>esq; z*?SH62!8LlT5N|OyBLcEhf`&rw2C~7R!HP4lMl5>+#ht7$q*+DGNK8t)Qwiglhz~U z!8Kkt5fjLN2KS+&4-0Gz5>g+MB=ajoVWHk4)xi$vq-}{Ut3C4#p1>?7{*sC=MT3|t zUUv-hWmv~U(kpb={A9`IReo!hi6^9`mUea?KIkThjOkw+W3t|D`K8Iw8taW)d{^l# zSV(KETOOd{9*I^sVI;_;?%3I~4<?z9>fX}&^VQ<rxA-**d<LoPhY>oZEJBl{4EZVj zfQ5Jr3WXP4Oq<E&U(#>CI!b~3jI!C;D;7zkk}mY~d+&HPQwK$<&`A^(|L&!kRLh%E ziHg9Qs_l9@J|Jv)C+&kllesJ*_<5V*9=PcZF-}PPBQ+p7wGXuoY^XLnHP~E8E&^S? z`$R(#C$y4ske*GX{w5G6_R=tp!=4rZ(=aX{{vF~#rce;eQAVIVC%J=6{CG<bt47oe zsm!;d5wr$6Lu70aYy-UswJnI_FUMC1=41^G;{6-LJ3NpRbh}KL<K_ayR=k|Rcxn7M zxU=A|m!a4&N}mVS)Q*Uc(e)YWV;ltm-U@5lqWcIZ7Yw3i&1k2<n7D1w8n6QJbOaYr z?Ipe<)bTwJTB2{-arU+*nhS6{nX}s54PGN)_7ZKSFVY2355E%7SRDpvG=GEG6hN$9 zDFigq`Y#z*NxxwB0~As&z&H%ovJ0Dujs9<%K~Un~fsyxDyE@MNTqdqHH6Lj4PL22X zy~CM%tO}-tW2y?Kc#N{Cz2zU9r9#xvucgQzL?1#*Oqg0)J&zf`p3YwmO?%!SE&ZRy zTnv9X6V@EX8lW4=epMP{-%e#H#cVx~F(qv060Sk>fB1E@pN~i^D(M~^-gaSCIBo;w z*;jxO%`p-Uloh~@Tq<2o$?MZYmzMTftm(ej)6E1S4h(EiS&X3pCw|w2AREEeinK-` zIM?$-<=^I?-iv2O_sHM*K9~SMySD_rkD~Ow*Y$weRp3*>?Sowl@0%)LCYig)$AjKw zjn&Lb!dKn)!)aGSn^p|#$IJEM;n!>9r&34Ne;n{-v`6?AV8Aj>-WUkF9qKRnMf=++ zibv#xvCi$~tFw!}GcK&JQ#ow^Ul@zXdJIc3Q&UR?S{%s&n!+uMZ)eo0jo;7jg)TUH z0m`#|hB<Qc^3ZgYcEvx<GeJvYj=Z3s<-;qHy@?TOW?K$Sm0IIz2_3&=ro1+U_{7Ty zls1_9IE}W%h!7C}G?lEyE|R;$S`14zOIf1d)HGXDIdfeszHuWb7@Q3LjjaT<_Zsl; zB*}6S69wb;1676*cCN4jaZ`aHlZAHQbSAVKsLyI-jTA)W4Xktf$mjgT%IB=}baqF! zZ6=Twi~ie?=lQ&JpNOJ(n00^kQ_yS7GP;c?S6taoue$XJ;Qu(=VUC>V<wq63Nx99z zfzn!`xif{kr+i|IzE+F0W`@7CZ^{eEfUP6TrVibDE=xEuzuUbE&;6~1n!}efyGIGX z+glf&uiv_cOk97rvCgfO$2h1a)$@(H|DEkybDt?iERd`$lU)8U&FF?QF*m_Rm-T*y zp8<oO0r9?t{q4-G52fbVm^+ui%Qa)u+KPI1I&prQ+KBHzRyGyYev-DxdLvO!KHHv+ zbs;-@F+2O8<=Ow};8|bST|Kkuf9|x`e#sD5*QJyU?W5c8a={JweAAV$LnN;C5@<Cf zCVYI@vo4H$Voy~pHl%fw5-3T&Tu*L^A|hZkKIlf-b*);27u4}}dTa<u4ZN!8oB}t$ zOBaA+AfH;Z3UFi3(N@b}s`lL4VFv$HS|p+@nr9*o#J!-`$P|FK-?^+w@x1?{E_k?$ zkTmyuGhyp{?S64<)ki$Akz~O`gx&%W)!{YE0na3<;7T2MWzq9zel4cV_WW2hdurBw zJfRO#SCZ=ZcYi#i;nDa-Tg@7AmSA57MZmqXYR8_&n?PH*{LqTt<SDH*vYNe>4tDyn zpjSg}?sM(q-dBI=wHR?a_u&CDAzF?4w64mLW=5wm<ZAm?lwAisqRL^(*QYt<<fy(t zAmGZ}QqJWQ`Y;*veVc!%^K5*b>^*<TO0AHvmmkXMeKyI@sP1GkSB-i3Zy5DWo$=T= z#$P5PfNmOu*4up>+w@L8%-IMVRsNV99}Y2Hum8L%PnS71+^5FG6#9aUE3>!|36VM6 zS6a#}kvjeP7Z<_m$}H2Zh`#4Gn#(K=<GjA-dLiVdy8FJcD?9M2Czp&<3fg~GpIxiW zTL=>!q-dT?5!?8@lN0Gqm#5s0cXebcXR>7(5deO(uFvLH{XE7}x8eJpT5N>AAey-A z{TCa^rvapG9qWBf>u}hdi#6STm%|oiTc&VQ$b3F0RydJ?QAH!D>(^CyP<o9h`TVo{ zv|O`~JBNl?DEY84<Y!~f&i2Kn@%6lk?!mGSjD#cmX9D(<zxE_yboEM<1|%THKLMJ^ z;2Ze)CPYvh&;<H8zRuAK=d~>=Vr~>XrN0&i%qqDBJVxc(pIs^al=EA=PI&2B=RebG zdg3&?qT5_`y0}KinB}l4Y{gmLzGgU`bK>34@%;GV(gJLG4elstDXBer`E&od`)4=m z)A1$1bZuePnEL?PAF!seWVCQZ@rs=1(Nd|saKymiA^wy5JDYGK;H;0ftIuf7!FK!H z*wU)bpe|+Uw|7k$!Q7UPtyT$V!BdIjC1AC^d`gf1D)MPsS8u^XPjA8hp{`DA&S1@l z;bU%Lspg!~zGw9F#72v5fx~|5^+Bw{-ZICx&5|lhdim-9<m3Hw3=wacnIaolxoy=V zua4s*gdN4q?;%yWG`f{$_V~5I7KL}bSoJ@uy5iBtSpZwXmqAciLayr2tSs``bqn99 zd%6ezEWeFsJ(b8t9+M0T7aKBc@FR#I<68;S>o?!L0ly?I@!2@|S@xLg?9jB-_r{@$ zHi3&vRqJMJfuEmhuJ;*rS=OnY^_V5;9+giu-@7Qef&X5WqOKbvdF5sB3h&@|HQ#)k zcUp1--aRix9bReuY~x@IovEFxn*+5=npU13h#LG1LmhZ94#$|Y7Vs_$7Zw!Y7mf?o z<^EU2?n?|`&IvEP!jhGGaYgzHdw?!B=QXW%lV-}-T0_C+N`mO;Cu(tlF(f<EDb4=F z($eFMO1eC+1T6u5yd<1QgjZs8<}_db-ewU|c`?;|g4gPmQ-Z4V!YQtHH{Zj)`a`K& zh^%LXAMJpHD)e~QqEHP1b{=RhEQrhA?_lhW%Z<B)cOTt0lVfFp6)@n=Q6+x$?#LOA zI&?DkSQyNz1sG7o^E<9H8FDaYk&8#US{5hkLlzr#7SLGQyHml&nT!N&R@{kAUv+Ig zVP=MiMfPKw&*=?xu|V}a<RMj;$Xvq2uko`3VHdYOcL(=QN4Qsn$T`<a{}64W7MzXI zZc>uU>Jj{x@|N9EMjMwk5scIVK3<GGh6NBSiv;96rma<n2MU1g4Cue2mdSkRuZOeA zrl@eP(V_fDZQVYHhl4AsM~z{8P^gA&%5CsNN0-!i`prg|Y^;cKkUd6<dXiKnCy7iA zHE}i;$D_jdqmVr?DMc7HFcWj?3<J(37lzeG*P2wmSuku+J;4l2BO=^bd64)d7Yi){ z1kMS(#kCw(DG(bSe5Awa49iYpq$n1IS}+-d=x?-=`ACKE?G5SFnMObZyD31UzzOMr z^&EA&$nYUpjG3DFl12EEpC7i(xdq9gS+XD=@7ECaRb;{O9w*_-fc=wu`F=RBID+T! zhpTgq)aD6s%}4h$L6(20KRZ_u9MeZ|EG$;!Z&NaqjV8#d227Y=0hN4_K>K7gqfPVp z<iz9{U>pruwSfF);?qG}&)XWCPD+CStM_07UYacvc6{PmNGj@sn>fz2Jr1){MdBN` zue>rmY*JxIoSJmJ`FEw|D_WAoOi@vCS?S2or6?M5sllZvLur-KFi~e&*v3UwQ)Yk= z6f~0UwxoJId{yr|Opsazs&EslDE8ADCvFS_HFPOtM`btsi?MP9)7CfvfZXj!3#QEL z4~A%mR*fh%fw6R>h?p~2dLfjZus0_uU>qtHdh8oI&3*$Z`){xUl^QW>e|cALkVLoB z&h5xqU<BEhG(h|B5=nD_D@+sP1PWb0KfiA5u!(`MoxJH~*u7(L=UDpxL^Lk9&)4}( zmI<fDVLaMb*Rr(V`3OyfBLs=-iJ;%)blr`&WonQvr2O68VnHX^cIR&?8lgx##pT$V zl#?iQaos5A=8e^((xJ>`f3st&6qgNn{df{oVHXMYZVJ@{ZIc;*Yp+Al?6?1?3F(C( zQi_8LktDefO)4dhydBlqvePt_<_iB`z@WFUzWz^`fd{uv*(L&S1{PXBWkxaB3WPj0 zag^<@`Y|sr-!?k3<-`(D=KNxhI?2P?ZpOE?-CHBe0AH^&2AzwFxj81slWu=R4>QAf zTQb#V4;X5;X=<t1CrD((U!o-5&%7Ls3y0j$1*JlyTM&(PH*MdIDtEZc!Kt^;VMSKD z7Zxmn=U7^EbCa#8w$I`CT(K8+m&my#ZS-x^(>iKvh^{aKZz1}9mDRwI?S^FBGX7S6 zG}gq(nitttbj0$%I@|_tGJXuERK(U>$qfqR2A^0%K^9xTX|4xZqTlxro1#ncS*Y8Z zSjb#!I9_*QoEcR*mabW{SgU~Y6J6yTn_;>i@`o{|jB3r~N30ZB!^Gt)n4K^SkwO%i zcUs-1^1!(OK{eM6BQQ0$E|YI_G&K2;N^<k+X{P6_Y_mzU%xp2;y;(AOvTq6l?l2pP zTJzsY3t0z_kj!jXo&POt6&T}+i;}3hcXrGy;=APcFXE5<h#)k<jlzRiAP#rQ>=dhR zrqhVw9h>2S-SCZKiwExQlKuE<ZO@eCwkSNpmxpM)-J(Gy)KsVd*`OH=en$Ff;Fw8I z%znUtSM!%!S)StPq=8XmzC)B$g;<8fLl<^F{GP2ox{*y3Ia&=WrdeJ_c4N{;f|^Tc z$6V~Xbu?vI+L?YK8hva&?He3PMVke&sp7{7CheW1qABCw{4)tGJU%uBvsN2{XdE59 zAk5*5L*Iz5TfT2Zb$#|HqxVN~tc0i=whG7T4!+GHQTO{J_(;jl^VCHUq&F&=@`KeO zu>Oye8LKQ*vumWhZZ4vv2`G-M9c{=YHb~f`P^7duKQ+nT5ex^agD%LAfemhPa)#OE zJxc@(6qX1BOOyeyZ+<Lmb_xoMSZQD+Pj9ja#5tArzmRcpD7zo5mgwk-ED@^n=EU+V zv(<$7?r5fGR-Fq`9H>{Nq-(U=UP0_Ae+W=m%T_i`{bNXKV-jWIB{H#T!w4TIP@f*w zKbfTBCi3T0T{-A{#HT|=UyDTzAM4*$949mY;0g+6gh^@{*zELy9JAdqG+wid-TSCK z%P=BCgDT**^buv0unFT)A~2Yk`ncK`&9k`L0Lkmf+>sDtlcM6FL!y|m;Ii`V_;DI8 z7|g?ec-D3S^TKNP7E%ZJSyw$^GxNfCEX~AKdhhWUkp9f%@_LzK4u#$sGERjAbTi9L z0^3c)_or&;`S>aMGrjM;ruqKrf2&@@#0}|4N7~KrbO#SwEbp?-!H!ImZl!7r44<QK z*<b^dl&fJbUyV}giOkV)LWcw6RB@vGc5De)3{z0Szo+7c1DlXuV&J23s*LZm5jcrU z#v93@V%-xJ^_3^3N2-?1bHQ*VMyXhgYeVAqf=wl9hkGc+@W-iHrK3R22*fe4(AiXz zZaHvpL?l;GDnLCFrN{ZSa>k^>fXn9EkT2p;^r-sH;(}ZDn*4%nQ&y6<uuns<W^?RG z30^37KK_L-_@#Hd62&s4M7QH*v9**s)Z=!bro%DfRewZf>y1+&S@i<3Z%I`EzY-Ja z(EqPx(R$@f^CIGA$VgPCA7zyAupOc%$zj2hn8cFZ(y6)h<jkypL`5v&QOFGGWfd@o zJ49Rj1+QzIfra>*9NX*&r?(npWzf1)1rL#Q8}akHrBEJ}>>Lm^mbo8b+AxUcF=T`K z{0&f(W#LalyQS_B8@?z<lDV(!Vmp()#-~YYd09|#T3R}dK2dpx1^FLSFD1(xCwE7i zF)#n)4(lgBSTsFdiJNLSMyJI?rm(Q-8z!`J0tQ5gb}G?Yc0ykpmyph5*iu(qyP;~8 zD0J~3T*7RfYyUNA#He+|nmL;*qCFh_T%de7i>Oi1yjTn7Y+%xW!K+5`@S}+~D64my z?d&Bl!Du}!*5n^s7)bt3>Cv&FQlcBpJ7tI@a4va8r?2W@A@IF0i^;j-rr@d`YwS?L zM3MtI$ZP$0TiGiQ2am?%AT)LjF$^_zG4r@skt)ckTQ$!OF{<v9@N0E;DNDW}D#d_+ zXL9b%T(5<1TH@jEWTvB9@V@MNz}nKs62sGA7){X7NZg{I)PHYcX@tX|Y|C8<Dldnv zV$7~>R7GSbFarjb@o6LgvNH~6RbJHpvVQip!qUQpb`VzZ%pO@B#%ou=CoJp}i#G3n zyYWKc&tllb5#!Tl{vgC-Sg5?1hb#zoaB@%3v=L3g6<7MQx)HeAo~R!neo&1i&-M=D z$`t!i4Dgh+PG$cQw&xq@k@IoHPpWNbWW`fA1UTjBE?r4CZ%cy*7$ii!yHmKGAaDG# zcK#E@WXRw`eBQq(oGwW@_nHK*(@lIJyi{KoB>%#R!nzbemN-Huh-7{_k2_wf6hhqG zss3$Jx-YEx7YfPT<pm^oQfJSZ_^)d%)Gu8uC*qWIa1`@t+<0&u!ZN&ZKt>>V)&?Vp z$PxWKcjDn(M-cxbNeUkr@%RdD>^=7qHS#_!5<&(5N+BZjl<BOQ9J}&PSL_VD4$t}` z;*>HMP#HNYw=8sz{zrM{C_%S{(_zgq)VaAzYHX_f`wZ2L(+4ukC}<JT?qQ$xYq2Z_ zDUHrD7YuDz#Rg(XDM8mp=Obye`m_$1b`1{6FCe!DDu)(vuka#hG`eFa54299tQ#Y- z4u_H=j5Mv9RGKyqCB{zKho2n$7)C*hW=4;2i70|QL=t07ZNqVC?dCHc5!nE(32y&( zrtR4`uEdOztjL^c|1qJ9|3cEk*u-x&kF{+cDb|Ci<qph%`uRT}+d55kAH`f%@?2BZ zYe=j-iB9?(co=g67@SESJAT`;=t>|O`e3+kAqwXSSXiS8GIb~-zc2~?BXn5f0Ur9E zTku-q0_6{a+hM{|wVburKf_S6k1FR8q00YfbRqyg5>fttjKuNRh2)=7{J>X@dVM{i zEE+KZf);;inEyueXIo$_+^?0Krc8WJyMcqo4TO{hP4MxSYyk!tmtmTkpr@H`AJ^B9 z_o>@I?}zt9@i_|YXW514&5xE%*#!Zs^z4|9T5)<~Gfak``~l9dcV7N3ucAik?K<ze zgLp{c`#K-3A)g7K1r=B^H8oqWaylQ6?=DS-pFSl@%M7R7GYk{y9-kW<{;a_{Oa+)d z*9k_1eLMocHH7~2?=5KIb%X&kI&c2&don3*_twnW2G$^3VZ|6KNS|xcsS|8&{PgM2 zXJF*~yPmUEQ?T{%PmRnDE@km;L1ddijlJNEs%{DI5$Ub9;6OjG<x;9jk{*5=kIwZ4 z*h-|~t^1Xxe54j|&Xsp|wSNiRd%u6}%gFdTFL@Et*uUIVfIW_Sg}eatoy%||b5mpA zY0oU@%%7#YnhwoquKD!R8tmyDcBDb&HOLwWYP$>mR|vEBJEvQv;;(8Pe;<$^$Y+L} zP;JI@#$FrV|LyJz_;va(17+ho^+jyA?VbPlwg2rqWZ&)lR|)QCu*PZLudiQZ?E#~2 zpy7aoPLclR>E7Jm>y2veg4`bNO6_kS7Xa@+7KwHo3Nu{=$7ykHw}*o>3ayXCuDo;U z1^e4B9fuK+tpT-)FVwLuH7|r)3(w<gIgJLbX>oSE0Ih)5yW|M@$qLOr^XHrK7fr8H zWJ^}f$}Mt#FW<9K-mY$TdoyG}Lti7jB|qTq${@hY$5{`vceOSryFioeN6&XTg{<4( z*?#RhcJ=A!aX4``Ob-oOfA4{-XW!i-_YYk@ZCbhw9n$-lmS*xhvTe`a$G)>~{;R!c zC7?C8h@bPvnvg6v)Cu6!_@Dpl9>h7jlv*-nb<!Sfj2B-vElR)kVlrdR5fHDzkZSV( zsO&An;%K_9;lbVAoe&7_1Shz=LvVKp5;VAbaED;Qf_rce4#6FQCP452Zx7^r_j8`} z=l+JS>0Nv6T2nP^GuK=-)z$ul-lx;82$kJD>)>C>(oxw6d@h{keqJepXDANHzT=Qr z)^@pitXCwcs*RaueX>50@oG~Wiz@$QU8xPW_-j5{+gs+B#GIAlCRPcOe!FFlTV2w8 z@pJc4S@38FEqdnmvd7%_!t;FJwwW+Wc;Q4%m4^Hsb94B3uC+o8YV2#JG0nZJ$%BaW zbD41M)c5=|nJIXqWg}a8yKT#Fxo8DQt-S`SGv0#F7BzY14U}LD?n|CI7Zcbj2CR24 z1z@d-URbR-*3O9t@+PnPZ~yQYf-n>5lLICm-IYj6Q~CN<99@=I7|aoe-<72DQLH+$ zZ>})FemquUb-Qib*d1@xloLI9r5Uv#V~lN5sj}kNN9_NFgueespEZKjz2T(YI0Qji zx)Q%Obb+p454H6%;-&BcDvrrz3qfBh64j+4E0j`$Sl<kk>mAp^L(D`mTmi7*hIp9x zH=pKregi+kx0mM~uiTTerM~$Xx%&<50}jpiSMG&i2ZD#+zyUZS_{zNwaQM|+2PE3O z!_4JaJ&Njg)Ec!UR44M$DNSy*-W0hnQrPY2r2hFjjy!FI8kstB!IJ0KWg2^us=Ofn z&hp-GsLz=S2pWm-A?rR0R9~U@=TguR69JSOjH-!-IAg+wNDr6NVnsQhxbRU(@}6}W zB{+}DY9>363dG)35#NAV#d+E19nH~t>@h#JsH(ZFm+h_xlt>6JWaSek%awTsAE0>| zZwzdC&n8)=E}O_%Bu>V4-l|SdHx|tNIih(P8<Cs-+6q3Q_61sOZn_X)vD1MqF|hB+ zk_7u+f_<`JAJ`iBIXQXGKf2|@lI`c|ebRT%6g%GR(Xn&djLWI2J55z=O-3xhsR>vw zYyiuR*HnId8RI7PM`<gijo0q*prmk>m%wr+=2W=>9=FX2jLetXE>?a<QM8!Q+*gI< zyX#F}^Lkb=vt4wCa0e^?TZvmHaU)#6S$Of0VOR4~l?7%(zN9g0KBfbk`564+5^7ax z&kb4!Z|8%_nMT4Sf)lt<h<}Rbz~6B&9a>&%NcDLo=Q8E4OKuxm@1$I4rc5ux<Nlts z_5@9$hhq-dYWWad|J$W*lGB20=uMP`p^rCeRoCRhTjb#n2ZX3-)WE9K1M7^idtCK- zdlXZ(1O=1NtK)4iq4w;0<=WPiF0%+mkUw?em=NIf7{`5YB)q7T@4rJ)UtvPA;K_)F zsVU@3nHBhwk?FHa&<adB@~mG)L}b3t<>qDlJu%n}=bxA;iWZ&u{*s%Q2J9H{O~iU~ zY;yCGfE_P_5)(y$2tV>`Dhkb<*JW32C>H^0w@ByxE9gbqv~*kE={;SVWPh*xb-YEM z%=+jJbMsbyUN3rgVwPxulu^I^roX8CYK>o~#mjoMsC=)VlyQY#*{w6Tc6Q}>D0$4? zi{n`27)74V!6%O67+6Lt&lU(+>V$`r)GiBf(yBCdr1EU#V3#%EI$r|2ngQ1|*wq4# zS`{er+|u*>b#>EcH>3kIa@F}K<L1xL2wd;AuB+($-#N^&{2p%2+`i4befS!u<R3@O zBB0YmK8&)6m?x35E5xNy4?^Q1p4my6Q6{6{1fi5p=0@fU)-%N5(V=p(DiK@j=km-{ z8#xVE_ngJ64?>H$k1>g~8QjRk)yq;MB)Ff9@+fBSz$sBwCRg)A(D&Bke`FR8Cb#fM z6j=q~d^o|opG>(K*vQlT23&GHV=uOb=p5)7`irs~>5qB;y0KdRokpy?_(84I0&ykF zA4w^^uY7G~oM;*@R`5|wZIT+_MGh@kSZAqsxzCM*Adrr$1r3-`q3OOI6JD@d=5H#w z^Jtu-ct&dmPe&f)R;d`>8@Py8++xL+1*~9*T&S13Zu8NR8k{5AM$flFWdL&<^LB0V zHYFY&V?-642In$1^agZlb*B<c&|4dvt5y9rt8Bnr25&#tYS{G7hI(wX@~&w=4lqw4 zpMDGf3s!<8YSi1C_;$Z3SipG1?bPF||E=`Bi~D`+T<lPq{(b6xEA)LT!XKXCFkeca z&<Vu}=3u#5=<M;NHq9y&zNrBC38lTyekWzh$J)4+v#$-~&B^i`zr@K4);G@aN?OY0 z*ab##Dy1Nr<$-VpD<n(g8bteaa%F?rMEns=)iCI{DwLF`dm(TR8eIGlv5_g&W-8EE zR9W3QJk&~T#vtgGvhnlsE0cd@N2H&ilqg-LK&j;tbBPwYiB%^>xHRHKO}~62^qG++ z=*x!i0=3A3RBmc(A3WWsIP8c7Owqi0V?-KZBb&ZfS_BC!gGZuq8X|myddxpD<SE^d zIbQH_Pz5G&h!c5v%I1Y)jZ6YDWgrtv3s~j!fb1fUu8gpnS0ou8xy9^6I$4&*scXIx z@3FbUdn9eh5@DJVxo*c8-{YnrGVkhF9`G|=ppe=^N?hm~!6cc9LMlCAMUm^bS?R5K z!t`)DS?6sa7Uji(lE}rIC1BNUD^D_mHqv<*Cmn+q5itGPO6cYXwW7vmv&LEUu!=bd zE0tc0Kq^Jx><}Ut*jDZ$ze*|Rg<}Y0m{EuWVf*CkQMnJ*Hm6fn=vD4&l_NhzPe9ux zm3L+I&Som@C~+366|pDtZ4f94O3e7nZ(7A<ID4d16;FA}ko<yB66~J_oTa30({igG zYtj}DgIpC;9rNw^wFr<o!D)@B+VC&z2XS4rOvh0^<65NPH?SC0U@6qbbEBfm?;gL8 za~fia9x#!}>eFPR^HZyw-%}12CTLnXAcBi9TR$a%z<%RRjQ`uJ|J-`A<?<Eh?Nv^X zFj~PeirbopRY^v#tXQ*l8%NR#VBCnbahT4YPAM^AFr$cXRo9U`IOEtyx^MzTT|q7y zvaea@#iwikO}+a0bNJ7j+jBIXJOuN(XY24-*l)S)sJ_}ROZYFeMT2Ssh#<_d5}k1A znTuYTy`p)wGg7w?tN<@LJW~omP7Y-o%jJLn8jV(RoZM*Y%~9{0)3Ntq<R&&s<g0CL zO!VK5V8?96@;65nCfd9hfGXtJVIELLT|Ztkp<hchYVd#2V+*SovVfb7Tr0v)U|#OQ zHu~w*H23nfR!NgTdqM(c1roeKDF?U=@vslp@DTpXA(HpJT%m_)2NnZq8e34LLy#qx zC=U^D_8<qjjv!ld$VYC?(bJKPjQQ)Gv0&4-vx-~@p;Ajuj<S;6Ce+Rvbx2W2yQ325 z#bsp#UbMQQ0?c?`DLqQIv{0ls=6%8t<lBK~jP6?+{TKEUbBtTS1&gq#Cs(!QG=f&x z2{zw)3efjkio#AT-xx8QP_enOW2n1)IJ{ZdF-smSLFn2=a!!%w>3Sm``p&5?v?0=D zWtys6O|)n!xg`Q&mtd4?nsG{v0gf`AsX$`Spk3}&y;&U`t9i`YeHUq{)c8ec#ZY=y zA1zglk$NHdz_C>FCU0k$y-w#AefK9(0<rQ5nXS#dNy^NT77Zd~#~)=Xw5j3RUoYD{ zh1^%YffXP@H4#uj3HMxIk5+ii=z}+)-eWej6CFy|oC=~UlHeji^&atDzWN9=a3%|F zT8e#-ix<MqVfYxg&eJ>Rg@J=a$y}Dl`bD2OI{tW5D+>9ue+21?cyOKgym9i$jlA3G z4x}Fi<F<5Jds$L=9<X_*AzQ{wlwws!-N5U~82;+5+$o;7he9(4Y?2F@O#RHzdZP>S z`+6)ECe7IT?YzoDGuwy|ST3(r`LJF=)X4Q`v9n^Zy){<Qld<>^yuv4FwxoH}q`sd% z`QUX;$s?4@^^=FMgr8>&J_)WEKj3WrH>DD3rVjLQ;B<trC*6quu$Yx<D}TK=BEm0S ze;v_a=xaM+#sX2uKN`6oRVX0dy?!G>`!eAGtA;CRShZZNgeARaBj5cu%O`b+W(jD7 zYWWns7ayR%f0C`l5rMRwrkRf<hZuDAQ<KDMhP<Gpn?bLJZfc4R6Rrpi6FvqCV_2B5 z9Z)L5!op~_w|ACzW_Cs?ph9T2agiDCdN3!tA642}-?cU=2YXL?7^ov@XeZqjoG;vH z(dfG)@$XqHnvI0k&its;2R4iYvZG)+y+AHnCZxP?*0~mapC#%&`StZ@j2WZFT8XT7 z2d|k!0nevf6)3ZZc}U2P&3@XYawc+NY3wQVoH>`IAttoG)`Fx@0EyTaLr||y`Eh7E zgp$QG2Ms`o7*s&?W-nTUO5NLH2j^S1{K<<KKnJoABn%@AG9#})YGn)J?hUb8d2U#} zHsymv6t2O+_7`QK1Bq)WfewUoL8j|K@y`XBkq<aW3e5T3rXEd`)3U?{(ey^6n8#NK zH8pn;V}3;E=1JP}2R>S-IhwvxwP}#%8F~fHW5)i{c^@=iC<!md#EKi+CN-ujYfW0> z9W4iCW+nqStpc|r8IFrBR}^~ISx762rzm#`-B$|nj%CHtzn+aEo2?E`DT$5@r1YVM zT^NC$G!I+aG%ZQZAeT;>9m=>HITsoyRw;sdNlu2oRw=2lIk*U#_l-ZM8o%hvFDnt! z(#Q77NhR`(AeuoFsHEIY44L@*w{kKE90cOwe4?<q(81V$gJt8TrAe?W>C(=-`jT>A z!95e(7MiN4gnnR<*?r~6N<)_k@yyYljVxoWkXOa-!#OzPC*7TnI}sr7M=7R@zCDz= z?73A}OHtqTnKGqIu8#?xeS431bipC`HdWn{EwywV+9-rLEs*zpPh_liA8BA(gdn>4 zpek>wXBrt%Eg};vJB|VQXdHtz3z;jDHVhh68X_6G>EJ9!oW+W$Mpu{cr*0@{0zz!g zxYw_#CWSS=tqFfx7J^PA{MdeS^6Cwgw!WhSdB)Q5PF$CfS7383I|{P7n@xL{ZRKah zugEAkgrf^D@S#r&5k81pV?)1SAe_;c6nTOCsb9pgyHI4siTG8zuvVdjsN+;W0s~2b zy{J(<AyQX(0j!%vO|=)+hJsP$CxJF*nzZ~ECF~MEiP&6_8{MswrB<WzaC^EpiQ2r2 z91UexFNTe24hv^Ak!D{OSjV?LiZR{>_i=mNH0jWKS}U)t0Kj2^HAcIO#Ao}42!~&1 zPVFAtTtgT6#1U0^!&O0E&0*iV{QIH_Yc6B=Vcv`CF&FT+m;70oM@k+T2scI{q#{ha zqX>(@Q}M~CKfDT8S@6rGn-gWtk0+q`P~u_S{i3WNQBc`>6#Yd+y;78=X?7U-0Uj=v zIu&vb9p_XSR&twZS(t=*EPVdvPJuOC<gm%`wZnWurb9cif4s8CMOspKdDQ635852x za5Lt_*ppahWhS(f1DEU^(lBT2e+eU~>L7@eX*&pUBX8-HFm_u|jr)54=DR<gRJ}n9 z$tHw?{jnn*5o=)aQqYTDzH36&qzlx}pZsg`P_J83kp>Ij%UTXUHwDg{tUcx$2-!CI zQCef<Z$R4T^P4Z~?nfz4OkQz+7=)Ln<>lnz_oIU159}e?>3k>?BU=@*25@?00ys{r zzh_=q`wLQptuh`loVbB1I^z4HWrq8s4iwo8f?g}AUA`>~XAd0~TP2`JyAdnl-wwz< zQ_2Ax?a1_K3!G}CU#P2g5-Kbz@$S9voD9{lbmAPuT)Ze)Oy!St0)|~G!WJDMlJ|5l z>QzDX<Mou*(M0s)UnpxxlIt}~ZElo}IT2IXJ_7%|(LqGtY$PMwJ&AZMhAZhimw%ME za#E=m%UI!P(#OIy8$0Ym>g5SyY%DGqCzh$we2pk)IjmS0)@O%ot-YXCFCO;Bu{CPZ z?F!(31O3iIR-sHG`HcR1H-F;?DBd#oqi=JWYFYI2>eZ#tct_~pkyb&PgF_Nc?;2E! z4%E_WHtFR;8F=EVV!xi)mdebQsu;s$3<q}6cCYHeHHkXWch}d#RAT;I*s=C47hT9j zHUkzDdT0#&$kn(Pk!)B8A#0dI1y`d(AR4W}V|NoaSeNRQw^arWy=W*#ZE@3$i*E!C z=G#Fa<ozw-iy!vLSZ-z-l%z#gaMjEwyqK!0OwOE_b=uXvbnh8|BP7Fk4veiY2a@Ev z(PSQ7l(ZJk)|meEPILXG#^*JokE<gzuagC#s7(T!Eh7`#G9rGsR~A$Ut7uk)??KNg zaKJ=WkyAc3MaZ9=VZ2@nt==BI$mx-sIk#Slj02@;mU$38TiI1^TMMgLlnJ!>spio5 z4#i+6lhcF#wD+rOc%c^-7tWvBPF|SdGR?*u-14!M)pG9QwedCLoKZu;$Bm{d*LH12 z2j61><&+A8oC@y9S#OuS{cz28s{8ZE6rpj$%nttSoXn1iX`YO}Dw-)HKfWLH5C*_* z%;VFMeY5Hg_eGzty?mRsnEl#WN51U2?1lF&GtITE5ib0%MUaa*4;^~T7nD1*S1o`3 zXNr{9GWG8isVu`%JKwc)vyPta$42h<=@g-d8TgFuB*+M0TE>w*7P#k4q3)G*m9JN0 zpQPQ%zVdFu#@&SBP2t^CPIINlQml%G9&QJk#%v6{%eTjmy5+uFZfBPtbFQ9OL$)5= zp1L2H?wY4W+}zzOk>Dt`9Ooh0@|$*E5E*)2onBfyJ$T-)jf^~AU_9nTz%;sZ(`>&u zz?+DDsO0YU*cKMyn*VYcJ)(YJ@d62ZHgvEq&v=|^k5#H6f7kP%zms|CXQHrby1eYh zyP*NgI(M2jm(bE%RWb@yW0jkSz%6a|X2Zypny%~=`v=wOs9QxJQrS}HH9cjm_upgo zD&D;zpk|m;)}M&ets%-K8P^bLoADD;n0INo-5!v=zuF2*nLVcBhaJ+G;jc2QU)rY; zRz0wjk(WIXq9_m#I9ApbRr66SfAl8KZm_GR3p6EiR%=vO)cs%6qAq+-)1u)>oUJa; zH+Nz`&+7ozY%-2(T9h*bH=;=yxhbAK^J><9=+F{+zuO?Gy4QE{qR$b$7dI2s@Y&hC z_<7VE+H7rVB1cDc;PJ`3iwwB1f{s&5jx6FP6>=)SkTMEvU*-+0;oYe;R0%k^RlXG2 zfh@T{cWreM7yZk74-UKMo#iL6<J7)ubiIoqa8*p2;~ZU`Wu8etsuYh$3v#|UUq)kd z*?&JoakcWBjil4}_$2x<J6z_@0a~f(9=Q2}mEXW?^~E(Kg=E?}^}wmoD|1^bWnJ9- z25iov5*l%om)4TX>=8Q3Ghtu2lCW{>*M(uzBXzc&U5ze>n=!T1_cgwc^9AeqEyaj9 zh1K(5sZ#5VJM4-Fh|Ii5X_$bi@7)O2&C{(XC*FAliyv9Wx-_B}zqo1pi=MBDZCe>3 zg@~$NS<P78RmQBh0F&uX!n&ZOx9msKaH=GYo^R}ogmSq0<jZ6Pi6X(17L*Tt1<gtf zZNbGZq^ePpMT%~P<?xc_uzRLOminnW+lA)*D&3V!WnDBl<>k66aQLN>xB8`)sbAxI z1La#$->@6AL2<kly&4if_>k%`Ul`)%Is|<zG>3*vxoCRk$h=}V8~?2*<&{<L39`GS z9PKxh79$jrGvSP$jl5l~Md4I6*N7;$Mh?-)40hypphFjQxZ6#ZgfcW{+#6rDvP3@= zH}nOkauLgA@XHY)(AF-5d^JU+F;UqRm8;8^%OjT46HpHntDS}k*-1f)uW5lWfC<gi z4NNkuZw?Wup(hkgstK~jz~D>{YhK*<Le<pec=K|*%m$;Ut{k5@wZW2BE*Doj@rO*I zUb#FRa;5qtdQnt)sW@`t8nybQT&gf*J}ql9e6vO?oMoB%5PCsaY3ZAY_!VOHA^xbB zUfZuT6ujjV$~WE<4vG)5eEJdplY-9)UHT#5Cuy!PBlxOjk}am2PjZ+{TEtG8Jf>#~ z7oX&=<(N!``3cxOD_m7+y=n2-$g`2tT9Rs*Fa_h0c$l(6xR?8lxzjJQ+sJ%gm!KVR zu5p#G|2~ZDD0IIrTWOVOSHc7K5-_a^xB0l4d;4ccp{A_uT(#WJ(`u&hJVMO}%Fjtd zVN3vWR;9q8A1YUBFQD`m_DmJB9C0)QE-&EFArV<m_7>LOz#N^ZNn|c7VCi-WWm)MQ zdAXxFUZUbPRO8Iwx)K&qQ@~VKfUflrto=LKeH-q`#g2=Vm%9}(V`=Fp+}o|o9p1Jw zY|^ho^n}!b>(0a+5!)Zw#JSDwwQ_WJeZ@Ko$r8%A23!lNS{?e<N+{G5=C?y|XSbb* zH*9X2>&_Ne&!<B^&d3uHZy?>J)lFs9<?Y;Bipd`2qs07Df6&tzLS3A2zOT)raT}kr z=KGX<l!?N4)$1k}$wv$NI?2j-v(ZP&c;yXt2$RPY)N*u9CFEEiO-_ZjdexN_z-;pH z$zr@>ym1=PSZ(WTI&9Sv)qquhg-A)Io8R!8+)`_(N+o<N45kZNV~BO4I$<pca{wbU zVE^-!SgsLG=KysK6)q&Z7LBBEkBl#pG_j-w26`ovnDo%;44t%ZAc8+tH@%p2%>i3d z5^+{^!gga$uahfo*2a_LFgjsb%OQz6`T|zcqJ|XQZgW(mLi|U;uao<@kqD7!^10GC z>Ebq`N%TsIM@NIW)mkZ11^DHqR1qtrL#Ya^8me4<vTm5)?{ikQ+%;dHu}H?7?e%iv z7YfSVKxPf0dP86DnvYPdY5C}%;$&eU{2Ci85bLP9ZP{et)4*KM$S?}^HUakS)O5w9 z`O3|$je666a2Qeb<Clzo6-#wP8PeZ+n=DWt{{QMSjy4@f%X51S$juc8q5xl^cb+OI z+MdJ6^3~0F@p-}C&+PHX!{0wv{7Q<`OdvuY%Bz%{_ar49uARTW%;vj!r^pLZJn~my z`88fU@i_U|BRH7I(~zj%<3qfC6Y3>BKcnt@>$pUB8oL8r{TW-uY+uz`5$WBkXW*^t zz2dHr@uOY9n^g#h!GndK7R%jq#``SoS8UKx$Z+|w^1?EEvb%0RCGU?qC}29L(cCaU zP^~rZ3*#Lo$;=|Ksm}M5v!D?L)DJXd>SI$<s2|Ms<(q%kmOC(4+B5r3Tc2mUUT+Gu zYU<tx9gHQN?Egsj;kz_)Shep$_jl<e?Uio$NUZp)F~6&(?a$UD5iU(wwb3<8=3>5n zv)bZC{Zdr>-eZw7(+QL>5wzo-wqw>}1C;xZ9ui{HE;s8X)MaIm`$w4hQoGlV0}#tr zxO&A6$6vFc9bf#7B>YNZ@f@fPa!+~=M1ySVdDWy~w-lhub1Jh%V!Gj0zJ$3>UX8*A zwKPYS!B;c{m5H~we9!>R18*;G;OO!Mx8Znhj8)jn`UiqEw_$i1TNoiwMfKwGHlG8X z#SP+~14nT@jlMkv3Il<BjV;F@Qt0@~!AMtoH(f~LH!YORQ_Zt>2#)Cc5p7a?8jUnx zMG8ldT<z_2yNRG%+IaTn8fe-?GDnbHo?JoAZFJABq!A?N=cwj3{AX9x2$GY%-BQ<U zWH=XXkCnxSAnqtfPme~@^$-#Nkw^!7JN*qiL5y?YN0oc7+RqCo{5^^_1!QY&UIRYD zJPTPCmoGJ_MTw}T(<Ige7AJOz3L0Jv|FY%XP6GWV1HSK(1}xtzOnz}irFb8O=7LfS zCE*a0Lv~;LUcz6O?e$U*p8tbRknE-GW6`Co(;?c*RC`s|(8P%sf<nl<c?L(ex>f`K z#JrV@+YIF6%9J%zOZWC$TCvu{K5l}=m8y1x(-7@<<~&R9_?Pa7Gths2!&?pVaPK&w z?QK0b<EB{6s%k+vJ@KBD4|CtMu@`N7ZRni1v2q`gf$qRVl7_d2iMt%+(c@XzcKxw> z6=-z0!$q;IU)6xHapF}Y5AQy2V<+0wYG94ky>cOwfqqhnw`l6<-tmn#h^W7wziA28 z=Cz?&!8%vYuZ5$tn!Rtf4Y%j_6LRL|1lw?eDOt1h2wcA}S2BJ!U4*JGc#?2*eR%V> z$H))&d(dYoXfCOUGd>GZg*W~vcjha1C+>`nOR@o1?U)|pNCCS+KNj5<r^})bf2Tkw zFWw!zKKE)`cK5y7y*`dzz7<<boA24_NQ5LxSF)q7AdOj+kdlsZ8PRWR5j)7{pL4%j z>N&<iA48>nzu#{dc|X+t=Z47GCH2a`I%Dn5x)T^m%3ZLdd;2PLQ0pO#A9&Q)NWiFv z48fy*0FU}NUiZp<Sts_dZz=ah{ymd^29~l~S1FLF7ND~ay4~GiMDwA@-@W5EGfdlg zz2D3AEanGUh@ajUhKL?}BafnATMZw9_&uvLO8stF5P<&##X+uK;DTPR>i>j@+g!Pz zeKH}zCfAb*1vVu>fObEj<2F&K^hj4L;F_|2!jPYG<%HdwC_WH1-u~=dZQ`U;ISTV& zn0fzC9=0BG+rO;K8{?K((FyE%%0kx>8%HxkRJ@Ib))HXv>U*Z1G+jEIDpUJ@Xxt5@ z-MfR76Ca!tlp4>`a-rX{R~_&#fb8PrAz0LBeo90ba3Uf-C88fV5zoMhs0mKQ&THCU zqE8EH8@5ZQwpX$a;Oz3m+FZHm1}EbD3OEsuz=^01PDJLXL>!Y3aleuU5^=-Z)WBO- z%L!`}<we5Trf&BKpi$-gQV<}?GA$s<EI1LFpAxYGoQSediD;GBwQ?hxfo_jKf3|M_ z`tz}OTJ(n{@9yH-`>X!nIezWj=E~Ig7^n0fHT`%}vMj_9Xo2jKFX2j<OUJP$qM+gZ z##p6YpYpk(1$O@CgZll(exIH8wRx?*wXe<!%gSA;0~4EuraZ&ks=&bRN7D<eEz#}E z#`faB%N@!#fUoIG>|y!`Fw>=YI%12Na*_Lkv!6^zc2S+govrMJgR2nT5t}tVA7`$9 z)kU^;QsQUo78)TdP@smiG@(P4!4=0r2dbd~D`cXPdn7(|EjP)%WeNU{ujWJn+rlVE zfdH$ln<^V)g`Ci!)R%@&KW<lv9Ab36!y~1K@G|w_n;~p=SqXds24=yR$>_jg+~m9| zQDZf((DmFe;$|gvaoFl^QqWN9>S{s5@Zrj*Zt6J6c`}pg7heQW%IIHqnVJ^HefKJp zi6Aes3}3rZxiwIk-@0l`ib<LIdA-6^G*9Ek=bIzD@0?GHhq`bqg5LhZd@1d*p70yN z=y(v$M^B$aM+5;B{~zo77n`?jqo1HGdo~Fa$*R3S+7FA!M9RcV73E0xF*6mjS*j8g zDiaWIE5F=1FF{^X3kg><PXiwkcJu{r=%?kt#}-$z6J@eA?bDGlOdUVWlL$WQ<jvBh z#K*FBl}^p2F-t}l)y%`yW^~DT)k4zLP#4uuF-uE^E{N$LqEa$tFc7Z9joZjf`|m{F zwZ+2x=?xPajJgh74l{fs>Kl)L9yyw7xZVGU67zRtZ;7F)#62bV0bYEyA$9*fCHo0p zvr}gn^aCa98D3g^=V0_7aq8cA;WeFuQTO8ZN6eI6ol;Q`;^x1ZoeTUh5FW*i|1h&{ z`Nb1GMoK{{QJaIr&0&?c%|U@uuq;xepomfA+`%yrY&`PYxKs%2Gy>uH3<zXOg0JMP zP=j(H=dDL_%tyHJQz7<0dlHW#)VFAu1%Bqg#-r~8rH;s``yWOpa)@r=;s=GKqW+`> z2yvgFQ9Aj>;a{=$_}b5qy~%$dXFafhy<bih3hVtNVmvyIeAA5KhaZ03GZU60NyEL0 zMs@0&ivN?n$48>>u~30$Utlsc{d$e-4fP?944c+~vLVgqElbn=UF*JDY^=|lmXv3> zb5c{$vk`k3r_;RhZ?ea%sP{iv_o;?2Td4v!4>_nxv{jeAZ7AzZSSkzn*s-fMVzJ-f zs39h9TY)R%%rJlEsmA}FQ?2*XI=8TjcMhnwZG`4glKN=T4fVw82*yTm|6x(<EkHw} z@w`?Z*;76ZAq$D{_-&L&jV5G$!%x5Zt49eVR`6BQ_J$;5j$|K^FFyU|WN2^#|DO^d zc|3a1#Kie;tCbQ$$?CmHaU8CVO2Q<`fY%n(1hdT(qu|}77r-PE{A8aaW=zxisu*>> zZxd7RO`9e)m{P?{$nrn6381FKlBO(VGB%kI9^~`f?CPnR)cP|R{}=Rq2JfE1U(ev= zU(oLve0&BW$qilV-m<a#M{!Du^&7fym~Pv|;L4f9#?|av4!vOmJw>NMn11HfVT(r= z?%1{bYDx=gK3xOasbOyUnO~1bKRl!t6eZx_vj_UwA6?B8GbZ<b&mp*Vq3Z11wJfEU zco_YfLv(e|DJe_CdSVfBzg+SY7z};5vJm@^y?$@Mu}Kx_sGBqjA@0pPo0yOY@3G0Z zLnznHYLur2zzbHJhTKJ2lIgq5Jm<nOr{ukQH=n|4x(Q8oQR-Wq7j|Ql<kH-8qrez? z75Ap*h*1(;RmXH)I5ZbiJ|0x^95AaQt0Q^6E9=C_C>2RwY)W>Gk>Mxeq%Nejep|?8 zOOqwly_}2AM04n%N~nS4g<^AJ8priHAh|6{FLKYa&qhZ~Y_Sek(t2rbQ=3C?&%{#7 zC$=lT&08<hV$yLS{xUz7gRrz<P=`M<<aG!KM<JC|B$XSq_7fT#65{&X(y1_p)aLXR z;mP;OiMSYI#+aFS6UJ=u9ujUs!YSo~zIZ<C&waI)O(qgzVtmGRJSvh=^&Yq=?roNq zQj(FtOW*1PY`<{BP|!|qtHl%W2BtMb8RMnM6(hHYWP9p8NaNqp;Z-3KQ4fuDKh;?9 zst|OkJtz5n#J^)&g}R)2ft!s_YX&mLzZ1r*f&oAb0UsJL=z7Wr2B)Vr0{~D7uL=SH zl?8lYz@XddZ505{Piy)C;9I<^dmU=ecmbcCdGu3UUlfef7BIXpt?2{6rg&91&v4&7 zrj_KoQ?DwK1ibI;TP=;>ZLA9WF50TI31-`a4P>bp%l2R{MpI81&vXc_SOu61EhJnW z0_LZrB7b;72(V|rk8J%Yp~F9pw3jxytoyy5V=CX%fMq;tXEndFC?0p@T-4K?`U=+- z1?AMM5+Z?Mklm_otI30L9yElswXe+I!2hY!RtjE2S!cv#mmb||#GEl!eTtX<bY3ap z;{bBh_Pa4%($;Bn45rxqzq*%qVsL|H=hyhZvM-M=O~lcz!b+Z<X@Qj+YePY?+O%^j zG+zZP#eL4jQ5fFX7oYAq4<yOoXh67KuyBs-4q{^I9=gP(Y3~2O8~*}&i*|aJ3cSk$ z(<uY5{;ED=@>g}{SOP%x=NbzhbFB80>Yk%dsy{UY_U!<g0jfWNfa*`6>oe!{GpO_o z0;)fOZqMNSGx+uy1XTANMLz}lgYgV6Jj15{0Y9mJmij-c^PB&p`VQ-Vs2;2RkLsSI z|ENA<@*k>`*8ZdVS?WKk13f?idhn0xo}<sId$((69hzag<B0n##G!xArxWo5Oe#b1 z$&!S-wB&Ef;hiD*(qT*LJyIuT8hnvKDVbK7o6B-Q)kNd=k@?u#ix4`0J<ITP3X58O z(fWt>K9~5?l(5~VRp77O@+2Ch{m@U3E5RBfM8>m7=wH}>j`734r#NTEFl;a{3N!pU zI?VO(Cxm2DD10Jm5whgVEL4Xy<p#{43hvv4+Bl=?(>L7-bWrMFMvyFzS!|G7*Hm(l z3SS|3!qB}h9~f!Gvpt3(_R6YsTCkyJm+WmTHW{H-O_{jaic-t8=v{17JZV_FjP6VS zu=9Rizvuc-eoFCX?#r@qO@@8UI%4FV%<by8-;6rmu&~B-p&Iq()0p!{IYm2_*Xawm zB3t}6)BvvOQAfT*29>bB$ISkYbI7gNM%-HYMxmceAAO+y=w+6moPD?|uNOWnry%jI z`PgX9&mUjQAb!&r=4G=b?OcdZ#1{usv_K0M<XGHJ5H{WZ>ZhS)^d;H7Vd_)8ox$?# zYq_|q$|_gDmylR%;t?cH&wu$-Nfrtk8w3KugYb0|WDnQ#5tJc7AWA3@h!OC*c)GZn z*%_Lexma1)8yb078M+%ATCtfJ+8F_WvmvV?i=mm3v#lqShp9=Q@`!a03wqlg!{vm{ zE8dAnhpu;D(G%WsPpCvV?#P$THT23z6N^5!tE7wRdLbr#n6Qzkt)+@oz@LI0BFUpy zWo6iHro*kJ$=sHxPp!sVp<qWO!5ndnt>7ZLjJdI>qRTR?r7Zt$tFvPj=e$UQ^1v_o zvCvH8r&K-;>wEiD0iDn+%ZW0(@uVAHiUX1Go?0cWmo1A>)W%%`FO-63+TGO3SG70P zif`>WI52-4@kFGOJsJyhN+YKe7E<SYJcp~EvRH!OSr|%(LJ7HT>De~l>G>9MRz=d@ zQvj^Md~6Bhk3O1bzAE)_AwnreS5YY%QO^#)dll@G#9CK|hJ-4SC>zmd?E}}ax@9J- z#VwttSZjzVjmC%lW%dlEzax7JrI&v*vxlb;l$WQ|Kq}xd6#WTRA?MmIwSAW>^&**3 z>)SX4^n<#*T&1<~ZwMt>2uN(Gf7Ag40YQSFfCtO->FK#J0DSs4T3IPsdb%h&x-z+% z*#0-W7|rn14WNBD3<yO3mmR2r3Imk>CA*8On=#Y7|Kx=Qa%vri!Q2aANC65PP{5C8 z-gsaz|Ekyah9(a7u4W#t;57SBesIq2Qp)Al0fgQ_zu}(g|MKesS!`<J$mC)7e+Ele z>37rvG>V3OPV|2|#R1U#n@&9s*3$I<P;Zh=*q#D>FHu0C7yqk2qCofl1vQhqsri2o z@`UohQ<?w-3RVS(|B`}Fg#jM$|Blqf(#X;5ziBm-Voj6*nn0k?f%$;Pvo`RQ|Blwm z-o*L8S>rR&Va&rpAp0l~i1wNE>A5f<=U=jV*#9?YIO3}<9)Lv_DF6FimHzzidg^Ry z^xvcu)SuZaK7c@CB(VQQ+W#*}&Hk@c|NR*X9{Tfp+Qba;Z}k7?6IDqT2FOnk2pRZ; MfChooSiwa94<*i;oB#j- literal 0 HcmV?d00001 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci new file mode 100644 index 00000000..cd22dee3 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci @@ -0,0 +1,101 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_axi_wb_i2c_master_1_0</spirit:instanceName> + <spirit:componentRef spirit:vendor="cern.ch" spirit:library="ip" spirit:name="axi_wb_i2c_master" spirit:version="3.2.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_axi_wb_i2c_master_1_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ" xilinx:valueSource="user_prop"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml new file mode 100644 index 00000000..7533fdab --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml @@ -0,0 +1,1270 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>cern.ch</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_axi_wb_i2c_master_1_0</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>s00_axi</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4LITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s00_axi_aresetn</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ARESETN.POLARITY" spirit:choiceRef="choice_list_9d8b0d81">ACTIVE_LOW</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s00_axi_aclk</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.ASSOCIATED_BUSIF">s00_axi</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>i2c_master</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="iic" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="iic_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_I</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_i</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_O</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_o</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_T</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_t</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_O</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_o</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_I</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_i</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_T</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_t</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_anylanguagesynthesis</spirit:name> + <spirit:displayName>Synthesis</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Wed Oct 11 12:50:46 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_vhdlsynthesiswrapper</spirit:name> + <spirit:displayName>VHDL Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:language>vhdl</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_vhdlsynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name> + <spirit:displayName>Simulation</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Wed Oct 11 12:50:46 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5b6a9645</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_vhdlsimulationwrapper</spirit:name> + <spirit:displayName>VHDL Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:language>vhdl</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_vhdlsimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5b6a9645</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:24:48 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>i2c_scl_i</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_scl_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_scl_t</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_i</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_t</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>axi_int_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer"> + <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Addr Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_9d8b0d81</spirit:name> + <spirit:enumeration>ACTIVE_HIGH</spirit:enumeration> + <spirit:enumeration>ACTIVE_LOW</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>syn/ip_constraints_timing.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_bit_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_byte_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_top.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axis_wbm_bridge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/axis_to_i2c_wbs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBuffer.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferVector.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/shiftRegister.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_vhdlsynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>synth/system_design_axi_wb_i2c_master_1_0.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_bit_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_byte_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_top.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axis_wbm_bridge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/axis_to_i2c_wbs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/sim/axis_to_i2c_wbs_tb.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBuffer.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferVector.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/shiftRegister.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_vhdlsimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>sim/system_design_axi_wb_i2c_master_1_0.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>axi_wb_i2c_master</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Addr Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">system_design_axi_wb_i2c_master_1_0</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>axi_wb_i2c_master</xilinx:displayName> + <xilinx:coreRevision>8</xilinx:coreRevision> + <xilinx:tags> + <xilinx:tag xilinx:name="user.org:user:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:user:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1.1_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1.2_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.2.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + </xilinx:tags> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ" xilinx:valueSource="user_prop"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="af993da4"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="6439802e"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="85b8712a"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="15a214e0"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="675c1650"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v new file mode 100644 index 00000000..36335513 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v @@ -0,0 +1,4084 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:24:48 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode funcsim +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v +// Design : system_design_axi_wb_i2c_master_1_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "axi_wb_i2c_master,Vivado 2016.2" *) +(* NotValidForBitStream *) +module system_design_axi_wb_i2c_master_1_0 + (i2c_scl_i, + i2c_scl_o, + i2c_scl_t, + i2c_sda_i, + i2c_sda_o, + i2c_sda_t, + axi_int_o, + s00_axi_aclk, + s00_axi_aresetn, + s00_axi_awaddr, + s00_axi_awprot, + s00_axi_awvalid, + s00_axi_awready, + s00_axi_wdata, + s00_axi_wstrb, + s00_axi_wvalid, + s00_axi_wready, + s00_axi_bresp, + s00_axi_bvalid, + s00_axi_bready, + s00_axi_araddr, + s00_axi_arprot, + s00_axi_arvalid, + s00_axi_arready, + s00_axi_rdata, + s00_axi_rresp, + s00_axi_rvalid, + s00_axi_rready); + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_I" *) input i2c_scl_i; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_O" *) output i2c_scl_o; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_T" *) output i2c_scl_t; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_I" *) input i2c_sda_i; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_O" *) output i2c_sda_o; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_T" *) output i2c_sda_t; + output axi_int_o; + (* x_interface_info = "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK" *) input s00_axi_aclk; + (* x_interface_info = "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST" *) input s00_axi_aresetn; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWADDR" *) input [31:0]s00_axi_awaddr; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWPROT" *) input [2:0]s00_axi_awprot; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWVALID" *) input s00_axi_awvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWREADY" *) output s00_axi_awready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WDATA" *) input [31:0]s00_axi_wdata; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WSTRB" *) input [3:0]s00_axi_wstrb; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WVALID" *) input s00_axi_wvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WREADY" *) output s00_axi_wready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BRESP" *) output [1:0]s00_axi_bresp; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BVALID" *) output s00_axi_bvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BREADY" *) input s00_axi_bready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARADDR" *) input [31:0]s00_axi_araddr; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARPROT" *) input [2:0]s00_axi_arprot; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARVALID" *) input s00_axi_arvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARREADY" *) output s00_axi_arready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RDATA" *) output [31:0]s00_axi_rdata; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RRESP" *) output [1:0]s00_axi_rresp; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RVALID" *) output s00_axi_rvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RREADY" *) input s00_axi_rready; + + wire axi_int_o; + wire i2c_scl_i; + wire i2c_scl_o; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_o; + wire i2c_sda_t; + wire s00_axi_aclk; + wire [31:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire [2:0]s00_axi_arprot; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [31:0]s00_axi_awaddr; + wire [2:0]s00_axi_awprot; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [1:0]s00_axi_bresp; + wire s00_axi_bvalid; + wire [31:0]s00_axi_rdata; + wire s00_axi_rready; + wire [1:0]s00_axi_rresp; + wire s00_axi_rvalid; + wire [31:0]s00_axi_wdata; + wire s00_axi_wready; + wire [3:0]s00_axi_wstrb; + wire s00_axi_wvalid; + + (* C_S00_AXI_ADDR_WIDTH = "32" *) + (* C_S00_AXI_DATA_WIDTH = "32" *) + system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master U0 + (.axi_int_o(axi_int_o), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_o(i2c_scl_o), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_o(i2c_sda_o), + .i2c_sda_t(i2c_sda_t), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_araddr(s00_axi_araddr), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arprot(s00_axi_arprot), + .s00_axi_arready(s00_axi_arready), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awaddr(s00_axi_awaddr), + .s00_axi_awprot(s00_axi_awprot), + .s00_axi_awready(s00_axi_awready), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_bready(s00_axi_bready), + .s00_axi_bresp(s00_axi_bresp), + .s00_axi_bvalid(s00_axi_bvalid), + .s00_axi_rdata(s00_axi_rdata), + .s00_axi_rready(s00_axi_rready), + .s00_axi_rresp(s00_axi_rresp), + .s00_axi_rvalid(s00_axi_rvalid), + .s00_axi_wdata(s00_axi_wdata), + .s00_axi_wready(s00_axi_wready), + .s00_axi_wstrb(s00_axi_wstrb), + .s00_axi_wvalid(s00_axi_wvalid)); +endmodule + +(* C_S00_AXI_ADDR_WIDTH = "32" *) (* C_S00_AXI_DATA_WIDTH = "32" *) (* ORIG_REF_NAME = "axi_wb_i2c_master" *) +module system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master + (i2c_scl_i, + i2c_scl_o, + i2c_scl_t, + i2c_sda_i, + i2c_sda_o, + i2c_sda_t, + axi_int_o, + s00_axi_aclk, + s00_axi_aresetn, + s00_axi_awaddr, + s00_axi_awprot, + s00_axi_awvalid, + s00_axi_awready, + s00_axi_wdata, + s00_axi_wstrb, + s00_axi_wvalid, + s00_axi_wready, + s00_axi_bresp, + s00_axi_bvalid, + s00_axi_bready, + s00_axi_araddr, + s00_axi_arprot, + s00_axi_arvalid, + s00_axi_arready, + s00_axi_rdata, + s00_axi_rresp, + s00_axi_rvalid, + s00_axi_rready); + input i2c_scl_i; + output i2c_scl_o; + output i2c_scl_t; + input i2c_sda_i; + output i2c_sda_o; + output i2c_sda_t; + output axi_int_o; + input s00_axi_aclk; + input s00_axi_aresetn; + input [31:0]s00_axi_awaddr; + input [2:0]s00_axi_awprot; + input s00_axi_awvalid; + output s00_axi_awready; + input [31:0]s00_axi_wdata; + input [3:0]s00_axi_wstrb; + input s00_axi_wvalid; + output s00_axi_wready; + output [1:0]s00_axi_bresp; + output s00_axi_bvalid; + input s00_axi_bready; + input [31:0]s00_axi_araddr; + input [2:0]s00_axi_arprot; + input s00_axi_arvalid; + output s00_axi_arready; + output [31:0]s00_axi_rdata; + output [1:0]s00_axi_rresp; + output s00_axi_rvalid; + input s00_axi_rready; + + wire \<const0> ; + wire axi_int_o; + wire cmp_axis_wbm_bridge_n_11; + wire cmp_axis_wbm_bridge_n_12; + wire cmp_axis_wbm_bridge_n_13; + wire cmp_axis_wbm_bridge_n_14; + wire cmp_axis_wbm_bridge_n_15; + wire cmp_axis_wbm_bridge_n_16; + wire cmp_axis_wbm_bridge_n_17; + wire cmp_axis_wbm_bridge_n_18; + wire cmp_axis_wbm_bridge_n_19; + wire cmp_axis_wbm_bridge_n_21; + wire cmp_axis_wbm_bridge_n_7; + wire cmp_i2c_master_top_n_6; + wire cmp_i2c_master_top_n_7; + wire ena; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire s00_axi_aclk; + wire [31:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [31:0]s00_axi_awaddr; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [1:1]\^s00_axi_bresp ; + wire s00_axi_bvalid; + wire [7:0]\^s00_axi_rdata ; + wire s00_axi_rready; + wire s00_axi_rvalid; + wire [31:0]s00_axi_wdata; + wire s00_axi_wready; + wire s00_axi_wvalid; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]wb_dat_o; + wire wb_rst_o; + wire wb_we_o; + + assign i2c_scl_o = \<const0> ; + assign i2c_sda_o = \<const0> ; + assign s00_axi_bresp[1] = \^s00_axi_bresp [1]; + assign s00_axi_bresp[0] = \<const0> ; + assign s00_axi_rdata[31] = \<const0> ; + assign s00_axi_rdata[30] = \<const0> ; + assign s00_axi_rdata[29] = \<const0> ; + assign s00_axi_rdata[28] = \<const0> ; + assign s00_axi_rdata[27] = \<const0> ; + assign s00_axi_rdata[26] = \<const0> ; + assign s00_axi_rdata[25] = \<const0> ; + assign s00_axi_rdata[24] = \<const0> ; + assign s00_axi_rdata[23] = \<const0> ; + assign s00_axi_rdata[22] = \<const0> ; + assign s00_axi_rdata[21] = \<const0> ; + assign s00_axi_rdata[20] = \<const0> ; + assign s00_axi_rdata[19] = \<const0> ; + assign s00_axi_rdata[18] = \<const0> ; + assign s00_axi_rdata[17] = \<const0> ; + assign s00_axi_rdata[16] = \<const0> ; + assign s00_axi_rdata[15] = \<const0> ; + assign s00_axi_rdata[14] = \<const0> ; + assign s00_axi_rdata[13] = \<const0> ; + assign s00_axi_rdata[12] = \<const0> ; + assign s00_axi_rdata[11] = \<const0> ; + assign s00_axi_rdata[10] = \<const0> ; + assign s00_axi_rdata[9] = \<const0> ; + assign s00_axi_rdata[8] = \<const0> ; + assign s00_axi_rdata[7:0] = \^s00_axi_rdata [7:0]; + assign s00_axi_rresp[1] = \<const0> ; + assign s00_axi_rresp[0] = \<const0> ; + GND GND + (.G(\<const0> )); + system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge cmp_axis_wbm_bridge + (.D({cmp_axis_wbm_bridge_n_12,cmp_axis_wbm_bridge_n_13,cmp_axis_wbm_bridge_n_14,cmp_axis_wbm_bridge_n_15}), + .E(cmp_axis_wbm_bridge_n_11), + .Q(ena), + .\cr_reg[2] (cmp_axis_wbm_bridge_n_7), + .\cr_reg[4] (cmp_axis_wbm_bridge_n_16), + .\ctr_reg[0] (cmp_axis_wbm_bridge_n_19), + .iack_o_reg(cmp_axis_wbm_bridge_n_21), + .iack_o_reg_0(cmp_i2c_master_top_n_6), + .iack_o_reg_1(cmp_i2c_master_top_n_7), + .\prer_reg[8] ({cmp_axis_wbm_bridge_n_17,cmp_axis_wbm_bridge_n_18}), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_araddr(s00_axi_araddr[4:2]), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arready(s00_axi_arready), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awaddr(s00_axi_awaddr[4:2]), + .s00_axi_awready(s00_axi_awready), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_bready(s00_axi_bready), + .s00_axi_bresp(\^s00_axi_bresp ), + .s00_axi_bvalid(s00_axi_bvalid), + .s00_axi_rdata(\^s00_axi_rdata ), + .s00_axi_rready(s00_axi_rready), + .s00_axi_rvalid(s00_axi_rvalid), + .s00_axi_wdata(s00_axi_wdata[7:4]), + .s00_axi_wready(s00_axi_wready), + .s00_axi_wvalid(s00_axi_wvalid), + .wb_ack_i(wb_ack_i), + .wb_adr_o(wb_adr_o), + .wb_cyc_o(wb_cyc_o), + .\wb_dat_o_reg[7] (wb_dat_o), + .wb_rst_o(wb_rst_o), + .wb_we_o(wb_we_o)); + system_design_axi_wb_i2c_master_1_0_i2c_master_top cmp_i2c_master_top + (.D({cmp_axis_wbm_bridge_n_12,cmp_axis_wbm_bridge_n_13,cmp_axis_wbm_bridge_n_14,cmp_axis_wbm_bridge_n_15}), + .E({cmp_axis_wbm_bridge_n_17,cmp_axis_wbm_bridge_n_18}), + .Q(ena), + .axi_int_o(axi_int_o), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg_0(cmp_axis_wbm_bridge_n_16), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_wdata(s00_axi_wdata[7:0]), + .\s_addr_reg[4] (cmp_axis_wbm_bridge_n_7), + .\s_rdata_reg[0] (cmp_i2c_master_top_n_7), + .\s_rdata_reg[7] (wb_dat_o), + .s_stb_r_reg(cmp_i2c_master_top_n_6), + .s_stb_r_reg_0(cmp_axis_wbm_bridge_n_21), + .s_we_r_reg(cmp_axis_wbm_bridge_n_19), + .s_we_r_reg_0(cmp_axis_wbm_bridge_n_11), + .wb_ack_i(wb_ack_i), + .wb_adr_o(wb_adr_o), + .wb_cyc_o(wb_cyc_o), + .wb_rst_o(wb_rst_o), + .wb_we_o(wb_we_o)); +endmodule + +(* ORIG_REF_NAME = "axis_wbm_bridge" *) +module system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge + (s00_axi_awready, + s00_axi_wready, + s00_axi_arready, + wb_we_o, + wb_cyc_o, + s00_axi_bresp, + s00_axi_bvalid, + \cr_reg[2] , + wb_adr_o, + E, + D, + \cr_reg[4] , + \prer_reg[8] , + \ctr_reg[0] , + s00_axi_rvalid, + iack_o_reg, + s00_axi_rdata, + wb_rst_o, + s00_axi_aclk, + iack_o_reg_0, + Q, + s00_axi_aresetn, + wb_ack_i, + s00_axi_awvalid, + s00_axi_arvalid, + s00_axi_bready, + s00_axi_rready, + s00_axi_wvalid, + s00_axi_wdata, + s00_axi_araddr, + s00_axi_awaddr, + iack_o_reg_1, + \wb_dat_o_reg[7] ); + output s00_axi_awready; + output s00_axi_wready; + output s00_axi_arready; + output wb_we_o; + output wb_cyc_o; + output [0:0]s00_axi_bresp; + output s00_axi_bvalid; + output \cr_reg[2] ; + output [2:0]wb_adr_o; + output [0:0]E; + output [3:0]D; + output \cr_reg[4] ; + output [1:0]\prer_reg[8] ; + output [0:0]\ctr_reg[0] ; + output s00_axi_rvalid; + output iack_o_reg; + output [7:0]s00_axi_rdata; + input wb_rst_o; + input s00_axi_aclk; + input iack_o_reg_0; + input [0:0]Q; + input s00_axi_aresetn; + input wb_ack_i; + input s00_axi_awvalid; + input s00_axi_arvalid; + input s00_axi_bready; + input s00_axi_rready; + input s00_axi_wvalid; + input [3:0]s00_axi_wdata; + input [2:0]s00_axi_araddr; + input [2:0]s00_axi_awaddr; + input [0:0]iack_o_reg_1; + input [7:0]\wb_dat_o_reg[7] ; + + wire [3:0]D; + wire [0:0]E; + wire [0:0]Q; + wire \cr[2]_i_3_n_0 ; + wire \cr_reg[2] ; + wire \cr_reg[4] ; + wire [0:0]\ctr_reg[0] ; + wire iack_o_reg; + wire iack_o_reg_0; + wire [0:0]iack_o_reg_1; + wire [1:0]\prer_reg[8] ; + wire s00_axi_aclk; + wire [2:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [2:0]s00_axi_awaddr; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [0:0]s00_axi_bresp; + wire s00_axi_bvalid; + wire [7:0]s00_axi_rdata; + wire s00_axi_rready; + wire s00_axi_rvalid; + wire [3:0]s00_axi_wdata; + wire s00_axi_wready; + wire s00_axi_wvalid; + wire \s_addr[2]_i_1_n_0 ; + wire \s_addr[3]_i_1_n_0 ; + wire \s_addr[4]_i_1_n_0 ; + wire s_arready_i_1_n_0; + wire s_awready_i_1_n_0; + wire \s_bresp[1]_i_1_n_0 ; + wire s_bvalid; + wire s_bvalid_i_1_n_0; + wire s_rvalid; + wire s_rvalid_i_1_n_0; + wire s_we_r_i_1_n_0; + wire s_wready_i_1_n_0; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]\wb_dat_o_reg[7] ; + wire wb_rst_o; + wire wb_we_o; + + LUT6 #( + .INIT(64'hFFFF0008FFFFFFFF)) + \cr[2]_i_2 + (.I0(wb_adr_o[2]), + .I1(Q), + .I2(wb_adr_o[1]), + .I3(wb_adr_o[0]), + .I4(\cr[2]_i_3_n_0 ), + .I5(s00_axi_aresetn), + .O(\cr_reg[2] )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT2 #( + .INIT(4'h7)) + \cr[2]_i_3 + (.I0(wb_we_o), + .I1(wb_ack_i), + .O(\cr[2]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT4 #( + .INIT(16'h8000)) + \cr[4]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT4 #( + .INIT(16'h8000)) + \cr[5]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[1])); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h8000)) + \cr[6]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[2])); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h8000)) + \cr[7]_i_2 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[3]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[3])); + LUT6 #( + .INIT(64'hFFFFFFFFFFFF7FFF)) + \cr[7]_i_3 + (.I0(wb_ack_i), + .I1(wb_we_o), + .I2(wb_adr_o[2]), + .I3(Q), + .I4(wb_adr_o[1]), + .I5(wb_adr_o[0]), + .O(\cr_reg[4] )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT5 #( + .INIT(32'h0080FFFF)) + \ctr[7]_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(wb_adr_o[1]), + .I3(wb_adr_o[0]), + .I4(s00_axi_aresetn), + .O(\ctr_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT2 #( + .INIT(4'h2)) + iack_o_i_1 + (.I0(wb_cyc_o), + .I1(wb_ack_i), + .O(iack_o_reg)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h75555555)) + \prer[15]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_adr_o[1]), + .I2(wb_ack_i), + .I3(wb_we_o), + .I4(wb_adr_o[0]), + .O(\prer_reg[8] [1])); + LUT6 #( + .INIT(64'h5555555557555555)) + \prer[7]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[2]), + .I3(wb_ack_i), + .I4(wb_we_o), + .I5(wb_adr_o[0]), + .O(\prer_reg[8] [0])); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT2 #( + .INIT(4'h8)) + s00_axi_bvalid_INST_0 + (.I0(s_bvalid), + .I1(wb_we_o), + .O(s00_axi_bvalid)); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT2 #( + .INIT(4'h2)) + s00_axi_rvalid_INST_0 + (.I0(s_rvalid), + .I1(wb_we_o), + .O(s00_axi_rvalid)); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[2]_i_1 + (.I0(s00_axi_araddr[0]), + .I1(s00_axi_awaddr[0]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[0]), + .O(\s_addr[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[3]_i_1 + (.I0(s00_axi_araddr[1]), + .I1(s00_axi_awaddr[1]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[1]), + .O(\s_addr[3]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[4]_i_1 + (.I0(s00_axi_araddr[2]), + .I1(s00_axi_awaddr[2]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[2]), + .O(\s_addr[4]_i_1_n_0 )); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[2]_i_1_n_0 ), + .Q(wb_adr_o[0]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[3]_i_1_n_0 ), + .Q(wb_adr_o[1]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[4]_i_1_n_0 ), + .Q(wb_adr_o[2]), + .R(wb_rst_o)); + LUT2 #( + .INIT(4'h2)) + s_arready_i_1 + (.I0(s00_axi_arvalid), + .I1(s00_axi_arready), + .O(s_arready_i_1_n_0)); + FDRE s_arready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_arready_i_1_n_0), + .Q(s00_axi_arready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'h08)) + s_awready_i_1 + (.I0(s00_axi_wvalid), + .I1(s00_axi_awvalid), + .I2(s00_axi_awready), + .O(s_awready_i_1_n_0)); + FDRE s_awready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_awready_i_1_n_0), + .Q(s00_axi_awready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT5 #( + .INIT(32'hFF7F0000)) + \s_bresp[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_we_o), + .I2(wb_ack_i), + .I3(s_bvalid), + .I4(s00_axi_bresp), + .O(\s_bresp[1]_i_1_n_0 )); + FDRE #( + .INIT(1'b0)) + \s_bresp_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_bresp[1]_i_1_n_0 ), + .Q(s00_axi_bresp), + .R(1'b0)); + LUT4 #( + .INIT(16'h0F88)) + s_bvalid_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(s00_axi_bready), + .I3(s_bvalid), + .O(s_bvalid_i_1_n_0)); + FDRE s_bvalid_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_bvalid_i_1_n_0), + .Q(s_bvalid), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[0] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [0]), + .Q(s00_axi_rdata[0]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[1] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [1]), + .Q(s00_axi_rdata[1]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[2] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [2]), + .Q(s00_axi_rdata[2]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[3] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [3]), + .Q(s00_axi_rdata[3]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[4] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [4]), + .Q(s00_axi_rdata[4]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[5] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [5]), + .Q(s00_axi_rdata[5]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[6] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [6]), + .Q(s00_axi_rdata[6]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[7] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [7]), + .Q(s00_axi_rdata[7]), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT4 #( + .INIT(16'h4F44)) + s_rvalid_i_1 + (.I0(s00_axi_rready), + .I1(s_rvalid), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(s_rvalid_i_1_n_0)); + FDRE s_rvalid_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_rvalid_i_1_n_0), + .Q(s_rvalid), + .R(wb_rst_o)); + FDRE s_stb_r_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(iack_o_reg_0), + .Q(wb_cyc_o), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT4 #( + .INIT(16'h00E0)) + s_we_r_i_1 + (.I0(wb_we_o), + .I1(s00_axi_awvalid), + .I2(s00_axi_aresetn), + .I3(s00_axi_arvalid), + .O(s_we_r_i_1_n_0)); + FDRE s_we_r_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_we_r_i_1_n_0), + .Q(wb_we_o), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'h08)) + s_wready_i_1 + (.I0(s00_axi_wvalid), + .I1(s00_axi_awvalid), + .I2(s00_axi_wready), + .O(s_wready_i_1_n_0)); + FDRE s_wready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_wready_i_1_n_0), + .Q(s00_axi_wready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h8000FFFF)) + \txr[7]_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(wb_adr_o[0]), + .I3(wb_adr_o[1]), + .I4(s00_axi_aresetn), + .O(E)); +endmodule + +(* ORIG_REF_NAME = "i2c_master_bit_ctrl" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl + (iscl_oen_reg_0, + i2c_scl_t, + i2c_sda_t, + E, + irq_flag1_out, + al, + D, + \statemachine.core_cmd_reg[3] , + \statemachine.ld_reg , + \statemachine.core_txd_reg , + \statemachine.shift_reg , + \statemachine.host_ack_reg , + \statemachine.ack_out_reg , + \cr_reg[4] , + \sr_reg[0] , + \FSM_sequential_statemachine.c_state_reg[2] , + s00_axi_aclk, + s00_axi_aresetn, + out, + \cr_reg[0] , + cmd_ack, + irq_flag, + Q, + \ctr_reg[7] , + i2c_sda_i, + i2c_scl_i, + \statemachine.core_cmd_reg[3]_0 , + \st_irq_block.al_reg , + \cr_reg[7] , + wb_adr_o, + \sr_reg[6] , + \txr_reg[6] , + \FSM_sequential_statemachine.c_state_reg[1] , + core_cmd, + \FSM_sequential_statemachine.c_state_reg[1]_0 , + cnt_done, + ack_out, + iack_o_reg, + wb_we_o, + iack_o_reg_0, + \statemachine.ld_reg_0 , + \FSM_sequential_statemachine.c_state_reg[1]_1 , + \FSM_sequential_statemachine.c_state_reg[1]_2 , + ack_in, + \sr_reg[7] , + \cr_reg[7]_0 , + \statemachine.core_txd_reg_0 ); + output iscl_oen_reg_0; + output i2c_scl_t; + output i2c_sda_t; + output [0:0]E; + output irq_flag1_out; + output al; + output [0:0]D; + output [3:0]\statemachine.core_cmd_reg[3] ; + output \statemachine.ld_reg ; + output \statemachine.core_txd_reg ; + output \statemachine.shift_reg ; + output \statemachine.host_ack_reg ; + output \statemachine.ack_out_reg ; + output [0:0]\cr_reg[4] ; + output [0:0]\sr_reg[0] ; + output [2:0]\FSM_sequential_statemachine.c_state_reg[2] ; + input s00_axi_aclk; + input s00_axi_aresetn; + input [2:0]out; + input \cr_reg[0] ; + input cmd_ack; + input irq_flag; + input [15:0]Q; + input [0:0]\ctr_reg[7] ; + input i2c_sda_i; + input i2c_scl_i; + input [3:0]\statemachine.core_cmd_reg[3]_0 ; + input \st_irq_block.al_reg ; + input [3:0]\cr_reg[7] ; + input [2:0]wb_adr_o; + input \sr_reg[6] ; + input [1:0]\txr_reg[6] ; + input \FSM_sequential_statemachine.c_state_reg[1] ; + input [0:0]core_cmd; + input \FSM_sequential_statemachine.c_state_reg[1]_0 ; + input cnt_done; + input ack_out; + input iack_o_reg; + input wb_we_o; + input iack_o_reg_0; + input \statemachine.ld_reg_0 ; + input \FSM_sequential_statemachine.c_state_reg[1]_1 ; + input \FSM_sequential_statemachine.c_state_reg[1]_2 ; + input ack_in; + input [0:0]\sr_reg[7] ; + input \cr_reg[7]_0 ; + input \statemachine.core_txd_reg_0 ; + + wire [0:0]D; + wire [0:0]E; + wire \FSM_sequential_c_state[0]_i_1_n_0 ; + wire \FSM_sequential_c_state[0]_i_2_n_0 ; + wire \FSM_sequential_c_state[1]_i_1_n_0 ; + wire \FSM_sequential_c_state[1]_i_2_n_0 ; + wire \FSM_sequential_c_state[1]_i_3_n_0 ; + wire \FSM_sequential_c_state[2]_i_1_n_0 ; + wire \FSM_sequential_c_state[2]_i_2_n_0 ; + wire \FSM_sequential_c_state[3]_i_1_n_0 ; + wire \FSM_sequential_c_state[3]_i_2_n_0 ; + wire \FSM_sequential_c_state[3]_i_3_n_0 ; + wire \FSM_sequential_c_state[4]_i_1_n_0 ; + wire \FSM_sequential_c_state[4]_i_2_n_0 ; + wire \FSM_sequential_c_state[4]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state[2]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state_reg[1] ; + wire \FSM_sequential_statemachine.c_state_reg[1]_0 ; + wire \FSM_sequential_statemachine.c_state_reg[1]_1 ; + wire \FSM_sequential_statemachine.c_state_reg[1]_2 ; + wire [2:0]\FSM_sequential_statemachine.c_state_reg[2] ; + wire [15:0]Q; + wire ack_in; + wire ack_out; + wire al; + wire \bus_status_ctrl.cSCL[0]_i_1_n_0 ; + wire \bus_status_ctrl.cSCL[1]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA[0]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA[1]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA_reg_n_0_[1] ; + wire \bus_status_ctrl.cmd_stop_i_1_n_0 ; + wire \bus_status_ctrl.cmd_stop_i_2_n_0 ; + wire \bus_status_ctrl.cmd_stop_reg_n_0 ; + wire \bus_status_ctrl.dSCL_i_1_n_0 ; + wire \bus_status_ctrl.dSDA_i_1_n_0 ; + wire \bus_status_ctrl.dout_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[0]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[1]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[2]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL_reg_n_0_[2] ; + wire \bus_status_ctrl.fSDA[0]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[1]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[2]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[2]_i_2_n_0 ; + wire \bus_status_ctrl.fSDA_reg_n_0_[0] ; + wire \bus_status_ctrl.fSDA_reg_n_0_[1] ; + wire \bus_status_ctrl.fSDA_reg_n_0_[2] ; + wire \bus_status_ctrl.filter_cnt[0]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[10]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[11]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[12]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_2_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_3_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_4_n_0 ; + wire \bus_status_ctrl.filter_cnt[1]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[2]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[3]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[4]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[5]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[6]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[7]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[8]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[9]_i_1_n_0 ; + wire \bus_status_ctrl.ial_i_2_n_0 ; + wire \bus_status_ctrl.ial_i_3_n_0 ; + wire \bus_status_ctrl.sSCL_i_1_n_0 ; + wire \bus_status_ctrl.sSDA_i_1_n_0 ; + wire \bus_status_ctrl.sta_condition_reg_n_0 ; + wire \bus_status_ctrl.sto_condition_reg_n_0 ; + (* RTL_KEEP = "yes" *) wire [4:0]c_state; + wire clk_en; + wire clk_en_i_2_n_0; + wire clk_en_i_3_n_0; + wire clk_en_i_4_n_0; + wire clk_en_i_5_n_0; + wire clk_en_i_6_n_0; + wire cmd_ack; + wire cmd_ack3_out; + wire cmd_ack_i_2_n_0; + wire cnt1; + wire \cnt[0]_i_10_n_0 ; + wire \cnt[0]_i_1_n_0 ; + wire \cnt[0]_i_3_n_0 ; + wire \cnt[0]_i_4_n_0 ; + wire \cnt[0]_i_5_n_0 ; + wire \cnt[0]_i_6_n_0 ; + wire \cnt[0]_i_7_n_0 ; + wire \cnt[0]_i_8_n_0 ; + wire \cnt[0]_i_9_n_0 ; + wire \cnt[12]_i_2_n_0 ; + wire \cnt[12]_i_3_n_0 ; + wire \cnt[12]_i_4_n_0 ; + wire \cnt[12]_i_5_n_0 ; + wire \cnt[12]_i_6_n_0 ; + wire \cnt[12]_i_7_n_0 ; + wire \cnt[12]_i_8_n_0 ; + wire \cnt[4]_i_2_n_0 ; + wire \cnt[4]_i_3_n_0 ; + wire \cnt[4]_i_4_n_0 ; + wire \cnt[4]_i_5_n_0 ; + wire \cnt[4]_i_6_n_0 ; + wire \cnt[4]_i_7_n_0 ; + wire \cnt[4]_i_8_n_0 ; + wire \cnt[4]_i_9_n_0 ; + wire \cnt[8]_i_2_n_0 ; + wire \cnt[8]_i_3_n_0 ; + wire \cnt[8]_i_4_n_0 ; + wire \cnt[8]_i_5_n_0 ; + wire \cnt[8]_i_6_n_0 ; + wire \cnt[8]_i_7_n_0 ; + wire \cnt[8]_i_8_n_0 ; + wire \cnt[8]_i_9_n_0 ; + wire cnt_done; + wire [15:0]cnt_reg; + wire \cnt_reg[0]_i_2_n_0 ; + wire \cnt_reg[0]_i_2_n_1 ; + wire \cnt_reg[0]_i_2_n_2 ; + wire \cnt_reg[0]_i_2_n_3 ; + wire \cnt_reg[0]_i_2_n_4 ; + wire \cnt_reg[0]_i_2_n_5 ; + wire \cnt_reg[0]_i_2_n_6 ; + wire \cnt_reg[0]_i_2_n_7 ; + wire \cnt_reg[12]_i_1_n_1 ; + wire \cnt_reg[12]_i_1_n_2 ; + wire \cnt_reg[12]_i_1_n_3 ; + wire \cnt_reg[12]_i_1_n_4 ; + wire \cnt_reg[12]_i_1_n_5 ; + wire \cnt_reg[12]_i_1_n_6 ; + wire \cnt_reg[12]_i_1_n_7 ; + wire \cnt_reg[4]_i_1_n_0 ; + wire \cnt_reg[4]_i_1_n_1 ; + wire \cnt_reg[4]_i_1_n_2 ; + wire \cnt_reg[4]_i_1_n_3 ; + wire \cnt_reg[4]_i_1_n_4 ; + wire \cnt_reg[4]_i_1_n_5 ; + wire \cnt_reg[4]_i_1_n_6 ; + wire \cnt_reg[4]_i_1_n_7 ; + wire \cnt_reg[8]_i_1_n_0 ; + wire \cnt_reg[8]_i_1_n_1 ; + wire \cnt_reg[8]_i_1_n_2 ; + wire \cnt_reg[8]_i_1_n_3 ; + wire \cnt_reg[8]_i_1_n_4 ; + wire \cnt_reg[8]_i_1_n_5 ; + wire \cnt_reg[8]_i_1_n_6 ; + wire \cnt_reg[8]_i_1_n_7 ; + wire core_ack; + wire [0:0]core_cmd; + wire core_rxd; + wire core_txd; + wire \cr_reg[0] ; + wire [0:0]\cr_reg[4] ; + wire [3:0]\cr_reg[7] ; + wire \cr_reg[7]_0 ; + wire [0:0]\ctr_reg[7] ; + wire dSCL; + wire dSDA; + wire dscl_oen; + wire [13:0]filter_cnt; + wire i2c_al; + wire i2c_busy; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg; + wire iack_o_reg_0; + wire ial; + wire ibusy; + wire irq_flag; + wire irq_flag1_out; + wire iscl_oen; + wire iscl_oen9_out__0; + wire iscl_oen_i_1_n_0; + wire iscl_oen_reg_0; + wire isda_oen; + wire isda_oen7_out__0; + wire isda_oen_i_1_n_0; + wire minusOp_carry__0_i_1_n_0; + wire minusOp_carry__0_i_2_n_0; + wire minusOp_carry__0_i_3_n_0; + wire minusOp_carry__0_i_4_n_0; + wire minusOp_carry__0_n_0; + wire minusOp_carry__0_n_1; + wire minusOp_carry__0_n_2; + wire minusOp_carry__0_n_3; + wire minusOp_carry__0_n_4; + wire minusOp_carry__0_n_5; + wire minusOp_carry__0_n_6; + wire minusOp_carry__0_n_7; + wire minusOp_carry__1_i_1_n_0; + wire minusOp_carry__1_i_2_n_0; + wire minusOp_carry__1_i_3_n_0; + wire minusOp_carry__1_i_4_n_0; + wire minusOp_carry__1_n_0; + wire minusOp_carry__1_n_1; + wire minusOp_carry__1_n_2; + wire minusOp_carry__1_n_3; + wire minusOp_carry__1_n_4; + wire minusOp_carry__1_n_5; + wire minusOp_carry__1_n_6; + wire minusOp_carry__1_n_7; + wire minusOp_carry__2_i_1_n_0; + wire minusOp_carry__2_n_7; + wire minusOp_carry_i_1_n_0; + wire minusOp_carry_i_2_n_0; + wire minusOp_carry_i_3_n_0; + wire minusOp_carry_i_4_n_0; + wire minusOp_carry_n_0; + wire minusOp_carry_n_1; + wire minusOp_carry_n_2; + wire minusOp_carry_n_3; + wire minusOp_carry_n_4; + wire minusOp_carry_n_5; + wire minusOp_carry_n_6; + wire minusOp_carry_n_7; + wire [2:0]out; + wire [1:1]p_0_in; + wire [1:1]p_0_in__0; + wire [2:0]p_0_in__1; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire sSCL; + wire sSDA; + wire sda_chk_i_1_n_0; + wire sda_chk_reg_n_0; + wire slave_wait; + wire slave_wait0; + wire [0:0]\sr_reg[0] ; + wire \sr_reg[6] ; + wire [0:0]\sr_reg[7] ; + wire \st_irq_block.al_reg ; + wire sta_condition; + wire \statemachine.ack_out_i_2_n_0 ; + wire \statemachine.ack_out_reg ; + wire [3:0]\statemachine.core_cmd_reg[3] ; + wire [3:0]\statemachine.core_cmd_reg[3]_0 ; + wire \statemachine.core_txd_reg ; + wire \statemachine.core_txd_reg_0 ; + wire \statemachine.host_ack_reg ; + wire \statemachine.ld_reg ; + wire \statemachine.ld_reg_0 ; + wire \statemachine.shift_reg ; + wire sto_condition; + wire [1:0]\txr_reg[6] ; + wire [2:0]wb_adr_o; + wire \wb_dat_o[6]_i_3_n_0 ; + wire wb_we_o; + wire [3:3]\NLW_cnt_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]NLW_minusOp_carry__2_CO_UNCONNECTED; + wire [3:1]NLW_minusOp_carry__2_O_UNCONNECTED; + + LUT6 #( + .INIT(64'h1111111111111110)) + \FSM_sequential_c_state[0]_i_1 + (.I0(\FSM_sequential_c_state[4]_i_3_n_0 ), + .I1(c_state[0]), + .I2(c_state[2]), + .I3(c_state[3]), + .I4(\FSM_sequential_c_state[0]_i_2_n_0 ), + .I5(c_state[4]), + .O(\FSM_sequential_c_state[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAAAAAABA)) + \FSM_sequential_c_state[0]_i_2 + (.I0(c_state[1]), + .I1(\statemachine.core_cmd_reg[3]_0 [1]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(\statemachine.core_cmd_reg[3]_0 [3]), + .I4(\statemachine.core_cmd_reg[3]_0 [2]), + .O(\FSM_sequential_c_state[0]_i_2_n_0 )); + LUT4 #( + .INIT(16'h0400)) + \FSM_sequential_c_state[1]_i_1 + (.I0(i2c_al), + .I1(s00_axi_aresetn), + .I2(c_state[4]), + .I3(\FSM_sequential_c_state[1]_i_2_n_0 ), + .O(\FSM_sequential_c_state[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'hEEEFEFFE44444444)) + \FSM_sequential_c_state[1]_i_2 + (.I0(c_state[0]), + .I1(c_state[1]), + .I2(\statemachine.core_cmd_reg[3]_0 [1]), + .I3(\statemachine.core_cmd_reg[3]_0 [2]), + .I4(\statemachine.core_cmd_reg[3]_0 [3]), + .I5(\FSM_sequential_c_state[1]_i_3_n_0 ), + .O(\FSM_sequential_c_state[1]_i_2_n_0 )); + LUT5 #( + .INIT(32'h00001101)) + \FSM_sequential_c_state[1]_i_3 + (.I0(c_state[2]), + .I1(c_state[1]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(c_state[0]), + .I4(c_state[3]), + .O(\FSM_sequential_c_state[1]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0002A0A2AAAA0002)) + \FSM_sequential_c_state[2]_i_1 + (.I0(\FSM_sequential_c_state[3]_i_2_n_0 ), + .I1(c_state[3]), + .I2(c_state[1]), + .I3(\FSM_sequential_c_state[2]_i_2_n_0 ), + .I4(c_state[2]), + .I5(c_state[0]), + .O(\FSM_sequential_c_state[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hFFFFFEEF)) + \FSM_sequential_c_state[2]_i_2 + (.I0(c_state[0]), + .I1(\statemachine.core_cmd_reg[3]_0 [3]), + .I2(\statemachine.core_cmd_reg[3]_0 [1]), + .I3(\statemachine.core_cmd_reg[3]_0 [2]), + .I4(\statemachine.core_cmd_reg[3]_0 [0]), + .O(\FSM_sequential_c_state[2]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0AA8A0A800A800A8)) + \FSM_sequential_c_state[3]_i_1 + (.I0(\FSM_sequential_c_state[3]_i_2_n_0 ), + .I1(\FSM_sequential_c_state[3]_i_3_n_0 ), + .I2(c_state[3]), + .I3(c_state[0]), + .I4(c_state[2]), + .I5(c_state[1]), + .O(\FSM_sequential_c_state[3]_i_1_n_0 )); + LUT3 #( + .INIT(8'h04)) + \FSM_sequential_c_state[3]_i_2 + (.I0(c_state[4]), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\FSM_sequential_c_state[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000000006)) + \FSM_sequential_c_state[3]_i_3 + (.I0(\statemachine.core_cmd_reg[3]_0 [3]), + .I1(\statemachine.core_cmd_reg[3]_0 [2]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(\statemachine.core_cmd_reg[3]_0 [1]), + .I4(c_state[1]), + .I5(c_state[2]), + .O(\FSM_sequential_c_state[3]_i_3_n_0 )); + LUT6 #( + .INIT(64'hBBBBBBBFAAAAAAAA)) + \FSM_sequential_c_state[4]_i_1 + (.I0(\FSM_sequential_c_state[4]_i_3_n_0 ), + .I1(c_state[4]), + .I2(c_state[3]), + .I3(c_state[1]), + .I4(c_state[2]), + .I5(clk_en), + .O(\FSM_sequential_c_state[4]_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000080FF8000)) + \FSM_sequential_c_state[4]_i_2 + (.I0(c_state[3]), + .I1(c_state[1]), + .I2(c_state[2]), + .I3(c_state[0]), + .I4(c_state[4]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_c_state[4]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT2 #( + .INIT(4'hB)) + \FSM_sequential_c_state[4]_i_3 + (.I0(i2c_al), + .I1(s00_axi_aresetn), + .O(\FSM_sequential_c_state[4]_i_3_n_0 )); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[0] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[0]_i_1_n_0 ), + .Q(c_state[0])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[1] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[1]_i_1_n_0 ), + .Q(c_state[1])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[2] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[2]_i_1_n_0 ), + .Q(c_state[2])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[3] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[3]_i_1_n_0 ), + .Q(c_state[3])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[4] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[4]_i_2_n_0 ), + .Q(c_state[4])); + LUT6 #( + .INIT(64'h0000000022222E22)) + \FSM_sequential_statemachine.c_state[0]_i_1 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_1 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_statemachine.c_state_reg[2] [0])); + LUT6 #( + .INIT(64'h0000000015100000)) + \FSM_sequential_statemachine.c_state[1]_i_1 + (.I0(out[2]), + .I1(cnt_done), + .I2(out[1]), + .I3(\cr_reg[7]_0 ), + .I4(s00_axi_aresetn), + .I5(i2c_al), + .O(\FSM_sequential_statemachine.c_state_reg[2] [1])); + LUT6 #( + .INIT(64'hDDFFDDDDFFFDDDFD)) + \FSM_sequential_statemachine.c_state[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 ), + .I3(out[1]), + .I4(core_ack), + .I5(out[2]), + .O(E)); + LUT6 #( + .INIT(64'h0000000022222E22)) + \FSM_sequential_statemachine.c_state[2]_i_2 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_2 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_statemachine.c_state_reg[2] [2])); + LUT6 #( + .INIT(64'h8B8B8B8B8B8B8B88)) + \FSM_sequential_statemachine.c_state[2]_i_3 + (.I0(core_ack), + .I1(out[0]), + .I2(cmd_ack), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .I5(\cr_reg[7] [2]), + .O(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSCL[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_scl_i), + .O(\bus_status_ctrl.cSCL[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSCL[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(p_0_in__0), + .O(\bus_status_ctrl.cSCL[1]_i_1_n_0 )); + FDCE \bus_status_ctrl.cSCL_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSCL[0]_i_1_n_0 ), + .Q(p_0_in__0)); + FDCE \bus_status_ctrl.cSCL_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSCL[1]_i_1_n_0 ), + .Q(p_0_in__1[0])); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSDA[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_sda_i), + .O(\bus_status_ctrl.cSDA[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSDA[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(p_0_in), + .O(\bus_status_ctrl.cSDA[1]_i_1_n_0 )); + FDCE \bus_status_ctrl.cSDA_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSDA[0]_i_1_n_0 ), + .Q(p_0_in)); + FDCE \bus_status_ctrl.cSDA_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSDA[1]_i_1_n_0 ), + .Q(\bus_status_ctrl.cSDA_reg_n_0_[1] )); + LUT6 #( + .INIT(64'h04FF000004000000)) + \bus_status_ctrl.cmd_stop_i_1 + (.I0(\statemachine.core_cmd_reg[3]_0 [0]), + .I1(\statemachine.core_cmd_reg[3]_0 [1]), + .I2(\bus_status_ctrl.cmd_stop_i_2_n_0 ), + .I3(clk_en), + .I4(s00_axi_aresetn), + .I5(\bus_status_ctrl.cmd_stop_reg_n_0 ), + .O(\bus_status_ctrl.cmd_stop_i_1_n_0 )); + LUT2 #( + .INIT(4'hE)) + \bus_status_ctrl.cmd_stop_i_2 + (.I0(\statemachine.core_cmd_reg[3]_0 [2]), + .I1(\statemachine.core_cmd_reg[3]_0 [3]), + .O(\bus_status_ctrl.cmd_stop_i_2_n_0 )); + FDCE \bus_status_ctrl.cmd_stop_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cmd_stop_i_1_n_0 ), + .Q(\bus_status_ctrl.cmd_stop_reg_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.dSCL_i_1 + (.I0(sSCL), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.dSCL_i_1_n_0 )); + FDPE \bus_status_ctrl.dSCL_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.dSCL_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(dSCL)); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.dSDA_i_1 + (.I0(sSDA), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.dSDA_i_1_n_0 )); + FDPE \bus_status_ctrl.dSDA_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.dSDA_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(dSDA)); + LUT4 #( + .INIT(16'hFB08)) + \bus_status_ctrl.dout_i_1 + (.I0(sSDA), + .I1(sSCL), + .I2(dSCL), + .I3(core_rxd), + .O(\bus_status_ctrl.dout_i_1_n_0 )); + FDCE \bus_status_ctrl.dout_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.dout_i_1_n_0 ), + .Q(core_rxd)); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[0]_i_1 + (.I0(p_0_in__1[0]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[1]_i_1 + (.I0(p_0_in__1[1]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[2]_i_1 + (.I0(p_0_in__1[2]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[2]_i_1_n_0 )); + FDPE \bus_status_ctrl.fSCL_reg[0] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[0]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(p_0_in__1[1])); + FDPE \bus_status_ctrl.fSCL_reg[1] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[1]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(p_0_in__1[2])); + FDPE \bus_status_ctrl.fSCL_reg[2] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[2]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSCL_reg_n_0_[2] )); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[0]_i_1 + (.I0(\bus_status_ctrl.cSDA_reg_n_0_[1] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[1]_i_1 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[0] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[1]_i_1_n_0 )); + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[2]_i_1 + (.I0(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[2]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[2]_i_2 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[1] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[2]_i_2_n_0 )); + FDPE \bus_status_ctrl.fSDA_reg[0] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[0]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[0] )); + FDPE \bus_status_ctrl.fSDA_reg[1] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[1]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[1] )); + FDPE \bus_status_ctrl.fSDA_reg[2] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[2]_i_2_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[2] )); + LUT5 #( + .INIT(32'hD1000000)) + \bus_status_ctrl.filter_cnt[0]_i_1 + (.I0(filter_cnt[0]), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[2]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[10]_i_1 + (.I0(minusOp_carry__1_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[12]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[10]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[11]_i_1 + (.I0(minusOp_carry__1_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[13]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[11]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[12]_i_1 + (.I0(minusOp_carry__1_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[14]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[12]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[13]_i_1 + (.I0(minusOp_carry__2_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[15]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[13]_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000000000001)) + \bus_status_ctrl.filter_cnt[13]_i_2 + (.I0(\bus_status_ctrl.filter_cnt[13]_i_3_n_0 ), + .I1(\bus_status_ctrl.filter_cnt[13]_i_4_n_0 ), + .I2(filter_cnt[6]), + .I3(filter_cnt[7]), + .I4(filter_cnt[4]), + .I5(filter_cnt[5]), + .O(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFFE)) + \bus_status_ctrl.filter_cnt[13]_i_3 + (.I0(filter_cnt[13]), + .I1(filter_cnt[12]), + .I2(filter_cnt[9]), + .I3(filter_cnt[8]), + .I4(filter_cnt[11]), + .I5(filter_cnt[10]), + .O(\bus_status_ctrl.filter_cnt[13]_i_3_n_0 )); + LUT4 #( + .INIT(16'hFFFE)) + \bus_status_ctrl.filter_cnt[13]_i_4 + (.I0(filter_cnt[2]), + .I1(filter_cnt[3]), + .I2(filter_cnt[0]), + .I3(filter_cnt[1]), + .O(\bus_status_ctrl.filter_cnt[13]_i_4_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[1]_i_1 + (.I0(minusOp_carry_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[3]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[1]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[2]_i_1 + (.I0(minusOp_carry_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[4]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[3]_i_1 + (.I0(minusOp_carry_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[5]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[3]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[4]_i_1 + (.I0(minusOp_carry_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[6]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[4]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[5]_i_1 + (.I0(minusOp_carry__0_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[7]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[5]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[6]_i_1 + (.I0(minusOp_carry__0_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[8]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[6]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[7]_i_1 + (.I0(minusOp_carry__0_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[9]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[7]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[8]_i_1 + (.I0(minusOp_carry__0_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[10]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[8]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[9]_i_1 + (.I0(minusOp_carry__1_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[11]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[9]_i_1_n_0 )); + FDCE \bus_status_ctrl.filter_cnt_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[0]_i_1_n_0 ), + .Q(filter_cnt[0])); + FDCE \bus_status_ctrl.filter_cnt_reg[10] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[10]_i_1_n_0 ), + .Q(filter_cnt[10])); + FDCE \bus_status_ctrl.filter_cnt_reg[11] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[11]_i_1_n_0 ), + .Q(filter_cnt[11])); + FDCE \bus_status_ctrl.filter_cnt_reg[12] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[12]_i_1_n_0 ), + .Q(filter_cnt[12])); + FDCE \bus_status_ctrl.filter_cnt_reg[13] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[13]_i_1_n_0 ), + .Q(filter_cnt[13])); + FDCE \bus_status_ctrl.filter_cnt_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[1]_i_1_n_0 ), + .Q(filter_cnt[1])); + FDCE \bus_status_ctrl.filter_cnt_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[2]_i_1_n_0 ), + .Q(filter_cnt[2])); + FDCE \bus_status_ctrl.filter_cnt_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[3]_i_1_n_0 ), + .Q(filter_cnt[3])); + FDCE \bus_status_ctrl.filter_cnt_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[4]_i_1_n_0 ), + .Q(filter_cnt[4])); + FDCE \bus_status_ctrl.filter_cnt_reg[5] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[5]_i_1_n_0 ), + .Q(filter_cnt[5])); + FDCE \bus_status_ctrl.filter_cnt_reg[6] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[6]_i_1_n_0 ), + .Q(filter_cnt[6])); + FDCE \bus_status_ctrl.filter_cnt_reg[7] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[7]_i_1_n_0 ), + .Q(filter_cnt[7])); + FDCE \bus_status_ctrl.filter_cnt_reg[8] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[8]_i_1_n_0 ), + .Q(filter_cnt[8])); + FDCE \bus_status_ctrl.filter_cnt_reg[9] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[9]_i_1_n_0 ), + .Q(filter_cnt[9])); + LUT6 #( + .INIT(64'h08000800AAAA0800)) + \bus_status_ctrl.ial_i_1 + (.I0(s00_axi_aresetn), + .I1(sda_chk_reg_n_0), + .I2(sSDA), + .I3(i2c_sda_t), + .I4(\bus_status_ctrl.ial_i_2_n_0 ), + .I5(\bus_status_ctrl.ial_i_3_n_0 ), + .O(ial)); + LUT2 #( + .INIT(4'h1)) + \bus_status_ctrl.ial_i_2 + (.I0(c_state[0]), + .I1(c_state[4]), + .O(\bus_status_ctrl.ial_i_2_n_0 )); + LUT5 #( + .INIT(32'hFFFFFFEF)) + \bus_status_ctrl.ial_i_3 + (.I0(c_state[2]), + .I1(c_state[3]), + .I2(\bus_status_ctrl.sto_condition_reg_n_0 ), + .I3(\bus_status_ctrl.cmd_stop_reg_n_0 ), + .I4(c_state[1]), + .O(\bus_status_ctrl.ial_i_3_n_0 )); + FDCE \bus_status_ctrl.ial_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(ial), + .Q(i2c_al)); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT4 #( + .INIT(16'h5400)) + \bus_status_ctrl.ibusy_i_1 + (.I0(\bus_status_ctrl.sto_condition_reg_n_0 ), + .I1(\bus_status_ctrl.sta_condition_reg_n_0 ), + .I2(i2c_busy), + .I3(s00_axi_aresetn), + .O(ibusy)); + FDCE \bus_status_ctrl.ibusy_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(ibusy), + .Q(i2c_busy)); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT4 #( + .INIT(16'hE8FF)) + \bus_status_ctrl.sSCL_i_1 + (.I0(p_0_in__1[2]), + .I1(\bus_status_ctrl.fSCL_reg_n_0_[2] ), + .I2(p_0_in__1[1]), + .I3(s00_axi_aresetn), + .O(\bus_status_ctrl.sSCL_i_1_n_0 )); + FDPE \bus_status_ctrl.sSCL_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.sSCL_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(sSCL)); + LUT4 #( + .INIT(16'hE8FF)) + \bus_status_ctrl.sSDA_i_1 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[1] ), + .I1(\bus_status_ctrl.fSDA_reg_n_0_[2] ), + .I2(\bus_status_ctrl.fSDA_reg_n_0_[0] ), + .I3(s00_axi_aresetn), + .O(\bus_status_ctrl.sSDA_i_1_n_0 )); + FDPE \bus_status_ctrl.sSDA_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.sSDA_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(sSDA)); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h2000)) + \bus_status_ctrl.sta_condition_i_1 + (.I0(dSDA), + .I1(sSDA), + .I2(s00_axi_aresetn), + .I3(sSCL), + .O(sta_condition)); + FDCE \bus_status_ctrl.sta_condition_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(sta_condition), + .Q(\bus_status_ctrl.sta_condition_reg_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h4000)) + \bus_status_ctrl.sto_condition_i_1 + (.I0(dSDA), + .I1(s00_axi_aresetn), + .I2(sSCL), + .I3(sSDA), + .O(sto_condition)); + FDCE \bus_status_ctrl.sto_condition_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(sto_condition), + .Q(\bus_status_ctrl.sto_condition_reg_n_0 )); + LUT5 #( + .INIT(32'hAAAAAAAB)) + clk_en_i_1 + (.I0(clk_en_i_2_n_0), + .I1(clk_en_i_3_n_0), + .I2(clk_en_i_4_n_0), + .I3(clk_en_i_5_n_0), + .I4(clk_en_i_6_n_0), + .O(cnt1)); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT5 #( + .INIT(32'h7555FFFF)) + clk_en_i_2 + (.I0(\ctr_reg[7] ), + .I1(sSCL), + .I2(i2c_scl_t), + .I3(dSCL), + .I4(s00_axi_aresetn), + .O(clk_en_i_2_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_3 + (.I0(cnt_reg[6]), + .I1(cnt_reg[7]), + .I2(cnt_reg[4]), + .I3(cnt_reg[5]), + .O(clk_en_i_3_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_4 + (.I0(cnt_reg[2]), + .I1(cnt_reg[3]), + .I2(cnt_reg[0]), + .I3(cnt_reg[1]), + .O(clk_en_i_4_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_5 + (.I0(cnt_reg[15]), + .I1(cnt_reg[14]), + .I2(cnt_reg[12]), + .I3(cnt_reg[13]), + .O(clk_en_i_5_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_6 + (.I0(cnt_reg[10]), + .I1(cnt_reg[11]), + .I2(cnt_reg[8]), + .I3(cnt_reg[9]), + .O(clk_en_i_6_n_0)); + FDPE clk_en_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(cnt1), + .PRE(iscl_oen_reg_0), + .Q(clk_en)); + LUT6 #( + .INIT(64'h0008000000000000)) + cmd_ack_i_1 + (.I0(cmd_ack_i_2_n_0), + .I1(c_state[0]), + .I2(c_state[1]), + .I3(i2c_al), + .I4(s00_axi_aresetn), + .I5(clk_en), + .O(cmd_ack3_out)); + LUT3 #( + .INIT(8'h1E)) + cmd_ack_i_2 + (.I0(c_state[2]), + .I1(c_state[3]), + .I2(c_state[4]), + .O(cmd_ack_i_2_n_0)); + FDCE cmd_ack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(cmd_ack3_out), + .Q(core_ack)); + LUT2 #( + .INIT(4'hB)) + \cnt[0]_i_1 + (.I0(cnt1), + .I1(slave_wait), + .O(\cnt[0]_i_1_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_10 + (.I0(cnt_reg[0]), + .I1(Q[0]), + .I2(cnt1), + .O(\cnt[0]_i_10_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_3 + (.I0(Q[3]), + .I1(cnt1), + .I2(cnt_reg[3]), + .O(\cnt[0]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_4 + (.I0(Q[2]), + .I1(cnt1), + .I2(cnt_reg[2]), + .O(\cnt[0]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_5 + (.I0(Q[1]), + .I1(cnt1), + .I2(cnt_reg[1]), + .O(\cnt[0]_i_5_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_6 + (.I0(Q[0]), + .I1(cnt1), + .I2(cnt_reg[0]), + .O(\cnt[0]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_7 + (.I0(cnt_reg[3]), + .I1(Q[3]), + .I2(cnt1), + .O(\cnt[0]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_8 + (.I0(cnt_reg[2]), + .I1(Q[2]), + .I2(cnt1), + .O(\cnt[0]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_9 + (.I0(cnt_reg[1]), + .I1(Q[1]), + .I2(cnt1), + .O(\cnt[0]_i_9_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_2 + (.I0(Q[14]), + .I1(cnt1), + .I2(cnt_reg[14]), + .O(\cnt[12]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_3 + (.I0(Q[13]), + .I1(cnt1), + .I2(cnt_reg[13]), + .O(\cnt[12]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_4 + (.I0(Q[12]), + .I1(cnt1), + .I2(cnt_reg[12]), + .O(\cnt[12]_i_4_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_5 + (.I0(cnt_reg[15]), + .I1(Q[15]), + .I2(cnt1), + .O(\cnt[12]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_6 + (.I0(cnt_reg[14]), + .I1(Q[14]), + .I2(cnt1), + .O(\cnt[12]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_7 + (.I0(cnt_reg[13]), + .I1(Q[13]), + .I2(cnt1), + .O(\cnt[12]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_8 + (.I0(cnt_reg[12]), + .I1(Q[12]), + .I2(cnt1), + .O(\cnt[12]_i_8_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_2 + (.I0(Q[7]), + .I1(cnt1), + .I2(cnt_reg[7]), + .O(\cnt[4]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_3 + (.I0(Q[6]), + .I1(cnt1), + .I2(cnt_reg[6]), + .O(\cnt[4]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_4 + (.I0(Q[5]), + .I1(cnt1), + .I2(cnt_reg[5]), + .O(\cnt[4]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_5 + (.I0(Q[4]), + .I1(cnt1), + .I2(cnt_reg[4]), + .O(\cnt[4]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_6 + (.I0(cnt_reg[7]), + .I1(Q[7]), + .I2(cnt1), + .O(\cnt[4]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_7 + (.I0(cnt_reg[6]), + .I1(Q[6]), + .I2(cnt1), + .O(\cnt[4]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_8 + (.I0(cnt_reg[5]), + .I1(Q[5]), + .I2(cnt1), + .O(\cnt[4]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_9 + (.I0(cnt_reg[4]), + .I1(Q[4]), + .I2(cnt1), + .O(\cnt[4]_i_9_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_2 + (.I0(Q[11]), + .I1(cnt1), + .I2(cnt_reg[11]), + .O(\cnt[8]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_3 + (.I0(Q[10]), + .I1(cnt1), + .I2(cnt_reg[10]), + .O(\cnt[8]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_4 + (.I0(Q[9]), + .I1(cnt1), + .I2(cnt_reg[9]), + .O(\cnt[8]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_5 + (.I0(Q[8]), + .I1(cnt1), + .I2(cnt_reg[8]), + .O(\cnt[8]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_6 + (.I0(cnt_reg[11]), + .I1(Q[11]), + .I2(cnt1), + .O(\cnt[8]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_7 + (.I0(cnt_reg[10]), + .I1(Q[10]), + .I2(cnt1), + .O(\cnt[8]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_8 + (.I0(cnt_reg[9]), + .I1(Q[9]), + .I2(cnt1), + .O(\cnt[8]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_9 + (.I0(cnt_reg[8]), + .I1(Q[8]), + .I2(cnt1), + .O(\cnt[8]_i_9_n_0 )); + FDCE \cnt_reg[0] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_7 ), + .Q(cnt_reg[0])); + CARRY4 \cnt_reg[0]_i_2 + (.CI(1'b0), + .CO({\cnt_reg[0]_i_2_n_0 ,\cnt_reg[0]_i_2_n_1 ,\cnt_reg[0]_i_2_n_2 ,\cnt_reg[0]_i_2_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[0]_i_3_n_0 ,\cnt[0]_i_4_n_0 ,\cnt[0]_i_5_n_0 ,\cnt[0]_i_6_n_0 }), + .O({\cnt_reg[0]_i_2_n_4 ,\cnt_reg[0]_i_2_n_5 ,\cnt_reg[0]_i_2_n_6 ,\cnt_reg[0]_i_2_n_7 }), + .S({\cnt[0]_i_7_n_0 ,\cnt[0]_i_8_n_0 ,\cnt[0]_i_9_n_0 ,\cnt[0]_i_10_n_0 })); + FDCE \cnt_reg[10] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_5 ), + .Q(cnt_reg[10])); + FDCE \cnt_reg[11] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_4 ), + .Q(cnt_reg[11])); + FDCE \cnt_reg[12] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_7 ), + .Q(cnt_reg[12])); + CARRY4 \cnt_reg[12]_i_1 + (.CI(\cnt_reg[8]_i_1_n_0 ), + .CO({\NLW_cnt_reg[12]_i_1_CO_UNCONNECTED [3],\cnt_reg[12]_i_1_n_1 ,\cnt_reg[12]_i_1_n_2 ,\cnt_reg[12]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,\cnt[12]_i_2_n_0 ,\cnt[12]_i_3_n_0 ,\cnt[12]_i_4_n_0 }), + .O({\cnt_reg[12]_i_1_n_4 ,\cnt_reg[12]_i_1_n_5 ,\cnt_reg[12]_i_1_n_6 ,\cnt_reg[12]_i_1_n_7 }), + .S({\cnt[12]_i_5_n_0 ,\cnt[12]_i_6_n_0 ,\cnt[12]_i_7_n_0 ,\cnt[12]_i_8_n_0 })); + FDCE \cnt_reg[13] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_6 ), + .Q(cnt_reg[13])); + FDCE \cnt_reg[14] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_5 ), + .Q(cnt_reg[14])); + FDCE \cnt_reg[15] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_4 ), + .Q(cnt_reg[15])); + FDCE \cnt_reg[1] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_6 ), + .Q(cnt_reg[1])); + FDCE \cnt_reg[2] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_5 ), + .Q(cnt_reg[2])); + FDCE \cnt_reg[3] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_4 ), + .Q(cnt_reg[3])); + FDCE \cnt_reg[4] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_7 ), + .Q(cnt_reg[4])); + CARRY4 \cnt_reg[4]_i_1 + (.CI(\cnt_reg[0]_i_2_n_0 ), + .CO({\cnt_reg[4]_i_1_n_0 ,\cnt_reg[4]_i_1_n_1 ,\cnt_reg[4]_i_1_n_2 ,\cnt_reg[4]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[4]_i_2_n_0 ,\cnt[4]_i_3_n_0 ,\cnt[4]_i_4_n_0 ,\cnt[4]_i_5_n_0 }), + .O({\cnt_reg[4]_i_1_n_4 ,\cnt_reg[4]_i_1_n_5 ,\cnt_reg[4]_i_1_n_6 ,\cnt_reg[4]_i_1_n_7 }), + .S({\cnt[4]_i_6_n_0 ,\cnt[4]_i_7_n_0 ,\cnt[4]_i_8_n_0 ,\cnt[4]_i_9_n_0 })); + FDCE \cnt_reg[5] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_6 ), + .Q(cnt_reg[5])); + FDCE \cnt_reg[6] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_5 ), + .Q(cnt_reg[6])); + FDCE \cnt_reg[7] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_4 ), + .Q(cnt_reg[7])); + FDCE \cnt_reg[8] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_7 ), + .Q(cnt_reg[8])); + CARRY4 \cnt_reg[8]_i_1 + (.CI(\cnt_reg[4]_i_1_n_0 ), + .CO({\cnt_reg[8]_i_1_n_0 ,\cnt_reg[8]_i_1_n_1 ,\cnt_reg[8]_i_1_n_2 ,\cnt_reg[8]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[8]_i_2_n_0 ,\cnt[8]_i_3_n_0 ,\cnt[8]_i_4_n_0 ,\cnt[8]_i_5_n_0 }), + .O({\cnt_reg[8]_i_1_n_4 ,\cnt_reg[8]_i_1_n_5 ,\cnt_reg[8]_i_1_n_6 ,\cnt_reg[8]_i_1_n_7 }), + .S({\cnt[8]_i_6_n_0 ,\cnt[8]_i_7_n_0 ,\cnt[8]_i_8_n_0 ,\cnt[8]_i_9_n_0 })); + FDCE \cnt_reg[9] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_6 ), + .Q(cnt_reg[9])); + LUT6 #( + .INIT(64'h55FDFDFDFFFFFFFF)) + \cr[7]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(cmd_ack), + .I3(iack_o_reg), + .I4(wb_we_o), + .I5(iack_o_reg_0), + .O(\cr_reg[4] )); + FDCE dscl_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(i2c_scl_t), + .Q(dscl_oen)); + LUT5 #( + .INIT(32'hFBFFFBF3)) + iscl_oen_i_1 + (.I0(iscl_oen), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(iscl_oen9_out__0), + .I4(i2c_scl_t), + .O(iscl_oen_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + iscl_oen_i_2 + (.I0(s00_axi_aresetn), + .O(iscl_oen_reg_0)); + LUT5 #( + .INIT(32'h00F3011F)) + iscl_oen_i_3 + (.I0(c_state[3]), + .I1(c_state[2]), + .I2(c_state[1]), + .I3(c_state[4]), + .I4(c_state[0]), + .O(iscl_oen)); + LUT5 #( + .INIT(32'h55560000)) + iscl_oen_i_4 + (.I0(c_state[4]), + .I1(c_state[3]), + .I2(c_state[2]), + .I3(c_state[1]), + .I4(clk_en), + .O(iscl_oen9_out__0)); + FDPE iscl_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(iscl_oen_i_1_n_0), + .PRE(iscl_oen_reg_0), + .Q(i2c_scl_t)); + LUT5 #( + .INIT(32'hFBFFFBF3)) + isda_oen_i_1 + (.I0(isda_oen), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(isda_oen7_out__0), + .I4(i2c_sda_t), + .O(isda_oen_i_1_n_0)); + LUT6 #( + .INIT(64'h0000C8CB03038F83)) + isda_oen_i_2 + (.I0(\statemachine.core_txd_reg_0 ), + .I1(c_state[3]), + .I2(c_state[2]), + .I3(c_state[0]), + .I4(c_state[4]), + .I5(c_state[1]), + .O(isda_oen)); + LUT6 #( + .INIT(64'h0F0F1F1E00000000)) + isda_oen_i_3 + (.I0(c_state[1]), + .I1(c_state[2]), + .I2(c_state[4]), + .I3(c_state[0]), + .I4(c_state[3]), + .I5(clk_en), + .O(isda_oen7_out__0)); + FDPE isda_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(isda_oen_i_1_n_0), + .PRE(iscl_oen_reg_0), + .Q(i2c_sda_t)); + CARRY4 minusOp_carry + (.CI(1'b0), + .CO({minusOp_carry_n_0,minusOp_carry_n_1,minusOp_carry_n_2,minusOp_carry_n_3}), + .CYINIT(filter_cnt[0]), + .DI(filter_cnt[4:1]), + .O({minusOp_carry_n_4,minusOp_carry_n_5,minusOp_carry_n_6,minusOp_carry_n_7}), + .S({minusOp_carry_i_1_n_0,minusOp_carry_i_2_n_0,minusOp_carry_i_3_n_0,minusOp_carry_i_4_n_0})); + CARRY4 minusOp_carry__0 + (.CI(minusOp_carry_n_0), + .CO({minusOp_carry__0_n_0,minusOp_carry__0_n_1,minusOp_carry__0_n_2,minusOp_carry__0_n_3}), + .CYINIT(1'b0), + .DI(filter_cnt[8:5]), + .O({minusOp_carry__0_n_4,minusOp_carry__0_n_5,minusOp_carry__0_n_6,minusOp_carry__0_n_7}), + .S({minusOp_carry__0_i_1_n_0,minusOp_carry__0_i_2_n_0,minusOp_carry__0_i_3_n_0,minusOp_carry__0_i_4_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_1 + (.I0(filter_cnt[8]), + .O(minusOp_carry__0_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_2 + (.I0(filter_cnt[7]), + .O(minusOp_carry__0_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_3 + (.I0(filter_cnt[6]), + .O(minusOp_carry__0_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_4 + (.I0(filter_cnt[5]), + .O(minusOp_carry__0_i_4_n_0)); + CARRY4 minusOp_carry__1 + (.CI(minusOp_carry__0_n_0), + .CO({minusOp_carry__1_n_0,minusOp_carry__1_n_1,minusOp_carry__1_n_2,minusOp_carry__1_n_3}), + .CYINIT(1'b0), + .DI(filter_cnt[12:9]), + .O({minusOp_carry__1_n_4,minusOp_carry__1_n_5,minusOp_carry__1_n_6,minusOp_carry__1_n_7}), + .S({minusOp_carry__1_i_1_n_0,minusOp_carry__1_i_2_n_0,minusOp_carry__1_i_3_n_0,minusOp_carry__1_i_4_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_1 + (.I0(filter_cnt[12]), + .O(minusOp_carry__1_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_2 + (.I0(filter_cnt[11]), + .O(minusOp_carry__1_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_3 + (.I0(filter_cnt[10]), + .O(minusOp_carry__1_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_4 + (.I0(filter_cnt[9]), + .O(minusOp_carry__1_i_4_n_0)); + CARRY4 minusOp_carry__2 + (.CI(minusOp_carry__1_n_0), + .CO(NLW_minusOp_carry__2_CO_UNCONNECTED[3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({NLW_minusOp_carry__2_O_UNCONNECTED[3:1],minusOp_carry__2_n_7}), + .S({1'b0,1'b0,1'b0,minusOp_carry__2_i_1_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__2_i_1 + (.I0(filter_cnt[13]), + .O(minusOp_carry__2_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_1 + (.I0(filter_cnt[4]), + .O(minusOp_carry_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_2 + (.I0(filter_cnt[3]), + .O(minusOp_carry_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_3 + (.I0(filter_cnt[2]), + .O(minusOp_carry_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_4 + (.I0(filter_cnt[1]), + .O(minusOp_carry_i_4_n_0)); + LUT6 #( + .INIT(64'h0000000000100000)) + sda_chk_i_1 + (.I0(c_state[4]), + .I1(c_state[1]), + .I2(c_state[3]), + .I3(c_state[0]), + .I4(c_state[2]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(sda_chk_i_1_n_0)); + FDCE sda_chk_reg + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(sda_chk_i_1_n_0), + .Q(sda_chk_reg_n_0)); + LUT4 #( + .INIT(16'h0F04)) + slave_wait_i_1 + (.I0(dscl_oen), + .I1(i2c_scl_t), + .I2(sSCL), + .I3(slave_wait), + .O(slave_wait0)); + FDCE slave_wait_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(slave_wait0), + .Q(slave_wait)); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'hE400)) + \sr[0]_i_1 + (.I0(\statemachine.ld_reg_0 ), + .I1(core_rxd), + .I2(\txr_reg[6] [0]), + .I3(s00_axi_aresetn), + .O(\sr_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT4 #( + .INIT(16'hAA08)) + \st_irq_block.al_i_1 + (.I0(s00_axi_aresetn), + .I1(\st_irq_block.al_reg ), + .I2(\cr_reg[7] [3]), + .I3(i2c_al), + .O(al)); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT5 #( + .INIT(32'h55540000)) + \st_irq_block.irq_flag_i_1 + (.I0(\cr_reg[0] ), + .I1(i2c_al), + .I2(cmd_ack), + .I3(irq_flag), + .I4(s00_axi_aresetn), + .O(irq_flag1_out)); + LUT5 #( + .INIT(32'h08FF0800)) + \statemachine.ack_out_i_1 + (.I0(core_rxd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(\statemachine.ack_out_i_2_n_0 ), + .I4(ack_out), + .O(\statemachine.ack_out_reg )); + LUT6 #( + .INIT(64'hDDDDDDDDDDFDDDDD)) + \statemachine.ack_out_i_2 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(out[2]), + .I3(out[0]), + .I4(core_ack), + .I5(out[1]), + .O(\statemachine.ack_out_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000100000)) + \statemachine.core_cmd[0]_i_1 + (.I0(out[2]), + .I1(out[0]), + .I2(\cr_reg[7] [3]), + .I3(out[1]), + .I4(s00_axi_aresetn), + .I5(i2c_al), + .O(\statemachine.core_cmd_reg[3] [0])); + LUT6 #( + .INIT(64'h0000000022222E22)) + \statemachine.core_cmd[1]_i_1 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_0 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.core_cmd_reg[3] [1])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT3 #( + .INIT(8'h08)) + \statemachine.core_cmd[2]_i_1 + (.I0(core_cmd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\statemachine.core_cmd_reg[3] [2])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0040)) + \statemachine.core_cmd[3]_i_1 + (.I0(out[2]), + .I1(\FSM_sequential_statemachine.c_state_reg[1] ), + .I2(s00_axi_aresetn), + .I3(i2c_al), + .O(\statemachine.core_cmd_reg[3] [3])); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'h08)) + \statemachine.core_txd_i_1 + (.I0(core_txd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\statemachine.core_txd_reg )); + LUT6 #( + .INIT(64'h5455FFFD10002220)) + \statemachine.core_txd_i_2 + (.I0(out[2]), + .I1(out[0]), + .I2(ack_in), + .I3(core_ack), + .I4(out[1]), + .I5(\sr_reg[7] ), + .O(core_txd)); + LUT6 #( + .INIT(64'h000000000000A020)) + \statemachine.host_ack_i_1 + (.I0(out[2]), + .I1(\cr_reg[7] [2]), + .I2(core_ack), + .I3(out[0]), + .I4(out[1]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.host_ack_reg )); + LUT5 #( + .INIT(32'h00000400)) + \statemachine.ld_i_1 + (.I0(out[2]), + .I1(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 ), + .I2(out[1]), + .I3(s00_axi_aresetn), + .I4(i2c_al), + .O(\statemachine.ld_reg )); + LUT6 #( + .INIT(64'h0000000004440000)) + \statemachine.shift_i_1 + (.I0(out[2]), + .I1(core_ack), + .I2(out[0]), + .I3(cnt_done), + .I4(out[1]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.shift_reg )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[6]_i_3 + (.I0(\cr_reg[7] [2]), + .I1(wb_adr_o[1]), + .I2(\txr_reg[6] [1]), + .I3(wb_adr_o[0]), + .I4(i2c_busy), + .O(\wb_dat_o[6]_i_3_n_0 )); + MUXF7 \wb_dat_o_reg[6]_i_1 + (.I0(\sr_reg[6] ), + .I1(\wb_dat_o[6]_i_3_n_0 ), + .O(D), + .S(wb_adr_o[2])); +endmodule + +(* ORIG_REF_NAME = "i2c_master_byte_ctrl" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl + (iscl_oen_reg, + i2c_scl_t, + i2c_sda_t, + irq_flag1_out, + rxack_0, + al, + D, + E, + s00_axi_aclk, + s00_axi_aresetn, + \cr_reg[0] , + irq_flag, + Q, + \ctr_reg[7] , + i2c_sda_i, + i2c_scl_i, + \st_irq_block.al_reg , + \cr_reg[7] , + wb_adr_o, + \cr_reg[0]_0 , + \cr_reg[1] , + \cr_reg[2] , + \txr_reg[7] , + ack_in, + \cr_reg[5] , + \cr_reg[7]_0 , + iack_o_reg, + wb_we_o, + iack_o_reg_0); + output iscl_oen_reg; + output i2c_scl_t; + output i2c_sda_t; + output irq_flag1_out; + output rxack_0; + output al; + output [7:0]D; + output [0:0]E; + input s00_axi_aclk; + input s00_axi_aresetn; + input \cr_reg[0] ; + input irq_flag; + input [15:0]Q; + input [7:0]\ctr_reg[7] ; + input i2c_sda_i; + input i2c_scl_i; + input \st_irq_block.al_reg ; + input [3:0]\cr_reg[7] ; + input [2:0]wb_adr_o; + input \cr_reg[0]_0 ; + input \cr_reg[1] ; + input \cr_reg[2] ; + input [7:0]\txr_reg[7] ; + input ack_in; + input \cr_reg[5] ; + input \cr_reg[7]_0 ; + input iack_o_reg; + input wb_we_o; + input iack_o_reg_0; + + wire [7:0]D; + wire [0:0]E; + wire \FSM_sequential_statemachine.c_state[0]_i_2_n_0 ; + wire \FSM_sequential_statemachine.c_state[1]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state[2]_i_4_n_0 ; + wire [15:0]Q; + wire ack_in; + wire ack_out; + wire al; + wire bit_ctrl_n_10; + wire bit_ctrl_n_11; + wire bit_ctrl_n_12; + wire bit_ctrl_n_13; + wire bit_ctrl_n_14; + wire bit_ctrl_n_15; + wire bit_ctrl_n_17; + wire bit_ctrl_n_18; + wire bit_ctrl_n_19; + wire bit_ctrl_n_20; + wire bit_ctrl_n_7; + wire bit_ctrl_n_8; + wire bit_ctrl_n_9; + wire c_state; + (* RTL_KEEP = "yes" *) wire [2:0]c_state__0; + wire [3:0]cmd; + wire cmd_ack; + wire cnt_done; + wire [2:2]core_cmd; + wire \cr_reg[0] ; + wire \cr_reg[0]_0 ; + wire \cr_reg[1] ; + wire \cr_reg[2] ; + wire \cr_reg[5] ; + wire [3:0]\cr_reg[7] ; + wire \cr_reg[7]_0 ; + wire [7:0]\ctr_reg[7] ; + wire dcnt; + wire \dcnt[0]_i_1_n_0 ; + wire \dcnt[1]_i_1_n_0 ; + wire \dcnt[2]_i_1_n_0 ; + wire \dcnt_reg_n_0_[0] ; + wire \dcnt_reg_n_0_[1] ; + wire \dcnt_reg_n_0_[2] ; + wire [7:7]dout; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg; + wire iack_o_reg_0; + wire irq_flag; + wire irq_flag1_out; + wire iscl_oen_reg; + wire rxack_0; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire \sr[1]_i_1_n_0 ; + wire \sr[2]_i_1_n_0 ; + wire \sr[3]_i_1_n_0 ; + wire \sr[4]_i_1_n_0 ; + wire \sr[5]_i_1_n_0 ; + wire \sr[6]_i_1_n_0 ; + wire \sr[7]_i_2_n_0 ; + wire \sr_reg_n_0_[0] ; + wire \sr_reg_n_0_[1] ; + wire \sr_reg_n_0_[2] ; + wire \sr_reg_n_0_[3] ; + wire \sr_reg_n_0_[4] ; + wire \sr_reg_n_0_[5] ; + wire \sr_reg_n_0_[6] ; + wire \st_irq_block.al_reg ; + wire \statemachine.core_cmd[1]_i_2_n_0 ; + wire \statemachine.core_cmd[3]_i_2_n_0 ; + wire \statemachine.core_txd_reg_n_0 ; + wire \statemachine.ld_reg_n_0 ; + wire \statemachine.shift_reg_n_0 ; + wire [7:0]\txr_reg[7] ; + wire [2:0]wb_adr_o; + wire \wb_dat_o[0]_i_2_n_0 ; + wire \wb_dat_o[1]_i_2_n_0 ; + wire \wb_dat_o[2]_i_2_n_0 ; + wire \wb_dat_o[3]_i_2_n_0 ; + wire \wb_dat_o[4]_i_2_n_0 ; + wire \wb_dat_o[5]_i_2_n_0 ; + wire \wb_dat_o[6]_i_2_n_0 ; + wire \wb_dat_o[7]_i_2_n_0 ; + wire wb_we_o; + + LUT5 #( + .INIT(32'h43407373)) + \FSM_sequential_statemachine.c_state[0]_i_2 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(c_state__0[0]), + .I3(\cr_reg[7] [3]), + .I4(\cr_reg[7] [1]), + .O(\FSM_sequential_statemachine.c_state[0]_i_2_n_0 )); + LUT3 #( + .INIT(8'h01)) + \FSM_sequential_statemachine.c_state[1]_i_2 + (.I0(\dcnt_reg_n_0_[1] ), + .I1(\dcnt_reg_n_0_[0] ), + .I2(\dcnt_reg_n_0_[2] ), + .O(cnt_done)); + LUT4 #( + .INIT(16'hFF54)) + \FSM_sequential_statemachine.c_state[1]_i_3 + (.I0(\cr_reg[7] [3]), + .I1(\cr_reg[7] [1]), + .I2(\cr_reg[7] [0]), + .I3(c_state__0[0]), + .O(\FSM_sequential_statemachine.c_state[1]_i_3_n_0 )); + LUT6 #( + .INIT(64'h888888888888888B)) + \FSM_sequential_statemachine.c_state[2]_i_4 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(\cr_reg[7] [3]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .I5(c_state__0[0]), + .O(\FSM_sequential_statemachine.c_state[2]_i_4_n_0 )); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[0] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_20), + .Q(c_state__0[0])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[1] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_19), + .Q(c_state__0[1])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[2] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_18), + .Q(c_state__0[2])); + system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl bit_ctrl + (.D(D[6]), + .E(c_state), + .\FSM_sequential_statemachine.c_state_reg[1] (\statemachine.core_cmd[3]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_0 (\statemachine.core_cmd[1]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_1 (\FSM_sequential_statemachine.c_state[0]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_2 (\FSM_sequential_statemachine.c_state[2]_i_4_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[2] ({bit_ctrl_n_18,bit_ctrl_n_19,bit_ctrl_n_20}), + .Q(Q), + .ack_in(ack_in), + .ack_out(ack_out), + .al(al), + .cmd_ack(cmd_ack), + .cnt_done(cnt_done), + .core_cmd(core_cmd), + .\cr_reg[0] (\cr_reg[0] ), + .\cr_reg[4] (E), + .\cr_reg[7] (\cr_reg[7] ), + .\cr_reg[7]_0 (\FSM_sequential_statemachine.c_state[1]_i_3_n_0 ), + .\ctr_reg[7] (\ctr_reg[7] [7]), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg(iack_o_reg), + .iack_o_reg_0(iack_o_reg_0), + .irq_flag(irq_flag), + .irq_flag1_out(irq_flag1_out), + .iscl_oen_reg_0(iscl_oen_reg), + .out(c_state__0), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .\sr_reg[0] (bit_ctrl_n_17), + .\sr_reg[6] (\wb_dat_o[6]_i_2_n_0 ), + .\sr_reg[7] (dout), + .\st_irq_block.al_reg (\st_irq_block.al_reg ), + .\statemachine.ack_out_reg (bit_ctrl_n_15), + .\statemachine.core_cmd_reg[3] ({bit_ctrl_n_7,bit_ctrl_n_8,bit_ctrl_n_9,bit_ctrl_n_10}), + .\statemachine.core_cmd_reg[3]_0 (cmd), + .\statemachine.core_txd_reg (bit_ctrl_n_12), + .\statemachine.core_txd_reg_0 (\statemachine.core_txd_reg_n_0 ), + .\statemachine.host_ack_reg (bit_ctrl_n_14), + .\statemachine.ld_reg (bit_ctrl_n_11), + .\statemachine.ld_reg_0 (\statemachine.ld_reg_n_0 ), + .\statemachine.shift_reg (bit_ctrl_n_13), + .\txr_reg[6] ({\txr_reg[7] [6],\txr_reg[7] [0]}), + .wb_adr_o(wb_adr_o), + .wb_we_o(wb_we_o)); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT3 #( + .INIT(8'h8A)) + \dcnt[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[0] ), + .O(\dcnt[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT4 #( + .INIT(16'hA88A)) + \dcnt[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[0] ), + .I3(\dcnt_reg_n_0_[1] ), + .O(\dcnt[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT5 #( + .INIT(32'hAAA8888A)) + \dcnt[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[1] ), + .I3(\dcnt_reg_n_0_[0] ), + .I4(\dcnt_reg_n_0_[2] ), + .O(\dcnt[2]_i_1_n_0 )); + FDCE \dcnt_reg[0] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[0]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[0] )); + FDCE \dcnt_reg[1] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[1]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[1] )); + FDCE \dcnt_reg[2] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[2]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[2] )); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT4 #( + .INIT(16'hE400)) + \sr[1]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[0] ), + .I2(\txr_reg[7] [1]), + .I3(s00_axi_aresetn), + .O(\sr[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT4 #( + .INIT(16'hE400)) + \sr[2]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[1] ), + .I2(\txr_reg[7] [2]), + .I3(s00_axi_aresetn), + .O(\sr[2]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[3]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[2] ), + .I2(\txr_reg[7] [3]), + .I3(s00_axi_aresetn), + .O(\sr[3]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[4]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[3] ), + .I2(\txr_reg[7] [4]), + .I3(s00_axi_aresetn), + .O(\sr[4]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[5]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[4] ), + .I2(\txr_reg[7] [5]), + .I3(s00_axi_aresetn), + .O(\sr[5]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[6]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[5] ), + .I2(\txr_reg[7] [6]), + .I3(s00_axi_aresetn), + .O(\sr[6]_i_1_n_0 )); + LUT3 #( + .INIT(8'hFB)) + \sr[7]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(s00_axi_aresetn), + .I2(\statemachine.shift_reg_n_0 ), + .O(dcnt)); + LUT4 #( + .INIT(16'hE400)) + \sr[7]_i_2 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[6] ), + .I2(\txr_reg[7] [7]), + .I3(s00_axi_aresetn), + .O(\sr[7]_i_2_n_0 )); + FDCE \sr_reg[0] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_17), + .Q(\sr_reg_n_0_[0] )); + FDCE \sr_reg[1] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[1]_i_1_n_0 ), + .Q(\sr_reg_n_0_[1] )); + FDCE \sr_reg[2] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[2]_i_1_n_0 ), + .Q(\sr_reg_n_0_[2] )); + FDCE \sr_reg[3] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[3]_i_1_n_0 ), + .Q(\sr_reg_n_0_[3] )); + FDCE \sr_reg[4] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[4]_i_1_n_0 ), + .Q(\sr_reg_n_0_[4] )); + FDCE \sr_reg[5] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[5]_i_1_n_0 ), + .Q(\sr_reg_n_0_[5] )); + FDCE \sr_reg[6] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[6]_i_1_n_0 ), + .Q(\sr_reg_n_0_[6] )); + FDCE \sr_reg[7] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[7]_i_2_n_0 ), + .Q(dout)); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h8)) + \st_irq_block.rxack_i_1 + (.I0(s00_axi_aresetn), + .I1(ack_out), + .O(rxack_0)); + FDCE \statemachine.ack_out_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_15), + .Q(ack_out)); + LUT5 #( + .INIT(32'h00000001)) + \statemachine.core_cmd[1]_i_2 + (.I0(c_state__0[1]), + .I1(c_state__0[0]), + .I2(\cr_reg[7] [3]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .O(\statemachine.core_cmd[1]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000000F0C40FC4)) + \statemachine.core_cmd[2]_i_2 + (.I0(\cr_reg[7] [3]), + .I1(\cr_reg[7] [1]), + .I2(c_state__0[0]), + .I3(c_state__0[1]), + .I4(cnt_done), + .I5(c_state__0[2]), + .O(core_cmd)); + LUT6 #( + .INIT(64'h4848484878787B78)) + \statemachine.core_cmd[3]_i_2 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(c_state__0[0]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [3]), + .I5(\cr_reg[7] [1]), + .O(\statemachine.core_cmd[3]_i_2_n_0 )); + FDCE \statemachine.core_cmd_reg[0] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_10), + .Q(cmd[0])); + FDCE \statemachine.core_cmd_reg[1] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_9), + .Q(cmd[1])); + FDCE \statemachine.core_cmd_reg[2] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_8), + .Q(cmd[2])); + FDCE \statemachine.core_cmd_reg[3] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_7), + .Q(cmd[3])); + FDCE \statemachine.core_txd_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_12), + .Q(\statemachine.core_txd_reg_n_0 )); + FDCE \statemachine.host_ack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_14), + .Q(cmd_ack)); + FDCE \statemachine.ld_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_11), + .Q(\statemachine.ld_reg_n_0 )); + FDCE \statemachine.shift_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_13), + .Q(\statemachine.shift_reg_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[0]_i_2 + (.I0(\sr_reg_n_0_[0] ), + .I1(\ctr_reg[7] [0]), + .I2(wb_adr_o[1]), + .I3(Q[8]), + .I4(wb_adr_o[0]), + .I5(Q[0]), + .O(\wb_dat_o[0]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[1]_i_2 + (.I0(\sr_reg_n_0_[1] ), + .I1(\ctr_reg[7] [1]), + .I2(wb_adr_o[1]), + .I3(Q[9]), + .I4(wb_adr_o[0]), + .I5(Q[1]), + .O(\wb_dat_o[1]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[2]_i_1 + (.I0(\cr_reg[2] ), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [2]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[2]_i_2_n_0 ), + .O(D[2])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[2]_i_2 + (.I0(\sr_reg_n_0_[2] ), + .I1(\ctr_reg[7] [2]), + .I2(wb_adr_o[1]), + .I3(Q[10]), + .I4(wb_adr_o[0]), + .I5(Q[2]), + .O(\wb_dat_o[2]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[3]_i_1 + (.I0(ack_in), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [3]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[3]_i_2_n_0 ), + .O(D[3])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[3]_i_2 + (.I0(\sr_reg_n_0_[3] ), + .I1(\ctr_reg[7] [3]), + .I2(wb_adr_o[1]), + .I3(Q[11]), + .I4(wb_adr_o[0]), + .I5(Q[3]), + .O(\wb_dat_o[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[4]_i_1 + (.I0(\cr_reg[7] [0]), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [4]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[4]_i_2_n_0 ), + .O(D[4])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[4]_i_2 + (.I0(\sr_reg_n_0_[4] ), + .I1(\ctr_reg[7] [4]), + .I2(wb_adr_o[1]), + .I3(Q[12]), + .I4(wb_adr_o[0]), + .I5(Q[4]), + .O(\wb_dat_o[4]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[5]_i_2 + (.I0(\sr_reg_n_0_[5] ), + .I1(\ctr_reg[7] [5]), + .I2(wb_adr_o[1]), + .I3(Q[13]), + .I4(wb_adr_o[0]), + .I5(Q[5]), + .O(\wb_dat_o[5]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[6]_i_2 + (.I0(\sr_reg_n_0_[6] ), + .I1(\ctr_reg[7] [6]), + .I2(wb_adr_o[1]), + .I3(Q[14]), + .I4(wb_adr_o[0]), + .I5(Q[6]), + .O(\wb_dat_o[6]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[7]_i_2 + (.I0(dout), + .I1(\ctr_reg[7] [7]), + .I2(wb_adr_o[1]), + .I3(Q[15]), + .I4(wb_adr_o[0]), + .I5(Q[7]), + .O(\wb_dat_o[7]_i_2_n_0 )); + MUXF7 \wb_dat_o_reg[0]_i_1 + (.I0(\wb_dat_o[0]_i_2_n_0 ), + .I1(\cr_reg[0]_0 ), + .O(D[0]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[1]_i_1 + (.I0(\wb_dat_o[1]_i_2_n_0 ), + .I1(\cr_reg[1] ), + .O(D[1]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[5]_i_1 + (.I0(\wb_dat_o[5]_i_2_n_0 ), + .I1(\cr_reg[5] ), + .O(D[5]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[7]_i_1 + (.I0(\wb_dat_o[7]_i_2_n_0 ), + .I1(\cr_reg[7]_0 ), + .O(D[7]), + .S(wb_adr_o[2])); +endmodule + +(* ORIG_REF_NAME = "i2c_master_top" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_top + (wb_ack_i, + wb_rst_o, + i2c_scl_t, + axi_int_o, + i2c_sda_t, + Q, + s_stb_r_reg, + \s_rdata_reg[0] , + \s_rdata_reg[7] , + s_stb_r_reg_0, + s00_axi_aclk, + s00_axi_aresetn, + i2c_sda_i, + i2c_scl_i, + s00_axi_wdata, + wb_adr_o, + s00_axi_awvalid, + s00_axi_arvalid, + wb_cyc_o, + wb_we_o, + iack_o_reg_0, + E, + s_we_r_reg, + s_we_r_reg_0, + D, + \s_addr_reg[4] ); + output wb_ack_i; + output wb_rst_o; + output i2c_scl_t; + output axi_int_o; + output i2c_sda_t; + output [0:0]Q; + output s_stb_r_reg; + output [0:0]\s_rdata_reg[0] ; + output [7:0]\s_rdata_reg[7] ; + input s_stb_r_reg_0; + input s00_axi_aclk; + input s00_axi_aresetn; + input i2c_sda_i; + input i2c_scl_i; + input [7:0]s00_axi_wdata; + input [2:0]wb_adr_o; + input s00_axi_awvalid; + input s00_axi_arvalid; + input wb_cyc_o; + input wb_we_o; + input iack_o_reg_0; + input [1:0]E; + input [0:0]s_we_r_reg; + input [0:0]s_we_r_reg_0; + input [3:0]D; + input \s_addr_reg[4] ; + + wire [3:0]D; + wire [1:0]E; + wire [0:0]Q; + wire ack_in; + wire al; + wire axi_int_o; + wire byte_ctrl_n_14; + wire \cr[0]_i_1_n_0 ; + wire \cr[1]_i_1_n_0 ; + wire \cr[2]_i_1_n_0 ; + wire \cr[3]_i_1_n_0 ; + wire \cr_reg_n_0_[0] ; + wire \cr_reg_n_0_[1] ; + wire \cr_reg_n_0_[2] ; + wire [7:0]ctr; + wire \ctr_reg_n_0_[0] ; + wire \ctr_reg_n_0_[1] ; + wire \ctr_reg_n_0_[2] ; + wire \ctr_reg_n_0_[3] ; + wire \ctr_reg_n_0_[4] ; + wire \ctr_reg_n_0_[5] ; + wire [13:0]data0; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg_0; + wire ien; + wire irq_flag; + wire irq_flag1_out; + wire \prer[10]_i_1_n_0 ; + wire \prer[11]_i_1_n_0 ; + wire \prer[12]_i_1_n_0 ; + wire \prer[13]_i_1_n_0 ; + wire \prer[14]_i_1_n_0 ; + wire \prer[15]_i_2_n_0 ; + wire \prer[8]_i_1_n_0 ; + wire \prer[9]_i_1_n_0 ; + wire \prer_reg_n_0_[0] ; + wire \prer_reg_n_0_[1] ; + wire read; + wire rxack; + wire rxack_0; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire s00_axi_arvalid; + wire s00_axi_awvalid; + wire [7:0]s00_axi_wdata; + wire \s_addr_reg[4] ; + wire [0:0]\s_rdata_reg[0] ; + wire [7:0]\s_rdata_reg[7] ; + wire s_stb_r_reg; + wire s_stb_r_reg_0; + wire [0:0]s_we_r_reg; + wire [0:0]s_we_r_reg_0; + wire \st_irq_block.al_reg_n_0 ; + wire \st_irq_block.wb_inta_o_i_1_n_0 ; + wire start; + wire stop; + wire tip; + wire tip_1; + wire [7:0]txr; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]wb_dat_o; + wire \wb_dat_o[0]_i_3_n_0 ; + wire \wb_dat_o[1]_i_3_n_0 ; + wire \wb_dat_o[5]_i_3_n_0 ; + wire \wb_dat_o[7]_i_3_n_0 ; + wire wb_rst_o; + wire wb_we_o; + wire write; + + system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl byte_ctrl + (.D(wb_dat_o), + .E(byte_ctrl_n_14), + .Q({data0,\prer_reg_n_0_[1] ,\prer_reg_n_0_[0] }), + .ack_in(ack_in), + .al(al), + .\cr_reg[0] (\cr_reg_n_0_[0] ), + .\cr_reg[0]_0 (\wb_dat_o[0]_i_3_n_0 ), + .\cr_reg[1] (\wb_dat_o[1]_i_3_n_0 ), + .\cr_reg[2] (\cr_reg_n_0_[2] ), + .\cr_reg[5] (\wb_dat_o[5]_i_3_n_0 ), + .\cr_reg[7] ({start,stop,read,write}), + .\cr_reg[7]_0 (\wb_dat_o[7]_i_3_n_0 ), + .\ctr_reg[7] ({Q,ien,\ctr_reg_n_0_[5] ,\ctr_reg_n_0_[4] ,\ctr_reg_n_0_[3] ,\ctr_reg_n_0_[2] ,\ctr_reg_n_0_[1] ,\ctr_reg_n_0_[0] }), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg(wb_ack_i), + .iack_o_reg_0(iack_o_reg_0), + .irq_flag(irq_flag), + .irq_flag1_out(irq_flag1_out), + .iscl_oen_reg(wb_rst_o), + .rxack_0(rxack_0), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .\st_irq_block.al_reg (\st_irq_block.al_reg_n_0 ), + .\txr_reg[7] (txr), + .wb_adr_o(wb_adr_o), + .wb_we_o(wb_we_o)); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[0] ), + .O(\cr[0]_i_1_n_0 )); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[1] ), + .O(\cr[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[2] ), + .O(\cr[2]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT4 #( + .INIT(16'hC808)) + \cr[3]_i_1 + (.I0(s00_axi_wdata[3]), + .I1(s00_axi_aresetn), + .I2(iack_o_reg_0), + .I3(ack_in), + .O(\cr[3]_i_1_n_0 )); + FDCE \cr_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[0]_i_1_n_0 ), + .Q(\cr_reg_n_0_[0] )); + FDCE \cr_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[1]_i_1_n_0 ), + .Q(\cr_reg_n_0_[1] )); + FDCE \cr_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[2]_i_1_n_0 ), + .Q(\cr_reg_n_0_[2] )); + FDCE \cr_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[3]_i_1_n_0 ), + .Q(ack_in)); + FDCE \cr_reg[4] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[0]), + .Q(write)); + FDCE \cr_reg[5] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[1]), + .Q(read)); + FDCE \cr_reg[6] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[2]), + .Q(stop)); + FDCE \cr_reg[7] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[3]), + .Q(start)); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h8)) + \ctr[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .O(ctr[0])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h8)) + \ctr[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .O(ctr[1])); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT2 #( + .INIT(4'h8)) + \ctr[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .O(ctr[2])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h8)) + \ctr[3]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[3]), + .O(ctr[3])); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT2 #( + .INIT(4'h8)) + \ctr[4]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[4]), + .O(ctr[4])); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT2 #( + .INIT(4'h8)) + \ctr[5]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[5]), + .O(ctr[5])); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT2 #( + .INIT(4'h8)) + \ctr[6]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[6]), + .O(ctr[6])); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT2 #( + .INIT(4'h8)) + \ctr[7]_i_2 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[7]), + .O(ctr[7])); + FDCE \ctr_reg[0] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[0]), + .Q(\ctr_reg_n_0_[0] )); + FDCE \ctr_reg[1] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[1]), + .Q(\ctr_reg_n_0_[1] )); + FDCE \ctr_reg[2] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[2]), + .Q(\ctr_reg_n_0_[2] )); + FDCE \ctr_reg[3] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[3]), + .Q(\ctr_reg_n_0_[3] )); + FDCE \ctr_reg[4] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[4]), + .Q(\ctr_reg_n_0_[4] )); + FDCE \ctr_reg[5] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[5]), + .Q(\ctr_reg_n_0_[5] )); + FDCE \ctr_reg[6] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[6]), + .Q(ien)); + FDCE \ctr_reg[7] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[7]), + .Q(Q)); + FDRE iack_o_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_stb_r_reg_0), + .Q(wb_ack_i), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT2 #( + .INIT(4'hB)) + \prer[10]_i_1 + (.I0(s00_axi_wdata[2]), + .I1(s00_axi_aresetn), + .O(\prer[10]_i_1_n_0 )); + LUT2 #( + .INIT(4'hB)) + \prer[11]_i_1 + (.I0(s00_axi_wdata[3]), + .I1(s00_axi_aresetn), + .O(\prer[11]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT2 #( + .INIT(4'hB)) + \prer[12]_i_1 + (.I0(s00_axi_wdata[4]), + .I1(s00_axi_aresetn), + .O(\prer[12]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT2 #( + .INIT(4'hB)) + \prer[13]_i_1 + (.I0(s00_axi_wdata[5]), + .I1(s00_axi_aresetn), + .O(\prer[13]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT2 #( + .INIT(4'hB)) + \prer[14]_i_1 + (.I0(s00_axi_wdata[6]), + .I1(s00_axi_aresetn), + .O(\prer[14]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT2 #( + .INIT(4'hB)) + \prer[15]_i_2 + (.I0(s00_axi_wdata[7]), + .I1(s00_axi_aresetn), + .O(\prer[15]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'hB)) + \prer[8]_i_1 + (.I0(s00_axi_wdata[0]), + .I1(s00_axi_aresetn), + .O(\prer[8]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'hB)) + \prer[9]_i_1 + (.I0(s00_axi_wdata[1]), + .I1(s00_axi_aresetn), + .O(\prer[9]_i_1_n_0 )); + FDPE \prer_reg[0] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[8]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(\prer_reg_n_0_[0] )); + FDPE \prer_reg[10] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[10]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[8])); + FDPE \prer_reg[11] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[11]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[9])); + FDPE \prer_reg[12] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[12]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[10])); + FDPE \prer_reg[13] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[13]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[11])); + FDPE \prer_reg[14] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[14]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[12])); + FDPE \prer_reg[15] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[15]_i_2_n_0 ), + .PRE(wb_rst_o), + .Q(data0[13])); + FDPE \prer_reg[1] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[9]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(\prer_reg_n_0_[1] )); + FDPE \prer_reg[2] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[10]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[0])); + FDPE \prer_reg[3] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[11]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[1])); + FDPE \prer_reg[4] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[12]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[2])); + FDPE \prer_reg[5] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[13]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[3])); + FDPE \prer_reg[6] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[14]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[4])); + FDPE \prer_reg[7] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[15]_i_2_n_0 ), + .PRE(wb_rst_o), + .Q(data0[5])); + FDPE \prer_reg[8] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[8]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[6])); + FDPE \prer_reg[9] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[9]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[7])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \s_rdata[7]_i_1 + (.I0(wb_ack_i), + .I1(wb_we_o), + .O(\s_rdata_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT4 #( + .INIT(16'hEFEE)) + s_stb_r_i_1 + (.I0(s00_axi_awvalid), + .I1(s00_axi_arvalid), + .I2(wb_ack_i), + .I3(wb_cyc_o), + .O(s_stb_r_reg)); + FDCE \st_irq_block.al_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(al), + .Q(\st_irq_block.al_reg_n_0 )); + FDCE \st_irq_block.irq_flag_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(irq_flag1_out), + .Q(irq_flag)); + FDCE \st_irq_block.rxack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(rxack_0), + .Q(rxack)); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'hA8)) + \st_irq_block.tip_i_1 + (.I0(s00_axi_aresetn), + .I1(write), + .I2(read), + .O(tip_1)); + FDCE \st_irq_block.tip_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(tip_1), + .Q(tip)); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'h80)) + \st_irq_block.wb_inta_o_i_1 + (.I0(irq_flag), + .I1(s00_axi_aresetn), + .I2(ien), + .O(\st_irq_block.wb_inta_o_i_1_n_0 )); + FDCE \st_irq_block.wb_inta_o_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\st_irq_block.wb_inta_o_i_1_n_0 ), + .Q(axi_int_o)); + FDCE \txr_reg[0] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[0]), + .Q(txr[0])); + FDCE \txr_reg[1] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[1]), + .Q(txr[1])); + FDCE \txr_reg[2] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[2]), + .Q(txr[2])); + FDCE \txr_reg[3] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[3]), + .Q(txr[3])); + FDCE \txr_reg[4] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[4]), + .Q(txr[4])); + FDCE \txr_reg[5] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[5]), + .Q(txr[5])); + FDCE \txr_reg[6] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[6]), + .Q(txr[6])); + FDCE \txr_reg[7] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[7]), + .Q(txr[7])); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[0]_i_3 + (.I0(\cr_reg_n_0_[0] ), + .I1(wb_adr_o[1]), + .I2(txr[0]), + .I3(wb_adr_o[0]), + .I4(irq_flag), + .O(\wb_dat_o[0]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[1]_i_3 + (.I0(\cr_reg_n_0_[1] ), + .I1(wb_adr_o[1]), + .I2(txr[1]), + .I3(wb_adr_o[0]), + .I4(tip), + .O(\wb_dat_o[1]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[5]_i_3 + (.I0(read), + .I1(wb_adr_o[1]), + .I2(txr[5]), + .I3(wb_adr_o[0]), + .I4(\st_irq_block.al_reg_n_0 ), + .O(\wb_dat_o[5]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[7]_i_3 + (.I0(start), + .I1(wb_adr_o[1]), + .I2(txr[7]), + .I3(wb_adr_o[0]), + .I4(rxack), + .O(\wb_dat_o[7]_i_3_n_0 )); + FDRE \wb_dat_o_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[0]), + .Q(\s_rdata_reg[7] [0]), + .R(1'b0)); + FDRE \wb_dat_o_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[1]), + .Q(\s_rdata_reg[7] [1]), + .R(1'b0)); + FDRE \wb_dat_o_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[2]), + .Q(\s_rdata_reg[7] [2]), + .R(1'b0)); + FDRE \wb_dat_o_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[3]), + .Q(\s_rdata_reg[7] [3]), + .R(1'b0)); + FDRE \wb_dat_o_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[4]), + .Q(\s_rdata_reg[7] [4]), + .R(1'b0)); + FDRE \wb_dat_o_reg[5] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[5]), + .Q(\s_rdata_reg[7] [5]), + .R(1'b0)); + FDRE \wb_dat_o_reg[6] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[6]), + .Q(\s_rdata_reg[7] [6]), + .R(1'b0)); + FDRE \wb_dat_o_reg[7] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[7]), + .Q(\s_rdata_reg[7] [7]), + .R(1'b0)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl new file mode 100644 index 00000000..590c6ec2 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl @@ -0,0 +1,4862 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:24:48 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode funcsim +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl +-- Design : system_design_axi_wb_i2c_master_1_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge is + port ( + s00_axi_awready : out STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + wb_we_o : out STD_LOGIC; + wb_cyc_o : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_bvalid : out STD_LOGIC; + \cr_reg[2]\ : out STD_LOGIC; + wb_adr_o : out STD_LOGIC_VECTOR ( 2 downto 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + D : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \cr_reg[4]\ : out STD_LOGIC; + \prer_reg[8]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \ctr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_rvalid : out STD_LOGIC; + iack_o_reg : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); + wb_rst_o : in STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_aresetn : in STD_LOGIC; + wb_ack_i : in STD_LOGIC; + s00_axi_awvalid : in STD_LOGIC; + s00_axi_arvalid : in STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_rready : in STD_LOGIC; + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + iack_o_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); + \wb_dat_o_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge : entity is "axis_wbm_bridge"; +end system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge is + signal \cr[2]_i_3_n_0\ : STD_LOGIC; + signal \^s00_axi_arready\ : STD_LOGIC; + signal \^s00_axi_awready\ : STD_LOGIC; + signal \^s00_axi_bresp\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s00_axi_wready\ : STD_LOGIC; + signal \s_addr[2]_i_1_n_0\ : STD_LOGIC; + signal \s_addr[3]_i_1_n_0\ : STD_LOGIC; + signal \s_addr[4]_i_1_n_0\ : STD_LOGIC; + signal s_arready_i_1_n_0 : STD_LOGIC; + signal s_awready_i_1_n_0 : STD_LOGIC; + signal \s_bresp[1]_i_1_n_0\ : STD_LOGIC; + signal s_bvalid : STD_LOGIC; + signal s_bvalid_i_1_n_0 : STD_LOGIC; + signal s_rvalid : STD_LOGIC; + signal s_rvalid_i_1_n_0 : STD_LOGIC; + signal s_we_r_i_1_n_0 : STD_LOGIC; + signal s_wready_i_1_n_0 : STD_LOGIC; + signal \^wb_adr_o\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^wb_cyc_o\ : STD_LOGIC; + signal \^wb_we_o\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \cr[2]_i_3\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \cr[4]_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \cr[5]_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \cr[6]_i_1\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \cr[7]_i_2\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \ctr[7]_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of iack_o_i_1 : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \prer[15]_i_1\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of s00_axi_bvalid_INST_0 : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of s00_axi_rvalid_INST_0 : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of s_awready_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \s_bresp[1]_i_1\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of s_rvalid_i_1 : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of s_we_r_i_1 : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of s_wready_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \txr[7]_i_1\ : label is "soft_lutpair0"; +begin + s00_axi_arready <= \^s00_axi_arready\; + s00_axi_awready <= \^s00_axi_awready\; + s00_axi_bresp(0) <= \^s00_axi_bresp\(0); + s00_axi_wready <= \^s00_axi_wready\; + wb_adr_o(2 downto 0) <= \^wb_adr_o\(2 downto 0); + wb_cyc_o <= \^wb_cyc_o\; + wb_we_o <= \^wb_we_o\; +\cr[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFF0008FFFFFFFF" + ) + port map ( + I0 => \^wb_adr_o\(2), + I1 => Q(0), + I2 => \^wb_adr_o\(1), + I3 => \^wb_adr_o\(0), + I4 => \cr[2]_i_3_n_0\, + I5 => s00_axi_aresetn, + O => \cr_reg[2]\ + ); +\cr[2]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"7" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + O => \cr[2]_i_3_n_0\ + ); +\cr[4]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(0) + ); +\cr[5]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(1) + ); +\cr[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(2) + ); +\cr[7]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(3), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(3) + ); +\cr[7]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFF7FFF" + ) + port map ( + I0 => wb_ack_i, + I1 => \^wb_we_o\, + I2 => \^wb_adr_o\(2), + I3 => Q(0), + I4 => \^wb_adr_o\(1), + I5 => \^wb_adr_o\(0), + O => \cr_reg[4]\ + ); +\ctr[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0080FFFF" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => \^wb_adr_o\(1), + I3 => \^wb_adr_o\(0), + I4 => s00_axi_aresetn, + O => \ctr_reg[0]\(0) + ); +iack_o_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^wb_cyc_o\, + I1 => wb_ack_i, + O => iack_o_reg + ); +\prer[15]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"75555555" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_adr_o\(1), + I2 => wb_ack_i, + I3 => \^wb_we_o\, + I4 => \^wb_adr_o\(0), + O => \prer_reg[8]\(1) + ); +\prer[7]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"5555555557555555" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_adr_o\(1), + I2 => \^wb_adr_o\(2), + I3 => wb_ack_i, + I4 => \^wb_we_o\, + I5 => \^wb_adr_o\(0), + O => \prer_reg[8]\(0) + ); +s00_axi_bvalid_INST_0: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s_bvalid, + I1 => \^wb_we_o\, + O => s00_axi_bvalid + ); +s00_axi_rvalid_INST_0: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_rvalid, + I1 => \^wb_we_o\, + O => s00_axi_rvalid + ); +\s_addr[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(0), + I1 => s00_axi_awaddr(0), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(0), + O => \s_addr[2]_i_1_n_0\ + ); +\s_addr[3]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(1), + I1 => s00_axi_awaddr(1), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(1), + O => \s_addr[3]_i_1_n_0\ + ); +\s_addr[4]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(2), + I1 => s00_axi_awaddr(2), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(2), + O => \s_addr[4]_i_1_n_0\ + ); +\s_addr_reg[2]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[2]_i_1_n_0\, + Q => \^wb_adr_o\(0), + R => wb_rst_o + ); +\s_addr_reg[3]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[3]_i_1_n_0\, + Q => \^wb_adr_o\(1), + R => wb_rst_o + ); +\s_addr_reg[4]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[4]_i_1_n_0\, + Q => \^wb_adr_o\(2), + R => wb_rst_o + ); +s_arready_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s00_axi_arvalid, + I1 => \^s00_axi_arready\, + O => s_arready_i_1_n_0 + ); +s_arready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_arready_i_1_n_0, + Q => \^s00_axi_arready\, + R => wb_rst_o + ); +s_awready_i_1: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => s00_axi_wvalid, + I1 => s00_axi_awvalid, + I2 => \^s00_axi_awready\, + O => s_awready_i_1_n_0 + ); +s_awready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_awready_i_1_n_0, + Q => \^s00_axi_awready\, + R => wb_rst_o + ); +\s_bresp[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF7F0000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_we_o\, + I2 => wb_ack_i, + I3 => s_bvalid, + I4 => \^s00_axi_bresp\(0), + O => \s_bresp[1]_i_1_n_0\ + ); +\s_bresp_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_bresp[1]_i_1_n_0\, + Q => \^s00_axi_bresp\(0), + R => '0' + ); +s_bvalid_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"0F88" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => s00_axi_bready, + I3 => s_bvalid, + O => s_bvalid_i_1_n_0 + ); +s_bvalid_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_bvalid_i_1_n_0, + Q => s_bvalid, + R => wb_rst_o + ); +\s_rdata_reg[0]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(0), + Q => s00_axi_rdata(0), + R => wb_rst_o + ); +\s_rdata_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(1), + Q => s00_axi_rdata(1), + R => wb_rst_o + ); +\s_rdata_reg[2]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(2), + Q => s00_axi_rdata(2), + R => wb_rst_o + ); +\s_rdata_reg[3]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(3), + Q => s00_axi_rdata(3), + R => wb_rst_o + ); +\s_rdata_reg[4]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(4), + Q => s00_axi_rdata(4), + R => wb_rst_o + ); +\s_rdata_reg[5]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(5), + Q => s00_axi_rdata(5), + R => wb_rst_o + ); +\s_rdata_reg[6]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(6), + Q => s00_axi_rdata(6), + R => wb_rst_o + ); +\s_rdata_reg[7]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(7), + Q => s00_axi_rdata(7), + R => wb_rst_o + ); +s_rvalid_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"4F44" + ) + port map ( + I0 => s00_axi_rready, + I1 => s_rvalid, + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => s_rvalid_i_1_n_0 + ); +s_rvalid_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_rvalid_i_1_n_0, + Q => s_rvalid, + R => wb_rst_o + ); +s_stb_r_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => iack_o_reg_0, + Q => \^wb_cyc_o\, + R => wb_rst_o + ); +s_we_r_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"00E0" + ) + port map ( + I0 => \^wb_we_o\, + I1 => s00_axi_awvalid, + I2 => s00_axi_aresetn, + I3 => s00_axi_arvalid, + O => s_we_r_i_1_n_0 + ); +s_we_r_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_we_r_i_1_n_0, + Q => \^wb_we_o\, + R => '0' + ); +s_wready_i_1: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => s00_axi_wvalid, + I1 => s00_axi_awvalid, + I2 => \^s00_axi_wready\, + O => s_wready_i_1_n_0 + ); +s_wready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_wready_i_1_n_0, + Q => \^s00_axi_wready\, + R => wb_rst_o + ); +\txr[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"8000FFFF" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => \^wb_adr_o\(0), + I3 => \^wb_adr_o\(1), + I4 => s00_axi_aresetn, + O => E(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl is + port ( + iscl_oen_reg_0 : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + irq_flag1_out : out STD_LOGIC; + al : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 0 to 0 ); + \statemachine.core_cmd_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \statemachine.ld_reg\ : out STD_LOGIC; + \statemachine.core_txd_reg\ : out STD_LOGIC; + \statemachine.shift_reg\ : out STD_LOGIC; + \statemachine.host_ack_reg\ : out STD_LOGIC; + \statemachine.ack_out_reg\ : out STD_LOGIC; + \cr_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \sr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \FSM_sequential_statemachine.c_state_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \cr_reg[0]\ : in STD_LOGIC; + cmd_ack : in STD_LOGIC; + irq_flag : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \ctr_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + \statemachine.core_cmd_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \st_irq_block.al_reg\ : in STD_LOGIC; + \cr_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \sr_reg[6]\ : in STD_LOGIC; + \txr_reg[6]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \FSM_sequential_statemachine.c_state_reg[1]\ : in STD_LOGIC; + core_cmd : in STD_LOGIC_VECTOR ( 0 to 0 ); + \FSM_sequential_statemachine.c_state_reg[1]_0\ : in STD_LOGIC; + cnt_done : in STD_LOGIC; + ack_out : in STD_LOGIC; + iack_o_reg : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + \statemachine.ld_reg_0\ : in STD_LOGIC; + \FSM_sequential_statemachine.c_state_reg[1]_1\ : in STD_LOGIC; + \FSM_sequential_statemachine.c_state_reg[1]_2\ : in STD_LOGIC; + ack_in : in STD_LOGIC; + \sr_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \cr_reg[7]_0\ : in STD_LOGIC; + \statemachine.core_txd_reg_0\ : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl : entity is "i2c_master_bit_ctrl"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl is + signal \FSM_sequential_c_state[0]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[0]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[2]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[2]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[2]_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSCL[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSCL[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA_reg_n_0_[1]\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_reg_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dSCL_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dSDA_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dout_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL_reg_n_0_[2]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[2]_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[0]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[1]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[2]\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[10]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[11]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[12]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_4_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[3]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[4]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[5]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[7]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[8]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[9]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.ial_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.ial_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sSCL_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sSDA_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sta_condition_reg_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sto_condition_reg_n_0\ : STD_LOGIC; + signal c_state : STD_LOGIC_VECTOR ( 4 downto 0 ); + attribute RTL_KEEP : string; + attribute RTL_KEEP of c_state : signal is "yes"; + signal clk_en : STD_LOGIC; + signal clk_en_i_2_n_0 : STD_LOGIC; + signal clk_en_i_3_n_0 : STD_LOGIC; + signal clk_en_i_4_n_0 : STD_LOGIC; + signal clk_en_i_5_n_0 : STD_LOGIC; + signal clk_en_i_6_n_0 : STD_LOGIC; + signal cmd_ack3_out : STD_LOGIC; + signal cmd_ack_i_2_n_0 : STD_LOGIC; + signal cnt1 : STD_LOGIC; + signal \cnt[0]_i_10_n_0\ : STD_LOGIC; + signal \cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \cnt[0]_i_3_n_0\ : STD_LOGIC; + signal \cnt[0]_i_4_n_0\ : STD_LOGIC; + signal \cnt[0]_i_5_n_0\ : STD_LOGIC; + signal \cnt[0]_i_6_n_0\ : STD_LOGIC; + signal \cnt[0]_i_7_n_0\ : STD_LOGIC; + signal \cnt[0]_i_8_n_0\ : STD_LOGIC; + signal \cnt[0]_i_9_n_0\ : STD_LOGIC; + signal \cnt[12]_i_2_n_0\ : STD_LOGIC; + signal \cnt[12]_i_3_n_0\ : STD_LOGIC; + signal \cnt[12]_i_4_n_0\ : STD_LOGIC; + signal \cnt[12]_i_5_n_0\ : STD_LOGIC; + signal \cnt[12]_i_6_n_0\ : STD_LOGIC; + signal \cnt[12]_i_7_n_0\ : STD_LOGIC; + signal \cnt[12]_i_8_n_0\ : STD_LOGIC; + signal \cnt[4]_i_2_n_0\ : STD_LOGIC; + signal \cnt[4]_i_3_n_0\ : STD_LOGIC; + signal \cnt[4]_i_4_n_0\ : STD_LOGIC; + signal \cnt[4]_i_5_n_0\ : STD_LOGIC; + signal \cnt[4]_i_6_n_0\ : STD_LOGIC; + signal \cnt[4]_i_7_n_0\ : STD_LOGIC; + signal \cnt[4]_i_8_n_0\ : STD_LOGIC; + signal \cnt[4]_i_9_n_0\ : STD_LOGIC; + signal \cnt[8]_i_2_n_0\ : STD_LOGIC; + signal \cnt[8]_i_3_n_0\ : STD_LOGIC; + signal \cnt[8]_i_4_n_0\ : STD_LOGIC; + signal \cnt[8]_i_5_n_0\ : STD_LOGIC; + signal \cnt[8]_i_6_n_0\ : STD_LOGIC; + signal \cnt[8]_i_7_n_0\ : STD_LOGIC; + signal \cnt[8]_i_8_n_0\ : STD_LOGIC; + signal \cnt[8]_i_9_n_0\ : STD_LOGIC; + signal cnt_reg : STD_LOGIC_VECTOR ( 15 downto 0 ); + signal \cnt_reg[0]_i_2_n_0\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_1\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_2\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_3\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_4\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_5\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_6\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_7\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_7\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_0\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_7\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_0\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_7\ : STD_LOGIC; + signal core_ack : STD_LOGIC; + signal core_rxd : STD_LOGIC; + signal core_txd : STD_LOGIC; + signal dSCL : STD_LOGIC; + signal dSDA : STD_LOGIC; + signal dscl_oen : STD_LOGIC; + signal filter_cnt : STD_LOGIC_VECTOR ( 13 downto 0 ); + signal i2c_al : STD_LOGIC; + signal i2c_busy : STD_LOGIC; + signal \^i2c_scl_t\ : STD_LOGIC; + signal \^i2c_sda_t\ : STD_LOGIC; + signal ial : STD_LOGIC; + signal ibusy : STD_LOGIC; + signal iscl_oen : STD_LOGIC; + signal \iscl_oen9_out__0\ : STD_LOGIC; + signal iscl_oen_i_1_n_0 : STD_LOGIC; + signal \^iscl_oen_reg_0\ : STD_LOGIC; + signal isda_oen : STD_LOGIC; + signal \isda_oen7_out__0\ : STD_LOGIC; + signal isda_oen_i_1_n_0 : STD_LOGIC; + signal \minusOp_carry__0_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_2_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_3_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_4_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_1\ : STD_LOGIC; + signal \minusOp_carry__0_n_2\ : STD_LOGIC; + signal \minusOp_carry__0_n_3\ : STD_LOGIC; + signal \minusOp_carry__0_n_4\ : STD_LOGIC; + signal \minusOp_carry__0_n_5\ : STD_LOGIC; + signal \minusOp_carry__0_n_6\ : STD_LOGIC; + signal \minusOp_carry__0_n_7\ : STD_LOGIC; + signal \minusOp_carry__1_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_2_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_3_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_4_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_1\ : STD_LOGIC; + signal \minusOp_carry__1_n_2\ : STD_LOGIC; + signal \minusOp_carry__1_n_3\ : STD_LOGIC; + signal \minusOp_carry__1_n_4\ : STD_LOGIC; + signal \minusOp_carry__1_n_5\ : STD_LOGIC; + signal \minusOp_carry__1_n_6\ : STD_LOGIC; + signal \minusOp_carry__1_n_7\ : STD_LOGIC; + signal \minusOp_carry__2_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__2_n_7\ : STD_LOGIC; + signal minusOp_carry_i_1_n_0 : STD_LOGIC; + signal minusOp_carry_i_2_n_0 : STD_LOGIC; + signal minusOp_carry_i_3_n_0 : STD_LOGIC; + signal minusOp_carry_i_4_n_0 : STD_LOGIC; + signal minusOp_carry_n_0 : STD_LOGIC; + signal minusOp_carry_n_1 : STD_LOGIC; + signal minusOp_carry_n_2 : STD_LOGIC; + signal minusOp_carry_n_3 : STD_LOGIC; + signal minusOp_carry_n_4 : STD_LOGIC; + signal minusOp_carry_n_5 : STD_LOGIC; + signal minusOp_carry_n_6 : STD_LOGIC; + signal minusOp_carry_n_7 : STD_LOGIC; + signal p_0_in : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \p_0_in__1\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal sSCL : STD_LOGIC; + signal sSDA : STD_LOGIC; + signal sda_chk_i_1_n_0 : STD_LOGIC; + signal sda_chk_reg_n_0 : STD_LOGIC; + signal slave_wait : STD_LOGIC; + signal slave_wait0 : STD_LOGIC; + signal sta_condition : STD_LOGIC; + signal \statemachine.ack_out_i_2_n_0\ : STD_LOGIC; + signal sto_condition : STD_LOGIC; + signal \wb_dat_o[6]_i_3_n_0\ : STD_LOGIC; + signal \NLW_cnt_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_minusOp_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_minusOp_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \FSM_sequential_c_state[4]_i_3\ : label is "soft_lutpair9"; + attribute KEEP : string; + attribute KEEP of \FSM_sequential_c_state_reg[0]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[1]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[2]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[3]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[4]\ : label is "yes"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSCL[0]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSCL[1]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSDA[0]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSDA[1]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \bus_status_ctrl.dSCL_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \bus_status_ctrl.dSDA_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[0]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[1]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[2]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[0]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[1]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[2]_i_2\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \bus_status_ctrl.ibusy_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sSCL_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sta_condition_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sto_condition_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of clk_en_i_2 : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sr[0]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \st_irq_block.al_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \st_irq_block.irq_flag_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \statemachine.core_cmd[2]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \statemachine.core_cmd[3]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \statemachine.core_txd_i_1\ : label is "soft_lutpair14"; +begin + i2c_scl_t <= \^i2c_scl_t\; + i2c_sda_t <= \^i2c_sda_t\; + iscl_oen_reg_0 <= \^iscl_oen_reg_0\; +\FSM_sequential_c_state[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"1111111111111110" + ) + port map ( + I0 => \FSM_sequential_c_state[4]_i_3_n_0\, + I1 => c_state(0), + I2 => c_state(2), + I3 => c_state(3), + I4 => \FSM_sequential_c_state[0]_i_2_n_0\, + I5 => c_state(4), + O => \FSM_sequential_c_state[0]_i_1_n_0\ + ); +\FSM_sequential_c_state[0]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAABA" + ) + port map ( + I0 => c_state(1), + I1 => \statemachine.core_cmd_reg[3]_0\(1), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => \statemachine.core_cmd_reg[3]_0\(3), + I4 => \statemachine.core_cmd_reg[3]_0\(2), + O => \FSM_sequential_c_state[0]_i_2_n_0\ + ); +\FSM_sequential_c_state[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0400" + ) + port map ( + I0 => i2c_al, + I1 => s00_axi_aresetn, + I2 => c_state(4), + I3 => \FSM_sequential_c_state[1]_i_2_n_0\, + O => \FSM_sequential_c_state[1]_i_1_n_0\ + ); +\FSM_sequential_c_state[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"EEEFEFFE44444444" + ) + port map ( + I0 => c_state(0), + I1 => c_state(1), + I2 => \statemachine.core_cmd_reg[3]_0\(1), + I3 => \statemachine.core_cmd_reg[3]_0\(2), + I4 => \statemachine.core_cmd_reg[3]_0\(3), + I5 => \FSM_sequential_c_state[1]_i_3_n_0\, + O => \FSM_sequential_c_state[1]_i_2_n_0\ + ); +\FSM_sequential_c_state[1]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00001101" + ) + port map ( + I0 => c_state(2), + I1 => c_state(1), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => c_state(0), + I4 => c_state(3), + O => \FSM_sequential_c_state[1]_i_3_n_0\ + ); +\FSM_sequential_c_state[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0002A0A2AAAA0002" + ) + port map ( + I0 => \FSM_sequential_c_state[3]_i_2_n_0\, + I1 => c_state(3), + I2 => c_state(1), + I3 => \FSM_sequential_c_state[2]_i_2_n_0\, + I4 => c_state(2), + I5 => c_state(0), + O => \FSM_sequential_c_state[2]_i_1_n_0\ + ); +\FSM_sequential_c_state[2]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFEEF" + ) + port map ( + I0 => c_state(0), + I1 => \statemachine.core_cmd_reg[3]_0\(3), + I2 => \statemachine.core_cmd_reg[3]_0\(1), + I3 => \statemachine.core_cmd_reg[3]_0\(2), + I4 => \statemachine.core_cmd_reg[3]_0\(0), + O => \FSM_sequential_c_state[2]_i_2_n_0\ + ); +\FSM_sequential_c_state[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0AA8A0A800A800A8" + ) + port map ( + I0 => \FSM_sequential_c_state[3]_i_2_n_0\, + I1 => \FSM_sequential_c_state[3]_i_3_n_0\, + I2 => c_state(3), + I3 => c_state(0), + I4 => c_state(2), + I5 => c_state(1), + O => \FSM_sequential_c_state[3]_i_1_n_0\ + ); +\FSM_sequential_c_state[3]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"04" + ) + port map ( + I0 => c_state(4), + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \FSM_sequential_c_state[3]_i_2_n_0\ + ); +\FSM_sequential_c_state[3]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000006" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(3), + I1 => \statemachine.core_cmd_reg[3]_0\(2), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => \statemachine.core_cmd_reg[3]_0\(1), + I4 => c_state(1), + I5 => c_state(2), + O => \FSM_sequential_c_state[3]_i_3_n_0\ + ); +\FSM_sequential_c_state[4]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BBBBBBBFAAAAAAAA" + ) + port map ( + I0 => \FSM_sequential_c_state[4]_i_3_n_0\, + I1 => c_state(4), + I2 => c_state(3), + I3 => c_state(1), + I4 => c_state(2), + I5 => clk_en, + O => \FSM_sequential_c_state[4]_i_1_n_0\ + ); +\FSM_sequential_c_state[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000080FF8000" + ) + port map ( + I0 => c_state(3), + I1 => c_state(1), + I2 => c_state(2), + I3 => c_state(0), + I4 => c_state(4), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_c_state[4]_i_2_n_0\ + ); +\FSM_sequential_c_state[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => i2c_al, + I1 => s00_axi_aresetn, + O => \FSM_sequential_c_state[4]_i_3_n_0\ + ); +\FSM_sequential_c_state_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[0]_i_1_n_0\, + Q => c_state(0) + ); +\FSM_sequential_c_state_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[1]_i_1_n_0\, + Q => c_state(1) + ); +\FSM_sequential_c_state_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[2]_i_1_n_0\, + Q => c_state(2) + ); +\FSM_sequential_c_state_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[3]_i_1_n_0\, + Q => c_state(3) + ); +\FSM_sequential_c_state_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[4]_i_2_n_0\, + Q => c_state(4) + ); +\FSM_sequential_statemachine.c_state[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_1\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_statemachine.c_state_reg[2]\(0) + ); +\FSM_sequential_statemachine.c_state[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000015100000" + ) + port map ( + I0 => \out\(2), + I1 => cnt_done, + I2 => \out\(1), + I3 => \cr_reg[7]_0\, + I4 => s00_axi_aresetn, + I5 => i2c_al, + O => \FSM_sequential_statemachine.c_state_reg[2]\(1) + ); +\FSM_sequential_statemachine.c_state[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DDFFDDDDFFFDDDFD" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\, + I3 => \out\(1), + I4 => core_ack, + I5 => \out\(2), + O => E(0) + ); +\FSM_sequential_statemachine.c_state[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_2\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_statemachine.c_state_reg[2]\(2) + ); +\FSM_sequential_statemachine.c_state[2]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8B8B8B8B8B8B8B88" + ) + port map ( + I0 => core_ack, + I1 => \out\(0), + I2 => cmd_ack, + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + I5 => \cr_reg[7]\(2), + O => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\ + ); +\bus_status_ctrl.cSCL[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_scl_i, + O => \bus_status_ctrl.cSCL[0]_i_1_n_0\ + ); +\bus_status_ctrl.cSCL[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \p_0_in__0\(1), + O => \bus_status_ctrl.cSCL[1]_i_1_n_0\ + ); +\bus_status_ctrl.cSCL_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSCL[0]_i_1_n_0\, + Q => \p_0_in__0\(1) + ); +\bus_status_ctrl.cSCL_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSCL[1]_i_1_n_0\, + Q => \p_0_in__1\(0) + ); +\bus_status_ctrl.cSDA[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_sda_i, + O => \bus_status_ctrl.cSDA[0]_i_1_n_0\ + ); +\bus_status_ctrl.cSDA[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => p_0_in(1), + O => \bus_status_ctrl.cSDA[1]_i_1_n_0\ + ); +\bus_status_ctrl.cSDA_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSDA[0]_i_1_n_0\, + Q => p_0_in(1) + ); +\bus_status_ctrl.cSDA_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSDA[1]_i_1_n_0\, + Q => \bus_status_ctrl.cSDA_reg_n_0_[1]\ + ); +\bus_status_ctrl.cmd_stop_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"04FF000004000000" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(0), + I1 => \statemachine.core_cmd_reg[3]_0\(1), + I2 => \bus_status_ctrl.cmd_stop_i_2_n_0\, + I3 => clk_en, + I4 => s00_axi_aresetn, + I5 => \bus_status_ctrl.cmd_stop_reg_n_0\, + O => \bus_status_ctrl.cmd_stop_i_1_n_0\ + ); +\bus_status_ctrl.cmd_stop_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(2), + I1 => \statemachine.core_cmd_reg[3]_0\(3), + O => \bus_status_ctrl.cmd_stop_i_2_n_0\ + ); +\bus_status_ctrl.cmd_stop_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cmd_stop_i_1_n_0\, + Q => \bus_status_ctrl.cmd_stop_reg_n_0\ + ); +\bus_status_ctrl.dSCL_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => sSCL, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.dSCL_i_1_n_0\ + ); +\bus_status_ctrl.dSCL_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.dSCL_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => dSCL + ); +\bus_status_ctrl.dSDA_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => sSDA, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.dSDA_i_1_n_0\ + ); +\bus_status_ctrl.dSDA_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.dSDA_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => dSDA + ); +\bus_status_ctrl.dout_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB08" + ) + port map ( + I0 => sSDA, + I1 => sSCL, + I2 => dSCL, + I3 => core_rxd, + O => \bus_status_ctrl.dout_i_1_n_0\ + ); +\bus_status_ctrl.dout_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.dout_i_1_n_0\, + Q => core_rxd + ); +\bus_status_ctrl.fSCL[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(0), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[0]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(1), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[1]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(2), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[2]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[0]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \p_0_in__1\(1) + ); +\bus_status_ctrl.fSCL_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[1]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \p_0_in__1\(2) + ); +\bus_status_ctrl.fSCL_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[2]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSCL_reg_n_0_[2]\ + ); +\bus_status_ctrl.fSDA[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.cSDA_reg_n_0_[1]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[0]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[0]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[1]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[2]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[2]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[1]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[2]_i_2_n_0\ + ); +\bus_status_ctrl.fSDA_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[0]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[0]\ + ); +\bus_status_ctrl.fSDA_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[1]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[1]\ + ); +\bus_status_ctrl.fSDA_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[2]_i_2_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[2]\ + ); +\bus_status_ctrl.filter_cnt[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"D1000000" + ) + port map ( + I0 => filter_cnt(0), + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(2), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[0]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[10]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_6\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(12), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[10]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[11]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_5\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(13), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[11]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[12]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_4\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(14), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[12]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__2_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(15), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[13]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000001" + ) + port map ( + I0 => \bus_status_ctrl.filter_cnt[13]_i_3_n_0\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_4_n_0\, + I2 => filter_cnt(6), + I3 => filter_cnt(7), + I4 => filter_cnt(4), + I5 => filter_cnt(5), + O => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFFFFFE" + ) + port map ( + I0 => filter_cnt(13), + I1 => filter_cnt(12), + I2 => filter_cnt(9), + I3 => filter_cnt(8), + I4 => filter_cnt(11), + I5 => filter_cnt(10), + O => \bus_status_ctrl.filter_cnt[13]_i_3_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => filter_cnt(2), + I1 => filter_cnt(3), + I2 => filter_cnt(0), + I3 => filter_cnt(1), + O => \bus_status_ctrl.filter_cnt[13]_i_4_n_0\ + ); +\bus_status_ctrl.filter_cnt[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_7, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(3), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[1]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_6, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(4), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[2]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[3]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_5, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(5), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[3]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[4]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_4, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(6), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[4]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[5]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(7), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[5]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[6]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_6\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(8), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[6]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_5\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(9), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[7]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[8]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_4\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(10), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[8]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[9]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(11), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[9]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[0]_i_1_n_0\, + Q => filter_cnt(0) + ); +\bus_status_ctrl.filter_cnt_reg[10]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[10]_i_1_n_0\, + Q => filter_cnt(10) + ); +\bus_status_ctrl.filter_cnt_reg[11]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[11]_i_1_n_0\, + Q => filter_cnt(11) + ); +\bus_status_ctrl.filter_cnt_reg[12]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[12]_i_1_n_0\, + Q => filter_cnt(12) + ); +\bus_status_ctrl.filter_cnt_reg[13]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[13]_i_1_n_0\, + Q => filter_cnt(13) + ); +\bus_status_ctrl.filter_cnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[1]_i_1_n_0\, + Q => filter_cnt(1) + ); +\bus_status_ctrl.filter_cnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[2]_i_1_n_0\, + Q => filter_cnt(2) + ); +\bus_status_ctrl.filter_cnt_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[3]_i_1_n_0\, + Q => filter_cnt(3) + ); +\bus_status_ctrl.filter_cnt_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[4]_i_1_n_0\, + Q => filter_cnt(4) + ); +\bus_status_ctrl.filter_cnt_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[5]_i_1_n_0\, + Q => filter_cnt(5) + ); +\bus_status_ctrl.filter_cnt_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[6]_i_1_n_0\, + Q => filter_cnt(6) + ); +\bus_status_ctrl.filter_cnt_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[7]_i_1_n_0\, + Q => filter_cnt(7) + ); +\bus_status_ctrl.filter_cnt_reg[8]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[8]_i_1_n_0\, + Q => filter_cnt(8) + ); +\bus_status_ctrl.filter_cnt_reg[9]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[9]_i_1_n_0\, + Q => filter_cnt(9) + ); +\bus_status_ctrl.ial_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"08000800AAAA0800" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => sda_chk_reg_n_0, + I2 => sSDA, + I3 => \^i2c_sda_t\, + I4 => \bus_status_ctrl.ial_i_2_n_0\, + I5 => \bus_status_ctrl.ial_i_3_n_0\, + O => ial + ); +\bus_status_ctrl.ial_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"1" + ) + port map ( + I0 => c_state(0), + I1 => c_state(4), + O => \bus_status_ctrl.ial_i_2_n_0\ + ); +\bus_status_ctrl.ial_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFFEF" + ) + port map ( + I0 => c_state(2), + I1 => c_state(3), + I2 => \bus_status_ctrl.sto_condition_reg_n_0\, + I3 => \bus_status_ctrl.cmd_stop_reg_n_0\, + I4 => c_state(1), + O => \bus_status_ctrl.ial_i_3_n_0\ + ); +\bus_status_ctrl.ial_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => ial, + Q => i2c_al + ); +\bus_status_ctrl.ibusy_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"5400" + ) + port map ( + I0 => \bus_status_ctrl.sto_condition_reg_n_0\, + I1 => \bus_status_ctrl.sta_condition_reg_n_0\, + I2 => i2c_busy, + I3 => s00_axi_aresetn, + O => ibusy + ); +\bus_status_ctrl.ibusy_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => ibusy, + Q => i2c_busy + ); +\bus_status_ctrl.sSCL_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E8FF" + ) + port map ( + I0 => \p_0_in__1\(2), + I1 => \bus_status_ctrl.fSCL_reg_n_0_[2]\, + I2 => \p_0_in__1\(1), + I3 => s00_axi_aresetn, + O => \bus_status_ctrl.sSCL_i_1_n_0\ + ); +\bus_status_ctrl.sSCL_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.sSCL_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => sSCL + ); +\bus_status_ctrl.sSDA_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E8FF" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[1]\, + I1 => \bus_status_ctrl.fSDA_reg_n_0_[2]\, + I2 => \bus_status_ctrl.fSDA_reg_n_0_[0]\, + I3 => s00_axi_aresetn, + O => \bus_status_ctrl.sSDA_i_1_n_0\ + ); +\bus_status_ctrl.sSDA_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.sSDA_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => sSDA + ); +\bus_status_ctrl.sta_condition_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"2000" + ) + port map ( + I0 => dSDA, + I1 => sSDA, + I2 => s00_axi_aresetn, + I3 => sSCL, + O => sta_condition + ); +\bus_status_ctrl.sta_condition_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => sta_condition, + Q => \bus_status_ctrl.sta_condition_reg_n_0\ + ); +\bus_status_ctrl.sto_condition_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"4000" + ) + port map ( + I0 => dSDA, + I1 => s00_axi_aresetn, + I2 => sSCL, + I3 => sSDA, + O => sto_condition + ); +\bus_status_ctrl.sto_condition_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => sto_condition, + Q => \bus_status_ctrl.sto_condition_reg_n_0\ + ); +clk_en_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAAB" + ) + port map ( + I0 => clk_en_i_2_n_0, + I1 => clk_en_i_3_n_0, + I2 => clk_en_i_4_n_0, + I3 => clk_en_i_5_n_0, + I4 => clk_en_i_6_n_0, + O => cnt1 + ); +clk_en_i_2: unisim.vcomponents.LUT5 + generic map( + INIT => X"7555FFFF" + ) + port map ( + I0 => \ctr_reg[7]\(0), + I1 => sSCL, + I2 => \^i2c_scl_t\, + I3 => dSCL, + I4 => s00_axi_aresetn, + O => clk_en_i_2_n_0 + ); +clk_en_i_3: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(6), + I1 => cnt_reg(7), + I2 => cnt_reg(4), + I3 => cnt_reg(5), + O => clk_en_i_3_n_0 + ); +clk_en_i_4: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(2), + I1 => cnt_reg(3), + I2 => cnt_reg(0), + I3 => cnt_reg(1), + O => clk_en_i_4_n_0 + ); +clk_en_i_5: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(15), + I1 => cnt_reg(14), + I2 => cnt_reg(12), + I3 => cnt_reg(13), + O => clk_en_i_5_n_0 + ); +clk_en_i_6: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(10), + I1 => cnt_reg(11), + I2 => cnt_reg(8), + I3 => cnt_reg(9), + O => clk_en_i_6_n_0 + ); +clk_en_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => cnt1, + PRE => \^iscl_oen_reg_0\, + Q => clk_en + ); +cmd_ack_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0008000000000000" + ) + port map ( + I0 => cmd_ack_i_2_n_0, + I1 => c_state(0), + I2 => c_state(1), + I3 => i2c_al, + I4 => s00_axi_aresetn, + I5 => clk_en, + O => cmd_ack3_out + ); +cmd_ack_i_2: unisim.vcomponents.LUT3 + generic map( + INIT => X"1E" + ) + port map ( + I0 => c_state(2), + I1 => c_state(3), + I2 => c_state(4), + O => cmd_ack_i_2_n_0 + ); +cmd_ack_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => cmd_ack3_out, + Q => core_ack + ); +\cnt[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => cnt1, + I1 => slave_wait, + O => \cnt[0]_i_1_n_0\ + ); +\cnt[0]_i_10\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(0), + I1 => Q(0), + I2 => cnt1, + O => \cnt[0]_i_10_n_0\ + ); +\cnt[0]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(3), + I1 => cnt1, + I2 => cnt_reg(3), + O => \cnt[0]_i_3_n_0\ + ); +\cnt[0]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(2), + I1 => cnt1, + I2 => cnt_reg(2), + O => \cnt[0]_i_4_n_0\ + ); +\cnt[0]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(1), + I1 => cnt1, + I2 => cnt_reg(1), + O => \cnt[0]_i_5_n_0\ + ); +\cnt[0]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(0), + I1 => cnt1, + I2 => cnt_reg(0), + O => \cnt[0]_i_6_n_0\ + ); +\cnt[0]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(3), + I1 => Q(3), + I2 => cnt1, + O => \cnt[0]_i_7_n_0\ + ); +\cnt[0]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(2), + I1 => Q(2), + I2 => cnt1, + O => \cnt[0]_i_8_n_0\ + ); +\cnt[0]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(1), + I1 => Q(1), + I2 => cnt1, + O => \cnt[0]_i_9_n_0\ + ); +\cnt[12]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(14), + I1 => cnt1, + I2 => cnt_reg(14), + O => \cnt[12]_i_2_n_0\ + ); +\cnt[12]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(13), + I1 => cnt1, + I2 => cnt_reg(13), + O => \cnt[12]_i_3_n_0\ + ); +\cnt[12]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(12), + I1 => cnt1, + I2 => cnt_reg(12), + O => \cnt[12]_i_4_n_0\ + ); +\cnt[12]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(15), + I1 => Q(15), + I2 => cnt1, + O => \cnt[12]_i_5_n_0\ + ); +\cnt[12]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(14), + I1 => Q(14), + I2 => cnt1, + O => \cnt[12]_i_6_n_0\ + ); +\cnt[12]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(13), + I1 => Q(13), + I2 => cnt1, + O => \cnt[12]_i_7_n_0\ + ); +\cnt[12]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(12), + I1 => Q(12), + I2 => cnt1, + O => \cnt[12]_i_8_n_0\ + ); +\cnt[4]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(7), + I1 => cnt1, + I2 => cnt_reg(7), + O => \cnt[4]_i_2_n_0\ + ); +\cnt[4]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(6), + I1 => cnt1, + I2 => cnt_reg(6), + O => \cnt[4]_i_3_n_0\ + ); +\cnt[4]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(5), + I1 => cnt1, + I2 => cnt_reg(5), + O => \cnt[4]_i_4_n_0\ + ); +\cnt[4]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(4), + I1 => cnt1, + I2 => cnt_reg(4), + O => \cnt[4]_i_5_n_0\ + ); +\cnt[4]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(7), + I1 => Q(7), + I2 => cnt1, + O => \cnt[4]_i_6_n_0\ + ); +\cnt[4]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(6), + I1 => Q(6), + I2 => cnt1, + O => \cnt[4]_i_7_n_0\ + ); +\cnt[4]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(5), + I1 => Q(5), + I2 => cnt1, + O => \cnt[4]_i_8_n_0\ + ); +\cnt[4]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(4), + I1 => Q(4), + I2 => cnt1, + O => \cnt[4]_i_9_n_0\ + ); +\cnt[8]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(11), + I1 => cnt1, + I2 => cnt_reg(11), + O => \cnt[8]_i_2_n_0\ + ); +\cnt[8]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(10), + I1 => cnt1, + I2 => cnt_reg(10), + O => \cnt[8]_i_3_n_0\ + ); +\cnt[8]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(9), + I1 => cnt1, + I2 => cnt_reg(9), + O => \cnt[8]_i_4_n_0\ + ); +\cnt[8]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(8), + I1 => cnt1, + I2 => cnt_reg(8), + O => \cnt[8]_i_5_n_0\ + ); +\cnt[8]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(11), + I1 => Q(11), + I2 => cnt1, + O => \cnt[8]_i_6_n_0\ + ); +\cnt[8]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(10), + I1 => Q(10), + I2 => cnt1, + O => \cnt[8]_i_7_n_0\ + ); +\cnt[8]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(9), + I1 => Q(9), + I2 => cnt1, + O => \cnt[8]_i_8_n_0\ + ); +\cnt[8]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(8), + I1 => Q(8), + I2 => cnt1, + O => \cnt[8]_i_9_n_0\ + ); +\cnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_7\, + Q => cnt_reg(0) + ); +\cnt_reg[0]_i_2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \cnt_reg[0]_i_2_n_0\, + CO(2) => \cnt_reg[0]_i_2_n_1\, + CO(1) => \cnt_reg[0]_i_2_n_2\, + CO(0) => \cnt_reg[0]_i_2_n_3\, + CYINIT => '0', + DI(3) => \cnt[0]_i_3_n_0\, + DI(2) => \cnt[0]_i_4_n_0\, + DI(1) => \cnt[0]_i_5_n_0\, + DI(0) => \cnt[0]_i_6_n_0\, + O(3) => \cnt_reg[0]_i_2_n_4\, + O(2) => \cnt_reg[0]_i_2_n_5\, + O(1) => \cnt_reg[0]_i_2_n_6\, + O(0) => \cnt_reg[0]_i_2_n_7\, + S(3) => \cnt[0]_i_7_n_0\, + S(2) => \cnt[0]_i_8_n_0\, + S(1) => \cnt[0]_i_9_n_0\, + S(0) => \cnt[0]_i_10_n_0\ + ); +\cnt_reg[10]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_5\, + Q => cnt_reg(10) + ); +\cnt_reg[11]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_4\, + Q => cnt_reg(11) + ); +\cnt_reg[12]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_7\, + Q => cnt_reg(12) + ); +\cnt_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[8]_i_1_n_0\, + CO(3) => \NLW_cnt_reg[12]_i_1_CO_UNCONNECTED\(3), + CO(2) => \cnt_reg[12]_i_1_n_1\, + CO(1) => \cnt_reg[12]_i_1_n_2\, + CO(0) => \cnt_reg[12]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2) => \cnt[12]_i_2_n_0\, + DI(1) => \cnt[12]_i_3_n_0\, + DI(0) => \cnt[12]_i_4_n_0\, + O(3) => \cnt_reg[12]_i_1_n_4\, + O(2) => \cnt_reg[12]_i_1_n_5\, + O(1) => \cnt_reg[12]_i_1_n_6\, + O(0) => \cnt_reg[12]_i_1_n_7\, + S(3) => \cnt[12]_i_5_n_0\, + S(2) => \cnt[12]_i_6_n_0\, + S(1) => \cnt[12]_i_7_n_0\, + S(0) => \cnt[12]_i_8_n_0\ + ); +\cnt_reg[13]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_6\, + Q => cnt_reg(13) + ); +\cnt_reg[14]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_5\, + Q => cnt_reg(14) + ); +\cnt_reg[15]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_4\, + Q => cnt_reg(15) + ); +\cnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_6\, + Q => cnt_reg(1) + ); +\cnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_5\, + Q => cnt_reg(2) + ); +\cnt_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_4\, + Q => cnt_reg(3) + ); +\cnt_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_7\, + Q => cnt_reg(4) + ); +\cnt_reg[4]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[0]_i_2_n_0\, + CO(3) => \cnt_reg[4]_i_1_n_0\, + CO(2) => \cnt_reg[4]_i_1_n_1\, + CO(1) => \cnt_reg[4]_i_1_n_2\, + CO(0) => \cnt_reg[4]_i_1_n_3\, + CYINIT => '0', + DI(3) => \cnt[4]_i_2_n_0\, + DI(2) => \cnt[4]_i_3_n_0\, + DI(1) => \cnt[4]_i_4_n_0\, + DI(0) => \cnt[4]_i_5_n_0\, + O(3) => \cnt_reg[4]_i_1_n_4\, + O(2) => \cnt_reg[4]_i_1_n_5\, + O(1) => \cnt_reg[4]_i_1_n_6\, + O(0) => \cnt_reg[4]_i_1_n_7\, + S(3) => \cnt[4]_i_6_n_0\, + S(2) => \cnt[4]_i_7_n_0\, + S(1) => \cnt[4]_i_8_n_0\, + S(0) => \cnt[4]_i_9_n_0\ + ); +\cnt_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_6\, + Q => cnt_reg(5) + ); +\cnt_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_5\, + Q => cnt_reg(6) + ); +\cnt_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_4\, + Q => cnt_reg(7) + ); +\cnt_reg[8]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_7\, + Q => cnt_reg(8) + ); +\cnt_reg[8]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[4]_i_1_n_0\, + CO(3) => \cnt_reg[8]_i_1_n_0\, + CO(2) => \cnt_reg[8]_i_1_n_1\, + CO(1) => \cnt_reg[8]_i_1_n_2\, + CO(0) => \cnt_reg[8]_i_1_n_3\, + CYINIT => '0', + DI(3) => \cnt[8]_i_2_n_0\, + DI(2) => \cnt[8]_i_3_n_0\, + DI(1) => \cnt[8]_i_4_n_0\, + DI(0) => \cnt[8]_i_5_n_0\, + O(3) => \cnt_reg[8]_i_1_n_4\, + O(2) => \cnt_reg[8]_i_1_n_5\, + O(1) => \cnt_reg[8]_i_1_n_6\, + O(0) => \cnt_reg[8]_i_1_n_7\, + S(3) => \cnt[8]_i_6_n_0\, + S(2) => \cnt[8]_i_7_n_0\, + S(1) => \cnt[8]_i_8_n_0\, + S(0) => \cnt[8]_i_9_n_0\ + ); +\cnt_reg[9]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_6\, + Q => cnt_reg(9) + ); +\cr[7]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"55FDFDFDFFFFFFFF" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => cmd_ack, + I3 => iack_o_reg, + I4 => wb_we_o, + I5 => iack_o_reg_0, + O => \cr_reg[4]\(0) + ); +dscl_oen_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \^i2c_scl_t\, + Q => dscl_oen + ); +iscl_oen_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"FBFFFBF3" + ) + port map ( + I0 => iscl_oen, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \iscl_oen9_out__0\, + I4 => \^i2c_scl_t\, + O => iscl_oen_i_1_n_0 + ); +iscl_oen_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => s00_axi_aresetn, + O => \^iscl_oen_reg_0\ + ); +iscl_oen_i_3: unisim.vcomponents.LUT5 + generic map( + INIT => X"00F3011F" + ) + port map ( + I0 => c_state(3), + I1 => c_state(2), + I2 => c_state(1), + I3 => c_state(4), + I4 => c_state(0), + O => iscl_oen + ); +iscl_oen_i_4: unisim.vcomponents.LUT5 + generic map( + INIT => X"55560000" + ) + port map ( + I0 => c_state(4), + I1 => c_state(3), + I2 => c_state(2), + I3 => c_state(1), + I4 => clk_en, + O => \iscl_oen9_out__0\ + ); +iscl_oen_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => iscl_oen_i_1_n_0, + PRE => \^iscl_oen_reg_0\, + Q => \^i2c_scl_t\ + ); +isda_oen_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"FBFFFBF3" + ) + port map ( + I0 => isda_oen, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \isda_oen7_out__0\, + I4 => \^i2c_sda_t\, + O => isda_oen_i_1_n_0 + ); +isda_oen_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000C8CB03038F83" + ) + port map ( + I0 => \statemachine.core_txd_reg_0\, + I1 => c_state(3), + I2 => c_state(2), + I3 => c_state(0), + I4 => c_state(4), + I5 => c_state(1), + O => isda_oen + ); +isda_oen_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0F0F1F1E00000000" + ) + port map ( + I0 => c_state(1), + I1 => c_state(2), + I2 => c_state(4), + I3 => c_state(0), + I4 => c_state(3), + I5 => clk_en, + O => \isda_oen7_out__0\ + ); +isda_oen_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => isda_oen_i_1_n_0, + PRE => \^iscl_oen_reg_0\, + Q => \^i2c_sda_t\ + ); +minusOp_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => minusOp_carry_n_0, + CO(2) => minusOp_carry_n_1, + CO(1) => minusOp_carry_n_2, + CO(0) => minusOp_carry_n_3, + CYINIT => filter_cnt(0), + DI(3 downto 0) => filter_cnt(4 downto 1), + O(3) => minusOp_carry_n_4, + O(2) => minusOp_carry_n_5, + O(1) => minusOp_carry_n_6, + O(0) => minusOp_carry_n_7, + S(3) => minusOp_carry_i_1_n_0, + S(2) => minusOp_carry_i_2_n_0, + S(1) => minusOp_carry_i_3_n_0, + S(0) => minusOp_carry_i_4_n_0 + ); +\minusOp_carry__0\: unisim.vcomponents.CARRY4 + port map ( + CI => minusOp_carry_n_0, + CO(3) => \minusOp_carry__0_n_0\, + CO(2) => \minusOp_carry__0_n_1\, + CO(1) => \minusOp_carry__0_n_2\, + CO(0) => \minusOp_carry__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => filter_cnt(8 downto 5), + O(3) => \minusOp_carry__0_n_4\, + O(2) => \minusOp_carry__0_n_5\, + O(1) => \minusOp_carry__0_n_6\, + O(0) => \minusOp_carry__0_n_7\, + S(3) => \minusOp_carry__0_i_1_n_0\, + S(2) => \minusOp_carry__0_i_2_n_0\, + S(1) => \minusOp_carry__0_i_3_n_0\, + S(0) => \minusOp_carry__0_i_4_n_0\ + ); +\minusOp_carry__0_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(8), + O => \minusOp_carry__0_i_1_n_0\ + ); +\minusOp_carry__0_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(7), + O => \minusOp_carry__0_i_2_n_0\ + ); +\minusOp_carry__0_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(6), + O => \minusOp_carry__0_i_3_n_0\ + ); +\minusOp_carry__0_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(5), + O => \minusOp_carry__0_i_4_n_0\ + ); +\minusOp_carry__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__0_n_0\, + CO(3) => \minusOp_carry__1_n_0\, + CO(2) => \minusOp_carry__1_n_1\, + CO(1) => \minusOp_carry__1_n_2\, + CO(0) => \minusOp_carry__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => filter_cnt(12 downto 9), + O(3) => \minusOp_carry__1_n_4\, + O(2) => \minusOp_carry__1_n_5\, + O(1) => \minusOp_carry__1_n_6\, + O(0) => \minusOp_carry__1_n_7\, + S(3) => \minusOp_carry__1_i_1_n_0\, + S(2) => \minusOp_carry__1_i_2_n_0\, + S(1) => \minusOp_carry__1_i_3_n_0\, + S(0) => \minusOp_carry__1_i_4_n_0\ + ); +\minusOp_carry__1_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(12), + O => \minusOp_carry__1_i_1_n_0\ + ); +\minusOp_carry__1_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(11), + O => \minusOp_carry__1_i_2_n_0\ + ); +\minusOp_carry__1_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(10), + O => \minusOp_carry__1_i_3_n_0\ + ); +\minusOp_carry__1_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(9), + O => \minusOp_carry__1_i_4_n_0\ + ); +\minusOp_carry__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__1_n_0\, + CO(3 downto 0) => \NLW_minusOp_carry__2_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_minusOp_carry__2_O_UNCONNECTED\(3 downto 1), + O(0) => \minusOp_carry__2_n_7\, + S(3 downto 1) => B"000", + S(0) => \minusOp_carry__2_i_1_n_0\ + ); +\minusOp_carry__2_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(13), + O => \minusOp_carry__2_i_1_n_0\ + ); +minusOp_carry_i_1: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(4), + O => minusOp_carry_i_1_n_0 + ); +minusOp_carry_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(3), + O => minusOp_carry_i_2_n_0 + ); +minusOp_carry_i_3: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(2), + O => minusOp_carry_i_3_n_0 + ); +minusOp_carry_i_4: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(1), + O => minusOp_carry_i_4_n_0 + ); +sda_chk_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000100000" + ) + port map ( + I0 => c_state(4), + I1 => c_state(1), + I2 => c_state(3), + I3 => c_state(0), + I4 => c_state(2), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => sda_chk_i_1_n_0 + ); +sda_chk_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => sda_chk_i_1_n_0, + Q => sda_chk_reg_n_0 + ); +slave_wait_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"0F04" + ) + port map ( + I0 => dscl_oen, + I1 => \^i2c_scl_t\, + I2 => sSCL, + I3 => slave_wait, + O => slave_wait0 + ); +slave_wait_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => slave_wait0, + Q => slave_wait + ); +\sr[0]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_0\, + I1 => core_rxd, + I2 => \txr_reg[6]\(0), + I3 => s00_axi_aresetn, + O => \sr_reg[0]\(0) + ); +\st_irq_block.al_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"AA08" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \st_irq_block.al_reg\, + I2 => \cr_reg[7]\(3), + I3 => i2c_al, + O => al + ); +\st_irq_block.irq_flag_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55540000" + ) + port map ( + I0 => \cr_reg[0]\, + I1 => i2c_al, + I2 => cmd_ack, + I3 => irq_flag, + I4 => s00_axi_aresetn, + O => irq_flag1_out + ); +\statemachine.ack_out_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"08FF0800" + ) + port map ( + I0 => core_rxd, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \statemachine.ack_out_i_2_n_0\, + I4 => ack_out, + O => \statemachine.ack_out_reg\ + ); +\statemachine.ack_out_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DDDDDDDDDDFDDDDD" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => \out\(2), + I3 => \out\(0), + I4 => core_ack, + I5 => \out\(1), + O => \statemachine.ack_out_i_2_n_0\ + ); +\statemachine.core_cmd[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000100000" + ) + port map ( + I0 => \out\(2), + I1 => \out\(0), + I2 => \cr_reg[7]\(3), + I3 => \out\(1), + I4 => s00_axi_aresetn, + I5 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(0) + ); +\statemachine.core_cmd[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_0\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.core_cmd_reg[3]\(1) + ); +\statemachine.core_cmd[2]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => core_cmd(0), + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(2) + ); +\statemachine.core_cmd[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0040" + ) + port map ( + I0 => \out\(2), + I1 => \FSM_sequential_statemachine.c_state_reg[1]\, + I2 => s00_axi_aresetn, + I3 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(3) + ); +\statemachine.core_txd_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => core_txd, + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \statemachine.core_txd_reg\ + ); +\statemachine.core_txd_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"5455FFFD10002220" + ) + port map ( + I0 => \out\(2), + I1 => \out\(0), + I2 => ack_in, + I3 => core_ack, + I4 => \out\(1), + I5 => \sr_reg[7]\(0), + O => core_txd + ); +\statemachine.host_ack_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"000000000000A020" + ) + port map ( + I0 => \out\(2), + I1 => \cr_reg[7]\(2), + I2 => core_ack, + I3 => \out\(0), + I4 => \out\(1), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.host_ack_reg\ + ); +\statemachine.ld_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000400" + ) + port map ( + I0 => \out\(2), + I1 => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\, + I2 => \out\(1), + I3 => s00_axi_aresetn, + I4 => i2c_al, + O => \statemachine.ld_reg\ + ); +\statemachine.shift_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000004440000" + ) + port map ( + I0 => \out\(2), + I1 => core_ack, + I2 => \out\(0), + I3 => cnt_done, + I4 => \out\(1), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.shift_reg\ + ); +\wb_dat_o[6]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg[7]\(2), + I1 => wb_adr_o(1), + I2 => \txr_reg[6]\(1), + I3 => wb_adr_o(0), + I4 => i2c_busy, + O => \wb_dat_o[6]_i_3_n_0\ + ); +\wb_dat_o_reg[6]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \sr_reg[6]\, + I1 => \wb_dat_o[6]_i_3_n_0\, + O => D(0), + S => wb_adr_o(2) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl is + port ( + iscl_oen_reg : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + irq_flag1_out : out STD_LOGIC; + rxack_0 : out STD_LOGIC; + al : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 7 downto 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + \cr_reg[0]\ : in STD_LOGIC; + irq_flag : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \ctr_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + \st_irq_block.al_reg\ : in STD_LOGIC; + \cr_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \cr_reg[0]_0\ : in STD_LOGIC; + \cr_reg[1]\ : in STD_LOGIC; + \cr_reg[2]\ : in STD_LOGIC; + \txr_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + ack_in : in STD_LOGIC; + \cr_reg[5]\ : in STD_LOGIC; + \cr_reg[7]_0\ : in STD_LOGIC; + iack_o_reg : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl : entity is "i2c_master_byte_ctrl"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl is + signal \FSM_sequential_statemachine.c_state[0]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[1]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[2]_i_4_n_0\ : STD_LOGIC; + signal ack_out : STD_LOGIC; + signal bit_ctrl_n_10 : STD_LOGIC; + signal bit_ctrl_n_11 : STD_LOGIC; + signal bit_ctrl_n_12 : STD_LOGIC; + signal bit_ctrl_n_13 : STD_LOGIC; + signal bit_ctrl_n_14 : STD_LOGIC; + signal bit_ctrl_n_15 : STD_LOGIC; + signal bit_ctrl_n_17 : STD_LOGIC; + signal bit_ctrl_n_18 : STD_LOGIC; + signal bit_ctrl_n_19 : STD_LOGIC; + signal bit_ctrl_n_20 : STD_LOGIC; + signal bit_ctrl_n_7 : STD_LOGIC; + signal bit_ctrl_n_8 : STD_LOGIC; + signal bit_ctrl_n_9 : STD_LOGIC; + signal c_state : STD_LOGIC; + signal \c_state__0\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + attribute RTL_KEEP : string; + attribute RTL_KEEP of \c_state__0\ : signal is "yes"; + signal cmd : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal cmd_ack : STD_LOGIC; + signal cnt_done : STD_LOGIC; + signal core_cmd : STD_LOGIC_VECTOR ( 2 to 2 ); + signal dcnt : STD_LOGIC; + signal \dcnt[0]_i_1_n_0\ : STD_LOGIC; + signal \dcnt[1]_i_1_n_0\ : STD_LOGIC; + signal \dcnt[2]_i_1_n_0\ : STD_LOGIC; + signal \dcnt_reg_n_0_[0]\ : STD_LOGIC; + signal \dcnt_reg_n_0_[1]\ : STD_LOGIC; + signal \dcnt_reg_n_0_[2]\ : STD_LOGIC; + signal dout : STD_LOGIC_VECTOR ( 7 to 7 ); + signal \^iscl_oen_reg\ : STD_LOGIC; + signal \sr[1]_i_1_n_0\ : STD_LOGIC; + signal \sr[2]_i_1_n_0\ : STD_LOGIC; + signal \sr[3]_i_1_n_0\ : STD_LOGIC; + signal \sr[4]_i_1_n_0\ : STD_LOGIC; + signal \sr[5]_i_1_n_0\ : STD_LOGIC; + signal \sr[6]_i_1_n_0\ : STD_LOGIC; + signal \sr[7]_i_2_n_0\ : STD_LOGIC; + signal \sr_reg_n_0_[0]\ : STD_LOGIC; + signal \sr_reg_n_0_[1]\ : STD_LOGIC; + signal \sr_reg_n_0_[2]\ : STD_LOGIC; + signal \sr_reg_n_0_[3]\ : STD_LOGIC; + signal \sr_reg_n_0_[4]\ : STD_LOGIC; + signal \sr_reg_n_0_[5]\ : STD_LOGIC; + signal \sr_reg_n_0_[6]\ : STD_LOGIC; + signal \statemachine.core_cmd[1]_i_2_n_0\ : STD_LOGIC; + signal \statemachine.core_cmd[3]_i_2_n_0\ : STD_LOGIC; + signal \statemachine.core_txd_reg_n_0\ : STD_LOGIC; + signal \statemachine.ld_reg_n_0\ : STD_LOGIC; + signal \statemachine.shift_reg_n_0\ : STD_LOGIC; + signal \wb_dat_o[0]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[1]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[2]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[3]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[4]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[5]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[6]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[7]_i_2_n_0\ : STD_LOGIC; + attribute KEEP : string; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[0]\ : label is "yes"; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[1]\ : label is "yes"; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[2]\ : label is "yes"; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \dcnt[0]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \dcnt[1]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \dcnt[2]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \sr[1]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sr[2]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \st_irq_block.rxack_i_1\ : label is "soft_lutpair22"; +begin + iscl_oen_reg <= \^iscl_oen_reg\; +\FSM_sequential_statemachine.c_state[0]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"43407373" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \c_state__0\(0), + I3 => \cr_reg[7]\(3), + I4 => \cr_reg[7]\(1), + O => \FSM_sequential_statemachine.c_state[0]_i_2_n_0\ + ); +\FSM_sequential_statemachine.c_state[1]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"01" + ) + port map ( + I0 => \dcnt_reg_n_0_[1]\, + I1 => \dcnt_reg_n_0_[0]\, + I2 => \dcnt_reg_n_0_[2]\, + O => cnt_done + ); +\FSM_sequential_statemachine.c_state[1]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FF54" + ) + port map ( + I0 => \cr_reg[7]\(3), + I1 => \cr_reg[7]\(1), + I2 => \cr_reg[7]\(0), + I3 => \c_state__0\(0), + O => \FSM_sequential_statemachine.c_state[1]_i_3_n_0\ + ); +\FSM_sequential_statemachine.c_state[2]_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"888888888888888B" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \cr_reg[7]\(3), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + I5 => \c_state__0\(0), + O => \FSM_sequential_statemachine.c_state[2]_i_4_n_0\ + ); +\FSM_sequential_statemachine.c_state_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_20, + Q => \c_state__0\(0) + ); +\FSM_sequential_statemachine.c_state_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_19, + Q => \c_state__0\(1) + ); +\FSM_sequential_statemachine.c_state_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_18, + Q => \c_state__0\(2) + ); +bit_ctrl: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl + port map ( + D(0) => D(6), + E(0) => c_state, + \FSM_sequential_statemachine.c_state_reg[1]\ => \statemachine.core_cmd[3]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_0\ => \statemachine.core_cmd[1]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_1\ => \FSM_sequential_statemachine.c_state[0]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_2\ => \FSM_sequential_statemachine.c_state[2]_i_4_n_0\, + \FSM_sequential_statemachine.c_state_reg[2]\(2) => bit_ctrl_n_18, + \FSM_sequential_statemachine.c_state_reg[2]\(1) => bit_ctrl_n_19, + \FSM_sequential_statemachine.c_state_reg[2]\(0) => bit_ctrl_n_20, + Q(15 downto 0) => Q(15 downto 0), + ack_in => ack_in, + ack_out => ack_out, + al => al, + cmd_ack => cmd_ack, + cnt_done => cnt_done, + core_cmd(0) => core_cmd(2), + \cr_reg[0]\ => \cr_reg[0]\, + \cr_reg[4]\(0) => E(0), + \cr_reg[7]\(3 downto 0) => \cr_reg[7]\(3 downto 0), + \cr_reg[7]_0\ => \FSM_sequential_statemachine.c_state[1]_i_3_n_0\, + \ctr_reg[7]\(0) => \ctr_reg[7]\(7), + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg => iack_o_reg, + iack_o_reg_0 => iack_o_reg_0, + irq_flag => irq_flag, + irq_flag1_out => irq_flag1_out, + iscl_oen_reg_0 => \^iscl_oen_reg\, + \out\(2 downto 0) => \c_state__0\(2 downto 0), + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + \sr_reg[0]\(0) => bit_ctrl_n_17, + \sr_reg[6]\ => \wb_dat_o[6]_i_2_n_0\, + \sr_reg[7]\(0) => dout(7), + \st_irq_block.al_reg\ => \st_irq_block.al_reg\, + \statemachine.ack_out_reg\ => bit_ctrl_n_15, + \statemachine.core_cmd_reg[3]\(3) => bit_ctrl_n_7, + \statemachine.core_cmd_reg[3]\(2) => bit_ctrl_n_8, + \statemachine.core_cmd_reg[3]\(1) => bit_ctrl_n_9, + \statemachine.core_cmd_reg[3]\(0) => bit_ctrl_n_10, + \statemachine.core_cmd_reg[3]_0\(3 downto 0) => cmd(3 downto 0), + \statemachine.core_txd_reg\ => bit_ctrl_n_12, + \statemachine.core_txd_reg_0\ => \statemachine.core_txd_reg_n_0\, + \statemachine.host_ack_reg\ => bit_ctrl_n_14, + \statemachine.ld_reg\ => bit_ctrl_n_11, + \statemachine.ld_reg_0\ => \statemachine.ld_reg_n_0\, + \statemachine.shift_reg\ => bit_ctrl_n_13, + \txr_reg[6]\(1) => \txr_reg[7]\(6), + \txr_reg[6]\(0) => \txr_reg[7]\(0), + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_we_o => wb_we_o + ); +\dcnt[0]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"8A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[0]\, + O => \dcnt[0]_i_1_n_0\ + ); +\dcnt[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"A88A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[0]\, + I3 => \dcnt_reg_n_0_[1]\, + O => \dcnt[1]_i_1_n_0\ + ); +\dcnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAA8888A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[1]\, + I3 => \dcnt_reg_n_0_[0]\, + I4 => \dcnt_reg_n_0_[2]\, + O => \dcnt[2]_i_1_n_0\ + ); +\dcnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[0]_i_1_n_0\, + Q => \dcnt_reg_n_0_[0]\ + ); +\dcnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[1]_i_1_n_0\, + Q => \dcnt_reg_n_0_[1]\ + ); +\dcnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[2]_i_1_n_0\, + Q => \dcnt_reg_n_0_[2]\ + ); +\sr[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[0]\, + I2 => \txr_reg[7]\(1), + I3 => s00_axi_aresetn, + O => \sr[1]_i_1_n_0\ + ); +\sr[2]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[1]\, + I2 => \txr_reg[7]\(2), + I3 => s00_axi_aresetn, + O => \sr[2]_i_1_n_0\ + ); +\sr[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[2]\, + I2 => \txr_reg[7]\(3), + I3 => s00_axi_aresetn, + O => \sr[3]_i_1_n_0\ + ); +\sr[4]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[3]\, + I2 => \txr_reg[7]\(4), + I3 => s00_axi_aresetn, + O => \sr[4]_i_1_n_0\ + ); +\sr[5]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[4]\, + I2 => \txr_reg[7]\(5), + I3 => s00_axi_aresetn, + O => \sr[5]_i_1_n_0\ + ); +\sr[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[5]\, + I2 => \txr_reg[7]\(6), + I3 => s00_axi_aresetn, + O => \sr[6]_i_1_n_0\ + ); +\sr[7]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"FB" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => s00_axi_aresetn, + I2 => \statemachine.shift_reg_n_0\, + O => dcnt + ); +\sr[7]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[6]\, + I2 => \txr_reg[7]\(7), + I3 => s00_axi_aresetn, + O => \sr[7]_i_2_n_0\ + ); +\sr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_17, + Q => \sr_reg_n_0_[0]\ + ); +\sr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[1]_i_1_n_0\, + Q => \sr_reg_n_0_[1]\ + ); +\sr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[2]_i_1_n_0\, + Q => \sr_reg_n_0_[2]\ + ); +\sr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[3]_i_1_n_0\, + Q => \sr_reg_n_0_[3]\ + ); +\sr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[4]_i_1_n_0\, + Q => \sr_reg_n_0_[4]\ + ); +\sr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[5]_i_1_n_0\, + Q => \sr_reg_n_0_[5]\ + ); +\sr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[6]_i_1_n_0\, + Q => \sr_reg_n_0_[6]\ + ); +\sr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[7]_i_2_n_0\, + Q => dout(7) + ); +\st_irq_block.rxack_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => ack_out, + O => rxack_0 + ); +\statemachine.ack_out_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_15, + Q => ack_out + ); +\statemachine.core_cmd[1]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000001" + ) + port map ( + I0 => \c_state__0\(1), + I1 => \c_state__0\(0), + I2 => \cr_reg[7]\(3), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + O => \statemachine.core_cmd[1]_i_2_n_0\ + ); +\statemachine.core_cmd[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000F0C40FC4" + ) + port map ( + I0 => \cr_reg[7]\(3), + I1 => \cr_reg[7]\(1), + I2 => \c_state__0\(0), + I3 => \c_state__0\(1), + I4 => cnt_done, + I5 => \c_state__0\(2), + O => core_cmd(2) + ); +\statemachine.core_cmd[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4848484878787B78" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \c_state__0\(0), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(3), + I5 => \cr_reg[7]\(1), + O => \statemachine.core_cmd[3]_i_2_n_0\ + ); +\statemachine.core_cmd_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_10, + Q => cmd(0) + ); +\statemachine.core_cmd_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_9, + Q => cmd(1) + ); +\statemachine.core_cmd_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_8, + Q => cmd(2) + ); +\statemachine.core_cmd_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_7, + Q => cmd(3) + ); +\statemachine.core_txd_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_12, + Q => \statemachine.core_txd_reg_n_0\ + ); +\statemachine.host_ack_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_14, + Q => cmd_ack + ); +\statemachine.ld_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_11, + Q => \statemachine.ld_reg_n_0\ + ); +\statemachine.shift_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_13, + Q => \statemachine.shift_reg_n_0\ + ); +\wb_dat_o[0]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[0]\, + I1 => \ctr_reg[7]\(0), + I2 => wb_adr_o(1), + I3 => Q(8), + I4 => wb_adr_o(0), + I5 => Q(0), + O => \wb_dat_o[0]_i_2_n_0\ + ); +\wb_dat_o[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[1]\, + I1 => \ctr_reg[7]\(1), + I2 => wb_adr_o(1), + I3 => Q(9), + I4 => wb_adr_o(0), + I5 => Q(1), + O => \wb_dat_o[1]_i_2_n_0\ + ); +\wb_dat_o[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => \cr_reg[2]\, + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(2), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[2]_i_2_n_0\, + O => D(2) + ); +\wb_dat_o[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[2]\, + I1 => \ctr_reg[7]\(2), + I2 => wb_adr_o(1), + I3 => Q(10), + I4 => wb_adr_o(0), + I5 => Q(2), + O => \wb_dat_o[2]_i_2_n_0\ + ); +\wb_dat_o[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => ack_in, + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(3), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[3]_i_2_n_0\, + O => D(3) + ); +\wb_dat_o[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[3]\, + I1 => \ctr_reg[7]\(3), + I2 => wb_adr_o(1), + I3 => Q(11), + I4 => wb_adr_o(0), + I5 => Q(3), + O => \wb_dat_o[3]_i_2_n_0\ + ); +\wb_dat_o[4]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => \cr_reg[7]\(0), + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(4), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[4]_i_2_n_0\, + O => D(4) + ); +\wb_dat_o[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[4]\, + I1 => \ctr_reg[7]\(4), + I2 => wb_adr_o(1), + I3 => Q(12), + I4 => wb_adr_o(0), + I5 => Q(4), + O => \wb_dat_o[4]_i_2_n_0\ + ); +\wb_dat_o[5]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[5]\, + I1 => \ctr_reg[7]\(5), + I2 => wb_adr_o(1), + I3 => Q(13), + I4 => wb_adr_o(0), + I5 => Q(5), + O => \wb_dat_o[5]_i_2_n_0\ + ); +\wb_dat_o[6]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[6]\, + I1 => \ctr_reg[7]\(6), + I2 => wb_adr_o(1), + I3 => Q(14), + I4 => wb_adr_o(0), + I5 => Q(6), + O => \wb_dat_o[6]_i_2_n_0\ + ); +\wb_dat_o[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => dout(7), + I1 => \ctr_reg[7]\(7), + I2 => wb_adr_o(1), + I3 => Q(15), + I4 => wb_adr_o(0), + I5 => Q(7), + O => \wb_dat_o[7]_i_2_n_0\ + ); +\wb_dat_o_reg[0]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[0]_i_2_n_0\, + I1 => \cr_reg[0]_0\, + O => D(0), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[1]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[1]_i_2_n_0\, + I1 => \cr_reg[1]\, + O => D(1), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[5]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[5]_i_2_n_0\, + I1 => \cr_reg[5]\, + O => D(5), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[7]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[7]_i_2_n_0\, + I1 => \cr_reg[7]_0\, + O => D(7), + S => wb_adr_o(2) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_top is + port ( + wb_ack_i : out STD_LOGIC; + wb_rst_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_stb_r_reg : out STD_LOGIC; + \s_rdata_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \s_rdata_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); + s_stb_r_reg_0 : in STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_arvalid : in STD_LOGIC; + wb_cyc_o : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + E : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_we_r_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_we_r_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \s_addr_reg[4]\ : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_top : entity is "i2c_master_top"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_top; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_top is + signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal ack_in : STD_LOGIC; + signal al : STD_LOGIC; + signal byte_ctrl_n_14 : STD_LOGIC; + signal \cr[0]_i_1_n_0\ : STD_LOGIC; + signal \cr[1]_i_1_n_0\ : STD_LOGIC; + signal \cr[2]_i_1_n_0\ : STD_LOGIC; + signal \cr[3]_i_1_n_0\ : STD_LOGIC; + signal \cr_reg_n_0_[0]\ : STD_LOGIC; + signal \cr_reg_n_0_[1]\ : STD_LOGIC; + signal \cr_reg_n_0_[2]\ : STD_LOGIC; + signal ctr : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \ctr_reg_n_0_[0]\ : STD_LOGIC; + signal \ctr_reg_n_0_[1]\ : STD_LOGIC; + signal \ctr_reg_n_0_[2]\ : STD_LOGIC; + signal \ctr_reg_n_0_[3]\ : STD_LOGIC; + signal \ctr_reg_n_0_[4]\ : STD_LOGIC; + signal \ctr_reg_n_0_[5]\ : STD_LOGIC; + signal data0 : STD_LOGIC_VECTOR ( 13 downto 0 ); + signal ien : STD_LOGIC; + signal irq_flag : STD_LOGIC; + signal irq_flag1_out : STD_LOGIC; + signal \prer[10]_i_1_n_0\ : STD_LOGIC; + signal \prer[11]_i_1_n_0\ : STD_LOGIC; + signal \prer[12]_i_1_n_0\ : STD_LOGIC; + signal \prer[13]_i_1_n_0\ : STD_LOGIC; + signal \prer[14]_i_1_n_0\ : STD_LOGIC; + signal \prer[15]_i_2_n_0\ : STD_LOGIC; + signal \prer[8]_i_1_n_0\ : STD_LOGIC; + signal \prer[9]_i_1_n_0\ : STD_LOGIC; + signal \prer_reg_n_0_[0]\ : STD_LOGIC; + signal \prer_reg_n_0_[1]\ : STD_LOGIC; + signal read : STD_LOGIC; + signal rxack : STD_LOGIC; + signal rxack_0 : STD_LOGIC; + signal \st_irq_block.al_reg_n_0\ : STD_LOGIC; + signal \st_irq_block.wb_inta_o_i_1_n_0\ : STD_LOGIC; + signal start : STD_LOGIC; + signal stop : STD_LOGIC; + signal tip : STD_LOGIC; + signal tip_1 : STD_LOGIC; + signal txr : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \^wb_ack_i\ : STD_LOGIC; + signal wb_dat_o : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \wb_dat_o[0]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[1]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[5]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[7]_i_3_n_0\ : STD_LOGIC; + signal \^wb_rst_o\ : STD_LOGIC; + signal write : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \cr[3]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \ctr[0]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \ctr[1]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \ctr[2]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \ctr[3]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \ctr[4]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \ctr[5]_i_1\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \ctr[6]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \ctr[7]_i_2\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \prer[10]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \prer[12]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \prer[13]_i_1\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \prer[14]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \prer[15]_i_2\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \prer[8]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \prer[9]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \s_rdata[7]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of s_stb_r_i_1 : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \st_irq_block.tip_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \st_irq_block.wb_inta_o_i_1\ : label is "soft_lutpair25"; +begin + Q(0) <= \^q\(0); + wb_ack_i <= \^wb_ack_i\; + wb_rst_o <= \^wb_rst_o\; +byte_ctrl: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl + port map ( + D(7 downto 0) => wb_dat_o(7 downto 0), + E(0) => byte_ctrl_n_14, + Q(15 downto 2) => data0(13 downto 0), + Q(1) => \prer_reg_n_0_[1]\, + Q(0) => \prer_reg_n_0_[0]\, + ack_in => ack_in, + al => al, + \cr_reg[0]\ => \cr_reg_n_0_[0]\, + \cr_reg[0]_0\ => \wb_dat_o[0]_i_3_n_0\, + \cr_reg[1]\ => \wb_dat_o[1]_i_3_n_0\, + \cr_reg[2]\ => \cr_reg_n_0_[2]\, + \cr_reg[5]\ => \wb_dat_o[5]_i_3_n_0\, + \cr_reg[7]\(3) => start, + \cr_reg[7]\(2) => stop, + \cr_reg[7]\(1) => read, + \cr_reg[7]\(0) => write, + \cr_reg[7]_0\ => \wb_dat_o[7]_i_3_n_0\, + \ctr_reg[7]\(7) => \^q\(0), + \ctr_reg[7]\(6) => ien, + \ctr_reg[7]\(5) => \ctr_reg_n_0_[5]\, + \ctr_reg[7]\(4) => \ctr_reg_n_0_[4]\, + \ctr_reg[7]\(3) => \ctr_reg_n_0_[3]\, + \ctr_reg[7]\(2) => \ctr_reg_n_0_[2]\, + \ctr_reg[7]\(1) => \ctr_reg_n_0_[1]\, + \ctr_reg[7]\(0) => \ctr_reg_n_0_[0]\, + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg => \^wb_ack_i\, + iack_o_reg_0 => iack_o_reg_0, + irq_flag => irq_flag, + irq_flag1_out => irq_flag1_out, + iscl_oen_reg => \^wb_rst_o\, + rxack_0 => rxack_0, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + \st_irq_block.al_reg\ => \st_irq_block.al_reg_n_0\, + \txr_reg[7]\(7 downto 0) => txr(7 downto 0), + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_we_o => wb_we_o + ); +\cr[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[0]\, + O => \cr[0]_i_1_n_0\ + ); +\cr[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[1]\, + O => \cr[1]_i_1_n_0\ + ); +\cr[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[2]\, + O => \cr[2]_i_1_n_0\ + ); +\cr[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"C808" + ) + port map ( + I0 => s00_axi_wdata(3), + I1 => s00_axi_aresetn, + I2 => iack_o_reg_0, + I3 => ack_in, + O => \cr[3]_i_1_n_0\ + ); +\cr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[0]_i_1_n_0\, + Q => \cr_reg_n_0_[0]\ + ); +\cr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[1]_i_1_n_0\, + Q => \cr_reg_n_0_[1]\ + ); +\cr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[2]_i_1_n_0\, + Q => \cr_reg_n_0_[2]\ + ); +\cr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[3]_i_1_n_0\, + Q => ack_in + ); +\cr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(0), + Q => write + ); +\cr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(1), + Q => read + ); +\cr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(2), + Q => stop + ); +\cr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(3), + Q => start + ); +\ctr[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + O => ctr(0) + ); +\ctr[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + O => ctr(1) + ); +\ctr[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + O => ctr(2) + ); +\ctr[3]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(3), + O => ctr(3) + ); +\ctr[4]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(4), + O => ctr(4) + ); +\ctr[5]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(5), + O => ctr(5) + ); +\ctr[6]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(6), + O => ctr(6) + ); +\ctr[7]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(7), + O => ctr(7) + ); +\ctr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(0), + Q => \ctr_reg_n_0_[0]\ + ); +\ctr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(1), + Q => \ctr_reg_n_0_[1]\ + ); +\ctr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(2), + Q => \ctr_reg_n_0_[2]\ + ); +\ctr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(3), + Q => \ctr_reg_n_0_[3]\ + ); +\ctr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(4), + Q => \ctr_reg_n_0_[4]\ + ); +\ctr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(5), + Q => \ctr_reg_n_0_[5]\ + ); +\ctr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(6), + Q => ien + ); +\ctr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(7), + Q => \^q\(0) + ); +iack_o_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_stb_r_reg_0, + Q => \^wb_ack_i\, + R => '0' + ); +\prer[10]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(2), + I1 => s00_axi_aresetn, + O => \prer[10]_i_1_n_0\ + ); +\prer[11]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(3), + I1 => s00_axi_aresetn, + O => \prer[11]_i_1_n_0\ + ); +\prer[12]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(4), + I1 => s00_axi_aresetn, + O => \prer[12]_i_1_n_0\ + ); +\prer[13]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(5), + I1 => s00_axi_aresetn, + O => \prer[13]_i_1_n_0\ + ); +\prer[14]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(6), + I1 => s00_axi_aresetn, + O => \prer[14]_i_1_n_0\ + ); +\prer[15]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(7), + I1 => s00_axi_aresetn, + O => \prer[15]_i_2_n_0\ + ); +\prer[8]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(0), + I1 => s00_axi_aresetn, + O => \prer[8]_i_1_n_0\ + ); +\prer[9]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(1), + I1 => s00_axi_aresetn, + O => \prer[9]_i_1_n_0\ + ); +\prer_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[8]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => \prer_reg_n_0_[0]\ + ); +\prer_reg[10]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[10]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(8) + ); +\prer_reg[11]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[11]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(9) + ); +\prer_reg[12]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[12]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(10) + ); +\prer_reg[13]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[13]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(11) + ); +\prer_reg[14]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[14]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(12) + ); +\prer_reg[15]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[15]_i_2_n_0\, + PRE => \^wb_rst_o\, + Q => data0(13) + ); +\prer_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[9]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => \prer_reg_n_0_[1]\ + ); +\prer_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[10]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(0) + ); +\prer_reg[3]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[11]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(1) + ); +\prer_reg[4]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[12]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(2) + ); +\prer_reg[5]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[13]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(3) + ); +\prer_reg[6]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[14]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(4) + ); +\prer_reg[7]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[15]_i_2_n_0\, + PRE => \^wb_rst_o\, + Q => data0(5) + ); +\prer_reg[8]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[8]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(6) + ); +\prer_reg[9]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[9]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(7) + ); +\s_rdata[7]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^wb_ack_i\, + I1 => wb_we_o, + O => \s_rdata_reg[0]\(0) + ); +s_stb_r_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"EFEE" + ) + port map ( + I0 => s00_axi_awvalid, + I1 => s00_axi_arvalid, + I2 => \^wb_ack_i\, + I3 => wb_cyc_o, + O => s_stb_r_reg + ); +\st_irq_block.al_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => al, + Q => \st_irq_block.al_reg_n_0\ + ); +\st_irq_block.irq_flag_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => irq_flag1_out, + Q => irq_flag + ); +\st_irq_block.rxack_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => rxack_0, + Q => rxack + ); +\st_irq_block.tip_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"A8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => write, + I2 => read, + O => tip_1 + ); +\st_irq_block.tip_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => tip_1, + Q => tip + ); +\st_irq_block.wb_inta_o_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"80" + ) + port map ( + I0 => irq_flag, + I1 => s00_axi_aresetn, + I2 => ien, + O => \st_irq_block.wb_inta_o_i_1_n_0\ + ); +\st_irq_block.wb_inta_o_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \st_irq_block.wb_inta_o_i_1_n_0\, + Q => axi_int_o + ); +\txr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(0), + Q => txr(0) + ); +\txr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(1), + Q => txr(1) + ); +\txr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(2), + Q => txr(2) + ); +\txr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(3), + Q => txr(3) + ); +\txr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(4), + Q => txr(4) + ); +\txr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(5), + Q => txr(5) + ); +\txr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(6), + Q => txr(6) + ); +\txr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(7), + Q => txr(7) + ); +\wb_dat_o[0]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg_n_0_[0]\, + I1 => wb_adr_o(1), + I2 => txr(0), + I3 => wb_adr_o(0), + I4 => irq_flag, + O => \wb_dat_o[0]_i_3_n_0\ + ); +\wb_dat_o[1]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg_n_0_[1]\, + I1 => wb_adr_o(1), + I2 => txr(1), + I3 => wb_adr_o(0), + I4 => tip, + O => \wb_dat_o[1]_i_3_n_0\ + ); +\wb_dat_o[5]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => read, + I1 => wb_adr_o(1), + I2 => txr(5), + I3 => wb_adr_o(0), + I4 => \st_irq_block.al_reg_n_0\, + O => \wb_dat_o[5]_i_3_n_0\ + ); +\wb_dat_o[7]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => start, + I1 => wb_adr_o(1), + I2 => txr(7), + I3 => wb_adr_o(0), + I4 => rxack, + O => \wb_dat_o[7]_i_3_n_0\ + ); +\wb_dat_o_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(0), + Q => \s_rdata_reg[7]\(0), + R => '0' + ); +\wb_dat_o_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(1), + Q => \s_rdata_reg[7]\(1), + R => '0' + ); +\wb_dat_o_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(2), + Q => \s_rdata_reg[7]\(2), + R => '0' + ); +\wb_dat_o_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(3), + Q => \s_rdata_reg[7]\(3), + R => '0' + ); +\wb_dat_o_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(4), + Q => \s_rdata_reg[7]\(4), + R => '0' + ); +\wb_dat_o_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(5), + Q => \s_rdata_reg[7]\(5), + R => '0' + ); +\wb_dat_o_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(6), + Q => \s_rdata_reg[7]\(6), + R => '0' + ); +\wb_dat_o_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(7), + Q => \s_rdata_reg[7]\(7), + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + attribute C_S00_AXI_ADDR_WIDTH : integer; + attribute C_S00_AXI_ADDR_WIDTH of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is 32; + attribute C_S00_AXI_DATA_WIDTH : integer; + attribute C_S00_AXI_DATA_WIDTH of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is 32; + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is "axi_wb_i2c_master"; +end system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master is + signal \<const0>\ : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_11 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_12 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_13 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_14 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_15 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_16 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_17 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_18 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_19 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_21 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_7 : STD_LOGIC; + signal cmp_i2c_master_top_n_6 : STD_LOGIC; + signal cmp_i2c_master_top_n_7 : STD_LOGIC; + signal ena : STD_LOGIC; + signal \^s00_axi_bresp\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \^s00_axi_rdata\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal wb_ack_i : STD_LOGIC; + signal wb_adr_o : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal wb_cyc_o : STD_LOGIC; + signal wb_dat_o : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal wb_rst_o : STD_LOGIC; + signal wb_we_o : STD_LOGIC; +begin + i2c_scl_o <= \<const0>\; + i2c_sda_o <= \<const0>\; + s00_axi_bresp(1) <= \^s00_axi_bresp\(1); + s00_axi_bresp(0) <= \<const0>\; + s00_axi_rdata(31) <= \<const0>\; + s00_axi_rdata(30) <= \<const0>\; + s00_axi_rdata(29) <= \<const0>\; + s00_axi_rdata(28) <= \<const0>\; + s00_axi_rdata(27) <= \<const0>\; + s00_axi_rdata(26) <= \<const0>\; + s00_axi_rdata(25) <= \<const0>\; + s00_axi_rdata(24) <= \<const0>\; + s00_axi_rdata(23) <= \<const0>\; + s00_axi_rdata(22) <= \<const0>\; + s00_axi_rdata(21) <= \<const0>\; + s00_axi_rdata(20) <= \<const0>\; + s00_axi_rdata(19) <= \<const0>\; + s00_axi_rdata(18) <= \<const0>\; + s00_axi_rdata(17) <= \<const0>\; + s00_axi_rdata(16) <= \<const0>\; + s00_axi_rdata(15) <= \<const0>\; + s00_axi_rdata(14) <= \<const0>\; + s00_axi_rdata(13) <= \<const0>\; + s00_axi_rdata(12) <= \<const0>\; + s00_axi_rdata(11) <= \<const0>\; + s00_axi_rdata(10) <= \<const0>\; + s00_axi_rdata(9) <= \<const0>\; + s00_axi_rdata(8) <= \<const0>\; + s00_axi_rdata(7 downto 0) <= \^s00_axi_rdata\(7 downto 0); + s00_axi_rresp(1) <= \<const0>\; + s00_axi_rresp(0) <= \<const0>\; +GND: unisim.vcomponents.GND + port map ( + G => \<const0>\ + ); +cmp_axis_wbm_bridge: entity work.system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge + port map ( + D(3) => cmp_axis_wbm_bridge_n_12, + D(2) => cmp_axis_wbm_bridge_n_13, + D(1) => cmp_axis_wbm_bridge_n_14, + D(0) => cmp_axis_wbm_bridge_n_15, + E(0) => cmp_axis_wbm_bridge_n_11, + Q(0) => ena, + \cr_reg[2]\ => cmp_axis_wbm_bridge_n_7, + \cr_reg[4]\ => cmp_axis_wbm_bridge_n_16, + \ctr_reg[0]\(0) => cmp_axis_wbm_bridge_n_19, + iack_o_reg => cmp_axis_wbm_bridge_n_21, + iack_o_reg_0 => cmp_i2c_master_top_n_6, + iack_o_reg_1(0) => cmp_i2c_master_top_n_7, + \prer_reg[8]\(1) => cmp_axis_wbm_bridge_n_17, + \prer_reg[8]\(0) => cmp_axis_wbm_bridge_n_18, + s00_axi_aclk => s00_axi_aclk, + s00_axi_araddr(2 downto 0) => s00_axi_araddr(4 downto 2), + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arready => s00_axi_arready, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awaddr(2 downto 0) => s00_axi_awaddr(4 downto 2), + s00_axi_awready => s00_axi_awready, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_bresp(0) => \^s00_axi_bresp\(1), + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_rdata(7 downto 0) => \^s00_axi_rdata\(7 downto 0), + s00_axi_rready => s00_axi_rready, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_wdata(3 downto 0) => s00_axi_wdata(7 downto 4), + s00_axi_wready => s00_axi_wready, + s00_axi_wvalid => s00_axi_wvalid, + wb_ack_i => wb_ack_i, + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_cyc_o => wb_cyc_o, + \wb_dat_o_reg[7]\(7 downto 0) => wb_dat_o(7 downto 0), + wb_rst_o => wb_rst_o, + wb_we_o => wb_we_o + ); +cmp_i2c_master_top: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_top + port map ( + D(3) => cmp_axis_wbm_bridge_n_12, + D(2) => cmp_axis_wbm_bridge_n_13, + D(1) => cmp_axis_wbm_bridge_n_14, + D(0) => cmp_axis_wbm_bridge_n_15, + E(1) => cmp_axis_wbm_bridge_n_17, + E(0) => cmp_axis_wbm_bridge_n_18, + Q(0) => ena, + axi_int_o => axi_int_o, + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg_0 => cmp_axis_wbm_bridge_n_16, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_wdata(7 downto 0) => s00_axi_wdata(7 downto 0), + \s_addr_reg[4]\ => cmp_axis_wbm_bridge_n_7, + \s_rdata_reg[0]\(0) => cmp_i2c_master_top_n_7, + \s_rdata_reg[7]\(7 downto 0) => wb_dat_o(7 downto 0), + s_stb_r_reg => cmp_i2c_master_top_n_6, + s_stb_r_reg_0 => cmp_axis_wbm_bridge_n_21, + s_we_r_reg(0) => cmp_axis_wbm_bridge_n_19, + s_we_r_reg_0(0) => cmp_axis_wbm_bridge_n_11, + wb_ack_i => wb_ack_i, + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_cyc_o => wb_cyc_o, + wb_rst_o => wb_rst_o, + wb_we_o => wb_we_o + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0 is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of system_design_axi_wb_i2c_master_1_0 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of system_design_axi_wb_i2c_master_1_0 : entity is "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}"; + attribute downgradeipidentifiedwarnings : string; + attribute downgradeipidentifiedwarnings of system_design_axi_wb_i2c_master_1_0 : entity is "yes"; + attribute x_core_info : string; + attribute x_core_info of system_design_axi_wb_i2c_master_1_0 : entity is "axi_wb_i2c_master,Vivado 2016.2"; +end system_design_axi_wb_i2c_master_1_0; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0 is + attribute C_S00_AXI_ADDR_WIDTH : integer; + attribute C_S00_AXI_ADDR_WIDTH of U0 : label is 32; + attribute C_S00_AXI_DATA_WIDTH : integer; + attribute C_S00_AXI_DATA_WIDTH of U0 : label is 32; +begin +U0: entity work.system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master + port map ( + axi_int_o => axi_int_o, + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + s00_axi_aclk => s00_axi_aclk, + s00_axi_araddr(31 downto 0) => s00_axi_araddr(31 downto 0), + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arprot(2 downto 0) => s00_axi_arprot(2 downto 0), + s00_axi_arready => s00_axi_arready, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awaddr(31 downto 0) => s00_axi_awaddr(31 downto 0), + s00_axi_awprot(2 downto 0) => s00_axi_awprot(2 downto 0), + s00_axi_awready => s00_axi_awready, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_bresp(1 downto 0) => s00_axi_bresp(1 downto 0), + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_rdata(31 downto 0) => s00_axi_rdata(31 downto 0), + s00_axi_rready => s00_axi_rready, + s00_axi_rresp(1 downto 0) => s00_axi_rresp(1 downto 0), + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_wdata(31 downto 0) => s00_axi_wdata(31 downto 0), + s00_axi_wready => s00_axi_wready, + s00_axi_wstrb(3 downto 0) => s00_axi_wstrb(3 downto 0), + s00_axi_wvalid => s00_axi_wvalid + ); +end STRUCTURE; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v new file mode 100644 index 00000000..75f68445 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v @@ -0,0 +1,47 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:24:48 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode synth_stub +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v +// Design : system_design_axi_wb_i2c_master_1_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* x_core_info = "axi_wb_i2c_master,Vivado 2016.2" *) +module system_design_axi_wb_i2c_master_1_0(i2c_scl_i, i2c_scl_o, i2c_scl_t, i2c_sda_i, i2c_sda_o, i2c_sda_t, axi_int_o, s00_axi_aclk, s00_axi_aresetn, s00_axi_awaddr, s00_axi_awprot, s00_axi_awvalid, s00_axi_awready, s00_axi_wdata, s00_axi_wstrb, s00_axi_wvalid, s00_axi_wready, s00_axi_bresp, s00_axi_bvalid, s00_axi_bready, s00_axi_araddr, s00_axi_arprot, s00_axi_arvalid, s00_axi_arready, s00_axi_rdata, s00_axi_rresp, s00_axi_rvalid, s00_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="i2c_scl_i,i2c_scl_o,i2c_scl_t,i2c_sda_i,i2c_sda_o,i2c_sda_t,axi_int_o,s00_axi_aclk,s00_axi_aresetn,s00_axi_awaddr[31:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[31:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready" */; + input i2c_scl_i; + output i2c_scl_o; + output i2c_scl_t; + input i2c_sda_i; + output i2c_sda_o; + output i2c_sda_t; + output axi_int_o; + input s00_axi_aclk; + input s00_axi_aresetn; + input [31:0]s00_axi_awaddr; + input [2:0]s00_axi_awprot; + input s00_axi_awvalid; + output s00_axi_awready; + input [31:0]s00_axi_wdata; + input [3:0]s00_axi_wstrb; + input s00_axi_wvalid; + output s00_axi_wready; + output [1:0]s00_axi_bresp; + output s00_axi_bvalid; + input s00_axi_bready; + input [31:0]s00_axi_araddr; + input [2:0]s00_axi_arprot; + input s00_axi_arvalid; + output s00_axi_arready; + output [31:0]s00_axi_rdata; + output [1:0]s00_axi_rresp; + output s00_axi_rvalid; + input s00_axi_rready; +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl new file mode 100644 index 00000000..cc72df2b --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl @@ -0,0 +1,57 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:24:48 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode synth_stub +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl +-- Design : system_design_axi_wb_i2c_master_1_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity system_design_axi_wb_i2c_master_1_0 is + Port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + +end system_design_axi_wb_i2c_master_1_0; + +architecture stub of system_design_axi_wb_i2c_master_1_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "i2c_scl_i,i2c_scl_o,i2c_scl_t,i2c_sda_i,i2c_sda_o,i2c_sda_t,axi_int_o,s00_axi_aclk,s00_axi_aresetn,s00_axi_awaddr[31:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[31:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready"; +attribute x_core_info : string; +attribute x_core_info of stub : architecture is "axi_wb_i2c_master,Vivado 2016.2"; +begin +end; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp index 2681b19b3f971928db2578f6c14022087a8ff081..989b17f95472d246580d9b885d5771bc7c526677 100644 GIT binary patch delta 1088949 zcmV(&K;ggmi%)@vPYqB@0|XQR000O8w_K8u4M-8UT#`#w8sy;{>j(h;bP50=mvQz8 zB7b;poVo>A9NE^k4Fm{IaCdjN;7$nc5ZocS26uONcXtmC!QI`hvEU9rnK?6OGLt#) z_5IXy-SzCXs%rOI6xCJTsF;0GVqy~(z<>QQ)S;*Uv1VjpVE?jM=Jq)TTR7ezBpwu0 zy3RA*Wa$8b`@$OLWBZ)e1S>1+-Mdl;KYxY&PI~`=NvI;vY6KEVMgE(Uy*rkNoa@8q zurP?R(111DsW-rh)yokXcDy$TxF-lvNm?tdH!~Th+|3WSX=}_cPbZ`_5{(A?@j(rt zO}!iObsmk+I|tHzW15c#&Qtrm1oxH^Z7*lDFSln$vt|B!FT<Bc4h=kOT+K7}FMoIU zTJ3jdmv=RNFLpa@?RV`j_B$_+?d@gm@=s?aoQ|i<52g?Mo#(6F(x<$)u6O&RPcBPK zj+P$o534x=GoRLYIahdipA21W?rNJSltwjETe*0jHZIRE3t~b5tq&!A85Xz}Y!L~L z4U$#PPe<G5cL%)&_FG52WtP$z8Gp;oU!2Y_FPElbjAr5<W<K92&%|-gw3tihSd`bT za21zx74r<gUw*!Uy_0FRejMrDxjUO00yH?DxT$J1DQj?;1}~ww`}9?ISNc_!Fr+YW z>ayxMbeQ=p1am+Se^YwjD_&mi_Iz6+EqJw<CVVlbpp2_Dhg8+<d~-&$=6^Z;xV4*M z{@es7S+U$IcVk-IaI(DSxOi>X-t1g35(r#xJ)C9zvC#ct$C=v#_r}Q0zO2NKXaR7a zmU~*qSpsOtSXoj~<|!#>?KlPuqRqtFUoAFMn192WHh=H|cN<4d-d!s{<tfx$<Kn75 zt1ntrFF)mVzhs$_zSqSL)_)<t&)WCT#tG&^HX%>WYTOSoL?404Nz!3v3N`ef7^Y9g z5Mk;zeho6`v_=KnYX!SeT#~FMsB2Zb1w9~MkxV71g(&%4&t$r>7;C{UD*wf{UMp6( z&3x9n`kS56d{{c%@p=2wrpaUG1t=@8ciEE3vhEF~l7zQ>ZPZClfPW)T%bdgaZ%=fV zytkJpPaY+!+-f||b`PDcGrQM0ONXK}oGtg|_q(!ki}z;Amx2?p!koxX<dZVYg4Ppg zO}N3<<ZwA>^qBBJ12$*km-w^Dzg2!%eOfrymc#Q9et*OXsQKr>?OKBqz?k{8HHDY( zJbxK5g(vPIaUZifCx6oTgfx{g4PBtge$`ckCe>;M@RO%Yb<UcG&eJ5n5-36wZ<PV~ z$<f9B9+Cj`=Kd3TSJyK+vr{9Vk2D2u04>UMt&1mdEy@5*$(zO9-mTq2aZwE>ij}50 z)jF!)Hst&0(~sqJ3vE4aLHE%IA4A**F7*=KVWuK<?inO_{eKVo=%3K@@wzYdYEQnj zQq4RFETeU`t>1t((9OGf-GD8k4Y!S7s?(hEJU>3~I($4FVx~-yZGt^zBpLYst?G}_ z1_{JNv>)O%qN!VmRUJN5A4Tgg{Fd06JJ7F=7%%>nO!2a2cmzt^e3c#`%B~UAj)`g) zMUCrzPtXd?DSr)cmTadfo{v&G8O43A5noj$IW3KLR7(4It$Zlug<Wk@Ze3MO?&56e z>RxhOVhb%XR5K6ITGLEEiEEydyfVB<Ml1qT(%1@4?wvcfU#iF3ah7+zT(=0ZEM}W+ zI9)zmomAkp)CymmS$ruiFPl<ml9JzfFBx~ptXTg4Hh;hYJG4VCoszAT67BpSgI=e! z&973{ng#3?@~Lwaqld_Q_Q4m;A^)zSGD*ay4}@$Vwn>AQiK9}8ZDNEhqWgald>@q} zwVjXDbntg;!6S_^E_W@A8_bM6B4cJ$|0Ga4P{ekMXK&SlIFGU-Q!5x1KnN?$gghml z$?Yttb${+Qa7D6|&`2k%#pqUa8gbZ${2<2*exqmgGh}$duYQSNo~ViLp%%&9i_$fs z4<^^fyd${B1q(S(%r3PVh7s3OM-%S9CtFOe0X_i{@iUyqhbt`)O@)Bs7LF2%ism`{ zE9ZwrDLM1Hq=n?U73LX`495!55tHI2Vf8}?w0{wW`Xv(P>Y%}0iFZZFF5Bil=a z%1VkX!+9gmtXHnw2HG|Ks*W}KLzN@MRxgJZhK(;QdAy`gCX#^Uat9ja7XK2Q=f!)e zi|70Y&an8Aw3DZi->v~uZ7(`E&jBkBcn+r?iK`y%&gQmc8dQN{fW8cOCB+;ncIB7z z&3{MUVvp8aEwltOUbBoe^;CDq`lsvL&FzEhhj#UJb#)80kr!#L$1e^}HqW;^yS-YY z^-BqTdwsmA%pC_WJWhu7wV8k|#-01thm6%Gmlo#--Ku%qmn%D$$ICkp1xpRH*LT(> zOA{CO^Od$Pjh&T{;~~5Zt<+_1r`egcjDOjN5Wu3*4eKX)jR%`ni)Uub6Cu0pwXptz zPc`!vhk$R$G4DPElIW9c6C)ENlPENdW4%0H_Ad19Y-(MTT+j_Li|5Ne<geBqtLlvk z2#5%*hfxzy5zM`JfdQj>H*f|52@(WSWJ^p7stYOrqT>ZIB5NEjV2hlbGmh=ItAA!( z{wo{;h@2#tVM73d*6)B48O#`t=&|Xs8PrcVv{<>5zFkHP#in#|8b(PI0TFpJbWnF- zcYt&db^zcWxZkZb9T8C=u9vPWb*6d01&e^vykSQZcsS~fSmWYVWg+v6xmX%&<1`UK zDTc>)W;u4o<1v2uYU}La)aEup>VNUct%7$iHA6jBJ-tp;)MIghR!!U=-7At$1)o(g zbbrAPt8&80VoCV=AOvqQ^{cD3`viFOqMfV5xnxY8wDfzT;TZqhXX&K^-men&54ndf z!RTJh#TCotnl87Q#o!4?U`P7WCHc-qlfp0GNT0)qIP({9U=2M6Sv4Bi`hRRk(+T+J z(n?5oS@M_Pp+W}|_aK@QOGlur9elYkV7fj~<(wWF4yHxXS_8{#P7kbzPC?zxFDrMS zD4T5CS2EPHE2*VxMp;7RtW$mDaAYP}BOFJdPqzs<P)<^A;;LXwR)!od0o_#uxuEoN zitG3)uB}NzUxApY3^`JgJAeEOwM!YJ?TpjP7-RUx^Zx^W@p0qTh-yj#L*mA&kTg_T z1|+qQ!3D#HU=P4TJ3U*ZdlC4f4E(8j0*>KMM&6-P7_1os)X4+y_NfyG%y?oJw1`$x zgkSm$pu`-ZCs(}f8t_+WezGBxE0hNoadr*j&7VkC%A!w{J~)=-x_@+`@lw_1FHEdN z%EZ|m3On|?YO%%yV<;P|Z&>$+Q(35=ZHc2RZ#K&DVoZnj<yK)P*M`p2qTSrzOcio{ zJ1#sDG2o>PkmU#;JVt5JxKqr>d|QC|HXk!%3<hol2Eq<*7=|_jW4VHnY5sgzdt!LK z<6ksBydIm>Nx|eJZ+{40FbpG=gpta~#BN|{Rl&?unTm0XwiiIL7r=kF!SDVh5)XGf z;O$RV*8K|!@7HKN%>np|?EvNTZmPSDxX14mv3Sr)c<o=FA*vGbV8OOUf$k9a+(9Gp zfPb=lcL=eM5aRBjB)s0yc>DtqncJc==R{w{-F@-TbG+Q2DSsHh*agGjrV<`LB4bQY zAbQby%j=hFo<<%Y&`Et(miinp`QE!W9KVc6>=5E7n_U|&t|m%SmJ>ZI`{A%K*Ir@r zJ*5eb7DZW^#OH^Q3!lL|{&mt7fz{kk{V8_PPB9h)mXkEwlFfc!KQu(L9iX0*u7E6a zsjjDxLAze)dw*G`Q-2?c0c&%r?qHKfz1<zyjGOH2x1;B6K*AFygT1^c5Qne0V%raM zE#3ySZb11S(teTvj(Ib(dT`7@-)xAm<T93Hk}x^Vm83mUr~FZM_jbjl&#hHl=4;He zUB#yK7q`Vv2V)26`RyMVub|(w)1O0sY`5A)b4O`!RDZk8^Kx&~!v4xPo7qL@o+`{R zR+G4=I($JLenGAOEaakb=oyoCSupf?pn0a2<%9KQFPF;Z3b*KnuQdVSmcQDb?F>Dq zg!<t#qHuX6K+AcvX2*pPbp?sQsv@bQ)ez(+8FKkE=}!Z@ilkVVk=$3eqsc^Zll-A+ zPGYdtP=D@(WvXQLvmrYjy_%Iv{DDyW?Kw!o+(PK=0w}EnQX{?OdKa@7^wduSUs{P6 z8=d4>=&4-wMADruW(u_Y4^8xvgMKFWiYFXacY_EyRHC5e*a(d_LhFMFUVj_-mSacR z>;%SAiRP+v5bg{jP$-9sHQ5La#^vBh`HgFS<$qeyOvlU6;Ns?ZI@taiq~OAY?CD{K z3X#G5(Nmf>IcbxZ&H<jm_383qtL9WHox8{a$71coWgXH-l>6(&<-_q=jnN(%8rq62 zU5;rD^4<Qz*_8R4V?1Az3>FKMcF{&ztk79LwKtz(l|Eak`OIN@&%UekS33(d#52Jq zxPQV)78SdIcy%L0xlX>!5s|wHlU&(JoynpqL##?xCF@e1t-oE%S5+Q6Yqo~Aj_<S_ zU`Y)+*4!LzW^orXYCm6HYd>#XW~=748^`CZ1R&GC|9;SWZKn0S(c5eC05sjZb9T4$ zoV1f~v%oub`CPk-J%;2zfW9KAIl}XNUVpJfTqWPPLhyj#8Rm}#Mh0dC)&O;ayiD~) z=6m6~WMm{3a*dev8xz-F*xW`hWVXmnaJgl^V64{|g@w#^%_g|ga)%mkFqVq-8!8L! zUf9w`FBG=QO>p6S#bs?2HkDpjr9&-ipFambY-Yc8Fjnd&ctK+)g@x5(<6j2BSbwoP zt()MMR&2Q4z}*PlVLd6l<^g&0&vxGlfKo8DY?dO<;biQQQu~W}_xDO16w^bBpHHR& zGA!w&o-g`hYKEq^_Lf$H3gX78C*j$qHVl<gMm9!GM3`_acDqNEKCkv|%hYfzFJm*f z={v|C4BzSDCOJ$*68bDH`Slb&eSf>7b)dVaT|3QswmzWH1=1%LLezy+h2(&Af&_yU zhGYp4HH=UYpTq^BHi7@(BDN?vi5q<#SsRecO?(_xt+F_U+q3YXg0F-xg|CUvk1vaV z74ys_K(_aws_l#JB@-SR-=x<A;ky{2Z8r9@2(wmj*}|!P8~Ux#0#w_^(|^X(wqw_G z2sq;n!CPIpY3ckyTa8#Mvd;cE`SMhoomi>@b&j1u3T3ILb9L~&!6neNuRb-+BLLcx zhf>^X=V8EyjqTb?3kO_hHqIJ}!<D{0+7@P}5Q4m$Km-U#Uoc&;P0&p+L{LO9IkN3m z-~kFC1QB!{-@l=V;l~Y&ihoyQ6qCdW&LHq8aLOCnx2|x$*nu38qCuz}kyLr=6g%P+ z%HmCOgK=`@u{L?JR6FVvd*U3*gH3XyaW>_#wt1<)hs?{TcYlG|C$5?|jNU_WE$U6b z0V!>FP@{Z#=XYH2xRo#O4gP@;S(_am<rCXiq&p(m><}p9{ue!!O@HT+RPasbk2nm< zxVAe#!7p6hX*h1r_r0>_-r%9SQyNu;?T&r<*U`ASrgJrjp*SP5+!bd=8u`9|w21d0 z3^3Or55@QQ2K^4wx8!fx-<rWSVt?m&XM?}XM{Cv~)jm9TkZ||@iBYRSYxnSS$TiJ9 zsbY%m!v5Z%O{zV$bbpEr=$BpMP&T#AWBnD5scHK-6CgYP)0kHTN!jkuDxcW=4yTO& zH0F2ok~i68P~H5%SPR5|8uJHq=hdD1;{w#3p2HKVBAU*Bf`Di_*Y2RLz)v0eqir<4 zufLPe`w!3{^5cd01mg~4y6GAxoJ%vqxgs%`(xwh_wlT>GEPu(<U*u|Hl9y(#mAge) zn2IKHzr`e{O)uxp`fhLeI07}3X~D@AN^JNb%i{(b{&0*W+ynMcI}Q`>8+`$r%`S6~ z*SC}=SN!fH%zKHDM$=<&@8YJJZ+ov7LTX~Jlf3QRYM-#bx0#WmFqMxtc5MaYC@(i| z_Qlbc_gLq-Gk+FhM10U=VK%`i$*RJ{{0Yft^ju0)v_a0O5rYm24ms6$aovU3qC3}z z#Wx9LXe)wvEkc&36+v6snANVvY=ZHMg1dEVEK?(P=28-L$|p<)&I631kl8S@(6Vo3 z;U|D5ASRsoEDaM_n2Nmxd|;X9`F_F|$10@XHcn_7#((EU1i|n^^@8)l^#b-n@FHKW z<-@NIj1=~zCe%kD2!T))>L(OHMW_yp5)P%-)kh!-L09eRClo<t_&rqJPZ;tGa8~a- zPC?hmXA3I5*U$S6K5q!9aH=Q&g9&zA)q|+GKd`aiFCI~P`2#{4;Q9u9N`C=4q$);a z9I$H8Dt}H?9D*vwPXGuhiRhCM&h`&ts;UxEebzS!D=m$RW2vqdQJR(Es<!cUV_*DM z-@&TkCQ{i+uk-uU%MrtG&o8_OX!LsM(Jbgo7L~`~f5GZWkY^NlHmIzBp<kg-VSuqG z`7E@cFm#~662zj&IIDL(LTy;4IjA|2F&6(4`hViLaaR9&hT5<!a~N|yV=TenL(t|m zzrg2;Z)>sS+3$@jeZV<?0~aRqJiial??8v!&FaL(Jo*ElJ;7a!D+69Zf5gh?^M;xI zztA=qUk8GP8`qxa_XQU<w)zRBXqebposnvSKA(-PU}4L%I$4+-{lVwP*D*2G^{6sC z?SGrU@o*J;l(lTGx(S+kq-1?UY2$61p%)Rur+!EEj_e(;dLSmztBtffI}q`x!C#o= zsY>M+5g;arkBp0qi9DrkJfV$lK>N`0xxzfF#YW9d?jH2zZ0cR;X?hWxg7WE3M;%?W zqUi&f8&boerrQl>^W1!Ez0unB!{*q-n19R>56456P#6dn43a-aH)=Or_wVnn^A2XP zI!hmP^p+r(q~;yE>XGWxDvy25$;>$8N9M0B@*uSX)dJrKItPLWiUj75wMp@cPrHFY zX5~L+T0V2AE_%3PGc!B9NY1XebZ5E^t!<*(W_~!8wj`+Yn?Wt9XRqrmq2V_VGJpMu zl)&2&e_8hNuEiTLlj*{;uL)bM?DJ}!SqlGVyMZf2W=dplOs88h6@Rl=rV4+zD<va$ z{AYl+UTkAVQx9k8BAU{AmAc*{8clOZ(~k<Da+Muv=1WAbiYHo4?J6*5epuO7Q-#0T zT~Fl?SCj{uBr7Gk&T$GA(EvrcR)6v0AHgC`l9?YtxG!;v71bw-v#o>0%BokCC7K2^ zC8f?kf&fLOAHh3M<uZU`iOUQ?v#$6z>pWBZlWkSLKrL_{JxI50-b)2=yxO94+v2}j zdlu<?)AY+3uA&krIpvGo^4$*_BQed%WUmQ;Y5MdGm(z?bAUN$3h4X;QL4Qv9D7Sq6 zgGN<Mvo4u^uheT|8ilin$^oi)Z(5P#pu}cUc_+7g@PkHNOfw~!y`mJLIQ2DARAM8i zyo0PbHdDN3x?)>*(xi5!Uglh`#I0PCUh;c*#<mX7q;`Q_;(|JRLtT2Rs<Kj3*=(d^ zKh_0E_nYB{eoZL7CQekpWPdqJtt3>o(doEpbphIZXWSr59uSpoNJ>vWs=Owe>2&P1 zx<sy0n{Tw;PCM^ceP&wUmbkzx-Qbp<aI37iRK6zcPdfptJ|A8;YS(u2=)8aSo_PW* zd4N{BK`T9>Q(4ifd`;MUbON5eRbMv>&~}6GyvOyP;RY>nfl#`6SATj!pt2%Z`I@kY z?*#mjn0-y0{wneN9$)4KmxtRS3hfsUTTX|~Jiau(oDZAdplnT5&>T9pS9b2x9N*Mz zqLz8pg3n@>&aT&jSFnJV5tzc(J*sgw*v_6JSWJWGT;^`%{^uhX7ch7zToi1VesWyK z<bcp!f{Qx;81EYIPk&&JP$wu{<X;r54zjLuK*$3S|KImKB9P+}N)8aac;{C3kNpBs z;H!2CX1RK_KC@G>Q#C4D6|8G^`gn_iS#sG4a+P|v<+ZrMy;&tglO{g0%z$?9c+5N_ z+7<O{Z~2^X@sQ%=ZArgo?Aqlzc^i6HdUkx$N%BQjrQ`Q&1b>UKqcR4l8NCF$A&efC z<IwEocdfQfarhU55E#&8>?0`>2ww>S{8RV0x>YYYf!7#m&&q_&r?F}Erx{xZ9%P%R z)(_HsV;)=wv)c`Dh!ROU;y%cb3WQcc+8;rc32zYzVj-%8jPqNNX{JP{I*gM)ycH3W zuicxNhR7eL&wt|&2Bk!36sjcgrb2KHdMECyLU=iG<k4$z4oz#${DS*3_z{;TOnWet z2c0rUC==1^Bj_i>M#Rnph%BK%5&^ujXEgh7?fFc>@wdHcTxyt|u^4Xj3L-&#Bp?Y8 z^+Hx8-gF28LGM2L(yAHStk&q}GwT-D#UvrtN8~sKYJU&H$YbV;{qv|J8(;UdnG590 zkS36iotRm?DVQWoA_=|3L1_`pg4V@BwFrF?3F0B#m;)}-113_XdNu#Any^PhfJJQI zE51dLoJbdyCrV`Ojs>ScEEHNt?2Lyf7y3>jK#rgrB+~$jC~uuAvi0t@l6+>dsDBi~ zgE>`H8-G7B{%=!FyC_Q)2rxCFpP%XYC-F1%S`WfdGB);C3j0$rx<(-chpOmbMkPso z{?^}L2*c!~8@v^Zp`dRSr4s_9tba>Lgp5(uzfah$8_dKUHq8I;hZvxht_lquq-P?} zXO~Li7Y0YesPDHD_NQYMh(ZVn<zZZKH8Rjw_<tV<*ySW6%3&Oa38n~_H<XF$6!xcK zRFB#S36;_BA<PL0jk`$85ZT4=9?neMWH$gu!BE$qC+tSSXzPa%7NKUm@7KT3h>ViO zFa+;K?xSET>|ZDBM#U)a|1K;-&ZrwTAxfHZn*D#gMCGA>?}9Pm#r;Wx;{@HU+!g1Y z8-Mw^Xd)~qEC{4mC|?L)0AEChXGdVix3^v5xoMGcph^AW0!oP0(UEb!rNsIq1XPf! zLHhM;J4Asn^w9Kg>ESDZDj_N_=N}u!BHBMkhQvJ2iRWkX;}b*zH@>7HKw^R-g4}($ zz9_jHtFcjX%)ukYbI(-NW$uMp$LJt>U4Qgmml{ta;4$FzH(c;vaKD4BgLQ;>q5)Tc ze_gSxT}9UmBF!|h1Q&um=bMHJTznQ)@9V|{O9qe(5DtK>)aa`YR7dX+4?)g88>xaZ z8_YzL=yfrYevPC)po@Rj{RI|ZTuVLkOYv3tt8-6P@6+>6ogPzqAu(Tc&mod7w|{e` z(=Ll*c<d(&r0%D^5c2*y{E+efK%UGE@Y^;>JaLPy!%lRzt3#<bjC-x25ea8!j6CUn z4s^92Bft-CfK(<=`R}>K+TR}B;6LH!uKA49_JvHI%HDH}w~HR!kU!z(t>s+0#P$jQ zS4}7euGyi|v1dX2x6Du(BArEG1%IPsp50?pP{Tl>K;M9BfNX&-fS`dwfIjm!MCnC? zGia-|d>CwDLIk1yap#33(_+w1U?Shb(oawnl1CfgtOC$2DtWrT#fCzR1}xEqd3Zn# z0H-Mca^>ExlE=L-n*NX{MQJZrbhPqu%;ms|?)Z$_IYHo!XYMtA-P<CKHh((tV_DM( zIhWX~Kt@zqXDV?Q-FM<Sd_C~yC-oLUb~71p9x!<ixCb8Wx3aM(Dd`zU@8x)b831Ar z$UV74R~rwqqMJ!p(^2>8w}nJ!jW(+jouctm6>_-lW=>0~%+GeWstdAgfQxEDHh^Gq zj>Ys_mH><Cf;XZoh0+lD7JqPD6m^mr+|L1seZz!FWIGePR>t4~Mpj2K;VGSGOzb+~ zWTWfTF5&0QGsL=Y*$q(#hSAO80t~IbfcK5AH)3G(`XCuvZNf;kI@Vq%&d}<=s1PSP zQ8O8Js1hd&ZgLQF25j#}K4Qxy3I^MXxn0(ZR*_C{3Nc=&7>;_@h<`B$^w^3yzzvKL z+;Qa62O#f7Ht*v&tCvJ*2I=os4W|MdMH#~d?L{78N2ds$+luMHQH&B?O-$h)BnzFs z8yMD0;&?MkIDw5gMzFv^%oeb{7ukg^mnsOzDd4_l30ftHPU>T{1y2>u<{(ZNthN=i zfEySi@Z-p3511B}c7I_uLu?f!W{NP_g<%g7vK8YB(BF$(#*WSqgt8U0hARqL&FbsB z-;WbB#2p&OutE*mjr;;PFiOzGLChO~yc@ZREr-W_j8OC85-w_(D?W*7of>h3;0!xD zP0+?x%pHzmn4p&<m&bWg&p2iuhwy(lX6Ao4CV9WL&Ly<6<$sx@IMLeg8w#$n*+Z}T z=2>@n%^_V%*MaKQ_1%e@+fd;uhAC-DvPoRNV!G0CEg)Z!x6I#!MXKajT06WrMkLuZ zx75BkDj(D2XB2dwE9kjcTK4RG7?Coykh_RcOPt=!RdUq&PL_Up*!Ar4JrvIvzf9+H zDa#{<w{q^KbboJT<7DJJ@%dX2W^|UqHxNHR(b_w1IXw>R?v+q{1Nzt=bsy#GF5CHG zQ)C0nPZ}x%nTN_%=~ijuliw+FoBXZjhKnB_vb)T!?1reH7S*Hkx(7L~JF;Dluf`6Q z4x9M=2ze-8Fd07BkGqafFq{zbzT)J%4q*%PEnhv&G=H`0JI#)eNQb|b>mFHt!_^O2 zn6Emb$9hQNHgp+=OT?ka>Wj9f#^{R{t$M`wpk*ZE#0Cq<S+_#Q4)^YswF*Z3X~+%* zC)&9|&i*6lS$1~Ie(|T+yKqJ4w;){nXSFTgVy9$QTAP7{OLkO2va<sBk*?3LBM^m4 z_EZJ2vwtG=k#5clVW$M@A)QU2{VD4<5$ugXB5Z44Jx|%dcR0dk_jOAx8DF&mPq$Xt z(EoXNxrsG+13QRy2|hw3auKLwV3xC<yROEo)QR(rg0gWl$Ke9PT9}vWUUgzD*j?$e zM)`Z@dT{G;OfDXXdejzjO{#AE)V=JmO|YsI!+#KkAxAH@fl7tY9M#0t<qI%cGCGjT zFaBSOL06ac%VL>^WCXUT4fox8d>x^%c(z>?>U<q=_qvkE_TGSJ>*3T%FLXvAzh1nV z94NV5GOnE3i?gzagtYRmi{b)5Zf!_VsB+R7VKpNYVTn`Sy>m<3ll{}?{k5}OjZ>X_ z3xDqHShi+ao4`3$VR|ALf)nYvcMD0xz?&#eLNr2U!W$vnz|`?bBvvZDGqEl<yxB1i z6|MF!)-?+j8BHZuhjk~IvV!w$j~7f$&i7`G&doS@mlr1<nqgxe*BkdE4<j@8x$C4* zJL($`t=<yI%j2f|3f+<{wHSUeN_y{M3V+H(Sm4%76}BMcVb{Wm>M>{7<w|73h#FoE zY3lRV$VAhr5<Hyvm{e%_bLG>+wZp~MeXrre{mjzU(Bbj!-F<+T1>4dR1C0Nb^y!)z zo5zB><=UFrtkgMxpUBDP>G1ICYJ?W|x^-yg)`PcfZnAd2Xs5G$XP0){!S--MCx7sP zS2S7#^;^(Y%ABR8<*X$u9UN@Qn%SI(%gcG*QJur_o<|G7vgmmhaB8jV*0jQOVexd{ zw|AmCe{;9qeZTUsR5f<2K^Ld*I+|0oO+T;19=^|SJ_`sb9=kuw8SF;l+|p_>*;9^4 zf4QCN#CW+nd0JW;8OeCL?3>vm+ken{dA`2$Ekmfi2iLy7xZD6`3s$F!o~e9?IR+2^ zw3hLFdy;U5Ap0$VIU9P5isdX0pb{im+T*iky1@~4Cbs3*4LM>Zz>U2I)2D?aXgl_e zBG5GNV&iV>J8h0SxR!hyl7?}PrP*a&*y4O)K;RlK?)4tSwgXjPWyq~5CVwb1TNlb~ ztUsCgu4p2Cf#`02RR$e#XI!$cZ1xtY20SH4*wD(=QbP*ck~NFVz3GL+qszmkS*i|p zAvXCLnolb1js!VF*0k?AsRDggHe{Scp_xD!R`JlA!EJp8u-N%~MzHDI3@?}}PYG@( zXmS<42@r8qnR?Gblc8M4!+-himFDX$>8<jU>y;6QmvS6hw-cR)GsBDPnPd3AvH`%- zlv;Jn^WD7zmo9e8V>okD6D!*kDU<p8sa>PRE{RX6CLfv1o$}4?U~|mA*(GI3>{>C! z9%B#s6Mi)T-*J*(myBW~S&em?BDlYid|^7VaCjSOm{QupG=p)^E`K~q0vGt&Y^B_? z{)X)FU|lNlGV+G(iS5C3huq0UBEFJ3BQ)}vsl2G#_ia(WB8XTYOo^MKLTAfQaK7HV zPC6glkr~@QV+f;Je5+dsrQ+6l)#p~P1j9FU`@_UBWp#<866GHdLJ@1viN6Z?E|gtz z^)JYb`XVBUOOjg(fPW!TCd&-?4w51-h|^_KYXnhb_RJ<wWX>x&0~-J*IiI7-RC;EE z#ZqUQ0f+H(szWu~L7o?>dW%f>eT-!OC=*j|KVq{vZnH@`6jVb)CMjYSi+@c-Iypv5 zc7bms$blp-LC%u(6%s|F&{%*3i84h-pF@>TwHZ;KFQ|-8o`0`uNB<x5#5I4hFMJP^ zz*9&m8usYUFp$eBgW3F5YGd)eUyGfF%}Db$z~01oHV;@4&lds*v7RKTo{*iJ4Yrb4 zmR93dx8}PrIvH?_vJqOFQ)Eq6DkKSMY_iO%FBGW)b5=BDoFsYmcbdP}+STA${kL-i ziku&`@nnQ&Z-4V>b=>gA|6@XBmTDJxKVK)2bWO$OzZ~~mT<fp3Dc^$(f-qif(`0G` z3qzBu@-2V}R9sG~)7tERi&|Q%dZ=8*kv*~Sh6~G`i7FyyD_qVz$Gz%p-L^tbgi@!8 zd`i+q{>l-0TVA2GA1U)a$|8QOhH@?{cV-Xikjx_-_kW?_0s+sQ2TRC4()tS0TE&f{ zHJ<|ttp5>E<C64=yEwhNJ?Yl|A@@l`h*}x{W}Cy^V+3Kyub+-)t!cMyot*!oQ}1Qq z2!t2jhBcNctH)OkX)r~m(>It@fibHZQW*6XEH;noKYv2VpVrZ2P$P@TEP=tG$<_D< zKn79Y6@R&nc+<LFvCbumLShzeO067~IO1-bksApFLFSRhvSoQgqDU6%3m6~;e|1Ka zi7)R#meD;NLY6t2Y57Ob`%4^A6)eBgJp~&Xx8<XgkblE&dwO?z>ghKmI|^aTnmDg* z>f?k(J+D0h{*hIszH=~+q~2^5^^;jp727M4(SH$;24@rgGATf2C}X!>U9Bl3!|oux zsmYv6d>oPJOC7r5&G5gSo?~A<tL;egJrO@?<$LP7?1IID=>rBn@pXa(qsml!R)hHh z5I`CxYQ~!E=9XRA8N}C3z3J?PdD}myi*gVRFKFxf6v6JAS8DqRV^J??FM#W{?1Cj0 zF@II{#-qE`^rEh?&~-?Vf71HPc~i*Xz0zCw(XoG|?_CZk4LO_xVCV?W>0cUo6#3Ui zngx9QM<d7K7CLdxk8EjI2-w!V!w}I8ZUIKqcR1%<gBUua;7C{!=Jb|6U|7_P+5_N2 ztmsPwuP-Srh^D@0K82=!AI=HbP+;NyuYZ@*Dx7ob;^t#Cx;ApWrI7Qp$&mAr25HWL z%TE>Ku7@b&Z2ePOA<%u&HFi4ebgQi|ODQ8BZ60?vwig9x6%JG*mCi7EYuF-}Lsdfx zC+;ohcoyFRS}o=VHXi*8rya%e+60|%L60xsTNqYWpAtv(rVsA8M{)E|`<@DnT7R^F zpEkd9N?A0>Rm@p<v|nGo@nh;rbm$G5a+_ozoIPBLV8jKtyLA(9I9!*V>3qiB^-xFV zI>eFWwGin%d|CQxbttE_MrX>E96Ad7upt?6<SF4P;Whk56{s3iAESRz^BNZYa%0n! zQw;z2n~mRYL2RNua`m>d^@yxWL4W!v{{g~2O6yX@pW%+!2gue#;b48!XShBOgPr1~ z$7E#&9zN1hg~85B)MvOkFM*vBq{n1!MjAfSQ<cPijsMau98Od1JTA69X;9N>9R=R? zP)AYwIp%#O48IQL@nUYCTF~_INW1F*UdA)J_>!($x+{fTa>a*1cf}W1LVwjVDPrT+ z_hhy2iFANUF8Hm_8>Ja)0(z6UGm|trhH148(dcWS6BodSk3b9^fSFo6lQhPMY4r`! z7;B)D7W{^f1PmPrm|7x}G(xkur8@g$7X9lh^yFpD2z6xMrKt7gyL-<Mn^3UYf>a#@ zu(Dz$0VRPXy{!h)hwNvJjDKrA!lF0EPLU}WItk)>=R|levAsk5BGz{=+~>Tl@)rBh zQxC_g^i8;ZM-?3VEO6iZb(H|@!$3V8>r$d{`<|+B_F06!_nRt4^HTa{4M;iLo5w<^ zm1*dHH%pN4^+>qpWRX^`au;3aa+YL|1%aO%(C6TK>pUA#-_3z+s(<mRLkE5awx+=^ z2kWWJY(zzz6WCM}Q-==vOkhnzT<+IXr?Tm6ym_yuN930`kIuqp_T?QGZjb-lstx|1 zEHYMlk3U(}#s{-6h<{qw;13!46II^WSe|-stjvJIVLPgT*;#@5z}M%2u(2Zb-dLOA zhr{+%d9ky;>jU4M=YPY-Dh&|y3lMC5Xmxc=Kz*uKH3YA`4E@v^a5Y)em(F{L)T6CF zTqqJNdxg-W4a$Jd4<qu<=dZR`o0tLp1B?iwPgKvJb^_C<l9WNbfMK5xCfjVVk;+_m zT#;d)M;L~d)PqR;8LA)Q*BV5C8u*29ckmA8d$%ox2DE@F2!DSmehNZ@Uno20v0B62 zEV_Ln@-dA34r?r?y@|Ul$de5TIUktV<B%~o@N5O3bM6?sYGl4urQm)O!cczysrbf9 z<a8NEbF|3~es8MA(c?to-e&RUS=mf2Nr@3yW#NTUiV6vT%9Q(-xFW1~*v2=>AhK2d zmx^tbkN$-?2Y=~Y%zfqBSw#kVE5af>KkFeYokGT1`*&@3xOB~&Le{mC=?AWJSH&pZ z2srS*cW6XAM`uZGRT>1;)MOnGr=$ButL|K$BID3}ZK1RIn$EB@dMyxIsZTFnK*s^U zYD7M_>-G?RF*CFykKNp@Yl5FS>FEtq{Yz!TR7d|xYJZIV1gvxk#$TF1FKr;NhQNfW zkx3&NXWtu8uio3p-rC5@<d?v^?NcVuY1_m*;KrNcx(Ao2>r_P}$&iThfBW=H$$tCv z;a8%;I2~88@y`0OGg+##4I(=hC^JnIz1L*TY@QM1tO-Yl35Tc20RX@u{>-lf)Q2^< zT>Xg5(SKQ~5(-s_o(Gkm?_E@+ZAzJ#{1+RXpC4URq;E=@lq~*V`}VH)!ql~wSD?$3 zP?$L?lHQ3v%9T5+6mr<Qi`RehK+T_)=MHqXdb-@8NNtFxSF!MH{P`&xJdr+Apx~D( z6e!63g&bqG>f^)sFEgXmdG-jCZL894$%C`#!GGxY1i1I2S*mt)bfL{Hh*Z3{bI8VY zotARW>%A!pf2nNB!suUUU)FtN`)p+U%zq%q_<Vms#7Ya&O~rr}E6VejP`pt<Cs_Mi zuRMGJ!x6=OF-9McEL5*r5E$<^xq}L|%JPvx&6#~Bxkp`$_Z;v%bZEe4gewlUX7KlY zIe%Yo@7iA~+q*XU7rK;vK22bExVpO>8`1(TRNIzqX7b@Y-D-B*a1174UZV&n><0Bd zr1+|Z-r2>2tHo;rLZc+jRh<6iJ4M{v3^}J?t}ZF!$X-|HzgXeypphyim-u#C0V&u4 zDa67KV@Tw<o-q#|GY`g_Q*c2!E8K=<W`7c$5EVqxrXL`u9dI?qZg%D&!_(${v7+ep z`AC7QE`GKy7yLFsj;lF#b07~{`ZZ-k(HrxTBK<YBEf-9dAQyf<w8tl43xre0c<VWg zWf~tm2o=m21D0C!&B=YE6szy462)yBMrC-MYH<9mj6ZxP3?3f_k0+xmkijj`;D2}E z*o`;j-ES5A;d5c|#4vaw8C~%WZuthkd%Y$ox&zu6U2&XC-iCn+5zddkZFg=SpSv!Y zJ6uXRY*^Y$nZsjc+e#`y^jssgQZ6}td8qJEwPfRMU;EnX=JsURs$pqaVaXQYLG9>~ zo-z|D44XD(71An};ZJS4pZ)C_D}Sy+OPyDX_eJN);zk<z@afjQ<-s64YO<h(PS|mp zXDyuI`~Aw7*&IsVwxzc8Vy?D3d$(Jh&<mrr-j3bf&}$}w>91slq46nNeFf>f=Q@Xd z0cBgb_3nwmk5yAkPZuln7^`XH1X=i5gju3pp1uf>NQj2S)O(>TkY0qSgnxhe2aZ30 zLWxYQKzu2No<Gddk^jg0GeSRNqyhe$)p+23PaKe=ciI6!oS^dr9dN)75S4<SI8aA2 z+5sS($n#kpa9|GPm4aTshu%7T`2}umo{PB#)#`vGpgU@RgXcX^KA5)Jf8a$+NKXSY z^#`)uy-W}iQGWm&H^I{cGJi4tzZh5fj|WQjGQmiU{|RC6KUREYKvPike@7<6o2e6F zEsuF~{tB(HjSFTCMxPpsmPZEs`r+|(BhDKdjNo~GJUOXl)^*Hz%Rmz%i_wg$t$*%x ze{<fFcQN>^ech7BG*lf=YtmGXo2T`$xwiJ>(3%N1KUuij5Zn5+EPtC!&va=8eY+Nm zQBX@C^IlMMXBD^(>>wuBXNXdQYX2Tg#?Rz2{uN8MqG0Q=p$L8G)<2_(H@E}b05+Y) zH(p&-?2nJHxDbE4HzGFH$G6d~c<16bPt~JIa1B+Z9M~#wgrZx4=n^+y(xXXoO<AQJ z)GB_Y_<QIus5Si;Xn&gy5w<r=E`BQ@h|diA8)oUU2;O0W{0=WWEJ)a>@ppe9EZ|SS zeHJtx2?6^TgvI>s`EX+(VUxxqe?nNskCjmCJ4~potiOT21p-$7EC(>}Kx-#PE><YE zA4QL^lX|p<t4P);vLE%m*65)j4`lJ`B36A_QC=w{?d8n;s()(tOZ2=)6^D9R8<C_% z`H04Z=qNL!rQGWFe?Kdc2bK)4**8?NW1@aPn!WW4SfLvD3n%HwvWIl3BL5ir)sUwO zZ%{)Sy@m!=r!i3N{e^d^DUD<~UWW>!**2(gHHE3d+*J_IGd|tC2A)_Vr0Eh^-@-a@ zj@LF(*`|6j`hPz8){~o`)sl?Ii+w^gAE?b^&T=$Uh37mmRmdy_A2nSs1s^oc`~?zq zSmcz5j96@xhzx$Xe_*sSS*moFCh0tlV=d_-jbiQ9{R2+^rvj=psWV-wG_f-Rzrbta zjb0s0><puf(ol!WZ-dYoM*4pYxa+l*a13Uwm2iw^WPg9Se_#csL_if~wAf0?ubMJe zF{>2HK#}}MXs}pD=~NohK16OemZ>0>BX5|(K#IavJV|~q^)<v;5c?XMaC6^yc<j2l z^E<hKUfDxy9;36%(Awu|A9r)ldUzDLx$BkR-PdgU-s-yHawl+e?su{Sy)uN>oIqzs zr}df`Z+~^oa=Ak!x3z26ymfE={^XqXa3yea=yx&)y;6bJtVQ>l*mrLoe{v3rZ4yfQ znA+5n^zk?McW^ixQaldJ-6rLYGkwf5iWeB8_DffV;;un{O<WGk?I-2w4`OpCAiL92 zJgUp>H|37oe#qL378oP(OaBP<nsBD2xKx)r8Gj?u+Cp}raulK!a({Fz#>?4W0Z=7+ z6f?OM)GFlQRk~~6BOHq6dnCq$v2XS1UnhEBK~M1FYMDMw73EJjs;n`%c^Tq3s)fz# zS$Av(_9hN&O`G?KcDZwoE*G@C)aTspt*}fQwRo7`&g}L+iAuVlILcW|2uo3RDO~1e zi+}Y>e%nkMd{P0CZ^HYiPkE#Fp$zJ_>(ENop~d}f_kJdD$zt^Mo77Htzh8fxt14Jq z`=nBB`+0K(_$i&WR9lB>?_?m(tb@v@%6e_{-o@ZL?%8V<PVHmQI-=Qd6;7RF-#Vt* zQI!_$<A<>d+>8+E!LVAV>TY+V#radXhkvIdq_PVyc3N`@ghDDAw3~dHIYAA0`#00D zVmC*EB_fOasD;oP#p8MJ`84_~1l&>1OCq)W9x1n#3EH9V6n})qc#uEmhFN$wLjnZa zDK1k4+D)!Vw)xfEQk_UkGcYsBKrV$m(;>!@fSn1~8Th0@_k)1A5q=8)7WCu-rhf^s zDi>y-BTW5_Fj@z}jhz)hbZMoauPPMJ&r7^t<7=NahIpy!>{G2=bnqKZ8Uc1DoHU zXK36A<DObD!(hJ##w|W;j4?G1V{{P4$iTmbv3q`Gyc@%rT@ZfHmn$lYjB%1$tY+Aa zJzuD8E&JL1thB2A<mRFG;#<<<a(~j6g!##`wZf<OE~!N$X}qJ;yQBC<rnY;LImxLJ z$5z#?&j0ylLFzz;?mhvIWv+w`7uKcuSRsao<yij0%`{7z=DH}4r*64G>129YsEod1 zw4_Osdv4CWAo;S$BqRA$9@@>cN}A@XXosiHW)D26Fzm!+`M!eNx%|_F{eSgx30a!+ zV$mMwl|X^!(e%eO=O+2nZJjg#$L<Cg_gvZjdzX`$7%A@K>5DYyEO|NyK%P|Va#6!g zB`cj3S${Xq|2oXZ!O$|r#dq)YLnXU3?i;{|f^-MKomA_15gO-}T!H4vG^bOebBfFV zoXYXs>WUS9xEuQ4XR<uiS$}IAAv(7T_&;VM`@d%z`=2wt)&wxuObkG~RBy;WJZe&w zet%UfKjsMVlWLtWN_c-|Q?R;01ZIb3n33`fw-x|wZjmo(xQP4`n3!^hz|gxn1rOy4 zeL5IP>LnSTgDndAx=_3>PT}X+DD0G03fqPKvOzhBq6XoE*oy3?_kW-Cq{nGez_-6l z4`+RuX2)fjp^M<=zcEWj&7u+i#AOayns;AUPPU&eF(pUt-<*9PS3$PFDlxT5?tiZI z^nM=6E+XF~>sfZt{;EV*db~9`pF{@cO8V-vzVtYEN<N9KL^e9+Tk$PE6KwL^yLLdc zBrN2#wby%EnLaQ;BYz(b+U<Pd7KpuiW;pa;!^%%03oma-zUplL^4mOCMwTy&b!lr6 z*nQT0YlvUWpHL|lMZEEyLi9{piakc;-z_m{cQ~L%Jqf)F*;<h$6u^n&l&e0UGcvpp zJ8|&%ytoGPs2y@q_N<_IqcAsmJbJ{_ywrX_^bFXX>D^-HUVq?S8**=7SvzV-8Iz(m z@)tdYacWWVHt~|6Pts=8eO*i$O(mH>jf&T&qNGre%1h)YORyvj=npfQlFE!Qsr^$k z`q=|QF&{|vPJB&K#aN(olfmRd>^F-I*m-AoA1plXuAVmmfb08{ld}!#{a~8bs;3J* zr}Mk5<CwA2>wkv1mzKvhW!wI~HI1)d+@AC?E*&J;v6xu&o_FX)M!)&eVCU}n2(XE@ zgnD=I?@@sH`6Q<jVoz_!HuG1FQFQZ5N`b+d+7w&K#K^a7+T3)nlpI=KE6+KOwKp&D zwluc1EqgpYl^nJxUw9rr19lDz=*m5=?iXqfmtMZ!1Am<9rgo9YSC8qm+S;7zd^zIx za#JEsuh-h#tsS2p4og&r9^58J_Iv{0uEWovIaXL2^%-37Qw>WcOu99BT#w`p(=lEI zTksgyj)<apIJLFA&DGXg@Ho3)032R)rFM4QG%jkC!D_U6+^>O$c_M4Zj*l)5dd{DE z*fWZ*l7FP!@?A0>2SIBYfy+WY;}C36tI~wI(u6NdB@!_+YQCSTj`()Rb5!Ky!CT^{ ziuE}`#cvVv9;M+n?@MPTED+a!@S<3RJC*EY4KmlgDX-rXlOBueGFMFi+m!lbE{)Yf zlsh6Xb%Cr*Q|I;>wJ}#9MS)$CvN76pX@EMFZGQ?P*~E47#JeLS$Dw5uWG}NUPt~{@ zk*DOk=WR8#-!(B<b!UM2p>|8qMe%6^W!>=HCp0#;Il7bhgyYxtwk7$sj3vn1E+<7? zxN6`#vl|5!cq2jcNVq26SMGO5!w>P4-c|y-^U2Z|&(qA$X5!g%?o2;FXBY|h2;b=n zqkqMzD>%sZ3M-<qC|hL~ji9N2ginFz^s}uRDGO2cnhImLR<%4lRIt+R3<!dk$3t~f zIoDYTK*3XTOPrZ~n@E*-Yx*&D!nMwz{t9?E+qEh?M4XngrEoL5IHY><p0e(aWGbfM z^<98rxMnlZsTHq6zB336kCaEkP32N&Ab-FBPsvr~N=JzoSG!z$vxLT4<YFd%A>wUf z$a!xtEoD>TQFd@hG3_9ruSDU3XZ3vIoy$(^arxJVl$){EYURzM7DVYU!pLJZrH;?` zl<ZaO-8}(C@F6_Zca=xo!SE$K!+^&(!zdE2btI$4ZHtVYFF>}kZ7LJOBxrFO3V+tJ zGs3EA9&wxHJ)dn!G#BD=TjFug6u`({pKuTUrRd3@ioX0u(Q5aUGwKd#<-G~{y=e8l zpXWAFq`5mj<eIeh{!8s4cz>;Z^B=XFo|PcaP1;UP+Fm$F@2;IJh|leSjk$;H$<DPr zzDG$_`L46sJslv77w@Wat<xKzh<|5je+Rq^McTHAXvC~#o}}UK*+i~Yx}O~#GDut8 zSO~~2rEM3t*5F~$NOx~(ZE-YILM9Hg4L^PFoSU_t;~j#CmPbq3T)3TG9YRT4++65{ z_8n~J{lF$A`B|?G%5-)6UGF>i?O<eXnRC8{Hz@E5+~exCpHLTFt=wH&EPr^KA9t7Z zx}VMiQih&JmeY$)a8pijIn=S#Q+R;~&&hyxWHBSUHnKXqchNxG?gkcQFX%fr4(JUs zyr2|WGX$=DTArp<A^YC?3xG!m^E2!`s}^l_FgXSkxIMc?o^)Pa9cQ#ZjSQVyUO!mU zS<tmLrTgu@IJ%)+=UF_PuzzpH)TqakCFnjxY5^q!NqV7r#d|7wDtWQu)Q<5@27tND zlR?_CTpI5WjE7_?3udeWUf#1;*&;QywSKAMDzCXaJLr69f9kI2e!h9Bdf9qDuu-&k z;A)p~b9JWPvD%q2F;4x@VdK4&FeP^)*T~9HGtnc-#T1A|h)IbJiGNXvm58OZ<H;TQ zYhr<nfs;XKKxlwz-oU1%K-C`P?m9@qo+M)~d;Pnz{-^%j;Lq*<s@9Uuw?jTL7a<EX zGshc<AJd0l?i?;428XEH4ItB~ddsKn@+>vn7FELGwLn<-6z`pcQze*Vf-Gb1ZjWp7 z-gaLPa5c}3vjZ<4_<yunw)N;-d>|WP@O-T=t#8}~reBW__suzZt0+G%m=p50tV={N z7v*_jzupnI$TkCE1cH-9Yo9L{={5sFMAJ=TR9`5U;da#}F7&xdWS=+J?5dtHF4eh8 zRA12zJ3$1}IlGq|atAJqHaz&SgNw{PH@Pu9)S{2gybs?|_kSgp{P;KIS_YC#dMnl^ z3zXVVV8==b$RaX{?$FSSUEcMT<WM;u6L(!9+2=q;>pX2~Ix;{!pdKl{JNBRQ7xeDT zKn?&8dGG8nuB(UR&q3IPy<#`rvmNx2WStJ!1LJn6Lks+w@w>8TJIEu-dIj|}57(S& zLpF*~A%0g@1b@qF{^%0uSILg0tN=G>uE*!+k<y)^l=zTjO;{O}LP`Z91tbL~g}sEh zF-#U}Kr}Y%@ZFQ~ol@x2xx>V6Yr~8BD#xPPYHL94T}yYn7OmyuSGSLQRw#C~!(OYr zNXdF8;dLD0Bi5$jwzlfYTZ`CqMlah-yj@#ccMrKUAb-ej5W#|idjflWdqR7>dxC|S zhk%&>Je$gZ%0SHTn0Aln>Q~UD*;7*k_~7DkE3pJosj1?L;*sK+;-TZI;`bEqYNH~w zAg(i&eF)y}zbOGx^fUD}^)~f4^)mG{ZAW~c6{j+--4A@<QOu{9g#bxFw!fK`oSB@Z zmZ_HYzM>~WdNqG=(RKW5%@^9jRG76ixV5_O(=}OkO~Uji6j<7<&4oE~zwR4KoW@hj z3c7N4mDM1gCxL>k8>4*9!8GKCR^nDW=N0Q)r2F;uIhzWT<GMyLHTEfxKm@)}AYIUR zM4sp%x)6Z``2If(d0-a4zc)J6t3luoh0qmxN92u;&?A3_F9O1V7#PJD3aYFBj>rcc zqo*HV1e^gXP?j$gL>Kv8jwd=wPa(bt7z1UXEPp6uPa@y%IN%X^XtmliC%*izgu-cZ zK+FLwz+0f9Q#B?ZfEfOKHG+IFzzm>0?|vAt=Ws1{m~W8i0gop8Z7JU$?LK-m=kcyg zW>_@wt{i_WGpD!At+rmD)HznPKFqg7{0ZsShv`4D+%onj=vp5p|HNX;>uzi-<#D4# zvu*H_tq(<xyjLd$t4}GcH<=HK8+YeriSQ5b(3!X}8Za8L+?dXcmuCCD<0V<rH}^g# zX_~~)@hC$GLy$ulLtsNFLzej$8v|w1eeFhABzAwnc7u;beeGpy+I7pES1npkcMa`# z=T=5S=n-v?_mA#bbcLLa^+z;XUSuGW5R&AQLdjyxQ6|~rLU}=V@Y3aC`$*(=Q4n~7 z^Csh-)qMJ1Js?S7P|ymfi9-hoK3LBw<)j|H-|NfFn~b39FXbURy+CmhaAk3WxT3!h zUx<HgL=6&s3mg6n@iGmiMYkD9c&YG3z=Uv8C_6|3$&Zv$Qe}nKYK4})IqfO+DBiuA zl|8b9sEcTjC`uGDLLZlcOU|hW;6MfOLd^OvyGu1%kcC?qd7KT!KNlyi^MOP_M3Db~ z?7ekZRo&Y5Eg(pPq%<l>cXvxkH`3kREV_R|0qJfD>5>NN?r!Ps?hi0-z2)Bf{q}yo z@A>CFj={Ok@f+85jWJ^_=9-g3gLtt8Swrm8b_JH6W>4ngUdlo39lZ!7<QDTH2z&KH z1TUtIRzfdjz;k#jY{O=K)#jK1)nsjl85$OE*LT-<UtsY=)5~an$RKI#!pdpL1{Z&Z zSN||Qxy3X&^X=*bAl{xcptyrTu)bhTVMVaV+Y?y+(C{*xKgXoZeYdW?el&nF1)L2z zK|IEn_JiJwLEdCPAw=0SVWl$RK$A!_NdsG!Sn!9Z=YI0ER;B4F-)h#b=Kyb-A1`9` zD@YOPn1V{><w|86tEzXQ{x)UBe*b^4@mBD28+@1&o(Yg*zaCzQ>`COjNo4*q62>g8 z|7h~1N@Z&+mW#-v&xfXapidC&c<p%Y@%9DgKXkqH;wKK0pEd!VbE*1}k7~~Q)Pa~a znf9svi+<j8KW@bES2-pO=F=t?R#gxLGMgs4h!31NvSn|%R&g61+;fP|oTPu^aK50| zKvaMyYH?jpnv8IFd6OWLAwK#EAo{(c7D0;1q*Z6d{mfnP+>;z(P7|C323;J*mo!)& zx0qAitpoVA6ym2Wh}ogt_s;r;^mG{DtWWj0^?p0P&qR#9)Of&^@fup;ZP00xNZ}Q5 z3psV%8i2z=-=(KZOG(vc&0T+-Nm9nfz&uU>r+rTQ+cv&S|5vjINJ|9(q#Db%@TvZj zbYW>J;oEfE6@0q?B)wBws`ECTVeX^ef3oeend!2p>E1h~!tF)|^ze2s`aJu7J3lC6 zCLrs*;VT6^gPw2F>TxRI7IA90bpd;U5<@)`$(0nz-4}tGm5eMJA9{cA$kCP}yTd$x z@T2FpG%sDLpQ*uVPla?>x(>*npIs2CS-J2=;K>2Jr_UNhJ;1_1UqIoZw2_L-Cl!5B z60Ng)QVlpiA0M9FVRJb<A1)NWLIw1Fc~*V7P@Q!I1dNEw2n>$Mi3t=2krepo0|Y!4 z5CS4D6R_4RPMgPsZ(M(=p?AkM>MoAY>H*f~3PNq2d&{~R-e+|eomE%e*JtzPWljhC z+ZEbXRe(K?lg~jjSJ+$Ewl0_ZVi#M>H4D81wM>_L)6UqZZ(!?QpI*1uJJToN_$-}3 ziv^YC_Pr05?UVJ*4OV<!#;xx)0Vx$~AM0HbEgV~6B@kP2Zx(-F!59Z>TE@jJL{M~_ z8wX}I!cDWg9B+zSQ|!3BgzyFObLZvL;ML&Pcs+k<K_fRkogFYK=kw{J-S*<6)lRX~ z&a{Lc?9!!pFWlhS9OikGIU~zJajKn-bWh+iY91Uce<yZj?`|W_^FyIkq&1|iurZ=6 zDT|CS(KSifT-<-$q+Iy7&r7*Yyapgkk<%L?Dq~3I7M1GQpjUh>oHJK^_AV*oaj?a% ziZe`m$J!+rSwf0a7nq`Jy3l58(549srS{kIr|QnAMk*{#9TnjA8?Sw2VA!l2C|jOr zRzF*4ewN>2N7ury37~Bu&;(Go=xPG!TTnFtR4sCv0J?t`v6j;{txJz>2D-wWTvEG| zul@e~B-mJctG@gMtju%$XhA)8R`sb+*iH`a%oT5UAcEN0Sl}W@uIJB}v*lE89M1YL zHYO>qFFHB4=z|K_>)5Y`igKcc=<z3|jRv)1nnZavY41ggdbAz(qm6qd_c(+BRk1*N zvrc+<FKU119Pw2rjtO~PRK9#(V$VzR{76)|$!8<)igbqP7A_GE;3CZHlqD5ue5{Le zVy&lHGmeAOnJ_Khmio9UgV|t>jUI$@Ia9ZZft|JEa-c1uJ~?aVayAcE#k{jiT+a5v zs@RK>_trXC6>CxM$=UF&W#d5Gg!><9SsN6_Mc01=ul{=R7#OS{8UCl%e-86G5BC4C zsZ3a*FEYUF$AX=ScwD9*UCyiBwA5d}_qf7%a=`$5Yzpmh*??O|*+v1q59M(Qc7+dn zE{u5?$+${k-lv)ZyFbX~%-kmS<ZKPMj_W4C;~MqJ6$R|MD&}Eb6xi8EE@%B<RrJNk z5ek2p`SXulN_Dip8<Ak<R|B|t84;sWof}z8M??D#2KH>Fl?jT<V!3%4vZGQg)oPck z;|!7Nb%3ws_B&e~kwGsR^sj9D>P3VvVrxz*kJQaW&v2$#wbmApEZfm2m=<JotJhgz z)>+op10tOIizp^|$(B?dQ#-pr2{K%vdhCDZ7Y|L_lF#^bs}~oGLP=bBL>V|3wwak2 zm8m+GcY;m=j2LhdXE7~KDVIW*z2Wh|@E{S8{hs<k_@ViM`XT!ba<L}jcPRIff(G&y zBhd<T-AOyH$oK1dm}WxGY)%&Ph4FYwAF+k);~jQqh05W*(0BKj_kUatihZSiHi&<q ziPnT}O6oxfOCnGInxB+_RF@Q$RF1T_^Ju)HdJ4t-GHmTB?c+&=Hvv&7bY%S{c!MD# z!c=6#B~byIqS9T>Ph+W2b+d@$T;;(+eIuSLcpIVlYiC9Pk!nT30LhH^!y5@xl4&Ro zmGBORyb-1+Yc7!<)F2OJlAuE6H)?-T!j#rNLFrUO=%>c(3?}0#gdV1L^$H_6Nfh94 z_f~uBuK#o}B^^H|LQAnopD6uf42q?Bm!E$HqXy0Ld=hb0+23bP>jC4FvH5okej+no z(@Y)3TLRrqt<@<`%2Nuh_Ix4qqDl^%i>H9BzQk8wVh}+@n3`<71ZhxGB%ptSahbPS z39}H*(p)@z`Yox4Aa7?dDbHu<W@_oqM<~?Y-kzT}^}s*lN1;%W87QXc3#Q}8MrbI0 z(x*agjmwM*cuB8G-lL>_0~azFGyUMrV@*0ryNUStSyQ}LYp+D3v4wC_;i5D~vDfp9 z&p8_$v)5(2-vWcS^BAQ*B@lmmO!YNn$e)tWgmK6wu8w`0ZLy$geQH_Zg;}5V_UPcz zg0$^H4&xM{W>)!f*V)$6`eJ+T;wmexI6h5^ZSpJkE@VFmUcQ>jb4Rav<j=<S-tO@U zamKZ7pnD|qvO~F#%Mhl8EJ&7Ri{28MG{<AwCasGGp0AXLrq*w`I)Hzkyjw*Mk)zBH zuHoK;RC{qC-qxn=<9>>4PO>iR{?^X~zXI8kWIPwCY+f{wMckTz|H^Wzor~Nt$L{Z` zo#KT5X=)jddVrD*p|9%GvJ?~i^6*vJkhfH2A=5(UB-@MSATqWVLmaK~n2SZN{&Hr$ zzADe{K@T9uNs#g>-z<OTXE!7TVP8PD&9BX`uCLbjr=}9{Gw}BYs$fn>gXzkzzwvOW zrh!Qf=+j2-gvBb1D=?Dpktdfo$q??ffmEVt|JQk6=$>Hxo<<V41#H%h7myK<nw|QD zKPWH(a~hM4#lhT47d=iriw0(WuZQ;0D5e!Di&c|FLy<a@W`%!RMSPy!=YTJCp`;1q zfq8-0m~6}r7FL#ro2$+J@%m=oAA4vsTUiDlDOvUvZuZ@MrSo2Tx8%NLQ#mkB4mA3v z$gYyHlG(xRaCNo4zq`LU6_wvMy&f%sc`ylk=M9KiH&<&B&)r)d<Ngc(<XTa2{C|?x zp<4z0AMBItxGjIk|74$RjhjIIzn%^|eIwv%d;fCo(&S_s4%Gs^b9^<L0z;yJSX?F( zEc12dt4wrh8fhr$IoCN{Bv^SWs3)wIDK$|qo`&3g0DIrxMzN9n+y?q~)t$_$9;H$Z zO1Z#`e2g2_C>iQ=-w#~z8@`QRbSJa8!vRVKeB@&<P>p{GPyw|_8BF@Lpo)(aA1eaK z0OhV(GXn);^3~tpMM=85k76L+ElwsrodVRzMTl9TfdX4@y_oi6ExhHQLH)MUz%AT3 zQNgVq6x?6C7I)p>h0wx{8<o)NL3#0O*W#}GyF6ZWf20hb1O;rx<w_3T2MSb)%Zd7U z^*glq6)u0eyDGs4-$71t@HSAO3S3-N-bHtCW%y*M7gk)J<i35NPb+b`QF&LtLyKSG zqPwpW{D(WpOYXV@cv^w`0u^u3{jqXz5)^_Jmpi#YA1Fj6E*C1^>UU`ID_nH<R0<Bh zgWTi-ZJ-bpxX)3aS-5ebKC^mIp8wjlxa<BdT8n?~(8|HdP}Ejj-sEk4plFr2Jg8c$ z-=W2?aMAspQt*d6_?o<}4HT^c_a$l)CQbdnY{`Fg|Ec{N_GkNBK=MB{x4$>Un(k*E zR4~g?V3uz@n9}Pp2h{@gDO+iyG|{`hW1ipfZS+!<x5XV!#vBxlD<u;!A^=_b7X>`- zBY%IzzZ4J;)F*7E4f|gA{}%aPWVajV2l$8dZ<z4!hw6_t{Erlg;Ic6<N2a}hK;nA$ zEJKGA<Mn<gSJm})RTU1-aaC2Jr7)KPm*Mjv?i?>^C|M{T4*HAf>#HLZ7xROa!g3eJ zI+ro!9WC1`mhnpfvGUAT9s|b(<+X0UCcl4+Gh@hjGAqvdfw~?0yI!BQv%Unlg9CMY zcFd8zy-Ew#fdvUh?B)dtX6*4jLY$zEJ-yRK)i?YMa*+#3Gng1fM(rsd17zh(=2OB^ z$ljLhr^uk>^0jKs^x41c!Z4o^IPmVqu%973Xt9ae@2p1-f?~z$I7nv4@m^^>1w?-( zz-^uFQXb6K#HXL`2?X*xurj|{G;8ULzFM$gE!FBD;4`#WQ7Rco(GD0n4S%-a(sXs* z8hbUIcx~ahC)W76+`;i=VXJv~nL$N+4BlmHM5{E@u(@2eq*Oy;ZF2(OI=8seVOdf4 z_Ek~VUp<%SuU5)48J?wFrKN-+7*>BeKS8M}Pm*UtnES3t)<7Fz((N)lw`ep5q$;Z_ zN98;4X`xJBAa#Ab9MOOd+CXo}j^5-QMStWp-TL8@)_Z2BXz5f@)$75`!N}5~1*|6$ zh6|`<LldWlho$t_Ay&EX>ww=HE>JLzOq^~Q-XaUhTjWr5mUB(#sW?6&#H@dhq>p4A z)<;+<A(<+ly7*fEJT8X)?VzsVxsga>?piUO)93Wwk;8H9+0o@4vsi`$hOBO}bltUu z$>!a6<CllK;FwU2h$es+?Cb#go9dg+>$!Cw_0+BW+3D?CmRVKh&DtHDykoek(779O z@2wLv>zmn~o1?tC>8+W>*~EVvGdl3m8(V844-w;o$;c0L@pcnKb#;}Pv&*733(l7S zBH$k<Uxb^i>*x5Ae6Ea)lfL{3QD8Pk2dl%y)$#s-RMVhyj_QIEOZ5Yt{`1Y*>)qVV z+N&#<I6$_`*p+#~kmMRl2hQQ7TSZ=&i8y`e{?2s!ro2uoGYf20S*(AmXlva~<lMrH z=uYkLr*j305SbAP*G7WDv{bI+Cu_0N?W_^D;mQm}+c!RePL=S)xI<Vl*8Dt~>?tO# zpW;7#mf2D&6&_CL)t1Y5_zzp|@Ba5@D}SUavbD`|bJ%)=Zi-qK!~kz>!ZI;sQ&O>| zXF1tFZp^P+h7~_;bGU!^`C!fJQm?JGec+rv?Z{YQV={Q8?0K#!kK(L%NiB@N6UN=Z z!DL^`igjJdb_G4}v}LYio^@~OcEt$WXyuCHiPA>G=rp)>FVFNrUmU`x-kixogN#qc zGAwdilBFw}tHiTbrKXh=49Z)vdB-Ah7wOqfgTu9J20!r9!}xz^G~n;i`yz5@cUY!& zjd-@p#Hq6S=<0I+e0uv_HUX|Rc8CJjN1YoR!`#5ArDYc((Wb0b6C;qro^@s?kDtFM zw#aC`h{<`av1-oP{Ko8hcft8&Zhd}k`+E1e>PzJ*cRSD{$a)gq{NT75|JzGZ$JD^U zZROGXOVPtUEX{w@3Zv7)4V{zgWvT#Ga7iddsD<9>R5ME_$I`0G_@-_Ger<!=ib>VB z_T7c)?nM698kZ`^6X*Tm-kBYoX4Ng9^RpY39q+^ya*7?e8?C~y$NIp3UHsiWjWeEd zDIL*(^iKB$;gO=G1^r$6Y94vh&k$@#@sKpj3gv3$hP{8yDj6uc+1c4icLB=8*Z;US zn;JN9HGH<Dy4>J%5WCSiF6d;qiv6teiTAc!vZLCc_ASrJmp(R1D>&$BkU#A#`nT|l zk-pnHwRCsD6lS{YJ}>tNrnhpTJj@Vlm;~7!hgwl0zMhGI*!Jbm}loCC~1U<L~b zD5NChv!s9C$1$O-@SJc9l5p9Hgy98!$4^^!Na9;|C0Ie97y4~jCj$8=_w-n>javt8 zNJ<tU2I5fB@#%#OkPO472tP`Eriq-E>!~)4v!7)=sI}0UWh^$0A1~|Ke5-q$OLH+2 z?X=NVYppZO{2gOFjDJQi{J?SJ4ENFRX)apsvAKVaDpT<+$5fp%<3W-@s$Qz+*$Vd5 zg`mr|+1}OCbXDWUF@;Why`|=<`R-}s<SxzFGSu$N`sMD+rRy_hAGvgUM}S$^gp*7n zHfgLFikRoha*4HWw|1$jY=(p>JI>9Ssa{L$hEMkN_3~xo@N!ur^!37N-PQK>x=YK= ziHUz+yb8d@`C@zB;(RCJS_f-9K8Le$>e`O(%GUhlVHpK?gG7T;uzQ#rFbE%*5VRI_ z7+NM}UqQR`34)dUV%<whb*4FTVT}%Tx5gJf_^>pvotUzW?-XU`WM$f9kS%1E!kWSw zfqnR3g)wz7Bbai`o|S&;$o&+O{b}*jI+1@Lkq;<r0A>VZ7bB^q{9J*$3|z0ef|`3m zBRRDTECaj)Y(Ce63otj$=R8>1Wqw6UFr_W{hKbZnezBlQy+u8`5z<E@n|SIIWW^`$ zyiai<Q5N!F3)<BE8s9Zi`yj)n%F0y8$XLjPE6K3oQ!N#IQUB10;DhF)4NHP)1Au?s z0G2w$wE1vmS?<<nX$ON4h_LLAlm?CTjF4Jf16MPti_^<3(9sbzhQnu+>VI_87c3b` z3tST#Vcf9`4TH_XC{fdJwUfSZesDtoK?BhSBZjt``b^Sy`Lrq!q7%Ya0YM5D3KNBi z)I7>uez~AQedrTp+1(ZgXA@`OrDK1hFp^rx&r>cGbg6qarpFf2qUq$Awq&;r!tud> z+8LvGc(<06sk$a?k5OngSTra{{JH#w+`IaVpE~}&d`bhE@V7Gfax(2Q!%}}=TI<R# zb>o{=rp*@zD`p_cE4%1;nD||wL;`4pl;T=RO`I*9(Qc3+SSQDjv@Wpu{~v!$PW!)h zQ0>rEb^n(p-?EDxc~wikb#Q<$z$g?2B^gXDu9nos>F4&&jT!_QEarF-s&DY%K>Lln zXnE(~-zY5G?b>0b&5joTv}6m=n4Na(2XiOcjnkHbN(TVK4Ld67o=u*WulR}hK>huZ z!U;PFiKV2IGq(o46;oj`4tIahMD@hmNQ&b^lyEBqEc_PVEmF55hp=o9FJ<S0&tzwZ z1xJaGE)sss<dpc3g20Eyr|nOSWIdH_I0VSA{Q?04X|Ek26#|8aDoFkz?t{{+3T}%* z6_{?4LcJ<E)wBoa*3YlyW!sW*M?^>3?;y><LlqzoQF_7jvf_<}*J6L5W9d2Drt8T% zF+Sd_Avz`@B4Tmrv`k)!*AmewkbGDt>*#c|*%W5n9^5L?OJR$^?R+7^c)ECzf_cGH z=J~Qa9Zz?LWrPIYWExt8NlGVW3P|{+yi1|xL-uFh9;P#>l~eud3FcKz-AU9A8WMmP zDbOQ89;)=bVnHQ$CLDk9Ki%T@TUAA+jz@eCP8@T*E<7}-g3p-D^*q1JLde2x@kKj+ z2u(;Qo}9pTxm>pG(!2j+gF*j`4KB0G&y(cV;8qSz4NC#$<MS6n(nbm=`XoMNo}VXi zP;(AUKufq7t{^H%9;}2{!E5o_B6<<B9fstx`CvBR{?fpI8sdNc506~${`82KN8`1| zIAGfUL~h3ih!J!Tavj-S?&qD@ux?W`bzACp{rT-eB60@mA5r8C^grs5lvYa~w32^G zT7wR_do0O$_h2&RIn~QTYvX;T*aW4E_flqJ68Z4e+=r0uBvEp0D)m_BHV4CdJ1SVU zbhNDO@=15MOWA*?M(Qe9>&BD;bybe%FR|v<`j6zwUy$JM39m=GtNJ?=o#7t{k5eGm zl~}K|;}o7Bq><>J9i&~eW^stE_lBPTWjS)b_70x<oW}JY#AEz*V_X|xA4NYgJ&3yP zvsP1!e7D`|)pdEzjr4p)Y6^v{*V9wcMw+@lu_hlO`jCJ0fb^c~LFj>%uo~=7!=YA% zCgJb2%xcuuRE`Jbo9*P8_#9v3=<4FxZ`L{w5$(rVE+Vh2lJr32GZNI!#~u1xZX&NN z%Ed{knD-nn<R19r56j8(t6Ij($?H0Fxhx{BwkGcTXEz0L;5%Is9q(mS&pS)8Ux&5F zsBYS(0?L0dM6q1P?H$Stn%8y`<urgMY_i_hNdpv}zNSGS{eH-}UZ8*c<;#61Ckf}| z2#B8^@)t!M-Xx+v;Jz1q2z}6cXnLS}lY6~icJfSvR6jaNLfu^(^US(b&ax?~UfLgY z!c}!v;oxA;W_cS^qo$#hpm38lDGHQQPUEx3LfC(!^L!LYeHTz54r{9Q{uL*Nu3DBL z+3IrfUJxprZE*I*L9Bi(gTD!T;#L3hUX9Z_SMINSQAwW*t}IOvk?`&r8PNSxvTrwO z$)4e_SEWdNaxF&w5lmY`52|<2Z~a9RYghgW>j+TL-|W7;59`SDAnUe2Ezo!SK-zS1 zYps6~LV-E5+ucp%Ko0swtu0)R74^A2VfkQEd0A4p$jkkt!=N3<3QX^TPrx!>#Me{c z!;fV=)(d!5<<^fk_w0S3cy4Ci1tni!&dpzRR@uff^aky##}!AADpu;jESy=@RaI0@ zO)Jf+Y~}f^%ogPa9Gi74hi28_%tF4t%p!m4Oec>8qO~4}?$lADACx-KcRffajjc3- z)tthdF-T`;#S+szE2t`WDtAHe%m`Q(9FD-pj_58oO4qi$7y_(c=c9Bt^7f|f(O2jR z53^Svbxj^TWxY~qF2c&T`7|Nxl<wBsHO%zU0PZCCOd#82qkSL2VL*j@!?MIct`UD- zbF?>d>zF1xIWT!6MH$BMog9bi=gHn2Z$)bwX%fbkOiNbMSaW^9R;n()64v^N?rNim zrPlD{1@D=<Gs>AK37^+BNxI&)R<-*yPcJsko9wHbGn^GT-q<iYGh6nv=WJeIZ0um` zyxs1L_>?QdBE2PFDmDE1Q+(u>!cBj|q-1HSfzPLJD=ls1b8v<iJ;_p_xt($xll_7K zl|gRhB-XTL@><-FVH8fT>Z<$f_S$<(6u&BbUiPyJpIDp_^IngjhRHpc+BkKR`tcyD z87ruALBf``9!t!u6>)0s@x2u=X;vQm+QVhGf?IA0Kh5O3jmbL=khw8pUwwZ8v|$jK zfK|hIj<V6ntf7oKz2;(e&XI#N5}L}g0MldVK{NC99Ru^i`yRWRBmW+|RGOL9@BMF< zX+fmNE|g|w@lV^0md~_6r(3=JZZvK$hDOD*#qc}q?y)PTnb~~D!19+BTexybeYErX z!RL(Hlsb3+<eYL4X1n=gXz+iKmde3BJjn<kj3O1|#B^$sVq)WV`p4tWg*Zo6jL@=+ zGy2c51<eJ8j`L3dTRm3%izT)JaCqsO%*E8NiXAjGY#nEeYAc+rua4K3mN!fjBfGOj z^qr12&&o{U;Q~*r-6J1IJ|2CX4`Bka4KahjIyZ?}`(gLJrzsxb<s5$o#H_&S>jnmd zTLjZ1H?r}V0)iYO4?+cT3SkPd8sAQA*V9z+G~z+Y#{=NckwB;lo(eU{A=HNKhJQoZ z5UL`l#QWjX&d;5u7Seh^W)N8!Mb}xs;@5{V%OJ95sgl*R)g#u%><)XHikyx-DEasR zvN=NtRpC>`hEasth~0nEZ)gKTRqWKEVHB}8VfV;0p8&x24Sn`B6@E~TJx#@a$d2q2 zc6;-qZG(ejvDi`7h@<mtic!^E_Czi(^(7K;{9xQPa2ZeN=lq$^ho1VQNe62`Cxud1 z2-fC`098QI`o(<26@E_YRe+>L)Fye?;fio41yz77Lek1=lZ1Z|#wSHqATE-=>wNh5 zLYObYpVYc{znEr~(wc_%k-k*Z2}O4(ktDb@F6>hvUZ5=3XSlH7veQ7(HP|pwbXp_9 z3LrYSMs39PSA!L0tu^vN;mMs*8*%&90CfRJgHl7Op?o2ARd`hV=nh1IQU);5DD+0R zqetB}hn_bhmO6h$2{fTcanU&|U0Q6quQq-JAgjp+D{$$U)gY9s2m<MV-T_xMrIWNr z#lT&UqadgewO)OB;~M>l21w@~P$H_`0=MWFG<-UcT}V+xlu~NxcL10MRA-l*5IIUl zOZI~TsLriXlXd&mfOSDfqfyhS>3r#OjRv9txdTyXlr(>8VCcN!9^eM>Krk<oxE|B` zx`I9J!v#j?<@5m8`wr=Rlm3K%MgBMGkm$S;9)t$)pfD*(Tu8LO?qCx4kjsNm?>nUP zP5Bf475TrI4wE-?-zu?~rwa$MSf-N)Z{1%)l3fWx?Zn1xRjHZ5VpGYJ!D97*WOfG{ z6sJyXCeeT2T3CZ(k3a4IRDfB{`fdAV%x)T_bezi=EVG-l6fKh;WJt$#0$My4$bg3P z4n8VHOZpC_W8MCQe?|UR>3YGOFbJ7kz_bFcU=V${K<Id!9*^~cAHg6beTPH1ZhykR zBLCOYVbK>8Z+{V#RybK6G;iA~s3@_S=ALe!qP~9(QQf?rHknbmF+Z7Qm`tVMP^tmA zTn+0K&(wh3T#k)VBPoG>!uAVylgIMS1u3M5-vB8z#{CS}WI}K*7xZpAtP*401r3}c zbx%9zvfd00YP4{d8O20%jvZyDrQt&Ubl$r9O+%+g-U6ydr??qfrURZVn1(g(XV|6* z&K!TZrq6C;?C@1~SiPDIe3AJJk&T^t05lR8N~M+tYtrgKuSxmxAg{8*U3QdOJFG~- za@JL5=jWfHK_lHh@oQ+~%0AK9;LL$(I_EUP@ZAC_3>e*GwUN>~V|He$e%BINxc{V4 zn^dW_VMD(Bz1M}j9R}2n=3Kz2dA#Sw|H*%nnj`+@lAQOLRlY=I-Rx|favPyRl7q+u zHFa?2z&4Gz$z%8)xsB1oPd?G0P-&%THR(9tw+8&nMeSvgX~I<os``@g)N3cLe~?G> z9d;XIhBpK$WQU&w)41T=v`KL}Ya0{U)VbaFLF68D+L_0NdT9P5$*asr#ytJ(NYj7b zDHr00^QDdk&8HrD)Bkb<w|X=goX#eks4AhoBa<guQ?v;ceNr~WOJMje#H}#=w|V*l zMz^!B2C%KRYT@5{yLWO9zbvGN&ju;9#vK9EaKilz({$`M#&?4kG#ys7nWzN&&!>US zx=Vyt-8j;CweyY1(ZNR=`i%euE2@9a>vtj*Q6I^5#uE!a1SlBYf%ht1^8pISx<(Vt zq{jC^wQB&ic(ZqGteLbhO53F+J+JmghlQCT-$t&w%4g|NTokUX%AwS;9A>iqB&h6k zr7U)OmZW-S_Tsd2f$Y_a{PQSyqu3pO#7#S?2pkZ3MnytmBpH8Me{{b1l=pur@hSD- zQV84-P#lp6C_?gt>dBs7Ka75b5QL#R#OjnZ7&=J2+k3Zow=Y@PI<Q>YYT8LueQ0CP z#?YP(Vi9PSL5}Bg+hhk%6C0I5PUmvlX7^1KTm5MZ9;82PgUxq4d1njmi~S2bwKYOe zHpwtsGcj2`QM<5xlV%Qv?D>B<x$)w#a|1Otk|95jfgyIf3@Jx9YO|2Qanq)1<VxRG zoJ#<H;4y+HQnG*WR<f8W!;VS9&7AawPxpb`&J6a365^(OBUAd_^-l5_j~HCvUa#Xe zlSH|hJYx=K9wt33i_hfxIGR$AV#{90*O0F<U+L=auG@5v93|@rewTlPbM5X8jye2# z{Cc{2L-)7tj_$qd`GQw;S#__DM$@iihEzk(4JBPf)^`Ns*|LfWtdG-r5{AY@nJ=<> z4z?VRsil6MH^bUi#dhU4IT_!$I)kWn@c_+%e*>QWIQub;J5xP+{b%kuM;sf|ttz3Q zppL7T6)q)G<T&)E&Sig4tORA}1r=9U-J?5!ygS&lwwu>y7RP|+y`AF++zF$_E8(oF zy#|HFt<J}G7r;4r*MQ;*2gjrN=E>oVLDRA*?8x!LuE4S*0QK2`YkRU7+~i?_7@Vd> zIpTTZ8<Pq)rm(fSl6Ub@H(C}LbM12_#L=?&gP*h%!$q?P^ksjTbA>Ww)=G%uWrx$D zj@H_p94rzgSEV4Ggp$Q*TEn>C5H;OP$)_k;<iSrGiZXAq{f%X|KDZoRt+L=52`S6d z-XJ#f-!Sc@uQsHd%?`4joA%Q4p`diToL%(05Ec^IsP}i)Wu30hu1`C^R=5p^iIrhJ zm$O6}>2jg2E?9s5h(kn?6Mge+p`T-a(s}Hy(}|u?zB8j`xLjg4R#iLE1&7+@T-Rhw z)25Th^u@q*?A|)dMcMeUZIG!<c3sU?;-u~9tci-!><r+*;NWO@>*%0+=jL$x{CN6v z=FvKZbCt@~{ytOeO8#t}gYDIEnW^)^xmZ4ZEW>13jcI>=*_ZAcl{&)gx{AxgAQJ}D znY!wl>-??Ov#Zv*_ruez7nZ?l+?4q8_)$-Dp5`Eu9*>C$^!xN8%j11NhsqxBKwzJo z&Qg>fE^Vy1oOXBn#G;Ox%5g+tI+-%e)m?A7T(0C<x6aHE73RKnwgBz~isuA<&L!~l z6F3@opg4a|=1Zg}tM4S$!yXesNFt=+2?z#<;No)$xqkz%1wVvf6FI^5p^G8jze}DE z!LNfiZ=>77VF|JE5aWXRf($%bb8Wf|XBPP2QNiQ}$^CZv1Sx5J!`2nqgM`~Vcmbg& zQt#Q+Q%F}E9P|X>rU-Zd1TQ>yUR5uO7*LAvSK)txi&ZM0;EipOJt9sk2ml1qWX(m` zK2nk~J4b9nYE<k?ADn<UADr-u51?OQ1%nCrY{u)%4k%5VeBJ>!Jw|jsvCDW?TPKC+ zqv}xQC@%_k`!Og6x3QKSkA1$T&ce2t;WJ5GYYVW0>(Ezb;t|`pd&woquwE+3iyH|a zth9enJPDH(+t{9P1gPxb3}5iu+_dfBlwR;(UH5NG%`bMFTga#TP_qrKsmEha9QI}S z7(0w*_}rhzZ?Z%5WvFw7(q-Czp4`KEFF6=pW|>_iGw8M}51?l=VcD^(70l(Cl$eY# zO)$4H*)NrrpRAZ_t?*l0XobE^#4K%>NW_0MR&5az!*FuCtTtmNe-y@qYstC784p7L z1OQvY)VK1bdf#4|I$!DQJNu>Em*w!zYKv$&@`Da-m5MHs#K`1>4qFgbzrJzvc&y3I zG2K>Un<?GaNk|u=@HS_>!3?46uthN<)}=GWg#0_n!{4wXQ^+uEXI2&-Ia8!l&0c>t z90ugZ<PRT|I@HScj;^mM^5ZwAh>ESP=STUDqeihw4d~)IfsL%KF|#RWWNgIMKqe+E z_OV~s9-Gc**Rf6tWV^_pA~_u|O-~kcL}RlvU3q>L{koN0R^=7Q0fPGdOQT$3Vv<E9 z4%N#j#GI!_m9L*0A{G4*a0Q+=bnbr<_#*FMy0DyNEf{=5LIs|7^za;spQ5e>^V0B{ zLSq~H>k+de?@+q9oH;ER0z=xO*DrqxfuYq;d93iV4V`NQyy!LNDC6WgD8<X4P3r<{ ztAMT^vffk8p|%Mqpk3dKRH6;xUO*$^i#&tzJxQNyLDb`iktS<F;0v#sLo|P_Ybk7& zs^x<^l8qVGOGv)Sk5Zwk(Qs%!L>`oTqe|s;XE4$k-k3(sP}ZnBv>pboVGXjR+rF8l zbZR_=8DzLKt%q2Hw}k4}xUGQ<K1qjr<6`^X(E%>rS=+3t#`(=xp?-O`*pwymRw-{e zSj~tKEh1LoIR(7-7pwuhq%nV55(#|v5iwd>tinqQr0p840ehqgTILDO_7M?U`mDkW z3JxJ34@l#6{qTf$NzL1+ea=o%nXkRl8LN+oeUf2`E{)iid>D9_C=+r~)j*=8P=%BU zt(S<x(#2y^_L0KVGikl{kX}nY6PaW68blJ6qD@HYM|z*W%F35P#4LaEl$Ea!sQ`bQ zoe1Zh+-XVIT+5t?;{`MaK0!Hwov>M#YRDyi`@K*IIt%U#hur#@#ZkPvS7&tXs-c(P z#Z=H)c>it4Y{+H8;t5_I!5Lfotta~~p_gpm1V`{%V4pvPqi3JPE2s|B29^PqXUpQ6 zfXz4ZaiqNi(?w^z?x}wSW4*Au=6S=QaEMCCVMrQkf=cLNOxkGip)Uz(Ck9D%VFLyU zKrd`XHsP(k$x;PLud@AihoxM?q@Bq^#rqZdgh_jom5TTkn}n*psrM@;thNgkEWOkA z+dY=H2`qNoUn@LT*z3%$!koL<F3UZfGN(BXMlU_cgyqRS!=ZoF@!>+D)I)sMyePXH z*S!j2e8#;fI~y;#qgK?nxaB(=&%Fu~e44!q7`<*Qcxt7}4B)nV;K2;A3JfO2JQ=2K z+s765V1?VK&0OLlgITv17xQ=l$85O08B{br4MSX0#r4saA@gt>EPQ4<X`l*2+QHUC zXvai+?XSVj-v)mjs;hv=UqPqo%Kp4$J56~U>z*V9Zw2Wt%GE~%&J4<xfxC+IIQ}~n z`4z^xt4YBd-N6DPaC*@Cblf(iv6wgYu%wt|NXY)gd?bADQ$+h;_lrshMTNi%$)8q) zQHs`MYvZyNQ};bvg*JlTgl<CpSU^N*1qm7N&Yh9e_oshtNdJ`<5UHJDO}K@-wQ%aH zX{zbs))F?PbFLzR#{(xz;aWj@in{d?!83z$XMBU|zrx$dF(mNVJ7@&yDdJW@1Wymz zk<Ph>L>LeJQ7Xv_GECHMfJmGfR4C&c)czITMvfy9#@<0A$S@JNA|i2m&~Op1k5b}m zNYc!p;i7+kTKeCp2$!~$`1n2j%eJI{Sw(sl51cBcW(E09)UBV0k{R@M#y6<>E4+;y zLwXi_2aO=#iMSOKQPP9<q<>jMVvGmQkosx`87JyCNMyzgDw^>P>i!CEBgc^#WAC65 zWSodwF_9TP=z9^aRH?pIBwA+B_o9DV`roJsm!^MI-`G9<%XYEQu4ADe!M=C!d0oSk zI+HB1)0b(hH}nJB|Aud)m$A?f?r=*rkLFA=)lOg3J^n9?z|PDa_O!p=E-+ez?R>%q za(7YG1py|*azGV-9wqAZCWAd)3TH4YXl<y~YF(50=sVW^9p6TuMfCvgFb&S&sGune z$R2;6`opO|D|Y?8;%#d5JzoB;;{Pr3y@<^9&tm`avH5jH|6P&8*VRx2v(J{Add=o_ z8TV-7PSHd!p$VK@)Dt*jZ#rf${g^ZiIlp?>y>cbQMTQ4y0&<IiF`&;JPuB5?!7{M< zVUFqRc=nIOC{Ro$i|aJET~t-4Yh4^`J{*6o`&g<un__Kc6EIY<knYrmt{%<3uG;V+ zlQR0;g>qW<;ey=Mzjb{lLhKCFM7z4Ke(mtM(^3Fl(vz6m`~D)FWfg72!Pc@y!Thl- ztQ;(c4~7qm&jKv=RvLxvfFJ=V3(=Thy(pxOWI?EVJkn;G8iALUq#c2m?QgP_Y9)Ue z$&!^8>K`w?nWjO2%#t-G*eptHBUu<K#GF+f+QIUhoIhnIGJLzfxE&^zk>9gjqqlF+ zEgC#t*b}(1X;;e`i*+(?{&nj(Xf~Z;4Ov5|K#20C{7ZQPc|aJ<XO$T>!=id(lLCK? zoO!V9^55*ZTDWOJ2ZQ_)M$SHr>=}PpHo!xswtl^JHLbK+)I_Xa6y0W^Ak;gab~8<# z0ER_>Ot4WDeJyQI6n*D6nc!d3Qr_0WkGI-P(<H!RSsN2<6=k*=C=3mZw;B*!iMN{h zO%8(w+KZ;zxtYfcLSb0`E&>D?J6a3zM%AJ3ZHx9q>1WzoSjO3JuMkx?7mt6DHnmzs zZT=8~_|59jc-x`_QJb0e9+q)?0+t=E8^ZXKB~kRVn2!jjbi%F>^<iq^YOf1k7l;;& zX>vU0JIGf^DSu@rVkW#B@s+K90UO6Cms~h$i)c(y!ien8uXNR=NZ+15%^*}0*i9{@ z<|@;#(8?QnmB<SGA*He*BSL=zih_zHf`<6|xp=wZX{9*L3t62nvWj+ULb(;+3K&A^ z8$Zt_ODpv%`5ZigGBDAg_%>uDBCQl(-&pXc-QuUxupY0SOyE{DOe=l;hvbw-l#GOo zC=MtJD;f$KlIiE-=dyjR?3+N%pS{^$I?A7QxjH(UbaC11KC(g0ljDC#U!9g)-l}su z->tB8&N{gIxUK5bBItBf+)QH@Mf}tx9K1}(^DTZuF2bCwpIJ6<xy*|xVnh?Vrw=s# z%elt125<d<5OoXcH|&gW1|q%n9l_3uWL_Y@7vOs-+3zb&`-^>rO!mP)LV!;=Ed^h) zA0bQ6Pr8Jc7<;ciyUBlHkGN3hJwA88uQ=@sr1#)_FaNTd-2Dj2dVb<1yo8D`kjS3; zM}YGQd(J;q<;X0=*E)KfT)!6FyarE?#cPP(AM?5-{b{cLFZPY0VhSBue@uj)h;T_d zzTzN4uD;(0>wKM^xaM1BCoo^uL*q>2VFD12@f(MZjmC|lnX7+i`?ixSoqGiB@2dqR z3NUIdvARAAR<`z0aMrQ9U&r)6sg2*$RwLLM8paVIJCB<{v8OE6^PE75lMKZrB^*PS zWH|(cY(LF~2B|#;uMOYJJ&>g?6s;eDm}SSAK6-h29Ca}L0&aPdWv&WT7Z9D0rluO& zuiSgqp?S)@d&GagOV={G3<$rSg2K}@`hX3*$VXMqU0F^;C8tmWZ7duq`#@uhYP270 zwEwnz&*^zwK20t3X83NdW$iV1Ei9^>OH=dkc=Pbwd`BJ$l9ff_hqLC_*p`Ln<>luu z$@6;1^VsXkh13CP;C1}#wmZzBR*tnd<>H&eiKgqFv9^Dsv6GQ<IC*l3J%KLAOXt}X zHa8|^oi}^Ob~_ssi8t5l!wjPowG-sKmd-6c*^xUpaA49Ul@C8A)}peTcpoofn$~Xa zxdSqGJ~7;1pxx$50ZdZFPVMYYQ9D-F?D}knR2J$PiJ|Pk#LdiN0aN!j;L`<L4lY=L zi~?H!QEh+theg22b?nFt2YdC!Gy~ku&F<x_SWsf^#lgW1;O20c!R2E2_Eg{2e#1if zdgprYAj*a+z2;_llEe9Q?_!5NL5}$4OqYe$-Eam~<+GPc8zWIRYF!(O=2WlDa^GrB zNP)d=x2WsD4J=n&o4Fl&C)%&1W6w#e{g~I%@HT&KnJz{c`xUR$Yfjp-mJ$$6mDCC? zORm3$6<mmobQFZ~TWiq|dJ|ifwyW8`CUv?hl6a)>4taXyvA4K+<Y}xkt`et9fE}R^ zHgU;OkzraPOU)-`N9EC6AGN~GvO8b2wsO=YWbUEe^<B=)fD<J#ddDcPk5Bi+T&_9= zABlf`;ZRCw@8!7SbKziZsdA~jx@xVGI%JVLypVdwBK7V<s*6RctM!UzvzxN!;_8ZO zMX#3d>-<w2(T(GalZ;i{^wpD$XSV6jPBNlw)9pe>u3lcnjl^p9^aIYiSUGr}=T7d- zCZ^0rr_3g5Ohs!<C2Gt>&x<H_vVf)?Ek}P@(yEF^ugF0P{6n>Ue^C7zre*w?fRogK zvVzExnak@dqVss7^DCm3c%qgoqUCrZQT>`L+4GQ*s@qxPg5uotr=4%Ek*<1>uC9?5 zdXN^bkq&y0Ob04mYS=UG=UjQItD#snTgSmyN5fag(Ogc`T+Y#4P177(vb_VbQZj#o zX&JZ-SR{S(539QJTDjZCgpBhepPQ?>y~YeU91drP8ujXnVdQj3J}(_FS+62)=bLR* zioT2;cEDv_M%x7bF74_e565Vfa~@TEvP!Z<vO=;<a!y}ln~U>%rN}Rqi**37>y1HM zj;mh8mx&Cv?=x}tz?f<pYc4K&+XjEVmVAN|b?q;XBCXlkW@QoruX|rQTfaYq%mpSQ zUIcFD@mlv!h8$kgl-85Y^hT%4Q}I}}o^%SclF>rZLdKgFoffsfv33J4fE@$wLPqQ& zc!mn+$^{Ukm4O}s_dWBg_80l0W(q`Q>-&*k<RG&zr|(lAzILwUh-(p87+rr-4v;ns zp&_RNNC&$3!$_9MF#KMqWjY>*K@cK}ze;+;8SP39qz(KK$dXJKiYC_n6vp?F)V1hQ z2QVR2(!VyDccH}E5=0x}MX+JkNjV_eScDs#3Qszi#Yfy8Mn^&l`QPNd(D<VcFmZa< zBA_ssB%?>#AcPp452M!~jifZciOxqOU~NRgSkCds9k0ac-HIT>M3Npx#gg<S-y~b| zvuhD(7+I1LkTw+ITh4Ldj=TS}O?ZS2fBz4=?gEw6y1tJtD|Mx*m73)RG*dHEDl=~g zm8q4fm6??nDl7AnD3(AWl%`gucxUB}E}B^>*+nH$B2xqtTA7(?iVD%n8%`=A3C^sw z*WT;wz4rc{{r{ga&KcvJF+Sh<%xAvu_s#i@`F!6q2hQj8oc~Uf4;>~S!em*{f7^5R zMQpvhcE#(Zi(?jC^hm#0nDLC8TE~7d5OI8R?fRLe#b*|Dd8EIGcqWps(SOk6e@*|9 zo%II|cJk&&_Lrr`Kj?OdXT(2e|GASle@*|9ZTtrv@z;I-%bonalmGZQlixe}o&Nm> z|E2GLxs$(l@*f}PPgC{xhyQcme__rK#*QVudtO`8cgnFT?pSZv_~R=-$di9X{*n3k zcXC;n^NsJBmupLY{Z4xKc76D#%zu>M_$%^{%wPXTe)y|C|9SMkl`s1%@{i2Re<Od~ z(m(h4&!hiQ{_k)8PZRRD$NWF{`L9p($LRk`{_}+VW9t6Y=Rc4BPc#3Qe|`QjA%B{> zAAK?#<CtG%tutiO*D=)lQ8HQeWlA+oCF=#uMO~#rNVq(}?kkpbMj@Mru;i<L`3!@# z5D1P}!*q`yJ?o%(Yr%z1wOtyYI@OvNETzo#UbY6N`F!2MX2skgCPN0`pG;Bf6&Yd` z@$~jlD(UoB(hy;mt%oG4f8MeAsxLF$IaNEp|NdEa2sv1G8(MN3`b)HFNwn!N(U6j8 zB1B4~L?Ff{F{R-*W7ruVWiN0uFwJxXjTl?1o1Gb`+fNZb3|Zc%=iXgs(oOd3(c9sa z?dPPs!-?$odGCse<y8|!TXh%oOkbldr+a)_op)yRWc<LLt)Hx1e;-@reY@vq*0lE9 zn?2v2>=}KtXY|P)>6<-huj`qmAOEsy#1}JCwDn6X@8o#<r-;DMa|5#?0<-1@c0~j> z8*U2~u8+GYe6rIF`gK)azbAh=-PvE0?U7j#2G5-JU(t}!{30P{2XaFYa!rrRh8~wS zJ@5@ZceWnDpPwn)f2yksjs}(>KY6Y2Y5z^o7@S*5Z5#c!qj5x*gn`AJbJyGupMt=? z%e^pYa7NA;a^(Br=!-{?<2%@K|2$YoZYbiZ*gn^E>FrTZlo#gAhy5!W*x!(CmbM4} z>WA)i8`d8C{zEsm)GBDY?a-5l7gpx^o%i0PUUkQ9V4V*pf5)`w=>9iWY^xHrZ7CNn z98t6SWzmXXELVA7p0`$PN@Cq*RtM{yJ{DdkO+|i@zI*)b=2Y{k@Ke2gO`W-!M_Kts zuQNBGR%UKMCQSoJ*)o`>{rD1lxIkd#19=$x+x51L)kSSS4zJZs$hF<Gw2(J^y0;Om zyqq3;nw75Ne;+04sCIRw&VqlkT@&DO0zAG0kMBMd`-#IH-*yuR%d?50-vqEiO;UAs zJ{R`63E4O%+!S7=4S6K2@LU4h-*V))mm=-4-@LT<mVKU$n?%pjnf8gKn9L>c4a6ow zUtXmRf8Z))B6eN?1G(~sz!)+>lHZRYmtT25m%<~be}92^Tq?gOlz0~TJ7<#Jl#S|l z^l~*3O*h#^urSO(URw7J>KoxOv9WtR{&d}ONd5Y|-FO#O-zvNdv$7uF*x8y4;fdb~ z^<x2@*taL=N{>^#r3MANPS#i)@HuAi%w*xzCc@$A&v%~(ggOZ7M+X9)u{qSssZ^xD z>WJw;e=rNfe)`L0thsKz59_h<8osx5B32qt$(V)1ZPSdmUenlp>zqNfd72w#vrfg% zxwfx1YB}Zndnn$0E7A59-QZ)W)|?9SjlYLH-%V!?=575?VFe{cxH~8Gd+Ip@gRQ@Z zMB9})DRcf~c)$Bas8+Ern7V$WmQK#*xfL&df3jUqH!wC&)7W}VZTIoB{~lUXHs||Z z<5a#7?mm9b;DCABlC9U&cOOr*eYjD}K4-Ib#fv%r9-eSW+d$b|;(M*D@`al1$&FfO zIh!>rUWj&oIBVc$o~FC?+T4E+ABSp%IixvIHdB1BY3}}T&fv6p8f5D=e&vg~wx2d? ze|h9=)~opUkZ$lbR4dsb4MEwgwtMA9E%mL}ezGkH{rzZQo;Igq=UD@-oIhRsgWT?r zmgjp-xO*ktV8K?_+26xzN{X88(wzSk_Bp6`Rvx4q#O6F5kB>9%rALKU-ApJeX+QP* ziTHmyi8k)7{!tUBH-AkAds_FI&1(m`f2--CD;f#v32V%vHks}Se6rq(8Or)(7^ZiV zcJQm#_mH1<$P^~O{}#Sr!=c?;PfQ_f_k~o&G*~&jMeE6W2%B|s@!wv)GG8?ue-0y3 zZScWQQ~S@(tbTH4iNJ$AYK7WclEJdxv0d$#)=`}1NInwboMBnIRqdDdQI}u*f0wJP z?OtfE&Z+$ApG&nR<?QJ^*qyG{gZkE$3vb40k9b@N@V5r5SFapA3)bdg$_fFF%P%*D z6uV1y7gB$-`=ur2M~L-nNxeM!U7ZKf)`Q!v7=y;o)SnstP$T24Ob8K{pFE#`_|;EG zy-iog04I`6`K^MF)_M)U3%dLOV?dn0Ie$tU=uJ_mweCB6X!Vmd5VrP(fcG%xJj+rc zO<f+dNqHcLxq*)=5!1S)l&1Q;A8ip>rU~?(Q>amb&vx295t;p7h9!M3n=2pNsC3I= zI`B~xF|AWd38~5Z(Y}}I0lldTwV1tUo$Q_z|E;Vc_IsJ8d~B2QbPf~2M^VKzzJHW5 zdn4~h`(CCdw=*X_2{05?X-NXv({o1h<(<i|f42Efs|#so6@_q;6(7ZsQc8;Rel)O( zdQP#d1G;9D`Y%`K?0As?PR9`?CUO1KZOR2g{>JYCQ0y;9f_LbioA;wFDj%Q>Tr2?F ztBVHrzWfgVr5U_X7y4KH-YWjqj(-du|9DdAWXk?y@=d!U59>Q&-3(pIMqM65R>$nV z<W@%1v0P9a2gH|TG{FbN!xz`xJ~Zo>+IY4x1vhuZ{({j$>T^_Fz^Z#T?1YmZ`-;vU z-IgEV1KfLmNaFbxJ|G%K=mnhoR!j-*>b!GP6m;&U6u6DY_b?dI;o(j&+JEmC-ir)> zS^WnL>IQB{;d>r3-oN+Dud3s8zp03tr|a`O6h_4Y>o?$yOW;|4<?Yr15r3wmj7!#M z`4zWY`$d#g)pd2hxfwOj+vj)qJ}Oqaz8-Jf56?PL-o7E=ZyCSSQO5o2vrZJZZ}5wt zRMmBMzp0FxNA&p}ilWAW^?ylt<0g1kSb4icz~3@{r=yIU)@Owkw>$VnP^;?r-EXR* z=GFWB4#iR9()C~P#<TFO<nnezz~3@{r=yH#*JmXcw<G*UV?B>89}t4`)UR+aTL0S< zJ6rrq-||m+HqF9qF#O9%YIMoZKVE%#(eaj2YpOuDuv?)M+YRnzvw!Q|a!+>V$KhYv z2Rs&SYXGYc*1>-(vUvwogp~jJ%0Eco{J5x>_I_XQ2F8pb7Mgvlne0P&x~;%%onA<% zu&yOAujqQ6e$7c2Y<-Syrdxg<Hxx%~Zr6gC1R$X?_bYoIEXmOo+1=u3Mc+>;zI)aB z2V|re(>8W!oxl4>n14sxDA6joyOHzvFs)@kyJ}%~yhF?QeoFe??@*#;%t$G^d)3PB zI7jQi{qL~N?)bEp+x?X6yH_pkK6HGCy0ojUb{{xer|+kf-@R({12R$|w5vIW2KQ4o z@7>v<rL}((%Wfr3-FxrBBYzJ#^#{t!vC4(S`PI|DTeDD`qkk2PcaKtXjG5<O$*d~U zVHPqq7lirX$l7YozQ<<k#`yN{Nq4Oq?r<ieF-^up{v8wN2f#3I$Um~I)sMZUW8W(C zD<W&YYM<j6ISHsMx_s)liDU8-De`q*|07|NJv}TF-J9EgN|<Cu56eLJ=J$UVCV9}q z$mrmX2`ze<MSp{xEXg}AQV52OGp?+od*YWFhI*@0cKsa|?NTg3D7(c`>t5&8og|$y zz8Sb}w9?68v)k-c`rVSO%Gp~kWtY0E{~WZst0hiXS=w=u6cdI(1J?L==+JmhJ8n89 zgdtc0Yi#=mOw*1VPH|@lbYYFH+LMxhKtYOoqW}VHWPfW<PN$q<`~!llL!&_5h#^P` z4wAgmE<b%P5ElP2y($j(_YnW_f$=R#l31nx%bm;Dr^#XM%tSh2l9}jwsmx`D`X<zg zsJxg-KH$=PEBxM|r2q8k)4!II>W(Sjj5FKUlVZPct`lMzWzzQ3lDgs@mDYjPoITO& z3XKE2I)C<@-|>5p>?zpc#rYn@{#MX#PSnTJD8%V6FRm|(>T1SKGQ?q_0ip0S7E*_z zi<yzM)n<9);t$v5xyP2j^xyLM;#THymYdY_x0CN}PY=ErH{_da9xJgCpL(x*ZvRQ# z60>rzjL2UPSz!}<9`FV%*03M}_t^U5)AP>2zkkCF{nfY>^?-YV`AbUKb0QDnmZbj( z4IIgaWyM!_w9e<QFTE<DJ=oEDVzI1JU-72~p0XY+glqo@=N{~YYqxz5X?cqjf~D!k z@K^q;eTVNGGwy1@?yx$eCGR)HFR?H8%8dMVWdB3l68lp1+R2nVO@faOX65Ruhf{nT z1%Hbj8qfQZBh|(p3f$}){k3bmQ^p=X`3GDICbnP7tQ$Few{fYRL%Y^L;3d?DjB6Jo zi=MjGH2T}sc3&EMIMS;)v~_x?<67*#cZR{(ww|zrQ^BF{f~Md9ImxZ&-anxI;LRoI z?t#TEZ|~;rntI49eKJ0u-~6zg^xL@lbAPid9;(<af(uV`HL@SZuZW#~B)%+6K7x8b zlbd`lS$O>T$l(`0+3D5}8p|fNpG($M;BK)zPi&~8XI1+YU)<`x=r`--i6-3;#P!pP z3m>N~Z?nm_Ajs>dWfxX8!VN+pS`K=Z=b}u~tt-L~idHsa3_@MCYE9LHvtMow(|_^Q zN43lu($*%zR?C|231*(AG%3M02enL_cyzU_uuc%%{x@pKTANt1T6W^T;P&qLAY1i- zg~Uv4<q{)>y@M<y^q$4e1)bgZ;KSG<$yoJpZ(u8}DjgM|(^76s^l(y~j+T9Xbuaj! z#O#&C{njvcXY16}^yWFK==}5{YkwW4pE0pyy<$U@%&S$<Uop&1`*UOc2_N!&a&Xu= zRHkD@Y)u=A{{ff$1O8zDlyEQW;JwEtwUg<0nub5dH9j_}KXW2(K51aCrBYl7IRICB zKD$DRf!~U~cPQ1~Wc<xRzJ|8R`gJS2WA7bHjm<bek#o;%alPl$q^)ms=YRKG9CELS z%`lkU*|mO;qfXBbPp9tx2Ij2Mng3sgbvm~HP4Lom9Q*KmoaJ>x6|~MYf~vpn=8?M= z5%$Z*I`##$Yb|qxTOUE4AJ5E5UUVO}`HNRa%g=pA7PHHLka@|!x?0Z+)TLxBSkPmc zne4G<&x5++%k!57u6v#HeSZx<a@Qsz?ea2+{=e4#(IKa0<M8VnNMNI<4kUVdXGVq= zWV7Aah3oFuKV+|bY<X$&|2iZq%0E=voc`@%`04AE{|0XUwEIH1)~~)6r){^u(mqr! zY&Q5G$+g#wEdQI(dV0~z?OIV*X%F)@AJ}G5Q<1ZG_f|XGib8|`fqx$D{v==eo8bJ( z_|0xE7G|Y6y8c@=Z7NdrU$W-^XS#8E=u8Lu{0FZK;r~@A_~3Q%zX)mjKHOjqTOQfL z+2`4QVBPE7y7J40>yF_Te5|xM9d#kx_&O#1!(YLo50zG@qb`OY_^+VR$duMJHci&F zSrKNTtX)V7zQDA5Hh)wo!J?6;yx=?Py*pn2+-GbNVtFLyd~8-u&7%8Go9i{Ur|)BI zUL9_+gtjm<_(GfAvk}9?(^_@7wEvgVcb<&|9iCoQhb!F2*uFa4Y6)#|X7I)TpZ?#Y zu?qe~Mb#N6-u)XEfGx}OQU+)bMy~1Vea77qSfqNUU_B*qKYtJEu$nAz&hw_y1@9Iz zOj59|PLFh#ra*Ty0uHoNtKuupS=yWaCfKUyqh+c#boW;#b-iDE^g8Q*l7RQWS@M36 zR<kLq>d1!hd8>+4O}joE^FAN5rSaL}iHmJ-^)nyYEQtwN{knYLU5~nbXAakW`5!Nw zQotyi=C6W8OMiA|X1KxkNEYtfZ{fG+|A8hxqUCB6*x`C*Gmm3{@xPgqxGt?U-&R<< z<NGD~nVdA!JX>MT4vP#haYbN<#g)yvVB*%BIiBnOZ@#qm{{LbF`#c%{zt~Lk)?&7{ zcUUa5%s6uS|GOt6Q^wQSELoGcBFs`*yNJ|#ff@5`$baeor~h|ocO|uZHSSGar!Mu2 z<smh_Ekfdp*=uy@+u3VP&|~kLKi*YQ<j(`n2**|SqHz}Sn3>&d#@^GizQBOcQ)yRJ zuRo#61<crSX6$?BL%U}rkbP8r#V)q{ik*Uz6-<>+95ay_DmyQcL+>5ziR3-&4W%DA zls0=4(SN9XJ_QRUy`O2|U*<_lN~!fD7hv(k?GlqR=lO^se7C^a1?eP%i>ox5gYx+- zu^V!&%v5ZnuKKjiQPI^n6;R4FQbdUPnyL=DHVb)Dd03)X$}~_Ui)r&ne0e8p=v0fP z%$-NoZsE%xu!fS9J0#7#DXkW^{0a-}j<l0Gh<_tBNu6?6*3d~}oW!}5YNR+N7Hg8a z@T~%x8`^+Sm1w9i6lbL}#}z38m@Cp%mdOj4uS}6zv#8j?^)g*?l?I6?k7A+s#iTQ# ziv47yhYU_unadm@L#t&;;;vNWgyOOwz?Hd1me1>EQR8b<k&~-g(8SjQvYRstnh=+Y z9Dg5BCw0*ETDs(3tOmC!eL|-6x}c<NMu*6hjtELhXS7>>#cz|$md@z4u;gv5sZ+#l zk~5_ZKNB0IMXV_d!dzCy3sGmb;>QFH?r42Nv$USo>xwoa)JVUudR?X#DmIACQinb& zUJCA%VYOPg_$Wys&s~$*hNlSZO0haE1Aq7iL1!seujLh<EXXXK(Iq~RJ{4$}QL@HT zrL(E9_!e7P7SCCOI;Ci-8!R32c>Rm?ObTjBF({Z@M$-#_PuMHjE$&r^3JCrZB@e9^ zK0=6-5P3AcmS=eWH1@>JgSGN(fd@l5ZuB{_4kb;$fEs7?%4R?GG97VPCUILRlYfRv zBtFyovTF8*zzaf(kZ^eHc~hf=O_FWA&Qv2erY#OF)=r(l5T&?so)K9rAZ(K)@t|6R zujQ$%ewU%ej7QQMR)`zP9A_u)Piep^<0W9C`jkMCn~*Fmjt`ftYSCLVd+!D+v8NI8 z)hSXF`I2Uv(B=EB*v_&gUmB8vJ%81*8s{wbP1VD+#4#Fpy&BLFf}i9X53P-OF0Wx_ zxTDMzYLVK?X7TiMfj%KFrhOoMqSw@T+Oib2j8Gx9OCe*3c*(Ckm^PwaE)<A(HtNtZ z!eL1Q&t@KUilD&h^4RL67xZ~5mKg6XxrpF99WJL$$M{3pWn>-V73r@m7=ISA3KuE1 zYv;rGox?0LK<#Ve6VgzLN1kC5S_q19>6o5Ycoz*l`Zf5glg}1K5HCZWIzregspe^F zQr{B}N@B`TCWOb*C|0Bk)tGQoYRigrWm?N(#lf0Po;-*pb{R4y)Jk<&$V8>LgkQ#l zD73{ib=6Dx9TpSQ;wbCo4S(q<v!vJ*(ij0HnJQ&^ye^bBj}EvF=_`E1O{pp&Ay`5! zV;U+@Jn8}^S{yJ>MV7KtRlV{E7AZlwM}jItnkk&cIw`72#jgUA3k_LoU(WW*Ws|bY zEm<mKkKPoO$LT?JRpckr1>r2@>~(=*8EPq91S+ktlnQ0smr7HhBY%nkL53UBMs|qj zu7Mno_p!+F#J!R^;z$kJ#{o^`Tl#$B<p&zHNrtB+qRa}XBX)Z;6VWx1+KU-<z?~4E zNbOA+w8n*rYf^g?2Up1wcp)0dLGZ1&ahWnzY!R2GP=$;T$yZ*J23o*4EJ^2)E)q@% z6--v4R5cGht{7qkB!4Msf+`o4D^6dGR7ZbQumx3aDhpW@kEus|EY(_@(N#(^n(iti znJ5;Bhvo&itK1rsltK4gRO|MYkroZOsNjvKl>6hwif+N69LZ{v+pyj%Mg@^>DtFm_ zo=S^YFGZ$OM-^m&*iGdj!}Cbm#1<(k6(wL`?tV+-*{L%-@PDghZ9F|4;zg-vN<)0P z?%+$jR8UjutUdTvz6^Z(p-@JR^bo7x6>7|AmRhjfU7&Cx&keesSndX0%E*`A7nGGz zG^R!f8zr^8S<R{Ugiuf&nV_BqopINs2-d6%Y(cn?F(doN#?z*o@}=dhSy!kD;~BUj zd@IB1A)eszpnt|AQYfxaGs0ErE|#V%bQ$5EbP+4V1qvbDl5$uXuGFQ3M(KQ(j|<fR zltX?hC<g*42QnxJd^0GAF%9S#!ylAGj3#u7u@}@fSqIUF9~DqsX%GcQ%)U%*<B=iA z$g*H<Vxja#`_wAlo$MjaQgEnC(p9V~H`vdJF8LxB?SE8lN&uFKlPu%uX(PJvHv|t# zofjbb@u>-6pRw<t+0-@&iqzKU|Mb;CcgB(T^MUjB<tLdFg66m}<~WIC$*{SduAKQA z{eeWg1A@2TL3Mih%*W^tCuq0RTV&km5454(I>{r3JukY$!gV~}9sLdtfWWHf1v@NM zr&;RN^MAsfNjLsFpnc~W-=op^bXMx^Ynt$T#$TH%!DO?YIvxUL&x;v*u;&D%xO!Df zOpm0Te#`i@H2L;5XVgBOX8B-`z-v56C;VA?k05wFTnEu!&r|iSY#Hql%$G@u^)9-O z@6v&E^xtNYWNh!j8*P!O13Jl<8a~A!)+5erb$|MF4_%|d9GOz~dGux<2-YcoazFY5 z1$##WdaNm0GOwPdK|7ccEm@d!TLX1CQe1dgw`ou7?3db}7nY_6bP!Jt^}OhXhU<L$ zyr}0zAauV@vt(C~r1s27Ck7H4s58~tdFPrYvfg;`3i(btL@0~K(Gb^+zrN7FGi;`; zh=2Yt6i}Ov1t>wSvkEZN2<PSW>1W#AbfPM#=LNOpvGM7by?Z=K3EQ1M*;egMMaCa+ z`m~N#qd^mVivED*-AYG%cn;ni@3zL!`|)VW+VEf<2+y@gFkBh}0;e0;nxLo7w=|Gq zMzq9ZaHq~7Pu?TZRkTOr0$Q#b!*4kUMSq|_a)XHY_DjljEf<}a2Ttcr5)OciQ-Gc_ zUwVZ_I!O$ZKuh_$%4R8@#Y{xl$QpQJbyd6kGmDvoK+1x7=%1C9Qgs$HzGa0>%%f<i zp3CnGsBS2TLSO6`tmW8)&lf;lkyf${UVyIhoV1-agsn|siW$2k*fQq@%53SWz<<_u zlDP~)R>5WcJfwC@ue^#i<Dyz2ixWdNheV1S0<^1YjjV)+{8^bUO=h96$|Ol|>6DK0 zw$y`#bsI7RjYd-nXf$d-qd`iHUO44*Q)3qi7rjtfmCF3ki(WV@Q5SzsMNTOy1n#BO zr3zQE%{<a;`811~KpfcKYBc$!dVe@m#;G3G{#p&9*;+yWw+At8k;n<gF-cWv@6XB# zX+$b=m~mRdE`#bT){1>JNw4sa3e!iXdDYi$G0sG<+yJE-UwUDaf*hfSEu?<CC$&sL z3KbH8o*QztY%aI}fhNTQG$}Mw(4=g)(@B%u2=uH|ym_^A3v#`aL9a;)`hN>w=`Q;Q zE^93uIhEz?rm~bJ^GM&F3mSAT${5hOsMa|d$VzUeKP8R6l5CU-UP-Pty2{=u`;eN< zPI&-p>ZCG4;$Es+s7MkIX@V}JNibGQG6C%j`eu4Yk9}aP=lJXD;o+nZi4*Z#84I1L z+%5?zW112kNhv8b4Cn+4d4H&%iJ4MyDlHx~ff(^vDlCy0AgSa{{oL|QKEX0_XCh_G z#WU*6K6!QS3~E;UY0o0&i&3-=F<<J$GIC+sgN6sKg&2}QO~}F!6D4@iN`OXmM37iU z(?PK1QEM}LT~UjsACwI(CKO2vS&?oir&<m|+z&Bv>XXl9MPB~0LVtErJfp#UEl(G8 zd(SQ;+?Hmss$7N)6ve-`s59Tn-wUR`Hpk5eU!WQm&A5l^G~#t|4<!Y)_I5A}2JNX6 zP_jOTNH{l&p@f$o_Aexid}uNyJpJGfAt*ldEg}ru(wzr&zpcmWEDN3w`i)$ck2}qn zP$0dLLN@KG6j*wHUVkN%>@w3v#O@hN(KGYtOwn7`^F)y9^JuN?>aMN@i1<Ceso=_M z#(0G<6U;5`)fpTDmuagT#t_EPt$Ixu#3Lki=G34qrmh1o79^Kq=ZAk_#7L6Mo7zA_ zJqVM9i><)-d<sfvkgBn;t}q>C5xCW$!97QzBTh|WPJ+8@FMnt(!PgwZ!e)oROl6KR zf+du)8C_)_Xfa`+-&LrKy}tWtXV6clrXt0PF9N<B(-JrJE7l%I`yTk;L&L9Fq|8y- zH<p6Nwjgkk%wx2UHh<n3P39~7AxSoGcHWeLu@ZMioSfQ_7;XyghnXo23B&}+Yu>Cn zTBOJm*q1f@Jb(BU-zlKDpbZs=K~sPdGW;akWeqySbJ8RhA3KNuw_o>p$X?KICzfIt zfLpU~D%I)b5s9Nu@q+qX>(tJz`K)GX9IH$^$*KXLDGS^uzmh_j3(o)5zl}K^I=mkD zcF((`jRifkUlI;%%Y8Fvamljn8?i6eoHaLpS@zOurGM4dhO;NJRVQz4&8RrJ>YdfK zmu3j&lp9S|_eI)1I*JdSdA?>g`xN`GozLBU)g?DC8QSf-Uh%7|Qmn8oyAiMEy4a|| zGTy><(})TmYbp-tnB~~DD#g2R%YR|e07GGAq1YOFmEekBCZcy}u0nY$=|HJ4wGd_n zyQ8oKEPuF^9MUzoro2eV%j9(0^8L#{CyTv=qQXeap}Sw99J`hL?d4vdwK>{${LL-f zK5KVmuGGuZ3_?=j74VHMyFN=hGFS0;Kz4o$1rBptISsdoy7&aqm5vA1#0~N)u45iL z@Y`x&G1oCCGWgpLAdlOfue$klnUHF$%7JHmtbfW6-cz1UX!_VaLf(_#zTXKdD8(%0 zSyi>uMSElsu2#w|{^u-(GYJ)?raqi0Iw=!g%rEjM%^aC@w1avnuK^XpU%C3jb8SoY zM^b?%S&l*%vD)T|k5DGNWvU0w9~~JIx!`D~CQwSm@Pe-kR7X`*M=m<STCSr@L5)5K z?0-yiP$O3rb0Tx8qjv#E#WjUN6@@B@1tJ(;)QLrdtB}w7g_<&FIbzUZsMTFan)a7N z8NN!BsiLV7KfsK!za?v?qd_wJHI_7N0Qi;eZaEjo=}m~18|&uNM)ZVsE?I<SRfVwO z8DXXiouF2g&mX0J>f;SlMGs^~@&)0R)PHs+w@PwAz9QU4b+NaTB+vooGqxkLgFD$0 zZ~4k>8b4{CO^)6uR_G}Ulbidmgg&__{N_@}(6h;%8L0rKTxuDb?0oF#5x{}5lX}8N zxohy85vPCpu-uukWiXw>4Vhkt*A90;x7!K*a4n2QupDPWA#hqz#n7b|^o|4qG=JGc zh6Xj4#EKMAr(NYXgz)ezSjQd7e7u#?GyEKk+c}K|)(v=tUuxp|#yjKJPCCGzdI+Hj z0eFi^E7+4}k-RY<u7@oWk01b3f>(JKjr&02gtu;S;6HH|{wBK#*HKvov^5BAW%cl7 zs63nDPGAecr~K?}=jilLcvEFKB!5$r8zC{ruZOHAKSg#}PA|mIgRIhHCyNd>QsD-A z`56L|5Gr8;2MGa?^HZI3r{~JgD0V{5LOI{2Bj`}#@|iZQ2q8n$or$Yvo?42^aRmc& z$wf`0YcbC7y_1*NoG=Ma?hfBHdD(_rCW)7az&B4`u;G|VwCNs1TT<#!2Y+g`0b`<| z4j8jv5=ZS!L*mk?)i<q(4h>Hd_H>&D1Pv|-$S)cB4WkeDjJq7k&62F9Ta?>7KS>nA zrbFq7^3}bqfx^eQ^3wC8GDOJG(g@S+lm0tehF*IBp4_p<QyU{H-o}~b#L$_KLc5do zFgi0H9X;=`4#9~-^iV@)-hUlIFXm_igljl}t>p&}CW|qyGw-;l#&3+!*Arq9|6QH8 z!)Ue>CJK8u*OwlVrMNQNG?wi9nAsHi)GT$6wA%f3N89(L_u00XUD(hf&&kHsMhOvf z>Gw=bI?@K-Y;^e0u7Od*f*%D<qh+Q1tYtz*T&%emOmTgir6yeIoPV8X`Oal~_LF78 zfPsDf$~Rde;XvGK8!={7-?hqw+5x4nEiY}&D*M!Y6dPgVT4G|!**`snS;7d`9ZAOg zG(~Y7or`fntc=8rI=kL5VRDv9EL=Sht4J5foZln~t~KtuZ)HPfnj*b{x_!Ed?8B~) z+?RA#gD3tM^*U`Oi+|+F*vm!vO%Zj9a_|CYU~RDf$82}ZV(dV!ep3Xsw8Lyxcz*JY z4Rb6z8E}t-o7=>Xs=2nfTXUxe>H@Ms7GsLNoFCEjjbYjePMYd|!rk1V5t7JRR<1A} zqM(LL0AB?~F$bAL9bFGNmhL59>gEJUGJuHEaN>E#4!s0#xqljO#SnWK@G!MjWg>G_ ztScgB!eY6D|EeJtM@YMm(Y+O;TO*n4?)_|7`eC5P3V%NOfPggKc-FGexwWCdxosD> zL=eL@89gpK6;GruaWFS(H`{|+7+l0`JsN9Tc(E=n*36TGY*=e3*ASI;+<7azM92lu zoWu{!$MRr%2Y++nO~5jtZvobve23sB-z}QpB(9=*x9q^X1LT4LD^xARUT*R&<Yo?S zXZaNcS~MgWIFPi?$u3CWpBU_f8V$XDWlPtpo+HbdH;OmNuE2APdv0^K5<~DYii_cq zbDT_q^3N$?O%CVKZy(JegvXtSaa;F&`K8E@<CY&j_J4f#Rq5U?iVJOUO!K2^=eO3? zm+kfh78KXkPwtX#ahkbx<*LF!xfgNKol6?=2cV73ggFg`<WZU^qA`nLK#CBbY`j#~ zFhWd9zRdp;TH6)bRLM`eQrwbMbGg_EV;>!N=lx=*ZxfG~Il)B5uLgE?c}1V@cUm7^ z&ULy)zkgG#{p!=hv8Y$@+&h8NhG<@3_q*DfUDBPk-d)Js)x!4nw_nH=?;ZQUauA0e z0ErBL=cXm>F?ik`z)f|#<+@4YZRHx8bT_#C@s@}p@nw*tiR@nd>fqs1lhw)vPGRG2 z5T_Xt_tijfS5&lHKYc^(5%F6W+Ca`x>2Wg??tgvuiLw>QNb%?^ajr=YH8*1?Y4c~> z4*sg4P02A$8@z~H<w*c-+|5wFsp)1x!RKzCEv~EPbl1mMieqDX5wBc<sP<bWd!+ib z{aw871Uvew&bZylu<*pDkNP>#or5I`XHidwb|0~DrZ}cwcj}R!(;fV`mEW@Jhl`R< zWPcr<sV3UtwVQa}ExonXBWJ!iA3Z~^nP`r7>8F%tof0@U6WyKt>tpubwTb++%zL+E zYxV<1@80J3Vuo^!JVY6$xrbR^?7iXG&0~ycx4;JhPUz~sT7rGt!Cq4dWkb=izD2ix z>$tD^XxuKkekCd;aqK}2>+^~6uKR7D-hU+>?DIVE#mcGV(KxKJJd0ng3df)MsCP%H z?qpJ^x;*xFY5CVp-2PppEuZ<-eFu9L)fX%IJITc+x9R>}3wsEgyJF}LPR>DzS;gm) zU}uYiF=o-q-CaS^8Y_n`l)RB^f3|2w8+{}NC$9#|lETbXG5zbt+U<Jw9C|179)I)R ztDLO-^scC~<yQCueHtD08I2n{ak}hO<28h52)6uaFML0Rcbhnm=IT%DOYQBeW~`$z zUwz4s)z%(g%Bqjw=46Na7Vt1Opy=Hp>XoC~V-I=`_Q{j(9_mZHy`OV`(SZ$lUsZbo zslD{tVv|=3_O>i@?iSOwelrlgyMHxQ^VLOoX1qm@7{lHfU0eO$nkG#J>>KIf4;Fv+ z`gEl!km>t5fdk(9`s|uwjaQ*TT_^@`XOHljb#}Dz?nE!AhFi;Oiy5z0?DVsYcDVC? zgVT+md~&hucGQk8ubzQx$10+MKz)AurB|UlyTYQqt8f0~RC6MBTUTO_!hf%8sVH-r z{WZkkdP_z41J}>uAQj9he-G0@ygPZ($9`M%wr`F-LsiGF14mt|K6<{Q+*|ATIUq=N zwwQApl^uM%4Ceg}yJ7OWvhE{vmtz<{AsW#WQ-AG!ZZss&(bMTpd<CMl>eWo$T8qzm zL8|k`R$Skm-JR`4ui!ho0)L~6Y+>8{5}MH=(b>1(FLN3TlIlSs*)9xE2loAl69nG< zGmm0pfiSL}APN&4{pFUmh5V3-Sdj8{L2`B9_2Ml;pA(Gp*v@aaTx*J-3E2fUEcS#; z=1k|@TcD4u5ZV;jw36Nu7ejM3caye%p30@}3D*;Mi%qw5ZR=TKgMU2Qk5GC-c63p1 zZ}TIvCfUQjNmN}_QMbSjbAZq@IWs(#6tHk8$63;FJhoSy5ZGiSXm0=<oF@yhO?9;l zc2<^XD8U_1GeLIF#%to4Cg@JhctmnKU14JMap+ANe{WmuxqR5p!Jaa9-zf|r=QiaA z-;eoCC@sL+(2n=^bAQCErZ$DMYB~A*U4!`yMp%OlwT5AYcWtT<K=|U(0Brm#Qoci! z+|l{gV-E0{JDW$TkLiem#>^Pqx^k^pIf*+oW8P6^2i+LXt=%=s9|r|9w#V_s1Z^Cm zDL)`e9U!((rsk+_6Yli~ziD0!IB`kw{rBGmSg5?@3!UTY&VM)vk#^9J*~|^z%T?Rt zYp{14&NvIZ3-quNzD-O|M0+-K^6S}mbC*L;M8L7xQ8O1id~BhjdTbMA3u3qYIM(dR z>quY`m)#hno)mD2&<NDUoq0IBSJ+xWP863Up)(1$fayt7)+8xcZx@0UM!8q#EZ5`O zJRVz`1kEDc27k6qKF)X-V+p-WxZhNCxZhlEE(+{Ot@p^&+k+^CAMCf5&v2{gV?WiG z<>~E2yepgS-{3olk}ts8KdnarL~i8cm__yW`7=R?&ak<46U7WN&^Kv(;l!x0xsY$c zzo{^n8;BA)58i&se$bIo{aa<RT<BB?wV0|VsNr?`+kX>IH4Sa=I?|)qirB+<HMOBu z5EkK4BAX7IRjBdoi(HjC^(tY$e4&VxM-FZ&P-u#5IBZ*#H)5aM7O3M=nx^T1A?_+6 zy%_g}k!2#aL;6Bc@>PHum!E61v!$591f;^Lm8y#jDnLy@%a=RN50Yx*ZA6rgp`ey@ zMTm&`cz^9##*&$W-eCyfROEN(Xm36?m{_Lp5Ser|*)lhk?~r?A-+9aZfC{d2E_RpF z2)|fV@^0T&xjpcV+mM6ZODuus0yRRj!Xb-*8w3-)oe0Z8?o^uKeMO}F4BMf}TDw)M z4EQ6!OgK@f@-5#k_jk75LnOm1fiU5T!XcZ0Ykvf1xic4<!=w@P<h@*Io=vdQ9d9Bc zcSP6@jkQ1KLi1Hsr_fM&FqfLc3~He=(ts)<x&UfUqbba5ZID&g*@I&X@rk001V_1* z6YaB`Pe;irlGmW8d>3HNB@ed)CegP)ekSKT-=8pWet1IP`QF4LQdGZr`SE@;hyyjL zcYj`NNUMJAET&g2w&dw(tUG3Ow|ovHqF)zsqF)<gg=)7H*?})3!I1yx#Jqs|i8%rH zPpI+hK12CMf$_YM;GtHO@Ih=D+wp`4+fn%HRqw8EX25UU){YoE=2-hnF4CM<sXznO z-0qy-Jxa1W`=R(*N6bnUg^&iE6!sJjS$|S#3Qr)KJC)CWaLNSM@Oc^R!KpW8F`ZZT z)wdKS?`YYAcfosz0y>QPPiGo&_!4q`?MND+&3N)UfWdmbjj^4W75w(}h2Bo|w3{5s z*qhyUDitMqgUd42R4r$>Av>of0T=?~fdAQ&H_3LP{gaWy2a>4T&h@jX#@u&Ct$#YA zIRxbX&)-&9pbyTxl2DRpI=vL_K<GcJ)4x?gy>{LgA?i2B?c(F=uQgY<u~BycST* z&CJcP3)mJOj!yt~a07EG4-$6+m$`xYz4vCxjgQ|^p7o3qt!h_M4)={8*kF%06jgE_ z*arB7@5e6#R&kLlXh&vtBzQHoY=7~y>N#RgyQ<IzxZr0r=^Dcn9{_$>XWGF626ur^ zzqW}PFt`bS47ks=%WDXRn5#nZRzMOrRd{s#iW*SQeUL+`7wdHNujKoOzbxNoj;?`g z;^&`<JEyP{L32sb{0%uXJInJKw>(rE2e;!L0Qi^7^T79%&bd+8Yk|I|h<^ZbfXBkF ziM|M6Id?4AIS{fbd>=j;xXHETXxpmt7#D$+Knm9+$9XTrFMK236Y%CrbHu@e7Z}$7 zhEQJMY&}&AhvLIUejO$&`2mQ%_&@+wXk@P1gm(nuxY~Jodk0GycYw{ph=K<5sq2h2 z^5Y_V4l^+PJfQ&CD=aI_=zs8e+k61<=Vs=ScMcXSLYiK0L4@K>00cKPU+=+$fnFi= z+D%II_8h%kgGG!6AWxV&ei|VcbCH%))eH~(E>UI2nUzx;A-lti30Hw^p;rOLLKQA= z5T+H9{1F@RHo#_XXWmTk;1$MI0M;>UtIB4a1u(+oLaYU!#(?9YqJJ=s)Rwk!Fa+-m zFu=K<**#dsxD0F;zMJ^!h=+&@IsN^QjsnL;K^^_JQ(lmxGdsb>V#*Iv%`nCXgFA+0 zL9h+@a4@+IHztQv#emA$+?YJ<-a#t70XQHmE6_9NS28xp8@Nmxel<fE?<1Pa@wKD* z4sMd0gKodq5`BlT27j+B`phY@Rh?rL05DF;D(GhA6+lBcv=X{Kd^b4vv_d^g*fqu? zaH(kJAcF>fVLSpB3EK*tEmYg(TY<yelDvl9h)ay?z%ikHA=w&6W4PkAMG+mHw&+cR zq4Is;7AMyNCb;ZeO3>gXLK!eEG%O%_wd|G80~)y@`Kn|6h<`zAQdYy;FPYAL8#0~W zCS7uVQ-86y|I3H=t@S!1N9*4WSJv0i>+(;a;>M5k7nr#BB?;U8>n9pP3Wh5@VTr3e zVT4ONp^c;0sBjl+q`3MTF|MelA6Hky$K}?v%6vl5)0;zrr{{zqrwJi8)9?_z>CliF ziD}4?WJd^Ff`1DMkem#0maGqfO7?_kN(^S3Mmb*^+VjV!GHtl})AR6oCd|GEEpgAI z_&+tRt@1D#FZX-7^i=VgW82Cn%a7h4Drt-?6<(R_vzdK&>5TRE@`>`o`$J3tQ$&~5 ze#_Pv$`z0He>r1H@D0C!elvAi;)-8{h+*nI?G;(cihmgfs6xuH9e^S9)p7*Bz;?o} z@HAM*ooT$>hR|MHC$I!yvU>~-YJH5YtRT#nWixR=OBonWR^>Pg^Z{=|=-_!Wr(}8; zUK_Cj-R3Sjfy+>sAXcK;nnE)ilc7JAj~#9X;uK8`&8b`xyGhiHqcHTCxih0afSTe( zt-YWZuzyf+Yi$G+V3A@o;u5w0)Lg~$+Nt5Hq?(_QbusF)x-h#Z^B*ax&s2Sn<|tm* z8VayMi5koZ{&m5;F9hvu7?nLO)OM*QEMn)vhTi~3O?_KZ0y~2f4$F=GSD@I{LKBxt zf__zw>giNxLp(;lfjC8qbF;E2ncomLm{r+jlYeg1E=gHh4sA_5jN9P9f`4iF%38Q( zdKFvpaA~=5Yv5rV=JHIjL92cQZu0_?VQY<K7UNsGF)lm5qwBEHFM@&2Uy2`HO6&XC zroiM`2lMjq*R`Rr_OVx4(=DeCGyH3oI*H0o?Z12Ia_{`M!>96M*te)gH{J*3bgR*| z@PCJ0p`GpdA^A*8epcPMZ;_uvaCUCPg=se@u;a9)PKFVUyB7p!O;6P<v7|vpPenhA zFInn@VoRLY4s2)_qm3-l&{ng<jS&k-CJ}x9YXRO`Mc4xD#o^ku1NQA>C&{@p7lzB% z4%nriVehLCxXeH=n8_Sgu7#Vo-ydRkXn(d#t}ca`wj!TNa+X4jN1Za-Ix^Uj3%|^& zrC*p9<*o&GtqqSnd6}@|@`?D^1?0?Kj!ISOTH6p*^y|+H7(RRVUM5?rW~c-OJ6JfY zvRi)Zf{dvZx2_^vHl#XsK`}(SkMa998oEb9?W!h!A-7&@T3A&weR3<iOjy`RVSmiQ z7EwkAfpv;9hQUlOMc@D&9taLU-^4|Z5P{7De&J_lJEaL&JOpCSZ}Skkx~$~4?-%aJ z9bZ0?I=u$30dWXmTMDCa6>vjxUX|ci;K<Ckj{E`a@_=U%!ugZ#x$LH?O%Sni0@yb* zRmg56-GIOS)+F3DIklX8lBA74KYyzf4NtmLGKTAboik;fo&z(hRf3if{JmL(Xp`&= z-W;-$MAqcYl^moaA<Ji4M};koj|Mawa?gy?MBy?Mx=ozj%##V>WMlJyPB5iu#28~V zut}-gTi`x=0AmvxqFmCO>pt=rqZt|yM;_~(kWero0~?hZ&IQbNGtq{|+<yUM=OX4) zKjGfS>Vd`1`5}V$n8bn2ap@skzv*C%zM^JeDape5sYsaK$Qbx3IEVSnQ1rDiFH|qM zXlw+IF)IzO&AQX^C>}w#=D~WOs0%+fUJcbT%DXf26k`~=rS`HX#wv7A?S)K^VSErB z?%+UarE*b%#hCq}yOpLSYkvx>Quwm*VW<`*7ukALl-F1sI)}7^bSaVBmf%2N%Ck3W zgLYadE{4wY=}1f1PG9J6W%Mi;qgHx=M{X4oWOH3%{+41^l<0V=fA&)-H`k@v^qgY{ zRd5+#yKLuWIdbUnjivgLMaZXWt{MHv&c1ib{k-%C-2Dj~T(x-?nturoO`pscJ$K19 z&DQU{62I8h(tlOt6OQnc%tP*}Sa~fjRlvp^C=GRrlIV+|f-1~XqIFd}U$jS-R=Sv3 z5GV)+W@V2`O_{kvt!U8^S#olEg$ya$7Qb3_F(KA<QMRScvjh<~0WLQ)MfsoA@0gx$ z!oW*6XJ?|vl1ByZn15J8aCVxiNM+Yyr6adj1`|`KIJD{cazmvj@#0h`OhN?`3A>5u z0i1y8DEWMZC9*wI<RdFpETmGP0&O6fu&X5l+Mycp1(34)3g?#PLv6t#cUdvP1Vup) zn*b=;O@jVV9$JtAoMHsDq|bB)Ppiqb5Gzsb8A4y#J;EYrE`Lmb5=wDd1YKw$e*`6R z#MKatY54)epMh<RO-g-qf$B+#P#^bzV2aKq57z*@2s;od4V;W=G+@C9LtMaiXiEI? z$U&>A*6-^qS>ZltH^pL$-r+7Xv|)wVk)wbvBXlr3xPv)d2y9?%AH2lw43W&kJBHh% zpX!UUaCr>1fPbRiQCq+b9u%Hg#bJ*81{lLVmFoNgQu_lTRT2P1!$ZQ;#2t3ip?LLj z8yH(EI*L2TFn|?M1W-{Mu8#4uYPIS@23H%@rW(Y74{8$+YSW0ECkC|{JFu-h&Aroc zdMRE*>0h4R)X^Ouj9)cr9l&}g;xuN!=g$<_jD!R827f{z<k^l<$v*t*Njoy@oAB30 zCR|G|$7f_pv<P<<ZmO4;G0XyX!nZ(D*c_zbHE?ji8*-jmq&b2XP9=oke~Po2VNZyN zjgJS6dUIw4x)^k5;AC11$0OkcU9;8_%RVKFYb+ly?4>jbV4|t`xpc?4mDs0dB6NZ! z-7;=9_J4_=@CztNUFZD%k@xje+gbK8?ndV5L$hmvy-DF42VZ`P5>oqJ=g5QWNtXOL zv9@sNZA-So%pGg4div#zki@C!=<Gw}D6n)ZJiZ^A2g?h|3C<}Qy;P4rsair`hPR^I z*2d0mo0-Zh$r0z8j508ur2(~MZSJXrL-Y;0oPVJBZS-}@9ku6uIfe;Q^f?Ze&QF74 zQ4=j8bU1!L-L%%4bPk!<IZ_IUT_~X%;v(NsvS_zVU+!pXL3w5?TI^D&u+1*W9DRjZ zYibROxWl5<+aaZ!o0i~4U#Q&aaG|?XJzm2V$y+II-GvD+-Ns981x36+8`SAyP0`P# zUVqAYk%h?iKB0yS(+v9V>uRwz-$MNKSB!t@PUX)wd5MB&n55Dzy!3of%j;aVi2=GJ zK2sk})sRJwqr%(s*#QNSPXk1kWmihokd*FGBOpUoS*pv->mKRF7%RM$KQRkiC9_G{ zXH|jaTI~CY^Gy4Bi{ee)eV!ytn5wZKfPcggNX(J@hCXSxiGwb;u_E>I%;L3N*S6H~ z^M4-7)gNgBnq+9XnR7iwzp<{_bSP^=go}%Xu}?*uam_aA@2q?GCOliz{skbZFYO0E zprYhD2umg?;9yX|i>VY8DBwiGE@C>gBVgJ}KEGu(vOQSnBfG6wh{}fw#z1v@wtr-x zxu0cN!~1Km^`q@nl;RLj=+_j^gEm8Lv!Kw=5=@{4=wVM#==TWv&|EaA<uOppI?zHI zsAW4)%gbo_Fi^{0j7=?Pow?#^Yq{y*YHC}E&=GfsU<?I?egfF0C{fr9I;hTJJ8UHW za+g6XD5&KdIC5wP(`%7QMpHy3V1M~)yijj+6x93{#03ghQ?d?^40o7nwG%euDi!YG zR#U7|Q1dAaZCVj_<RYL8-!_<S)5#pR1vbDzCtSq|k(|UkmRq2oI)a)nVyLNB(AW<| zr*PSfCHz8Cn^fq8Yf)&2o2xDxagoDN(E)}1rMGml33VmPuj?@0P`N++Vt)qLPO=8? z3bBB-T8b)h1&n#*BBN1%VEMrQT8`NEm>5MUU&|#`ym!Z2OfH8#2@}a1OW=A~ig*M9 zm<|M%XPx0Zh)3hCCoTC;l10BYQsFu?l&TRmz(COqU#3@JGrS4d0^e7DmXyODwE$e; z{*cU&&WLyu{Q6o4@>3^aZhzxV_?+InjM2Binou9er7^B&yoX#f6a~4=EYKX*05%Tn zR6?BdGzIM#(!iF<j1q2gf+Jlo&S9qAUKrk3IiTN=cSb-HPR5m8rX}h(_;bUi<LQ|p zNYT{5S6gh-Sk(Zhh6*&qeJgN({5f#)EW2akotte#;#kGN>zaJPIe)IKfSClIwAbWg zV!(0~{nYL_(d%vW$`BjT65QQ^ff_2tv4P0-d-v7WIRWhN4M<pmgwA&&eymDS36Ay^ z9AJ$8Ij}a9c`w=B!KSxebOM=b_hv)39oH$|itbjsI=B@tyzN2@RS(X!8zo?@N>Q~J zv^xyrH-WqP3QB952!9s8h`w5vLmj=2Sr)p(A?pseGCo1>sHhHIOv&*T<N;MON4krH zl~HS@=z8Pj=W!07#h43>yP@-f^R-9G!p$yKp$o-%+JXTLQBhZ_M_Mj!TZDm>?#^zn z7Oph4^%;4EnWvkNWI;Qiqw6torF(g4-5l5H48X^wxpZ!1&VPf^%a{$OR*`L2gsWXb zbw{2j>88vD|57n7yu)I1mpf&tg4lH#rLX!X2<PD$Wn{r|cPMNA1Yw@ded(goH$0#C z#UQcmJCPqaIx|j~hdk{gwv^SC&anyZnw4DygtBS{glKKk$`@6D8~;3Jf&OSPX1U^k z|AnEBsgVZ2U4NGBKJ`RpOD0G3;We{Qh|OHG%dmpRq``Be-wCwXOzxVIw`@rTk<HuG zG4v>LVd+!eCdrB9^k7-GV)0NR3jA&o%b@aQpar-z#R-tim#+ma!1<|8y=f{im$18q z9Ke~8M9EPEZ>1)+P}MFLHpv(SO;iqgG!w8_Y*cEa@_*1Hoq!I(t0kMp?UgvmSG72x zSkA&u+0Ew*?LVUcD<A~$QtWIwJHruA6Xm7|b84HW$WfL9t^!2?!<OJGkg2c<w^W@Y zb8RFvAc3(Lk>1PknLaK5dC(l%ZX)u;<r5a7i}VEc0Fe<im_g>8ncg7BDoPldXbN`J z3~*os4u4+6a@-|xc=tg|Qs<1SNns)n$D1G=&~3g#dt5bR87$v<SOj=8HV>W+=8jFT z!ka;0v^ISqYC0OPQ@&D_V#7g>a=;BgC_Jr-V>f*g-0&@6Y)5dzFJc&|R=`*fzzsi} z@iVw)Jd+C3a4n3VU^(K^Gytx+F_1e&$c0k~b$@V8)oOmbr)WR!7r4G2B~q{mI0@ff zp6<g5oIZ}%np_dkUMAXxy9{4MvI%$+CY)}(1J}jovqvU@r30RjtTWsj@i6>~Nqh2> zWZ^LGE_}gEVFvhRuy6pSRMX4R9Gwzv0{y^3y*ws6RisN$Av@-FWORI+cA-P#>}T3e z2!9c>7`n64dorz`>yhA2*NlT<**)OipFUuS&1n)81K7r*fn~k*$=0<I{jl1YF~izO zm3JsAj?66RAJzc9`c9<=7WC>_!VQgepjXcYy}D>`;}y`WQ$hqwK(GE1p*KXbqc4*O zV*)|9J`Z&3?INK!=++ko=a>jC0Tj@!Lw`ZHJ`Bf%fo?q&bn6KS`V!EsKT{VPgKpgn zbnC-UF+rePPw~VY1>O32(5)u~ft$Vqg-zv(;^)h?{|{c?J)Y_JjURujq>_Y?#FA<b zp-2c@sJx@hA<6kuk|O3%X3Un5oGYiwVV#9gPIH)zoab$;gcycxRyoYJ*j_f<Z+|_0 zzsKYE&-d@|KhMX#>%Q;nzOMUzUDx%z{+XY<aV9hm+V_@WDrM{Yiay=#$Of41j9?}u z(!Ax6_+R6s7!r2QFFLBk*Z2XnFmn#Z*q!qzb0$CfYKfC^iZ1iMWuxCeY2#ueE1{e< z@qpws5>j%GoH<NFMxL_ThtYGK{eKgEh<pHZ|1~2w>WG!auA-RP|Ds*?KZ1U?vyvkp z4C(V`-nJ|bM@0N*5^|WL6VmxLdN(+Kv*qIw4RB#sl(LnIl(}~gFm?)Tt!&Zxr&)WX z0lW+Bb<sz32DwjkN&F8quWKd7${ejz{@H|?FDNM4L@cEB!5IuewKTeEWPkk?3@LB! zN~}v#5Y<XHCy2GjvZmByCQd4#Q>{`pc~k;f>h+e0|KKcjsTqASLFmK>&3{KxL1?Qc zP2S+A$)|y06HpKuFd~47onXe8KoL+Sm=L=$B$Ji%fEDC0w5yz1F31vX!4`n%F<f0# z0Q#Xxzv9YS0D?Y1>ndVkIDf$a2z6Gh8Cbxc3*%~`{0Z6ce%#7MAk1RENOF*PnAWYx z)QrQTwAe+pvzxh|C|AOL#epKuRX`bXFtD50ufDPmuz?t0yNIL^jt{Ui0F3QjV0y$Q zqm-pwzPp$KUAX~lnLY^W^JNAJo}%`^^KxfsjIOvg)He1b#ko?h41eBvIu|i0T+wc6 zl=_u0z$X!<m0ufwW;(lw)F!R`U}@#=Fk~!AE5ByIVR#pvSuL&nvbBX4^mOhKY2|+i z9@JdfgS0I(sO=hNG{&`x49j$CJI$DZD@TD4QJzSp%&4|EhT$1kgIA{HdCdUaX#DAb z2kInePHwcJg}A8bk$;J_vSXQN<KByOwU3x|cQIw-QfxrE`K(ztuAhxhS+ey&eN+fi zO<R}JO&c(aR7aj#Hh^_aF)EtdY-IV5!1OZip-JbmhY5o!kszdvwqb5pBJ-29<ZtB{ zInrggdeV}wteEdOW5<oOxe_tkE8!mF>PXqWi}rorLFmj$?tlM8jW*jbx{BT5Om>vD zl---~r9q6A2C+Iq%I=>_*}b!r-4EJEX-V0=A);@U5z$PTRK@5cIu#h~NQV})WhuMQ zX27F@r0o8nl-)Deq#?Y^qKG=D#<h^Lf&a24OIJ>d3nsT>!i0LFRFSH22z8gS39egp z5uRa48j3ocV1MH09g)H7zZe<Na=hlC#b<!=z>+xmkGixamzB2UkNgzXr7iivXs!KE z!lb3x$nQc;dX=<@N41<1U#fZF-0vB6zGa7$(q}mj6hz)?IcTiw)qx#kM*Ux9^S7sB z=ol*t<Sr}qoWhv76lo2AT(TKlSWiC`eX`^dIU{etdw(S%dN=f&ZR0=aqanQmo+>$5 zJxU6eq`@(>+Ke_X?=xX2a-6NS(1*)=YMCbl*&-RVVcINzsbrI++(tDgSQ@rX(y+Ds z8@3bDI1Z5t2qingAHyf5_bj?E^0(UVq66;5t!gOGT1VTXywK_rBVw}Tu}U+CC?)X{ zDTx<JNq@Z5(i}~Rl*9`Hr6gX$rQ)*yriC<g_hAbHXJfd|($GyZ>8Ehc0vhN8(4Hd3 z^{<QY)nd{cfxOjr<D=l5wc&*$8EYQDk7TVG1x{zLg<qXcU#nJCJ|k4y!Fj#$r-Sn< zQ8TUiD|-F6<yZ8?uODC0r>3ubB^dpOaU<9cQh)VmWah<u>^uJ5eC%_+s&+YM(An=Y zT8Zg9Y}0o(ADYSa*aOYx4l6^`xx;@TIb07OB!gRhSNj}LQ3^T({K{iH0{YL`XMtZO zl5DPrr9_2UKDc2`f>p;`kYMz9FbOsQO+d206)*j1m|#eP$q3c8t1yEXMJ*^@5)6vc zO3M$-<PLu`1Ef0{0iFII!sW%}iTX3Ztp_I315!<-qj-w+fFz3afE3C(KtF{dJ@hV& z9>J~9gQ_kM=s`D@ux{vyDd;QFr8e*+(OFVRZ_YyPEGo>Md&N}}RipNnKUSP=;M$eg zO=o%x5b60`?GpRxjNw7wNK>R4*%<!Wg#LTK9KwH<Ak&vOMi+2TNgF`bzQVDYD_j!+ zw0)i;P2VaxVMJkX`VxZH+`h4_+4qT-NZ+#71{dW>e-Z!A87@la8ZR1U5$k@Xaf-P1 zezbSSy`{I~gZLYRyp^$&=B5!L!{9b{-@7ZZ*ztE)dyT>?Z%p>Ti|HM@O$wR({w}rG z==Oi_o0F68iejmCVxzn$eWY^4|2(eIh3k;MIXUiL(HU)NqJF&s<^C(e*=(W}q|vRp z$51fs4D6u8iWwj`c^MmiOzZ_55V>kU1P##WecXTW?t^Q6eFgk3njSloV#rQ5>2K$# zwx~mn@Vi48+Jc5jE$oxF*}P~kl*{t{+ChKXY*=&%Zr4xVozEc!m`oFr7UyuwX2MY% zLWnV<?t*(xtKr<l3e#1hFgz?GS#hA6qXrn_eIrs414bN|7TIYXi$3Du(284&KIEW9 z6g3k93&J}hb@71_4;A|rR_p+01b(<~b?Ue?(iS(RUz<6mSDTC}2%{@R8{yB?{K|jH zjaJ~VN8jZql13)ib-j9s{hli~T1=-8d3~<_gEVLj`o!H4SM8@=n>Q%!I@OnXH^=Li z<H|)bxOPL6WR{-EQciiaKH|RuXiT4D%b_y;qR75GfCu72Q6~LX^l=+s;|%pd9sIw> zb8Fyro75?eKT-#=zev87-sUIedAxr%_L;%?m_9!yateJOsg1bpsh#aea$A0C?ARLA zPz_#Quk4LhTDA;Z*a^5XvCTm>(b}CCO07SUJtmL)AR}z9keNBZqFrq4#M^R<VwyLq zAu+Kw7mz0{jGxrECVnXC(R$5%;ak*jyJIOcKO|Oo%4$ENz^sLyTB3x=H=BPUN5>;j zkD+&hRIN%iq5Zd}n(bZay^3792#Idm>~eJIB+P}`YY^>(D0$*Bb7UQdXXjW)NBh~M z75T1-rWWMKd98Q5dZ_|kO*q}B@_b6z;P$D60d_0>gDA(EOxhmlU`4Pl9N<iTa?e>9 zy=s+1B`|(O2n&K*h_#}?LqdOdz$n6q*m;*R*8CVEF`WF-OKpA^8Za}@jhVcJe!!{{ z>y!1No!H9x<HkDB&rD`obC&3zqCz&kj%zDw5t)eX#d2PSl3q_nTXQ8!&MO~I-^Vrb z^B2n(<-_N;o}BJgU@kN_ht|wGaXtO)2=`ePVpH<rz-U@}sBx<w;U0f)fED!ywac+T zB&q?m)p4LaN*mZ?d<ff}%7jKK0g8U7AxW77eNk>LAHs@*h+_vR;lju<`#yA=T>x#3 zRa2U?5s)l$X1?_g(-JOi1st2Oi164&#D0csIF{|R`~!ZfpGOtb8fl+sh)0x>g`!BK z<4ZX0<ro7RYg8_KW37Lc_*m2?6p|7q<Mr6l3q<^IwHbF8uB@LLWdvB@jQXjQH2>+} zqrS+$ZS_!uR-r}9-GJ$bg9Dl?de(>z?08FZeduWGT?$z@@?0V`EOINLE+UjV&M;!N zlVbX-qGFM%yNbN#Uf?%{6co{qa!o}q@q5aj6wRFAUK1tZx0QeAVY+WIQ{!@m4yT$K zVsjV9{oE~6xh<A&ZR9YwW6saUkwRvhxfetiJi5poFy=4IXG^{Ze=Pqw#Xl(e-Y+>P z`1MGVzTyFhwPwrN?JBe7<aX)kDnWI&JXUP@877}-_8BJIUQBWI!VK?Th&-p&2R|eD zl~?O1(9ftnE8TxydrqMLC~T$eY2``vB%#sE0G3k<HlpQ}c~5xGCcdV{#tk4k1smV8 z&m223DZ7mGs%$wkbUhL3S)qS5W?wUHOU3-P6zuc$O*J3Zc}i#!W7x9RUnP?E(qE-x zeChc;A!O;)KgI_@eW}c#W$OmD{mThWC$*MohM?XShQohy+Y`k(*azE(LY%L>5`W64 zSjpyFjq1#k*y@hz&9MsL&#<?s2eA)9zpZn-8k>V-Z97N$+oC*27C%2LV0TyKvm<Hg z+$BNN{4=3K`K2K>Q9*A~aa0&mB|;b89Vlgw7ToyB%K>TBfv~6qz{~F}yK$>Y!-BHq z1psE5jo*J)Uf|)lu2w|tH`Iq||0=J6E;O06&!0w}m44Zl?Z0tzw@;2{{2Bg@jiSCL zNZ6dMMs3O=U+N4aLy=a5x6gwG7D#=<0h3PR1pYx>`4Hk^Io0N2T+vYO!;l~NbV21% z`9q6|lFYbwLp=}qKlW$G6%I{3#Ne#7IJW^m>AioVHZOcTxZywl2>S^*zzHY@D^orJ zx*YRjFJ*WgaD)RXPE)2d0{YTcJ4BSUk%-j3kzuP+khjsqwNN4`41$`Uiq)VztGtSL zO2EPP8xE-N^ZR=;!vow=-SfshY2j!mJayjU?n2p6LWbfvzAUbOC@(|(hp0mEVn{WE zMV)^v5!?%R%t{)*)$Y`Lve+Nna$0-2bl{>l&E+TUM^SM3t?{L!nl}~>4bHnJ+7%8} z;xz`z`NB_&yNuepO6fn4TL#f@g5^Km4sNj;)czt|3mLEQi3JbdsN*RWD!eBg8?5o1 zz8|a@Ixcgx&3|zD+BmcFd}p1Vk#9<H<^+GdPg*Ipa^EX@B|81Ce-Am67%iOASQUCg zAM$-7Vq)i@x5+N}3)6jJ??%JW9bq@Vg`r7dO${^x=Xv>`=MGoaQVORib)cK$Cyr{? z1UrActs_*>8WdLqr`0XG8ztNe&I=uHI9lvISa^M$81DPOak@|J-a&1g)ftX*vEhFn z_@@?oj)HVh+XUEg42nT}uyxXbQ?c`2Y`xV34&@~O7$hu4nU1}k<BK1fC-q>)ZQjMT z55;7eP(^)lr9+unCIgu(KFH$)J?O&~BYEv}f~L*6lj1-cmA;Lp_G^3DHP#&^^m9pw z652`3QbM1SaF$DaRw*iGTfguz_Y!}5&a~lFXQ`Vmyb!-D^>W90uF6$l|Htn+h-yps zQX<H9E^MQ1{T-eH-}n=l0;laU{Dym#q4mr6SWo&Nqdjxy)=$cF4kjj)2p$uLTsD<> zNoo)W6Bh~)x`}NCDa(^~=II9$eT%!}D*ZtZ|Ab$Ea&G;y*}%cXwx@jE#IS$od;abs z{Y%RLd%l6!tEm0*eT~}vi(39hs@(6JRJqBogNgE`in@u#rGJ+F+_7d3Z{WNqN?smi z1EnT|vvD6A|M@(;=;QhZ-mcu8SlteL1IIlv|AxCVAk{T|y>H_~yP|feQR2$6FnV&@ zl?82^{)yEYrO{V%xeZM=j|qRW7tg$bd#I$#T^ZC8wKtAOu_j`*6muJ6Pc5Uq)CgN* zPFq3C!mFcK?l5IJ*Td42%dcqexB2Qrn>jzayfwF8%_5@oQ`5=i`1_4@QDavau&I`h zjkTTiAA%JEZia`h<c>byn%fk6A}*`(MHF^?f;^)!8rth0@`cEh$NzulLz;Ozdak!r zz3Sbj>HjTVn_SSVO~KSP9TS9v$tHVw3)S%xWXF|gYfLBc2e51U_LAR<TG;G;3va3# ze%hyTCVaF^R&vA0d*xbK*Zra^3#y{3M&Hh`>Yj|YBd;;ex_GKj#f<+bM0V`*kXKx8 z<KfOU#!11O$z9mTRC<4Xv>e`H`5}Tto70U3qb@Bk)+qf-Bz6_$BRbWYHOuP{LR)7s z`3S^97FS#FfLkVbHz^;Omq1^L2BF4#odqT>AOf7OA?oM_3(7>cVqYmI9B<wPEtI44 zxQc@N*(xjH*2{G3*!_LjpET3`L|4crXpxlSB!!-vMJ!HZspx-#+)haa`e@GE=TU-e zE&7VDHF~y)duG4yYF^|)q^ZkMSm$<Tg=LbB0=R%i*GT7_jOZ4cPoqJgM;>Q{g>-!m z#7cEKJRHZdvpPC~ql*tr;Mgw7l1IaHLD8)@X*Wa;sB<p4h<=R~7b{)JZKKk>NaNS^ zxFcNM(QnUein)K0T>DsPzDS<=Y{29_<lbP_k%!=Z@0GhF*Ly4&w*{3YT7h{nGtOK` z!MhR_T3*boFgik1D>_av#3(QM4mq9?o?Lw_DA}J%8T=|JERmxX^5*Wx?(d}Jv-(u? z)jL#|ET!vyseM*iB6v2ykv@keaAy@}y`sO~q>&r;qK$vmbJvzUq1kIoG0xJas_pRW zMCWPBtHfg3VNpBj7u(Zd0{6*CYsq)2uoL!Y9ZN5d_TEqRDLgBT{lJWr*=Qp<3f1aV zUnNq1WHdj~@{qP;y`;D&T!XI!t%#AEG*g^U13bakb^_O1t+Yh_F`BhjeJ@P9`*EZ6 zq=8dk3I2a?7ChWMXbw|1Y2r@-4e(%Z=maiOWIBP{6|sAXDsRyZ@}U}+KyuhG-5hFZ zPennAX%{0STJ*`cC?3aeK!RiL;rj@m=l_YKJ`a7~b{7^g3n`vdW)=C)zT$dW=7!Tt zU<F@TKnbs+Ep}RKiCWv3zGgI*Q&*PnwK4XU&^Ui(@s%(YOub2~tnqJvTaQ8}aAoo< z1nlp-Bpx9(e7fK!&G<u51AK@d-T>!M=uhCzEbL#R^3du_zT{YGJ8D|b3Fui3C_vUG z`qZ|b6E<Z}y-KX=UH(d_4$i$vTW<u5CvskXC7iv=zDav$3TAs=V0)JGTVL^it1S6O ztx10`-%vOUTKutZi3;A;v3co7MjG{#Dj#?J7~As_+q0PO6fC@s3v3Lkv0nahT%GbM z$XGkL&(CH!rzI}QW<RW+W5!nfmN3F<zrUW4{o=-3txV1i<eq80+}=#a@wigy&&$aD z0YOHF$l8Ok3K{%U@7a-fYskd$eUga2n+Sh=9(Z<%dmXWuSKb_iwHeVGyFE!xP#sM$ zr?;E_s6W5l9K=^&QEg+-<mhrQAhI5Xv;<|?P_;Z5R?Bf$gV57ekEW|s*{WX<mSam4 zPczCAiwQ)4YB*h+aBNS)7cJ{WaV8Z?P1L}#8--zbFFUFWvUXp5ZBGRB79TMw9$tSI zHYdKGQj8WJZ-X(`24W@sOTLSQC)D!sjU%|y-wD3igZ<E1_gH@=uOdw6$l}w%)q$)v z-_LQP_CHo*uDps+bdTpETBF9OHm1*`Wxr&4(>gzPjwUSQu6|GXV`b5t_}rGxTD!$P zf(xt*Noppqyk0#pXU<zNZ{s{4kmi2^p{SBJC(h<x7Cplc*|vpIj&PojRgdkieFm!? zFCM!P$_GtB&a6_SY>{#`hV1U_C2#$Jn6lM7QYF95<yM}bU)0uHO}s?ZL_FfptZ~`R znfNg+^*^VCE0#>*6yaaUJ-Gon4xg*DzdBfv4k`e3dN5wcRibvnnuOmiod$o)B83WV zwM%7&O~R=N+BJSB$FvQaquaQ63+GSWxWg~0p9(<;x3o!?%aBb%uBH88KqR$ost+Ml z0iI|E4Hj{>Cunz6JNv9vYRZrEHb*2B)wXe7qBcu{DLTzT*|v&{;&*E$$0BZ06zNTC ze#gbV%bqQFyUHAT!xw?;lV5+!e0!@GkvDK<3o+Au=?x}{Giz3BB@Z-XCU}|=k|4_6 zW=^gxd$#v>?9i8N<|6VouJ#cwYKrZkNilQLtKugv=OET?Od=7$;pI?D61{T`)$H51 z*b+54UIs=i6lZ6y97_<F+$CU_K!Tkni{84BF4p=^iD^!2FlEgH+F5^gtB=0qjj$Ol zOX`bR9FMc1mObm!UGk2%87}+OC%-tJU^7%U&}Yc(j!P}sVv?6PmmO`2w?iwMJjtWq zjU_+CO<3s$1PKGgOXvS=4D*iOy|qDwbKuYqm;|Ta7#z<D-$yp&7+cqNgBS72pud7C zslZJtDE}*X0_Gw!#_NC2`N<i-rNlg>m`$T`bG`q@E~lGNoA(6fo6TYvk%*x{au#I* zd6=Lp$JuNpFLu`Mgz%nDv4gO{GYCawL!kLz7JVbza^$V~cg$lIRG>{U6dQtnxJyZY z&DFUpC+BAqVbsL))3`U&2qp7htG0|-x<wl~-D6^}NiN(QG@E~;9$Pw`?YVdgOuj}x zQ9|~4NZ%1(i?_^%#6bMqkZ6ltUuo~(3(HomGH1g`nRI7v$g<!S#czislp;I(h-<nm zFf8ivT8e)y?eCb8h9j3EG1d$8w6q_<3n9Pq-HsXque*|fmVGYO7%v6X>A}%Ed>K!V z!Ktylg&EeGUk!gXmJ#2aF_ij~fN8(6nQu`S@K8`;3(l&d;xjFahx#6R{6IeQ#ViuE zrwws;_&dV2{}mB_`_jRcx9Cl}3Fr2O;H<z?8`dMZE)C{dM7w9A94>oME9!9Zf^tX` zaBS+-?XkU_t1a?1w|Jp*`=b#eQjKBj#)HY>YUj#d%u#>O7De=R$|t&RtcmKF`$dfC zvB*~YDn)tbD))fswZF&bS|(^E0{PIFvU<uP>IibL%LjIdCHnokQUvXAZg-<6bO$Tn zu`S$tFsBoK2|Bt2W=1vRH_8n4=>g#$^eNg|U`xrDwX_K0bz*Qw-=f8?=A7T<Gk)Al zqMFj&8C-uQHU~j6i?kY{+!1a;s-gSPuanqS5VW*~56|(#!Epq1b4_7sTFjvQ3TD)B zH~XXZeSy4nc?V}Tz<I@1n3!Rm^Midk(pKp5160<4ezK^DxlAZ|p36-C{^27e@4M&? zO4gk7Cm`=VFuV?PulF%GoI3U5`M~$vjfDK~AKZV33~-nxcFFVNSa$LA0+Lr7y%Zw3 z6gv9DHY)V;`+0CEi8TD)3cg`f;awvN35DdBd+;(>+uz)H#toc|I)g=KSK(qn_%qn6 zM&hwDoo>vJ`qXBEt!@hRQ%G9m{)BT%ia46>?2pRv`<Qy#`B_Q<Jf=?xu<(LYF0=-v z{6>GwK$phBqPM>hv)hn3Vc8OJ0sp;>7>&JXp1?sTkX6*(2(;3e0l7#y;J~hWR_6+i zq)5-<Dv6S8y&eot@NNj6ZD=dN^I$WkK5L3zCX!#Hn2mOq){Syo-UGSN@!{pRI@(YR zC!Jd_c!Szy@g=OR?=e>v=fALO(t7taaM*vMx1N<9b&KgW8RbX#y(Ud-&#jM4u;r%i z+9Z(2vxgS>51L;VZpb?h;3m<YwK1BCo*P;={}L9OtVbl-I6aA6k-NB7NM<H1$GsfG zvwJ_qPS577ave}U=oHOc<9(Js=((J*_|kGgf;Br*xSz_O8(M2~6FsvHni*9QUaWty zR$I6m(8jq}8aPAsSu=v@O42l`Y>Y<j{HGW>kzcKfy2<54o01N6SeAu8?4I)kJTEF# zIAn@`H+KFf`QoC+X1?_`VYN)etMx;cwIzF?BXt8S)+Ab&=90FG@k>!+iIYnb_U3rL zz+|L6n&{mWBRBaSt(e0bXLoagjEaA^rcqn|L64!~ITk<fLb|aNmZ0(mS~cA<zBJ47 ze{>XTxXdAvSX^jEzp<8pED78-mlj`o)bb_wLtJ~|(1T1vN{&ar)g-*8anaH8CO>yY z!Kip}BfyuOT^u%^8zm#$*hQ!vOYeZbhbV*HFYXB_x7nxeQ6@(;VfFAoc%6SFL`drf zEuFfdJ88cv8)etBTkJKc)|>1ZxCMOq;x@@`+v8L5VeO`z+))P=lZNT%aY?I_-Mngb za9N1$DURR5R66Sl3}!=(Pn^Skkkcy*ZnxuHSkTA_xnkmGGZmjTcWX3Z53I55O<cyR zK~G+Fnts{!_KQ>X=SO+cjx>MZ{j$cPg~z|i4%G;QfM8osj?+S8hSwFv%lOIo`qq%- z>JY<#&~`tL@&YF#*O%>uAB+DyXFF=B#%|KCiz`|!?6Iz99i6_~ev=cs;FgK0pKgpR zUTx^<`!HrS9cmlNQCongTKFm+#S!Zu-VvZ{?G2Mnw>O$5PX^l}r`CUOx5smQ7G^Us zjnf3d`&Cg-*a!7v;-2^|blp+wAO5dDmVFjHTHV(xS1orO^1t?U4tl{mi|=g`goAz5 z?u#IXw&zX}dg2eZg-^yGZVMlZ*Q1Ax`f3C=mJJFrR<HFIRXf%fS9Qi)v~8rX-if7t zSdEVr?p}~tTDvu>IkA5PuMTOTvV2W$S(K-&K8=mJc!hln0s0c}PR|>y+9$bX`(cXE zAMZ<teqfnHuC{-iLifgBZ`*jVO74|hfrX23s}sG5YB>u+P<sm}d|`ZPt?Cs2*?f*H z_YUGhe#ne~dtpDUER{~GkJ^vim19Kd`NTj9pzUlFPI8Ix57B><u$%Z8(_PCvD=5J4 zCFU2+sB_&BsG_2lpa%S;Rt!^hd9Oij_rR*g<reyD?#S|9uq1`%F=S#{VVjqm*H3Is zY{ZXhSu^e~Hy^1*2*Wk0Z5vG+0Ub-YCB&8pVt7yjj#h|k-Dt{QnnF{1*CL2UaOpB+ z8r5sidWtY1l>~qOT~u4hZ%%9!wNbsOKc<(en2G<NxGAcQikWDhT7oiPM@aNRF+vz% zDfWlVuDv}Ofe^ZD;@T1`P~VoEnH~{=2H04kJCH>!B85y5E<spp*uQIF(ls09sIN=V z@X6&hEH@Y@Y54!OhDo&sN*4hSs39baDadf|QUq3?7At=|59Cl`B*YZqYwzy}nx2Hd zmVFX}5IO(^Du|>wDRxEwTubBX;-C$j7Aj~$Fu9~CVHNyRgXeVZC>RagHd#0dEg_g! zg9NwFFUSQK53k$Jy=y>!X+2&IvK%w|Reblm{;y*D@5aA0t-l-mD)#w)^jGo8?|Q#9 z?Jk-8D!zYl$?#Wk+y@sXxCvh}4f_|V-;Pb;UW<orXQuu^E0cJ?HV);pz6sCkQQq<M zTx)jn@_MVcNx?|*FUbD|g}<=<FYNjYJEX9&cOIPKD~130?v_F^=r5?xgMErM=fO6` zD)V6NVy$`bK(Xpy(3l7J7AyS)?RoHfP0%t}Q&WF^8GHn!`4?3Fg4SP9U55Xcvy-3K zm%B|0ii&?h{x2x-ReBY-OF^=G8Jq>$zYNv|?fDCPm%&dY5S!j^#_aJUN2D7%`SO23 z;V*3e3%mZpj=!+;FGv*=5Q==29|(EA$|r<W@hgN>@ft>I&mvo@_!nEM_?P75B<vgQ z_@sYi?|X-4>_=kaU+{0nS`x#XC9~YIS#e)T_~(s7;niIm19M)p;xpBr&Csi%8p|+0 zpA;b|^MoSbLgsm@5Y%O^z*oOhoFbI}FS~0am$5HZC{I=B+K^q{I(yuhktQtOy4tm& zkQ6^Fo=cpHlti8>jl^);Vw;Js{)Ur*hiZQ`mN6w>x|4y;)!NG@FD}dT)vc=@2s2|m zKX06^L+a2@3({U-KO{!<Tc~L7|C6^t*$zDTlzbm;&mY&Jr6B+J1-1;y#M2Xeu*v9G z{AW6}|B$D@z}6wUjA9+W;`(`hc^ayVfwqInNE#l&KTcZw1k<tmxC+_AEgRh;4|{(? zOBDMB_6;Vw-$HF!^^eLLYzJ_p%Ql(twX^#XTy0ACCph5wZf^GLHn|PPdAb9k6OMa< zjl1R7Z&9(l?@yjhwgPZu#Q#3JPI6p__Hn)K1$^7FQw&XO#IE&sGOz6k=~3k`;HJk+ z8Jg-C%|F@O&ZVH}b2(CbL@STrn<jsCeuB$EYEpZsavR-%g98DGk*aV#)}5&61De>n zaa{yWL8;8c@1sA9J9TL9frS^??-<v9i&GIQe^exjJAre3)yV{_4!#a;3%BY8{J^mT zjACWnj`bs(Hyj8WcL!f!_Yh(I7IhJ7e^h?+cLDE4FD9d}eGkx~&9t8X0&{<wGLu?s zv1@(%%Y+nE5aXO3^lLQ#5&XgAv7g|*%WB;0$C}cs>ZPTk@)+@U(9ZA>uFJZ3L26oS zslC|o$KCtrxiz?hSZ=a08Eq=a(V^M2T>b*PGOfeV)F0cqKJb;AhH{|qvx5SNwny*? zKii++J#bC##Wz*58!1eh^w58iN;~NG^)VgV^=79pFu$oI3{4NOUF$ctRXY%(qTarM zKV9+cxA<DU_s>ODpH!5}uN`)@xR=5G7BFMbpCJvO6zLO3+tE5+BBdhn!JnFt6Y{|P zKyWfa|IF|s_!|-O3oM0ph@pA0X#0BctL!Q984T^49p-hNTfYUySoMF8g|g-YRPs;C zeerxi@*_Ce&-5ppkf_1Ue(t<wW2kCfhjt7YenI@?VZtZ|t1GS-8{KsvY>)l)f;j66 zW@uhhS6J5^Yjhwyj@J7LHyzsv9HFKrqn~`-r$dWubNvFlaoJY-J*w|qPam$7+CRU^ zPU6s2sY8pi!hC^cc^!Xd6dz;BucxmYNN;K$Zzs{6a?+tavVwhq8DHsKVy*HscC2sj zAf=(|fA+t?zW*To`igQ6ux~4xr=ZMOu>0a`sPRYeMN!n3zylH+MzH~F*Lvn?usxwO zy5<Ej&hHeX_y%j|x_s+fdqUEhqn*UHH$;cFV&(V+dfLsDQG9<lL~&g+?65r{3eofe z6G@j|+GMHuPk7TFMc^ID`@Z;{<EKaP`TaZBK^p1`z*e%1McG%r+793b`Pmd_%Gf6j z6~v0<F0XYxd<5r~q~p`L_ByoP*1AZTFe%kKV1ZqngfH?xqX=yM{%atCn!5G~eq}=k z2@)PpqhfyF{q}#D?^#xaf6r}T(8hD+r=l(*_i>G5XK7k;1(=yN3F&penVzEjdhwyh zjGp}RUF({bN(_(u@*V4%PS{5wZe`N<LM1M)rI9?gY$&u&Mo20|8!w0zPcv=a+aSNt zwhf&R@B|X<FfjsM?m1GP>_+zTe#S^XSo&^S;{#>}Fy((g7T4<@t6wg&{0Yh;If<Wf z&)8u$AIr23Fof;>V-b|R99JotdO=JYxA+fwTlvM2_R#6=>zYTN4NwjbXScl|K2uq4 z@@8_9#WHU%Flr0><kvOJH3kCpb2a}c)?belUS~$#7e7G7b9tnoJU&kICIg<|cM$YH zsNXi81$=+FWy#rGA}ew@;s055alRqK*JFr!4|Dp60-(x-ZxYXzD)!eJV6;{g6;dC= zM)+$6Gob&$E|&QLgZCs+rwtH`uHu6;pb4k*XuDd8%?ya_G@BDl!b&xIvh(`BF4!mt z(q2Ak3rgdHWh5(u35-wq&|QMGs3)nYWWJ+}BvOBcNCJpA*ovFc+^>gpXg4?PI$+m} zEg8i%G)2MoM3u+bhIfBtpr4}bxgMhrAv2h50%=kXl7MG0JEd7=dzdR|1-i@mv^dSY zN>Kp%w+Ad4-Zv&E+5+X+6TYr5-NSAJOE=sls;=Z+GT*^dA@yztwz4s@q7)g0F42~T zPY!<sj&SH6Mqqro-y(^qv;v(G)INl7!r0D$A`_g^XMo0gn7vC1NUsg8+N~mo>$RDJ z8>?QM#8+#)&Y~QIgEEqPBhK7gKDEYDuVIbSd;G5c{es(2&pk|J#f_)Labd~xi<K?A zSD=UD&k@`J;2!2FQy<vMWNi{Bm4X?=1z3Lt!9X=A4}KYaWComsJ_CUPzwTj=0y+SS zSuQ<@D#wV)$L<tt?_JKLc#1<hU^nkj5~1s5l5K(kCCOck`UqA!$`k8AD@Ab~u-j`E zz)oi0CUM^j#K4##bl*x|5lx3yBl@=k_9ub5kyrrQC6K!k(L$`{I!K?TKag>^0JMKo zkY*Y2Wb88fzzpa^v)!}<AijrLnLUL>Fom1MGAUt<seHEdIX7jb0coZ+?jA66qMYcW z(2!wUz}_y9yJeDR;YP5Y0lnFg3drp@b{oEA-X^vF)xoRcmsy$LPq+_}?_oODHX(zU z)|=3;IJ}6dVOo4b2do*LgUbJnkr#gq%u}A^UM3jLfZsqJ0s?{2dlEPHE!>a+O?d^1 zwmmxy1Lp5ZbQaHZ2_y?yQLdfj5c@SMr~_udCWqX|)ZBzt=BO~PlBDl{hTb<IuOFzs zqVj6LuE~2;cn5S5m5;y24csiY%hF}sDyZEqNISDDPyMpkxdYk}{m{k_pvZqnUJTiB z|07{`2;?x)DL`7*F4sIa^Qz6#@LIeo?tH)T{kr>5<vq;%P%L%pD&JpYMe+X9;oA4> zsxp$UZrXsMexUY>Vi;QoR*v%SfPQZ(wjpxg%0Q#~jk$BAqAj8~`>Y2t^#k{>sNB9T z<$M+F7w_JOs>zBND%1hk;Sqn^oO{@;Sqm<-z+|T&eRtDis2AbD40!7gC-DV9B%M3v z{}g*AQQjQpX=XA5{ycdB?E_5S!|uGH0?0FCHla-mvKdABlwE>!GmA%omm!8TpeOz? zv>ou@J&Y?t3pmR(+a&IsZDg3O@=K+rnoFF@&d_EXqyZ4GfOKbiY!-jNWC!+Bey)~p z5hb&rc~Ccq)eI<3k}j&|o|lpAW`=StNZ_rau9sE)fri-KD~dHWhp=x@s19fr@jmK4 zH%bP2bt#&Am(;ga#AqDsA2R@{tf*`lAC`CmKks4xAhrY7nXfmY?=yOqf4o6m?|`l0 zAEFAmO42C*lgp?tP?UcL{zvuJB^Ti1J?!m-Bw>O+Xy1y;7c!!@mPmgjy@Xh8KxRa; zdnNB7<uLR;DzXFiuUTKVZ@%IV!FHb;d9`kkV>4hgA?9K!vLSa>tye+A!<4C3*?#`z zrB}1gkLUVb&%fI_U=w`meetiStY1(2&Rr+%c~UWZa&md;@{@m>JI-Af`#(H%3S)=V zt_s*6DDkDiKsyV?7fw)k-nUWKf`5a(`wwDmI~?@}&D7Ta+YIsZUTNuw^H@0=oV0+V zU+hTJcaC9cfVM#{c^lY_3I18pA-TV`>J=s{URF~YIyt=)^{DO*vJh)MLos-}!z>#o zBWW`|VBQtyyApqrFB>$Du1SJc=byb`F})A<!t~F{A+{VUXLG(zOGPhuW?f9`nA}5G z=`<^Nk}&|Oy2tm(IC?~bvV~oh?{eV;WG_C~^oK<!OJQZ&$*MOFi|k0ySC8(~Fxer_ zkA8Xg1Lxq3ZGrR8pe*&R?3aE&wZrjy;}F47^LkLnjy8XN=f!jTOk}4$^=tQD#h-{% zZ~ynn|L{BGLf&3EFc_!0;zD{dc(pg|9^%r2e;@3t-8F6JJq_)qM6Ilm>RLM?j<dW( zcl|>ejF?R|@bdh;>-%bVOxt^(_(6#?UzsOG6P9~()jnHaU~Yn2KnFWO?#6o%tMxna zkL#WiGqHbxGYSR4Yo&<~<lry-@|UlR_QWM(Zpg83+9-<46xYoX_8i<tkq>}*=l_@% zga{xSq&f;Xo4u*FYEN~889@`DQ+H@}J6&sqExK11*<Q^FY8In|WssWmzRoa1`4(SI zs0<ogSJGG@vAI9y{$N_$0-}w7R~Om(mh)+bZ;*ey$tWu%nf7`o?1TkmGyZ|8<+uMR z<}1HR|6KH-*LUQZe&IdksLmh^yUtw{@vQ{@q?O^<y%zUq6+3U3pCo9Db_E|;RFZ6L z4!GFTFZswZpYbf1O->VOD2QT%A67r+;5w`H<g50r^EL^>Nl&V`icvD?s=Aa91kSA) zoSuL4g!YMPCB8#&aJs)O-7sonh_s2glU~&UcP~6WW=`0Le^U3cDTmWKqgrs*Tybyh z<^Vfyr$i!WV#dNCvm#U|+KEoC+wv1aS4=MQ+VL|~1G7aG|2C`U9jAAOnSZS{AseyP zq$>aWR1jXV+2C-Jc@}@G`rBh4TR8zUWBPy0q=C4tf<~vuGgG|@_X1z%@0booZHv=* z8_9OT1Z*~`&Sy_u#mmI$zkT%aIcI&w%TS$PxevY#T@c;Ej-{8*wYNsge9Yh&Hxu4O zpI<pi_wTH|WZXFwir0+W&U`g#gbtjYa*GQ7>Jm(>?a0(GT8vm@-CTJ^I*Ttx?b?50 z^2*QV10&|BK$XPo&VXR<t6$#QXegkV2$)V9U$eyt|A<WAZuzwpo6sq*pZYH|(Pb;~ zlKzp_X+iYLeW&woR+#cF0jKqk8ib*?$D!X|Kc8(PODR8UznebT!83S!eoO-+Ym@6v zx>L<*oGH=^b{Z43siT%#3J$(A6&ruckFnfI?oPrsla&&u7AJj7KrgGzK|iQv0z2Gk zvMpHOO9PtLLxrY(D<En>L5E59Iv37ku=m)!HrHJ-Z;6<HpeB1h<{t~t7L~a6x=+T* zuFz6~HG8tWwm=Q{eBNUouw9hp%5d*y9bO4cb+0qbVr`O~y+U^TK}lR0wts)dVxpIm zvC0{?CT3-dqBpKw@BTRSii-Vg$e8k)?dzXCHTr2`Ir3*;$ZjCCAPYhE`Zs0h84I~P z-o5~`S^q!}?n#+9L(5>lQtZ8N24Eh@LXYlwHh-KTCwk->8lV2xKD|BJ^Ct<$B-IpW zLW?LwO>{3($+DbsYzDfcC^dgZuv6vLKeP6n)a&dm9hlw5T9R8RsDS^uhXKvPXa*np z%w*ECG3uLSX7BTm^tMjEe9;Sv25pl#JMuhWL8o>Q)r?KC<^<avmr^oELbBNZNSyRk zgd+l%l|=j5A11y0B#eH3Q|ZZRS$<c*)ru8;dcx~JXRmyS{wBDwVv>Jy_9!?DzKN!L zG}tNvr7K|B1JvX6yiSC?Y?Na*EdABKmnlmRIW;qFDQ8Wgn(VFO3fEJJ9|HFkSc>u+ zhu)$j%+WmuwN60HNOx1B-(a&S>Fx?w$0;)OnAdl_^&Ho~3h;VxwH^6lIO?Dv%|2;F zu~&FT=aFm1YCGq`iei7t;nOq?wxXEeI{K%YBQwL^p6V>jR@{Q|x?=h#o3pt4=(fWd zfkL9$avCB<)?Q);G3u7LmtlMLCL|FL=W~|L#CrtA_Q%;py$Sc(dq{EoBZWnayLUuO zim%kw`Ri409Q?FDvDmc<5B?-TRk`9tEu51x@NGSVZP_?k+QEN4yD)`UKJ!~$A$UW# z?;pMO!o?>(m7w#E;l<})9k}-Tm_QtL?fgL4=Px3Ra^{-Fw#|Id;llg1>JL^WrC0W~ zy6pWU@p|?1cJ;Bzm8;jhzwW#G&m1;A_*Lx=2W60eqQ0Cq^7+_?Fuey_m0~C2D-ZU2 z?MPN<A5mk}?k0aJOCDcoAo}TDLV^AF98z{tr@5%7W~bD39~DZz6#lULeO;1^O^W&0 zm}qOrSc$#3wFYT;e5Ub|-mU%f)~e0#UT=)I3Lw>s3;H^RxUk=|&n;9Of}B%K2TIdl zJt<1PHlUUMIlCz3e&14h=LhxFy1wxAmo@4sXT5z@k^_G!@~L_7Lp#FDR5A|Y4sHFY zCO<e30NeS^NLlS^xXVt4(H<w($-@uU)VB59(NsxJ<fWRnjwyFxcqyM-71ERHTkNk+ z#nY#vh(k(h<<-tRu|sMk&ghY?;v3s#<HMv2%~C(NdZ{GeGfOdT9Z8>^y8ZIsSkG%u zqg!47YyN*mso1t@NAQ$k7A*VY3)jhZo8wok&gyZ{hmWJIy!HMB6z<(wdMH1^<l@c( zmpvJTqC;C@;B9YdCg2Aiee$w1CWp7i_HCD4G&%AhzHbMUVRC5e{l0BynH2DY2afX0 zCCcHgnU34LA~RLG`gBv1TF#~?jVXP0owBFfMnZpgN(->sp{-ZzQ)cl%`YiqG=YMGU z?gL!cmwGp9u*ph3st+X3$0>19qAV|y!D|l;*6!ZGV?TxMpg*@l)6VU_y!T`A5=OFZ za7P!WK5H!dboH?Vmcy9Zb3ZTdohZJFy1peQ_0{*=^w$URhWYPYET%0s5$wM%oWOz( zZaIH?lvn(l=ddL;b#7E20zLEeiXNhLF~)vNPU`*1^Szp9^}O|_N`JEcx1}icFh(WI zA}6xm)x~;R@LK7fn$xvD4Y;z-t*hxXSLp4!{~G06<ZzIi`7p(o_o5moV5NSw9XgI0 z@Vzg?U+#~7L)omfsFrciac?bX7Jjvp5lMgFClmGZgy|rqZQEn{o+n*d5+zoSYroZZ z47<mL;Cj!hJ8pH`2l?P9$=PEMUE5rZPxZ&8ZX?JKZo{rx>3rtcBNw#?7Yefe%ctyA zdZ(`T5E6us-m;u(_pLl}Yo;p!Gdi<*<7nm#0TVm3Ig6DWNyJ9Ey$Hsi-t&(@L#}`9 z7XE+vTeb}r3pI!*GPa-!|6wnfdFCq!jw+q)M=Q=u-!#~nxVENo#7REo;CMi9jN_*y ziniO{`4B`tXq$X={630??Do&frP{r@-4G(S;4xr|KCuRDeAvRC5Aj>%3B5JEk6|8U zC!(Q-y6QJX|F1~nIJV*%mPR4;XKH^ywS*$^1*P%h^pI=VKt0=q|A}rd^5mV9Cxlr_ z(y5)wfGIsKNIC_IVAadSX}x?Q*iM)GyY_fQn5g^*OP!QP-3#3-6xpXoh0)Xg&cGsq zMTg>yUj7S2VG27pTs`zoMBEa^N%b;&jZkVCiRi1G&=_p#-?djF=Gkg<7nFZ89v83g z+?^o)96i*Rh1ruJhB%ztMHY<y;7R1a!>%X(pZ?4Gg!%OoaTA_X!2;D0$!b(<Tbpxh zoAs9Nh(&wX+uI-K8*f*VdFy<-)kEgig-7|zmzA=L8^^>n0tSW;s97+p=a-o!%>9Q_ zlvO#@A8&c<{+0^&x9zxjty+H<4ZrFcEl||73xH;M7J%-ebGd;fUT)$lUK5Fk2=E4u zv%>Qw4Ez%=o$PBU8AqOFf=g)CC@gOW33dwp7FEpSk`yiB6{tL3G)eIk{3WWMS4V<b z!D~>NJX2DeHT)f_iua8Kjhwy&;K<JU>{)y|x1H>4Ao(KgOKaaM5}bdLFjjUxJ2r7U zv%SRP5}^|r#cVINa3xG5k24cX#=Hn*q#HA_bj$;ycQ#Uq>0dhL3HgD%%A6`0a}yJI z_9UTIZ8biVcb^n;Xjjc!kqS#TpK>R_1F&QP1(fIj2jE{8kWYyX@CR<PkOh>efQ!Ih z7BZi5H^39P!fGkN{K9`{aAn9b`dAjejvGLZF~G8=E)&Qxdf0jVD=wN`Z-`yS-{<C* zgm@4-kVxi6X^3Y41!=|XDh+W9pdrUUmbOE$U!LwjYA`{qkUZ`Kva=z3*5)<$9C>W? z3!&5|E*sT>{`f`9#7TLwWmJppq%wIn;_=Dm_R#2-`EzyFHH?3|YF@suR`{*&m$g`m z@6n$Y0>|QG<4f6tM(t#<XCBpjtdUF#Xu2Z6<H_HBLi}0$Ds=in;Bb6XLMeM-T)OB| zUZ43`1KA*;>HR3sF!s!8;kGvK3<BRroo!o3*y#ALB>GU?Ys>RSC3)0%FY<how%5gg zF+WdV&vx>`(5in=3m%o#zQ?_M+onbX8dsaCt)(kDj?FjTaSxNnewnq-u2;w|$K{6= z8U!wh0#>g+6Mk_^6pk+ubXk#5kjF*Hn4cT83Tc}<LgC}FZ(N~{r$8^!mvIXxo9PK2 za<!M+h|N<84P@1T5V^)i+7jWgS9DwM`y*9h#uZZ;0W5#ozCjI;Vf1@NYdraCDDGWY zXw}qe#eL%Cjq)1kD@aMqhLcsjkmeF@gz&AcR1{8Pam)}=3$*gNsHWwE_)?^A*GPmy zTh7GAU!0n6>-BLPj!fAIzUFF3i7?M&1NQqlCLbFsuKG=BMb~p-B`o)iSeC?q_#5?3 zbWiy4{9u1T%+PTpq5Z6RkU!RUVSp8@^0U5e4pkUBkzgAbHqH!KYcBb=>g#FNyNYZm z6OmOyZHr1l9z#<c-nTkTf^B^P^p{AJ=~aq-<bkxMRo-YA%kx?=nX!31iWvZ+MjE*B z;;(hZ_%bUJHj>~Cn6kjk?*WvPBO>}LV|X3ba^!#0DCR4$edNPhym+L}3cu*b%(bSy zvT5h(Ovr`y@gA=wai{q%mFSneEun_x3u9s91rdnnq2lNvQzp?$;tm9naR!u`;IztW zA5O{prs){p@=KBeUP`E8(E>{!^ButXz|OJC3u>dw{#|vPT1GK*Eotx8v87ly!Z+l# z5y^jVR1!C>6z;VFVTBabMwXpf?JL2&73r|7FA-^g6?3o@?3t*{=YdDwG<sFsNDfmY z`7V`Kw#==*8LLD3V;s!8HlCFg6}3RTn+sz$wi+c&mDM~i_pA2<3|N{>)wP`?Hb0-M zlFVAFvCKPbp(7s<LkE%7?at=T?PWWEcSU~*Ek<_6Ku!9c{jq-L>Fwl1pS83&vFFgK zv7?1!KiWKF#?3Vv7q<%$BRf~uO-Rmu*n@t)tv85;8(#yeo~PFY9)w42XpbC?8j59F z_{BH@0j$Ff<TTSQ<s&7bYJ3^s{sOORzG1;^0K5ckAnVk$95Pv})A!8lr_QQ3uI7I& zjHU9PFBFlA{fS=YMSk@Tz}>Nu%i>aATLT%_PQLTocet57!150~Z64x>^>&$EFZN;m zZ_KYy9XWn!cHi`>-1kx0g!WKK?1m|zIff~J-cvJPA%c&UxQW|&Pkch`aK!<MVaoig zK*@r9<@{AR?<m*UL|8s*)o<>_cpQHlI&$dGDaFd_)F|WkH|~cO4hFVWvpr+Jnzw!C zkjz60Busq%DDae0pz$5o8wDz)v=SbLw)Ta2S+yiOFYN#Myeb-FeX-e#yRKMK&5MFm z&YO*Wcx2I14Z2j{Z{Aiwiyg9JYL<o!pF&LFJk2`H#~8Vb4V42+1g+7!z!raWUTAOI zjkox(OXBy>PjH^<f-+*9%#G-ikKsK*k3%Ecj<iiU%?C@|hs?+PiX4CZ`kQu$x5m2y z*3?HD9t!cI5Ss_@Z#a|)xsRJ+mGcDYZCTKd+nM9$_UEf~Cpwt(dlOHKb;%c{Prq>y z86(QNG;EAk<Fcc2-p^B-VI+UaM4D#|Z1MpY9u@fa-6x+AKjprESG|DQ5kn$WKL4=H z3kN`BAkT}MW1{_Qa>D1Kbv@z6n5FeV&*2GkAw#&dP&u%?Udt%13Dm1?R3E_n$Yh$Y zDFcW$%&awfw9MU?|DBMl{X+CCuDS+a&PyU;bH=ulgw~)pqKY`OJEVUX_#bnLj&zb% z42OK^FVs7(8#&DY^BFkG)Gbl;5Xa$fc!<+^vm{XFm=CE>n&(7Xte67CU5GpIniGEx zsm=l!V!8nvW^zfcyEqoV%MJ1cxXFa~EGRHlN^{-BH}EyCkOANd6W&ev7hsX0Zqrui z020dzR4!T-jO$~j`aXZb3W#b@N2a_VGys?yKux<rhJg^0uT|hX)C1mjlJBX&8dNJU zo#bm7_!9M;cb*h@hu{ueXJHL7oqz$ez6A49gk)6}n8ZN5ff(|bUf^$31~-B{W)L`s zs^m_S$Mge#qMmb;$YX|qv#3_C64^p8a2fSLnjGPmAk)AFW?p{@++AG3^Cp#BOMC!7 zR=u=ZN>f}+31vI42bljz>{*Cm(xf>GU(7QpV8;+V0XfdZ6+nkYGXTw@2wZ7G(g*!b zD#K))AxJHEJITb7rZoSUmrANVMXM0`voiA~%P6lOlv0z+XetoF46~U&Oj3!1PQFG} z^WKsYTG6#!e{z43K1`Wd<VxrRqL~#Xm|BrF%P>DAQk={!AbS~5=0%Q7YH6+eG;K-z zM)Z+4LQ1oecmqnTu>9O80t^Udg%!Xe34wqoYat(YSDeXRkUEW}i|%nZ|8<%!dcl<^ zTNu!oqDNdzsnqELUKdHFo4q67BociFsl=k`1u{hMxz~Tl7KVX8MPAIqC2+Ut5#Trz z(=t^(E1-*-x%X=%C--AYu&&dSKvSeB3hj=RXHg3f(P$^2ij2@>{}eTFUC0On%n0x- z5)~umK6275YY|281q{g)eatwZ%`~xw)}oqu?WDkHw9cZ0O!S!U0nRcrOQk+1vWh-O z4FHNHS`2^MYCo(*@?Ls>UY~95iajjZmc&YtAq$$ud&mnT@vUg;WL<KtA&rf~Sms(1 zUy9%?Vv2N*_F4Sb>s2zwtLzI6*nf#Y20$dtoedo(?G&*>R4DZucS>Tms2D&|V)~ZQ z+ynXnH)e4u?eg?@pq5085_|o?lz=?MjXYuj?hb$24>-b-=uudr6mB+I;yK+9oM&p5 zfZxAP>;m+eLnYu#)1AOA=EYL5JLEq=gL$z8d>Qf?@MdP0g5AWQcrm0fp&;)PkV&Sb z@hWOn^YlTBB6~~hDOe5aF)x!;Yz=#f`owd7DIt3p&{(4ApG4jz5+(|L_z(2|VdKxk zl3IWJ_J6!G=Yh)1%!JCyZJJhYQxl{zbC;!+yR7ZB&CJqL(o#?cXLge~W;r%n+tM5o z4HSh6m6FWLSs)=YK@gQertj*$_x*Y9=lT8qz+<foe6MR=>%3m?^Yrjw+}j@hT@~{k zM~*()-l1^iJ(_@JOCS~DL1A0MMjW&Zg(-h;Q$=-<hB(VADoL!|gW7|GD@c-tUY9M- z0u_iCRUUmT?33a!?`3)P2~G8Ywi>W(jlkV3Jd<R}P4-r<t_tib{Mu-uV93edm6lak zyJja6%@sBB3qG1(y>R)_^yptBC<!D5?_1L1*6h$Dac3-zE)HeWy#BPz#}E4OG)aHk z6{Vpe<B=tKvf<=zPeDP#ahzr7!QRs)w;G3|xF$)bP-&t5*iTN*@Y6wgBBDdjh~S_E zNlF;>O0qyTg&y}8iljB!7$)k9A}!Qg(_L%N5-$9a5JqY)r`Os>6Q^>7X9o(anx+^5 zgQi@(Fh}A<Iywjuyp4d@mvv1D9!q~>a9`eYU6T?~7s>vNTG3J{D>#d}A*|XyV2b=m zQZcjZFzPJ-`CeQ(%f>65^pZ@Cv&F>{i~E(kv|z;(<&eMEX_oajEc&r6Yhpo3TiXQ5 zGadx>v<mT5{?}%(SyhSpFLW37cdvN(=I|Gg66fK7t`eagZF^6gb5(s+LHvJ60Dj`C z`jC6hAhYK@+D1}d{skN6A5B>%jaK?79+zMa_)n^MFSLVoS?ZqYWx3YUnglD-gOD%N z8%r9)3mpdQ7#_mjgab;`)?D@DkO8b;Z8T*ov6+=WGAQb~D3o!Nq{@WDr0b&}r`Jdm zNx_3!;dDZ(Qm6I7nD_J<+%JEWv$7)3kv8h3j%l0R_#{Yc*;vqYoXnWMU1^JYG8PU7 zQylVG1QsYO(qHAjTjPiYEz2F58HcZ}nowiiH`7y9h(o!u`H0H5sfwRRBF-RBWC6d$ zn$c`Kh#gfbzP}g~%wC;$d^bCj5z^cKOUX;;?kE8x;k@!%NKgBglBR#U?&P5X-U_K{ zD^jHiaau(Y71Yp04cz-PsaslqQ<)ufT1yEpFn%M_;bk;~yU%R>Q@fQ<)aK8=iAE%Z zdG1;9-q`bA$b0{d{9NX3Zb;IK));bge$8Mvw<jr~wV+~e?YZhAhry>@$)%7h^J66V z^)U(4E9rePPV5?O-Fkl?-k&3RiPU^<oM;GZz9l(WYj5#ovUK~xGvlF1o_(!$<GWJ> z1Y>%+TzxfUXnumWeMk|_8H@|a6U{c5^G-`QwvtQK(2$xIjWMbLcB-8*A4t8#RD43H zW*YjrW4+b*L_Ey4{Cb<<P-_d!&xsQijn@n&a)ZN?YDewbZS#M<S**9BmLlgXhd1-i ze@zbQsea|vC^<CaS&KN7NHXRgo>4CKcrcqSDVs^vQJhrO?)G0xf#h9(En3QbJCnLd z(TWs7dI{4bMyS@d9c%r@zHIR*Z2JRCyZAgm;1%|{D!a{TZB;16tK3syDwzsPigdp< zT*jRUlTIPRnkj$zQ>jDm6V9gkx!1ns_euh1tm@G{jJU#*!4ckYSdy<hWyF(KKg^gm z8rjyn8~{{6tH1TA%{Pv{(8ft&YMj>2wh3gCMkz>g-dP#hQvCu~5Q`eBqP2b=x1;=s zk})W+G?u7h%<j1F3z?i<{a!1lpMRbG3o)Lo&PNa;A*OuS0qvT9UXipOF+S5kr(MaN z3dow;t~}FHo20h)D8u}L9b#1Ghc_^DQjL`5s=Jsluc<3xd6vVLyftC`M+lqK;byYS zVbX&w(+TR&2+X-~V`bx19e(P#GDo!+y?H}bq8f<SXSM5tlGX1K>I+(XIHU^JjSt0n zhFl-UbsrAV63c0S8oPJ)&dT@}Y$9ffjh*G7AupyjU}JTIIfIED+9+8+KzSBPZEV*b z{u^B}8&sraL+?l)hXv^KlofVCmHmpE9uNRy)p_FQql!y>`{Ho%f~P%v0$MCl^o9pw z`%p2w<87LO;_$@E{iuWdiefDX`dlK7lbP~x9s$*=y93&P$C9iWR2$HKSjWa0^HxP} zvkR;fmA`rooTy|dJbMD^{4O9IkwjaVtE)z~)bUg>o(@^#*yH?aOjZm^t~{=Q@<WRV zS1J#qEQlk=75n%@#np)M!-`+|9!2o!B$7kb6tr66TaLR6*oTlFKTpv;G;wyc2h;*b zaZQuLT3bhd-rMn;XBmVyvW>iknb3oZf|9Kpapx^>IiwD4NJt<(Q9UWyx>@^m;j3gn zDQlEEpWKZS6i8@c%}wZ``6zB>FQbQ1UQ%f1>Fiu0PTA5$3=KT08Liz&;Vtw?bZYB1 zz|RF0dy*cPqN$7%CB7bY>zP-=0>ilEW>rN6^k!v$qrCCS1L&QBaJQzZ(iBN(5=P-g zN=H-1e(Mz^UYdCEUby+*+qf;1^KOMQQv7?~;UweMN6HV!dSi(NqMI^`#9|;iNNY#Z zJ}+io8b9IxBg!%sSM$9zwTL*Ia_bk?1i3=8xwmACwolR4BSTd%inL!<Uc7M6@LJVh ziI|svMOTV2l$bwSK@PtsQWZKqvmW73RnKPii&^F@-DB8pg?Eqjo8<VS@MO&k$+4L= z`xS@zgkLCY>Y|IZLe)`T<HXCGlIdPZVA%RF{x1RCNXA4@;p<p1&%PXfk9?7=Ia=AP zDC`+|9lM@~A#0?ZKVV^&_7E=lVe{u@O8d`$X!E*~-(5Z``g=sV1O7d958Qr~25Iw1 zmB?pqiMOeHTEs+X$|ZU;3kQLx&BUi>A)h}&lV&Z8m<gKuA>$X@Hrh3Zn_*^mg&Wcx zNSUg_63p@O3&|#!*@g=45@~D%e5RoepI9^I@h%%#n_owty$*G$4CE%vKsVT3<L4rO z1lRb+3f}>WUHnykwiwmgem!IxSW9c|W+WBHx3rU>G|jrTsi7=y|8uz2y%S;0(Jju2 z7-v+?n5O_<E3&n0Q1;wxy8v&kRp+b9N`%e<ME$NPvS7DII_$T&?@{`U`LC%rB(kui zC#vT#$9JC2o*_;b2zgV;p?ry`R(rC4@+`p!fCAx`_KMg3S}V~en)<Q&fV=e7*>dvM zalf32f&rH>r_;F21L6jA?pNtP1<2X+KxGULoNE{+HC9w1o$Z5U4T0RYnXqHyaRJ8k zlg^%@PBBb_f#1TC&bIi!i0*c469~kBr9|gK2Q@p^($p{&RH2)SZ2>o0X3Y<OhG(OG zh@M#Xs0BU|!9yMiF{vq)PA4jRS%&=I+XoZ{+g9;gi?l0Q(MJH22JD~LGAnBP4=6$M zU<RYdJEewshw<ztlqQ@I0klez_;WC_f**wSXlE`1tf%oWl;x~wg8|}rj&8Bm>gS;y zkc<@Y-uWxIFW8x}97LEn$>~{tDd;Q7v`62=`9Aa#I=~rlyw|q`Sh21f59}P*yeX<C zi0;|r#!;7em<f_8XU#{HAukg+im1AbEs+p_qn;@`->e6IQ?&CJ(bUiHX9wy<#O-o^ zjy{Vf?m-R9FYHyQ<Z<4&J!d?!B{nl-dOX{Tcu*uUx$Bhbl)z-bL+XToy3yv_%MnuC zLi&eU*^z$eUI)+(W)h8@%S@!r|4pO?ND`$?&Z|%3mbrFP4dR9bS^Z=7{Tdc7aGb74 zN2r=WJ?Ejfyg7qWkXyckzI$<k2b|$|6QvL4Wq&c}XfN-~MoP{pk?y!5JFZ~HYgdSD zxS9%`M+Pu-1TLJx(^aN_vK=$0s#O=z?N+sZ6E5!&7YNMlwC$`&@<v&+Gd}Sa#>ZcH zDb$p|Tv-YoZ3i#>$;xv<Ia0D?gOoBv#Rcv1UV`+nM;RUI5K<^lnQun-XdFg;Unj3` zf(5;a{%{Z8t2LxAR)#B{RNSqseFPiNl2|8gKowV<^#3}uIUjz18CTHLCODG-@oXJs z`mdJ}1ABBPSo2+(6Ecq<iL*#;4f>qQdK0Rp;sp47b)oOjByal+>|`sEcwj&3?+SbF zjB>XkmVb&{m~_J94)MUw)|(ZuXRO}!kov@)O=L_$cZG0Na?q1dJ~*<qZj`2eXD4f# z#kUXXa@%JH9dIju2}DU6Qu_<2;d+<1;J#!V={9u3IJ^f!SNftZtAc$LLGgtJ3HRl0 zganQ}IX~fnoLI<d%*BP<2VBKv+E>eV+B?I~1m)QcS@2wvHnnCD1EN~5hx&}42`cVK zl8zTbUequq1ZyPgNsmK5%+}me-3axZ>#wz~dNI}GGtn4-q{x;Fj#n<PYRmDWN7qH< zB1x_V{V2zqJbmG2$v)Ck^l;qf5?M<rLo~9Ldo#(;<0bv$!FE=Au;z8#R!GxGmoD^H zv!q`Nlbj({phw$-oK{rT^e{(cjDDg1-YTI!t=$F~I1jspr$tA=Hw3(Z6bB3ux_QuA zI!`9B<C;o;3lp{w9<`yX)J4!Q*gw4fgxm6ECo7Aq8mbB@FRDriL(W;4!AR6mY%lge z<yp$wg$tAomNKMg7KRy#az(F7@>RHy0^Q>V>$;<0wFA6lVXq`bY3lKaE_sZOS1Cz| z3s-T<&Ds@O@R44AJ=uXsWEH}REYR%0^ae}G$yYsp%;7QW_7BtGg$uZKmb1vzt~;<> zARb*%88JQB#x+igZ@otU?5pXRc8IT6c3VMj5xBkrO+;0_ewJoLhlZXzsh6!d2Qr)O z1F!h@0QT!UUqaMv)iqd1WhB7>)9l+5^|h^_ygSlr6Fi1smPiNNRuSnv#jx4{?zGe$ z)u=vy@2;2PIKjgUnN+Vx%Iy;a$oAaQyQ(L%S0mz5LJFy)O>j_Rzh=X`!>I|k%fJIG z6;Y{wLq{rCEyM?u_|XBcyq^$zRvsca6#*V<<R#9uAE@PS?=2<`h4-*tr#?YI=T3gZ zPf*%+gX3`)y=61tE$<E!qK@7!lYZUiCfpQ%5jX5d8=X6OtJ`^q!8;|r_8~g;QAp23 zsD;#<5OoD8><Sc)<uyuowce+{44aOLHyALHzWrHuXZ8d|{ZjQ*eG@%6zV_Q=dc!7Q znz2A>B2adO3Y2YTI1hVr@63SL&v}g7c5RxNb@fO^C;z(E?W^*B1o%zzKAyu2c26yT z=aPCEU>FG!DUz}-dgdhdR@DnxHs<X(WFcO=0w|rZ?%iSKpSOYeuYdO*Nm%xCXy5c1 zNHKqe0ti@{fl#w&z$J|+M?0?F1S>tK)m-Zz*uGX|%>6Ml)`c)##lja6-vFNjCf>^+ zj93Ee9d0tPVy1l^@X&Y(w+YhXZIB6n%%0nXe}-O-_O-8PUYF_KV*(=~@Ynp<gcAhb zS-GPCP8+R{?=BIHB)Af$>JVdb+O4=)t$8jU%%E`O@pd1ShJ}JG$tBPHkkQBkj+8TY z`O!lMc!^0nT8rofA=uCU>v89+i=2m^0!>=Bl<NrgD;2xRll}nE;@^I*x}+w5CB%vg zPTG&EsW{O4z5dKC$jinq^NG~klI@;lA@3r)ohaw{dC_l0C~o5n$)@!oy&!n{PkuiC zt?1(3s)^(s32`z?n(A5Tk;+KRg80{><J?_fzvZn}p}&vYP+am8Une{Bs)ez;^m%{b z-2O)Yfd;wE!Lg7HC09ieJr!SnfeWeRp?LHrEM<L=_+(Fa{2=ku7bL5{IH<h~mA!2h z>3+y2ugHQ#)Qw_Z^w+k(zx4NsdQKem8bKXbyb3*BE36MHQ4YIuLz51Bkmx7<usyXl z(oLjX^xIm866xxxZX4l(1Pa)|p}1d42%_koiV5W%3000g`#lj253Ch`)VmcXrZ~Q* z@uP?ai9K=l;f=xFFQK(0o>x*Vs(NgH@3;D<;*k+qSD+wCa$Y&UEdX{)Nrk=?fo4Bp z*S!l=cK#f}a|eWYUY)=f0Fp27AXpR`p`AMk232LN_uUSZ7D|qj+Cus#QVvdEgl`qS zsLF3lab)%c3^Th6g?wIrpwu{`GR4t32NgqE`R%DX;dZsufrHsfc$BFsRsT)ym|neb zA-TZeEnk^{CGh4ECiR|pk0{D|Ruikp8W|Gbts^AzM8ae)bSBxK%byU{WMMXIuzufh zD$MPl^Q(=h1PC<RM8db8$Nd!bM{g`$b0qAn%mmY%+U@#vcBMyu75$?xwsYG0TkcqZ zhNk(gR&;5;M1N3+D-xu0+$ZZz3aT3Q@tC*Z4dE~FIg0P~CdL4czyOXmyz@;xbDIEW z&?O_%Ur<kByXJ<#wm~u^y$1!m6+n%SAQ&goHNR`dz|I4+EnbDn5p!;v^!3j@vN?M; zL){8E!@XYd0RZ2BBJcbJoGVcA4`8dzZG*sWSxJJW&prgCHix{AEH{_B$#8Llni<r= z+s;y`!Y#Eu#LX)pAAXG)$c0I%h|%lJK<ai@m}3C3BrDc1_5AJ3X>G$ceIYNxY}kRi zwkasb<9q$H0#;KH<GRrG9#cAuP%o?UWmr$JI<u!Bo{d<4(8EHeuXT~#4AQM<tWB_4 zkts)?@>;2_-5TPD6qI>ug<B;FsWs&vCe$b5F2)!70$CR#w281zA$tOd4VAd35>?mR z?B3ZDWT_}`G{xUbprRwl*F*!|p@J&j(s45-H$#eTjYU(O(7C;!uCa1R5e0$$Wsc>w zR_dnw&0QaV6>MW#RidsT_js*pMyW`DzrcKD9qW$#$_nWA{mMGjy@~wXA3s})*(`98 z4k1Pxnb|1e#VcW0X8XFj7tTFIfgfNYg-ISa2HH2&)z|fC2M4I5vYo9?I6*zjK>1J| z+@)?+IceD{!i&0LH=4pBOpBjDku@bjZ>Mk`mw*F*AV+8=-;rvFSF)0YxCp7!&Ih~M zOw3bM$ANcojphv3ufPfRpWjh5SA^8s)M<IsGYjEi*1!Qy_$fgxcii3n<6^;yUam0D zzxGUZiKx+~%XXr15$Y&<)w-ZLX}4o?ePfrk@L57kd|}D2tm-$ch9g+YtU$8V6OZoc zwJ(`}m3D8UMDcHxjG(xbuZii~_QzMDCHN#PDl>GqKlkFXpMM`LP!4AE;sqNL8ss6n z<5pD}cUeH+e&x(I_+>Q<NZjASe%&u%!ef%?r1Of0q5GYt>Whk5O^j}aKoS9Y;m|ez zm35{Y2K>loExV2@++;U*^6xHF;ok`&x!Wm!$w1N-kEisL-f=Mlg>+KYJkLByr}c)i z{|J^Ax6%2fHG?SFoDeE|{#2Ddb|@^`t;D<qzLl$&<kwm<c5wU*YpdNQcrfFzU}uDO zCc5tgcA(v^DT-Jah;)?o2n9-RfKbVskm@9yC-C;PHmdW;udydJ{n&lA6PI9XzMWTp zU3|4IU_vyRjaq}FI}2#wfx?6U5(Z#PV8Us_%=y-O^^K6v6Dd0{1S>s&eornRk3{Xk z4q}gLHuMH6%GC+(n~{!nSu8<=XIG6dh{oq>l1<e0p4k9LR(&f{r`!$%`|-C`3*5LG zX_<s54UPb#Zp1U53zE58zyqX+kzrAPcC$dnyA1ZTRIDe}s9MJkd&MS$=)V%CGG8zU zVcg?VJh0lSIgx+SKRdj$CnetwOsd*e4ZYPK;XkD25(EV9^CYb}7(D~~rS%c)NUh+K zX#r^{(>qwY%;PzI$M4t=;LQOIct*5fImi85{f#14J=~0MlUk%Az;><mhSqL>kMJ6f zq@kPOh5#t6EWbTaKOJR)o#X4_#);cBhi{HjD_2WlgxG@7&l+e`6mEU>%*o9R&|o0< zs`Ph76Kt#MZ3TTtD0ZZBecg-b9^6PHb%s7sY6B3*4j@k4PllC5Xo>u8j8FmK!kEhi zPXr|-rrrbK{m07Y=WQp)&pPLSyQDh$@#w-R$*-xc=<cxfL9-`M<Y3;0S@bTK{t%;3 zj<G;ec?ryQii&O;zyqkfU@+S^{tKwtt=m&rM_YSCgzLE|e9tMhYmZ$HRCR5#z=dxJ zsX{uAaOnb}<o8rOx^LVnHyu1s3oI=ONG#*#Ue1K5cz4Yp3vhxs2ypLz-hhQj@p|X0 zv_s{o8_BeB%Wrq-E#YzIb$s5BndH^f`Ky?1K;`&7Kx<!n3v!cEvo7E&<<YwEzbIA9 zeipAsn4X1z_?F6jE#GEN`sYj#Fk66nmjUwL`AObJ;2!wgbSVl&!mNMSDVOa?h*cgN zltF75oQ2ozD~22DdaS;G#+!8!UbC$7?C7jjc}zOX+<@L8w_d_|<)Dd6BX8E6*!{D* z#)J-0o&YKfw?TvyDqv|fW=e{h0PWDYz1!ot$2+wDEu|!1)NI~8537Bhfb+acru%Q< z&z&sjW)61qJd%tQITgo%{qU$Db?7PYebO3_VtT=exX9{4{LrL-H$-3pc+^b~&~+6D zG=sg4+CQU#E_>q?kTWhMw8`TLs-tM4@3;+1_|ui7a;qmuFo^&dN00S73`kof-LMJK zmM+$7*73Z+6h~d&m!$QmC!y|holYB~#V4-;yY#f=H&TnLEp%`13ZM$)g<sDVSnaU{ zIH`%uaI%e-o$Tp<2^ivWPbHxgrJ;Ljd6$kC!J8bq!$*pFD`%1|sG3!{pgrKzLB^QB zfJad+I~mg@8+7F@2j1g~z5*A&1ADtmqD~rnAwq@BbK8?JfCJeLIFMh9Mn-OO?<7Sk z{vO*`J9){qICbzn_i$38M=Sl~-nb}Yk^ac@y$}KE=kAPuZGAqr9~?(4mJQ3g?m*ur zNib55>PN7uEvj|D>U~)2QPs@{d32U*K@FX?qoC#u%ekQDEz7Z><~7T{z=6)%Twwi{ zWm{nVnzgQAiq5hrn0munQ!w?GWmz!wnzgbZmd;vU5c`H@W)yjqQe(@!jw^Z2(o^lw zjNO3_^lo8)UX^_V7S@^|arDGP`+Mz~FA}@^g{p*8TIrvQTW`%~BtS?_qa(GOWeu@C zFyS8n$S=0yLxK2H>yS*eW;_~;GjAo+$A7P#EIrF1mP}ACtt&~y__cbgh%12SMyXzg z4Rq6#8FOThCMb+$SmRxq5mQcbnFx913k+sH`PE5(#lE~Ge6Dkr?aXNvuSM>J8dn-J z)2eCx?5E<D$Q>$O6-vJ6Na_mccGbBi*kX+d7=$w-WWv+wJ@$g_;&Y#F?A2_Ct^h9v z;qjf`ob}>Ak;|aVFsswZaMkir(6q5EJ(bqRt`y_X5%pDzM{TC{lCw!2BAV#l^JGr) zk=dPp5+d7;<Hqh4Pl;m@b}hE5Eu)JuNL>X(>?gi1HWtr{6UB{U7jgeNnSBdPwNbTH z<*r(-`bA|hoU$5g;0oG+ThtC|2en(q>9cf**&`j#Iix=PiLPMkR`xf9ON;&J(l`^h z4D0)2dI(GlIK;A6XCNoG)3xt}Y0Ivdzt`7)83m;~(2CjD5V|eU5Hly^l0A@vw$8dG zS)vTTjyIgsh@&kAA*+R}GK{IZY!$-G-ML)9_hxfKr^nGk9aNILb-6|FlIR?lPAiTH zBE{WiA#W9Gjk{~Pk-uSeS|P2Q{SdLDscg0XQoAhk4($moQ`>=~;f`zTo{xyPeo|e3 zRc%)3t2|ZKXq|Sm@XR`D5S#Ixwux)WF&3lbYtgH`bgFU!I_>(V4dw1=6ODzJ5hNW% z!+-d)N4_WqyQdv8uCF!GF4Y=n7vVrSeNndTE{K#b%1EYqvW4QE@?fL`S$F(K5+$9I z<JM`-F%_pEZOE%GK2HyNaMnUnEH*-adXP=RjGWUgY1i4=;-K%eCEQsKN?aliL7G9W zD|MM!(Rart#lIrAKy@okaEozf0egeZIfhRZCJLlH5V=-m2wer%A>{aWLTI*q_~l)A z8jXEVybQSwY5+C>r70hb5!hHncnfIMdRkYO1>YA8V}p+L+o0T997c7q5G)9PYl+pz zT4Rl|<`|?7Dq4O;?%HBLnoqAi)MClLWP;M?lJY6k32RA7AG4w>d~dss;(8a+7PnZj zlgqTlnT=m7jn0Sb#P5IK|6Lm>E)++KZN=VV7$UgEbaYL-bsRI&b>WMV>(-<FOXAGj zGRvrk*>4fHE$fG477h3whH<ZdaCRXswyX*<uU#C3ujxF_+49{xiu>QXNUutB``Y-+ zP4dcxz!mMrPKzz^*E@YU8xRpq#|@nRDqYewOH;7P2(Y_bxpA){Kdq5A^a1~@tFhw_ z=MZAQyG}XSUmtE3-w~Y;C}hs=|45{GwSQJ=R@YCBDF3aIP9i5*TqQn#EGNWh=Q+t- zN~arpO6)4%g4S&}fnU4yoECk_(ABc9>i;O^3!s#k-1XZ3NQIp#UM#mn!pR_v+)5E3 zCWt{|nK)S-h6Jg$)zM~73HHBtnv1q0>x`SlXHv3>)L{1eb5qvx*iRSswl5!FREHnv zjODnBV~`f)6=CUKj=t@G|1B7Crrhxar}1Q3sp2~m$_=L`ay-NrkgK5Pm5bpWO6R%g zT``Y413C8MGjd~jB60<EdF3LU9sv)}rgv6#L~;O<AXlpXe?bCXB*Viql${uk7b3pJ za@1V2Y<e+nQ8J#?8Om`IE5)f|lGsx`A`TXpiLDX;QO$C!#q=V7$`XnRWhupgvIq`> z>%)!VI&f3CE)idqRh0(8Lnag}=~=#PJO|I#=jgMQ93?xo?}Vou{He)jTrbX)WlGHW zFN9#u-5@U?T^6@!06*0UNM$rWkUhQ>wRP^^<`A7)v!Kix>Tz}tVpG%c<+W+0k}gJP z6lVwGe9Ov^|0v~u9>^!(GToS#&CWn9c88Y}=tdW`<gVGfpjJ)@!rxuL+@@DAI=k`i z^LL2NpU&*7T<j03&g`e2XMaL$ao<$F#6Q<-qj%*>|0Q-g=ADL|rDDqQOX#;!t-gYF zd`7jT-ISG~&5EbuIw&;Cz30~AE1yEVu@>!1!gHKE{|6?2nO^1lu|{5oRcQnoogL13 zATAr!_~t?OL(Dq$IXYrzF%}6?xv0#C7nW-lyRvMxX5+@9^kf=|T_H9@dXh~wt1yGt z(8gpdjh<%B_|o_+w~qKfm36-DW|))4b?HI08umX-xwtjE<nGz+5+Cti<YFkKQXgl? z%;tAA_Dwr~a$Pu9;>*Z2P>aeX0Xd$LWw>-z;#|c4R>s}u_2Si^ZtV9m(yRs>64L&Q zDwx%Op%;xpGzQc9vTS8X8)u+iow{Ch)eCJPOM|D8Xk+YJgrywQG!Ire#f<OK>|_Q) z&n9RRC|_4|2H5@xU-|L5dpeRJb_u71ZOO4@^Ev!~LC6Iy0Fg{D=zl9E6XFw0=m0(V zww?>3f;wb0S*MIDWT7!NlP3kWyK34~vZ?&#V$J-6&K1f)Y64`^lK!~cloCs&65 zHXPV}_zL$kd&UZZOD7wJSk*2K%5v!7aT>mRTX7$8jv)@nom!TLtaW;e!VAM!qL$Cy z+ZJMf;ACK#_77K@imiLIOUt_wJBBzH5GUM?%dL8sMrXNnO!na~cm20idLwO`aXYvN z`tTbOr`?yAFY{ld4b~Oy>%;|hCYs83#>B1HnztKj7i-P5daSfM+5o#oY%#~#A@LLY z$d{nOUiwwp%nq9u>Hu4KwpV#pKnI-@DXtTLAC()RS6{Tr0Nww#ND|Igi@oI0ayz-N zTwk6l_e44`ywF#~AuY+~<BQ|*#7-8~IZ_$#)2@pdG{NcMOye`$sMhRx@vf$qtHLr% zKX(OnI&dt+2}mpQ%CIHQIhLKqefYXO=QKX;|9KMChGs3Gmp=S|35Jpe_YE#&Uwv|a zCr#yogsSYJW}2m7V?w$w?E#z6htKcw?VxcY#7B?@(AD6jaDouNOtEP0-v4$b=cc?{ z^kckskN=M?=@d~#9r3jId!YCYIGt$|iWx;OIm44$M`fN?Chyi5Er@rhR;V_pmZ+er zwJJxI$#BXVtdXlQA8rU=3^#-8!HwX5OW-E(rEmjyn%5{n<s}bmu|b1ISH<ZNb3{48 zom>0xs}PATR--H9mbm3ucbfK{&}s3C`LVvvcpyEN_L5zS0GvsPX{}Mv9>^Bkx_?xX zfH>7+B7+IEkMc|QLJm@sJ2$bvBDS~u60+3kMzc|;?$N+SD6BiA+_G1PpOe^s>A_ix z0L;lko&{=^yGyxYuR(NLKW&mN9n_r9uigVWMtjOGLKwMwl$-b)+3g9w%Gvkiz+ceC zs(>hHS(-K@o<?NDIb~u<@yvxKs8`n(X3APH+)tO3>jF%xGXkmhY%XGlyZx7{rKo=^ zEG<g=TpX?esoYeSXc85Nb4xLQR#aJNg87HJ|ANwgy4M$+t|Cgl0=>LFEsALi|CiFL zw~q&Q1)Q$1%Y)zvofN7s$5tF6Uxr@hwMe@}gqL-~Y4A=s72d&$B(v7D{zIfeFVDRA zY+^?l=cRZJ@@4s!@riZehIMHr4?v8(|JR>RGSS@GJls{Z6I8#_lu1p0s=SKUgW6Q; z1!Pxu6mp!|OwIxEKI9UW6%?#l3D(DD)Zg&&(gTpwkz}2VUM;!Th40AZ>=EyF^jw6( z$!$N)d5>F8>&S9aBW}R*aX*o>J9sQV*?Zgq1wcm*lZQGg%_Kw)@yP6E$v^IPb)+5F zh7-gwJ2z#)b+*MBD*o|*w<o2ixXg}#2jA?uLXI8Bj!obGmY(-79o=XK@71i6=;yIO zEPa+Sk=7tv7jI15b2yfpOnc70g)qKR?;$yh2y8JNjsd76$?3B0nCGM*LLGzkQ2=&g zVm8$M+s|x&yQS-2KKESB5{aa%s$>45$FxfqV*}0v^)LLK#2w&&0-`z+xEA$~s)R=j zP<vVyl|y><`Ps7_|I?>(+M|3bb$f4=9vU>WI$F5cb@FJTnd|wZg?gwMcZ>2B{)_GK zg`EdD|A9|hyE+&=Fd}C0v^9zv6)uu|vlMVPPg<|4K+pL9$Jm5tI8!azvto}qp`*k_ zeEw58b#=h~Z%fgCYhCGi+H3P`eXvWtOduH`sy_RF5ok`B%4K!Fzs|PO|53J{m09oR z({4R=J_BtjdE1qI8g?^Jv>W5i9qFsm>muIM{M#3s{1=pvTgiH1rga%XREKS~ysnZ? zKh7rcY2<S9GL2E#A|_p0g;)6@e~Rd4RP_3)e<!7F<4;e2GFN^&wEw;36UACr07~Me za)3#Mtvtkq^nbTr5BY^w?Lv~C;=FvN%5>p~wNiZGQ+dZOf-PK+MW74>r&F#gEL>$X zZbnBESGvk1MB^ig4@Yq$6dPRsB3FDL)B7ZU4_BG@Z=?T@&F>&_LdE__eX`BC9`5=~ z9DrFbkcgRoBhqou%7UZI6sJmPsg+%B>sfy#x&29c?yFw&sV?V#6W2+`I=O1S7P!6W zH=`kVsE#Cj+bypI2~xF3J)EG$&<iU0o{l5dj9yv;;OmaM0QWxsF5~}o*k`jCdFUsy z4#kwBOEH9W@Y|QLrm(rC|I++-8W8C|UCs*6wv^0&@;r}jni+HbfnztwonFBtKZRb+ zj%Lf)(HuUTzrEHnAFyjClqGfadBm=FLovl-u&wkn_+*mKt;2+<nxKXFaLg+HQ&7EN z|ETksjt18c(}{!3REaz-$>sD=={Xt7am1K`eXm^O7;MY{*h1mJmj8%-pz--6^9fe7 z2}nnO9>(g+Dae!{?TVDY-D+g3{;i8?QQ>bIbdVS54IcFuXa{c_%b)3=9@-;bCW!au zL_D!tOvr-gz%%VS%mGGNo{QZ9)pZTzoCjKw9@M=3+Qd6o`L39_by`#YW7g4GeRN?Y zosV^KtsPNZFrI%PU#mawDGxDGx897>W@yuYwLX|70y{&_K44=Qmap{Jv!iPYm-t^T z{k<!2LY}ARdY*F`NDAgaV_y=T*+}zXmm{2jIHBKrUBl0ueeigZBx7~t`cEr!u6Ouw zPM-|8#NEUuu?rCPj=^hCRxOS|Pyq#{IZ%sVXnGJ9pMLiVGab3ND<-DHmGcMLvLoex z$2y?PWKPjcUtI9!-bAwArzQgS_6AF*W9tjeEri3T(VJw~W^e0HP1&OKkxtoZm~1@- zf?b4NhBaV#-B2x;AC+&HUy>Wj546C(Xji_K{~8mv_dTO4Jl(UiuCtC-0fc!s4v|gl zoA&%ZLVAJ%xr!b<Hja5k9b-?6^~qs>do}CpqLG*-vbPNwWawg9Cj9G_B}}DwH*(n* z`0B{D8Kw80Sml&*{#kn82-t&sLOPvV)iLoxP~FuZq^g5kiKqAddzCU|4h<WadeQjA z4&eDYaa%c1M3_5d)XsF=ckj3*YB5)xXSokzbno%D<^#*Qz?va0wJiODvXlUSYu55> zh#upXPuPFf3^4E(&nR0$OkF?c4|F6wxmx-MVBO3@ET^90$zG$2;tbrV4UJ-y>;A*$ zz^a+VnGw^1sn0)r8AHT=YT7ftYJ>~KhfGnnC{sjF0q@qVQ!P_%gOipn=;wY_=uV+l zx)M4Gw1AEPs!-t(qrN)Px*s)vjI2$sO4q(|olTsanRbe)%&fwPr-f&QXUuZ2e_Ksg zhwXpwAO;KUZ1N~Z$yq;FIr~GL!>CSsZfURB8VEkYKmhVYY;XF!>SEjVQW;yuaY6*O zSdZE`U2UHB4u;%)tgl)>Y7PYN9A!sT-xqJWVB!8_2w;UE;6<P;{Z5O2zAurh2mbtI zl8&d+*c`C|a$|_a#h1RpkOya1Le~JvJ{z7+?{w|^(paqV{D!_(IwOu2FGX&E8fw<o zUT+S2-O|4M{TZYYV9xXc@X;NBrO|J4HFs@f4*?F&Nwo<IssuTwQ8Fy4*VzfGVCqKs z`^BFe(@Urf_N~>TvfIXgjH8W9!VK%aURuraXnM1YMcWnh0BcHK6-J-f);`+`?AhEa zwZ3XSq%GNSIt}ZUaYahqMcJ=*6Q4yIlV5Jo_?s$r0_nut-?EDF=TPXAq4$aUWJ?AX zA4Tzf4+0`@z)5MeBMIcH<b<<zPD<C^f@PJ`#LMNs+)`UGz~1kFFUgmpS1>B~V%GpM zI-A+a2e|D6xD+cjIYYGtZQ7oT9pA07R(Y!Qe+<l2YzVO%-KVY+Z<X&<Spt>^RCk@d zv>1WzNPg4WhxcpAJ^0tc|Lk&nV23^DcSMZ4Y56LD{c5~RhZX0Lc*zCrv(-wg8!(q2 zjUA@|OP>UESMy$fU4D-512|C(6Z_BEfqu+V6>Jq^amzX&3z%4@>(8Pfxpx8)?;Ni! z{7cMe%a9dLx*&eyfhJEKKJVibXPuI4MkkMBgz#xu@%DJ@M;sGZ!#;KVc*yLhJ($qB z=ipI;I1{(@GU|KIDukaru4!bA`a))DDmzKsc2bJvf;xbIphF{c5U7O6ihw_r(5t1@ z>}pQ*3qc~fmd?K{_9OH6YK*F`ciJ3f8Ddgz%8mfp+f=?)eo<~S4(SxpLV@1kHZJOb zu|b?lL_B&L!)`~wKjD_j@cJD(_0RQYDs{n2K-u_+Ar4^GZ8>G<XWTw@{ol<_r&V>D zv#*{^T9KfC9{H-(!j_I_0*39W_*djEk^P4+j@(9$$LMBvu#`H3aEjSKt6ugAeYN?m zSZ)649gV#DdaS7Z$sOj!+*0h5Qyt6<=If)g7BOBvhjE`AxzYI2#ZOLUUE2EAi?THA z+Pq_C3C)3Be3HNAS+r}+myTG@6>-Y5XdTH-@n(5{tlZj>WQ7^bD19y_iUFm4Y1A1% zHA$Ij3++m_Wo4=(oWCe+R4|o}%Jz%Gd_n6%28|P<aOt29eUA4@Z3Nes{Q$^M+Z|Ka zMncm|3&r;GU(tF~9@p#3Gno%GO8_^#H2m$Zx<6q-M-xrk%~)wTS_+n)qG8utYRubp zS#MK+G}Pt=YgcWDmTI^~%g-vF$z41SI+RiR5b)t1<x8dxT!mVcukqKZe$z0S_m9R- z?sSnj0#VVr+=lV3V=(dlX3KJGARxR+kwm~0Kx6>oWrlGi%qPz>`+Daa&We-L+8(VC zwCQM|k&|gjI^#5qx9yhX$<swZ3h6AauiVmqRYU#E{(&%c3^vC+$u1p6*43F!Q+`nA z*$W7rmi7Ng>;Bl;t}@zIz@a+G<62xt_2P_KIz(^Cv=0`7hR1-@AX$(MNDd?&k_`lG z-{5~Sk@GK=v7;3i46tBfdz#8ezDXV>w`kE(Z5drYy_BLy$@RLtU!$km95bH5%3-B{ zv$9#4EIg?rwC{vli>GSwsE%gYw4p2`m4^8c7tRHmK3gMRgj@$T0Goh*((MEOUwS(_ zyB%r-HvLCx?0~*6NWil#fiACno%|381l{75O`aACKxR;#N<(HwG%b<cDlQR2=OVl$ zy5gWGr<OYBlyvxUqQnW$5;u{J0Na><K0uuUT;#tHrCFoV8#jqhCsJ9|5q79J4hbS# zYRoiX&0@`RjiJVBTwjF$M;t)TF+i`>Sa|6{@BtlmoIvq;xg*-l3lyH_d^-Hq4V4kv zqFpZ>AKyVySIs{9T)h9w>10iz+hm1y<!9XkckU-^0)4cKy03&RZQ#*k7Mrwx)%Rz# zwGaN<a!nQBb4UC9$b;k8)B!$|+ULLAyS4)O%nzKy^szUgz|jQG;abgox%T&!DwdJ! zACKm=zdt-M2e<fvEZzaUEyE2QJz){0t=pikc+)uDl~R404_x*AWj=1cgkv~o_rq}W zM$f@x{ag7Jr6H40Qyx_6mVi}%#(JDz6A<ljr|qbJTzp>O;1ut&beBh4+lk&k>+)TO zYj}sGTRa-uj`g0d%kyi6Gk71RJ6dnF{q7X&oX;4#&XY(tv}Uv&sXd3=6x6_HWD7Sg z^f)|MZVNyy=ZE+B2wM}jr{;!?R&FhM5#60U<iabEnzS~+U>6)xe?NVH(INGZ!4pZA zygNesp2lHgZbs6Md5<#KDO8ej5AVQ4^xqiUR<(~@AYk%}l7c=zY_PKrxEN5>KWI*k zzDOux9S{bhzLyb4+&#+F2fh<vCwe0Y`H901XkO<D1&JdNyt*H3Kafna<)%mxt+lX2 zwUMCW*b#5;AJRWtAH#lsi;D&oiR$&cE($dX)=Jyfmtz6#BhwDV24$D4U_8N(R5D7{ z9Em$C%PSjhO&FbtK94DL40w^)WiAv*HhPw+S}TrgsJ$Bknq3&)!b6g6o)4g-z3T$% z`x&-^TgkZ_PL&N#77?2Idmtm{xkr;?P)!xbz_HbN@cKf=gy4*Sq&n#s>hFqu?G@vM z5Z-vNc@IXgG$CBc5+si@HNKiIP4INQb`$7DJVQqqEb)|9Nl4Pe3Yaokfl<1(UdMz^ zpA9c0jYKt#Rlca(qU~sR#GMN-N*&_!T)`p_#U|#{#svL=^8E0Z0o@gXLP@ODP;o`s zGCJh7nG&Jh0zVIb$**bvG2(~u+-hN_#6`MTaY|{a@JOYo$ZY}GPR%K7pT_<M!|4(I zeQO(2`$QGRXEEa6s1J{beU+X(SQ|!~b0tM7$QLEPk5gb%OH^rXf!Gh@>)~hOOCZg} zZo(j|hcIl(?Ub%+z0-CoE)Hi4Ka1N+eBsu;Rd_SOA+>FPbU4h8SU=EnQRpgxNn=_o z+77l4gxSO6;17fDHLsjO1)|L3Yj~VSar3K6UM?$1y@U5s@F?M8>eUc>nB&0B#8Xv4 zy-2U)VNAu|*7mmDap$T(j;@WQJY?1%D!1$j8lF8KzOy&^IlOKF9)R-TUoE!$iyzM8 zRWOUKhZ4DeM$!wd_hEjuXP89}BQD%6(qCIkVaIFZ0`g-A3wfnd%Y;Z}qDL!n_TdX{ zs%JovG3jhZ$ZO3Zrx-%X^*5+{Ox}I<Q^{AUdux{ZD!K%A!s)!MzxjO(@niGYdBV}d zJ5!s=$H1HTFW|TQhHQD|QUi|?*r8rPiL=ArT(tCmPY(+0H>W>AMaIKwV0-yWq)0PU z`m%UpJ7-qX+8!V$3&wx`7ee=OVI`-r5pGgdF=mTSlIjB|B>cs=WaE*c={3!bW1HR? zE7dRF3uV-u#On;=82_{+Jn5(+p7a-*;pC`2Z&`pN8u#D^b-86pScRKs6`JO?g(%yp zIvBTqj9DEq-4fqg9G;8`Q~X`w+kTc`P&eqoV<hDwZoF*7&lXi|Ew2_l<Aj`zHspJH zHlq7_e+h4J&c5=hipCo~uT6cf&KGEQg$}@d$Fy^QdrU?3cUKFWB=(*+(Qo}XL5Kki zn>NkjgnLcew)@&ABU>IeOJ6-N`djgt@i>ovFx<_HOxmG%QSrOi8M}PY;8k9@V4q}< z=RGphf1UP%wuasUAJ%rkUnjPazj)c!-Iyo{8m#6<CB;0S&B7d098+eIN4=b!n+e_5 zzqW5ouE!OVhVL}>8cTh6Q^L#y3+9lwgwzXSh=zQ*aZ(S|OH5c;WO&}d8;rMRxZN>- zzNxOOOi&|nle(f3p(WiT0{dNhtLCE@3UbpeUF5O)pD$c_A1@(FMUo^X2!+Q4jz>m+ zNOF%30MW~lrcVbxf+g*9)jyJ3zuh8_v~Lx?)D}=0wYP8$e&;H*gH_q78{$V|Q?{P@ zjlA<j`|!jozj^Je_J`$ND0`0xGNeR*lq)mXwV9h3_6KSS>Bi{Dl)Z!O>PW~mCyJ3B zdzR0mU3m#}9W5hO5kE0iVbQyIBLXAN@W{LcZN9nfc-)V9zA^vuK#<V@r}n8M=w(gT zRIS{qJCl6jIO?z27|Ax$E9hwLdO|~NkFD?z2|ei|swvbL963-7e~HTrzeL)9H5a}0 zyVpRV=4$K@08DxkIr7j4th{0Dh$1@4R*^`mhxWy72xutl2_JmV?M_NWy&BtF8AB|p z9(vBTl8#9ZkzPUv;%u4CBRz?NRIalyNdi@dq3&U-$Q|wBnjY-BQOFRe$M3@7;%7Ai zJkPY*Onr(txa-&GvGefFxOljKlZaTAM;Hv~u@hP+3?S?NW`2JNe<1B)lD<8C5kC^Z zzzCzM*eJLI;YC#;eVEBG7g%!brPn2n%1x+tbwl}E4ARH{Vsc*KP+gC`FqacXV<Z_V z8~FpVoYGO(I(+OOq{NmlFIffyG-(;9bO`GZ5;PLeh!P}IU2m)7TBO&12TX>8=&9D< z^24tVfD&9GezV;*p@rdL=PdIm4aPmjg1r(n=>?SOv;lYCvY0>ohU<}Z3Dq3Bw=#;g zsqWhB(Ml`PCZ1K&c~nXWCHH7H8J3I}{R$m#kX%DPD=Zn|@uHG+QRm4?pRvcg7$<&j ze~sFNst-LCwzAHRYc`&LbDZbaW?It?Z+ZNR{JwTQE|snYeHf@9{r~%}7uW5-x+8~q zW64Fw-?YP0cB~*7`Uq^gtSq`c4)&hfw>xj+fxNXVyNwETHyUPa*wcM<hrz*Bx*P2b z5B46wyerjpRhCb9%4E~6@v65i+HGFg=WVI7VTVu8j@Rsppl<Yk>3{uKMAGJ~%WZ*^ zH*A~Bm*k$WmXa%+4C5d8bp}s<6t8+^LcZf<40*`tEc-4I?|-#~oL*@XbohdLi^_J& zf}?YJ6A~V3HSQafF8lbIJ$CsD(h|m>3@(GAwQHUv|8^$SJZ#1JP~�^d+b9vW?s% z)Y9wlKxROnu~>6|N3NMa3hz$QkuM!v=H>5}LFl{5c`z3%cD`&bPhPkpHyB&#b%?SU za=)yT()XUjxNIz6q27$nk24Iv8{A3x7~jdC{37;vWjdNa^4w;v-P0?n^5r9BQ}{#A z4$${pG3J#ynlNp`dPM3-{+`Spne)FeVlmnrdX(}e#ZA6{S?#p8tg6}*ojDH{UwLJR zzB#Q+Kdl@`9C`&>n>lT)eIr$~;gcFMWNwk%Q0?LseHPm*JA!++u>MmfnkhRPPrc~A ztT+GNf=&xK+|!_TFDS>M-<y4K?nui9m<hHRY!-Caw}Uqc;v~%ZB2J}j49NlO4m^VA z`1L>F9GhEz-Let3ZL1du=Pby5ymX54;JxMC$rdx1S?ys0KDN)CT{^d~MNe%QQc<}y z=s|TyU7|JCi1Oy5`)BaL1s79nVWk=Iu82*#?a$oZ<^eO0+mF+u?2%oY|73bBn7Oyj zeyULzeHTt-*~9d)H!F?Z9#hg-k11K!eY5PGxgdmpPKpQgb|r{dZP_9Go{b1jSw5Ph zSrc~{7fA%Qt&a0?P7nU*CltLAwwh?lM-p{M@fS}}+a`}AoKsv!3&V6|cL%6rlUKx~ zIU>R>1vXkdzKG%-pQYbd#&()J+v3uuJH0PH8-ADAsWT}QE9a8MsdHw?MQ!HO0XUHC z0d25<N_!W#yUn?cF>O71!m4kxp-ej_{i8#8%Wxj~Otc5HCcMmQp8HJXgIPPJ<ZPc? zjQmw?tJ;oP2KLb|B|OCC!0&@PAs_La<#WrCThzwTaxWv$145_w<b=3-&JbyYSy6eY zD#Na?niKHdMZ9mp5t{C$PpD>&MBW?`2f1T^5~hsB3C*nr%xdS(@V*ibYA#+}GG~Ew z!dO&#hc_x+FgBHkS!vOIZk(vOt#X%zozVUYH@4MWDAGRElr_0*p;GAgLB@GArz5vs zSg&$b8-(qH=U9FWVC&97q-)<?oUeveA%fLWN{<WXI(*L7%ZHFIHpb)o=s9j5FR@L3 zFNYvuHjpr1%A&eQ<{h!$v&2rjmXk~UP3rC!b~sEL$WwQ%7(YPlOq%-5&Ksj&u~w7o zzc{`+kUDZsC;m}j=hha?F`9WR=3@tcX!BNdk-xrnZ#ACq;ix!b*CNRMOfmDafu_3x z!p^*`C->cDj_$P)iLtwk$%I}b(WAzH≥j*lL#@`dY7U)x$)Z-FK+?(m+6mG=!<y zANGk*ui2p5Ol10r7spkVaO^G=g4%s3OIQzQX>Q+_09$4q`li#;@JGh9pzj;RqRU6+ zZm(9O?>L#kANzHbd@m6DyjqD)cQS?FXLNuj8^k)V)}pJNK&%H29m%2XX`&B*7MzjG zn_4yzk4L#j8A<hDT%2k=Y!S>)sx`8^+^XZxPS95^3DwCB7|5FFd&zEbitV@*@+B>j z^lULb;gnA9fdPDA3wkjfp;)51Ue&l<WjD6ke_Enj{*Gtt{t<Xlf!9-pC1OR1GyAnu zc*oU{_80V{XTKS{!->Iua#Lx4#UGtlPKM)!9s^OPpFA&>5pzf{4qLalOkL#kJtH<= zJQ)viKCQkexB22Zn7DGvhEw}2xj_k@s^G*uORi@H9sFk2;sj3&YFR#VIn(-sh-x}H zEhSAPO-E2XU)hD^4J?Eq)|a@lsle2ufT=S+s@VybaV=2TqFV8%#0{%|>aJZiJ}W-I zJ~SolH_k5$(6tYloe>L;<@sJZ(T@XYrzQgtNedfqI4s+>L|ip@N$$L``sc|?#GwUC z_nnxfx!#bRiH~?Ta*l~WFWAX-7uJ=hX_oggnMsSBc8l=FAC+wCTv*F5ZTe3Bq8z`E zB=*NS5aQHAs=HmAd9ROuC@ry{)rtBpM1-YSt2VS*V6ToZp&W|OnFV%n(D$1NREo~p z19kYqJ{Glm@(RKzWovmsn2vRdYx%V>-Rj3d)brmhq@=qgBchD3vlQc$r)sC~n-F_b zwwM2<(epoqGqt;C*?C|x8?m%)k-r}kFMIg)>TGtkCS5-YXceJ<rsIc$vSlBRv)e95 zAt9lL;{icgpbvFa_(uzN#buN{6_8AxlX3iAMu#=fjyAtq3A7`__<MdG!INLbJ728^ znw1gG*D{>~sBmg>uh{I>I&zWIBIgIQG{$5Q+v2hpa+7)o`5M+R>@dZk?%pglb`mN+ zy~~Z9)vH_g0Cy;V`$GUEjq*@NoBfUv2hD{cy>8ZxI^IpD8Bd0aH!SGOV;549W^Lw` zexfwWy-fLqs*j72TWoX#9`w`tCohXlc5Q_g`s?8KSLa0cJ!KCyrQ7w}bL=l0$rBem z(ai(^edkiFp*iD5gh#}ViSO^l$P{yE`nW;BL${8q4^y0f{5hidmlPZ5)i7h+?z(jS zz8X&F+!mxk+Y0c(x-@(rgTtBIfn3_QQj-_9gc9JKqyLfB0qG0p3{u`i!kwB&uB3o} z=zn+S#LiiYkEb}HvnzG9{x~!3erAqye<Ry(E?Vr9@)%Y<^M?zqvj6*}w0wU+BmdWw zzhTvVRl|vYYhZ6GiId$@^5KAt<od)JLvlu?0rR0<hwb+nfa_~Vi@?S~59x!kMzA&Q z%qa<7?2)pPOaL3!J%DHQ&%T6E!yCMofc-#t<wqKu`28N@aEd`l7T6^EzW&ffAKagC zYuuOJauapC5cEORNt#?NKKKf*vKj+v@}`X`elo*<h2FFAEi1+tVbPP7VjXNHSd4n* zNv^_LYHm%RRsLS<V!(`4+aTAD=}!A5=LG+MWPEu%)Zh1i2uTP<_R5xow<WSps5FG6 zLbkF^vX0$YiwIew>`azWi7YX;v6I3G*~S>gHe)g~GGiEq@2k(__uucI^El^u&%O6` z&pG#h+;blDc+5o6;-erFG+&ACOtdhw2@`|g*=g8<e2YVk`)mg1`MTDo2YH2^WeVy2 z3~pRX$7lP8GRzSo7}lCQTFp^>ts(~*@O7SDo7Ru|9E9m5T9Dwiu4yDkOh3#uOb>H{ zWT_R89^+Y@3yMOVbL1N}n*KI;#&IxO^RRk<E(BZQHH$pyD7auY9cwf3ducZ4YM6}U zS(2g6^MZ+YOP7OW(d*@kJ?XpQPA^r)&Mrz3{!%zv3pW$Dzqv2%Rp&gHAN#Px5~P4o zauldB&iNW;(~8*qJkkFrJVE&xV5o=uZGICkeU5;<N`BAXV+N$z`#K=K_-J<0o%J|> zu?dylReX-ORBkjsas-tnY;beEZe3re8RJKAXj1txy%rCG=yU2NkMh#X8@iS7)&383 zQbt&VJg(h<)H5IGlrg;z>_ip?gJwL0bdHnD<0$uZj#-wKEtUkq5Zpz)(Ww?*`;;Kj z0&e^yMIyTRKE-oxW?QmooYWk25)E2^_sZ%Gw6)}jxnt7Pfj_ZpuA|_PYT>fYUo^93 zsPm>{;TP8?WEo|83|{UW>-uYJiA)HisOc!{6{WH9mAUqk$Lbd4g6<$#C3-z%8MVha zJa@wn<56QVT@iSBiYP<1FuOMDILe)QK`%f07`=krt3-(K4`wJkyh5@;-uv}`CgJV> zxf&C1`AchYh8n!f$q;QXM4m*wukGq3V3%ru2b@TC-+jOkI1q^9M-HL_Sq#jMfVU3S z>qQ9k*Fo-FIbbJ37FDU92)?M3zBl3VUWh+@!XdL#qxgDIVXnbHFHEUWJQ*j%6AeyP zLZ)<&bK>Dkr<qxpL#p95L8f$nVZVFKH8BZpEouM)dTg@!FJv=L_*cXdm?{}|zC$d! zV1a$QGA7pS7q(X$UqlH$MCvZO0@V*MOt<~@0!QVdS96cL9hNoyy;d&<Z|-jmlXiFm z)J}J5o6#AELTP5T4e(Tum(l@u2ghL?6aAZ_Ic3xBJfXNWL_N6+RBiKr&z%5uK#ISF zC|X82Js$|N{uVIR$T;}A*zPsv)%GkWw{&jUg~H5Q$73-AjL2PMhT^UxO!9z(DeI7Q z$U16*dRd0o_Sa(s?$7Qvc=VcJ%pG?vbJ!O*t5NZtC6F#_Jb`i^COZG7_@q`j=;hBb z>qQ5u+pZi4C9`{+$#tNw&+lYte;J$lla+F1YOq`Cm+KM<sANU-MQi@lA3I3?$-09G zv^$nYu8(DUD|6386-cri^>3;Jl?&|&#RYchKtcbw<Q={Q-CuEwx2T-{cEINI%G_Pe zyWtCQmg8)H!rzhjYRmV#KRO7-LK+r0CBgKBh_Q~vwIE9bu)KdXFyTG0e?2#_I%=&x z7Sl`!T|5^g9Hx&EIn0!NS=fgDDkb^SH7nd-W~0s4?>Xc06uHj?8;3s7Y=4bpSE=;t zQN)W;Oc^@u$w&^B(%K&937f^=R5E292p@5VnqCg_c#NTQd}p~z*EZgHsTRLYiDZbk z#~N^>N>N=6gb9if<BVRCe*u?eg(YCIf7PaH*yX4*#kY=FEi2Qz)bYKPJB-tMZw^Hc z;}5wGUmKi=xp8zNy_~PB49}ztQVqg1I)o*S$<GJJ+m@hI<uE-ao8F5<$-|5VF3b0! zUF2WbZt?_r={Z#saJZu0s|8lR3awsaygB7AOj_^t!ZCW~bT1p;e-C&YbP!@4LeV)+ zY@wmk5(v(YlO%9$e8exs#RVWf`8rOK%v4@tOLDp#3H|;cpvF&gI`OaM_nX*W;sv?@ z6RoM^p#iSu{t$raTph??obs*aBH;i=4q&1&saLzrF*Nq*UVs_Fi}(k^bmm_ab990& zU)qnudr}Ds6fde9f8wk@R}DCZb-Gl(=No~`UjnA*kR!=CT{hT5Bd7wshQ@rx;kg=% z^cP-Z(o461en^{P4AeoaHH$SWl+V=vfR^b@%?-YU&tq|mpMba!ft`2Aj+Uyq)yXMb z%GvdjaOlt9D&_ETL5-_=i8@aJk3cE}`g<&;KrvExbpe})W4ga(FZ)H3vC;5fOf z1+VPy+p1oeNIJg$LAOU`Tw*Z?-@KGV*zq@Ll_)Io<z9b}?y4R8vgA#*_mA~u=OkqN zzls**olsgl6%=?)8gpv&MkfoTqM*kPKfOc+UWw#ro>5b+go$6Ni?>@Z6u$O7CSOVH zRlvjiO<R?{fAKp0ms>Rp^J~~EOT2o(7^cFt7d5<<A3k@3@t^#?TThB7)|?vrpxC{I zPxg;&Wi5<V=935d>Lh$U&~cs;Pf_NPuQ2Nto*BHvB~@wGC6C8Zz@mX{!%$??xs6I& zm6Py_lr9Lqhho=yIx<c91iVtd(pXA*xK3^|z21O}e>PjaZo)iAguhP{V?7sV#I%`` zFEvP~MOI-wqF6VuH=y1pX+NveO_*L&&PGhFslgJ-l&CMlR!2p&x+)NK*`~_*Nz|#0 zbd0UghK-#`b60gmLD^ALJ~`=UlU*-NeRBG1npxW{X(wiKx<1Y9<KCzQcU7&cM9!0j zUJ2o<f0&;-%D+7-b#L8uM(HT8uSJBmzehd~cKAEON18E=k|f4?A5BP@RG}bDHnel_ z;F%3>TfXJcm_Dr6>87D;YozlVmbQZqqJi<XJns)ViR3b-c~iO(({c)H%oHLLtU7xc z$0q$6ig{^m)pQf44$;%<D1{bXg?-$iav+A`e>^NA@i>=6bm!n7`SZ2P&1coHgnsx8 zq}XSawx9<HZb$ZLzyqQ06}u$}$^P<;2MB#fmgoXyzL?uNaX^pKBTZ1F__u24y-;Rv zt}yHI!3+4?w_cl1R_5ruDg3fv;^v}I(34&B*3$<Zj;DQZ3CB7ERi-)KW^tMj=`YQ2 ze@Cb?1su|&`D-lKUy6-|FX{yOB9x1`YYf-py~ec{A5rfVPDHO6<Vnz;RYT%FPuMMe z3la*GC=xl$9z9-Lq2A+#KSc@9IaT}Km|`0Gy334ktbI5tTHK3;)T`=W{d>7Cp(ZxT zg;`6Tk*i+5=QG}LD8IpDn_j=JgOpd^f0UCzA3WFOnU(9%w`|2q_>9+&2sV25X%faf z>d<mevl4tH%gkMyz$u#Cm?oowN$>3wK2EL$8c!R(`xrNZ`!)G~Rp_D1o7MxHqsHXM zi;TxAPNHQRrg@t&V{3Y3;pMkaKGmDc%nd`=g&MU7HLqcEpLq*D*MzrhpPDOle-|rM zyA#|5PS$Lg*XHyY{mNsZRl$LlF)ZjN9mHu8_ws5>%+2X-Wyt5W&sEbdz&oP*w)Q5y z$J@OizAB~*b~(ZqB2lSiomgugs~I;lFte?PUetg%K?;P&Dg@Bwjq8z3Ew43i&dM3g z-S-gdyD8YM$@7)0<R%vMZrEcAf10e(Z=0St{cy?a*Ecov%-Y4dGmrwr)9uUu&TzG< zC00{*MviyxzMEK|P1akVjb(N3AgscMz3tK2TBRYFcSM@`AbHZsa&}E`?yXCK?T%ir zCV2DeTc6PqbI+!vB+U;q9FF%~XVzHe+J9(%^ak}gN{>~@pxwIH#rrk!e{=b7tFeP# ziuJyfxjj<;i+{VRJE3!Y#%^jV*meRR=Atj_wEwb=qr@EAG!#F*6FBWMnbi<2T<e2F z>bCEgg^m~%xOivr*KVRT@%066)!1$?#fIYfox%C5ygteG<{a%IvFM{sJ;5my?wh-9 z`t#|9l29~P59u(iNNaV#f9(`QAX3TV!P<#tP;0t}Ch_y#qjxm;X1vKxTgWWe9La#c zW?u|5!|T-cqPCwfa4<Su8u82kF#6-b=QKFQp!TnR%vV91z2X?0zE+Fs#r7Q^$^C01 zdCt3!f?`I*k@6N>YZr+Z+ILimQjc{bhkaf_gobN<M>C854AYO;e>Sz+R4=tdIGgNZ z>3TotH=5DIs}Z<y7v;Lc|M;Urr(*gdkSip}lD_aiy$}Ri-s)%|)w*Qi9mq?0VOs;M z&Nip#w%@&b;EHj$t7|udY3}h7t{d@a-%)l(pWei}?(1%irQ`O?+B_Bl0-MJSG|>({ z5lAwrat4e)@V2Cge>5TLuC+HwJEs_b7hgsh-B5L=s4xEYRBkvthISJqa#D&tTg;>5 zKuf6B^y#Lcz+uW<?tz}_pY|)r9mpg%R0^3o9Zg!0g8LMR(R=sXd+FU5o>|nH-)ao+ zqKmxnQ5zWf(!cK(N@v-cd+FW4Ufa<-y<pt&u3$R>1}vfGf3z>@ynl6}Qwe13(tdQh zNhBUQ5<VqWI`T-1luUORg}+oz(MG|8PmuR?no&-=;dyE9>*B4d588JWkwT6vfv;+B z7OFScnoJKCx-!KM<~1WXrNdHn(<q1L^HE?#ahot#P7wXxR`KS%xOV%xIa1ywA+HPm zjgJPh>r9?jfA*(~QW`FALoP@bD0s)5+}!>fF%OY<-&XHy+CGapr?wCyiB>V(hwmP~ z%3o8T`X!+OZ7E8hNm?OAf4(CC;>>yPxAe^i<8Y&WhXX5E$)R3MZjqvaY)uX{o$J$T zO7>D|%rI2Yf!!-D4-ND|yynZj2#iqy->iXrQ!h`Gf5^)yR}PT+#cYvYhGBct3Fn9j zi;Z=!z~yh#GaedFx+65WRp$`n_9V!idC>`-)~QmO@3}eZ_wVmyEN>X7XV+rlIWM7F zw*ng#22uXK27TApdr+;TJ5tLK^L13R=Q^^rE~*{K1ct&>;%lfmSE=hu>n+EcD}j?h zW<10Ve|kMbLG0v-ff0$D1w`+--Dd+WfhT~6rPEic3Ky}^zwUq=*!dM5FQ(PCPhX8F z{rqh7@3+}shoxeQUF56pI;UMHn_DAz!r&IZyfRJ^g|#CuG*#z21R@i>eUU74&7s@3 ze;M!srtwpM1M*VYh}nv&S^UPmH|HIOGV_jee;ka&ks6l273~${(+UJ5eLNaF2X}hM zqFK?)pVf@*un9ZO^Wg%tylS$cJ_q{9S85ms`JPN~U#;ibaE3~C1x~EB7MQ)J6O76f zT`gLpMV%txwGzoF^*7{n%=9VOD8)IfN5rX!Jojqp(Y(RxBNr*5rLC8>gIC<e`t-J6 zfBB@9j`%lq%c+~qc<2MyslfHA`fH#6R@{UdMmT^d)*Srhk<cbtGNR?(!0$t9+dejT z2O@UrW?n6(E>4p^{|#l(x4hQ56iQx>4s{4@3$*`j*wMV7b~h%6)>=h(VRCFl&5MV5 zql6u>$IwUeQ&MH-HqjiXO!7Uc(8%JPf88kko^=9vx_6(xzK`wmir9|YC|gLU*;mm6 z)^8nDpRCrpUO*?HpH5`?xXgwBJZMRz1ah|`JBrZ5j3<-aU!$iDss?>tUa(P*^GSPe z9^KRe+5g}zI5?{BQQ$nbcDAV{U9-h8yDl(ycJ0#KiYxQ^W=`v!c+H8~wR3arf5Qyp zeM;6(_owRbd@SCZ+X0_9axRBFwr1U=ousK!e|X%d{;#_0%=8_Pf{CYDi9Uyoe6iz6 zo8o>=+HsmKwnsk=&fH!OdBIh0euuhAtWUG&mg|FEnQUuFL!XixhIvn7LtHDXAdmHV zH#Y4CH#xK3&=6J4t`f}`@5oIpf0ry|2hV5!mxnn_fQd~(6?@#CFxe;V8`A9M<P?cq zcVkk5=Y3UY9V%{hoFyV?F7*w?CurH#%MUY#x?wJpST~90mv=0*NY4ZJwI!v&V{490 z-J2J)QhYK?7hVL<>!`KZrC;yRCL&VZ{(hBAf~ikI&4yNjUCC8i?h?&8f5R!&@+KW( zM8t15Cl`J84M)2{ANg%HqJmXSV(|PkwLM#{s?aAA%|C_}t1FE<)QJcmkG~DYqBM)@ z<*6ctE|}<~Zhaa$tl~USTamkTd<l6*)yvt%EsMo1*9*G6)lUx|K9$_JmG9FFLJ{6G zZ_?X2=J;G9=2<mz=G7&De^*?mHFm8&g2YC9UcIbYqA-j)ZN!Y4g8m#k^F;qOBcgh_ zsY;<A_Hc6lYnpv|g$8l<E_p=9Hz!}M8e-CsqbpVFluZ+_qCb{swldeA3M@6q44%Jt zb=3~_l3`N4yz}W}7tD7O1eodm$mi;H!>Hp#gsk`F`eI?)5-|Mre`6mbrHT*m#%FVP zpvaB7L_`GpeENE*wqa~L=;Lku4ycA>hID*A3$Pe<lPXJyM04}VS-OM3#)R$Q7vI3& z`fc%y`%_Rf+9437RdV=oKdKIXYB4%Mc>2}zgHx$i*zXMeh|R~$tCLz^qp=?MrV8F8 z`#1e|T89|KD!&lsf29bFTZh6%f$iWU@ilv`(Iia_UTbVkx2ap_m`72Y$CH@DAq@RS z!KQcIRiR<O0}1$I)B=>AKDHLow8utM_H{bFq3akUNgMT*<loS>J>mv@(|jW;{by51 z^a<jZyVy;}?~qY8qF)ITJMy7%`=YI1D#KvP72P6O-E0`8e?o-*IXF3mE<F?^LN~=v zO`%JoGiYI&z4Z%{wBG8$=DjzxW-Cx1gFB>#ohWhlD35kL#DIe+VFen18R;H9r&&}< zx$D0vQtyR9CN1lWXGT9h?%>{#x3zRxcl?@Gax1WqRvyB8is)zs`U#6KlJA9SO`^U^ zdai%?dZ@h7f7uGP(ye?+BUQmeT|b2^a1-_Kf(BtHb+r<L=aFjP!W|l4Utb8F{+N5N zvNUU^@VkMrWjo4UOU`>8jbL&P&hgM4E~I@^An99m5{mV2M5z&TAG-LqtP5Jf`*bS$ zbI~M`Ta%zC9p9otUV}cL+Yq$#bA_t}(u=I%T`>Foe^dEwTB!`->gER2$Cmx+s`Svn zl`;5UcBd;$+&Xku<Uh>d{0CKl1fo1~-(2?|-C?TIF%5-P=^hdjvBPZicKMA!yTP~T zx4-KPCNspRRQ12>g`iSP54DN80&c|!h?y09M5jVI59ELqquEtEH!!t!HhH3RK(ibZ z1;fsyfBaWF@H+hp`@D1Wa_{cKhjc2O@^Y(fZbfkra}(TM>YUhV=A_wY2lK*tx{^;$ zDK(+#V+OpAXE$x?^(%bx5Y;xuQS8Lr9(P=wlLT$G+Ephf2?gVs%&ANJ=(xr{<yDG& z8+>P5jmx(7B|~6J_1jMww+_vX6uZGmjjUHRf1RqBUY&}Md41K>H-Sb^)n`=ZNr$&L z6+B;fy3uZ>7}L;lO?us~I}88OA9<xPhrQFtGBFUctfzd9Pk&+oGSnUJOnE37*YHPQ zWeU;@`k%{Vi`k?~)_cqX0^0cv`WZPCc9>-3yW;rmF3H0*=ULV8Dfu#F4sEZ>W)+hA ze*y?c;h6}kZ<@%)zMb<^@|U(2r<nw$`EML(AE{=s3cdU_(xW(r6aBT!!g{P2yqTW9 z8<^Oeg`sgz|L~nhRhBT*Ns=sclO6?xDyg7lP*u816vqarrOtG6uq^^vb@XR|eiz=u zfK*8ZxcXM1g0S2hiI^ERz;p0a2EP_>e>#Je-rml1nh&H>F4H6R+ZXgh`TPP=%e_39 zKn6vbeqeA=7EvR0*so9KgNG20bqQk_$0%2ueUC*>e~~|?6-+$UrG#Oy`Rg_-v&_$a zu{jnRN<7yUg<)_|t~Zld^oGAAKBkK_Td)>pVadwZ{*d;&F2MN2%jrEVT9Vu*f4}yZ zs8p6~MfU3~7Q|62f6->;<Arlygjv@8iMP8x&NBqQLWve#3K-ZK|A1x-_QIlpFYH+( zwDl~U=;lD873zmhLhl_RQDZAP_0*D>tfYZ2XR_W5d@UQg4*#|pUZewwG11EQ1<0B= zKs?-IOc<ri4!qe8y;KE_O&Q3je*+sH{TnB7$_Kix?F5dWJN)mBueV^@d)50snd9eP zXeANs0c}4(8|m5^%BW#3U^-6icTCVX>7)S;fRLWdk};{<y|Vb4nL`MIDTNNK7AyF+ z?xfXps`Jirc5HakfPZE9o3tl`M}*vv!uSE7vsvg={@FTyEurJ<<;!ysf3q6dz5i)H zy^{E(e!`Zw*R8BhJYmR4zLEX|W1HHsZXw^~N+v}&b_}kNoY7Dvo$)mHUqgR#&lb&( zZoRjj**g_v3gjfs5ajWq9}B1t*64^IL81|U`4(Pe=!C7oz<qz_C0(t!w0c)sP}eA^ zBrfFO2Zr8Ak0E^RXeN>5f9LjTB;+{<R4TS77&B8}gkFqRd0kf>M4$3G%BEF^kd7CP zjMn-uZvZ*4sCN|WPd8i~)yVcz^=pX`_g57C`7Jm5f8w}pO@HJ2I)@Zb3)dE*iPr-G zN^3m-hAV=ibvfe(GBql)_9eC=HdhZF#umy#UH7ZVt{oZw(&!W2f58N0m-Wpt+n#}- z@|13g`Ork-pFus;>zhK?y6JstT5CQ{3M|c)bt|c!COI$A<F<zbjU_+3zIa}F>6$RH zy2zrDU8&0SJhhx3tx*w`i`1wH%{9=dD9>Hcs3^{L(x}MHE!I$0s^Cl|*j4BP#N%A5 zr_-9F=tTP+t$)Nue-y($@p2$YRsHQh5<hTXT|M_7c?FQ|=WVq-eC4X{Bkh*1Kk~!V z2ZFTJQ~r@8fNXQ6n$_pscAYECyABW$K&41z9Vr}Hxx8Cc(-#UGANhEzpw2t)W0=RO z)SvAl=B}pjz1)Gf2HPU3gjkO=|A-7g)}%!pkE_2JczZ)Vf9oHK8wk3kUi6Qo{v+>h z(R(6IT_2U_8T})FMz<-CM6EB6xcnnp8m)3`UZU3OBNuZZOD{EiJ#*PLd?RxANc5hq zZ^`R|^Kz=rb!p0Jc_zzE@q3vAU$`3*2L8+1doj?+p`J6KbW%O>7XABhg{sfb>Izk* z;p%c|#6tCZf7R8WS1NxMsr`I<2diP``Hwuk!_?sP1PFE_m*E*Qjz7$o(5NWLB|kk? znZKz~aX!~aqarBx3n}_i`^#IQv)&cZ2$Xjz)NAD6Hc;`*-nM+9HHJqP&@@`7JtR`? z``3=8+829(Qtf|wNTu4hd#I$Me|x0wcTg}LgI8i}e_CcYqfI6`zovOcdgSa!S&>mX z6{I|=d2$*IG^P4s$N@UYo>r@`hon5s*6!2%Vujrt{ZMr@3=^9StxGd$8dmuC*s~GC zB7YXTbx3Sr8~%Y@TFTEE7E@c_^R!6=m+oS_btFORgSO;YMyN05++=$F0zXaK*GFVB z=1GU(e+Jqy;U@D0vB(O$HdSTF{Ez5(7rUV*x-l{zCcIIAd8Ab}9lGpTB^5$kdhdiv z4K0cO{v|IC=BTysl7XsThU!`m0w7Rvg!PS1hPd)pNx4a+pU8hyO~1i3nc70U|2PCw zndJMLHYjY)GZj&Wga_;Wc=`$GJvN$c2VGMue?*B<R-Ju1l28pl#NJAkGca8@zry!W zdqdcEuq=HoSj$<0=4?0Uno*HdfK6kBPi@^U4<8vxG+~Mp!}P{AJfhBTK<oyk-&DLQ zut{Ku0OOUO__d|7==@;41y4~#xI+~@0L9*=g=t`;fa#GekuONkw=#@L+E*si+aN-V ze`TZ=j$IBWe<VhK@T7B@Nw*CleM!+b^4K9pB9!7svW-(|TjsV{88!326YBKB6|pUF zkfZCg2*QwGp<-tA_Y)XWq;t>Vh-KF3KWXf{Z_r0eiN5eeDFjp80zYa+iE0M*(&r6M zVT1RTBGJsWUA<1kI*XNM7ky7W`gt+ie_-W$&lX-~5kbxI7l%oT7aX2UTdk1@Dg2ST zCv7Ydgc@9GZ~oyJ12xcFEe7?RTUMpN=7^jd`PR^x6X7&287iLT@HCwt_6D=&Yav>g zxtut$wJ*8qwRI_NXo%s0(C9esdtK!l{UpJC$4RndK23W<ak0-gl~p%wka0PTf4gI@ zI0k<;q_cFoM`Uj<*#8N`9>LMEupnKbHM1W@y%lz<V<_$BM8T%|HLB2lM;pX@v*i`d zXQ$oJuX+ChQ=+iviv-L1`_P^bc=8g%*Y{HginNBDi^!+?>h4&O>jqQomwVEl)FV;F zy#(ft-W{p0gQ867!soU6CxlC5e_ni^0HGsRsTU%!6JM5}3=U%$k|BljU-qBC9ZS=D zRuPtxaX-ffH_2x3STn@8TT3p!3knW>Bk)G}$QQelSSTtJz1?0A2SE){!z0=!I(vpN zFa`e*t#wXi!rIOZM0s9ZQa7=C<aZrC1yd*<;T(r_L2>ySR2HeD7*};`e=MAvr>`** z^h59k{xc(I<GIJ1m!A1r6cr^dt`*Ei{GjR=(B>T;M)19eehil`lbYL3FG%zp^stNZ zt~I9$M(~y&#b&k8LKeM!M@yJa_;a>{@0BG4eb?7gddMx|?<hBPcniC}a35EBtS{OA zCXG+3+&NZdoa>Lye~~^$e~4p6Cu&UP6YR&pi^W0r!p=yr#8{Zj(O%%)DAK<070QJv z>n<DoTmLJ~%7TRhU#5?*f3WUdC1_B*f*$?*<yO+PGO_ZPm4CUt%}J*pu(6siOH*FS zae*oz$oHBDxTzqcC=l_<WNlqB%jggK@Co5c_3mK&u)n<yw_exCe_)(EV(&R6Qb)Hv zX_N)Df*RohQjGF$sE(HSguXOaWeCcuCmb)l6hJlecV)OE)Qk8ejXGH^KWz8%`*x^> zaS_=!^Ob@ww2^om&mm2P>%2I(zTI4M2~tI9I*R)m=fv($$S!RKDeNk?He%oeH3}R3 zj`D#Zadi=k{~J<tf4bSJ(6PDii!@{!RH8T+Y=t)s={VC`?`ZO}#%0>3<nvsx4gQ(` zz2=1?$AXtM(U1|TR=LRd8h3hlt7c(d?H4xT55%5tc$mLetHFG_@3HhUv7T-GEec1g zY9v-a=UIBxR6$n`VR}<XJ;=I^lxm+iRs%QIY9MZmjcmene}lN&c=?zWj_^cZ&*{w) z`)=?&EGfiCYRVpcwG6LGdD8j?(@Y2nk+_U((sziD7OMe=#$ro#d!h&yl$pw6Npjd( zhy6{LWz`w^(|*E!f_`WH`2B?Z>QkC0#QMehi2c~)>7?o8>F@isLbU?5XKGKY2&)LH zoK@kEksiRle}(OcAhQ`4w~V$}w<5P}aN#(We&<*6eLKa9G=qCb4jU=`yY?z5J^Kxw za->o={fg&y9-_7}Pk|<aBj7&n@SPrmn?@yv)rV#73U1xN@Zz>`(4BPQG1q?AzOsI) z6q6*AWRO72CCLkt%93)DmnAPsUXheJyncY()mN2le+k>!uQ*%DUnx{6AO<yso`eQK z)u83qj9x2*p$hMBfcujAllr*&R9-EoEGI1|Z{5xqG9Ju?-GQD`wLqf|DtGy|1h-tb zq_>Q>*tYby9JhS7l%SHczFHrm9U~vSR(?HXxE$b<Ig#^WM0SgPs}{G73&b_x)N$6h zESw;2e;MbBE5kYWne-+0C-$`nL>yhA#o@~9c~nn5t{>xc+y9fZv)>odAJNy=-_{3u z)hX=EPd*(Zzo5Jzw{Usk;)2Y=rG@iODu)l-E!rQ>pJx7jLuyTeafD*t`n*&KK-HG~ zoqLBni(ADV{~M*0#kjZS0yWasME{`YnrvWifA3%Wd+2PY-!v%<jw%Uz9){di+|t|1 z!L{JDaj5=={)RqVUh%zQCq;K%REFk6|3sfP4Us`!wf<<yZz*Ic!1vC_{z22G{J2MH z*o(0Cu)?tFu*O~2txH?Cw@h$+{k{FR`N9*ff7Ww0HE+zF65<nxIWKuB+WDY3EEds; ze}E%u5$T9#M9#VNZv8eV$XN(KL<k}PIRiPJ*na_fH}a7|uK+Ys`_{PGe(W{VLW{zO zg|`YH6`FeYDfBD!4fRd01g7x|r$2|f=_FFOF0On7_y6-4SHJKo)8rNRBBURRc8-3? z8otSm+r@?95V&i&8JsOH7k3WVc`y7(e*t*~DTBO(JZ~XG-upa>N<k%|k}XqEud;qd zIK5*)+nnNvVz-&)yKi^3w#Ya|oEMIW6T=nY>~UxuYE}Hz2ckI_BdxJT5h;&Ui9Vn9 zc9ZgQ=Yk;E*=i0X01^W6A6*z#CWFj(zz2>?>rt*B*E4ps`&;@7Rs$=t1C4w=f3r*q zZ6b}G_Dd(71yFBL+qVrM_da%B+1ySF{jtxv+R$;)=Wh!4EAme-Zqtqo#8<>CL=_?z zfk4C~%6H}WTC`Wyb22qQ^t)3FGX|Wef0%X@BH9rzb{{}*LS>=u(6i77(5uj3C=b*e zss#0cN<bZ<`l{JVTHyKII7A8Je+{B;_bOCyi-@bhY4wG!=zhA0wNm$-aF{nbs6c!` zyxUcRdO^jY_E6nDz0H2V6x~<4rbnDV?-!g2f_P5cJV--)MilHiLPMc^P)jI`pml2~ zIz_g1<R>Zjo#q9oZJ}YIRUx?0uJC5zgF^E{$3o*m>q4_ad#v-Q)qZ)gf6YPZuI$!N z+)};sY8FEgcYtH9=h>21xx*5Q%+!3@f3xpqe}7+pzigju|A#){oPF;7t9`5eXZy1H zYm*g|6_XT+Gj9<2h}N()Z?tGVh5{+N!yoFDx$~_*xG%V$*2lfVB${<R|NqW9(l^qt z)aRFMpKPCm6=)V}ezQ4cf3flZj+m^Qq?^+A3d>J^>w|u<f$1YBx1~h9ib#$~ib&ai zwV%A7w4cKHDkdAXS3JoFW=72><gPvbfoNCQ<V@mBNqm)<oJi2Vp=WeZvMUW-hD%$6 zxL-I`+;g?!NZZlpon{4fI4F>+xNu22P3MbciZ7y1Hy<}=*P6QEe^ZfEnL_>^s%pHU z-VY~4m(tbB4eSqEiXnZ0Kx*tfgA$C9by6;_T^9ke=EbdPogG=HD^3@kE~5owM*HuF zxCV`Td<c6N_AM+m>`PeDuKgBli(~87mfV)dmeAJ2EzPZvtx%i<%$Gl=mdP^<kI7&t z&ps6Sz;jss?jwnDf8idsEK1-b`5hyAi)AYv7l(sW3(J7bo^0`NsQ}r^706nGK=!i6 zO#peU0mx_DfXg8CJ3d-#=Y5ouRgzRvVg#M}ozFT8Ityb@W6xj(uv6O!yEQvnE4m%> zw0#^WE)i#f8^p=uKyLO+miG!6zTPHeOR#d9LYi#a7kW`Yf3$zAUj@JW?pn#UH`nT} zC8aeaN%#A>waI3|0)Q-STE|~$N^Itn%+W~2oGWVtD_Y1~sH6#S_8CHNiwi+c16f1} z$R=kYf)L^LGwTBDLhJl|*_(Mb8!A6`x&t0oeEcafE(hN|3yp@dKn<Z6piiLuP%Ee^ z6bj{nf}sjfe^00g)DEf*4TrLCea0o@OzXdVy;JSE#9*uU`Fwl-6Yd#q11E~h$34Q$ z;dF4VI2ewhLpGYfJAZrL_8=dTv>Oby-V(!k;MQEOaJnx9?6LY1|FR!6{S@}+oR-Si zOj@nJ1If9%s7yLI78<xLNoi9Ko%uH7K#GlMnP;H~f48ua)&mk9Z6;TF+ay2#l%Sl? z(Ov8Ybb?6^Q?Vw9YL@f>JGR3acb;p{e~RLY$F)eZBqfqGNs%N^Qjw$#cgy5*x&Ad^ z%AckaKz4VBvj_wbJ0;!EN&}W+GlqKyQM%~T;x55vOII@cTX~enDSd*SVu`o75*deA z>U{SWe|u#<-<PGiq@dS_;Nh>!;6dnP&O{ePOOUQ>Jr^6V?M?;i46h(#gf!-uuaSHL zsNAbt3IC9CNtF9sfliS5B(_|vH<fUI=9H8?E!2Nt?7^=JNk<;i4Vy&!Uso5igM1L$ zj-0-?re9*;6T8g`H~jrt4GtLA({pEzX8XQ!fBJSy9Mt}@I(#yBGw9Uhk$;NmqDME} zcS&YfrFo1kn)&_G(O0|N0nyKL{1|?+b&+qP=4|OfuSfT#&j0$Nkn&SHSGjD-ucse= za9vi1lxCG4sxpNZ*6Zvav(~1*`pehy@@X~tnZS4vW$qbOYS+<a?8`3$35?{ZY4dZb ze-`!|e=dHtIy59US{+^(`eSui^5&9`V)R1}QR0dGYeAut&Z&R7`PfSjT+-;f4PT>6 zl7MEfP4}17BIHA*Yw2O1Qo_rjU=h1P#w)qAMaMR!T0wJ9_*m%sbB_JYG=E2WTUYSY zYQocT1eupMr!n<f1B_`h>c~cO-nBI9e@um$(;eRz=aOP!Jg~x!rJJFK9Vb(1QRa~h zi8kW6ZSPE9SzD=7-oT?Ta>^JUnyJRrL#>a5P^;S8t{4-+0@4${@MirjjC)DlVO`Qq zdSfNGj?kQ2MO}8KYLHaKr;@sa4`49;W(P}Zu!i}`R;dk|XZ7~8%n9bE=ktNNe<3*$ z{VvHht6!sqo-VuUm4V#QK&Uzp%@w%me)GQCB(Ws1WU*J9dFsKZV%CCa4_zYGB16cx zHd6a{hV$0o<S4W{<j1+gVZz*f;px-ULeu=yXQu@L@Dl*$4LGBEERS?EE^IXr?F=1I z6w7%W{-ErpV{yPl&zAZ=ANKr5fB1iQ;TEo(F>0WF3-U6Edy9zV6s{om+{EkpTQM{p zUR!YGl=yY`uWCHVMsnr6_v?}+5dC=>qJ>$=lk26mT`dIc(!GG8s_XCsvx(Wom8-cE zORS`~Cn_H^PdVJRSY9nXc*M6#2=tF;=pCG7Di)?Ak9FR0j(09q=~fxfe_3j$`u!&q zL3PegTIy`PcGNydxWc#pp?@dpz3H$0>o32WoJpSId{nsZnIaorys3^5yL;?Nrbt*& z+97;we}%X1B*~&SK4M&PF%-Y*uLTpCk8@%ReJ7###N%jo|0`0+2R6i;AM5i+6MrS@ zEs}b#k^Fa3g`5_5?6t>8f7wCz?CYARQW}-c-}rJS&i-LrC8Z~H`w)beG=rQFSBp3$ zjn)%5Ct4N_gDiI0bU5`gMtM6e#SpR`lAS&OwO-nAbT`qsdN7(_pMc$aG}Jxu!YPq1 z0v5j2ks^M~Z^&?0j;S=q_aWu|VcLG#Gk;wjsrlk)_UM99zR>sFe_axU7yk0C8O$Ge zo?W|Mf=g9snO3R63*|IM8&z%+RBHBqp=jYXBQXd(88K#mSL$YtQHQ*vDCuU-^N0!e zC4(UCw)eV@3(7L5&-$P&#e2znNiMtk*zlKOEn#`>A*s82zxMb{HXnJGnY2hUVSQ+Q zP%$XMUT?F4ec^Rjf5<86-7{UFHwBb}Mq_#3$M>*?Vn%%NiQ_)MDnR;uq`n}rNv!2Y z==@Sp#3bi;RqaekH@;I0rN(fTkJ!CiV{w}-#?7}1q64<}UP;Dk_7>|ri>Zd>J#MFd zgP6geripLEZ-elG)56A43Dg)!tAWIZYuQ19q)B>gXXYX8fB0Onvq4t$))hj5Wfph; z4cNK%>uJ9U<5EZC?kB=(#6NR89-SeBECYt_6ZT^^=DMsMI?opWQRC4AD?i+JY>M6L zYx#3lxi;oJJ)9$X(`l(#SL9-Fn++oT*{zMuRsG-?2^pV1PFvo-Ws{sK_CNQDP_;!n zvC!a%tBl`YfBxIq@A*~ZYrCR3wiVu^k|4AzAEq$XV1T91FMGpjf#Cf>nN8HY#-o?z zOcmKpR955ByK?4U=8nAS+|iBkLpSg~w@k3g+jx+5<^d#gMIM}aWSI6HlesbohQXBx zQQ75mk<5ikGZ<Ws5cR2?{vdM!^v)`Y?AGY=!#bx(f1GT`+P&S2%5*A>wM>Vy84UGt z@2&`f76l1PVAEz<bIhB*V4HjM(qLG+ERVsPzTL#~A0SzuLs<n4!!D_dpn4}Rnrwi^ zk&MEn3uxDGkcJ2MtWQnV%{9!*DJaSjZ8vAVbM6Z%F6Qj(;L{#A&dNiI*px9P$kC$b zlsOy=f84_P#4gCO{<Y87dyTVaS50h_>v$gaY8J?BEu{Q4`*WqTuC;zv2~s^5sq~O~ zRoDH@^7Ge0)j?<$pNBv50u)lurjIy@=qvo7_x(V7G`wz_&*9JOSG(8H;}g?}m6q1! zbEiVyq1T=^3~Z`XgtRtT{DMA;Ei8^-ZC^+Yf9k@vl2169xxNe7juT^f-kH;I7%i%J zp+G|8p_!(wywRwUGKPuGF1*_P?9t)T+vEu*pUe{VC?g(T^s@Bu!d@G(+gD~qA0?=! ztjwNlV)ortHdZDxUe8cRVOjROOj>aU3T$Mc!nJ1u{w^$Y!TIgz-UIOW95>-sIj+5W zf0-4m%!Q*XTzeTZE4`T+>9>sz9eI}uGBav#8(GM5?Y%ypHJO=#`mq%0s3V~za{-<m zA89J^7YzO`FLOaECqD9yz+Wry_s#=OfqIPeMfjoMZ6V^U<a4`Cc2hl6XWkz|igPGO z#E;V6ogmZ1KMJpSd$40HVO$dIF-mRbfA@wuKii?6E+ZVer@z<a-l(TsoSHyS1W*qO zt}XKQ-Uu?+y>2}HHBK}rSogiLO+(!Ipa;5DhBkEo;{K1RXS>b<uMK36M2?D3_H<0T z)`@Qc!1{P3(n6B5$5@R;O{)N-(W;Bv!3%&8&T8Pi&b!O&d7}%m@wkiJ>M3hofBEK) zga{?kJ~I~e%Zeo?SB87n_^M|YWc_*<1R_Y8)qVi*lPvI}iQ|zW7GeP42mn+Tc#bg( z{7o+lJVpI@q|oR&%AS^W*E)8X1s>CIJo0cv6aehI)}5<4E=C}KmW%X-phC5^WO$R= zT-w$L_hV3<BCAXqg4uSZ@X#(IfAm!PtwV`zy`$_tRfoTOs(Sp6RkTbWt=qhqOD(}! zZYv|1Z<@yhDF)adTP<0VkRu&7eMu+zoMeeiNV93$f?P}3zIA0g|Mo1@US~1T>q9K4 zBm3m8gPr<fgwX?5WX?m)MLI#W-qPmOZt>lhvE-JAJiAVh!U@oayt@kzf3yjP*<8B| z>z@O>Crd%yUY`N0Df3gDorVCb{bu`xEINKvsCt#}S@c0$wT_`$8OeCL+`#GYpU&ZT zM;Q=nM!P3n{fhp%sy#jP?4Ia{mtpy@#g_J=l``hV*&5-u##ijXS?hq1qp|n7e26<! zj_-E<fz|kmWu}~pX@0wmf9K!a@}Xq_HqC!6ywtqL&5x97wi#amgR|si%q8Dx?4^_s zNdx0%`Rz)ce>2L5Yyl1W_O^y+)>KcdrA-5yfmM_wMd6u67xpM$k+97ThC7=w3grpg zieR`PYjnv1i3QF|{82Y)oYq_u<hVZwUCkxPdH!{5MKa^QvA}PDf65AGKxwz9n_`C5 z76s8sv6i13o>pslE@Zg=4e63!Bxp>vYnZbdC={31?UZ|3OxMNyu^!c>;bA-J)8pU% zNDcDr_J!yz3U0HC5*_kAG^W04C_SyV_gpx&d&VGZfRSI}*(FaC(U@A+sO5~Thu?CQ zu-<;uRoU?|AkFKJe^zr0MQzcVP#J*qy3zbKCRcsYdhLvM^VFN|$HolveUlV616j-L zZ9lfw4@_57X3zRh?M4I4kHalOtW-ztN>dB77tR9e*-An;?eO6_4laGa(abjkx+S*j zoFf-^#OA3+)$&w3sGV?Y_+B|3xAq2A!}$TKe@Q~gob*OHe=@#&h&g_9M!xR68Z2L2 zfZ`eOw5xLH2@6~<kux%1{DR};FMtQVwVue0wak)Y2QU$eXFUW^asmb-0Hr^Iz^u20 zAp-z^aBZ;-O^`9?%~TGDfwSUP8`U4Ssx7k`m`9%mv>pS~=xhe&NMS&@4hV<98vDSa zf5dkAJ`6p&f1ULWUJ2I2-g`g1U5xj78t~K6$7e3Y#;4#f<^fvHQ!C-wL`$2?96xKQ z>^FjQNWn^`92ueb(R^>*zC*FiMGc&lgLyYsv4i*g>jlnAnV%i!l|eLo=E0G?y*b6^ z9}MssAsU(`3jHBrx(_#Md^N|+Ud&5#1vJZicg#F*e`ET>;R07ci_G`9%=0mCkB46u zScwK_?8_LE-W_kbA+RDh>&TXQzD&kYIoO6%_vwX1v{t5^*7qm%cX)sI1Dt8TgRE!3 zxALK@nR3&BAn*Ct9-Kv#HTTWdXt_DQ@(`SbmNEAQ?n6=ekVK|jsA>Lz)A$PC^QRF= zv#`|uf7Cu6*{hnTrv;~(D&<3r@x#tcIpTqFzC)Tu3obnWN{TDu&$jD~$6J%k^HOcI z+;~xgw;Fpl##f|#g^th7=eX_3cZkwB1F8p})P|njps(EH*X>z<*C}H@@QR{qJ^bpa z=iln`A?ykj2HZ|_!j{9Eh2d~*c5Frzqn_HRe{9OP`-xhkd^>$FlyH-IS-&K3TpcMh zIG_ZRaEdS&Sn&jBOv}0#pS-aja(MHFgQCJVd3;3_oS1*b=E%I>fkr0XJ1o#h0+GbR z_JGe#WLD2_&ki<8*;tIb-H#^^vR{k@DW3yUM9B$kI=9Jgv_k^G{QQUH{TuQGbZ*`N zf8hFm@D<N1;qhdtcWD_0j`3vs53ecAwnlzrjIhX(%9T}FcjRRSb*p%?x<?Wvs)Ndp ztj$sGq0{b}>>`~$oxo13lk_(yF#r>x@DJ7tP7U9J%l@2xVPH3PlA&QIkDqYEytmAt z#TgiCo?slG{SO~OTz!EwaW=q5Fwed)e~{HU$+*AuY)roRvLSrs6GxQMCn2iBC)k+$ zcTqz)uNOy@nwK~=smXf`#maj;O07nisvZ(&7?V-X#aITNb?oxJ$2hC|SqGo>@WXqM zaCznStjI@0_{?QaX1L5{ykMjcOju714>^hD-krs!&(j7+AMr5U4)}|(qn~&gfA?)v z@hE8MO5|H*Jj(DR;bO>u?^q?8kMJVo)_;!QM_G9p+XS}IqGoH8xaj!BnnEe{2B+as z3J+seA`g!XdFVHWqJF=f^Hn{BkQuTTJcc@b)a&@!DxII&un0#GH8*0|5AN&@l0?%O zKnk(mFKJ6a&ms+vDvytkuWouff49CUvLo+6bOp>ipFt39Q447gIhoo_v)0n0qRky+ z-PX;fcSS|!HcjgFgwhZX*cfV{Me0>Z!a=77;c(*h^6*h1jK~ZX^dC6-b7lFH8gaJx zf;y9EH}K&N?5Cb($0`(aSxSi}_nIP6b0!m(bz?Wh9d`noWG13dLkdtoe`>{yRW7g^ z!eQJTQ5#BUsMFH||Ae0kpWT3givXVj42=BK^a22H0BGO@H09bt^WIx_^v4-oJT6N^ zic?iD%6!y<7v3^ukIMNR1gPe`FrXpN0rUI-K@XT02+SKf3jh!R5br*^yD=gRYc**X zfHj#szWIXnY%x7IC6SW1e-|tq7dUov$svgUnwH~<Q48Vtz*b4tLi3P<`<XCjkB<N5 zR^Cb8G?+b`TE0+Sy>7Cv$N%ddnP?b;zskjkpVPt%K7+rvtm=p}C}}zg0BU%_jRHgX zT}~jnqCkKU@lQA&Wwa?p<@)A47OGgl4hS-B4>`b9Pry|ptNu?#f2kiE<NgdNt=xr4 z<E=dh-&=n0V~@J*BLU#%W0m7ghHz8#KR}B5k?Io~+-vin9<VPY^n)=o?!Dz_NBq4i zzLSg<2Ct(it=kap(l+jXS4segWHCn}+7m;#FZRRF5(5o<mTzb%`;Dj@fK4O;BSiq# z-aPxdX}vAT{*J?ae{XtZ&O`1b?&QtlBfoooOJhfN8zWN5#V~u9l~2F7-zeVsawRtA zV)n9@$TL&}|Dm$u(g}E7@{L`G2O2%0A}Kd_uRJJ>#uiTy=zqfXddOq4s|Y1Cdc%5D zYiEb%?Qtd9oGi7>*JgUbt-tGvY5!G#)7@IBk35bu+ZR3te+;MdF#7av!i?Y?Q8@9l zR59u@U<bIFrF$dwiu|wMrP+X1DM6}2WQ{mHD-Jn*%?y?dzfJac8AGuZpQdid4stT} z7NG&!d4hld%5ptSc@Iy~Q$M#UcEmTI^9_qI`@JJ}B)pvv9J47et;hV(F?#l}K)X8x zWbyod(ckb-e>Ja|2p4KZBN_b3_sU1cRq$_fnFpQ9A6q|`Z)nLp(8{`xakv-!0Q~#6 z%!BES`xv84QbA@p(G2E$p3u@-zD{4w-Mc;>C<`7=l~L7t+vi{@5a<XV4wmVj&hDGH z5(rcRA2HqTzxU{Ch-lPoS$MMov&kgtgKOxrEe07Ye~i1CUVk#MLm_6ZkIoMcIcY~n zXSn+1lv6$jiRyk<$CKlPPVEInj}!_2xpEhr%|0VnS#<R>n0ad~JvVAIO;HzZomThh z0{pM*%Yzd$7en=%8*a(!V>7Akwxhz_?kkHr`lD&ZZQD6T{g;QXf?^)>>WbNoQ_R7= z+i?awe=*X8gQoIrS+xNFmlj@--XBj*?i^MJg=p5le%1F_zoST1u}m)JmsQBEn%CD4 zz?^@)pNK&B;&@AurnlUS$1Y6T{J7NPs^blj`}t~AT8C{z@kR7nh<Nnq>nat9zF_-s zk@3=p8n`=z(&~#WYT0Bw4GC!`PR!2!tf_@Se;#rkO=HSN7$E<2O*D4CY~-+({L6P} zp9=eWmE1sNk$iRKuEL{7UoP=q_*VY=@_0wpl{#s2Y9q{Od;<*rTq*OVIJ*&ct93?L z_Dk`*iD_Bz=XC(fX>7F|--rf(=9Kwzc*&Dyqb<Qy_N2Wi|NT1iVLG_{yNo9dQJAJ| ze@4e?fj^tbemT78NqbfPyEpS8ANUc|ZhXTM;0`OtH{7T}m3m}?OGh*7J9y>qJk5Fv zvG|GWNqx*MDOi50F7>|HJ|5*wed8!wBr|Cd@PTv(RnKo4(%I+@LJt71U%xjv=9%<; zYNM#i3STdR8i}^5=&X<9r0VIOFpTl!e-yy0{JGAmKg}yM76>rRy@tZ0D?AXcJ&i)` zl?nff49i}yu>p_#Kj0bw)Bu1s0IZ(?0DS;x0suQ>q+;HAK*-O)1~=Rm9lhdt?a6m3 z-z`9~^5kBxjMeSd;tTKu>%>UWG+?5*$Y0NEcHb+PR{nwUk)jp=FcTliY9aRbf1{S; zcX<r;0^GOE$lw=;kwHI)u|Z3Mk-?V)V}maqC-xfiRnPdKLb(DG!dk=f!#+_bK`Asc zJmB|o;KS+|W*^b}BN2K?0L_;%PYhDNuMhg474LmeEY%C2$yM>BIf6?&WLiQh<>#Ip z2iNK2xkAnvrlDCfeIv$~H^<@~e=al31U8ijP3bbz$Y11NLOgrL4lNm=4k50+AY1K~ z2=7&6<e;723Y}nDZ<)ijw_yJ<gDh)vVz1a8JUjh4K2qgtJoRqM%Sg-Cpzrd_^;8*M z!D}m>UweR~Dkem_D4$8***r*b)28^{Te|_y$iF7v$C#$OUrkC&r(xSne^NlLl3mVj z>(LL-?%Vywdj<d%UQ(tU=#7RpczneToMkOz9(~y};8XdKXQo^tIA2`Z^RF>DYeLq1 zG($uC;rPlmaF#TnqqxuD04ir$a|-|lio<i@tTI{i=qo^tT|QJRW1jX_qvg@~iV6QO zkArCbza6`t7qAm>$-kbDe{X%4PsqCfzihz0x1y@JGBNZg!}33PV&sp#Yb(~vPr-?< zMh4GQdG;b2;qj5<MQ8qkLjwSxDO`XDfq3@Hb+4{uksQFSMfj}UiJK#MLH|I;wRxko zJzgsNBswv&RsSL(FijkN)z|=RlM{GDm~nEU(&xMUVz0(wGQv~ze=k>9VPX2SU!7&< zx{PxNO@&@H<I`3#iYwOS<JwxkOH|6tqqOCx-pAbRumZL<rYcNgPXOCGjTZb~5x21) z^^zc9DRZz3@L2gD+KtLlTt1>>ki}_2gTEj{{6I8H()W&YyjUxfQ;|QYqPBD)0m;!^ z;n(#AU*K=X5IXR{f5$lH5AT68<N}%I`NPcGiIsf>U7NDkfqI6Jyq}US#|aKK=qMj5 z8NYe%BL4-TU5!*(0hBJl<v0_7-UYY`E9VKN{~}%6)`D9Kz{Caq0v*x!%Arsr1M?7` zJ&jo<K&cIwkvO!ZcNyR*8Dw9`JB?ZA)|FYH@5wOUmnQspe|-wj1lD%LW>{uL0aJH) z0RV7`8wvpQ|E<NxOdMZfrQQ%WASbz;{loejPQPLEen>AG^K)|%)G@>RX97DT#z~zH z@h^6AsWksyBk)#3+qq_v8~<TP?!}*ImL_Kp&Lbdt%7tgUkAQ$HE+0}M&@|spVCM|k zVMRQv)JM9Mf9th%Yy#A0x_1q0>s=eEreY*nb5h3t`j5>_IbpN>1E3L@&Xl9y&YxHE z49F=Tk^*8-#WSF?e25)rVt&*V7>~P!fU~$){r64BSDtC#5gIDdasF5KXPL>EE5Fld zxih{J0M1gBF|Pqa6VTE5KQ_KQp3Uz4|I^3QYO8o!e_FL`CH59O+S(&1ir6Yv#V%@u zwpQ&Cv4T{ssvUc`C=#op_No#dCDh*h?&tgW&+B#WbIx_Wuj{zqIp@yxzW<0edJzym zK$7r6LINO*w6o`c?F(r6id0Zz<VVVhC_#itEdB43gkwOZ=@wqv0@tE;j_!d=5KQzm zS~^C(f9Egrukn3ev~1lnA4iU@g-g9-c)t}%r^`z%YAINOVtnNjipB=HS#&>VRgoXs z=sK)R*BXl6cLV;3Wa(xj(#mH*0yY)a?U81WpX=B6@xm`fl?!P|XhngN<we956x{w% zisaVD-`+TeU$jlJnG{$@<t1}W!6$k8*8Y1nfAOi1FpvrgyLHjZ+?mZtY25RiP{1!H z>Si6zo-h&cmZU42Y^Btd_0U7zROd4|Y|~k$VB{FZ@4Bn0ji%;<T>?3rwb9YMFphNT zKtMb~w3BD~o@^!m9cXfIN?+UQh!?hni8!S}u?kswrlmC-7_A1RN^%lHuhfiIowO_a ze|Wt)l6#tM@2zoY=d|*9Z>9E}g!2y5q{?+BL6;kCroaP&_Bc>kBr3a{<M0;VX$stL zVUK&{7L9r-eD|=l1~FCAYh;h>{T+dd6=Xkj#fMCl5N-n@wzOx`aEZ+^L{YT?8q*E^ z_JIBj-ci~OrJcM93|zZH07*c$zxAJ(OpVCgjDNT&A8qAQsol5fAAz=u-P&!*p?PN? z*73fuacWfWl-D0)zV9?^Tx{@x1G|9G)Lmcx)OYJ_`g5Z-UQO9!D(P<%+Ow=~)$Y*M zKeUs%YG*1dZD`?5XU0YQ3;r3k>QJgggDW~P-C3OlWOF0{v^i4IXw^6)y3cW_U8gsN zbAPTP$V)g*frowU!#rT>p7lIk_F>RmbzIk3U!g&_#J^I1Y(+6$VJ%xy=RJP7r9x!b zwb0F$kv}}z<Kfe*_kPnm^4i_p$aog^vt``t-bB@xn6AqRY)(gP(9=_voJX6Q(BCB4 zXGu(ldoCVPmsi<4XN!5{Gm;9}8a8rx=YM1oZYH;V^{1sv>~e#-6P)6$uRJ1G?=}DV zzQ}<`>eSuTAFa<@BrSP~5e0yZu*U`MMWKG9BsPt=b%BX3-Pwj)ezigS$x5BE9AFgs z4-t*}O&bX`pdb3@uvFGz3eY${4<FE+{je0@ba<k#-QL^<Rai;=fwk0UQt#@(K7W_q zH1-?@^2m2V51i=Feqcoa!vtV}|7V~B3<BqzI_<61nwy~=nm;`s-v4yb)^}b&A?<8H z?OOBqLtCXr&ycby3vR99`%h*o(yaLk3K!x?zD;UZh*k{#%+c-)M^X0FZtq)HZlGaR zK7iajQ>_Y}Dj{vz<8&5j&rD{3HGkJxfeAJWC5TeqG<IFAHYh@MK{1DPfL91Gi~t7Z zt`5uTOy~=0lP*BuVCIFBcz?{L8rq^&3%F4Ibf8F-g}X>=0E2uF%V;#kPHzf439-jn zVIxsK?i`1NdUe1c+YN1QxO28LBe;p)u-q5(_u}6)Mk=0uV&|nfQ=83$I)70Ux}ftN zlps3)M<SbO59-OW>TqX(Tt$F>3gcmEqc1?JC}3E;b@pRch7Bk?wD;e<?tG1VT~)Xc zeieOW7<P&c{-kmR`#rx$Um8G_xRfxGw4@*xwV{ODIcsPClo9-ClJ}ZzNx+N?zw7ah z_U>M&c3Hqm6Y3G+_(A)8uYaNTi0t|{aucoFkJ9dL<h!<rf-X-UrbAOW)_B()_36%- zI~mBCSNxj{d#~3~`Zv#d<b^k7|NR4yG*(n1$-Nnz?m%X|vY#rUWcjcq(wuSZnXCsU z<%d<*W)uZL6*isEL)i|cLNy~V;uli@nQ|AHs9+q<YO8TOihOK<On;G;3Jtg%YM)}i zip*-yZfG0`t%^Bsz3RG{3DX;|P5$hxVleJk!#SA{n$+;Pa9c`4?G);O00yAAeN^vC zO2<ehH|dDkSmP905VL>LYLe1%^-zj*#Oa`Zdg+j(wHT(yE^yJLwdkzJxPBHD!-HBB z_b*(8(`cNESjv%(Xn(KLdUDbZuBboXVv_&={l22KoRjS98FIT{j!EBxO68{Rn_HBq z*5I38=l}Z?;r-{{&8@A}pIiS}E#KPtr<*+dxqkh~eT6rt`=lc{Y>ji*u#`C{sOobN zZe~1K5KKp-o5HIkENtJ!J08IxXvU=f!Ae!G!w0tXnivzoPJgVASQZ377%sB1l+%~a z$Yz#3t*RhbB}rnhWR#qwIgLUeg_t+z?8D3FOlOk#HE(emdhnnw4Q(=cvyX%t)1lND zOKlt*q`N(m)8*{OdZ&(jR-N-CloILbdUkKU_IYzz-PMOSota4?15B7(mXFx_Ud3+E zdacDYA8O(I_J5+m>D>6?5XrgGN73~P7Fbi~X{;|uncjB`z8O|RaVr|J*l<qU?o?}z z+dp|0_$1!Nrm)TE)YCAJ`yxZ=DD6@fGpUV}nFto8bP9>39#V+&MHj(j8B&Kqb9mj= z=Qfj>M*e`bL))|r?K3B`KIyV{mp4#Z2$P|UgAsF!Mt=;5=%SI^<ohJ&0w3A+J;M|C z^;(m_`h9rGoaX7A*#bW$7;~m`rc8#BIy9R*>lU9aa8p{-sI|`A$rYpy<G>GfS4C~G znE-zJ)I$|aqwZNBF}&!aW>=GR`ApjRT-0gb){=Oo!|rrxM)Pa6XXrilo-CJ#-rV~p zE^n$|r++<uF&qDw@mA_sMK*DG6ElCdjb3TrwQPQu#hfPe?26bk{=ST1@=>KW(kXw= zJ~Oi1Uy6o&t6P|t!i?rcXQV5cnwv*4j)paH7hGl6JMCW)gu;3R?#;_r?7vPM46$di zk7iKO%G7sLkHER$evtZ@=fPt*ANK8jTd{S%4u6pl_=(t(5Hakw?ek03<7^+FC9$+H zrVf4M#SCKStwqBQzVp)EsxD1T*j;GxdF>x>GA_b^|BC;d8D_l5A0~R<jMtR2bnLdh zZTsE7(aYcc>gh2zOw(3CF|yP2Qs=mBcd~0Ot@AFl?7ZR+m?#@L!XE|>u{a4{GA63r z#D5JAGKr`^6t&n~D;u$@Gq-PYsWH4OQONz`hS0}!lZf+_SMWQfHUD0vbX8_R^Sy$| ze|IrsmTx*6CW_>k%2#@|c%7GdfNc__*s4fHYzC*ZT|4Ney08$}kwVI939NukcScIn zcbK6DU`OZDER(kTPfUmP5^1P}LWpQ5YJW#66SX7ei7}D2{G9@eV6+c>DW2#F<R9i@ zvz2f4QX(U}yfL-eoSO1+%reAlQpB{2E`LW{HEdca2QDAk{F)dU!GkGSk#VliSMxR1 zU|us!Oom=#)sb$XRTYl%xwhm*)>_|gw9o9RvH#R-BFfK}pJOcd)<<+Hg4i6%Ab+rS zSHC>ROfJR;x>QI!i9`!9JxDYXeX%M={#g7kChk^=iKu9fPIE+7EJimQ|4fuG$F#Z5 zOMxueweHS^!O7jhczXZ!!uTYn%kadz+wdeNkJ)o1UX=s4^GPLX>G;R+veLxZq+P;N z@MsvKiF0rLVWo&elfT!Uq%_fM?0-@`5w#IKQBKb}oK_XdeO(-6%`O`<xC_eC7?`H1 z-D-Q1&%9P=vSP}CTpjXa^iK3*G#+-*4;AVi^TujcX$CBDLkd?uLiFmJF?}~P8n{mG z*K*~r7FdX>*V{}*{cZX^s?K^Y>c{gpzdPb-Z%KC2y0#|M-V(0z$+XJ62Y<1uwjwS^ z=n4kNsNh9be)rIKkJr4G+Yx`^>vu0|>9<<M(r@0^9Ss_zlhDFTtGMt|gWJDW45mNV z`j37UQ9a`|*eFl@k#+t=zS2_8$12imr73o)-y74FvMd<Q)A#(AW?~sjBAb~An|x&u z02l=TF8}}^0C*X}(>H!cGk-By3UFEh&K)n|NAsl<!BISY_it+^3UMZ~9X*&v!0`mx ze$YTNEFFYJK83(zk$9<T1mOcLJ59MeiuW>)))iGu8*_=0tfohbzkx%{yO5IK=-sPZ zY9Lc2Ieg6y#C-H`)_CX7w5I!zEV48!a+{r1Z^N23-oHhrGGc8;{C|Hlf=T~4xHyON zb!sm+wEH-(8yhsEy-*wVw`8lo#*fU{J@a+B)9Dz_9QGponn9s_QQJY@z!6g4w+F^5 z?3{N1)xw!V%;yo=wt|B6XlR0qjgWKrHQX}}K@`iZT?^W!LrB-x_&Tew@9iOPOnDV% z+j#P{Iby?nJKW2CBY*q$B)+oV3s!a`!p*#%%WD2J^HRF0$St$lmwHW~p9gn6FVAMI zM2>&<q9H%&8gfbWaBs(v7EA))dog5(&6@jhQ)u0<d?8ueop$IG+ZX8THb^won>y?} z`)^{9p==L`#wh0~8TKImy<sNfSJs#43uHCn5;C>&KUSpA2Y*_K{Cn=-H-VuzW<m?- z-UF5&H?W&_;V}QYuOP)~J9MslHNjr`etgYWQ1t9S-M%TX!~9QqSR`HycD;bVBfjA) zD0tQm-45i<gG?dlS&{fwTFCSekmV(irKTN()f2d%B4~d1ppg6bb+n69jAp`tkMq6C zvYcm49X>afZhsKhBUuGX7%Cm$AH0+WSyi$ejV<c2a5DJ94gF-|BYuB<vN#<|tLpA^ zZF(g{va1~t5!`<>0DZUe5s#I=MpJzJA93a-F3GNNL<DvJ%_H>PEgl{#Bqr<pCb*wY z_E{F0k7v-Bxu`xOs`qJGJo$_b%rp0x+@2OS#l3N+dw+#SM6eQWnx)>Y<mR!eK%%RZ z)%}|^rbQ{5{fv`>iiH>a@MQ(JOsz8s$^L_hU_MF-0VIotd2nIpbRf+MNFT-N6-@-1 z6AjyXgq_odG!Hy5I!Tvt;vUw@d5aWN_Eu>4VkSKxjVKahoh`-H5NJnHl1ROsS9c~e zB&<~AqJJ8Tlg(9cOefyKD>K`>kwr+Ik$CT12oYP<&X+J=iTLD>k=4en)|tHG!frl> zOs636#-Cs|yx8-!;z^n`VHF<ixke!Ne9YE}bed!I(=j`{vv|ih+-Oop=aa4BZmW*N zx}}b%8Gnq;$28wu<gU{6iWvgEhU78>f9-^5L4Th5`UztZ3^HcmUnfB?ROi!vsnim@ zN^9TS<;o;0pjBR7$jW<&Os|;Z3El|wG64imhUxQSbJQU6SxBGT(}*xU;Ti%R-VZ8C zO`rwoTB<wArw;n0`4qMpxA!w@qP^*Om#s9H?WvfZIq_4C1ZZEfcjBXEHvL}t_;_D_ z=6^M$<S^H$)uqQ=$qnO$#9(>8G&ep>oO`rmx>2P7CB^@tv#X-;9<8EqZ8>}TNilnR z)&SkWAmCrE>6YA^u}6|O#cM<Sr<}PIH*2fe58*v@RH6k+7N9mSGRy8Mn!i!1HGwEG z*skDa);Bj|9Ga@Nbt0hhprhzq>sVIu$A7l#Ie2pW<Wcin-1y;H7d>YCmWq-7ql+-Z z4jzg@nuG4G3;S>Ot_F6|7a1l{52Chw$9_@)o91zVWdFJUpxYu`rC`4qwx`dnxY^d^ z!@bU6d{WsK)hC?+YwUhSGbOReSMM-<5hHvh;%>mdD3#8>YVkc$UOJ0iky;&t)_=MZ zCr9tHY*}u1{!!j&xw%+hnem4m|NC;{0JF`oNVm-Sfz5d4>Z81JenTHn?YKH~*EIBD z4qp>@{;MMYNp$b?(3BwekT|-7JjrM0E;Ly?mtr!LGb1eaDPit4PQAM4ZJ0}kR+0TS z3A0lJO2Z#1f42(NZ$0o>>fECIa(`9eE3-j%{plOw22OQ!M<EaVsmO$V^fv`cwRr~n zgN=sn7s~$S8E{})#qO9jL>G53ZC?|rC=7{Ye}E;?#lak-zZC;0D*rocIsWFz2Z}$q zIN{|(bnX2H58O()aPx_u(z@y5hLF+UobcT*q-N}Em^Z7Z_5rK{kfs7i(|>!JEev^d zKZVcyZbBh9%XJ1X8#vTyojlB=WG&zLCzvQ7sREP-=aoMGOU%USh{b$7LF2($e#2TS zR7&9fV7}4dZ#C(hVhX(I>MZ|(<!IuP>67M9uQp1o)S4Q7?3bWG$q+2S#JE*z^|&e8 zCv<6xcoK0oV^3h;tX?_vH-Gn0R1|IoHkVa5oo=N^G+#h%cWNu(wg%k8fEx_B3)m&< zV%eSMGIbgsWqDtdT>dOQCpc~2P3xLzQjl*kO-JQ{h`u(7?|$PpYyZV>vwES$Khr~5 zakHU=U1RnOU7Y)i=x>nv?iW~qz#&TY)B}J4T}9yr4EuxG8Nlrj{eP{YKH8*1rfiLC z7?y*+BSY=&{|gB`J!+?{eyH@gxGL?*jhx1RGmWG3XZ{REDMYajJWN+fbx*|=zU}FV zL=?plpc%>YqI|8^PDTDFGuII~Az|O-!$4`%N%IF>6kkF-6I_FfvgdjW4)br#iT8Ju zF3DrsbG?nzA_S-6nSa+9Typ4ZyMy|kk=Q+>W#~RKO7<kmzN!X}UITlK*B#h~Dn)!X zU{kBb*kv&Oxd;E%mI;g0w$TiSJD*?ek}KcqT5UJJ;Mx?oBGuY_odJThkoRqahG?tl zzeiXpD$R{AE0H~WtH8Bu&8<fCz_|=!2HEL^EIYd&8@)0d@_&*)84GgfKA7R%U-+Gb z=%yw_(WlUIf>@zXAt7nV<A>9Kyzxs6h;B<lRAUNlm>MJ`wS1qE`Hzj{PZXkMmhdX< zqxUXN))ojqONAIbBfL6FUf%+Z&8ru;qV<k$XKm5p54ZbQRp=HhWn3>T@i?r%`GBWC z<9E%8IRS=|K7aqr#WQIR|L?D-G$4BkyP`Gd3hCYk^k`^lo7ri3-STD8*fpt+d`fiD z$O}AkG*xfNKw@qYoETkrb&myoon3slY$jCiRG7EyQYSE}uIgj+Pb$St;?^6-P>pnX z<C8Zb6iG$@b|^3Q>PI4b!!(h)=*kFxQiTc7J$orRJbyxvTjSr(fDRCZJ0I2Nl(iY0 zmVdC0-XLlgqV7`ktc;<86iXNIve`ge5$YPn|NLXf)0wrg#QqT?xCq5T(K{~;(V3AQ z^B^V>ONvkol#cTcAsREXB>gW(M4Z;yjhSyJ`X}XeRgKFw2iwH8LR9W<;8H!2DPnT! zYHh3H!GD?9oTbQRYH%5!O^9dxTRE-n58=|7gAcCnF&@14`}Iv*BDudgk>c3$O@ngE z_y2~kYvW1Ah@ujK-!_kglvR!A)bS*Pew~q2%oeZEyt-)%w)_=6d|f`fxH1`&2Nn`h zGYv}nh_c6%9HbqsKR)!%D&M~eUkB!@h$3o&4u5?zW(zDduW8zH<Og?^FMZ$m?*6Er z;79m(ow5PRSJM`Jks}sD_&<Se>JK{XDn55*l#iPpGgzcl!G-X3xO~ZhQb*HgGb5uH zKgLX)n)_c=y$lXq50h{ya{Bio-}4#D_g^!!grHT!8Xs$5*qkVDS8g@S_SCe}k?x_; zq<=JZ61!G+m__L%+dcm;It6UiBrWQ4>8@)+C@s^|H|6_KxGM`_sHZZ`CSDVQt-rw( zdwtPCEB+&~os8-A`|v6*74v{gs9m?4B8DeT(cM7@OPhG2F}>bjbU0HmP>>MnsVU3q z8%!?{=Ad9#R0AyWn$Fxc1jzap$od_~x_|Np0|Hh@%h?ravsaT4fV+vOaJQn)I-yC> z`#zpP?7`T)$hzNe7+7u^LWr5mfFn<w%j+WImr}n<%vtq%?frZRq1%y%uQMTvY*R6z z>ZYglyR5rn^M;~4=K=|9oN2ncXMPqO9pL5jsQ5!mGM;oLB{_=CKQe8nGk2n+E`K&l zq5=-XTgJ?Rw-IXrABy+n4acV0wOrxsTBPRE4jQ@SM^to$mN+VH1uRvJc$~~7xsR~? z<(sc!@=sp{yY@i#YD5MPATX|u21!=z1CNHr1HZP9lWJ@zp`V)-ZUF_W;!5Gx@K5de z2S&~!Pu)ojZ`qi5{*o7U`rF5<{D0<3)70I5Z*T78<zE_)`o6_IPK@Jx%XUOPy@Era z8wnr=wMTt?@sAUO)gULC$XzkKw&&jqu&}><=0xy>Y9JhpK+_XIoXM~SFt$w-lEwX( z{Fdl+p%l>Re+R*cp_6ZUp$l*8pkLprsf@n;p+dMOmAUywS<m~6c(H;VmwzX^o%Yoi ziyQKGkZ=M^w49wEup7!o=^p-mNWEp9;P)k}Pu{M3Th4HwmR)=@g~&XZz($T+EiBvh z@Z~lM%#g1yYcp%QmjKkcxJ>jXx`%(b@UyPcmvIVqU#Kxj1C#0AUnHYRY$kdq$@>DD zdjm4mgFbRYJbY;*Sac;Zcz-a)H2W-;A148JWfxzjBK8$t(BfC@rBxmj2UaxgUiVF% zxE9C$WY0l6o0C-tCspE$Il5(LvLI&l<m8Q4AUV9tQ-Je9sPV~rFF3hib)|au))GnD zcG3(gKq*Qy$Qt`bEE!sVL9Sh8tXU2l2I*cfA@TdVNc>=&JHBis(SPIX+^Eq+csdv4 zMCjZ390J{GY;~OQA~eqsySefP=I{Rn)GB9(wq~y;c+izwqS&elTP7ALV9G%^g)pE- z;$x^F(?70Zk*jZDkbp0s;8AhTpKoA?{*OGEcz}8~rA=-cp~<p)!B5-=+_Q>yXbO;| z0f=S=qU(WZA`rd)27lJz)dna*-ut6+=65R!xh()S!Af{Q@Kbja$uVgzBJi8~{F8`J z4fnbyB!3fJn;K5&y!-n4Nk5(O%U~g2wrJBoCU=iZcS*{_YNmgsT&CRRI+Ami6Tee} zrnrM<XVn@SM=dH=EGeKptV2aSsbk<8&G~l=z9Li!m4@7`g?~g5Dw@jwxJE`w_Y5le zEvcWe5iQ`sV?3u*+!U#|gQC{+X2v*bOMbhDh~@ll7&LOt*y^dO-2>Y0EV|5JMsD9y zCOb3Ay({Y_b<Q}b@A%}^f7Ct;rAGR6P(hmCAbq%|SGe(nZwT}N0c4g8tK`L6sY9Bx zkv_E3D;9WyAAbV90SFJdr-F<4-iaO&!nwsivS1I5xqZ&LWu*Gz6%Ocj<6)tEM{=EX z3(H6mhbBL-J7M5}e3JUlEtAumO}bG)>%H02q<7aVV~{9IJ}b=MSx@|8@GJIB!Bko& z=F*kNu*sk7S*Yyy_wC`kJ3l}}F>QO&QAsKAydslVq<`!OWJ~Kpfn%Uzzn`5bHums1 zB6ZAFN1us*DfeTIHzt+rtyARO|0pS0#)jKXNl#$!Z@!<tiOFT?pC`f5RWH+JZGAj< z9dDdabho@iRVgDgEBrNt(I;3WkKY972gnl8s(e^s4G263iT`^Vp^YaPA<#vH|K0*P zB|T0YxPSYWEkg)6y0mx#9)Z5jle~Oe{ZSt|{_#$Ip@k<5lEwFzeC5q)#1e4w{{kKy zCjesiKSaXg#4-&?78q~~Oe415|AVbdH*`S5bE>sB<dSQ&H~3S4%fg!)0-OF+VSSbx zk~C8%192@<aX>TYRulB}rw~qHBxyAQW`Wz0gnz92b%84ArypYLpyduok^;;bNwWBz z@Wdi1R{Tbwb>D~;vx<SsT$H>-1Lw=L*Xw&i)7Y_?nu{VC)1na(Nx=;X1}B?io!LGe z++w-B`th}dD^0{l_gwK&CH+Ar9Nae{gkpfe`GZ#@N9s`@_fN7`bDx-Ux?bh2HW?6D zDu2MW58c|H>@7198CKlFYF1^II4huCD&~9He*%bO5!*tYJR!y>)=Qq45}L3ACDmg$ z@=?ULzzAc9?!>Hx?b;bBG<vfwp&{%MrLi8B{>PSB8+m1NX?RRO<NCT>NpmLbYO$7p zzq*bM+2e!2x4uE9t>^MJzq8K}gT6z0Kz~$>OM7UTQ^CK<n7R*-Kfyr0U7&7&r<-7; zB<U75-si=<&T<3{R3gA#!2rqXx`owSkAU@hb%7id?9nwj)r2X4Q9*E&`wbwXo*|`; zaT;+C{36&DPbk#Cv-e8BCu1K#ya2o@K!Wc;f}cQwfG*Ioygk|*SW0eq5`jkHHGj<1 z7RwEUKIWRJwc6cdPk#=n+4rHpFX~yOv7|!`k0|4(XAIR1eB*VUeAz|*guI;sGo3u- zB9(Ag{FM2`NRtZdD5YE!hLH`i!asY&>plnMymBj2GHq(!eYo_1SP+R7NV@0oS;?p= zX7}b&FR?q)Qo+8=FQ<BZMt|z12!HraeFTH4#oR3hk<|zk#HUM+Rlz=oHb;E;RR5u~ zGC01rl3}#EnxPk-r*lLrIV_3DQFm#Ad)7+~`$+4>k__ubaT3FgIn{%y`k9UbU?jed z0Rr#6g$?Pxg%#e2fOP=8nF72C0lZ-V-iQDz_W{^v05)_BTfP+mn*iKy3V*7Ci;`{m zJ;l2s#%yXz$*=!cQ+nQ6L*Rnj4uQ@bY3m7^FVIQhkZGG<ud|;I6SMSgr|H#P%X*=T zA8a8?6o!dN4hIqKNjBJCXJ5(DQ=&nS`b(10XuNRk-7H53JOPvsna1{dX##7PFu0b| zz*^aJ#QU#FUq33z-g=^4gMVevuA?s+tTfr65}^1MznUV%NKenlyqb+GE;$75O@(Z} zJ8|IGB{?gvS~)BfvNdLv%k+QO&Xc({bm7(X8dN9-W=c1Mpf0VY%g3GN7(k|RNT2^r zuRO*Ro*>ZS1W<G`jE)b>rtyDoK^UeHOnAZ)!oiwAT1|!@ntBK^;eTo2PMr9`VMG>< z%N=3v0i@=t!n^odPG)CY$zdBrjxs?mIN94Dyqu%gJ1vqeBo=q{L%0)E+ol5v%th`- zF@PJ)<h@ga>ltuZwxymmkfy>;GvS$jh=)(ze<oE2SK5TeKt!~yz+`e`01JFu1`IvR zBwZI$uAsmQucGxs8h?B~F@z)D`rKca%IIAQk^IRp(E@HWX>Q)XdfeRdM^Qf$b{j>D zLeN=gLqeW4C+_3)eAV<Rw$Eou(79p7Aya8}G6veXBbQ+oeg9CS=B)j!A}iRjH5e(} zOyd-izFPi`NLzUIH&}R&fgDAG=EeKo9LkS#30N`)Lv33JgMU=WZqDEJyVr;!MJN#E zZ>wjO0u;|NkFSno3lbFL&nOC4e6Z^&Vp1uBDmLHFudDiy8$(0%D;nY5kkQ4F>o&Y> zn)CLv{_UarDxZCn!9SS+_017KlOiS*qSz?6LrUk&XZlEVL;-R!35xZ%?J)G<qHHVf z+)A(?z5=U&Eq`_bH)Ny`b5~#93|wuDL|yhltd_pv7}!A*ib^i+?2hxlAHHaJ*Os}B zKJfp*KbE&&r1j}wi+5vQeWDdx9zYM@wuvQ06M~LL8G|Cq161JK*YFGVi1v;Cph@(B z$D<8pt+7dO{DLZ?-H{Na0`hx}E)SrFIcf^{u=8wOyninbP=s$o@Cy})cFX=CSs;Xa zWB$0MJfO{dJL1EEe`@T%jCzCHIvsh18E5QE62KF)PoPso$tE!Nj|-X5<$wX-O8STw z(3ntEpDoeB#ia2U8wpqtWuBety?@-p#$2~Bz1#Wmm0u<w+g6wMjAuo=f@_kO{p(N% z3Pn3u(tjN%%C`P)wAcHqi$kkjU5%CajBUS57^vVPCLWSPqk;W?y#V$T00ew>S#Gwg z^Ts$6-E@CHRl3OAJQT(JYl&zi_j`nWhf2jmn#FrOQr8!X^LGk%gGnkeG^z)R_yt8o zduQsw0{6y6LiteuenF)_=!$paBCh<11HO&IFMm)Y+UW^FoGAxT&<5)11ncU%gI=$% zK@KfI`5-wO@V?}3C(K_l^0nknVVGUJaqZ>Bni`nY$;!4j@o-C^HmK@n`2xJxYwhQn zT6E?}0D6A-Q2{Dx&<~WgbB5^UG+z~5bY{ugK(dtWmFJ4?1$wzr>m9qhIS+<}=A<V# zBY(PU``@U<uhz}JfWjaiOsUDyMx`0O4RO7`3QWS&OHW&TTI_O4tzI)2x7vMzTWblr zT4|9WOvT4_q7VK3SycR)NMT>D7xb4a{ZLApy}r@E(zT{0)$&Jv^p0qVzH~dyG6YM5 zV>oCPhx+mdNPwfQxy;UG<}%pzz@gJo&3_uy-<jXOeRUe}T}AHJdHM6Tdu7Ayb-zW8 z`mCRBk1jkaThK9WPbd#kgdb=k#yQc?w*iy3X?t9GkUae0Hvac>#CYp}uMM<4d4m_! z4%<>UPmEbqaA;*QE{BDuy=__XfmF<UL6WO}h(R|(W)fPWitDUXr}eKg)$LJz|9`KA znk{x^1y<F+M@7vK9x@5PET?IZUbrrm`STs-(EscCX_MW36yeQTvz>~nmH24BsQC|Z zCOx+%8VIE8`d;43)BH*Qujw~hy^<hFG^+~wfoXwuJPCW*#FHTbV{*vqib%p$3o&r0 z)P$_G`h$N+pgt{5Cs><l>)V$1*MAmJOZ9B}qD56<*j=XhicXqV-|K0*+PIIVP7)<) zgiPudyTQmbT`k-%={~Zt=nMVs7CRD^RrOk%=#=l1>?BeCjI=6|)psa5pRZ6FAtu?9 z5DZNwx`lZCKc(<=b*0wF-uI<hbEelVw|NLStHR1jpT%-|DCecAsI$JKP=BYRK4WWj zLA7pko4oaAmuSBS`SxmI%|_T3hdcS|9mX_}pgi+gtV5Ia?#0oalo(tkwY8)2zbr4x z;<WF^qANrTKGmev6{vlxXz>1OItwsKv8o<F6rFlW9s6Y-7$!=qzY6$js@QB-mTO%- z9t3z$Ovw7TGz~M~uUk@{s(+Se0K@UfOxrwUJ%&cm@GY`lhIWsGQ7i~ZCIW;!0786$ zkSqZA<GQ8$uXhy?|F5R3%{J(YQ2qu5uuIu|A$QAlcfV4^ibGIy#!XH`8{rVGz?47s z<j5{f4AaeRvpjHH60w+Z`u8n&oEVCZbUQRvr-pa_@98p%Z_!oC_kZ9zV}WLUu4OCT z-sY%yUm4~#J*N0QWu|!FD4O18cwoi(m?8lxD^QhknAEQ(D_E6&m_*Q#<*!OTq#!<R zV9a#RAoy`V#HZ~hyH7M}8M;>@CLb|%YGztzrh@CSh{|o!Kf$xtEptoS^g8^hY0>M_ zCM5tTd%z7I0gWD@3V$v-^&82AJWypq`X$mdC`?|rwEp*9g{aS0Q>IqCGF<>t1VAJK zcQZ{x%R8hW-}G-mGcB^Ee^bP3i5+hIU}O)?`?U)y%iJq^B&P3V&UtGz@gGZe=DP7M z$*9)o(9nHh=HK#?KlTuxnEDOH1e2ubbxb+jphvLfy_VgFdw<nV<egQiWdzR5Wx7ct zDk!G>$md_>8Dr@v`eanzhlp31#q$@4<TaPuP~BVW{6&PZw5dcx6s}|?;C<?^CpuYu zY2eJpcjW<`@NF=nH`q0vz2xr@(t!e0MIjOCv#AU8Q8Rs=|JLSTt%O!QcQ)fywxTVL z<}h+re(Ej><A3#;<3ymtQel_skU%VQmu`B+8czsDppOWkPA=?uI&xPLPoVz47X@K_ z*fs!#MoPN#E)4Cb^n2R^ar%%G*69^71X=|RyHtam@Ju7xv{srp`$5JU+<hp{muUI9 zYVAf=$hvnosNG|#aD*1S-)ir4O?FT+j@2n^oVHev5`P6R_U;xQYq3vXpA*-`vO2j2 zbPKb4WEPFl*1l@CPoJLWKW#;%XU&Tb#F<7&lk?9@1LM*>GaH|sv;>wBZem;QBc|sb zu9?-1$QXY_hz!+@jI7>63ls-9bTqwGhxm%2H^mEBeTV$A-A-N3wu^cDbFyEa85Gy` zGfFkt$A4zHaI|S?WD*iiwxSxsoT8|Po-|f`a?`Osp^*wn>y++}U7Z*(jh9*b9{_Yv zxA3K3h^=#S`K}ba7~AM{Y`HTrH&Dl2(TsBke7LFDHK*UzzuK7dOb2DVCt|Oh-oq{I zqNc9$V5V1MQ;39&DZ~)d?1~zJ9sRDkpro8yS$`F|OB4GCP;;Hd=6~+HKFKl!YWgS` z=v6m}q1hfCGha>M^(c3L<}rmZl8t)oBa4*GVudhoMZuttupwHIllRD7iD^UxtEwUf zu&-<ESL#8SM-o2SL?R_SSXIR$S)YN50M8^XHhwt@*6ZI5asU!b0Er(0Ni$g?Co6u_ zD}SLi1ga<4O}_i1LYZm?*}~;QGtZXfh?)^N7iP8xiTRZ12u`;%i6!%tW3z$OC%q*Y zg}1xj>zq>eRAT(t_9N<|)wx@gN!wwDGY*<jQwUMnD0_V}&FYWJ-N2<N;#vf&0PeoW zhw~UO6j`e4AkBbg@;TH||Ek5lY<#YI{C~5@)XV#_$ogc~28-{smLfl+Dki+U4c%Jp zi|XgZN3%VqoM~m_Yg<_FidV7r!lQH=S>_okbeyu1qAWdR2l0%w=yPe4y(W8f5pZK& zz>VFNMdClPLg0h6SYd#zbgyoZZi_wI6M#4Z5Lux4cucKW0?<GJ`VN4O(qhY3qJLnf z{?R5KjtQEC!^D3$K~S}c*TNfR7ycQ``<^=wp*tVXat(xVNW267Ux_(^=`o2p%U-W- z;A3OCWvl(*mhG)1wO4WPBdXK{12DdYF=?$g!NuNKi)L&cIHt!SQ}CY6y`s749ODGF zx1c07iK6#+Y6z9mQI@Ut=-hd&XMYrz8Fz9N?C_&N6L|a;SjU2WPD^Q?pHg39u?^F% z5#bw#cv!`!dptzYZ}<_Drsrv~$R&-xHvZN4YwK4iJ7gN8f8`%Yh*|V__3_woVoH8G zPDS^0vz;*h0`2w=GOhW$+~D7sMFj*}o;zjP2RN$aShd6f_87Jd@I84^Pk&w?s}A58 zpQrSDBx)gK&3N&a*CfRQcpX0iR^gQXaDTD`s6mt4_k=s;0I$KlA<y&dgP*I?5AgCJ zW6Zg^_`PPaU|t{F2CbEj$Gko`m3#0J)|hg$sQ594ll`7;#nqL<i3#Z?b<_6SV*bm_ z`M6Y69(cJ;t2Zzveh<%D@_(2q8D-<WtmbCxmZOEEpKogH>`6Gg(w&!krqrLn@Gbnm zK@Tuc{cjN8`&*J_gp=P>`sgM`HZwR!I*N*8{zPVEmv6S?XRr3M7rj2?Q9!qqfj}5? z>mYT0*wn^zi*{@(j~s;p?R{yZcRLH+OXDN^t==+}4x<Im9s2jAhkxWz458YS-xG3_ zO6VF9@RT@mBm5W<^prWuC#<SGTX5QXj^4pNTkzO&9;^J~N=_QwF7N{uA%!Ry1V%S& zZbfP1J~aDP?&|v*%+TsW_0#8)R*&R~t%Dax**lk+yB>S3KCWgzTXs42&R)4jFMry# z*=u!mWm<W^3*9pjn}3m!U+ERtIU!OHj+MG(kh6E6?DdGw#58{n=~o&bNbr@Wn!5_i zIlgGrKbb%+yqeA&y2E@FD0I<?Y&r}JJw1|Vt=#*?&>2R|%I<vU{PL98GA`Q_B$evl zraj?SV2;i*DYp7_;K8>suQAb@TYkiYUq}+r*#_oMjOU>Eg@0T`duxACMyq|h&|LKb z#>0GDP_{fk7M4T}D3Ig>Q}D*T-fzS3@&Fo`?HQmj9&OC4O|+(z2T;McgYXM}h;}zZ z&}8yKrGF=Aqn&>uSiq(IqLBOaI>X*tYL)H=`BJax({4%EH%!%SCgTonEAx|Vue*Yn zT|~R5p#^_g2!H%E!=yvhDpqIoRGdufD)!`t75|SQm6D$GtOH2)*yon)5D_-p6QQNo zL|YceXO~_4k<=Pz5%Gr-%oHBUneBcT&(zUST@BVgs)7W{+tJ?LFD?#2c6Aa;;xpo8 z?C#9HpnnWHxmz!Cb?P2WyTkCaRTaDFZS<FLuEXnDTYn-fEQ{uRFQtdW^H2HU+n$K_ zMfAbt<B2mK__hI}T?Lptoj4PMZ*wBr!%`0})hB|n<wtbzZEO5OFrxhk&>6`G&{zLG zNpf&^!*oJ0n}@gI+x2F33j_PgRb!L8uRBr>u8*wO_x?dl59HjN8`u<cXbSt&vwe0? zTL@s7dw-)sZ^AONyz%pH@NPZ1-?U6<B<*llI?7G+SBR@Rd7ZQ-f}dp}N)=wfEc9Qd z@R`Mh1pS(fU(@4=Ut{E$LPSt|sufZnuJ1f@R|`zm84DDLfsekVqNHz-f|Gwr1tm9F zb7ThGAkz=JBIq}}ww@3THr~FSQWAeFP3$$241f0eC0kDo4mA!021V4%6B}P+Y)hz@ z$F_k!8oUyFh3%ABZO_Qr%{@sCu0vAB*7wC03vzb?O2TlVr)uRvPrrYr)?%l>*{*p; z2loTxe-9(Zt@}T>ralkgDcjOFoewW>0Z)4|NNyG*riKXB!71~HpfV55-nqQ^W@hHS zR)6VGr(_9PUfnIN^trdf%_49gR>^}2#9&2#W^{@~V`1)_(mK`e+>$FC6mxpvz-sCV zxgIJ9j^A%3==Z*4MhDvwc$CD$Q#hu&`RS1bLRl|l={E~osc(#RQ?YKWy{$Po`ttk6 zYr9Y?<?8My91m9>(%*ReoL;Z7iu%Z<R)4@ilAdCe$8V(_7#rB1L4D+?vis$WMsT%m z`WO}Kg8uSh-R{%ctfjZ(2m5x7A{o1Ed#&oq)r19rdXBiE@Lr|rHFqM-V0gDu^`H%h zxsyM=uv8<p#w@TQhsw_L@BDl@;U-0|SP)o?KiJEr{s{NQ1sZ0{EM`%E8a>CVo_|>H zeV52CDC0MfrGI3cXV39c!`Iu7d^AWcfpN}G;3FRm6=%q3VqubGxYM3qV8hAd!UNwd zdRxH}nIvkXJZBEBLZwBekNtZp0Z9#)VM`qD;TdxU?#I7^>r@?;A3m_6zd;NV7w<7; zP3AUvWa+NA^YN~L);B{-_tm!*kbk2urk1Y(U7%b&Zp!#p5g1mu%DvkEVmb>9-2p2L z$J9$0J+eNXy1`<W%F<oaSd$5uwo^-VLZ)9b(3^aqn|^7`5|ztu^Xl-6DQmD@S%Oma zcoYyu1>ib>un{WDijB7w6FY4n%L^OiLG*_Ty42W*jCJEGygc;d0~f7cVSnVs2x`H_ zdmM%5Wq<x5<08(CN=-nP?D|3nH_5o7tN#hAY}6=rY6YuE{(urybk2SnTCy9g`{z6l zCAsH54_!qFXZ`C#ulFj88r1TGiXnIPZA=H{ZH)fG7q!XMm`W}NYZ$0S=j5$?q^@a; z(Md<0C%3Bg6H^5Ol~8U)h=19sXCc14#4*rdM%I{la{O?X)RCw2N|Ltb7RS_YYGOd) z)eRPqvCAiYfEnjNyRvP7o$VmIvN3=mW&jclG(CFc0SaiU^nl!;2IK}H8`j=d3<DH1 z0dN`sst&+j0PYxY;jT#ddG4|7FH1yn=e?_adi|5#<MR`~s8ihurhh9?7ALM*Q&AV` z=l|W5df*`k8(a4t-^+L|ESv7CLgJvGQr5=bl9MsSy_K{0VsAxQU}9a8NuH2WY<g@X zK@FU8pbv`6y%&^pcr#(i8W9`KZ%rw34FFHCY3rCpmrfWMR&W+dH{epgKht@8_G!!D z*}FMa?N_pV6;!6T(|^K_9>Nd$5aWvdpOb>0Rt~!z3$M3jOs%lJ#OZ=gx0Nsg*uv<| zulwR^zmZy>V0j>{l|H2T9nyzydPNXV7)PMp`azSauu2|mjygmhi}V3xM-ZMsi$KQ_ zKpDxfP%TJc)XNLu=@mUZ!4ZMhC4iVxV4}QOtH%&|9MT6oy?>&FComw;zN+q|?MRr@ zVHe2VW~y+W2K(x@Jz8H3Ng_wWTH33%lbC>FI1;82XpbheR}&a*GHory1|@TuoU#^Z zY6pKt!kzZJgrx%Q)1hLqmjUc5o>>g}9Ot6r1}=B5Tr1>Pc?G8}Q5Vq2!3!m~1j!O} zf*fm*eU6P-?|+HBo3aF0x1xSHC!UYN{lmpxrg0SlC(xd$IBe;b)_euq)0L$d&*T_^ zgkY3!A+JU)jt1KwyHRA0JSuWt=y#`Lig&woM!vTNezlMqYWJ7?A#F1llTm&!F_%;~ z@zU35(xPmr)XA*^QsQ5+X*Yb$e4{}=#jT=!)kJEjvwyMNZz`v!VZz4O0=v3jYx+P6 zobH-;F+_A*?ZIP~2V=-r%2?#NZ8ZTTRzoNjYanzYl$+SC=%awcF5LrKEReH}MD9|> z-rPoWo6y!1%x$I+NHL^jBEZ-r4K{x5TMMQK=(p8R_zt>23;?gU00ukzL7r&X0vEPT z7n0Q<w|~U86bS<zaz{;qvGGglNYBR*=CL@g<iWV(ds~sPD1di^eh?=b2Ic-gneqm? z3!PqRpL9gie*<w|clXIO>8ZB6mw<)6NVeIM>#|I9pz$<L<ZH8*FaFa<l!%ZOioWGp zgfG>1YK}9d%o7dbEmEF%6yobRCQhe9%%y&SYJXo#+@Z2DcepNAUem@jah%RHk=aM% zHb{w-S>5eoDGRhuc-~&!D+oXw#q?@Ynf%R1<C@Z~<!jnR{My97y)bb~`1jILwIwVo zJW9iq9I=af8Dt-!{;AEE^v^(Xj@IeqZr9B?z!can-W6i=&^}ZwzWR-i(h1bBy}J7y zaet?%y@jdRTuc6K-Q=Gx78)UN%HfR7LxeZEkYqDLgB1oSO5g7S=>lXF0TfEwOs%j2 zY{SKnyD%{%K1UWf>~8U@Y}hHFObC{Uarh_NF}VKhgF(NVEu?`{#R1Jk?%6K-8MC+~ z85JF!cdY#QMqu)t-DE%gC&M9IV62iJB!93+Vfhj25bn$taYSlTcFeU+K2)wV$>GH+ z=|BSGk-M)E{o9=GzayVC9B@BrC@5`+VY)FLnQ9!I{Z4UA&Q;a56vMP$OU2iaF74D3 zl=8*G5RY6{$GZYzqj}fwWJfW`dxs_1J~_9odQfA*OnxVr!l^`kESNjfp1S$1Q-68Y z2Y<E6+!?^DJV#p`eb>l&H`?J@d5OHJ<Zbz_U_61d9~8zLex7w_mr1hUmhi|k<%tbX zc$@x>69MKGPXy97nSj!cI>O**&rdldn@0$LI8wYrbxn_$r)}{3h;Gk*9nWNphWe6D zp~)e!GdQLE6wD$eP?w~6ngfn&FMr=eAJQNQo#^>cP5)r@=HZ5mN3-ZxV}=Wi-af13 zs3$?qGc_i(B<IQHdL$x8MW7_(P#u8-j@ytD)1<{wgjtZiu55XIztZBkML~pFpuIfK zVgnnfUtS-T=}fTLi2w80O$7M<VKaMO?W4D35A4loU~eWpvdn$r`<0rQG=HRQk*(+? zpdxuBhuOxCHAu@I6rX%*-DU7y%veo3s>tE4vX5kX@Y-6wA&>)K56O0(O|`%Jn4>+j z251NRiQpIh7VYBmgV1jJA(X9qnBA6pu>EXSNT#gpccrFh^6BT6su-!;VjtMwb5<NJ zZXS}(<*els_c@;`GA{;u6Muv9m`bYLPg%CIc<Aa{|I{BS4DAJDjBkk@b<I?b4)>T% zjCkY)m*-f#W~Mwi*K1|56^zENaS4^&wb4=JGpi^EQ#LT1#F+g&Q;xJlcT;`Fg{^O6 z$4(Y-qZwH^v+?U%40Gh<sKr4gM!N_VhZ%@yB(>B}#LcI1*yJR0{(t;NIJvaR`Lw<E zP5Z3FCg;oc^0%y98JnCjI85=eEx%^wnu(<w_RqbU=PgfLHF1_Qpo2wSd6H6<rF`z6 z7c;pndaZ^y_;z?;C|(xf7#PX^?&`4e@leK;yk#pKeox7B&B@Vq=UlYQx1`Dv+PU$^ zz@KH1cyIe8_nytR@_&B0Q!QSaz8f$s%Eh>o!@s4{r~ke8Rf|B)qw3Ejn$kP|VLq~~ z?%bLSR?ghg>J{P7lt|j@l9;iU({H5#YoUgLnKp~ZnoGbEBSkc)cs??zZOZz1DsDcK z-DXpCbN@xa(j8bnlWV{d!;H0yUMo$s)>-!VtuA{D(_!Pf_J7B%G}M6a4O1)4S^Jdr z)Y`YLE*mj_ro-1Yt<|$Y7VCw{y4GajnNZ0H=U|Jw1%=!i*N1o$nnqRI=Sm~CElRbI z^Bifq=!Gr+xzv@{IZpH`{DU)r)B{Ot#zj#c=o+Pbqq@-h1`+$s2CZ~q5nH0?8m%;q zY3{px%zk8|aDR@zJlZRW9N*=Nk-Ay(OkXU=LO$0^kX+Zr95U5@^T_^)JBz-RNgFlQ z-lW;e6ySRFi;iMbxDVJP0XqS(zuyHWr0Ds$o1FVU4Q6WIlU3It1?+Lfbq+gBw2h0d z8Qf9y-765<aTOP5?qYgHh9g(!U)LylN`<*Gnsux@nScI|^1fH9X3{It)}W@W-!FG> zJ+<QWXZm$g-TKdB^nB#s&`nX^eft2e6#7=07yzR6i>5Thjfv~Nx6X`+){~qZx!5U_ z%f-1y{ang+Sh%5DLxzg3eA1@q3hkC?e7b~im|9LUj<-|JQCKGZuP%v#KexLud)mR9 z{7p_T$bauh91pH%zzVIjW*pSGvcd6By?LLD3Zs6heZ?@CUscxiB|NPG#c(%*7~<Y{ zvCq98-IV9tC?%}ybCs^(D{&#SAEAZaN)rd^hmuh9PzladRA`w;;RqJGX`Z(C_5E#g zKM;yYdd<JoUAp=dT39(}>aKky8={pZAELDYFMn@IgBGE*z67GQMo!8V(xF8mT1b6P zqYsPGJ@6jQ)<gu&H<TR4dWt$u$R&aA<dP3%-fION%=h5DJ-noPkM`J0a&E1g;8jHr z?pB6w7EhtHK24*hl!>b}rA9*2jE`2mUwoF{+Ee7d?m8I}V0C*`bl?vYC0nV2^nNYi zRe$XFME%%|&HuIki3sfFy@i7~zh}6J*0u4Pu#yCklg#x4IlKN~%~B(m8rLJD;hop` z{pohpPG)TtbO%~fe$hJyJ{JfzOJuyPl?+>i*dKRPbpJuk{`|&AgYqsd7!a&Ff6Gz% zog;9CymqTLC{ueaO^q=2m0w;XsGrk&Kz~^zZ%!dp410$q5=nXgG-~+CvU1ffpKq;9 z_85Dzd7#bZjF#JKPP2AN$i$r0-jdYFP?|%waU(QDw62sM@_d|n;PYxY>bV(*<Cfc) zf(3z^3bz{mZM-nhu9Ut3t{zy}90pr%Z=hGCqeY2d1%y<8Wg#xDN>vhZHz)V<-+ye7 z9#)aND>d24Qmb4|(qQSMo$ED)KP6GZKdh4dd1WX#F?Zi5_m+sWe3A<9QoPHpPHMjP zXR1FCY_%c2A(#_G(cR@kGWOW|7$?ar{OpRLV+H~7%v^562=VG=tHCMa<2@gB`=xSK zdTCezMSmS9FUTv|P`F+h>Ep2ufq%<q{9zROb<+UPo%=_PyxGN&R(mVSY*<hU^WxXd z*qCks5M4p$)M#|()K{P_L}$t+zW8Mx8{@zaN-WNli+%A+OK=+k?de%yWUw^UL+VS^ zR2&j`R3>LlVk<)4$1A(K)a}`KxKmUSo9ZbwX!?|zTA;-Ntqf>bptS;xT7SJ4%E)|X zgxJ(fsZmkyy~fB)ZG>P`OYs(0@8x1-jy`=VxESkl?S)EB#>f2VDcI&Ib;@W4Wt_w1 z_uzvd)tC8fo>q)X3v&9s)#oX%KcDmJ4sKU|I3^c8Tq~Jk`rwio*=sKvn<+0~>Qpq9 zk-+WXANvMM{<b>iHp&(#-+wz+qk!xE9c&WjE3hT}(e+3*`!>_}SMt%n2B;a&9vD+Z z^P8lw+>^qwirdC>S=!#t3g3nz8S|YC_p{b$0vm0;tafm0&qA_RuPC$y&L0PVmGnKV zI8doAztRrh2+Mvr@-1V7(H2^C?8C#`F;{Tx17voO6$`NC>d5?MEq^%b02dF6&-lfD z^@?eLQBx-NA*NK+&G3#uX&W)*H%Nz9rgx0qRHUT8I+nZpWwxT3q4aUy$FB;X^ZV*H zJqsMKs4WgBjSy2h^{r0=o8{-VM}KO@in#GNAfMUThi=?ZkAg|-PmDdB(sFQUO<Gi1 zSl&Dj$;y(gGJd$A6n}d|*U<w0AjcHckIDKc{)Ssof9$`r9U4uac3&?2njrrp`l-#R zse1SJ(qF`l2%2ZopA1Gnq%~A!K>7ITeiX<h<8(gO?fR_S3QMzu=#33&*2UWvIiBAZ zS<Y}}UNjguhLS0}1|gcdr#57F(j~3PHcrSBbLi<>dZiZ_SAQ1<8@MbXVv8<>V*e+b zCaZmx!AM;x<e7FT#?N9^u)Cl>`jb~Z+*H9!wtsSAp9@r1m|2b0@t{@@UzV0WG?bnK zZuW2Wa4JSxIwNU0wb7sA>ft<$w28n(WArDZdiWS4?J&Ss#K*7j*c)s7EIB1EUT;5s zRgb-q5yFM>RDb`U@yP_KJ^S_j9i3nI_XEj(`zV_S|BsDxk7x1?AAhB&go={ml5<X# z;}||AR6<C|*r((WIc<eyw)zMm<~(UE3XwxnSk~suwoDQVIc}|T+*a8#+wbZ7d;MO& zf4+abujjt*`+gqJec#u8U)S|`Av4y0n=|s=N_H(FP=DRPOnEB*%hQd{FHh4?r0PmO zx$F0s_5r@B<CqLrkeT*Ghqav4(<!KK@+rJ}>OjV>1A00apB7&@E4cgl!>#~3K*YZ+ z$@uGaqEo-(A60Zplmv>OeqU90&BS<^@v|yA9csTgE69i*hppK-Ci^SMpew`H<Q<bY zfKpXhOur&Giqd~ot*HK?p?5K3mt0<oQ}X%p;ls<k;aSrRNf<CHh?X*>B5dtWMQ2im zq#Vp#c@Hk^*pWjrI%hd<yVE5D^ptNty}aZ!{5YL!#Z!3mK5Xq?#mV#b6(<eti{^XK zr1C51o_q5EZRn3)uJ%X%*>4|iMh0;-YCxRwd%!gtH2i<~Dfdvb-o`%ps~gv!jA5Jh z4PoE7KZaZ;Tcm=5G#`qChaYdaRGe%*MnWpcC=WE8?4E7tyhc2cpFI4S$UiHQH2G+k zrDs$)19sH%c&JRF$|K(WF%O-&KMGRHo~mKzaBUCan%`$G5G%o;v&YNt{-S$SzKvDr z3$1@2ws(K7|E7Gy)LHV=Vz~2M7ftP6re3R}@zW`{w#UCNNh_{tUS(d0(p(m7-dFit z&&~N=fj*7B0bmC35#5t@5q<gr3f+@ILZ42DpnKA$(5F+Oz<BW&r>UFwcgfmcJ*6^n zGR$@O@Qy;*Q_ygSQ@2JXq3rmwv)PM{eKH^Z00@5ofbt1G0HB`$^b>%NN1v9!0e1jh z*MKJACrA(gb=BEyC!oIk%O8iMGnaon+GnX9b!w_OPU`ZtjSZ`gh30*~q8~2z9xorI zv<-hWy!~eIJw*8ZP}z~7gmYnLVP}UQ7n=8V1-qnQJr$855qTXIS7r4+ef}St42flZ z*_(fl+lKo}q?t3}>dG=lM|_POe)$Yz-_$vcL?KH=weJ=DI<aAERlveok4DMZS9JQL zPbb3xUIJJO?@Lw!cyG3CsocCTSt!IP%DihYofQ<Xy_w(AFT;!SG8;IgEc3w@Btw20 zwr1{_+<2<u<b$L4CEfLuAyr{}FR>0hxf_2_aaZ$@Ow~D#n+);FalZ(?=ip|?*nf{X zrtZ4f=vCNUJbEmlyDPLTCTI}%m1>EedK%Wablkn4vykd&WFO`Gil=$?qZe71o*-S@ ze2W%e`BvL#b?_Nk#cSE3vSj6-*VFfoI2mLdYSzDM_Ec}UL)rA}_pDd4AFGN@Gp~QT zX=dnxJfXGGn}%O+<by0P{P+Wl={~a9Y-xDgW6$?Z)Y(v^&h3KyZ=6fVZj+3BM9csF ze5NBG2wE(75o6Cf+O=6|AT4*XL2JsNSP=tb{pd>8OEs%HbmH`+!5Ko}#ZwOYx6LZl zGRh_b1OLeF%u+86vtB%td*w*)y<L9_GJRfV-yDY@>pCW@E67xNm?Z!^`(lQqm!9(Z zs<30}=PC>wlQk4%COpi-T!tU36h?)zZgUqX&d0CpmASj3Apb^h947)@xOV7#Zh0$Q z<~z@>H_eV_Ub(53u|KIW;_ODdg25mC@uJ_~6^{Qg7|;E!q+ltjH(vPrp@M&{r035= z=Z1q0Ib!D&Y@IIrJal$ANWl?1tYF&*C@#Z6c>AZ^`bzTA*aI0Vh3N+Se6$)wa#zpn z$S@K!Qym4NQNDZfk3%3<snyg&Wd|RqYgVquyt#7xzpDdhGSr{wop=DuLTVpVD?>wG zKlMT7p%d9x2ND)H=n;nwD%*cvdhc86{Oj$5ivIADY}|XZw|P~ol?t{8J%9Q-EL<^i z#P$M;$4}pXhJ)-Jv0w$;OCCRqftMoMGryo^hJ5($SMMWR^>06w%ToVNz^cz&_}O-L zcwsW<+rG34=enc!)sH_ve7grd`YqR~!g=D~p9#(x>!sE0`$HTbx$b`p0*}s@=qbEE z$~yn`<XC6GE_r#2kq>WDj&w)WViUI7ng*lawu0PqC;#!dD(9G9da)7YVYKpC`HS=G zjpL5#ZxysfkM)|e#?rDBl+b`LEj`jrP_S(|T5U-Ye;SGow9k;(B$yaw?|)d9E{P1j zOjX2Rnl3cpMIBRCz#V^iR2iLk+;ql3(R?w_+_f0_#hM#323q`v_mip%iVuk_4|`uw z(U~@uYu(IvUrBHne!R;u`T9iJOkGg<@az^>%Z++{*qhB8MmxIf{ao&?VaGmb2$Nqw z-8oa?%Q?`SVWNKtb+T!GdvC^qf<d-N9lz{qZ@hv*lxH2k=xTqjrGf#u>LvJm(|pA* zYnHj#Y2OnG#|OV@(Ps#->l3!8SV=iY`jCt4LJ{Tt8u(o7!d}M*(hA4jJnO6+J?71N z(@YhV?8=K{iYhw6fa3#PE8t&JDmuM0B*y^-aEZwkoz)65c2C0Ah<{B;`|Y7vkNG1C zTX)MVY8kJ|F;0Id-0p4OBOs(pf~c<j*knt!YWkrv^*08`3G*G>G9;w+WeqE=UKIDu zonxt0L?iT->mG-_0KBdztMmg#z4u-);JKW(1pSg7otLj2{<QUcBQ|UzOR3|gu=szx zSM0WDU)r{wPEJ#hQNLi;<~%%W?3hf^Q|{S4dvyvpb`F2?<D-2^hO$cuz5Pd*QNt#I zuPaVYybsHn6NIg)IVOYjlu@AlGW#!>-FF^74BX3aRF;XNuUfas3j?G~pC*LfJDrTx zQ&z2d135W7TYM|%JY&2;CQCAX+kMG&iTjfJ&+L@_mbok{Q0DyACE5}^wtkGT8Vx(W zW#3`%E&G3F>$e>F$Fug!z?N|9*T15v5oZo{>~P!r&v`w?f6kvd2wXwHb^oko^j^p0 zPO-Ieu_d;m)A-?~IH~Hwbta9Z_(0P6>1@m5B-%IO30GrokS3MVst4LTT}ARqu<gD3 zRYA(G%7x^BB>bE?9sBs<?-`S2m2Wz|)-2l(WOIKr<)@Y3bzHa56FavQt#6}+zI!qx z;roLp_2T0saE;t*`0F8X%>;Bs>3?fXlsi0OT6ZeRF<V@cG~WDF+UEPCdHV}ed<E;R z=JMfdPhXx_?8sZG_8d~^P|dZw6AangabjiXze@3vFCMgS^X>bx)o`I~OHpj}7J8cr z&>VlUg`Uu|rHHdcb7t9^sC49&IS7iuefvAOWnO}|SGYa@)(lmmPEEM%c(KI3^!HCv zB<^oP7Pz0?P}$mZmqe?se0zS&@L||tz`l0I6!Z6TPFho9?j%Il`6Om6kbO<i84IHS zk!7Kb6IRUB6w`$6t5px?9(IP!7A-FZyo!H#@#t!odd99qeVwHz#V-KM+m|6Br6;TU zbZ5-{zTX~;#m%JD((>WQvRR|&E{?q3_UzXmv*oeJ*IyaCHkt!#>J1<h1~GdYcER>e z*aag1Z2&NU1^~9=Wbc-Wlgs}Mv~McM$pqf2zOzXE^-d=9BVfD>yuV?!q`j9JUtfPq zS*tuO=YGY>QyG%!K-hJf+HjH!ggqOcq}8<3$#ex7a{0s`Ak2&(vkpSb_#RU(ek7YE z(Kh`4!PQfUj9qHaE?Ic0emfKUSZy>cqL$Kf5m&0Oe6u3#7_Q=^OYL`yG3$TrI!{KN zlFyJp=*hmSusVjF|3^B)@ZpdJV8(w}6l7Axi(xr`9tI>cUPipvZi`sE3w<c}-|*u` zK2<wua$_f^V#<O403JWrO#5=r-C$jTd}jEuwqvrv&Gg2j>v<x!zVfU0qPLZss*cHC zw1CRZQ(<P`&W*S#vdTX!JFqlDyd01(KJWEVzh-f`K&r%bFKcTE;xv)+dAondiZ0|) zMZZFZdcJ<t`HHq-+`JPgL**@?RJU=Ad$Z#8qRu~Q<5=`&)m*%6s~5GWx@|aR-YGOg z#kOXt-DB#|Ifp96-mDb`%T$l4Z_cq#?0d7e0zS@j>d@)fpkuvR8VZ(97p4xKjRkz$ zgJA`Oz6%A1TsT)$9aA|9@;ZMP%!&`~tU(!U`>C;VGIgf3O=Vg3C#ttU<`?bveAs)N z$xO_y=<(1vnR92&^wb_mHecH_wA(4!@>+SDTkNb?h6KSwm5-nAP&BiP_B7)IM<Nbb zE+C3F@6A!61vAZ|(pi$vuh$tr{o{Xj_+K^GC-m#P10B40yMa_mPq}}tdg9AJ!~ZHd zCRZxR9P~2tJw5!don!KAK)GP%dtfKBRbGg}$lnb*^DwmHBn>#Az5@r8^#6_TWzr@0 zDahn`n4LK{d{|f*FS8#ooQmpR8~=>>-y_X?&b~tsz6rb3GIllPkC?#Sv#(}U<KLrt z4kr|8Gzfn^4s5pisu+KDwBLeK-~}!c^p<YL$6Bd&5K~<hj<@U*gE*eOY5&{5Lo&Ar zu}GMB@a5L01Kuj4q&F(5D=RDelM6hz_HL7SwEsrs$v2hl?H>Cs9y^;0v^3yWtsB|# zFm=kh)$}^349i9gE`3fMs`G0OStjOdVG9i})rC3c`ZnchofUuSeGd|A&2&<zf_X?B zt)g>%U|f%qg~7FZG+%bh#1u)*!dUBTw*_j#Oo;aHAhAaEwZs*woS*odz*rQR?HfnL z|M#bzD-nK)S~IXpU#DUcmbTwg7yH0PgzZgT;(Uemg8aEaII&+W-<v<e3s+)keS`%1 z*DX=K_D83y7+im9smF?`xUU?{K@~Aytlw|1AJU74zFp;r_kB69QZ$umLQh=tMp}|} zR=X^jrTjdS1T5E-`*M{j(?Gj4?WWS)8_!(+2rDr4!klE>^S}N%@*@<3$4wks<zPZ) z#S^|-UuB|mupV*FcFNP)sO>X(a%qD_aw(%Usc!##xqE-DhO7OptO?Q>n0V06V7w=k znU~NG-4>mnxlj*3j&d~4FrhoHX%ml;^%Qr5GNF43@$F+F#1nRU?EYmHNyUz3CW#Mg zos7;inBQDk`+TIjTRc#>ww0(bt%2*aVVy|8K@YAL(dTU8NRm&(opq}Bng;QxouN;+ zGxG=knQ(u*oz8eiKTDUhOQ4VPL@N{W2%XiL!q6f2g9af(maDO{iRcf$#}moOYMw0n z1-n1%Kr_34lY$+6!JceV`z_SF^sX%0d{WdZ6SoI@RK!1|9w5Z@uWir1B06hP9RDFd zZ^IgWdsd43Y5tWLJf52d_fa(ncvqx-MzOh<!*YN7*yE<y+}(Rtu^G|hsyI?+_rbb< z?Q%TGW^m<`*yfVFVix!kh`4ETWw?0rgx_#+l?8gZSjXxtPy*i-Uiq{~;EyMTy(2$5 zg|<iC=Sp4iVqv=`bN$m=O<JWvxR!c3x65W<Gm+1PLHK9iFm}tQo37eLBKWW5`!fWu zj8=btEUimWFgM}mxd>}^6k~40ZGSTck0IFyx97}aHu_KhmJ<>8#zQ&%ETg=&>)%}x z)pYY=-Jun;J{&*60pWAui9jRE{s<;+Et;Fjk0&aL9HKJB1&?MaOvN>@04Z!0ss<WZ z_AN4<65dYjCE!$MW#CC95A?z4yu~>T^9_IhKd4l6QN#j<bvYpuDj!`)=$vF$bK(U0 zBnR~V)q;rGOs+hzj%uq#&w+LH2G;Svb!s68P26vH*r!K|wVR&<RR;l!tO@r+T{KR{ zQY$&JL<KtyT#qhmKfeH~YLc5dR|)qZoj3l^ou0yh6ZeV&jqhV}q-Q}aVL}RCPON{$ z?loXR5(@DuVncQpWdIy|aG4E`2?5(MbV9rRSaogn_#LgerVC?m9bqnhA4V^<12piR zvoRJkzg{=jvr4<pv4Ja3opZ~YDe{_YWft&V!8_0nZrT1cYK$ycpJA-qX~gW|-vaML zySm+n70S>0F!}souzHknf3FEOHpYJ#Y{Ag)?=qob)h#nUOa&TIN9{XYnH&5a0(EpC zIg^mTI@`yM#5<Ey5Om8}O@U;T9;{!68PP^Y9k4fmb^c<~+Zs^2#&b>PC%8MHE_T=m z8hg!@>nD7LlC;+*^tscjV`Kzc3|&G8lC{9!B{<YzO!>*bx!-8a4pTrX;c9=j)uML# z6g|2yqnCV}!YnKRbsS)^Yp&OoY%&iNtah_lZ`<%BDO_Rv!U?W1^zwAN3)Lp(EYikU zBf7hnRo_-j+M!q|?sa4iw!IL_8EZv%?O<hDRg$(==Zr6W<XYp;1!j)WFbif}nAOYQ z+kJ*Z%Zy0Q;0XRZmSNi+JotYG7O#J!8h`u-1+PIe0VgF;;0kt9-~c{~`DzZ4KXNb0 z$t{aVujyhNR`>WZ6|A1)RYUXh=a%4}cn7!t5VZ7IW3Y$S3iIAvLV^73c{mF1m#z3a z6dc75WLnMfa6xISj@bw7FanPwWJQ67o34FFE(%nQTb<j(4ZuUm$zFd0t+7smgV_c% zJshTr6&a<bo6|UVkL!&;L;g>mj*Hzd*za}}*3-n2w#r7S>*f#5sf?x0J_7Ium;|r^ zXa`6H*Z`2?dY}d;WKaQi4?vey{tLQ3DLd~x7B%a|MWZGrcBA?L#sC-q(*T_S!vIu( zE`SdJp8-YzdM9?1`T>8&0fqo(fVvKV_W%O`zUo+A+QOO^(y&Yu+lgQeCzL~Wo8&pq zYIEZgY9Oj6`Dt@3?gimn$ae8zxjr82WWrs@Ua_8Bhdp(ZvzurTs2$&lp_XzkxBt_F zq06t`Aj*lnjg=YLiBP9JlS|Wz;;V|e36)c7V*T|Via)^!Z&QC~uf&MuwtlSha5WJ= zno?;j(w+C?YC*ip9)u2ft=&W(Ez@!D_F{cc$bd+=7lqDqxP}mDS=I&(5d%URtLqGP zJFo-^_eopiiWKKh!sUc%?J?1{h@Z|VozkBV4J$$(haX(n%H2N&3(VM{(${2>;Awpf zww1oQHWZcfI6Z%`Rie@6Oyh=x`Jy*ZGf=m&W0FZ&yM)x9KGN7Frr9qHa!vRkfe-0y zV3s8<Vog$Di8?P*1L4FS&MbRQluh+QKwLw=GZSA<xi+p)j=eUn{8t<<hg}=j`6~?n ziW7f@)n8#(Zhw9Jbh%0BxNCXz_3=x8Rp?)F^{)u~E24k?ikpAM?edwh@jK;|$nn(j z#)$C;e??w7C~Ca;uPFN~p8gfJf4jW+D_Z^v(qGZ_R}8dO2H2!UY;UiU9p4#OpXL9_ z+zS1rVUQCMH<%539f1^P1S~AzmM1`#t;e?t^Rf=iDn{<vin$gf&39EnbVPsh-7b3H zr?)0$5qE!gruDdtuq^A=Y<%RN?UbM(&9=g<hqJAbhC3+1L56&UN@8c^jq{SNEltY8 zhgoy8?yT`OUG8Grlc{a-IsWrlmSs$$z;((EE!|o;(`U=P#CHJ#loX-yiZLavow~Zv zz?Evt6l$y(w)V{oM6Qj){e^|7yz*hBwcBK_+@*hM_4Pb=FVmCep#1fV)BEHK>^mix z?y(qw>6E)jb-j?%k;F8KjTRUP>xH*IwT@b#lk+Et`%OGuLm>_;|5eiiIA%nZvZL|+ zUQG3bms9fYd5LrBac({G%;^Nul$1%q#(XT?9&%{~+dm+&7KS{mt}WN4$?8nF2icC% zmFs`)W?e}r1~x(t1+cpAx!dOm-lh$<X`g;cqXP--L*XF?pu22;%C@0==Q(2B+wPY# zub0BOU|RpYU)-0|gNRxsXFD$ee+^w9EkE7(OS^01HTiS`Wem5IPz`A8>q+Tr$jh)J zsIoC!$JsiQeGTJ(g;KrAzU-5WF86{){_KCBD+cbdD*9U$`?qT5&;D}P7v$4n2298D z$R_kDD|9_!Z+66@?!5)ZpIvhauYf8ypz4o0S8mR?#qJ-gzIwU6*-48M_bfX9Y$>mQ zVYh`5mUJg(6A<se`hpIt(#NEB_P77q+I9SI{f*0@E!C|+r+<^S;8&W`w5<Yh5xRd- z<ZPp=-#grM+-J{g-(0?DO7CB*oPQJ7g=1;*Gf;<Mg>rKd+>^k`btigYgX4+>F)GF< zGNbiplB4PE95tjO*<iKD@AZ-_%3TnLf=#D_2K+e=NNGk@u(%gn5Z%{QAWjaVKZ;RB zYGxZmcW{`$tjHu;-JJ3HCGLz>xln)6O-r0EPshw^aaXNsgeq=2jh$51s8x#4z)fHL zpBL30a2rSQPJ!toH2b-m+})~F`}v<-Ijdx$tzE@XB-rk#Nq0Z9lHW$!9{TZRCTABb zbL}ir!%o|zqnah)KY+*)3fc1rt|}_9EX!n|dCgG}{D-`{$nZf3?+W*eE}(z+VYDo} z>seK8SyS6v3t>P=$&O7FfQ1cHhbX$1T_Cy)Cju@Zd;<lw=Co@u4-LmoDCy?d06xLe zDpzRkW{642AArq2<tkb|6oTE1G53S0pxIB{cB^cmEV(8!B4vmky&%o?=T``I$Xb-U zCUhgt0pbqwQA&0rwQ+8d`<#DYC){nPOL-9eDL!KeK<+cZWPAn|C}Sr8038eH?ttzN z=<a{p|MvS^2I|-8L-X};Tas%-W-I;Hnk>;`T7SH&omrC5D%>-zX`i)DbDzTiQSL#a z<g~6&KY|sWPzF6PgnK@_10GDe&~Q(YdVq74xGnGquHS_PPiTQ29Lj&C&j!P<3m-vu zi}i3l2F(3@U7}T>zGC-tW(7Y~V2ZEseWz9Zkd~PvK7z?us0le?Zd#zIBlZ?;@Anzh z3a>ISIZeL2a^I^q<cRr5fufc;aOKWIZOArruR_Jc;*+97Gr9d<rj87EvQrdxmA3Hg z;f>}U1D2CtFerC*mqCB6M-TrIRZkt9!S!D-^<X%Na8r`@InKb@!EhC|4qA7}u{=IL zz#(!QU;FLm=R)#IV&QJwTX0!YAS2sy;PaXj*kD>Kw39MfCn-$B?-FZ<_5?BaB-9N~ zbzEoetS%avBf~FGxn~c7gCCIFm0yjT=(#(%V!-O=DlXxMcS?VBXkK2X|75!iN{(Kv zuBzc){J5uJ;X-quxjt1&AL~kXLwXk_c_4R(OVXRVwx2BB$jgtvShfdq#C~4H@*TYx zVmnx<TN!d+m{YQP(JQ^giI#tXS0lVra<aDOjB5}py+&}J?CuI0z4-WRFf09;U`of_ zb0ol=RZ~*~(J+694aC<7oXlCzYAPXH&0)0oXM*$Q!8J9JGiv5AYHQ8)#*z~sKQ^sq zmo&2c=R~dH=e)ee$u{BS+-OfzFZ0T0HK9PyQl`qs^Os!DhL^ow%`IVYf5l*-=GxC{ zqGvSC9as?`&wIMYhAV$sFTS(5S8&uk=%UwylIrEer&WK?Q7I*Jwfg4<O@G^Zj<}M~ z5B@W%P+nD@g#CCEXW}v9Z=O<9a}9WkEi?1uO)poC@S~IKXI$L{+st9ls}7rcF98q1 zp~_0!y}j;~)B+FT)uXaY;%cexuI3x+HQ$X0l_6!}To11RB#8TyCZ09Lxb-Kj9t(98 zl?sspe{O$4tvE}#gY|Ym-T>w>eH!V`ZKL_*TY66?13T9MX-c#a7zq@)f8dr}1MU!0 zhS_k1oJ-0OIuc!qSC2GmVb0mn`NL-x{ZonNT=$O<BSLvd!7p$(R6bI?JG`t(q>Fqi zbmo?fDa!EBOwd4tAEf}2@`Iw=7@6e3-Qaq1Zv%gc2a)`w%<2gj+l^9ecRJkojAV<n zZVx=%_>>e-oUb*c-DudV4bm9WXgt!oi`TtW@*k;|J2vNA6q@VPEJ9dWu#}j=^(Jax zSHf&ZsC0b{0fmQT33mzJ9$R((<wcb?SOtAOO2y<`zFI9HJ^m4$`uns%bj-Or;oY9@ zrMQ2UAc9GRsa!Y2bhI(}bL$PUhDk$kbV}TL!B*~fn$%0ijku`b(qQJ@k5KPT>!Qf0 zjiX3Eqr^w3Vs0`MQ7Ct{)Ngre(AtIW@GQN$B+GCkwJ5+f&_(d}JrKk?1i}QHF~(lg z8t-)T6?1%YW)2hdu$m^?VkZ%4jCUCCUnqa4gVBpVj2X#>ag}Su`*mMNTnqp4X+1mI zPvw51V&=m+;)P#o-6(UGbd7#=664tVK%e`7{RL4-u|pLKf;`Jg9*CwdQeiKD-y^{} z#Y(l(Oq-8Cn-))p&wsjQiwu~w=R`(|PlxB#hL{M_YQHOfWm7S^mM>eh-)(ws%5Z;; zY3sS}7s|?3-{OC@cB5QJ4J$-`0wb>e7)HlLL%i?n+v~R2Q}*YOGsmRd1O#SQVdG9j zZvjkqwH6h<{}J{)A!k|ts%b*EsvRr8#-#R-Ez_ZJoyCJ5sSf&Fart*Zc;BS?PWr*z z`22OBq{~0(&qeFU#ODRw@7KLHtC@c|Rxi_i!j8HaGW;^F3u15d=;5uRmy17-tzHnE zE;4Eew%4TSn`ks@$XzLY_<HF|xZUteKi|-^DpET)9VYGlT8f1p#nsRLJY<d*`ds;p zn|knV9~b_E;Jq3ABe7O=guQaJ7JO>Zr<O{)eeP4jsbb3-lp(!n?4<)WUvGcr^t7ko z822JgtPx#~N+@O@jn=^gh_WHCUz<Xk-+fpVxeP|sDxGwdR0-0;cnb!O4S7$W6J<b- zzVk0^&7~M(WqB7^%gjjD@hgFiq-0Vdq)1po$`?jP^bf2Kv=2-UEKot8$<EUg*_vFw zg`|rpH$XZKnQwu15s{a>uaJMMvN(B^YSd9AT183+GtP)*I!4wN3)NU7RF}yF_&35N zxPVlV1<r5vvCAjD$#ThWZAKgyn9{H*5nEZ!)J1)J^#;u)sQa|9AU#IrYLWyugyx>Q zsL0}Vsa{}JcfH1P1y{1KbBZ5|pS+63%Z~fSHSFR+y%9RlOutFDz<+-Peq7nHv0Ozj zbha?aW|~UZvv(KOt2G0;DQxGs&KULx=9Hi}Cg2H53F*qh4J7J|%Y<N-&p@KD*ytU? z8(m0h=Z32$4^EjVJJIbu3I4yvpRHE?vF{dMW;Jz@WNe)83EF8vX<*f{A&sFY5Vzu? zD@g~qr)UeQV7;-K!zO>_5Ot(f<j`#{oVJi(?Mc2%%HVEiY8FOoVLXwixLq{M-0@3g z_aW6>%z%oX`}r~lK?ql|Kv%=$+OkuzX+1<Ad6MNnP_6Io1PtdA7aV8gUIr7i982>@ z?&hLst$&?H9;A`0#)KVpcPw)jxB*u0mCwFJ&LrWuW=!JJ8?1i?xE@td(ojova*b_A zKh?=cJt&Fx&Mz&w8mD&7)k$DwE^#qGxukmOc2UV5?ym6E8XaBp1IurUOMY_ChC4mi zQ8YiW^rxicjNO4hiM3SM!Th*Vx4~mJ=x$W}D6_mOHDJ|i#M#baaL=N%2k#!Y;fgA? zVLaw&dsX+t<u`wFp)Vtx@SP0?vA5fQ_vB7VRX2<FxJ4w@A43O^?`*pR*%F#BHD5QT z+astSy2JZSRA;~D=`e!W=kSvZtQD?QKGw}<eNHuw-2Hi3HI4oWDWmujp=7M5yCC`* zL$nCGw7HbfLucv=ZV+0V4s*L{gd-S6U+zHsQA~+YO(lQ%$V|CViR%+7%s{nsOPGzH zJ}C+;Sg!~CKes6fF0o!yXD*fDQJGw8X7p!YRRM*zm`ACBXbVDEzXoPr#M*N)1@<n} zANuxCEk7T>FZY?K^WgYpd(#KnmoerKlrF=pAIQ^`)-HoJMgEL?;+NzjqLDude7VJl z6wsl`<Jo_56HTgU{EdFYz{q|byMOx+Pe=DFPlxs^Oh@#~P6q{@NN5Ezr2vbs@@UA7 zpwkJ&Ed9Ak3&|A4$zpGQ`OB=>6wt*8kU?tbKrfKIY!`VLD-{*Gq-<U=&cY1@uN@&8 z1|G(B^O)R(Z0OdZy!_@NOz6hhPvT|u-R4icz4U*D_b)f7qVGg*h6)>|eY}O)P?^@E z=L=Y-Zj2OBQuHZXUznoi%tzS7#e~`f#^~BO#kkuT$H+~xV_}m+oV}B+oPQ??oHIl_ zbq&jo1y*VTero5?_(tS|>Z2H0_gq4MGfS~6)WC8Vo#x7c)>r#I!@+t?S-7>EL}Y@I zAXtBxDcmjcLz^$Lxe<IH!LJy@0i&)@?NcXIoh=x9vo+g8<oZhkhEf;Y&^D^o@aHl3 ziMQi1&`I0RfcTXVCUxV6#w!Vn6@>|Tl}EcH?+4&g;;4NuPx)V42q;f#KHl`c>T{c4 zm~P|^qgPoLqEw$xZRf1qSlQ)jMfSUv?*xCerp9$NSaw6Us;o=%q;9;^m|AR29J?ZW zCrc@nsAth|XyyClr=Vj0s|)#880lG|sbCEYk<!<!Plv7-kC!Js@h@K0h?k4ZEX8$0 zWLUP{P+6i+al8gb<!jKu+iu8iqI)sy%EFc_fmu@~?=U$VX)ScduvJ=Cbqeu_g=~K+ zkD44Ch}>y>M662q?W}$-&{sXxh1wl^R?z<5Z9<^zbHQ#u4(B`<&JBZlt0xm$79)fO z5ZUq9vAR&_vO6lDtt6uK+`C*Oh1)H2t7m;~ypyLGx_3u^`lKJFKeTh16d*UB(kt4q z`FG>HV39Ew-|>57-lr56i~dX`@?3uyCf1gSs2@{3f*ovjDFG3+G&MjhM@X>$Fovwh z5e<vbeqsy4h+V=Mva(+m7Vz>J=6FI(!wPheSc^EorZEcf2G%|?#;Z^Uu@0fc{=lfj zyIA95j8~xVh|duF*j<biytK7q3}Y2P1a3sAv!@tDJmSqJL@4`7eKh9_>ob3}Y?S4P zH?;|cGrr+F!EX^vJOf;hP-cH*)Zo3Wt7F_&@bADiiLkTKvQOY##3}Z62B&lZ+<z;3 zL3Q$Nlp!u{X6qx6wS5eE6*VMi;Hj}E|7W0YECbNpf;T*K_H%|0-oyq5Cx1hA3P?OK zyO|M+cef$H?Y^VB-Zm~6+kbymMN8e-5PIN1v3AU<o>9<E!B#dqU=WR4MNBV}`jM?X zV|FRS2H$EEjhOyH>J`A)gAB1%G<^CSsWYi;F@VfqMFfai>0&sNul6Fz?lZpGIy9EC zgr|a^ASBs88GpZmzhYd+d)ZXO-M-_yz(m9e_EW}Lyu6Jr-0d5_15AHLK-g`JSbUI8 zKU|g~VPSt0J&T$Vl<@-CHjLKNXz<KU@*L`mpoHhmHeldNO~A05<XP0T0MGMdn=-0P z-NA&Lc5|pHK{3yRt;t9$l>-BFqnmz!XEQ5)eSoonU$<V3on9u<|L1oO%Zy2Ut95j& zXgrb~f)g*0sK_TgN%ns)#v*>)8XK?9hKwN}OqLBBgIJI*fem|((Tz{DmWx$if(#%Z z^R}=>QIl`pkTMaWY_|sVfAkG2#asQWXK?GcR=!SsAyy;eTFbf^GjB=)8E;E<t=-{e zOORe<EzgYooneX(eKT~Wh#qP)6kE0k8A6hI+t?`#+x?pqd(VF#n(Ge@;pV`mvNE|9 z__YN?q*LNo*h?VTXy@A%xrVRK>;nvYaO2HDmav&;!k}0;#sw}2A0?Vl+J$m#`%*Dn zGzqRwgaM{z!;S^UGX$m}wzB^Nj4{(n5dm0d7x5`VA28QoJlz_H0PMGuSb@+64B8w2 z+}a1xup+#NkYRu8mJ;CT34s!@0bb)HY{p}O9Wf;E1Ga|@Ud7rH9<?OI^LPvsE6Z45 z7rhfWvT^uFHk2h{2Jbne5lmT`>LOP1R2b3L6qc|BVZe^Z&)h-}36j`yc>9}C%Ts;C zdIVrD#8UdC4I$1P)J}TB(`68>F<*s5o+1NgjbQ<nIc0xy>D}s`<)%BOP}fklF(aU~ z9ULFOGB-6s#M$dwug9${OpOx>2n>5GL!wj)EElIf5BZ2J=EbmA@pA1E&qG!wObdC3 zeC)f{4e-u_MYYzYFdX*RxNAcQp5EWSNZ!SeFVzLR$I;zl%YHxxk#7<yzzSIp!ONB* z{m2F$ge`wyNaGc)P2hn`q#k4q?*yC0n6VMh3ZL+Hvk7<~>qa<%J!CBI75K1684>vI z)jQ&GshCA!_o(n8pMpJS$9R(GE+jZ>yU!ml5h9K;zT-)^q70_S-FtBJQr!K*3xN+n zpP%@~)cyQ35PJi;HCuD2-++Z|Ye8q*DT*smvJHQgC<~F7z!2&sI4^vFB770x!&l~- zxdA9Aa<V(Um7^~>Xs18Zqsvrj%NfI{DHb%&$<z`vr1dEO@#wf%JHdX20jvkfl(uR` zsk8Hj<`yoC{qUCsO8E13S=gGd3U)^cJ<d#d{tJ{UC=WZA%=JM1WB1=WJ&j{0*gI_i z>PdfON+h(BK<tk#%iUn+TdQ`GRA_$uLLJu_?>L=qO1Fv8LE13%qPyFFzVVMe%JPr# z6u?Mc=8rhC0uU)+`hF`-WzC&?n{+BL4O1{auY6fNW0fq_Z7>$!eNLq;)N-S(^2TRx za>MbSq650Qn9g(-fpajjyY~GJ16aB|&3=DwJ9oD#Oth~*AJY-bT8&jjg0qcGx|^7l z{M^9!UF56%HsnYELzA9X=8l9rYO4v40dgRUaxDwCkZlfSS!olT>@=z=CzQKKNQBs) zf0#q*Szwy1B?u6ZlcGKD1@^u9O!u{GM9s1z@f~y)mUEG4Tc*?6J;G{9NS%@#DzJa= zYG%oCR0R?cA2etvf2MnezB(WAJ4{8`${k;8<UUV$E!^Euz1jp0d?E~n`XD19E&?5r zQPR8MsHD-G<yl7csKe&B3R*k9vx@kkqoljyoS7a9J$i12*~;-wd@37QEi@6HPAzs3 zN^%u%I5>`?Tn14BHPR)~Zfqf?FNl8`y%t8457de8d%`qMcsg~!q_BNX8@|3a;6aoM z)LQS6W(6kPol<Gc+n9wh$$Tjyh#ZP7?~GqQZpP2Hy)B8ou=(#4O#QzNszWRcd1ysb zuKz7-b*+`VbIL_MJ(2b#Mh<DVVvOB2lFw{vdjye<FYI41=5C+zE4yz)&0l}B<X#iL zhU~y-yZ6FqBWsFW2~;5Zz9kL2wgY@{gX##jAZzt^;#h4~#NW>otg=)=Fb~&lP+#OJ z=xMimnY46{&Zur@Z-H)aFY8*{J-jNez-!hMPK!ZNYu3E$P0h(V)t%$4SNv4GtXR{T zUxinn$upguggc>}8TVFc4>^CfV3%*JR6hrdJ0sOA%%*wa6<n6&XAX8CTwtWopXpc{ z8?ZZBOi~*OFXxlPOIrvqzGFb$5gSb0+i*m&PyFLn=Il?nhbpx<#+sP$2~9lxNs5_g z^%}anI?rXH0RC_dJP_PX*1~l!Gr3j|p<8te4d%7r+IZiF2l7<!m{WfdX|dag%GoA9 z{Tv`%gc2pA4D8b<2i)hzxFdW`fs(KsDvvol-nB}9%}Io-2^*k_6wUGOdS)nR5}qV1 zn%Y9qx9o`?$ck|x9*@$nPlMqI{f$(USs#`T{{T@R?H85$h;A~EW8&5_;fSesjP$me zQp;F*8^XNe5+sv=gY|z8QH^4Ph}u!t*e9pnGm_ixmP*AY*~ra@vM#lim5#>**sLzN z*W2G&^tIQ3TKb7Kpay=FBT$eZMiUC6)^kS2bUWSsU_0!uCmn)z|FPijTI9{T*O}aV z?ho4K(@d6c2v4ewxp5h0pxQhpCEfq>*GLy9ioNn)_7l<Om0W+^N}t;Dl-k5zoA|Vr zUdDL2(QDqVu%Km>+C-oYEY0FGP*vXoR1MX`_Dvvd;umD&R%F@RfWj%@-W@E_-xP=4 zD%#J`mFq$-L$Z0FkgDt!o)sgQ9V=`q?FZ5u?1E~XdjDsjvH3GnHS{&mc$FQC|IAph z$_F|)0Uh=L9hQHWA?gg9ANl8Zvz3u>t09`#+HD(b8ls4M{R+s--_~|1k^A6<yWMYm zTdTA%`nHp??ZF=@@5c@InQCiRL(&NT3h$p7hb*iz{n~OM@`|~kvsbw{p{L4#fYd8i z3Ax)?*S+rm^K4rlWS>v2?rbhM0qUxrM#$-(*JT~&Uq^qMHRxlzUbAxB8X(*34KQgc z1KqKj$U|s1^$b{!N~);Bu2r(F1`4vwC&-LnhuaD(0E5sP?;K=J@Pmm5(T)x2utGv- zBh`IjoK+noEjT;n*|4ucj-|V%0>34!g33^|eR`wm-Z2Km6KLm#dozGRt}}P@lZX(} zKgNm;F06l-d<Wtl(HY|`e|lw%3Q;S{0N1h1oNs#z-Geby>{VkPX?p^-g38fT)^y<~ zg*DK_Pzk!A+x!LI<Yys^R4!*k2*DH|W$)U&Ay5$P0mpyKr0Y^csl-#50K1cR&J3kL zKY!o<hl_+~$H+uurL|y(L*>RLxE|alcuUOSVUT}@*l{CrEGD2_cuX)uYrYpD$C4Td zr73lrKOhlMEqDzmdthULP5a*cI>$CV2Srv*S=2_#2~L3n4q<cR^Rf9OsS($aih`f} zk2@?PnWfBb)<@>hM9^-kRKWwKA4Byh8$sFr<K28$W+ZdtT#xYd(<)M-@SpTzLhi?x z>yCeu)^3Y4$^G<p&UU0?nciwoK2t5>rO<Q{YcTV5%yU;_KzNlt%+VDkIB2fz-JDYL zo#x}2e}Q~<aHJOOG8mS)bl5!I8<AY%Nwf4abr2{)y3}3IBzdyNYfK`s5l=&^xFZQq zZOJ)*n6yR@Sk>uw?%s>k#tVoK>c0kFHeY|l?8by*?VjpXa-Y{#*V)SEm3*eT&wKn% zIQ_%RfO0B{xc|6)JjN}`ZO|{VK#R`s*xUuV6sV34(T9cDvb<+9elc@k6<<lVsd>Td zT2TTYd52^utn(oE+&=mYy%XuhT6{*(1$Ae#D(6D;xsFBk&w{1$DbG+wzj&-C3F&_% zE#XZsa>vWUS4)SvUuohh^j*|;lS0Kb0+z=1Yk66uc1D!Jr96Y_f%@o7$B)-?hnkj5 zFUM^WM9{=f(J82%COAdU{Q7I}G~vqOpG8cg0`bYsV=O7oI!*CH{*!C(o*<NKsz3r2 z8b+?a%9flj%tc}URJ2e$nlJsLc$$BnCcC&EkFb39utJz9Y!^!ZYGT=ZRBZiyucW30 zHfPUF{^;)IT6IkQ;*qaShwW>0B+R2He=pe7A_x9Re{Onb?K8<fJ4k?kJ=Os9*rzLB zCsX~^bpygNVqtwlPbPlU7f1-~ko#;Jko7aKmUff+CbWgmU)#@3=Lt<jO~8Na#c;!I z1AN7yv~>?&G6V;KQH%Z8WG>D;5(coIceA6}hapY^NI2J5rR!yu_edJ4kZWG9*223S zCRaFAt>Z&2p8WI6djuymVo_4!Ce@`~(C+AelCC5^H5ABzFSWsfv8CD+oqin*P2JT6 z?U*gUa{co{1l5EZ+r4R&*kgYr*a21-<d2DR<UYjp$*sH9C2890>h268kD>Djx$>!r zN42l2KUpJ<ra9laUixTFpOxN~q!=Nlx(}px+a9vP=793^b9|cmMR}my{JilNo}!=} zFdG+i`Mr&rLfgxhsPW$eUt*>UR8ly8#MncGLW1-{Gne?@g2v`V3ATSt>%)2`SuP3B zQQ!wis!x~IW7L7@g2t4^<AU2^4osCf-<;@q5pWPM-{DTq73Zzq3-TjpVwx;=H@;lh zC%(23Xhp7b-ML(@MlW}5*~}S=Yg$fBsBF=Y75Qan`cOGBut{9k!X)$F+%OzovDRh{ zj!oEJhTk5amp|Xgy2gKZ0fR+Qx4ZVQ`wi!_$K1O?^qN>3uuPO@buWneeNE~_>&%mN z=1;yFSU&1{q$c{ypErE(MY#nW>jqyNY!ju!?r>$|`AD#0lp(uMh6QOO;}ysAd2`lq zG}OiT4k1^1zM6TeEfspm2bVeP0r!A<8>br3dt)7m+l@_dJ;8r0&$d@kWn2M$b{_5r zy=a^!O=od-61N%a`Sb`_=d2o`O6;QNv-sa<T=4-7sm&8X*0CXpb)gA2Q=pm<^eOa- zpJG;Z*ON?Ub*wTFAfIbU_ZoN|bAo8$c4WLWo%zP<E>x~RpU@l2{FCr(YD@o1&Q{_3 z2?Nv&z&OBU-<5wgR}}&f!szg8rxajCkLEJDnF%if*Mm`)1<(0yLS?(7lx#V=(b`F( z_OuD*?m8`c{_bV5e*%u%%8^~vU5Ff8@AGHIb3)n=c06LPb0h^4BsbA9Xg&>;Le82} zULUb|)k!gk&Kw_DUTcJJydR^2(kw2l-0>Mu<H&J!6NrC8{lKG`gX$+M#y24lk*DYg z#M4W30#fBAItuyYB~p$rqIAv-l&<-}Lxm|*2QWwCyVaO0ZEvR}S_>2B$KWQya-k8L z!o;wZHls6Ic>CGCjLg4TP>d|^!42z=5caX5Zx}BcKGY@+>12@<UPbSQXl}TCUdOLm z&%~0KQFMPn6{0xw+GO1Sp0&5y{{Qa~f;Qf9_7jFPUd{#txBG_bKvE{ZCNUCA*R59L zrdgyBWEwAy9m(+jVsQgV*^$jWdxlD>W!tS(q*DvF9wE5=f8S;uDoW$6GAQ82*v9q= zARU9@F>!$`(g%U=->lgh$l2cV;Ot<AODV2xz5Rbvl3ga0{GKH}Wi}>Y@bGMFAR%9d z(2-TVJwTogWT10EDjNDXC!L=fCMNUx8Ox=U;MQAF3&LDp8UtiSSrwM?+E>~Wz9fBZ zBnrLRK2TRg>+0Jj?pW03qp6o7wpjqQ-S)lFWLMI-QRz&~B5Fin#BTj^`!X?dgZ^1u z@J)ZZRc7YRFVaGbzyOC?7G@!I*$hSizTG+=v9dDNO{_+k0E5%JRaXp5^D?<H5xWUZ z`kU=0<E3omV%2{@-XYs~@eED8vo)4GGO}qF&u&8Be#1*(|G&((Y#uU-Ea6G42iV_4 zGgZGTJq)txkIi0G9RiaV)3jpTzTpSJP5yrt>GXVSU4+{Tz6)H5INHki$heLlv93mB z<40^lV;JA?RB$umAiIw78Q*Nfj$wSCb}?p8F_3tkH5<WLg?11hBQ)4uQ7Rd2EfvoY z$Jz4??Z2PI;Ks|)K4KjL%;qu<{QYPKZ&-r%5^E9W>>mtwtMM502Z27jx|9&x@Ew0j zC$=Ee*l!rW@Pu0pt5Y4sa)c(kk1+(cUlsm`kY`sh2Jx_)XeyD&qca+<C_jWHyhVl) z-rt%sC#>T6Goo!;;pl!r0DB!j6EErzIIyvJf1B3WsKqG;@hz~1YIqx9L4`GcSJnpe zU0BE)XUtf|!&jE3Mv0FQ@oaU5ZE1g^0G)z}X4^56N;kmk@#?c1F8}L{!_}7|eaJeV z6`RA5$LqfN9hVmot=k^4c5G<i57;YU&@6t??#t-VeK7{j?J0Tk0V}2!&2#Mc#PuC5 zvWTnmWqCqGx^7Nxbr)|ip7$w<d7n7v;3X_dw%Nz;%AkVJdEZ_@_k+T}jgNntTzdEB zPv4F0;z26I;)u3Nr~UB*XT|=x85tMFHP84n84BWc6+ia$z=W8TtG1GL<5u*w_C(D| z5uolCZ<=|DXH=RxBYXacZ}h0Q?z8#gv=pb(v?v~OD%f0gdMcn;Ud^AppC$f$Um{BL z_nWLws}@&h#Qje92p?D`!UTWK-3p=^_wPD9FKdw>VK&aem}MHfc&yp@WQ?Z3T}Tp2 zH57Z`ezoNZRT>N%JH45s{IdcdVS!K@eOaVrui4mT&MIsx63RCiHFh*cB%*tan4lOJ zL835~q)O2@>5itla3;C?r_KdFn8{U~4}p^urp~>$Fg%R$5TJy45IKKK9D5$e0`m_b zEzsU&DX{#|`FNJnTytJp+IKzf&ow_PEN?uoI&VBzaZU%mt%cYCNg<U@Js?#iRWGR& zvZ!<I`MPtpT%V5@^L6ulaJsX)OwL?;QU847Qseft$Pu=M@_3<h%5ttVkVWllBMSpQ z>9Xe9${~hJ1nvc4Q(%Am&L|VPzGddgwtC3k)?BICF*rt;J0%y7+nA4ngQmP!vf2k` z<{5C4sk1BTl-$HwIo1k4g6Pk$V;b|NiKZgx%02shN+*E^TX0{p=~9cF=}=>utVwa% z{3L;?u#APGyyZQ!k^k+U6tC8WHlQ4;^3(D5(OYVzO)ivOZV7(~lY-Z}9B6@=N5&jv z)psX)i1wj#90FR_$OV`?a{3s1k~3>{&YU(Qv+5(TNyy+5PQ>`z=TGbw`W<|IfbX!8 ze#5%Tt>&u~*Mhs=R!>rG&Bx9ChR<JHB8;WxVCqo2Rt}qV5eB3=DsV_qWL>ag4y6WS zERY^6%b`@F)J1<6%Q9z**t3_oH-y;`X^O#6&nk5t`6CRJ<ZL=H<720WIqWV?z(NjS zwA?%Efl22T=+2${b3-@v*SF@<JCABYAZQQuG+18${8z3UITL?-HD~~K$xfMa1l!d} z)8s^OcS3zcvg7$_3!$tl{98!91{1kX8DP?LA$u*0q-KBFOc5VR1ffr^WIh^r8!IjF z7j{hCTYI?3`>sSbc^zQewXPj6H-nop`bZDx4mF71HIw_UVehZ^c9^<jt%6q~#$3DC zp#R*b;p2$~B^2)weN=TV(iFe?s!a2vu%aZoEB<MTWmi+xFV(8Ap`$1@vdQSHJ?tHC z*Bw3zYfFC`yVjqT9GpXymn45gHI|rkAAhDyu6>poE_<PQ3LT>Kd+R9aAj7=&v-_Z2 ztpKv5Qj0XlJ9sx^OLo)>4433;k=j2UE;i#z1hoP)6t)%##v{C&(@Iim%g<(9uB}3T zYkd}CX>NLP<hbfa?Uixtxtb6~^O5>2ndLj-Ru_LOKEy#Un0lE54*#UN>SYh5(WS>B z|Gn-mPrBeb@;=^kFfP3Bc~wA|<;8Ael|t`9i*TLVs>m=2?-9wyVY?}7luqr#gMWf( zt2*qq7k29A0k0XR3I7TAHPkAX%swkQpTEx=2ZmJWGNluUMYdQ>;i4nni&>!bP*#iZ z<ZFN4L`CzJnU?;&v+1?qbX~gcnu5Tp>?o*vg!v@l4QjhwuG~U7_v(~~+o6fLNU;X@ zN(*5@sl|R_4*vW7*XL*>fg%lj`iV)f?Dw$8LMhQ@ks3x*oN7Z=@?|5&<aAf-Q06N~ z2wnM1ySD39#r`szx3Y`hiQa!kt5f6EhJJsa{n1au%r!DQ+pbg<PwH&GDl25#7B+U- zUMs;qYd?>Wzm-2ex0QQ)3O0RbgQ^k>L&~pcijx!Ry`S7A={{6N>LX4om(qqKX}@}S zo8r&$Ty~jUJ3spL-_rC~LyBJ!Q58c-8}kKkuY08O@VFdo^MV?->GxJ_%kS;jm%o3d zu&;ja#=iJ1gVCk*TfBO;J|Mz$wix|<^2%0%zw-6_+n*KW?C@`a&P}f(Q=Yj;BuV~= ze}+E#%jCK(KBGeQ^Ue0MNZ+bIE_1wGlLc+=0g^8{RdM1tJv7gQ>_+~Fe3wnP<Uj-m zMULbwHZ6WlRbX6ZG}CSGZ!guD2bzB^<T?oRg*zK_f;j4!f}jNu(~6(C_-M}Jcm55i z;q$1%*?`)!cP#yE?pV^&*CZD$=YPTXlib`g#%a{G88}>sOQP`I!3U=GtNSSQ>ub^Q z%cQ<P0do`QW;VPhevHx*xo_RaHqQMssGYR>-8U{R{0J$)4cqExliW&E;v9bj%Zq#% z4=m|^YxeL<Q<oYa$xs@HOl`<dOH}#y@bVxWVlD_Cg}TT{#ttAkSHK`UEq1>YYa9O# zbnhxodM*=wg*22MLGm%qSRbhGx>YnykF^`3EDh_T810cNF<wa`nVR(aAtb^IL+#}t zM{yl&W*)x|x|`61*6F|KW#oTfB7DU?!7qRwB)n=-YaMAR>>v5{(5GY9>&QKer{yjV zy>Pn;3-Q%`qib3x|K)X@`b;jk)VVd-jXiAia0GeNE99Hj8x2z}g#et={*3)$Du?!G zH0Xz((R*|BZ)H=&)~U0rrE}$a<f7mNy$oH`slC00N>TUc2liN=ZVG=+JyhX*S=V9D zutU4-d~=pI(ENIwJ*Kym_-9Hvt;J(;?xu?4i7$`!O5fGko-;ty-v98aZSP`q$fg<h zqlHeg7u96G;KX2T!Ko@6b#2`DIA`j$H{%|04~^jk7pn|ZwR697ozjEfw0KxNG`>;b zUG-c!IQM&=)58EmK)k=;H)S4wIuDIQ3OuR|l!9}JPt!lpp&w3z77+_4bZQ?9li#Ch z(7w}-!NxunN5+PFQi6?lRh&8z@Zs6xDOtsw$ps<zvfbp!pBwf%L)9b+hCzG}i{&1p zF7U!n_Bq8EtEhCcZW!*s{U0{IGpMO8YFkA?K$;*ZReC2P(nGjP6A_SqCJG^_H0c2p z2!tTgFD3L&xQJ2(6r@QHy+)<?1QMdsLJ&wGz#HfL@y$E)X6AWj?Y-ANE19!bn>i;9 z%DmwIehAcEAiev8*GI<eFUo>o^bw;MF=2ju9N(9@bLB)`fK|-50`o~8VL)8<q1d;& z_O8q}NSxZyQ(jsq4&cIno6wOGx`rWuC@L+0Q|s+m-PGf?<mrK~c6>y0Bv1yvL&ick zXH^xp@Yx}btuHwio}LvQe0l>{`cg&aT%71XgmMTR_AGe=IfLr`?k!IBbNKNI*!C8B zZ*p}$cS;L>55jy7e&^AT+rjS!H^U2e2#&A|)LXfI?~2J1Kz&qyBGZT!=_X80SjN1E z0spJH6Ubnm;<kz)D=gZgl8y&mC|8;m);`}8F@%YdYcnyTBDHO|V0@wPg{T??gTYq0 zA|udam<8Eqn3#^VfF!oHk}u&)w!nrR7SwxWi(!+Lvnsd+g_fBuvGNYCOubC_FxVvL zc<;0noCnX>xuEcW$L5btmgI^z@``v-?S6*%iWBmhcu`p!kO~R?bDat%TpK)`TN@fA z%GBQPSP_112(pJsl6wwvRLD<<<<mtzpPr*WB-jih<s1z_dN3QZ)4}})X!2u760KP$ zFbSSV{*5o&`tDWSSxI9is~k|&$Q8q$DMbxNZK>uKrxH|u&Cn}?RA+*DYEhF>Un;=% z^bXY}v_O!0n}A7i{A@Iy3b28s(<;fGgW0n!`LsNpnq>HA@;rVfvsTjaeH%N~o3Js+ zCx<Z(wX`H|e4Sjh7z^>>EiV<OIuHZ~SL!_Nv#N^&e?9fsmR-3%J~B7e^u9E0|3-OB zZJudyc-20C@k42;2md2(6RK|Uijuk*Qv6KdXv^P;+)U2;7Moopj+FLgJAqMQWPN%e zGDT*^$8Y6j&};>WqUK^dH_!Txgz?6NLcHz*<tpqpjE^cOkS}H~xx;<}6POV*SDb(w z$$LLc1SYj*)1(E=#m(h+#2ueI`Qd-zPjDf_{kwyID#J|ZhRme%X>Ms8F&hd3x)K<# zwOhEnk$&N!`oY+q{p=+Bw8L*fArjz(xg2SjFTtqib#792+MxwP0bDZoL>}hY%6l{1 z9+BTx1b^EWaZvRbui3CU^vySWMHC}h#4;mGZpF6{CUALuH4{Vi!)|ERgru@mVhSc^ zgHIrTTpS=%B)y^-t`8aPUqLriFXjP}aAk1l{OnB_f@=9Pme7drBaGmVEPRY0_d2fD znBoucl7uQ8|HP3mKAPZ(3$fb%2QoT7f}6M6z7Kia!BrzdFdrNl+SfD-&+v`ectExC z7#?Z5r|Wo{*!C8#4fY)_O3zN)iSjh3vXW1Kk7{;eHXaci(>NX@0N`}Fvwz`nI$~<z zBDu3Xc)5Sf>vxaUq|8AN5!a6x=d-m^Ic!g5z%x%)(%>2XO78Cj0!1R=U&yognd%}# zkReQv>=~&07XFdkjJK^mGX{<K8xGb!am;|H>3mJ5)$JP$mCcrH3<B&rGvJjv{mHa{ zxBC`DWpm<NgBbhpOn4Sq0?$#s^8mCU{MraHe)Jh1u=zO%?^bPY0-6zyFhcYneZePe zas}fLtHVt?W`$#o5SXK{c$19I5s;cO%)?{bt|%+-L#44EV&JF=Ka!y$!MD`dp+rRv zPo%EYAples0vFYEgCYIwJus}fOdNTC(^1y#=9&HR=zX%y@C{VL?b&@*ss|x=Fn!@f zgRDBNk}hopilM0!o(`tZ>ww5BnQoHiFV-yZ;j<JD*o#)%`yJE5I3v`3>P7PF0}Wkr z)Ub3~tt~1Y7_mh6{QrP_FnBA{_%ump9SLk-+K||BM$*KQo@?ju`CGf;c)v}5lq^*$ zl;<YQi=Z-i_Icn!no*!g7Cc)=ECpD&)Fip$j=U^k?sOP9#32i~hnn9$OA~nn$pB_9 ziA(90w_S%lAnXm9%kFf8U{7Iw9+rcw<(kELSD&B8?=K9Q4@>sAy*rLf7zD@?A3wH6 zZ%3v$emd2Jfm)@_5EhzEAK$fq4QHpVSdJ@}%OIEBq&uG@pGac74_AkL=jlz9Qmdo+ z>3!HGazUVZ5iNrJFJ3d_?EdK^dLY_VGk*gtrrW5OJ&Ol+{1xOJjZs}kTll^$VJ6sv zjiy*lj=;`HU<-XsWucve%rosPjd@SAC10Tn0M|pmLGg{IbUvGo7+^bpT_<6-U6ky* zk7yaYajo&#h+eY=c&f-bALa~($`S)zt-o#SrMlH4v|;C4ne<UhbVJlFVO|)7ZU`nd zT_jCUT0YH26(=4-Fs{~QSH~^ylLKhc_iZK%D{IZBgA$KG-?+9fg)2P;lC9?Y5JOnx zt=ggO8>t*W4(?ppv)Md<<)WSw*kBD<*hFzr{~;vx(9~PQ^+A@JRu|u~1Onn|+JuLE zal`T}4?y=ct(e3DN!7AJwTWXfBxxVHj3520|N4i4E38M0p4Nip*3CcL%3j+e&R1pq zOHJRNdeBFkJN*6O#pxXyH=W_|7E9&u7aj1599=!f68fe}fTi4jI%bY9(-ZrG%-!4! z7RpYk!ln7!fFIPnH5tkDyU}u0Ny4@_n!wWh5iCqh8Nn6!KP@m(iVPU};3wR|GcKRq zOT}N+=mZP$r6l0I{4EL`l-{xq1GDH=#@^38dcENUDY?J?x!xiqcK~a6!&!Jlz$F9r z5Sn4Uo_b>8nmfRMWBAlrSXbyn7Odb^hT%Hbg2iJ^?S-sI<GiQfw1R8WS@lu6-4bs7 z#)O9&xdmL(*(z8b|BwPpSEW}xj%KmkgYJHExw`3`OZ9GixZ{IOL0T7TEK+1X-~~xM zboom1hka5rNv3hsskcPqHnJ*tbr?9W{nZIG*&Vc%tEGW|@(6x~cr}FTjs%PVwRFFV z?agldtkfyF>{w6az-=6O$-#S2s?oml^(7?5P+p#nXP7`V-_}!8N~4argrK9#3siR2 z$A_aTKP(4pc}n)|&G^QGzb*>W(-~TYz_+W=as#EP-WPzE3a+7DP_lT;b}boCB<XnT zIl=XPqRz5^1Xij348Vsq$j+1^wiZa0@acn^gq5@ntQ%pzIXbI4dPJr+US$Y_HJTqU z`}^i{+g-#}K$a&qmCQ{MXbG8RY~inwx9wAHV6%Z56EgimTCR4~=KV`42cNIa99g^M zuL%SzC3I$tM1gmz?wr~wMXzL;tRDF@FItZ94!C50^7Z5r-f3ikFBVOz@%0N3?ght# zIgx3sSTq0APi+1mu=#j`r@H_dYdIXScEw+b_K&LOuCgW*MA~hDmEc9u*7Te;$18}= zgboRIXlru&NV@g1;jd8G6!V3%t%Pw3{#t_eW(nr*`4d=Ee~&h6vuL9cSwA;TgIPvR z*la9+!MVAyQB+o`8pu2@Bi+wolYUtwo15MtTxh$Wj5HeDMI*!p*jkoN3P?*spLezW z6&4>MtTXPuQat9&wmm)PmbtU0LDqrWEx`HC#8<jU@C(6-aMqwy-_;<zKywFNXy&^b zxW^#Fv9E$4Ps#F7T4Nwf(puqMm@EMHE*VCDi-#-WLjZ2OZsZ$__drRWBV{Ccy8{WO zV%o)I{2B$-xOW{SCejx^Vnl*dZwX6@pdAO9dejD)pr$Zggyq5~4thM{D@#4ZE>zAX zaSXSTM95}9qvZ&uk%iqda%0Lyn0H^%h&|MVx<U%0cY0NJ2O?lgs#F1FIc~>OTOC_} zLJnzr42k6%^xTC~eQ6(PwzG^>bFj%NgYE}!iV&aGseqY$ZFi7$J-<j=cwhK%C$@!* z365)%+mt2`)31NR)1eK!gwQ+Xn#m0Bs&${+@slNt_%Xt6ApY9||BD<lA!)&sAu<5; z%1~jB%t`1aw#3x4%9$fx^XyO@!ry#<5wgwY@2wZKcz2uk0M;Upu-ks?I9XTN<5$^c zrC~<!Mf+8HA8QGgk!^7rnVnY#Drg28!URl@pIkU^<K5Fcn`dO{=DfyYai#J$1~bv{ z#M-J-on`r^o~r-%jLKoQ{Th;hyjOF$FS;!g&UpZ%hS3sf!U*G$4uNDV!cNqGnzhfh zWGipt0^Pt03*CS#-L5)%SEh+>ZBfiCk`^+1Mwo%V_U@@_P9fVuA5dN3da7f)AgW}W zA%Y8&k&ip?Kk=z((Da_qNk9FxU}D1{2&FGh{!WXFM@cvho8?=AW&V-S0uSwt*fnc} z8hx|`y)yh7{aJQ~y;gpP<+vJu%&l8I6UNBb*db=-5@rCmQZ-*a@n@sugM~OUs-jQs z*6{m#hi8(6hB9|I{6Bny3|-qDnbz&?%gi45h4-h_G*&Bs6tcU+hd%5ATX=-!vaf74 zg6ZEL4BV{pR|e}XJmMi*KrTu*o{G{N)O*3P;B)3#duzS~cymL6I{hGj!l3q=K`-_2 zU|eWwz$)KI^Eg%+Omh0j(_LY2`>b!%L%DkP(rzr?c?HT}Ui-(8zWN`1iy7pqc|T(s zyC-L!kp4uM)A6yXN1K&dwC#}WE>CM<Py5z9TT^O!G<Zi+)<;^Jc`K$s0}k*PTkJiG zD6Y0b-K1ZM_HEi-do8+u(JWbDUQ5&L<pzGX*fiaVK7p`~@=g(1{Y_>7&n=rk=H(r< zrF&xW?<t=OE{ddbua)?V!5^B%AxD@=%$gvO>_AU8p*c8P$CG;}%V|x=U!lzwkrAsl zh63D2EcN%2*aVKze)}CBtHER|jp7CdjZ}wF*V58=zy093D-MQ#Fc$>!1|gkV=$hd; z-mhQGsODpcveyi_@($?F>yfu`ogbz^pq1YKS8>mNL?(IE_f|=)*3RC-=+D$qU$v6f z+nvftyV+oVst$qHuksb3LR9=Ju2Q7<Rp~E@{w3MJB=?u3{*ugJl2D0N{HmZb0MG)c z)B>~=|C0D$l2^%p253pr$>ZJOIIX?BVw6s~qXq3hVY!;2-&x7ilZ#NLYPHz5VseDL zhEj(43*B(@V;b-8AQ__iOAGNSj{gv)<oHQ->yysO9qVx#ru_5oV=G+~>c!t9l?avn z){GT`QLyiPu+Yf#Ox?+oz($Y$dN1P*r}|AFLeP_gj-;J`&t43Q3)wEja#z6-*Y6uX zFXnWHed{+F1UQ`MwCox=M#gQ%o@6CeyQtS~LI|Uml73fqsPCs|B8yKm8Uq;ik26#p z?^Ko@`BoIYgWuWDx9&t8DGuja@lRQX0e%x@MGQ_Xr)o<ORsQRRh7C3==|`!<MwYsE z`<@jmwFNDI72mBYckZ6Z5X8%Oyqqpg0;}`3Cleo!1x<db+q<NyYCVye_qp<h;n=Yn z>YuUn%3t@!G^Vb6Sl67o#iUVL$-jPLIOY78T<Dbfmu&tH=Umng4K-cX{}|3WBZ{sH zP5Je<ZU4*}rTzZdJPQBy^V467qmxX9ptRsIVd`LiRp)l6NIc^(5{+af#Nv#4ZtwM% zOp)866UH3^KCZ(-=p>&osiq!en9F*SQTWiP05Zfg)F(;=Ix>SE6QO!ESIDU70`n^N z18P<BYWJ_;_G%@9)<bX9?hr(Y$tPMtS4!8PhRqa~=l+*Bhcj}}3KV`6-Zid@JNjOu z9`G%H`!QSi<69x->lhZpone48p?RWlH-ERhD6Df*;)kcu2-?qW!Pqo>ieyiI`DWC2 zs02g~Yt$@q2v0q<K_Gz@4hgA;j}Y0kf0xAr{7O*6J1IMs<Gz>@$gpqv*~fyFVxy&u z6|o|ZH3>n_?CL^h?vg)Wf!bmZs*l3UYm4E3BKrf@;Sont!_+bBjEDRA<+YXjJ0DJ) ze`Bm14fcU$Vh?JLk|y2iK6CvxFFzIBx7j*+d&E<@^3RF5fNt^W``;K#$Dx|L<>v2> z_9u%zDf9j|uQ<K3pK0R=5Y(=8G&*4vh%G*S^V>XjNPie6rI+y!{RB9AIjK&HSf9v$ z{+5>`&aqqEoANDN|Ked5Gh|wcJIt{VoAN4g&G#^iqK_*c>Pjb*VYshDM_-w9|M579 z{pM&nwg?@2;Z!_Hdv=(J4&$YY9dF$bK(ZZ%WjNl{^>nJop_0Rpc%qkA(pK9$+T)ym z+``CE-}@VyrYG#4x<hLVM7<6x&2V0S<p(c|n5jwv8(0-t4{xvLf%mUb|G73LMLe?l zHV^T*`ch}0V$R8%NBx82R2}e4RPKEUVnpOan);qjhu2zgTWyIQ#$b7dHSpw~smjLQ z#5mrD4RbaAw!4mYh4F_xr;o%u51%OJcstC^j_jhTZ3m8U^)6KBcu>>Ww$5jNl;jaY znq(I~tC>x^RIMut^CJL>62l+8XYY=g3M@DajF+!#Eqr@S?SeYEdiw{PHR^Dnyk~t5 z+n!)#ynBKnp2dX&J<2{a8hD$`J?#pa_ATP%W|Oi_BkrR|&1bf)435id*8`O<hue0e z%rR=4pE?83AzJPN{kwJvAASFSfsEBu51Pmm=VUDywUf)KWBvYfF&mXF!)jk1FYAJP z=4W41j)4bl@W$t5C)HP`_Zl0YE7UeT*)SHV>HNaBJ2)ake9CFTzcFE{GSZ(i7o%6% zf>!%tu?zrfk7W0(6n-Ke*0Ys;Ss8cIark5B6kIK7XKqfmoNr{u>t*PFc5AuK1xpc| zSH7TxC*2x$)qlrU(#sA(!q!l%?2aK~zMn97EJMt_XJOYqpg4Ku%V)pkwdYgdbsaUE z<?O8%*TW0wmELcmql2NqYVWls6$`EEJ;&)ei;)B7HRiybo4|pk)5ljvP~YnU%6&g# z+;|pR9xi;#q=^WaNbFR90WXaRf2&Kc_~kkvr8;5LqhkMVdmfcP`R8+}`ecTUsXoM@ zwl!so_F%)<D71BQ-I`=q<$^l78dmap`Pp2fotxnFQ?reSd`AVtd{Mg|p0-bkxAXJ6 zwRQ%9+)^IZuTidZ?14KmJFCglxhb?t@(>P^Ce-;cpmMC@Ss}fD<g!?~ubMF1m}JL+ zDUa9MXsEH6Sl+g=u)3@2j;@<Wpd7dxY)@{G&j%voy<4k~^oxB<;18OS&$a{>)cV#D zQM(tZLBCgQ#$G^zBB!n1<Rw+!7z^Q@(=etS`>SQYaT$;+>Z_~zqJY80Yq@LJ#_r5- z4_H`1RNaS)W{&fJM7DM2nz<KR7T1S9v3<4)k3Z?mU6Nis5w;lLS%1gsacEe7`XR7` zeWp>Z=KA<0JL2fAtd~w!ZPOPCK%|UEnKx*pPGt)sT>IK&<KaV;{zd_Rzwj2VFGOlV zF#nd1_!(DCwRL@zw|(tCsm!fJsdC69T*ETF`R0hvBT&qLer=OqC8>#=QR`edl8JFG zl&?N<o~y6SH8nM8-@fmWRTV=785C{kK;BDzSX#mBWLcW}liP-2uGW8Ye*;qa>OxB< zv%feM0g>dV&qt^G$0!f`7YZaBy{ks+bKcc{8Lv`USlk|}8~@kN?f19SmpVX<+>zbB z?O>7hiQaF2`3jKD&olWV?*8;Gkm>@dWUP#3&7m@!Q#kM)2Ix!Ss`LnkJpNMw*|@#o zRp}kv<!LirCp)ya(cl`p>mhK4Z+JWlSA=*bEFxcL)%!7mA0+oh4p`2&UneII13sM! z1lH!xRKJ@s<*jk~^Hl|Xy?M!=_&U5!^I37{^MH4M<sub%giVI<1<m9cxsNk;y<uPU z)YSUwJR3HHr|I2(S^a6Q+DzQ*ej5<-^=ZZH1D8U{1~bH&fR1=jiEE-qOud(_qJ7GK z?PQ}V9)8rCWJ7jv{uFBYk;q+51deP^xTWz(PlS}lIz8Gqo*j=@J5&4v^E$n@zf$gc zW1;?k@xnypKHv8v*!0uM#~`B<{`{&p+#3z0(Z{TI<}V<gX=AmlVFFb1-z%15mJrXv z28&5rbsqD_vM<v&viC<nX*ww6n^NIfOCMdR=~EWAQ}~i<ng322IXA$yaD@$^&)UMr zfDdGwj{)^$&5wJI+8s{<i#$p#_G&$1EcZ%(3WLg9%JY6r7TAp4^589xS-EZ0<h_`A z6i``Y5T+BxE?#~H*`L$XeztbyxyUEwB+_BYm(3ShOD~TZM^2rcauizUPLq{JlxFIh zo(867IrP`%ZY>Vfb-K?jJ}&^P_Vk?GtUfcNEEErC*LyJ&teyrYWf9cZEFKF?u%9S@ zRflUFZ;3Q|1s*VYn_Fa67rRu|k5dAd#tvtn?zd2cRepz#4m6&Wz$G@$?Dh|PRy=J^ zoivQ(i@J}(zaQ<@Z%R!izL?_*JQJg_*%0K>er0f}k<*VZw)JEAU&dbRi5RP1D|dgN z2WdOJ8nVODvfWzo8eswfQ^m>h!=dSa*^PeBz>n9>pBk~*^wh_{o0!KiJ+k_~ed*D} zebwv=%@27Ilh%*MtQ$2uJ4!~q+|;x?W=~PWOcsui{fTnGvw-q)Oy$vwN%Kdb!o0U? z#DDV?DrL>ag7ZuI8ry5VTl*V@ngVlNSmzcMv&KHUn0(rlXssQn6L~qep%D6iaAe$h z4&8{z8hh_zQn#s3s4qA6*(jDO@pxddS3;hf<Z04$oy0#jb@xC#8ZS~rf+p1#UkD`6 zwxqdmS4r`W7}_;n899AV1385;y<TJ!!#ocVE-xw%In@vOlR6!qzc2E53_RUwef-4d z_eOb#!p76${j;&7fw|W=_Umnbieis`R|1Sq=r^JiQU04qFIe|s6}6>D<VoMpp$%U4 zo>MDM3pMTwjh{EIsZ&r#z5V?s=JM5ULba;;BTDp|7a}jB+EC5rJhv5y%$e~hIXZ5e zwy6%+9x+RGWF4p*V|CH-_0-vbRd?G3itejy3UjJ9SX-sKG|f@2tEM-9jL+yf&a4+& zt{CnMJR#n!4&d6K*b?SeRkg8-cfl-NcX&DQWt{iS+{BGP-{4v_F7LmTxF7nRz1LWx zSPa%UO7t%kfADgNH%Q^-eED7M%ag7;fLwGP_cz`-9<jdbT-FktiFY~6|J$_Z8$OEE zFHXt1EGM(BxpVC6PcArrMCI<}YZI44w;%oysPT*zkw4|kGg-3jv3*dl_^>o5o7671 za;L`lGo=-rF7TtaKd3O-s5j^P{+FLT#>89Rim5x(l=e0V<AlxV2nCYu8#>P~4T=XH zeEs7|I<X#rDZBdVFlt5r(7b1#Ksa`wK_F$3L!1*_D~!}>G+b<d48G~<AbmyGkY;g* zk2=TGnH`{*^Gdmp)Q)NJzUBE|^EMgo?%IRo4ZkuLT{A_54}`Yo?6uosGU|VR%o@-C z>6L93{%SdE-0v0dV30QLajh--)j=Cmhbh?Vu03~~nW=r!<TUfE>A?<$Lf>}Af6V~D zUfnJG{K^bndl0~Xb}CR?gy!HWVlNUq<)O*xj$k_v=H=nOyEncAT0l`6EBkrce#<2q z<|Xw%)*ioV4A$W*RQUDEpe)nV?3?Va7D&tZhi2sXfN(-_{hVj-&nqcrQd){1tk^oy z)ax``h+|B+DO{aG{3GBHL%sX(IX>#gP;}AuVkVFZaG(Bv&k=VN4p)aM3UqW*wm-9P z<gxk32(0QRoK^qH?za7UhF_HaIvx>lHWTpaXE@+Q44&WG$g<)t?8v#GNS(fF-*OoF z%=|D%-Ct9vHjc{uYcbik?YJ`v;W(%M?yTfb5LZt&q;&wL7|KCmM->@$LbL={&j82% z6t?Xq%>m<onhmVr&QHOSRhOu`8Ll&<ag6(6+XmA&n2nZ>(?`V<`CALUJOkIK_uWb9 zeLE4F_`HjoC(f9Eshk*7uJFkD6TwjNzQ^pHb72a*=DZV$l(XF&Aw;4l9xENM%jX&B zaVY(QVlx0h|G73HuyPO6!quaS$?f%3JYk^-ZHLBxKmRw!`31#(G?=1UGZ$GTa|)j< zFJEf!B_(XAKRb&173rHwv=%oH5W4v=K8N+^<ZzIP7Lx<_g}tvi9jV+Q9sC`3qX-Iv z*7oHhK!*rC_fQ`Sv1-qb-Semn?UW#M&d9H7J9cD$(^VXk`x8FxC!HgRq3T13CwxE6 z_c}y>|LkO+_6GB|s$E@qN^Va)HFmFa)3o@oX8Vj0R&ZUj%+qKsclA`nwRFbjyQb0# z!_UnbKers9@?2_ui?Hg^^BE|5GyoE{1EL0YgV1}ksp?-FQvwRMPpk@@xC_^KazMWm zSV)Qir;)DhcP2h_9MZz^z#0erfS5zK;<+b(c~&J56Tf71P|cpmosIXo5n(wqs$y;d z4nB)PH7?P)Ye1$IerjOfY0Qj^7!xVaf6=ohK3ewm^4pyoRM!_Gzh8eCw81Zkt;c29 z0?IfRWlyETu#bsAlI^SN>5}@16U`aXh0wWX_OaJOuKL1+5C_WKxOW^e<4@~zk=2HO z#$csZ-qhe^SrkXSTP($X_r#58Kr%)h8oJdULsoz7eC1z-S?-QT0Upt!Ng^5mF%<WY zo#e1jo0_S<%^h0PFTv?o_B!@N;K-pSrUUR?z*_D`-uYzPP+{hE9U_n-oat-6DefX7 zhzXnV?tlHQ#ae%?lepT<ZeIZC+p{}=c<?H)m4m1?$}{nHgOsx&h;4$^aCCra%&VHK z${GhR=3;y6F-{lnxEZTl8Z-KkYgoYK;%HKE)-s(+Edms9{I{3Cng6YptdqR%rCjk6 zq%d}yLhQ@#0_+8Ada(D^Cd}LIbQf1AEf>Z40&45Ap}mLv-kJZp8`%$ec%FxUR~+A< zND2o{JkF%-f5{}gHlDA{2r~z6w3|ikJYUKGqH6Sz-}^&lb+mF;P`eK8#qK`%%%+CW z4|;IW^kRARHTLEBeuwRyh5G>(iM0+G<c^rG+jsCUotRlxXFwcGZKkN?>AIls*yA5y z{yhV7VT5lq9PqBi{w)3Otn?s%NNe4xFtS(^<7xh~F=Jg49yFxRw6aSU;)X?U;CBmm z8bsk%c<n34=P(s7c5WU+HyLz@i6@ulM39;RGk<<O_FS169Rj}7%=O5*vm^Qfam=Bt z?iAUv3cKL?W+Uo5tp89$tK~;U{Ly(#yZ-VjzZ0b_%&~_UR~tP94O1O|*!kHk3lCih zBI)(b7_dbi>Twa-W<>fE>=$Eu60hx@({g`7DK&l(_i7ydkR&Yss-~6kHbhIlFk=wb z3yd?<R)%v(DnHTMzs1__%UZ~&+_ctrYuWcYEIY^b=a*|e>MyQ}zYkz{EvQ-8`3dF@ zkcI%)bQ1l1A4uOQEGy`LG`O;C6|-YgTh_~JjbG3r%gnsP=F7$Ge3vkki($Q$xm*@w zmUg(>$7<Ksd7G?0^Yy<8LoN7-R4Sk_;Z|$gAzNYoi|ziA<HB$>N01J4K;}@*0@AH1 zS>)c?Qz|*EKp#ahxupt`H###{Hn!l1IXx>%*KPg3{tfqzhT4mN;CVu!ONR9fZ?!;B z&{u)C_TQcnqFev}N4xv29yBO>0O&d4$r}OQZf$XDQ{NWp&(57HGdWmx3nOk;vWv*V z_;ov<up##LSVcgVqdy%rETj@V`_9n&b@p%}Rm}T!zb^pVQ;)Zzy6JPPJlK9qZq|W# zan%ODg0KDsx)$7j7Qc(I8b$A=G{_TaWf#@c)_VK>UYM8)36>QL5*a<xOiru>i6xU! z{~*lBznD9qS2fM#@a@rS*qqM$VGe@z5rTVC9)gy8R|aS|RYg;aGM&b|l+z!Wdf?lm z)>d<vKXeEuKXpuov8oDF=ZG5Xp%u*0w0OLV->9>H!JWZ>V-|YP&V4^DOt8K}a8J!c zZV=ParMzNn`Vx<nti5r}nmzK;ukj6lX8#ywo|>Hv)FwYnYfVSsywgIv?4#SUifF)U z7_M$kp(bKr`T@dMp0>PC4h<*<eF2`vP#mri+ycZoBw^zC%+cN5>pupae#Wlxq_2JH z-i;mF-NU(mMUG%VNJ_L5i+Z15<)EKGPQypj3^B=+p66O#;UUc60k)i2;ol>-&aVcs zWv)zJ-JkjZ68XJlxxxZt8`=|ZGG69|DGsrU0+e9d_y$QpVp|SAayQg`aAzxSMcV<f z<B}NR_f$bCvaRKYNqMI{#^o}lgXSeYnV6X;Pnj!!BzI&OEYBt0m|RIO+KL;nG7>gd z2BOg9U@g&Fd6*wQdUQ=6AsN{=GiY)jbdhQ^<SFJWNxh$l86s1RBK8&(gxQpC0vQV? zp6@<^D&c-;n1M9S#yK4|J_FbN%Kg472y+B7o1b$dJppnRSOj0CK-m+dBaxTQ&+m-6 z>{^q5Ksa}-?%tr?`5Li3&zOCUz2U`+Gg)xn=2Vgw)C8xAy+>6*v2Nd_0--@TDi(oW zdmDy>l7$uj0a=rG2YXC9IH{&EFYXkh6gU_83;F1wzOV(kZ!kO)ewBPb4R9Bsm#lIF z<~)=w)(LQOWg*L?0rXJ!gfZ553rR<x6Ub11nJ7|<%7X7S1_{Al4cUq{<<4-!t_<0V z9^MCW!yXRVietp7{2Ti`5LPA8Hg>RCuugL&iIHRlQxfQYEwOUZN1^S;;)7t;<`U9l zC<o3Pdx~D@?uiO|<2bS#^j4Q;=R#~s-Vzpy4(+mtI(+NIyu)zJy2B)W74)oq#(y+_ z61#L8$sVE3BEsm)3Az9Qz<JutDA$9lNq!`L95;3fE!|xe70y)41G)qeh4Z(WP_6{G zke-rm;{vcB(BW_RSc{l;cskB4i-P5DqiPc|34={02<A3Z%I)AP(lrt<t_nMbp6SYu zs<q#*^P#!x7~uq_19&?A3if{mZyf-CPJ4RqYKJFX2*paG537kXv~z9uDaHF5(IOFi zEV_&UPQ(QifW`x~4Q84CI$OH(2~~o}{MAGAGoS(0$~S4lbfNaI&`%e9{|fBz$IX(< z3I9;rcdB1ok~yZ2WNBRR`xI`<e<U~3`RBT4fHp^s>%m1(C<%h&#Ok0$yIZ4w^&&8r zV;Og@vNJ5p3i7t;X$S`ALxV}~xXV~8G*@>gtI>rbp5!YaQNc@au!bBZ2YQEOf%}P- zMk{wUMLE6oWf{1z!kNr=YNf$W`3eP-RB^%BD)er*ZPZD3b~Hm*b`(Q5GMf7hfJOSE zBj@QQI7EYsQUHBH630nkW6{Qc-5jHPEXfGS`0-H6N;XiFf`YP;9B>&}ZFEIfQ?ylN z&(M4(Bkd{KvAZa0f_{t37{WQtI1qq>^E5LJaY{8*iX?zr>8g!ZiF9OkJ0F`lkivtJ zZ%f?zIOzDm^fsPL0uw`v#?N(QqP?+Y=s@Uo%~A$IyIE#-$;}I~Jb{sadY41Hy6N%d z8CtUof!6Gfj}C}fV<9pQaE&u0D;DqxnrMh<ltK~EE4T~TpXf{7-=kO~N?7<9jkuI9 zm`Q+n1fMov*GPl<LG^K0u_Ux)cjx8o3(`DFY-TsXjDjzZETM0pnx8tMFen_yf(=Gr z>t@G2z$T(IyKh8Qy_sNtwY^lvUBhT54;G?T!5qK2oyzU(%*#;PZwq_;CzUigd9jSQ z=8~BtSfIeNnSE9X%vW%)Sy-b58V0?MW5i0J*}BzmhS*JXQuka`{+oIh^-FGCK8)iE zi-NR#C@WM7mxcX-uI{=NZ6C>cx#>KS&xdtfdXc@rwpm9531xzR`s}scLZU{#e&>QI z4QWRNummv@Ikzt;NiJU7ytd7Fa5;#nH9GW7AzG(fax9>CXFvO}ijRCX?U0d=Z<|3$ zYLO3Y-7L}^2Mvcl$DPOCLo;-nMlnTrGIKD_@CaY15e4#q9fGen=aHaL8ypu_4lUlD z8Eq6H&x~ZW<q>9o@KFHrg6{?kHn)+2p_({m>}@o6cT$vegaC^<qx!8dP;&>#8mhC` zMw$n4mgUE^)xeSmcTDIpL}(A5x{?lOB+sw6h<D0UAL7HtHr~*Z@%1S|YPHPdb1-u^ z@f``OAk+xgf@MeVb!|lxBNvzf=bElTF8heLU0$>cR&LIJBwdAy;67lp&?8-nQQ49C zthMLVuR)l%728-AO@dXM%Sin6Xw!h*MsIX2Ma@LEviP10Qa-(al4@fH8V0Kbe<AUb zWN_8kRP;iZcvMqlEbGoW4wcgj!XC6sK>c9#;7=rBk~OX!dl!A&#SncM$#hxd+#y{7 zB?i9?d`h{06`V%mBI)Bwu*~RzuC-`n<N$NgIixCv)k!KMF*p5jr!%<!_etW&llCIN z8&!uYN>2NTewUBSnC8T(;~UxMMU<5oKwe-*y2Fc6{@dlNQm0=W^o-W`J1MF2N&9E| zjELIli+z7|P&Mc=1vRyBd8H{t4OjLUAV(YhZ4m8$Xcv}DlCL`BL3r+Gp?5x_j3^~j z#LMAzAr81_8oD4JcJ|~KA+MpAC#oVN^HGAdr@wn5b(uQ{LNgvMm)su|&j$(8ARg}` z99fN+jBbaiYP9{T>c%}hyTYyryg=Lz_NP$>t@2Pe1fPYte(L-c+wJ#I_e!$&kv;Uv z#LC-$lKkas1(Sbjuo9(!OVW43WHn-c>2^Pjs(-7JkGNX!{ZBgf3Yr}n@?2V@@DuB% z>Wovjdvw4XtK1EzXGo~<Co2uWtSI<gfkX4n=66sos0HpFRtzmkKlCPVSubawx8+n~ z9hV2Q7Z^1IHJYH8pk}y#v1D{+7a>YMa)iZy_Ix&14U3W@m<{}(S*!VdOCX!iO|Ssi zv6;OYMS_BhMkP;-9q_Nma_*w6$RUFpIU8AntR@f<nD>y8C_n<nfNzixNoxBK&z3rq z0lz}NkfweQqDTHbxM#9_9rk)?Kolbl<HjpWiXeftc+*tdbod1_Fil+#VndD}3@}-L z7KB|JvVS9gV}+^d*39SbF}kznGdG=ASDpFNZ94ke3+jYBz#5{3y4a$%-!kQI3}I2| z!fu_Y);F!JL6?HKw;8wP7KIiKnwgsGq2^F&90Z$;ZtoU~I)1~%e0Zrz{g9_?Yjh92 zpY^lO=a13yWAb_IG~H7fqM0I?ayD>(*wb}>jpicKBd8<pITqw9_%<~EGt;(9zd&&E z4JWLzD>y<r@AE~}vwn`?Hdt~nO?kAz55G2=a~`R>b`jX0$VPgJGsaq=)4RpTRAoqB zxC$&6dZMc*x-2r58FP+98N-E?&H|!x2i=T8tU#+^wcr?%An6s|G2Q8oX+?K`%(BaX zbLMI@fzTz{VlZIq8uPYnr@AEB{)fOrJz-PwOKdY*9UAW3?q|Fx^6TbIK|c2MzEnj^ zd|pGpvc&|%Bk!G*r>kyfWbq1*0Y_Bmv*#!8)y0<e+VX?>cFk6WdKbDaqMEpTC718D z<py)_8m_XvqV4x?#LZl-6@{38w*4C{xy!QZ(km*y;q>GI_3CP0Z$cd3RlrS@IS?7F zwEL5DI6!9SK2>2jn>#l7BQTv@m$AcM1B~=2<qmq6=bm9MiMj`57K8+g5xC<9xRK(* zCcqd+rd5kx@$TYiBTf!!VMAa>@a5fSt9N@D;+Z%PrMHb1vzs~md{-fVy*lx&+(F{o z4;G`FRs5t^lY52Y7Py<%3YJ%<2lXzc2gqr>hqk2r%#5}d<4{_>uqjQC?-t1uS7>*e zW$9^<o1O;yvDxUPE<lvP+aebAbGlqL90(aO2koC`B}&ax`PH8RbLUWA(=5<eIHI!q z(tk${y`FKl+_4GwJuee~<m0os0pje&x~&;x&I~{?TzOY)HM*BIj>wG>Kjn;=<{c(- zVx&+8!1Q3o-B+aGdZ}-o+L<1uoaRy}eR#}rLVSk#O<{9ja<Ibg_0{jaC2>YqkvIR> zFFxizWI(EUUT6n}m6xjf0aj7HI&rPsGyOT8vNSi3=JKcN<)yrT9zK^HjZ7u#QIAYb zC&AnuhN=$brHmdmERG*mrgA+hC-UIY$rJG&l@?<zmA~$*+ElDxJ*i>0wu<&p`r$Wk zW$IGd@{j6PdFj=ug0hvVEDt%$F_BjVYSvZ}9^)3Ko|UrZs&2o68s6pkTL;7>Po#Ma zTaLL`y5CiGDPQM*IjLE<w#xHBl&#$<$t%U;T$f6ff%%972ML1rpZcJ90d93MpZkk% zD5ARzt8YJNl=RwkAoJ$Xhd=$6i;LO~;OP{`-J;%^_*yPUNyuHnm}!!HhND!6e!-jH zhgKcPV2)j%Rfk^f__c4!L615LDQdgtRuvE$Gx@Jk{dzBdgww4%vx7B0a}O{-4+$Pt z=k^s{egJ<%xw$L4+S6+khrC+$!H=9scIq97H~*HS$&B(@zci&^>Qq`fL*<!zUrf70 zaEr%qo!y0_C>M4OR*(K%|M7!@e7N&HWpXmXGEB76ypR3fMvp>eE%Im|jr^$$ltSF2 zWl%IRPeV+9A&*YeDA#s9SJiuc<5##kiJ(}D_^$LSrWf%i@1i)nnV?ura#9MGiY_jf zy5o0!^;0h{u7}&`X7YW(_!Y<1^4{-pCENoyQ4e?aRqU#cziBX=sw)3-bK)A1O4bw1 z))4lCzX)GF7ox+^oiG-1UzIsNT+~dzAV-7Q&vMm&xpyeuin9z4yIYW_!M0o5`<nE1 zNtwuHr=tFSqad+arhR?jr^c_i32wKW<M$Vf)~%(6!^OuR7DPk#K4J;zxpFD(&q=dp zn>Pcmw#F^>3cmpOv9A{Nw!{T+6Ges13$ist{1B@bdN0MZa`TCzOl!R@LYDrGuw~^d zJG$C`D;aOXl`RF)9{}jVp04`$65{1KZ6#{-!MV*Wem1Kfy;Jd?oHG(N2H^YwT|bA_ zkUw8l|7#QV6JPD`HI2)^sxIzhw)i$!x4ltO*4JP$ubIuyel@UnD_)y3NMc)mF}Io3 z&vtd<k4CEnB8I&EhyUQ5ubR*O{r0#}?nBXkZIeah4Cm_kzmb89LrVA_-Epq(LRW=f zRsT|`j%9P#X*1s!|Las@!lu9&x|eCJGXDuNyXdPvBSrxm1EVRI+5uLwMTWpax`#eo zecCq8i4jGa(1RCf_X1?^mnwLSczc+S3`-&#z>`bh%0)Xej`v`8!`bAPM6wGUQUce1 zELxLs>?Udt+x`g6jwjm;2IcPw5j-i2MpV1uddrSvvR6heaZj8eK(|OW1o>Ka#AAvx zeAoA63HFr52h_(PjAcg>rX|CdxCbC;Q@{_YW*~r7M*`-1#?JblDj}4zXi9~Eg6OV* zS<BcV251qOnswjrzdtu6h~EPdVqYqMNR}2U>Z`_D)UW)>vbM_cNQPpJRSnA5Wu|ya zXc~k*S>5iiO9bhbf&XYh+v+m9eeFzyGFgBg^~ScIjP8gIGlAHwTTJ8Fwvo}rgs~F% znq!M;Vuvajj;qTt<dH?<BSdtl@NljFnI2VjJ6fi5JdBGF?vK=`vhh1L$acnm(?0zw zl<DjX;~_M)l)a;|6ZU00O<)YeJANw$REF(tnef4r>jY%8dI?SW&^<#Jhe{%sEp}R* zCX%x<bcv8;GT-8i#c5h7aF}%h7}nP=GS#*YN+GK+a$BBe9qSFR_|@L0hHeYUo^|O+ z5JcP+UKi82$!n!e?`bMRWda0$1fH@a%V7xP7>=}BPN&D?!*@UlLc1)70nBl@=g~5f z{3+wG3@Auokl`?={xdB82oy(-%it&lUM1+sBJWXe4VPGg3dkXM`rlcMU9OBDKdhBS zb{{s&B8LvUWs!Y{!?H*r^MTPP!)rHnT|%6O*T`Y8;kDiN(BU;JP^y1__%g#kuycfT zSq}UOLxwa~hcQF?J)ED=N$R*X%xwWmuL+PUhVwc!89$(U4X0axVw2Mg4!s_Qu`JKr zg*{kix(D-EmcIw%UpBwjd1$*FaBtro=Ey>5cYjz~lK0`&=$|{TS>G|P{~*TyY-PCN zbyQvzJZ!6t`5nI2uAbq4wtk}dsz9MFHuiTt86+}XzYDpkWfr&$(BD@ZR)6GE1{W6^ zxJ#9)46^LV_2(nl|J3jqZnA8TC3|Ot!gQ|*Ni*%VygMpr10;~aZ9xgi>G_927Gc86 z42Cesva}%#G<;}*D(as?471+se0c;LE}M9wcz*zRUo~1rS0DC&e3-)u5=9oz;QK(6 zX_xAg(KXzspE@%b^RW3hzXz6xwBIISW8C`xzO7atc|oOKQaU4>*Zp)nRoNN^oJq5u z8dJnHwC;n$x{R8?ySyD>p1FwRt2vLl2^IocH1h|iL2XFRI615=y$7_4mXBb%Y<rP| zM~OpN0n83GZB__>j)UrxTyd-Pa_U2OPgFvL5v%Pvj;qN@+0R4a?c6O^&72-bEVW%r z70c-8RC7M13&QeX9-v|K#o+f)W71`uB^HO~>Pm<jc@vAktuFLn^^;@oXtnyB3djmx za;d;4h}^rmA_jBD%St*Xn=1CyZ!q22Gv0P<4@(R0FD=-AT~D}3n&fqz;ZXGE@j17g z>Y!Wj1=aEFSrq9qZBqf~s&Q(H(<&En`fX8vvlT&ck0e3y_0jpOZobUny-xWui{C;# zqw@b-Z-fCUbDHR)N4nj&q)1vg<?>vqMHb!AC1B9xM_%ECyld!ci-0jW^&)vv?c~u; z<>t}d_Chp&BKTy6MS1|^bK6lrqNq@Ak&$YHLs8~b(cK@Pi!bu!%c`@QqcKW+?6F4{ zXrV`E59t+715}5kw>R6x5ygblkRLmaqjiv;;rGTo6KE*dN-BoiCyG`B>rBC1^GT#7 zlkVeHqVvT&MS(2TD>&XkM`olU$e~%1tUHz+aI;8%Y>}60vUh}SSds#sS51#zC|-|- zLMOehq2lt4(OVXK;NaV27qn2S?lsg~cm-4%Z$3)j=P0&#gX*+L5FF%V4Z3?e2-jpH z8=xIhJBPOG{rmSPGAE#>tNiplQpIH}S#yt`Sg!gRtde^PaU)ml<%u%74w|NryyokE zmtJ^(5)zMRbOfh|HPPm_<F)J$b?u{?W&D^|(|SL|Rc8jgFRCf<+lL3LFJ<q|wZC%2 zxYa{JJ-WpODNNRXQYF=en<18Aq?5G`sWb%I&%#Rg*UaG`)ziJGwx!xG>1fBd;e3em zAW51a+)hJ^@|L7R%H90h2IfJqfaGZx;bs(n<=||RILQYWi`7AMbcIImM4tU;)pZS5 zh22BTb}1$dt4fiS>oX$kjS+0{f~-F#bNk2Vl=63k8AMc9L>NIYIu2Rkvv5Z~N(vRF zNV<#D#xkITx{srGA`V@M>WW!K9qyuMTC2fC<bG-NH!d#f$UUy>lH?h#h<3ZDBy&7} zwfj+$i*s??l3!PS^mn7aJZVAhwtqAjgR`KoNP@VR*xWl_{8Cd!jkB-#%X3W%`>*o< z%l3Xbq)|lz`ELk($fCbTbeBZxTplv{!+L7Vl5f`2lqRi@vi1+(*mxeb78%Z!%w(oi zz@aN(u2HL67`^vq?XufBCO$I`cBuk?_D$)6>7(Fxq}u5L<LHSu4AEBhBBs1%E@6Mb z8j>0v&<nsuXY}Wk@C>30lhK!7oZeQ}v3?uxv=nrW!_FnA=Zs@_Br!f=nbD?Y{cb}H z7Dc_KR{N27wGDYC3Cv421>D2we|S#W1RrRqXncY4La*aKPOAmsys%Juqbu=$yiC<D z+5szr_JLYI=g`Re#3$&W!K4ubHG@9Hfv53Y<7~;^dpD?DC$I1VjfRMex<b?#Cr%@2 zy8P33eOW!=wwh28Q4!;YB3g7#=WEh%CKJ3A<sUPeK&F7}XZlOYZdcDwRXLCUxl8rH zEk7QSTx4xi>u7wj5f%ERx*8gPEa;XC)gTR1v^dp&v@OXAGOEh2Zu~bVA;?R$bdtgn zUU|%kElznvcS5^%v5u{@FOzfH-t){Y(bZJnGR>my(!vyz=D%+nZ9VcvkEND<{>6Gy zMLGvndOo7{WA0UpIbe~aAa>nSEkCeF)60YPX;glM&Yc1B{Fl9PIYDNBq+*$AYhbJ? z5$c00eO7B~db1uXPVz-3b>~LqzfqZo8yaZn3SD!o<>WpT+csW|oaMi^&TTMV9=Bdr zvZ}59q~{wv&RF&NFE{ZYPHV6qs?D{9fQUi>TissE^+Squcj14+dpdJe_qsh5XQJEC zI3~65>~0_ewMn}$M>VK_+Y56h9uJLkq!l`fnRGlfM7&j?Nj#;TuOo5X833h5??^3x z3>r_g=MMbpww#<7jD9`n;<~-l>bxBLOAamY_3Ilz!iXm5k8Nnq3oC(q537Y7S1avY zAFKS_=T@wOURK;f9#-7^u2!x5-c}3(PF5xYo>u7sZdMBdzE-S%1<$Os3tT47FFn!F zCEvT_o1ZuE=Af<4l+Cpf%8Iw~@&Iy=X}xW$G-Y#7%iSBSnwu<_TKMC6DKCebn8)ZE z_Bp29)YPxkv~&7>95iTh=JT&i<OI4p)%Ht87<<z%+Yda~wqx?XeqmhV+m3E4HBAb? zTy2`<6{7m$y=m=#6CU+i21KJoH8OfE_#enhsqG81+(UktWIjab#`6hznM>XwE+2AW zCl-#FQd@1qxj&T;nfLNaN;7WQVp&|<B1ZE4E-Sh9OE-Un8bNRD2}hW)9-f;~b7BN1 zOdrLd+f!#aw;5`D_MS$cil{oMjjx_nd{3rg#v1-K@oFD`2qc_*Wl1{jpB$rNZ)_gG zQkKShsLxQyrERefFZBakv+YuJ-peM1Z8avCZZ%fXkxxe}9ZU_QCte;+I_{VEn<|zL z>gZ^!SW>H}gFbHjM8_BUTycfYU}@mOOsU<stj$L53O((*h4DLuOpjP^f1}TTr=y#W zWje;`n5LtDpN@Gth7UOAyv1g{S8ulVNnflR<)~Y@^HXJeaG{VN?x1mv5(9leGR5Js zp6H>ja2DxHjvt;+WNaRw@Uo8r^2f1<?lNkkt?gp4tCK6_hJ}{NrEz7o__}oGs?fp5 zLFv`oV)hOX8ox$)y;h$4Em}7f>0HH>IE6brHCz9Gx{@P8UUU9@oG2uwd)KfvTOlyQ zR57p}=2foypr<bK%E*;L<o8=X0GJ&<);&(?y{sChG_Z9V=r}1->|PgF`Wv1<zok{T z;NsjgGUQ{MvQ#6{>R=GqnztFqHn+uCw~*xAlt1XBp1$NG+Pd^0ur+BjP<w8Ry>6jU ztti!hH&I2^Pi{5C`SVbGI+yebbF1myeO;#}<Brb@$4{n2E>{0$y%o!MaqRhs11jY^ z=o%u8ov(bzrzmv^#D_@Ci*^1Ah!PPE*ALh)B<bNfep>HldF@Nik>u+ja2uad>F$p4 zg+1qYGw!gHNH4O|>wD_up{@7Vj@grTJSUld9g39>q+YvcSFF*}zgC${K85^8g(9^& ztJZm<%fb^BsDe+)-nW0%s}%(iwO!rYwQ;A*x2uDsX^u$~Awlm>UITUB(^{t~Ntyk$ zeks0gDL$q-{Jq5b=nl2`TECO|0fW66kkZ%A13zV212W-PZ5UV~d~5B+v8M>%K+%zZ z23@{Kq02uUkDI@p&JXncRqvpyr~Xynq^rw>>qIyo&e(q2t_>?FqOVw-&{tOJEBf@! zVt+R;(>Fuun<f8l{zzBJrK|MJ+)6ODE6tp#2_$@}vS``#u<&VJZY9r7f!YHbFoJXx z&;h37Rp80nX_-J(bnKn%ck{1140x1(lFZJy$8bjI4=LeN+zlpSl6YLS$(zWzspa{( zsmDU-YZiMk>v+yqeyAF*vK(eSJqsa|2Um<CS2v|$e$W6^K&!utt@BUpTl4&caV+I9 zd0l&U8Y)q<ZZhNUsRg+X%i)YutEfn%spf_R4prR@H*hVoA$trurr=yYZ+txflYf^p zf4kXok9p0gZs$%;egY|Y+Sb#?YBqi`*d3!-X7t0ZxAF%_wbrzlzc0;tT{2!{h+3%G zSa+(ZNXmN@*dCH<(acUx32aBFcDJn$>dj6yPAt40OLFdK@OZm$M)dMWhj&X!pLR(} zhpkBMbqkT1T6)9^IC!bEcsCDReyS<sf8k8}qg&zL$$7`~&i7j9@qoaFA$>Y}>5vH2 z@%>O&Wh(XIgKUF?_w8IdTIk55<0~C8bd=H&?U4Q77rK5%pm&dUnknOagZGZUT5ea3 zi3@*g<L%D*DJh0ckMvWz3WbhfI-2RwpktPfCkNq!QYudR&dmH=6!@#GzPp9xe+yq* zWPF=g3%af_Y^=Zg)bsU!*f{Tas^9<rr$G`zh>WbGvLbs+(Q!J6&@q!Ed&jX=NM)ZB zvXf1yWA9a*lNsmO+sWqGdwj3==lAdLkGtpVIj+m=dcI!QIj_e#Hx_R@Ryr3@kA82A zzLi4Dq1u`wFpPA^mu5nEQk(Vnf0s+`d3F#*$^NG!#na(uc*xeMC;MD!q1wQIlmmVi zs<Bi8fX@PaY2Zs&0I-Ep(q)Brq<<804pchS8a|d~P1B~SO?vr={gv55pp8w<B;res z2E{aotoHp{c1xhh9@&0kzTW-ua1Pu_R{)FvG(Zo~2asst;wUXQTmA9<e+R3NKA1{w zdJJbWOk@{I+`Hrht7S)8Z%y#HSQ#aMe+jS$yaG4_ECF@^Yk<=p+d&(<*)Q#vREV#s zLmDZof{qeLIzvdq!+JH3iOy-(ANT|{>FSFf-XBZgr%NRb=m85(fz-wdwZ#)6OyY9m z`=G2GxkQ6~=FQKqwQw^ff9B|?`y%#z`^#T@_>U=l?gnvw-VeTx#H6m;W9sdER*^=@ z91q8JES4N=t~9omEa-G>s3zU7&%3Z}Ip|!)?P)fi@3&?(xK?n}^Rbz26m5=ZZm!v6 zRus616ac#2AZv_@NBZUt{r3%`&huFltbsI62AMZGLh>IXy}OlcfBMO-f`FQLy@|zt zLt=j8AmJEz{H<hRs5Ih}BUW-4$%ciB4I}BWcvB?C8sFxQ$u8$F6~0|}%_%?l$<NDd zHarsDDaaHjJLfXN+1IhZ<N0QVpR^&~MBG<lvr(4lu0y6s;bk{8;h(zcw}`?PF^CDi zWPerZgP5zE;%p=)e+gVJ;jcwb@!1tb%YE#pLNBSbn@D$=Rr|-4;B}*N)jkG=qa&k# zDhY<y+YXLbEaTpD4|@u(`qpZr<0N|$l080`TMehQe>eMuG#<3;E)kzsa-hmLi(J() z78^j9PqHds)L!f5tZQAZIr1%&n}1&W1RsHc2E206lthe6e;E(H@2e>tNhvJi>_!?T z*p4GCyMGQunPR82HfyoQ3f^`~oOXwo9CAg?cy4Go%outER(P$Bcuuyn{CBi8;@Q~t z>c6AWq^;6&{lQ{|`u0Q*sm+gG<A0R~QYvG0ek>R7h|h6E`PZ4D^rI!_T^(|Z&CcrZ zcRiFVy_S;Fe_BR1oZBiq1xB{74`_RC`5GG)+QEBxN=%d;sLI(e14O4SV}wFpD9!WQ z95}blDrM#JX%fTknHcJ_&QalxXjW?dqf`BxX`4o^r_oN{OM9rxjhaE*Jb5a{@3#gd zxR*WA_GP@wJG$YskN~??hk8w%Z!~&`i*H#;d%*9VfAMB1vR=^cKwfj;NC82<gPm|f z){o+SQ87Df{L4!4)}!+XG8=ZH7+D|oShFT}hbzaLL~mc(joIM>dDVg1^zSHc1lb%r zp@gij1p`m?2TU#vm`LG#H)stI@l>9jJG~BrF_pEVRcKdESLW%Fse&8~h0#4ux+)3U zxC&%Ve|erso|8N`!RUS}V-+v$Z|Tj_@)#q*Wf8JNeMu+VFSJXTc74L!6B~RDiB{we ztMdzJwQB(EJEwi;PY(M|abU%PO~-vvL5m07vf4%EiMRiU2f!2H24Hc1Y4*a^w(u}M zLhieIm@U1;+#0fz{KV#^S(EF+bw79;$4G|$e{B7t{dXEhy3_&}+?Q5a!WR{c_>mmp zOYnav5Wc9(642`Mga~yx!uwV^!kbnFf-j#eKpr3m0DdO$Gl8E8{7eOZjfS6{HNQMR zIADql1#64c4x*nV%-cxGzk(kk(t65opAGoZyr5&fn$#+EFKk2SGtnFJdmTf2>?vle ze=aelfozJzze$dvkdZP|h|(W?PEn=I=H!voQv~0OPV#*{V*BXTSm$#J`=uC)S)HEW z7Tm`UVX?#vPN;O4m&h<@jgTx&*Onxm)Z8S;2(`6Wo?DLVLim@y48tXN+g$XYj1FJ> zu#t+exhIe?uQ0kWuMoD7a1(_@&KiY1e<KiC<g7`SNmWN}<#;ZbdMdmY{4t&}?xc~F zZXUKKUm@o|u@UrQ&*kaJi3v&2>x|`_+&bT==#%z?fr9y#g855`9j%DjxQ0DjqZk8v z-a@V?hDssdeQzUn?qQdvkwiXRfhO7j#Z$PZWjLPt-S_(Ns;$IgAhPoW|D`rof4n41 zt~4p4nlEurRbq|;*%^TUaug%J2eDdv)TS62Q7t%prdM;fmv4cq$oi)`r~S`X4gj!x z!14i`39LScJZ?#zP`ufDoUgN0P@!H_S>mebAegI84(3ATrS8c%ye+38WW4g}Off1l zYoL?bc}rKX6+hW2;1DL*RhUdCf7KD#;#QQJElIyDyRFrP3^S+gu<7m@aMlZB-+d9r z?xkjIu_?d3)N(HDl&97Am077*jXdFHbP`wdNcM`Z6&Fd@s(V$}N_0v$n0rPySd7$L z$cgDpvRy@cE-=S_Y&ji>LWG%1CEntAv5NCtAb!|rc{|{28D{RrCYW;0e}_8EJdRB$ z<(iLMnEBHie8=J7B`?G1wFZsg1M|)dh05G3f*R(}WT~q@Aat5T;X%mNDQ0cU)iLRS z`10D(ZR>$G>;4jiLNVu=$DXatG3(mj?&D^q5yWJp=1qx{ge|qaZHpeNLRaPI|Evl* zA2W=^7J21VWHQ}(>}O%(e>AUa;zZUpS)Bqb03M3C(ua-M+ZZ?a$`cH0WdXq61oq~C zRt}&5Vt@Mx?HLs#;~7qYI#{A~*7<sz;b<SWKioKSg07r605Al^16TlafLDMV03<31 z)MoatUL!2d_`NOtPKPC?Er{eKbu%lPS1EXZbCp@=@BjEN_cDqif0}9SA{VSRhc9vY zHC`d})%nzBn_k@&RfWLz#}f=Ym;fhFdC%g0oNQ&Ro3p^|81EQ&nEb<CxyjdgIC@#a z!+GkxM-7u1hVn*L^qT>6QUoQS=Ewpj<04}nBcZUln($6f!Ng@ciNRH5VJU}wp^)8& zT^^HFc!hj&4)JOjfBTYq5}k*wR`=_?xZ-=MN?coN5`;@F7m7Grz)C`qh2c0uR!os7 z&;N8#grg_(@RB{2D1|I^z#9(678MJcjH_1E#5#I14KKY4>%qxT4hL5$xXDQ1ihr4X zP&oOeG}3)G7d06*xw3!q!67F7U9nnmGl%)CU$j?oXX4c2e+DI{sGH9uRgB}RR4LAs z_3{x<I(*ARj&1e9bWc^Q3`U!|1E<RxJ1z=QH=FT`-o4H?|7dAK^snBL0vjovkZqQu zy?s@reb8>q;%n3@{nD2bT^XOKn)*`0$Fv+D_h9+KtrnhjMl*q%%1~+l$cTfGS-(A7 zphd<ele2f$e?ra*t&PdusI@_CK;S7o>UcL8zDtkAm*22)2yoiu873)X@h3<O6%Im= zhI8>ID?X9-331%KJxrp+;v<omWIQA~7S1J@JoZSsI@!^kX_&;vzN~|T45Hy{0?A|Q z($yG8cOY-Puy`jVh7|{?M8m;j#Mn&j?BFs%RJl-Jf8oSYyZr5nwiIQueo@QXvn^Ly zqIQb5)QtrFBJphf)qCaot9K*y$$wwD7L31o4R9|QKb?D4+qD9ic~-k4Yv)R1(N?Kj zA9vtEeXPCDyRU?BgyphljplFdiUKW`v^AYQM-jy|mY*~FVE^vsAhM=W-ZP-uW&}zI zi8($mfBSizdHp7~b57e#;gDKIqsvBO_L;Vsev2&eb-$dalkW@T2gfT`#!Tcd1B?gv zu|s9rX521+YrH1QFnK`_HIgUtmuJ=cKYg3~xGuFWG`&2PkVCxGc&|uwU#_S+<KLIc zn6T>&w1M^mzRR0QB>Gq?rofB4Oo8W5k<ZQ@fB#)Lzu$V62Jb!)8zPNy50UD~qoik5 zt!1}R&%?Hw<++nh)kXXTvG^SV?{_|tg%t<PMv6UVBNfO1?Q;CN+!5qg{T>r2h*77= zU&qK3AxwZEw*t6^E8sQ29pF^}hkVOVZONBT9Ljg{w!q?LwVjAZvG6v}rPtbXgk6DZ ze|;y33P3y{2k;(H14sfC06uMTWASP?7=nW}E}kwK#_y=5F5G^yb$`<<`eHgW7saW% zch!y>blP&NzeT->-uX&2W%@VE{y4|YZzL;SkF@s|tP(h;*RLA+6)*10`#VYG>gQV0 zf%B&YcuwCL5JluBSxW&&Etefhk!x%ff0&!}QYm-D(kz$Vk`v$r5COn*E1Z2&>_f8c zzR=#{ThY<@l8~bz3KCHEz5`q1e_jO)@$LneSlkN^n7sgQ08fAi099}h=L!I^I1r0t z;&NC$^(?p)&&p*puH{j{V0ewoWxT?pfYb1L+MBuzefUrG`a@D=ihX2Us34Eaf6TMs z@4Sj^K33p74RXP*ACN^mx?J3Fow59-j=!bZ?-q!}Smn)WQsTFKa5B<t?1PtEFPia< zy^*Wqv8B^|V`G}>mp+Jxobe~usZsi1o@}sm)l;=tx^;H6ObdtdD%8PpKOG@4m7%Sf zr&kjHaatrhE<a0NSt2-R-V`Z!e*zaeAkSn;d)(a5ILfa-W%0qdo?xz5yi%Uk@@)<V z`tn?Tg&%Z;jEc;IfrOf-ZtZ|AV+A6_PmTyNkqeke4VqFGh>re&jGyicnm3=F971|y ziO+$#FobNql|1&rt>Q1L-6iSV>g)qbTW;37sz+756eI1S)V<20j&F9|f2PluMbmJ9 z4KNJ>CFZg421v3$Oqxwx_2?@KX?N#BS?(5-b4W6W4*tAKtQ#dwuQ{2PZN7x!a4Gk{ zV>yIl*16TA2N!K8Srt`}8hVf^+;~})7`HZBkgX?2O==?JWpEIeSoj1axDH0?6Mc14 z88It|ZBxPZamf;kyD@Nce@JrO18E;{Z?O-PJh6BcylIcDX9D?(#FT>e_`lk|{G-bQ z@}85;^0{l*Ip+xDS*@uxv#&$+yWG!po$Zuq2w$JDGi#RA2(MN`c!v@1&{#ZBe&k*2 z>GouwcQapmJVXB14Z%%2<e5xrjmJJ~!Wsvct?^?*w8xU@Oh(Imf2`QG32QS?DVA`5 z#v(Xf!pIWBIF}{SkX^C0tZk|q`IFTSZmO|Ne$nrn8JMHrwR67c_le!O>C!Wk`iIM8 z_kQ15S*FmEw}O`~sG?<7?|Xl@OK;wSA6@0L8nIco&1LsL@3V%AiT+l2iCUmB+&{~% zuz4#&^v!&)LF8|Ze>*Wf(ghT0kBro~*x_o*<M~InlyYZ{V;i*#B?F@$ypH3Kb)3AL z&&E;b&x&Zpj!&Z|;jSrNYEh-s^F?swfuo4B%$o=@%--5Ors4Ho`9uJ+{s`|&AM-zD z@No5j8avTib}<z=4+i``e@9(FkTtLqaAbWl-Z%O$)-~z-f1WIYtO}Gv7GE&Vcdt)x zU7;M2i}OWccGh^8$DsqY!QW9pl}KYJijegtr+1c9qZxkQvVAy3HhDG7K@cx_Sj(o_ zT_N<n-ngZsSv(}+ofVB3g(kE4)hN-r%Nzo#+-f@532(HRI{e8J?+n#K>5>{H2Vyfc zFPeGEs$K`@f6GndqKfcG{2K^92_1YpOI>oQo!Q(<$$FYNpwDD(y?VtfAFAmF@XA-x zbkA36<narH?;d|zk&B0|%H;sw18M+CfCAWP|2(p%*-YLvEJleQ;upxXbF(P5M>N%0 z2cLCfDi1gBdlsMp;q1(YoWwsl<V@W5lUSrj_HpC0e^g?jK@bexv>t|T5%5pXk8V}Z zif&d9Mz^eYpKf07CLLMtLe!Mra^%7$+d<nyqibS<=2|(mA>QH}-_onXYE~xe!fMuU zE~iGxDe~FUxioW3FqX}tip$LuRO^=#&g~RDq*yZecwt*IonN*7ZvMKXL$YjF4}n5{ zA5GI!e@2N}=WuAbxKIjb{u&kc#5-P!=fdk3*_ZcgW(Su@5>;hKoeO<Y_c1WkaSm!R zMQ2XWN_)9aTQU_Ec|R6r(fdoZyw6c|^%=VAH9}`eueIW@;sG(6(}N|!Ya%~%emdXU z(=H+L{TzBcTfsBP!;UKbPqxBa{hHT;u2;a$f6!j*lS>)Tu6=jE_CHn8lx3rNdK!Re z=#j^??Qx9o9P<^X?Z_wu(zF(YUqtK}M$8rgU9lW%Fn_DC8)}#u{#{-M;mL(vszVYF za0Oc!gEN+|N>+2{R@q7^ZF`>IQuV8RhwLjpw@NjR>_nV@{bL}vnh+BqcL9M-5FS>K ze_y%UJJHYZ_2jFP>XDkso84e*+?P@+TNjh$;wwm+ec$MTrJ45X*RIc416|D2yz-@d zZRrSE)lZ4p8VV1+S>{xk6DG)t3wonme07XcK4$hk7dlNJn2%)-xHn}RD(QNQ+G|x2 z(rRf+RMVp8CYTeFwjX<RYr-!jj2zsWf0o0+Y6#zUYqtwjb*8QJ?x-$@4ra3qwP&*| zu$YG&wunl#m?E>4Z0qwNbsQ;^*+w*!G(8&Tf3l4rzSLrm%!jj$_zr1$G|fA+cZYkj zcM~<eDeOPh5PXjS9yDSP;S34p2MeoxLXmQzteH76u#(Rao{Pj5jux1%es8vse}zV} zGL4Twf_Vm;wXZmd+02*OCS-9uCIPh=mo}Z#sBYe!ZN&OMk?WcdBEejM{catF4_m?- zFPB&ygOA<d*_hIZz*(qY87#;(+G}`^{I~5F@%Xu#(N^WO|BtSA!+s3!Hm^z7Lnlp* zzNm?YijkJ=ijh9RAHWEpEqlI5e_OPiSW&j^>6Kqp;Q>J97Y(=rfIr{{@XRmr`ueXh z8Rk@;2{Sp$Ko)l8op5VZjHJZpixM<mgS_;AfLduVMjDKjehqL1WB;4W<3zophJv%D z?JJ$7g2u1iTPEYy0r%7<)|>{y7(yzIlg~mcpL4TZn{W)crs`e0V|kJNe{KL?x1%qy zCS{#&S^bLS@!{hW$Z{(knp~#*=GxcQS3DLbsgF~aFHWoP#|E@eHP?pHzuCJ?rXn*= zP)*#aW35y7ruVL0cV0J_7^FoOFXK%IW2?Jg=T~>T=2vT@@~fTsJjS)=YC=0b?<X!p zBnBgq#rmf9#o=}fQMWuef3@f2<8ns%!F$7lBuKw)fi_r{D6dKCKRuJwRlqD@888nZ z>zSBM0UlJ;e0KC?8(wn95}A>OLU=<Xpfn&RW?=CH|L$)_#u@WX4v`FJM1CbN9{$^X zel{_$kNS0Zsx$)sOpe%@b+P^wVxZ*SThMU9!#fPTnf^iM8H8lce>$5AV2K6DLSjf} zM$Y*}2hZs|oKPP}u@J{4A0KRw@#~R1^$O$QCzY?>^eByFB2#SgV9GGqDuV<LMqo(G z>|T@=X=k<bPtOruMJ-jFeeGW1_cUs^r8CWO-n{OaBG|dHvE75A<%ZmBP;K+a?UXdc z-SIsPI^k^m+ZS}Ee-M~?`eM)JbI?}cYUQ47|FmhW@`CA4rdh|_5_mUn^2!70;W$U^ z&0!=8i|0aOl<*M0Sokg^*-=A!IK>ewFpLBbpAAS1Ck~>3hF9E5PJz$*i4G&Ju=s8y zCKU%6#lS20k{w~v!|xs4FAgIeu=qtJrs$nx-xBtIRXJ17f5L#X62?T~aB|H4RpPk) zImDPfHDcU8a(K+%@8h`rE9kiWBm9{CgZy#(c)KzCn8q67abFFwtgo8*vcGocG`_1S zSfR>Qtn=qJXQ^2SNh5WwiR)55k#FBx+<;wZ<iGE{V(9T_ZJ_!@kj<5^lKr`RWig*j z#KzPY?ud`?f71Wf^tKkoTgjAA+bd%}Lfn=|7&?nIzVsC>cZ=kc-zE)~O_&K<Ip5wH zf4cR)Q#RCOu(_~IdYoS!{%@e1T!DyoP04K&Zr15MqzQCf;W)-AvaYLp7@Rw9GW9$c zB(Y1~;1a>)-($*iZc?g^y1`1A>G<yr{(YsQ!N9rle@Ca<o6b{}bM{yEi9l-<?*pyz z2WX7~pfwQweG8_&f{|Wevv;&ZZa!6*jCRD{DZd1N%y4)#g1lNef_wrH1~>vZD$_>K zkK1G1#^qBOtB8=<YCtU!q7JM&u&p50T0?}KjMrS}cQ1rfR+W!)L8aNcibM`>WAO{l zshiZ}e<KaYGlt0#OMw6E3V;My08AMsUnK+PPs1GDY1Wb*X=_}tH~C(obOmczjS8s# zjMh^rKKGXK{;)2(e$UEpilU9KIXGnRZCL*gw#TpJ`i2DU5Bu5tuhagfcug)%|7k*? z-zkUYn&f7v@89}kPtAR9@cM+ys)T1DgP}X%e>K3hkYUaX0Q@=N4*=f~1@Hj;SI40I zYSr1Zkkio10XFYe$jQR2{4yZ%Ds6|jgmAR6g_e4&cNr-xW-y0mDf<qXMU90;*)f2D z7LMVNG#Ga-$MXUd0d)X>z%k%F;2q!r;3Z%Nzz9GC^Z<PT2>=%04%l=~k9ApbqTg_? zf6=K9_ZHlsn+Z_)Ig3&lS2;}dOCN2h-ebEd%_fM0?7g5PZ<OOVkQgT1EkU%JHm^g9 z;~dwqm2ek6@f95(H#|3l4f#nw<mBo7sPAqC)mK9Nud>Zc0;#cPnCz(2;c$ORLIGUJ z+NZ3<*qWyJ7K(k(RJ$=$w%cS>O`){&f2yIv)3CvjqEv*?(nFKpu?6kamnM}BmT+)m zn2p(E;A3aMP{(aYZ>8GcXC*3vOX;UalN&cxPNExU_Z~g*Pk7x~GV3VTHf%o0**J*1 zB@?UW3<(~4Kv^Ak)%_+L$x8yqiHr%y-%5y46XgpYgHl$cbgoDYlZ>$wk#kxfe|EA{ zk?}CRa7jN$mD;Q7H{V}#CN7IgbkibJmhrNKF>b|>S<^>t)#!+=+idfn#7x)Wy*5yn zsvYxgpJ|Eb{4BB7=GR3d{l(el1+bk$$V4N&L`keQ7o<Z`E8Zs}VpTqI*bUpGk4(?S zEBD0gn0qaEPlUE)e^+T-8#uWHe;cd8RHU1rT6a;)QIizT;~NUSMGApbu^GGH<Qkvt zUr>&gHQrb9j#yV%e?DC~<>ow|I%={nwMpH=BcAg9a@cu#ufl`WuTq7%KF4`%qQ{i& zY&y#@-)Q4S24jc0n7FhoDTfBTR<{(yYvS}f6BKKEyw&^i2AMAd!wtxTf1oR2l?}6l zMTUn8MaeV^f0_}~j|cYlk_I`VEkFB+HSu-LQboHK3bcQ2XX-o@eOYN7D2xy0<JNH4 zbDX}03+p3(sBXz9BFnSTSp`J@^lAJ~p2{v{54x=RqoL$Wv409vlx=|KPm{gh+ipQF zROB;Et=HfQ(RBDnW-s$ne|p7`RFKqE5NdEuXLNOhOrENz46o-x{ycK!8kx8Hxdr8< zjQuV8E#8`E5%<C(eEb1RZJe;zO~i^9c8HU}PUZ_AhqijEAXY@NL*vMw9k`bXnBT>> z!p)#8wPEoe)R8~^@=v&7EVUnBTXG;)UL~DU<r&C7W~q%8_PT&rfAPf*c_M#0;9m~L z{4R!so9*ZzR@|Pie7qGt4m5^J-UVfcfPay7<2K(*Rv46>0*a#7?<@<w4GE$mGbq~x zgkGbK%Rm;l=LyM*9*6BPKaf0r|M<jbdD(M8dBJ%aGhoDeV7wxN9ZE*#WhmO`@hAa+ zjmGJ6qcfCmsYgyde^sX?1LgtCfZ3-)w5xyPH;Os7lFFxtGv6P+e?NS&CP*%A&0-=m z-_2zIQ@PqmCgkwb`@Mjqm#9wN%8I`}gohTGkIE3HfCrGnXZ!EU#YZy@51(v?#~b?) zbkkvh)IR*tBTF=RF}~=Pryc=!4m=$^sx(D*MGz|r*r7e-e@|}QOBM9*K;H0^CoIeJ zPs3^F0Baln35=d==@8{d#|nuH)#>+)($a&Dr#uSh8}|n78lwEMLn=QC(&>$f^e^bR zFOM`k`1G-1he}(F)>CD3@wPp&e@}V**`x&V&OJaC2Y$M`!`n4q*utv2RlELZomxKB zlxMkKRl{$Qf2KW^>)3%cZ7eetbtQUj1U~oIXN~-H89wv3SSDYyNIXijHpZJPKyB8S zAkpvr`c5?YDNK9$??L=frkTs#XfMo1Mfu4-pR&=@NBadkR6&Y0`Z5`ss3pkyg7&y- z^csP7y_Z{g3pk&-(owq#l>Yw&PhTzl<38>pz!pz;e^6V-<nL?=tU)u$aSi3uT|cHp z)TI5d&F*|9*AtsmSF6o2Y>hnk17WX@qdNDM5;v$MW}}g9>9`0onr)KrexT~B<HV~( zF<rmbdE`$q+#526Lhv%dXgxu7mC7Y{v4OWg0Ge|Y@nr8#;u@30cssI&;e0vS?;$0( zitf=^f0){yVUcrvt){{u7njr*H7-uc>`;#u;SO)Y`Jl`L-S{~uG&%_`GhOr}o{_6w zr<#~aIi~H+ZLbKbMYyD9-*VNz`~1jTY^h#yFK{o<sggzc1ABtzYIXR!7s<!2+h#Y< z3B@w;HVF(U>f)06O9yz;Qu{Q$Khvll>qD3ufA!nGVq!S0l-D!;G?{$2j@Cyx+Sp2P zIu+;PN4Vw0RX!92SZS%%P4CDw;vBg`)?9<!cUE^m+?l?RcW3Rd5Zi?idLESTw!LQ1 zbSsXNtDd({XaBN$t!9bdHnZ}lx-Xg~Iok}%@9Xk3OB%LWl%wiCXhzm0tW%Rhm3*0V ze{<KNq)<iQ65k)|WE{<v^z*FOlxe%k2kEtyHPekR(r*U2x_I{Qk&7s2?)ty`TDTtO zsw_*g%9erBypN5W$cUej&Tn(I5Gn$jsAw554<J7ka+><PWq3c@*iPMeCdrSWb<+m` zYzMF%zzTT-d<j~~y?O(GG7U!vnRoBSe||5E*YvbxMA$hQ4;bs-{M(mlXxtBI1&jl_ z07SrVz%T&6MUPlidcJsaOGqh#!A%yYW$`@yI{N;|{X#NJ;1xQ)<6no@G3!>Ym!~zS zbX(|~n=kAzMDVLe-ahakgk;_K&Qc99<jXpxuy3KXH(?X*ZPyCZtCWAZe<2Wwe_8ii z_nk&f(YClWFNaLN8NAX+St72^UN>^pZe1hVn9PWs_>HU&$N9GV5`5de3BH<s1Ye`r zonroFGqr)*l<z1u1X&L|!GNskw_DKW=}&!<qkzsCy@wsmmxOElea5M+q0p77q0kMO z0K66U;!RxU#}e_#Jbj#&4d{>!f9Q}6=$H-Y*gWv(fj<xYc}$$>Eg`c7@M4j(6{vCi z`N=l*hSiMbFZ!SvkL;eseU+NZ!zq{3z@_vbeK*w%eJ^_Ke*k-G;q8*B_<5qyOG^7? zHX&V3fr@nzS<Poj7>X8|SWT7&+9<}nU_SG&%IasTo}Xn~P{PsFgl2Y`f8P0tC2eeH za9Q2jEqz5b&S}e~U9Wyd|CF|EiY3zSQ^9}`d7KhqXYCuEOG)DV0xr7GUly7=7AP^k zN@(WhT~^YFuj|^<88fNh32sdH#cYmF9{J@3S;e3l_D}|0vZI%i$F3tWn&RD2ha<>K zSp4Mq^5_nZya3a9)zw)nf2BQlf{5->)ymtE_F<4Ir2+wA5Fmj-_Emy%H$z@P3dT_) z;Onj%8z_J=<O#-nl3WwQz#()5Wl{bA;uk=i6-+q-rVOM7Q8<Vm;2`>7Hluh)34^aa zsI8nWd%-k9;kd6viPtv1eq<+iy?`xiyFe*xv%oTIw;(iYqhR{?e{R9>ubl#T+g8D< zz;=Pz$&7&zoJ2e__*ie>1g*1gva6TxwTR_}#3=UGhzT9AIj|P~lw23FikTX1Tl&tK z(e}P*ybjq)thcXe^!4mc7r0prIp8^7v6krAH#c+WX1lM^<!Q`1;!WJ0@%D`LhElRk z5qJL8iSs+43dLVJf3OM_1=vI+B5G~$=)ym4bi9oZ+E&QKoejw%x1bvrO1*l}JCwej z0d=a&vp22W2ck@^YNnFgTAF1dEQ8M^jaZuoBPfDP*!#=9WN(IFviK%-82a-$i8Zp? zxb{P2(SJWa8Y_fF27n)DdyeiMLCuiL(<Vq|z$66I3emqfe@qfjp^rKkLh{;AYsSJM z*(^NNcFndH$ya9$lCLfU<^f~_pa+OsrjjKi$cH6#r3>ce_{RVopc088;~<k5c;GD{ zB8ZFJ9fi_t#KP6xXIQ+hxf4D&7XE&B>2vfn(GZej<Rp;=2mw?9z8E?6^%P1UBsy*~ z4U^h~{y@|<f0`#xKW=(H<Y@mO%SHI8(UzXsBjkeecIBFOeG%>Y+?8(n-it~(*gKEE zx@<7Y#Pn{$rOsid9XY%G$k@ZjxO!xa=yWg611KiS67AOQ_fHKU$+A>^V{@O<diCY4 z&}B`k1lA}@Q9%x7Q$yJ+Rahe@EI18p9^eBwrCLBTf1nWf5r8tlX8<^DEI4g!m61u) zWSu=m&TgR_s9$aA#KasEjgpb9gd8YKQbFQ>DS$MHj2B8Tt{1@N>+Lb3z#RqdMge?o z6R->T?-<;Bb6bGD0yqmOW!VORJj?E3guj)`08Ym1MwG1ZPpQpFDUA=C<bbvNFF%tf zXCtove>>WfRoC38Z%@2dcqpb;cu3tj&D0=`csBP$Z>3fE!7D^WC$`F!k#L>2LzpM! zhL2@Z<v+YK?26z6xSG7dXTD_NN0f-9mC`3MaO2voq&|+~RfAH;6=HE7mq=}Soqb}F zEwwY$s)oT6Mq4)`B9&QOtn3-@X**V#6ZInlf4?D_?6(t{zi8m#IBnqYU2WXPti-Do zUN>2a&r;b)Fh?IxiPiI;er()EF9>?ApN?DjBIuLHt|2jk;@#SZBcvNx{3|4;3lA}g zfmiS+J3f*gPIAQ34kJylcy=U43J-Dkf1f1iNDqH-#EK6iMX>mvk^}7+xS>F@qn7k= ze~2TNXBc?_i$6qSc5wfFPB9>m%mI}~v=2g}G5`DGQ&YM+-Es5WFi8!IS4U#P@Q~;j zc(Gve*kkGHkN^8dR2z#oLt<+2ki8f<*H(d=vtY2JkYd+igJDu7lbv%kGgnB`>3@F| zU1#rNVwZ-J_F$H}P;dWi)HZT~cZ^#qf4KATWdPN8qasOfy7IovGOyS7+SLLqN|F{{ z8PmvLq1S+`lo(I37|+VbGE`*l+>Sb)nBE^YM;70-O=Z_yw({E=i`^4%r`nT_W*gJ@ z&e|ETXvu6+3egO%rHQ6MF>%qX-Bs*9JT;l#7(h+<5`9ld;yS9zErkPIPc}c|f5KqO z)QV5MCA{<2udiRLS-NNLeRs2Y;!G}iY$NF08p6T<|NAe9tR?SzkNm6mtRoPQb^;dG zial(zVC*4_8F9`gD{G>v*C{S#-DK94cT}YoxcVojHuf2V_j5m|?sCfQBjWrnYO-gm z=1i`nvO~#Zu5*6Guf#K8_bL<$e?^qe*`_Mp$yc4`Z+8kQVQ>~4Gp`DEm0q_YMSZiO z5)(kx<O$B>;&#s){)zki?AvB2_rFc^{hoqlvEy|4{n(6+(B?<t-)yM&ns}$CtvLs) z?|p6ZB-{)x5nyfm!ob>=mGancT1978C0EMhZ27vk`~m+y=O*=fEopX&e~olJ<wLTX zN+kJD9gr-VN^zRarFhMzOng|g6)vpF3QyHMi=%4t!2N3?$5y_ZM+?0XEO)EpJeJ<s zoWc_--{$HyvemsYWvPp)yKv0nBe)OW6kWf*>AaUhQd-+X@4XX5@4Xd_*$Zx$=?^!& zg%>qG<gc|&8-D~#K(dOYe;PdGdG>Ic@M-AHr>5u>{eIIMW=kz+x7QWLP|$S@>H2y< z>E%=|?oo3zCOkMjMvu*4z`QG5V8vjUC!uM7KI>S+-Cttc=6Fjzs5AQQ>B^<zYRF0G znQ+Kid6dgjwRL0ntH(5QSCqA)-bC+re9DzqFQz!rwBYIu5;O`se+_Q@)f>cT6nv^w zeRbOcZ;+7=Nq8R^WqRrGj=S|b)Lmem+WpbG+a~jr73us`B<cNBs$RTH#m~OfzBa85 zlSHj${7&<z^%Z}?e%A}t6y9O!blxX6c&UstlEuw!6<qn(vlR=K?Jd$suS{&oMHk2* zg$vK%B^QCg3od*zf9J`(GL&S93<a6T;?e}n`O1W*Gv$O1-5dIt;HhBJwf$>ySCz+v zGd4>F5&!(O8NA}sMeui3tk4F56|lKcfi2y68)~)^4_QRI#r(nV!KwLmW};Z<^e3WN z_jKf!)|>kCu0)!%Ox2+7MCBW&5(kggg-EPT7jQkn76K|%e_XdcJa1Psrj?dBQmf;1 ztd4KFGe;~MwOm2ntCrYK(0bln@AY<z`!{UWZ@TMFjKb9E;K{y)96q=SalV4^>eilz zi&m4pQV@XZz`#{{vuH|@^mghyg^8F1x^Vj6KLPspX^F?|viePPPt-OKII3<?)IMIs zpEMuf_G)-<e?_<No4ySx#0cPvwdeS2<<l)A^6Sr!SRJU30vJ#;#}QJz@HFmc1z z+Q;!Uyr!h|(t#LZxaF92dBudl7U+7`NNsMVRI(g7g~H3cv56_6>fyJbN_*76qL@5J zqZl^Eq?j;vK{0xaQ!#3cRxxetl49%_n_^?g!B?n2e<yc<dIP&++!%{u<QTJJMhJ5| zW#WZNw8_g(TX&)LcZ_r7i9433&c4)JD3^qLL3@V(9mL-YlF1G^s1+dXZCa@aTbjFU zUUI%K#Uy(7bjxmFK!1t~uk(f*qCyuKqIgrs;ETV)Y1!=qY1fDp%2`+prU~CLASSGF zNe?&Qe<XmnY0gGQ{kG<k=HF}EQdC`gBd43_PqUqiTg@=yQK3HJ*|ay}3N$-6snNhP zyBu3Og<_^1;<tO^94=0aTWvDHSDPNhayQp7ZIG$A{qU*cr&kiU3h_qjCE9`4XSuf= zn6{I!+RTC~AH?ge>un!4aG8er(^j#|<t9T&f3?l1KS{*TW1O=cvE=423OJ>&2?9D= z9&f{O?7qwg;t@fgR|dwuHnMm-(w?Qg=$s`be;wQ$WIa3~MfD0D9KH?YKCo1@VR9FY z$X}QgTCm*Yyb(lSP1E*ly@b~40ZBo8&e`24;*L%O&7m2#L52_2`sFaN>-U#Yyi!I2 zf6pY<3DjL|AsbJx+8F20xm`mF`#SkRl%6ierRj^%$t2AQ^=4$R!%<SZgAmK(=R5&g zwNLjcr<X%Zm>LYL5?pnrxmw0UYpGETYr<oTeHRaW&_yxkE<$4lLq##6Vv}KGSE?29 zhL2U|EZ|K-Xvfe_Zz%ijks&KGr8u8$e_mn5WKtk&;whto25gB_h{Y!2VWRlQ{7R1| zJ(q+x*%QSSu=?@y@xHZGjzRUxFY+tHgp*}13h(S*eZI8H{DUy62x5;waR(?i1jU1Y z^t`}evL{72oeOkn^~MkHjf$)ZZS1e{+q=s-po$JutzL-t9la#HW6t!0;O7a3e~*HM zG)NR(IMZ8-{L1?+pLs*+?#$7%_A$!S!wZec!77`ziu+cLN5QPICT}JMWvGG{I4^ns z{M;oAZA#aRw(9ho5mm<Madd2mM;tt4TyObBIQm{SWOLjd8rHckbkDoS;%75?-|U8B z7rAaKKQQ59<0?vE-6U}ELgURJf6tV_;(Se;^mc~c2rMR?k54<gBrLv1`&=vV{tLXf zRug)I^hRJ7N&#zQx)2{Bc(Jpk;o1-6an0v7NobqC09)hj{?%$w(JTQ!#61g8eu3XF zf0I3ZN&#yUqY~a}VEjQ)xb-4`j|dj}7A!OvG&Kw~l8*NI5+}<K!jT4Of7&`oGJqr> zXpbgnPZS+UGCj`oPj@z<sYLUS=v9TfdQ4Yr4mD~uxj{SST|AZBNj`e>b?L1J_reNu zx~IACg>CQ+9!s*85?Il%jnEF$Y$^$aS}i@Yy*oSt0ipJ$t)|>&M%y-`eQKjjX|3Wr zDt;QGHxfuoH%gx|t`D+Se=Qu@iPfYF%)~1*haIvFcOCqem}h<b^7J9c5HB>{gFmA? zF66LUHqHn8y(b~0UT+THKC_tsbKmbBtoIA&H}jtw=h_b-FPZie733;Y@GP65sQM}E znJ+46x#~Z)=Iec`EzD<_?UiEh__LI(apoRhp8_u<#h!n65X<FBe}oN-?A4{}Q+b0P z^@6nYq=KM_oU@vn6VH0S2n{RFDnp4!0tVbM&jNJyc6gw~FyO>K3wWltqk}F8ihov* z(AyD1`$j|269Q1*O8!MSI?F?FRN;%vu>Z^+I&DwOcY<%xCpT-J6P;EG^PPY!9(?DY zD?*=^3MS8wyQ|Shf8o5vp%x5f{HYve{7H;u&&(uj^J|{<G8!y?70AV^!6^T|i|2Qz z5^*BY8h`6zEqnHi-xgYC+uLXG^Q*v*88sMD;9Y!{(8Z#vXsu6opVVL67lIaB=j}E7 zZiIJkwFX+WjE&Ci39Wl2p0yvRQ3ixfE;of8%fFi^vy>4Gf0S)g%gO`ATJ~K8e*U93 zo_9)}ti3m<K$dDc;y0M59$|NT$mr4hRbY-b(B;<`?qg;8iMz|=GJ%bur=?j-=ha|+ z7BIBA*z#W`4yGon#)J^#+qTr6nUjU$b6@uurbH%6-^d)DaM$6<2UF?CY4oRm$)i&j zCOqEm`B_j-f54)G_hOQRQ7}{njfDx#LTwgbUz{&&LE!>*VegqHrV!F`_OGmFGw4=E z@nN`MS>f3+my^YQQeb|=oZt$Tia-{T%+DK<P<EI(6vfT&_2luBLc4eIhW(TxML9Ia z#&GVkvLqhcBg2ZX*~ADn6HzRcNYSe+#>QP7Wo5pme|<~T&_Y3hqBL|_l7Owguu*TH z{lM4ZgGIDqPx!we%|3g*-W;E(uZYMLHCW+1e^FXo*<n}~@jlux2>j0EETRP%d|KJJ zbRq8pmA=r7&9h%U`F1;ZP)tz?N6_^<o3m>Wfdg?=Fy{F7KK}v3y4_~$6qBAb227QC z8dBSQf2Vk_?(@tq>?`qT{UF@9D*Q=d+&gJnAxaUrG`(?B1eFN9?Oi;?;gSe^;Hq)b zcZM>_VQE`CEWEc5x%@R7Z>R>thy=$&OfGgU83?EZt4uF_73gSF6Dnmx3s1%&5%3(1 ze<1Q+ua9VLY`~)uIZ3=@d#ChiuimTGui2eKf5Gt*%NIqg2WXA|d}l5Dj8FkdiUY)P zvBSG~+2ok?;0aON#jU&K+g~f`jTxP^0x5jkzh?KnBC%$mE*n3f_0FZJ_W*6XXKN>Q zy{wF#&e%BeqDYZOFm)Wce;U&g4Py*=vj22iGlZLk>deS)huY_~QL9kChr5Qv<s{QN zf4!FP;mtI+o<orH7tzRtRn)#&#E7&bA6KlP#fMkSa*%i-lDT)9<jfBb$fyOHZ`d8m z;;s{+1B6(5+}H(QJ?!@*JS+KcjnAl%?Z>V7uhrj{gS~X74L3c#3r4M}*VxLCJ_*9@ zs81#};<ln&(r)fD9~A1e$4KQ8C2K62f8P4NqEDi~W=|-*i;t-7Fs(<7xsqSB5D!?_ z{_dhb9~e0_=Cq{+#VY$9he;mj5@|elU5VkI6>Odra^moc&yonyVSm#D<dz#Vx~ttR z)=|0mCHA073qLn|S1>uc5Dfyl*!?O{@Ceh@ZUC3&HWC3N@<AXQ1el=}v{`Wkf8U!> z%mHxY{>wu^9uM+&z$EDZf{&%S2XBGk1_-)AF~8AFeWbfk42&Nhc-L<8J~$G9i_#ct znTX=uL-{*;1Q6YENI47izw^*|ZYcKnIr^U<T9_4zH5JCYXY+Rq2FDAld`9||R7Otm zkVK~D@yX3%F*0v0_|LAcF_jVaf5Woc#E@w!s;AV4U%zI@-zTY08G-wd^^fnyEuWIw z61K97aykRygYV*RO(uBGTOuqrLu}uQ=eYDT63L|CDGD`M3>o^aPG{gx*t_`aDlz3` z21GQa-)OjaPi*DebO9GC6+VaMlZn2X`xK<qwNrVYgxbA34$Hcm&I=dte|}^2Pd1fN zF3EM1<M$!l=Nh$?uLcf}`nv|XoHdIbJU_R_dfX@q4?Iwbfixb5OCIc`=i(RFImuav zQ6fY175rIvf1uhSuq5Hz0~xB=#LPP%?cA|h_&aFG<Y&o)_$++o@7?YgNjZZ*fjL=t zJ2WI0mc+qQCV8OI+ecale>;l->?|_wdK#bIrWq7-UjVLsJy`|TN8(R(F8$Te880cv zV{7N`52~v`^-oZ31sbFYHf+=t<0KBY<Agqv>$6`UfXe#xX((ywp<s&%Vg6s*x#CA$ z^bKf5I@2&kN>hv?yJ@oG6;t&;b0Sa-ZGQCcFTU7d8ML0iejn0ve~C}L!P)&mk}02^ zyVQXQt1I56Mi-WLURgWss`8xCD^`1fk6bbQH5TXvW$3q?{2z-G_-nM$3u5Sp(OQg3 zywL3*{D0Na59!gl)z`%Gw;iD0lCvMqKW0o7fo|W7@)^ApkoeL<>+T66r_Fft+2G@t z{KL4cJ~&!S1_TZDe-=?_t;U3BhrwBW+yZf@{Lt6oSv^H)*-Gf2F>t4aW#LoN5EL|N zOvKJTItyRl9P($6+U4E4_p}?Dz5Q}D3)hZJAL%*U8LE$j1HFTNX+6=OUzhHjcFOnI zH;8b)YlltD!oSJFf6c<jWZ~}}Fe~;LkSL}g5=JI57VjsXe^-2(Lrd_Xg)`9*D?GJe zVCj2DI>At3#4EZ)6D?M(^<Eu6&XmV)A@qC0{m{;*)|V4!@futU6TLBVb=y21pX}7< zWcx!Lok?_w`kgnh;jgvP)=@D69i=nxOG6loyBlL57O~f5r^L|zI;u~PpfBL@2|4)0 zDj7MUoe7c`e_?p{7gOVj0DR(>-KGW<BLhvUL-dhE&=5aZQk_8`$q5Znc$~Cy+YVcr zg&!4&^o;#HV1%|7+cZsKa}csCN#^f%5ODKC|2n{SzNJ9Rmc(B73(M+R76>pGTrADa z>fu5w*TQD}pEKGFlEMW8!>an@zLyR*8y)ywk`buge?G9q_05m-z*6?!3%gCQVm}|K zg1`ySGD(td7(ZPXn5J67iak2+df>%!>l#-7SLg$;PgSlsZ3b5A;X5<mcoZI)KAE%E zo!g2d`4m4@OIv1VmQYCub8I09^tjPp-4u3Rjmcj3d*D^$?F&ZD(<5r?<Bnq;7(;~J zv}q9Uf9lK!Lgh)>#Xr^3$m}AgO0VJ95gk|F3#)0<B1Qs3>YAkPJ&4DVdBt9LMZ{$9 zD&Wc0ub?>e2`6dKOkDuEc;>Z9Rm{MMrE7K~(KnYrh}ZS&@EwUc{^<}OA}l`1yKHx1 zQ?U3WBUaMi1J~!OjF?=;uvX7$;TVtMq~B9Xe>}@q6rY%%FJ{0xw?~q6nu+QI4ApOs z6CET%zdN{_;k0+9dBr^7-(x%xT)bka$l@5!mt6cMu3bN3QZw&dJ3RaMadIaiccR+8 zprZB6p56S2oOaPmh*YDZvN0;P7aCA#vKD?cK(}I|z^F$iGD=Ov6GqLyKT-H7rq85L zf0p6_^5FrH4`ISPUY8L-VPH?n{cw6Nf`}R45D=pSF-9!m<`u*eEvV4|wV7aynYB-y zG%~-^1UJ)S3axiiXSp*6U8*;Z|DN`;+BZ~qr-B-><iv?3jNS!R)?mW$nCyu;FmeOf z=L;5E_1vxELFlC~sBgSpIr4M=)}edrf9_%zCN<5kG^8K1?W=9(PSxUJO?zmgH{57! zqG<je!&12ToTwW^wALN%+5Ja1wp6i*P6e=v*_kigGzfQ2HY`b=7m4@u#-W$Dcwogn zp~BV5H$hMt1WiG3C`MpV93(Q;<4rX~I}Mc|o&HI^O$UbFvaV1?FZ)A_bvg*%fA8uj zd$wMI`VPH`9^7?5^szvj4mH9(Kmdu~2?cYo;?ryUyLn(g18^3q>DRCiM-%E84}ZmE zj|P$qdcg)>Y#^mlZsWmvPt@amEoc!-oHwuph1<w{lq*h;>;Muapq+G}OPuT=A&ku5 zi`0Ga@91^y6YqR}LQjYavpH|we~e4YzS*&i_Oa+8y<p(vZ;rHB`7o3v9a&3p<EV^( z+=hQqSqQo(2d(MB*wpq^96g&-?h(cBJlYgqh{o3id{pcY=r*f}3`D=NxYgs99-eS< zOz>dlqlHYmO3Z;GjB(u$ykW%tI&j7@&gWt!d#)lR%<pd5WK`|${k9Z~e<!W~l-)m= zdG*SBK2pV!dRQQaUfm0eD$a_H=4bAt8U8pa$D^>JU{Vp&^T2Bc6)U@VNun|#bmbRW zFyqW?W{;dTKa7r;*Ww+NRD`wJ)^{J@6bzhy%-bkwpabMcT2cWjGYTa*k!dEH?^~C( zM2#*G)GA2h&-cY<E!{yEe@uRUb`qbpG{|qz2nzDDmMR6twd44ej2X9XKs(j>#Y<x3 zwnF*m-lEa%P%SQ@J3gPYNU><N=3{$q(T_f9{Btt=CqWRix0WCGY++#{0<3f<^1_T2 zzf2_^KUO~<(sSSVkv-3738TbY!szc<!fqm3;~!(gM9N8*P0n|)e^z{sS8;?Es}A*< zH~$c5d0+{P%x*E<J`(snM?0!CB9<5Z+f-?4@X~_Hq2wIh+oQ61Iu}@97fZ!Kb@bsm z)MEwYp0h%TNOs&+6G5l)todEb<k8Al!CLh>^s)Q<BEHJ^hq9=36Gof09|GMa{)exr z1_cZ%XTLz#epK@Re>Js)eG?M+7<eaNMrTNmJcvzO+gXOR9ZwA^qdtt6L{E{CX6BE$ zuAG=`-doq$yg5aS73JoqM^P=}`Ai@3MZf+VrugTP(5CI)U$4y@s9Td34k+(5#R<+Y zf;z5Lb9kn4o`c>q&plh2Q~I&YlU=d3zkz%6d$!RngU9G4e}~-{DnpnULyNc%>rq+6 z=9dbT&=qeP@uIZY|A~ZBAR?f~N@9lc>O(+C4CSb{{m3RtTEYtLTH_7rK}y(e=R+1T z6K&X!$HoXa+DQcr@wPmG7B)g7ymk7P$Pzmep<VV!Iuqj)gT5s@Xkjjp4WG+=AM`D` zK?~dYi%vIPf3k`2yboRZ%s*L~f67P|t-Y=M($P^r8gLs^N27?TV^R#SyPz0WHy&{A za~(0@-m^cia<YgAgvx4q^dGtgRV}yoW0|QM0W@tr+D{6tTcv3`_BUN~b?{-$PPnip zCp=Yi5uU242zS&hf<I~!!TF6t$A4r`>kjp~-gC5*f4x>AX$sD~${qOGAU<aaYX!H= z^mE`#f;?k|BnMq`(f4Z=7fodxvya~LPwfd%Sn%{0nSv`8Cjr0v-l-s--lVUHsUpin z$`M*=Jk;m3^Fv^S-4gawsx{u!AylMj0JNZpwW7>e#nG-@4Ro^=bW#I!G7@w$IYgw$ zoVlWGe+2x#a)fNgW`O*dNFB^OH>-~TOhB{0vt=~2@-)(~Z1i+mX+$IeTts${{bYh% z9leLQ#S(|t@IQ5EAve_c<aytcAli^McCfl9xg*6?!<;4{!;u;$@KF@v;+pQ1@VYjn zZX$rrjBzO!>=c(6xiJAp>YbV&a=B}kCSD3*A|H3@l7DCG%;RTGvKmU5bBXsIwHGwp zyp?`2crn=w8_cy9&FK1tuJU^Fy8KG_(I4vD>av6lakf$$&7WCgP4`&y-Bu^7SZk-n z&<lrWF_7F_@P@=B$X;gmu3!#c^3L3BAX@SOJY>Mp5E)p~7_XgsVHO@depJGej`jLT zMrcT5tbb&+dLKy}ikXBa9h>)&2GNlI9GpV(OJ(P{EIhXW{1iOJm_RW%1>nvxlGUJs z0g74Xho@mAtEI)^q8Q18j4XUK5Ea69n@mtlI6qu8Ub6aOA1N2S3dTvw>GzSo{}5<A z08-*H*7P=hQwbVRhGCCY(f{<I@fUDi<9Br3$A88hKKm&2l10{j*$ts5p^ax%`uUbH zJHcbFf7-?GMc`>*A&H#_wa0-o+VO|=-Kp<sP2Q!5EdL%46m#FJu&&3kOsCCi^M#2l zcE@;<;=tCg#&V4>?<t|gddc-`6GeNhYu*os80R^lDU}^HKGBo+$CdVfWcT=BArtd^ zCVwcEJ~DAFt9Y_-JpWarQLTRMgjkiwxd~L&QBEK|D%aygc_m5{XMBvE#Ej=VHqzH( zMzIZ(izlBI##aO46|z@O5)`sIPJzNWT?Yyy=ol!BexNYMs`e5EcP8d3R;Z{L`$$?p z*Gu`~#SF_oj9)gd{F0QDcC>Rh9qJ>2bAQ28Tf#6*zrQtrM`QHov1d>W21tllNx9pg z#ud~!fP6`q2)yA=|1l>N;{q<3ZXe0^Cy5)H#KC8+0$=HP4aH=(08IgU7Ipdm*!cE% zroTV_<d)=?<W6prT;`HnW-1|<h%U&j2%%W>(cJHa$Zh2^LsB84rLfFp?#s0jm4C6x zLUWt3wlVX2e}BJ!e~-uBJLmm6&vV}Ayxy<N^Y!o;FO-5(3s9Z_Q{Ms0R{_(ld-mN> z5Mj+&z3*8{7i<)qqF$83)ylWv!F(GU!3Q=pYXp@~)bMYp)bMPmX{I0?e~vsm9rHBy zOpdww7(-gC-yC4?u2y2CNPUA#jep{rpS-`$>Dk9wXsoT}e_%d)*#XvAdt2}U(Y<j! zx^7T|y~b>p+O94<$SjCFyKi%J-WUF0*-l+?T_rd&qFudzw(yv{gBzy;&A%Y#kd@eT zbd);hru8>Z<6L8*)<3NJPz0fL^GAT;rR&32_s)N%AOMpsI?aCalJKz*G=FW6@&<>% z(_Rvu6oQiSPqV9E5-Qa%adkrA$d`msz|JqCh^Io}WiJV9^FWzVXHX3jxaH1<^1qgH zR$D!_-}RF4A9mjp1xd0;K^~dML!v~LdlaBg&t$l?t8Ku4GoPvte=F2UdUl&#ranvx zbOwF7RtdAC1Uj05L+D4?Pk$}kfL4rdk5~m9<7eM^9#>Du@LnJB_NPqUk6l?_4$20a z%nXxl)$61X)y1N*Y{^k^gTV*RZXw61U7xO>?IZs1yk8o8JN)*O5;dpCKlpdAeZBoU z^A+LSe0u#BIB9SrtE&Ly@@HpjgJsE9hFYEB3xVGWML}}T^yV2}`G4xOeg76v+PjSm zO1T&)yQ}u&yc{0Kv=(YD&N{p*L1>rzcQ!aZW<QVDTFj%@lti4$_pi`1jVZCHFY@=* zig+ATOV#@Q*KNe~kG+AF)I|d^kBfzYQ^&TRnGdPa+6`ZoxL<O{XiGR6jF)Klo788j zPn)qtATE!NZM`uk^nX?bI~ye3FzJsE`~pm|aT{?zj_WoZ9fKQW{mb(>ngH^*-~O4Y z@nuQ1=t8At@!15^ERWx-j(VbdZ!%wv?+!hDo}pUwNl7&rK`M9DJCJ)p$Hwyf*kY%A z5n5T{iN{XNQ}p+QK^^n#-MO3aAlNxL2&MwJh24VRfEmE$V1M`FN82v+fxJXK)f&|+ zBVTEUIVjRS-3Q(~{{iLoXoN{)jJ4bSz}_)KJ8os(;!P?FnEzP%<{i7Dx8b79jy4I$ zd2qoMBI1x;gBR^Zl8d*XiGRqhHC;LUQ$Z5qN>2R1rH;&3G%?kdmX^mqeodp$qFt>g z&1H#Z{yvYP+<zNwx%%e31IISUH#)ma{bwK6s7<k>Rs3t3<O`D`HEY$TN~1sT`>e<1 z5gy|4nNf#!Y#Qn})y-RXp|{}@uoM2}g@OdN`)fCLn1^d7A`9)TBa|@_v-LJgyd5Gj z4ZGs!`u>$IN~~m3PUQ8L)T=${1Sw&VOUea<0VBo|7Jn9!$i3IGeV@$ocEd8{hHb`H zIt9zTj}TA$m*?yfo;`2P-+O<f&v{ll^G67WBVqBX66{~Y+_GK0npBY)RakLi={_l8 zjcEgG&Wx&2%Ji_K8tlsv5ju899~31`sIY2$QpS=B-p%aWs7{CD-&yU3&u3c<FAlf! z7ne88!GB{A_%)p}y$ugoFcv5LB924)Yui??G5p6Tf1b|SUsi8n3W|L3x%2dwkJ-T_ zn0i%vzD9q}8sx9u?P6U+ug9f-*jcj=<yEJA+6(~QmHn?{4s^U!7X#LFJP=i_Pj`GI zR`iH+nDw4}K<B?qKp4>XNw^$<E-L`iwfB%Yd4Hf)l*snae%TK5>3cEb|5wi&>_wP; z&zX}1hCTulw;ux&gMo>b|C^|USwG*J872M6wxNAOgAR_8KJ{F+@#5Re>e|feI>Jj3 z+{M^;U5>0h^d0|f=owy$@T~g};aa!U%d5v7?5ZBM)uAYFWc;puj^G(|PxCeJ*NHQS z+kY%yb36J;xak6=+Lt0A^AC!JM)P2mqE)bZ(NL^tv=ZBa<_*6`Q-JHz;v`mlo$@@G z{x|wQNncT$>X12#S?^x~q`2ciXEifQC&;G3(ZI;RJWEz$#TF-z5$&^($Ue-gHkBfy z{c5+4SnsP^{^*?HtiXkaZ~8Z06K<BiX@3HaqYnb~R|TB-NVW%J{t0l%M|OZz6_6GH z(i}h!x4Z#8ET~QSl!yb`=>s4j8vyb@#cKf&oGc*q`d>%UzBZm@Q>)_b)As&9{!{kS zRSxS(|G<Z?jqWY&r(XU@OyUAJBjrDQhO*7k;3(1kRP!9=n5nj*+%N9%v113O3x8fg zE{=(Hgg*|Ps0TcK+5w|8(>ETx%y6Sru3W_YzO%TbKQQTxi6;(?-&$r|Y49j}?NJea za^?KVenUi>u=g$NPuccCAKP9T|Gv?wUOdpma`s+ur?^eDX6|xsc>g+F$52*#;2)EQ zSc_f--QN%EKtAF&tG|kpSUDNLaeqa2;4bpzhYIhbJ3;pTq)ZEM^>BEp*<?guW1^nd zn3#k`R)+!Lf@|E4o{b&*miqqp*Y!5FBm!C<2l#4~7rONEfb)L*-Fzisp~3a+bIwXS zHTyKLKd>>!gSni2@%*#c;45c6mYfnx1Ab}UzlK_(=Q`f{Qn}weZtvIdwSS=)ao_tH zm(anH-hWa3ZSagibjv{vJ<iF3t)4u1H2#{SZ`+HgP@m`j1#Uvy7R_5*y~zs{A~`_X zEJ1{FjybD)uXMPZJ>*F&1|zppw_3k1t(D&%k8>=vjEL~kU+<mHnXTM04j6oQlWaG@ z>Q8MejoiS$$yEHB_4lM=v48yS#(yBI<jqkq3={Y+U0#3nbQ3d{)<lUSlT`o7{xPD| z+=@Whts0egIfniOhb$()M5j@p>(CFYDRJtu?pZf(oN?N-L0EaYEkNz}Yt$dQKe_Sg z4CPAQuEHtCNAkk^2YfGDUMoD)>o+KEwHqR}H4PW(^@9z^_cNFIH-8_^`Q+yuz1iY; z`RDx@&riaIqmA*$ylix$>dkVslOW&MKiYorTab4r|LZIe2)`806Ydx;8vaNA2D!d} zy#xH93Hj6^m^9MMGVy25EwJjV5aR9Ag$fySdSBMdks7Ny*y_k~9G@auUZYj8Sgn<} zSi^4teRs0KJFC_c`+wWD8Q%XQ=#Qc8=;y#p)H6qAV{La(==H|`06-l8C<Fjnz(sJ? zUN{Z3Sb`5)Ef@9H>r~6S5nOZWb9Ia5%O^M3<<i;3$k^be_3G;be_ym*2ic6S|9Om> z9X@8<UThxv0PHxq>N{2Yv*WI7bKKABASV0*0LTIW@&Et~0DqDIKnegz1pp6U1ThcW zjjl@p0ByNTweb-jZwR#>3s)g}x4baH?(b$S{pZ~BY%`SEIJT#$T+!~q_Giikh??4$ z_iFy{Po}#!IU1J?KGl2Fg!?yr%2r%a`S-TQ1Lte#9&xljy81_NSbwLF=F~<k{aUn$ zj~;Ap<C{0S{(s2}6ZbF&U6Xvdj#Ht-eham_*^KHS)5aXs$B<Kw_blsXgIt?WMO6n? zQ!k9Znao99tCKRWce4!r_tt(=&-O{}&!R_P(h<9Uo#xwlozy?S+snT<*kLZLxKiOO z_s=&BYGIT#B^&G{FiH>mVvikLab;EB$V0#~sI!w6SAR8Kahl)<&%N*CPCQuP!N`w0 z`215DPxfmw<}V9GWh>_&wiz|NP#q-e?>h5o_rfT&FYv91-KZh%L{SxgjNJCc$<<zC z*XAQ@FM?!!j}1>iLjO@h>HopJ>ZT>!2H(E8e)8V!iVsiO6E_y^cWXOie$5bdr*4k_ z30$0mV1EQm13a-BQJ&@xwIfe`mm{XBwpT{%&XO$%h?ETwNvJa;UXTT)uaPZ=T2mvW z%V<?U(go{Cj|>omKZzIb_6WkqC??bn1Mb{~unE_qGST-PRwrk6c`0@@+EQSBmtV_H z#ryBf{I{L$cNuxZ*Y`_+=JWOa%)1OR;4c5EpMUgEYTWR@PVW|G;Mb3TPyP9#73#G! z>ULxHw)}?93`_U@6K3H(&W)b~O~`wk*q;Lt`S&=sKm!P`0}UX2&^7tnGRe7R+hT}$ zF6n#=(_-(v@Sgoo>V~S-fjzCC|29BYhxS4+2blTFsoaJiDRBfb>m=I-e8Lxi@XpX@ zdw&o@B&{j3=={vXh&}lwr*Oc|oMQd_MLq?HGB1|*))-{C)Z9q(mD$VmNVDsggMg;U z_b~!4na0s>i<B*YkqXH$+Eb6T8enK)T$F4KLEjPaEynH?PHx}C2=v}2$g4WepTGz- z0W++NCQ}TRA7vXyUu(c0zzBe|jhDew&wrad(yIJLcCw74by2kNedQJbOE5;j=IGmV ze}~`VLofneS;j15yxddqu**&j=Ug!Y{rh#s(ZW;YwX0z??Z?b%zA$~))o!!8euBTO zubNB$`jqJxxtwER`oKv&eh$=pHsMy^U04W|PjZ}Eb@i7`6d%?<ceiK8-!hSIOn-%b z1)JPPPcGl8o3-~Bd_6=gxwyUp9RP3LdOv~;NeU7nmLbUWukeUDu6o>7pWJrcgZa<f zZy#)a<~-8g@_J(|;~^6HC}Ovs%tPTJyAzs{1q=KHn3_z%uJegPoI;PZynZ>HbAtH5 zks?Q{3k`TX1(H~RfP-L_-wWetyMLmxzZ8||p;-C~If^pf9Y#pQld_(9Xk7Py^&<n^ z2OW{z)puX*RltamG80%gR(sw3l{=5^zO!BJ4b|!|^;d?fbfrw=TpRk9K8W>JB`F(w zVN!Q4FU#NlS+1eMZ1l*<8|u~PdTGu6^fwL4oMm~e4#Z>Rh5N#Fj<s)A{C{}D><^Cz z{LdOApAE>4w_`5o4Al5*LnXB_S66!tF-L~`K@H+zw3yRX^bY>$Yd@}ak_P-F5<T0x z-qmyV{Y3<>?QZO++joT~?J+F!dgLHQp(2Tc3S7(Ya*&iK{v{r1rhRfpq{H;jH{dT~ z1gdX4JD;%1T~at@atrq({eO$U<Ur;nnX)?~|2})q>U?bT3f)fDiP^oaMbwzOS>}<J z_8zzX^p~aj)XfZ!v^9T`mdD0FRHw+n7=hh>xw}Wh-f8~NY=!~D#1?Fdb?Hobrk&54 zdOq@Jij2SrBxM?#A#aK}WEo$S2+P-*dQP$WC?I)L1oZkwn$gKQBYz=NouYS-Kmkj! zS5~|4VvbZ^e3EEek-mAwEyCk!-@BJG)9%clj&Oe1uaen!7nPgkkK4U?(5vb*qBC&+ z=bXz<Y}7s%#CBg^x*cbw#@MT$+}v;@$@&Lf8_T{{CvQ{_cleiH?e9YE8>v7acXR^> zU0+VG6kD&{%=|T45Pv`+bq5EIeaQUjy`#IMX{rNS>Y_aAzlBk;(*rNq7klI_VeWS1 zf4aMuqP=hTh9Q&uqVmr@ewJc{cK1fC7f*%#x?qLFx^TsMF@y$~^YJW3$%5{Y0Vm{9 zl=jqu<X;EepJL~n%7QzVhW&TVhc7Cn-ZCaMwa=^pmS#MZhJXJ~NFx>~(9a@nKjOS; zpH(u7bAtx`d+9y0YX7t3C(_%XS<^fq$OR7U{%TgKWt{>5&;kJdBdY`{U{K1Ro_D2x zq~cgssfMT4>=W?I_JSt?k3KC38<feF1_W6j?r%8OJb2e<_^8o}t69*L8e*iuuA;;< z4`<M<#P$!eq<;^N#2(8mWt@}&0H=edsyzU}CIC1J00_XP1zZ{dL6#2vBe73&0idK~ zZG6}FE*+xZnL}V9rsTRdaVg?I4PV0EIG6E38vNG*l4Z`hN>zYno5!1ckA*CV`eiXZ zPD}+<dE_zsVsYT91>RC*c7M3e(e}-%A5Zdd>!Pt)41bo{m)P{cMw6#I!UGMq0R~<u zH3P5ryujlx0sH*@-rEHE>z<O)$`@dl3vYlO4gf<>fFUYygbM)=4CMMoV$=PFHva9W zH1>VN?r&R&qZudj?^u5J4YwS7%rFBU?48OusX0}h<B|8(-|{o}%8G%^)WXNBEB8hF z<JSG%Q-Akg=XvB*zC7OgxyH@}={LCEa{8n8{N3|jKNFik(!8x%KU+ILJ}wPhKHe<+ z&6D~*ZPHJ(Zdl1*_S4{qA?{RBRe8g=w*f)20-1q#l#Pb<^@FAs<>2?3&-M(Og?wIh zYC6m!a{iVfoL0LxhaDZ?_N^3qqy;WJ=(wyopnnISnOBC2be=!HQy8&ZOdcnMb!!v+ zlDkeMiTXPG3i+x$xS91MrRmSnBx~Q4&3Zz8cUYgC&SHSOwV#GhRv_SX(xev=KGqkC zDk>;X8qLr93a?vp1vskgu<1+*VIWZ?YxW<6s2nFB#~d)t=5Mpt=@$0qsgM??p*@m= zfqx;j<Kz&!!1Q*3VY}~YcZa{=^=w5CjR$0bT+fW9zuJLGZ-1n;zoLYzHx059{Y4rj z!(e$HX><M};Zk8RAXd!u%Mn$k$j%r6M3!-zO9Ot-UqlIrUC%wzGWz5oI#Xl`j6mLF z<7lS_`~v2GIgnA@6PDhUzuvp_HNnGkt$$L{$46xx`{q}ra)6J|noBOm_XE?z$M2$# z5Axmif}m+Vv}ALoPxP+1#XBJ9wE7EnBH38`)$VbiCv8DX^GH_if7HP2l5V<n?}f39 z?$(xZU4MbU$N=Fe#I|Tr!e8XkV`CYxBL!BsAmlGHCmyB`>_r^NklDsEK#XhfNPolo zi`e!bom<n{-;Hon|B=thGS#7L-bjNNd!@aEw>+%T4fcvg!9zt(JvOdAG4&khk*3Y9 zGhWX7onZbk`Wv}_K4UFhuwRY?ii&Y=z$-(2e}hRycSPonh0%&gH(ZsC`sJLChUt4X z;E&V||4lriWMLD!=~MRw>o2*Od4K7Lipi}v4J2eg=&p2`{-wJ;&KRMHY~vCQM#2p$ zx128w=FouuyMI^27uzQ{l4@L19xC$n_h+)8^bqsiiM9EePH|i@?f|Y5qKrdA#BgO0 zX<Qly8eRM&eY_8(P&k}W0ufwb7FZon7B8dK{U_c6oQ?gSIHH#HI3q$0%zqOB0t-Z_ zfDcBfCLQFKatv6SbUU&#K{>Vcae@c<->vc=86SbPcYK#t@FPR4AIdrLZ$1u4k68(< z>#M!C7%};mlsU=acjt9_i~VJ%G6SRiUUwhF2o1mFK*ht}U2ed`F#?sD#vXvWF&=3e z{vuGRFj}cc+AE+Z9Y%ZTk$?8gU*vk0u?N5wpLnE!`{m*_rpRF!0aD-5Q>2J#;Xp6n z6A-`X3Ys@YXv2Ls*iLC_h$Z%BkG(nQ_!fVlp|R<8W8+`_jBl@Qq@63-v<qzK+T86i zzz7ZYgV5q-Ft^1eSqyJYzbNO_zj*{ktY(PUhY4^Nj%s97-XsaIQGd<bKP^GSo45XN zl0!e$pyyAnYheU{x2gaf0WfS|j6i#zoPu=NJK!~b@#tya10zJZpG7&(5@e#YjE9Qv zh<x@77x@ZwPfVRiNHwlKHTC?xN1B7b$Q#a;unFn@88Bch3;nNLs#_Pbi#}9DPZhR& zlR6c$arHjkku^MCbAN*u@onB6`vqouw?{d#<G;!Z@vwY@aA}Yak!q+F&L}nsk190} ze{kXoarJCk+s~B9_a^(G2hS6UtKBgeUXg_$!Lgl~gO&-zhI5bF;v>!xsnAQDrd)Hb zp2_^kpTK`#fdqe~0srG_SU!(C$odUH>awpK?_7&W{Z>$uY=4xm+5RveB7`o5NT7=# zO6b=wb@PY&<Zf3(c>=x;{~7cBdc6OYzhGf)UN6f@L$cxARGY4;=kJy%_neuj1RG+@ z`r-RCpSA|>t&B;wzO_IA0xBS=@4vUwDA)S7-2o7M1_avuZz1sfngy3ysn&bAXkyD| zQ=t65L(3-Gt$*?7(3MSy@$Z&b($C%#q*{$NEMnvargLWg`Ratv#C5ja+h8xWbVz3c z0)0SG1qdDzA{N*y1NZ8|=Mx2E*V^vwY7A|hZ;~_>&UdQd+4~GA>KNT=nejVF#9?4m z?&;$)<CBV}VV6PSQ_t@Ls=~H;rqMV5FF!BqxstA#t$$de4sng<kj#eltA1HoESfdm z_$~6#4)Y0G^yyPjpTby4s7OV3&ba4SlX`EBd(EGHfDT0C-lY*Qpc8MXnf>&GyI<SO zdk$>J8wFB2ArF0%IcC1rxrIVgCqR)OvnKyj5C1rKzKGnWGdd4EJ?MV3fNo&c5rV=U zDbq0VoPRRoLw%!ir=OG=x19nu=?ZLetIa280Dygo1}v}Z@1yhFu4ND;5wNy`J0hpN z!$k}q8xQGBowx!Rp4Qa!PabKZ{vx4(;nCC|GwUXQkETm!C_h-ir8L=at`YntPd&a= zt8M%%lcO_Gd@^QJ$J&;;L8hYuVf!8+^N!tBkbimkuAS+^gU0!tw(_t$lBU^T^umu< zcidf29O_DI0#))L^2!*?T2s|!lL^6f8;x#E(=5ia##BIwM_%sx)(=n07&51(s;>|m z2IcQt48xxMc%oz&{F>lRJ-Ux<J~HkTTQraM$lEF|-fRYVm#q}on>`=}_GOQ>(Mzwq zwSRl5`Y+V?vwquYb3Q#s(bVArUh-i|5ZR4V->uOf+aSK;niYE`M@voPs%OI>9HXQ) zS#~p?eRbuF+`f5wgu6EFCft!0MmbJCM_DAN+~4(P>r%|N-2**wKfPp@dHcq;B`mgH z{3w;~8zbsISk%K9As&~<*sP8W`v>o2mw(6DuR2*_j1u<3y2IjpK5v*{jPf3rdul&e zl*bsg4j)_AJ-&ELtKXuphj^;xj|4`SnRyTEes?&*-)miZhMxSRNU;A6MExJd0HdCl zEfNEi=170bilZx=A3XAc`(hygT{OoijSotmB6;P!gIxqze(MpQ`JkRg`hGU!&VP-~ zO3yryl;qn%eRN>78binW_{hA@gWewY?Xgmcby%)P9@XD8^zm%1#@4&eA5UU|o9zBJ zw+1^!jFItB?=17T`i(q-;h?R<-lbzJn?*YKE4M=`08$1}q1HgG0{ElFwT3}6j8Z^< zY|il&1BZq|7)B}LF+)aWD!>ggKY#o0_{|IJR$VchR`+|)uZ_TV(;wF7NlD*5n>D`W z-H_K%E5<Q<8t!BquWo4>bWS$$h$07qwS&qS`gX6A>xV3xRU4iz%E0c!5j5~C7XK}B z0Hu+<K+z*hQpU(giZl5NC71k-B1-lntl>3S?Y~lzsuM+62>N%j5v7G}HGg!kn-G6D zjOIyw+r2cTi(ew>cH1kE6wv2$)4t^gkUvmPnkkwebaoL<Gs?Fn=TJ_VNtz!Ra|##G zvkyN!cE0m4`WcZA{e&okE+-yBrxC@`Na6u>wzo36%v%hd;Vq3W_7+6v#cP;ZVNKc& zV2`(*z=GPuu>x)S*fVX1uz$kTP(jj6=mes$J{3_=pNv3ir)ASI&${Dk-T5{&{CMW< z8V}65=!rqk>Ip(G>Ip+H=<!0G^hBWN_4uLGFd1VK%9tcYd`#pg77=BMkBCB$0-^*Y zg~$V`ASyv}iK39Ac#-HM>`>YrxEJjtT%L9j9!5I^*QUV$<CO=D_kR{(yj2vl=tJfL ziRB9Xe%86kP5%bOI4ILZGJcMgA{etEsI>e<nRP9K+Rcj-;_Lc7D$fe+!X(ci<x^$~ z<WqN%^2vUx(}GO3X<nwrG(U4wUYcb?e@2!b`iLJ#hNV~(hNW8Mhb334xeHo3HVPy@ z@|NP9#vW$<@26Zyihl!Hb4UuGhip1vW!rcl@u{~G=MYwbbqnrEv!)o51qrY4V)>3K z8=A#@2vAM3MFA4eEO1QT(2y1+S?dXOmU$nGFE*VgTXdudlFsSzb(VXJ$CsJ%lf62$ z4lqGWyrlDE!kwjP!FWk?Q<g1Uk0wGvlUE4l-P7z<q0XsbH-8uyejVllKMoUv2f+|< z5X=;A3rj}x5OdH<3aT}{f`kyf1Y8bQg67L*Gp&T@tQ$2ox=jnR(>4GGkI<0jN2p2j zAT*@~m?xwUGS#K|n5w;@$J&a}%JGWk%B)zpFDw|Y1d|4q7X+5K1D1D$3&6DDu`o^e z8Q@XJ71V2ZGJl5b$@=X0AY23`l6;b_V`j_cRnV;DG1c4`4R_#*5OX-%ZT#%hZKCXB zZF+3=HYGM+8<cI<#>19rQ(;TP)ab6T0J;QBl70(zkFG*{Mo9zwM6g?GXczCE&rH!S zWTt8tFq5^Bh_w2AL`r=jBDKB%kz9{#O&cy~O&LbErhkUzw<d=bL@s<Hp5>~5&mV|6 zx()X1Ez|Ej#HhsE5Uf(N3zGPxnd7{A=eSB}C$1>^JomVFAx^^kF^<PO6Q|@|f)n*d z;f{M3p@o~8KkoZP?*$G?RweaM?;8&US97JzEZB}PQMw$=nH~z$qo0C>(;Z++bZIh< zf+2t8_<sa+uMAb=t&n!9t!#0DIp;)PfOH<gWkZeu#FGz~!8sG%<hMdI^<5yDa@NW? zmZ~{F&nAlC@*v{4O3o?Pi5UIpY(Jw-^crV3ZwW%)FupZnt1OPsNJtXnXtQN$8<cb8 zw~1^0kuA(=iE(yWQquGNiwTAUNsb-LtTs}!{(quvZXI7|21GO<@plQA?8ouVG020D zz?Lm)yN*Dqy?U&Cu{!d%POuo%eq9(k9}$(B$Bw&0R^IC{q=|8%@~pU|eG!f#TbCBw z<ud=#XDv)=qj!3;#((5+*WfKDc`HBt*9QRF5kF$^4X<24;sI-R3H#bs@c24Z2yt^D z!hf8)hy%$k_7fX}M1-bq?_KWPPnqWQfW>5K<Wj11!BUFV=B}aA%+&aO9M_4$FM}+_ ziK7cRhgq4YWp?PskmM!y(}20&5-YRC1ez>ekbFd8OU2BRtp+<o9|QuMOLxdncDFsH zpS%@6ra_LRxZr~cj(C3aL|HSd7;`i27=L~<VRj@83b*IzB6i|=I(wHyX=~8D<cKYE z{`mTFFnJC-ogA?lBN*R2evUkt06j=rF*?RFsBG@eV{!NsOS~01K%`@xgI}WYQf`oU z2_FHsZQ=tW1do+{qi|xXw-_<QTbZMZ<zex|t!Y~nTk_J-6a08#S#qU{AAcf>cz=v@ z3@giuf*;@<H9xd&Cz|4?mVr+rNYGBek3sSkc)J{O(**O&()>0%4$_7@GY+h8#0it{ zQ>MsOozgr?zvGUPF`Wn=rG+>-@)ybjvTLW{L8ZTOYGjGd;Dbu*aav^4p@43y=#7jc z+=EN*!OOkzE&`BQW@xu$JeNOD6MrG-qQ*SnqQT_ry0p%pm>sXnx<rp6Yf)D4NP=my zW<dm>i#mzV_hP3kM~{7+W<i-F^HaR=TZGn>rGf~-aSakrg>|PeBs<<T8pKwj9ocAy zErb{?aXTigsuPu22^&B47v*!Y$~$qB%6woID?VSRL>XKr=MYPhUQNy;<bS1DsalD0 z^ErGhUOKXIF-9?|Pj05Kk|(8e!1NA&#+)llV^V_gq=HJ`B*#Q0Tmk1`G%wqR77JIV zoq_w&ZosW*rj+7tKjdy&Yk}W`#E~ZUm{*}-+q6@n6b=Qr%wxzQTp>h;!_PLREm5ZN z+C$de!vt%*7ooR%n4^IA_J5#S>a%P*E35SvHK`HFt<hkfIVUBaIm(`<(yorO#nGMd zIr)W84fHv}o)4w6s%g7Zj>8{g#EPUJ2g1>Hm^9r4=1zY=Q>Tm4ZquD<$LM;rGRiEu zbttYo0?ACRDP*S96fo0jkYdRs6=l9IM<`##Ip~oJd+5Om8|dMROMhH`NJjh-NO8OZ zBrpCTq%!^}E;;@%F*RO}Sjf?iR$-gc;wU8i268;v>cl<|H$VP35IA{FH7f<}z_k1v zi?V>VZryKZblYAhuOJcgL2J08coOiQEV}myGk7qvHN{UQf?w=J1W%X4+!3XVb9_n{ zxU!HEj$||s+YVrP%75KW$Sy%Kl_WtW2k!rVQY`_=!SQeeVl1Q0VicqKVsu%SbW?Hx zr3qg><d<TH?BdH)Y2wM#XnH`>z2N(&b1)P4HNj4TElk^`jN>H<+TF;ZVZe-ryRQs6 z;v)*Jc)M)4lZtFj@O@>)6W|BR5+}e1T{M#pCT0NjvJX%%%YRbD@J0mXwmgY^5<)rQ zM3-QNweO+CRNMiMdJL4MMTgM7QLtnz#he^G)C~Aha7v}xIG>eE1y5oMM-NEJ7Gx;p zFS(NvLQbUAk&B0Z5<h)Iv&D%|(ERbn=0+?zAU7f4wzPYcBjhl`U;K2zx0EK$8h+AQ zXWq^NG+$>{JbzRS2WBg<j?+D15p-p81;vQ$3uwNzFp1aCo-5^@5ijRm6v;W(rU2w8 z2#}u+vdvhB=>{+xATLP)c`1ah0+XemgI%NZz;4kKVG?vG%$4p7GoUL0OwM`L`a5d} zVGTZKDY#9YM4WSZT`~Jab0fXt{2Y&-{hX-Y#W?}J3x9Km^=#%2jycaA89O(}H)hY3 zMQ3v)%}m*LKq3(X63GUhJw!^`LU!>7Yeet`t3@1;KG7toSumaQEq^-oTVWSZjarh3 zvkUhyI)$TQrWPY&CK+RFW)vgEQXtJdBX3c_-JWKzZ-N@+x$1(UETvq$mBwYVV$vJl zosP*ZYk#m~=fRx>O8mrdf%e(1O0;xr3_NC8jKzM)j<A7h~}HAOkM5^}G@x6KOO^ z87R@NE$%Ow*^BQhDKi5}4_tleD-f_w+d38yPANglT*-<J$%z>n_d^`WUJ)8=lp3<{ zI5xmX_*u22TAV&tgg%&?kDQ%z(&Nc3$ZqdIdw-9t{h_=VGtJL7Bc0Vd5^kf%7k)|a zkSIHhPjq+XVE954e_KY<K7MT|rhAQm#VZbByA=uM`0^pMdiUqe`!nU^YNk<p#!~}O zqNCaPjZdE$4z(K(=khm#1E%+1bjrsXQ=fQ~9`EQayI*d~Aw`)cO(Q32Hre&R<&4#= zcz+TMv5zgT+9eWao7LSP2(GfwdDyu8N#!V}Fd?rkE|HQkyJt?fUiX#5LMoP^(DAhO zIT;3gVxxw8V4Ht(_<U0G&fY|@E0}lh9F>WU3z&4YPRiWrn5c0B^Y7iFGS60C=uqFb zt0k?i54*YCC;fMJPZY6V7<(V_Jb!0xy?;}U;Xe`Q+BG^0e(8H`=i_8V6m!2;Iwet& zF*mVv1$<;LmfCu@(!N7{TfUaGy1vgg9vro!nx5Nm&?7GzvTc`rhS;-b&L)n|$}esa z$?Ixv<4aSLaO27G-iFFx{UDXWX&B?IQdU|a!~C_<fR()xWqlSt61DCNt~3%;ntv(t zR$QoDVg=78T*+<9GPpNXP2-4|y@n20o$H*N-Jony`dNcR#`=q{xiMq2-~Mb(_LN+w ze2E@=r10&)g0kkcfR$t7ms#)<>rC|Brx4M+dp!nE3=+k$dF?La22lo3C#Aei_xnzg zIUCmND`SE%C(9hMo#`xt@%tmf>wgtz7}~Sb50RQ=^D_9zHJN?Y7@h3_itU8o3DTdj z!!!(GovcNw_j{LgjlN+%VAZoZ-X6C$8P?u(LNgD)W%|41k<7jf+Zk~^Il$p2r#hZn z9nJlmIErUkm~%sNDlc_>+7%F|AA%%V=GJ9zx)d};EeWvDSOe~Ly8W^{9e<-cYbn&2 z(sZ_RVfr*=+$X-GhG-c@{Z50p7i26jr~Z_jk=Z}ZzJ~Ct42Z=>@^U(`v>!7=n$M?I zT49!9osTNkM|c=$nIsFg**2B3*hpG)DaXcbaeVfGXehb)3&q|$*mUm%TO+4*u18c` zu-3G<#GL>R7_m?=>MqwkA%FJYlG0GxtgI+q9Fh1Ca*P9tkzrZW<$;RN#qhZatMy0* zSCFxCzvVTLx!S)SPP}FFtfdP5P>$d4X>r<N`jhCp0q`J*33zb4KfncPcZ(xuRg7O5 zGF`xYCwOlDASzfX=ULh-rEQWTM?7dj@CZmtMdiW-Vuvj}=h7(>K!4paKeSidWlPQ* zLfmw+PV#wP8GfrqVIjT=WNqcRr<W5kdA{>WR>13<BQ=vGz9i>P!G*AVQYu%2eUBDP zze*DWg0L>Yx~$li{2!Um_HQ2B_L;Qejo8|i7>NIC<;1slfPuhw<p(EQ{bTbde7ym% zt+1Uv(edU-<ITqwn18H2iJegD@N;GQuGaRo$zp4lVJ5}FdoVa!k(bOvIl+>GAL1CA zA6a+F1&T8}{N9iO%nz;rDIqFA9uW_6&R{iI#y}Z(2Pg;sUs>oyI|LNQ^OUgei2Rw9 zjDnf8j6#d#jC_mK!9oixR}pIz4Z#XWD`4wam<8=v=m~85iho#PTwA9Kwq`}MFb)f? z_KWk`FNjx+enCpYOAeW~aTVFN)7I)FN^CZz%%>|aK6{0?Fs_|@f_;73RGqZAlSP^F zsVs=kSh3nk7-VSdDJ|_hqqO5I3peg}oTqm*W?bwP!==YtMH{erXsYxyvdfTIiWSJ} z2se|HxnfkP9DlWEM-ONO+b1e;G}uBkw+#ZmlHDPM8`#Jrf1g8Ox$l`58*5fOo~&r? z?)_ps?Fc^5L{k#L5qBkNh8vdMR{6Ww-EWC5JV`d|V%)h6HS)$#Q*xJv*nx=cIE`rD zm=n<*%oK7I1yBA+neHa#`w4ESit+4UP&&*l0E!YMM}Ht%Fvcv}f(^5s6qtS@G12O3 zbudAIQ83Z!W_370iQziYdU>CJ$D3MR74bUp$c_RvfM|Y%I#@OS8hU(ZeA4A?rA>mu zwqI>!y6-cH(vA{cYZ<7Qo^Sk1IJNwWAX%l%=+IeyHH3Vwyt${htU*9lDgU!HSk|Iw zO^C5OWq-GC)5|8rcIM=^k5XERvJ#}EG+#8wWP7jEPOw8J=G1mVXP#ik$r!oqj!ySv z%TI@6&TKb#jvwea#8zA$OvpPJdyC#ak;mo=Y@esdPVCb<B$oLJMpc!Yd-luxL(R|k z*LO=NwhETc?th=IvB;b0fnqNYGi?l@Vlhesm4B+iX}+bn13N-=Rmivl)FlydJpE(A zmp_W@_XUYRFTlIYXXi_tWVXy0X)?$Zg}xGA1*B}i`m4l40q+Z}*N>zpjmkudF?wZ? z843@AFR&n<28-cTJN?(<=D){AVNNDF*x}vy9IbP?pNx(xAQc1Fw>^0SKIaFluksI4 zx_?V7YL$CS9#h&Zkn>jjl(Fr6rr9{@D^%BQ#?NoLi{5c*V=RtsDaVmlj{965w;15n zzU4IO(jGZCInx$-p39rQ?rp|sS%;KX`b~K4R6tk?Nf81$rDKC*kGQ4G?uoEiG4fa) zi=Aok(R@)35!63!SA4IoH8S`YC;q?E)PLAGgc2ZH4q?TfX=~O>gr0YKG54i>cymi4 z7DO$79!%f*lF*kQ8;p1`IBv&`@u&I_J%Qj-6<jm-{r|zB)LEjeA|P6NRl(z(j+XE) zK?|YJkF7$}91?#fyemQT$C|^h!#tDr4|-!?CB!Z#_+?CJyDBet4i8Q%ZKEayv40!n zP36UO-?W{Z%soqP+VhDOHaBZGTzy}~WjQJ#iC1C4__J{M@7+`6fle`AQMRv$*+so& zB}YBJ+-T+XfjF>;X!QP(aH?hVu1}{6|N8GOv$p1OE;+n&kbj-Jdy}lx=`OIoz8gaJ z?OYOA|J!_ob*9p@dwPef#1eq>(|;Hg?QYWo?bHZ$_k*P0aXI9&gdoKJd(?(}a@_8S zXy+_bq`Q@!c#P#t8azOn2MVwD1ZCd%;*D3yGrM!5EGVg!hqMxBNVXed;Kh*g$(5?6 zd{*}1e2FPU84d(1)Yi4JlIo{w$}gsB$}6TW*xfsHj&>P-2!c`&$WyiJo`24-CTCG( zq7RyjCYBKuILER4(T_GNlSvw_2glV~1;#a6d0I7E`IF89wMnPnV0ZT3FMeOjv^EV6 z7o-`&?P#HJP1-4VFf0r%&D71LG?F8RX1hrR?P*;a%mWdsOuqfqI5V;$B@924kaQF` z&eZFUOYoaa=r7!GOcp~%9Dl6bwiKiZ(RIm2os|c;<?-5WuQpVY%aBVq6}hQsLit}x ztfBOdJ5Yk|SyQ*3M?A0K-w~W#vflr~v?Rt{rV2lgcvUf4F7m2Vd?!39X`)>66<29H zjhpzwLd;<=VR?|VXNNF(x+6G2s;(IR->Ay=!enH-QT3!%Dp#HXS${`sGxDmEeos3g z7N6~CP87$I`1j<g*;PrGI+87<9TSAMpLCjv?uAjciNSK%#^V{Cg*!{@%?gu_XZKTg zq$iB7xIE&TGoDRE0+cJbv#u$%qB7PVp@-fHUACz!h6fMUHKq6##)cp|OLvMVhOdAZ z#+0w@AC8rx2F`lkrhocbH`#QcEsCA?<d+$B#fadibxjW|a%1@sqGda0m~0oSd)pRi za=LxI<8ssT7;3mCJPhVSN;UwPcA*9DsDXUd5}jE^^n5@iod=)oIJ`YN*_Gy-6MJpB ztTsuk<7t=j{Mg`?eV$nUBxQVgRSj2d`wiDO*&^6wPjl&G?SJ%t!JBpaM>=>IH`W!* z8J8x$U1?ey+q^<rg@P%&uImj}4Bd(9$(1&v&B@mD;|?8-7D+A%^4rA86|B+nWUDp! zVn?UNpi6?__U}pgwr1`m;yn0LN0o((OM=z5?PM7?lD645&a;~*-YWT^lJ}qTtqr|Z zs9^m492jCgynn~%Vf!J(@pW~gYg-yDZvH{f^dUc8;`O#Cu(0{-J-QFQ4w*{l3J<1N z_ejjYgIzQ`sURK>B3@;kng{hfc_=SrdMx~ew_jTY%xC^gkNe^2<Bb~LSK1!IqB_>y z+`nIFI^}KC_8z7&|G3Bfkh{1Z*xR|S0VX^Dwdd7`M}MytXP1)q+>QT}=xAOpzp!zV zc&@DjW-%X@-gG=%n`qni5vDy~)T5hTCOr5spvQGSljC#ii*?Vr`DB>U{OcZfAybKP z9pc5r2<tEMho+?)HND;2a%s<cK0XW+ntn?1vfifld{3`G>@Lx$<89yef+p1?l|C$7 zEUBmMZGYSL0j4!y*rP>9Xk`1IZ)<}Y%)jWdNf#EDKB{-p`>a_P3_6eNiAj$XUOGCa zg|;?phndVb^mwKl2?rk?JBdCw*Sh_pM$+=_a>xajuS~1#x0)L1*}~G2dZ)ZEw7r9= z%%}G7r*8=_Nseiw?aZ2Cp!u90+4OJ1HImNSXn$KX`yUCSKeo*0n|qQDRZ4JuSf=xS z4_$;Sk3zNL&$HTS2J?RDd54uHpgQpvS<h)a^LstJ>CD3$5_7t^Vb(s6>3gmA|KGos zGYT>|9sO%|HnK#+s~AKZaVvU{4!r%d<=zbN`4srS@0NQmonbat-<oc${hcu?A0PRa zw154VxjQQrC+K`(HNhFJv$2b}gv4{W<7&4rZp48|#_U`|>!r>>c$;_<{n?>J^OuX* zfryfw6_B41`whX}sq-#f0|`dO<0)I9N+WgyA=pVYY-;Ph=f3%XOX&_0RH@HyBMdr0 zL+KJou`)<6<uAy^DCRK%d8y(Koew!!27ei&bUBFzwrt{l{^Nfg)Ig|qQVOBVAgxg* z<E17`WvEUN(*WB_sJ<k6>lY;K7dj}uqE7iP{O%Rx2&MFOP%S~rX;gio*J(W%o`e)c zL53(QpmD>P7DA*`MHu}&(z-0F{pP=3|0|LMlir5Lj!vU)$l_8Qks<|ZIvw+jz<=vB zw#?j*PrVa<YwFg=I?n+54dis`>b;_lJ5xz%bwBUWVaUjviU-5OBYyq79cogB>!-X0 zMHt1j6D*wOLg;!(L>X?7k^tH;WhWB^FF|j=Z~9r(1{yRn?<5F0Ip2Y+A|=bveUz6V zO;ht%ggPhZdvH1AHxzn|vJDE>H-E1tlsb*wg&#*YqtK(2RnU^Yc?|*UG<FZJgxo@* z$0;0;v_AGZ;h~e>UAP1?8|6Jh`3)-8$G#-Ia?-m8mqiYvyvHbXkh?zi1>u=fML0bD zL=rr3;hIxpDBKuXU*_FQL4l?Xu`Prsr^Yb2C32;V*iCr^GBw0v2$!6~Lx15$$g(ox zSITuzs|ouS*$Vq)vjt>jV!W1v(8pF2%AMBl!ljYzDB>t(9mG8QZ+r5-bR$Lu9amfT zHxM3y+$|waQxZW;)0kue?<J+%^qa_x638Uw7D&uACY_*mNhy$i2RT>*nF3z6QZPLl zsre(a4=p_JR1q2q`h`gc?0-Qttf<_dBbV!VGI;ei=hLP<h;*8L9IhWs45uq1H&F58 zlwFXOKD&yL=ahJtE{Uu}aYiUhpe}v(D?*b~;yt=Nl7!-nQJA14eRlOvtIC`t6LvPi z?-DnNjxYkTZ~fwggeGE@W-RWW=rYqlXV^8=C4z<2SbO77t2kr0oPTJn>@o%%j+oyI z_3isMa{@liijBL#ZKzPfX2+hPxKKk1Eb42{t-oZK`$a%qPj{Vfe8bj&OO1l_wZovf ztFPEHaQ#ucg0xU*s=|dW)bm91w~f6#(H4yFwEw8P^EThz@!#q<(ps<i35`GRl%BIq z5QB$}wikGX%suq>*ne`SoJS!}EPcl*nrAHD8~SM+VI$fQBMdhgEh}gaol8gCY}ryq zP^Kq>zv7h4s~4k&WYPEVbvVb?Kz@4o=x_n^j_)I!`<5Fe0abirV;U#JPF}Pbay$*b z;QJ<qhkkB!8Y5a2rFf=yyw)!*!)=4ls(wy_jb7BQ)v7U8B!4e`2lo!YY0a>5>*51f z&xt0U+f&7Ti1lLGFobDt)O+OO$?;ytH?5ESu17qKx7m`NJoXKBoEAo{nOB)C>usv9 zOkKJXA<$Koc(me5hZg7cj!-m=0iq33jS8mkROH}Xx6~<@P@1X{Q}M!U>E9oG!n?e2 zIXm})Ef9+P_<vfM^I<1A+KF+Wc8|J5*7&_&dV?`Vlv;8$dK;6Aa-gPRR&^O=G<|1F z&eI*~XdT8T?Jf06zUiHc$MF}pJSU~6cZz8BRQGw6h5b@E<10~cW$J9$lxoeFcyWe{ z@wAPFvT>93rO?rg%Ue#7mXq-lI3?q{)5^A^k)sUPEq~vw(-a1(My=@^gg55x;*5t0 zx-l5R5@J};XjDt`;P;IlwOVGn@|7;ZyxKWo?&Uowq%byu<;oC*DF^R&=ha%JFS%_9 zCq3sLs_^Sj=5+1^MBip`X>n8+@}KX5-5YPL9=cyvKGapsJ>u({AkJyo(V=`t1*<iE zg$TyHT7R7O_?NLbPkV|Yb=QZKsgboNNj>%TdFh(&mD#xSTXB;YzN5a-da26fFO!4a zh&M^;cJ9i;q$;kElJy>#^?(sGG;BO>=lhziO$`X!Go@5gNd*hF`)B`s=SZ42F8U2^ zo{l3|lzBC4!g)qh@)yEHbG)r1#VIHha-qZL!hf#F;igv=2Yo#(WjMu)Pif~-9psN6 zp;EZ#v9M@G#w6_^RfQZ?e*Yk(EB0cvCF27vo?1m_ly3?^nqu!n^D?$+5b7L_Z>){K z!prgqq$*M|ZTy;;u*=H|p$bP!1qdcKDB77pgNZS8XbMybvi)RpPjkIpy5_ZUf$`T$ zLVp!bmKKouPht>k<fXJ=*SsSxRTYvIo|cCpuVVG0L5y13RVsE~_Iow{O8rvGxNDy1 zcy)y|)XP!;*dmmr!zh8dFgUbQDux^~Dcl`gJNU@-D)>-kqmtm<#SZa!)F&_w6MKtw zmC;56QEkXqCKvE!Z=@f(T+b7Wc<p-xYJYFZgTuz&XI)^V(~eU$$dZ$ic&|6b>3Qxh zN5o$G9)>!1oTmGskVA3yk+Lk0QTsoZ)mWYQoW<L;Hq<cQF3eeUzYz<Gf4X>#t~BM% z1O005GQSozd#cB-wk8#ExrtA?u|jUnwZkyJBvy)2g{oH-`{FGU^KOwkly-XhtbbB1 z7DPWV`Z0eutnmraB~p>nIhG)c&5PW(SzjXt7sQ2xCljwkT2quz@+ydFqD%~C5i~S= z+SF$K4OSK|Jvv%o5f+|8w2yS8<c^hyW78I=hSrQ*&xO}ub>Uv4Yx&Y4;dw;cNI}Zf zn2Zee*`o81t}((kyb3D}j~t!NFMkVN&m~@n6r&8F;#9$tL}6^f;@pskG1I2839AgZ z9NjBe2~|q=w%a;O`Gpcxnf?lq!M-+H#P2PaFRIY^$5?`MJ|uVRd1LgZE-qt7KRPP- z$bqC4B5D3Rdh-D1$)W`9&Df6vT$`<n(NarXQSghkyj1rG>-{u$lwGg3Ie#-S`rv2) zS*qMzlvB2dp&cHB9O7Qv@}tqmyoD+*Z<(>;gQ5IMbukbe+Gr`Y>%jn(_I?a^gxe>( z)H`ezX&P-h+h|YwI>r&Lm@EZ%JDNwHmDMy0xEpOhsy$CYZ<^rJ7q8J+V<pFZy|+T6 zZ>%BC;7WGnqR%j7X)nfp$bVP(CV=8AD#0QgbA!e!hJz;?rwwJnp?aEIJfk&f^9fhZ zFa2mUk($CC>$KYvjdmS%B-c4oiSiZp2?p_%i*9$U3Kk28nm#lg9cnU0^t_Mw_}UDD zS<Iy|QQ3IXodiLa9peS9mAdvPQj(!cLr~Yqe&2<MIp;dwdXv5j6Mu--9U`2X*mI?= zwXH>%%<u>vmL}sm+{JBNY`<adsL};XWlnaiaI`)HM{A;v&f~vtbt9M0oKDNQIxe#R znsI5q>U$)<tKKvv!*yI}ziLiK$=Om6SWzvSkFiSgql(NIOg7^!|4WeTR;v$w824s6 z!|s}kfXi!N9$))Nw|{7l(LeLoPHU!QeDfCdy%gym9X%Qt2zhHR0ZCmnG<-CL7FTkO z48gjr?5HQ(!RL^M*QYo#8{g5Wh3bL9n5NGxk1e%H$`=JO;{xO2d;`p4MlGSnTrc#6 z*+#Hz07gK$zeGr4GU^~I!Bz5mOvJE^<5t4tv9Ahti_T-a-UHWA8&!X=GZ%l<W-a2D z0(D-o^+F9pb04CX$99#C-<}>!AB4^A(@anS-2rDdlA<j}UFYwgUP=p|Qs7^&HwQz? z7KZ>g-0F_JGcer`-8{YYD0o7_elZ^viLa+(vQKXLCb%p<4X8;Jnki}Tt8A)$aOaX} z%>JEGo5`17Q8Yu+6l#C9wyx1w`ZBVOQkl2i8g|JfrmHSEMeyqbD!$rcnnyON-p=ll z&S+@?Z&+hCs$`6DZjqPz<+7yLR!oES?$M-9x#+r;{A+U=pH|H$|C>bV)3JF|w+pbZ zrqDK*g5Pcy#dJ>HE{ePm*7zA`v!yfXH?b2{`?rSucqHaS5Ga52Yp8EFiswe8=b|Ik z=yIfef=H-9NT+(F?%UE_ls2_K5W1+KR$3EM@dy=mqxrD0^=ZF+^NJ}an^6(Qvs&5L zG2r;rMP=6GdtKqX;jCkf2wECd_FYoyo9Xiwn;-UkYF!$E+#nW`5IZUOZ3ktz@g22g z2>uS?AD;V)lB$1|ohQ1?e><IYt}Nr@=zn*<Id(kq)+`Uujl4OE#H=d5^~^=Nj&;aD zt+#?d#9Wwh()QD>bGJ(fT<TESx;Kh^w`%#;^AXAb)!G{z!c8~H3oQ$o2s*?Ft!=7Z zLl>BLEoOQAOEC&MJL-pO#V_6AW|~CYDXaSubdm9<7TkZxu8R+T9;01UUc=@svV1-K zy61h>Wc|&bZYUQ*u;eG`0%lb**eMm2g=+1VzLS_|k{4E{^(E*I<8v*zn*B0f^u@j0 z-uL5^(p5q4Bb5s_Y)(hmj;fAsOmKznS@Hj3UT;bd91aOj-FUnnHY&u{vEAJfUc*hG z9@bXAVHbbpockCA4b3&*`;4$bF$qf-{-@tEbV4>GrXgX?&O)nv_hF9R$3zLLnAxE7 z;>Z|Q%&(eza1H(Kfhb|g^M=tuW4{kUCPn2<?A)BRk3lM-qUM9{ivuXJp=f}FJP#`0 ziQJCz-92-1!RM`g=3bFa*uUz;hDE<xQaz(J(7S(;A$&QqFGg$#5j6ImE?YX)U^^<n z2)?uQx+U`LqWle{!k|IU)YZQCQl}$&-aDS|>VK~p^>cxaEi)3upK+hSi7^&Fa5bsS zkUb@qI%9*zOO^c+;l}v2JncRcl4;U<XOx${YM;RS%d?8n^kppMt@)`+`OwijlellF zm^*)wuZ;%sV=|{2tVd5Vitj8nv_yJ9n<h~Owd|M1krQLyqcwqZuZ$%xZw-}NJP*Px z7I^HJ|5{8aUAnUuJ6SWyIlNc#1;tPQiQe&;#C?5`JM@3pcJp|s_6PpoP6?Hey%NP( zii~8trCo9(V>Ff-8Y*Wfu0dlLDoJ7}!mWR<R0hYm9m`l|xLHQH_ZD-eu~fEun?cMq zlg9Er^Z6~0$M5m@{c|2K@AvyGbDVje=Sz=ffBX9UlktbyXUUM=`GN&=`>5jswgXXr zuA7rK9wjEfp1XH+K)>d39{EK?yP5E0&EwpXn6LZ`?@tM>S1gB`yPt*m-Eqsi?fidt zdu_k?*N=k+AD;YGqK1WvXU2M0%J^>A$cHDre-~clJx(jB{OW!|=pi&}-niDjo8vnd zVSVkqqeQk}bp30-@QB#v1Atnb&8KF6<ed9pi80P9F)oQ>Kk?^PD7$@rqVsZLX7qV} zjH5X61Mt_X!kpV*qVT6-Pk&thkQjectI_-1p3)mvJ!miM>OJPuuJcQ+$G6X4)21l? zvq`GPuZ^(n3|}`nW17+N_PAdLb>h9pYJXiydL(pB)A;ZMzqD2v)7~Yiru4-<`MPb< zHDx|v_QHF=i1dE(^RN3pw+*}ZieG)L`s6a~&KB2w4gVB4?A{gpa^ZpSw2ObH^X-`< zaASR0y|$}O)AQwy)*Hd^TRplj4H|6jH~VGAzjxD<=AF@rvq#Lu>H|rj>*q3w&5w_- zT@~j4y(j;<f7r>SgFKV>@@jRn>T0Fk#H~ierq=^v+~Yk4#y)x3JES{sMh(}oF`@B3 z11_I5kiA>$XUe}xN=iHRug!lecJSZzd|tK}XHATZ8U%5;8sADwaLkB^dDV{EW>e>< z^99L>Zr6OWw-;vzkBsUC1qx;2j|4($t*?Ll^!>yD=`+<{BfWw%svdMfi2v?CF!y=7 zY*Nv!rdhs~7DGy__{Fs%t(aUZ|826wiRE!*)@Jo(4DItGVwkHS5^jH#NmN#Um4+vL z$HWdK)IX6Fzw0;@m)&!{miMh~us-Wl%)HLGF=@Ebed${n5+sKwUA~iNv0Wxd#J1nV zW*>ES9SOyMKe?QIJu19aEqKAeCw_l8pb^YRf=alNZ19|cPgAj-IDg^sA&qq9*g@j= zv&dfZ#Z$BQo}4PSEwO(b(sj(Uu5@rL>sxw~FMR2vH@WoW!j(KXWSrD~XeG0+$8+sq zL0(DXAD0sbdy_7H{Jr60lXLhKZOCF$a&GPHBqev@U_xUb<J|bwk?`z3p67Vj5~iT> zQ7d=K(=6&xLPj6oGbnuNtg!df=7QqV)^}5tW;O>C>icre1zmqxk}Ieyjr|8$Em=I@ z?NpNZRxqUAZKEF*wfb<8+-;*56|tJWi0&TJk0P~F`@+w8s!mqrIyfY=fhfDleYp<y z$(`|<lXjlvz|!7=eI<$gzrP>&u22y5sKmP4P2V_t^$GW+MDu>_OkzUhHKkHwR0*1) zw-J)qn$$Y%Y8HR7<o`a|W75ylNmON+^sc4Ge&yGzRkc^c%U<Op_lJ*l@o5<UV1S<c z;9&p$TzTZumV&6#*!jrS`-?{13wjGzSIZZJx)<~pNULd!uHD9Z3lXdNi^<)_rKE+Z z)jNx_-4%MqS5_Y{9_<c)?97{TH0wb3t2?ZBe^;4|%FTZ}<jR>6hfz-HZ{QQ}E#`Ii z=xtnHwV4#2E00?8^Vt}fS}@x<uu|36ajpot8o|}*T`|TQK=jsvf>JU0=SlN>%66m| zhvtc2eRMS<o!=~O?S8*g<EnGb;?Ldhbu~!NZ8xGC%60E$A1wbX9y|H?UbbC1H$HyS z|K6zs<->pR$0xb>PT7`^HRUZDberf8U3I>@7|{J(Z;0evvgq9XTz@FSIcqVoJ5+Bd z%DKqVTJc^FI_S@MgUQT$5<MHhxpr|+_atJE&h3jK-I_aXBAuTuZtvFAwYlnC&()~B zSB!SN;}6|i{4I=2?=E<}7~?o8eZqX6EOq-lB3pm#^t#$TrIlM{JLhLx{_%q!I_T}x zYcoH)=9WJDbG28atqLMa1A3-f%s6(<?R{$JBCkZ76zG?Z{xS8!%)zd?xzFlc<ke_Y z0j_kkdkQjZv}<nbGdwqcC3;_hQ|aj2sYtUphvw`)7ti_s{SBvNbZBbbOyfYpuYDQk zjDUZoUt%f@LlUzdr>NPxzW;t;vf*74scDhheQIY#=tteFiM6`@xwfuD->*yxJ)J`D z*&N{6k9<EysdH%Wy<eHzA9M1v%R2Hc7;NrbbFAC9Gx*w%vMY&?{fEwU7njbJ0EwBe zDnb$~UsYU7q`s;MOMJtv{kNO5vx3kw-W`A5V#L$OO%;qUm|}Kz6yN%1KXJ$El=;00 zk6&Ll{rmijx18aYUBUnLAN~6il((_`qEOxCLGZQiabZG@quNrnH&pZ4DCMJ`DBN^O zc=q@Y$=N3dQ8!93U()>VFv3jdOQ}ubD9j%Fx?4jNeqWyW-)Z=LyVtw3&WBKoFztVj z=P3=_AIF>S*+??AendU&g<9;S{o&KFeQ4mUv&0K?ELq9V;?>02!t-C(w^$#-$k}Lh zP(HtYu)}MQqgTyt<G6Cby?xesEo=3R@X(Z`q-u}bpU#RaPlX<Pr-}#b@*Ye$bsRpH zT-~g-gqrm`*}L#0SbKNJjbzVTs~>+?6t^vHp7nF@McF%?bbZ~td+FMM+5^s&+Pqdw zjLTGP34c!=CqOwNW9DP8zCGvI_;1b5OGX3J55(83b5@VW=uYJ$&9Kh4!))opa~Hkj zk1LrPOR58!`NmFOdrcPp43JG|T$#?xhJ3cQ_b1SG6RKt`dU^JKC&phi>n(p_28Qz+ zuhga`o{RCE8W^-0c#wCv<D|Q*U^PT-<-yGTUgff2#%|;5$=kA9CswAlmfF9kgcS{y z1qZRb4tG2{*3MroQC+z&tlmAv8??!M;O5k!eyn|Zb&tx*3n6OPl>VSi%7Z$m4$;x| zFRPu(D<#5eqp9jan}i2-kAi<cIL9QvQQY{v`dWE~F7z;(svERnJ+QfIl%Dukg51uP zbm0e^rG#*|T`4g?j=9dRhA6Mlggb1ObZ0q-dnd|*Kkcfp?f91PIzevrjxfSts$kHk zq1V{n>tym&@u~Rxi`}PPbs-<OxA(JGJ!1l<>PnLGJFeW%SoMzqro4YjLU*TpFwk7d znAzF8V1MeAdL5_kO7G`Gr%tF>J8q8NIgp><W-r;5Jz}uQv2f;g?{523KdC=;bdJ70 z@cBX8q3jdtFC4c-|2#0>d-PEDG4<aZ_tL9k)=r52G%#2xjx5$$@)}@0NI5)#*^|OC zP*{0A!|dhQD<5lr#npdYfx<MV7$qrv_I^UI=D}<0yE#V(CZ<1Dt&|3wdb0236q0fR z77nEpMLe1DiXMzu-_KDQFrGT{Y^5zA_)tdyKYyk%+VI2b$-#sHo9UZRS26>h+q)H0 z?#+ZR84a&qti4lG(d+o@O8pbN663yv%7pAEU4!q3kRy$oaTI?CaMMSgCFG0_9f~TF z6wP=>2j6Hvxct^3zr=z4hL`ZreNcDyl}dBnVXv^>wm%Y%oSHWtd~X*$biMpaKA$Gs z`ye34zOInZn9+(h<g^CNA1sMuFZU+Mxz{$#m>0zjYMzd^x?XPZkpD(_C?g>5P<A)P z{Q4`mi9-&)ZmEAIPfLPL-0ZzX&T-`*^CNzrc^f?zSAO6@M1k;l{;1m_n|w;@OhmK| zr}bj3XGwA28Z+Uhdu^%dMxt=7GvVy^_R$+t<t1?@;*nP6=DL}--nFuQln2U3W{(*- zk5wnQC4w^<y<PUk4=K=0SMT$(szXyP0ZT_SoN#W#P>^|^)8!%Fg)J=a|CI-eIF zoZ3{9XX5pDqFln=nS$Q;hboShKV3PrWI21)ZOU=*{jFZ%lPaxz+z~JLJvP_NX(xiF zoTtr0&1d@pr%X$1Ol*b|6%!uM=-ax!Pt<IFGlT0T*-xB|tXol!J~a@R?-bFVJ?bk= znwl>$?%jWRLFnFGRrK5Ls~7X!+`YqX?Yo+`oN04j-*U#V;@Z-V0^;ef=Sn_48vQth zc~Pfr=HSr$_*K-k);oQ<o(SgU3;3l0LsIe|Y=-*YoU@LKcKqKicahEXO89BLuM5G> zxoFAj%2$uCw9*$hoAGS!Sm@PVj@BxuE(sWz`f7j1Inex~Z|+=VRJ3w|Q^{!G)Sy}1 zLI14Z2GRHJc)a2N`|XfmEIKsaKQ%7>N0>T)sABPdZ-`s|LyKYDv=?Cy7Fpf@?_c`+ z?;mjed+LH&-ofQiQk(zKrtS^fgoplQI)9MH<t>ObQU5IXH#nHl;`8q^=SxQ}ejkHe zY=3{8O*<ueP|u4aO*|#^e^k?hqCPhH&Yg_qInaU`XJ*yacyTYwUXV*v2#gOyqgI|j z4(00E4;DK5uz$HAztS!`r^|`r{_-&e8|h;4qsL?0(6u02V-1~Nn@^p_gTmjctc86A zMQ5_?J98#%H?_Gdb=qEVNy;!?Jgu`g9=v~^wi=Yt8?h_lM9!wN7aIk(Hc=YCXZbFE zc<`rFp+dv2RhIvltKMX%@Avq$SW!&<FksGP$asXE`}A3mK00sRJNcP*Cdgqb#{KA~ zGjHA)jOw|z^gik)SylZ$BlvMKK-ZYM=(4)-BmVDra^PN5n};endw*zXFRCt@?)ZO` z=<|(!1_T~%0R9~hu;~qT^k|dsQM#HN{FAH4wr`^T{$^_QlK1aL|8<O)1fRBe3ZYAH zmwMg~(_9Ze#MgPqb$^o2>#2&;^<m6q7mLcqs5z(`f7reFQP=urJ8ZJE7}q5`@aRi0 zpku$i#O84PDdM@6I(>iKX}55XxyFCC`oDi8yM=e9y}Mn!$0)f{F|iDDvU&G?{ktts zE3@tn27Pn7VA6j_&;R`T=_S3RL0x?jI}_Bb>UK}tH?^({GoL?OZR5#YA)jL&;@RL! z#taS&7;SkJHl%#6Ax{1o_jbyBjM3k;Jw}Zi`uDf2Yrv9<>s@Z2+fzF2Hg114uHV<1 z)>u?x1`U)P`Ke@J$AN(ts*l2AkbPI=UvN8R-vf&uWTTT^)~kH}{mYHe{b3{Z>c*y+ zHO(2xKFj~Su!4n0e=;sjrPx2n)#NrWo``d|a`<pxFuK{~mdXS99hUf#HN68mMa9U0 z+Eclk9?QMpUPiJY^(qvIT<?E=d`XS=fq|mUkHY$u-ko3|p8<CSUt*<oU;u-B29(0N zhH2zFqLE#OkzLLr(~ih4JGKtoOc0OAx*II)B0LdgoR+MkCgVA$*E+1eEzM7<A3MU> zU9bPJX?LSOov}Mx-=gK|!>rrm1&JHzlS@nOx;^Gy!Y$j$r(!y-9Rz>Bbg4T4ab0K! zAht`VvCJVdelE)|GXCEzoyhq4tnkIMH)Sj0H!h$5b?F}tc-DM5W%>aJSSmRYWY-s= zl;F9q?&_31we`gV&gG?&<3XBz5poH+`|8@4^l(9;?1*0yaxLmM0!{wqZv1lk+wjZ8 zTFnb?*qVRJOx_6Zypn%}Ef<cvRK|JzJLYp!{QpFu+v+apSsavl6&=GoRO*T^8PGg1 zpsn&KEC;zErpP^fg4_@hGVOs(??$Hek!j?9<tQQ3^3VKj7H+i9b52EGnaNtdP`1^+ z<W|vmK~h=lZ7cp(+{ZiSb$?CUziwR@({RTY{@%3ywipN+*ExUY6wH2ed&%aj^39vU zIf4(S4_l5eO>FOta86jJa#F{fZK75WUfa|^`sbn74W`3B8w{&675{DISUsMNWLk@@ zG~G%Vq^D&+57%)2$x@G8O!N~qKZFAlZ!_ZU8^cQVTbkag25=Y7ZjW2MJpW~QtYj|o zpRd|Bzf7m1&WwM(4Q1qTu1AoYo|fWTd#M?<dt-DqzTS_#)?)88_UD*Ykbu40?;#`S z)D24AGDY0-G#l6Y2eqsAx9d9MXq~#b5dqoVt1<o+>QulP-2vU(hMNp`aY~Ow=21rf zM7@4LS#nzT@5u{s@4cHTFKT(qK@R_sBhXE|OK<_*HU59|Sz(a_CmoK*<@C4MqelN6 zt>I2(?TozP*ceu+f2qm7bZqN;E9-?3TC}@IV_1%UNb_5*(URfFE7K!DtUJ)y^bFU~ ztyVKuLW;aSsqGgXG1Brh7uPVR)*g9-*ceu({}K5Vqgy#vwO>YPaqdoyVO9EHn%`!Q zm8eBtS&)BTx?E#?0q*@@YhItnz&&>*k-wNeWqb&Wdv$(3<?g2}>by54@XVC;o40|l z8zdEbS_o9e#ZWQ*z=Vr?V~a=Ny{TJ`k)E2yo05e`SDgZ)Z#D^!dOg;-$xi&!XC|ZE z*7(1|m0zpgDZ3C||1tmnOl@c^=w^-*vg-89TPS}|Z%dK$NdGS5=`H=UEl&&e&oZ92 z>+3Z=eX6h5{Ip)bWVL<MhU-vDMOVkr(8TQcg3q-0>Nknix@1lwsacU^i6yz;C2h-+ z)q4v@GuK{iC>ze)8jnfZczfEcO8iTs<-Ni+&do($L`>qwsWJo4|0W--j{A(wZQjRQ zPWgZHQo)=nY5w>>-Zsx%4_(^5!|3s%$5rQs_~Rdxy-}Us8&JusMb7-ULa)vVj4HXL z1`TY(8N%jJ3z!Kk<M(mDc4>q5sb%;G6`s0>h^NPzZ?MlAm?f4n?>E?I4unQ1IdzX1 zpo?o11^aOxumOYtO@JB#FTRY&oUebAIWT`i<gwHn-eeDi@MWC-7}<s{sL>Sc!iB?I zAZt(_2qfSV${4J9dTh4mERn(#H7wuq4CO2GdPelo)EW)I1{VzPfRaHMV2yx_FH>gD zgKD>|W{JwI!3MP~t5AJ8ryleUsF1W%V9C1<Z-=&lc7O@NDZcC!%LvTPs+l47Fs*+Z zax-f}B&y^)Bm=;d7Z0C+Hi24zCP4=_W09ARcxAa<E|tR#^`FlS3@=r}^`H&Oxg;gP zigyn7h5SK#pn>2A&oIf0M}{(8LQ0i+@A?O`$HH(jyg#6=<Q&pAz=Rh9dqSq58sI^2 zfQwjU;Srl`moS`cSHXJy_Em@qVgY}`p2@mD8!|IZ398B-Y?0%R^^zmJ)IZ}N6_d1n z<o0PB&AkoHk;xg--d2=4r~1RQ7rk}OEQFCx3<Xc5y(Q#q77}xma{7xDI`-uZ7MaMd z)6IF;fT%-|J@^ucAY6b)SbG|3iYfGocP5x;6Ob$>i;wR7R(1RyDg8|dZ%cnfAEY>v zPD0Tfd1$O1GzY!_obaA7x$~RXl|Rld@yM*Ri)2C8i7h7<Brf2-_`H2<BnsjCKIahA zb&>?1-_*8tU{$#DMVmZG4~W}Zl+9OXJ-qqQFl&0U#RSZ6wLV3-8qW;{e+PO9ui*1c z?}oBlrr}PC9zA5eqO!RymdbxTe=Hh`0p9_$gl5>98Ql<g%QTF!x99ept))Cq3wsi( z2G6xt)b+Wl9AC)bgO>pZd}RW+6r=^jsiN=X@kov*)2?ux=oQ>~_Q4RwzMdy@u9k{o ziA+&B-v}9@DD?M<u=j0vnpo}m$yWv@wG^|38_;a@JvgXWQa)E{U;=;nNq_J#T#z_` z>8;681VwnfFE+P0Ndq+$Ym6^gX-c}KH5<F4fMSVsF@<xgw4FRZ?CyE7K5Z{2Dcd(h zB3u1Vq>0I&)1euNKj$l@_&?@56NX_q)>6G<)^xb49BM#&2PVsEr=|P?#Rf|X>i7g+ z0(Rqx3ET%@QGm{7bY_1Z?h4jDNN4q_PQ^)pfM4czcIttd8N;8GySnsZ0u`|O&}|R` z^6@p?M7&i~UN0yL&`}&ov$CKm;SOOvp?{EH-a-5T9Mbu>u)U{8)ip4FEu`z4SDkK- zJZh-_N2k&v{RY8jrRi<P@|V~<8vKDGnUM^u-L!qUJy^eahl77K4UTD=8qs63es|Fp z^ern#V3#;w4xP^b7U5En54^&c!QNd*8Y<J4Lp@aaLq+OE_r*y!VQf&x7I1Uo=r{Lc z6?a<!GuSc+Z3pNXH(G*cTCM#F1zbAI3?ycIUhbEb<VXh0XB|1y=>x=mwbGs<WpuWc z4PYkD*ML&_OI?53bgk6fFqDdZ7v{7`3rB!|f%bsEw=U##7A^kJ%CI7$)T{sKFG?kS zZh82(jd7zlXy0mGNr>RGnV5QNW=$AM88^6AlwC8~vKgEMv<ObH1q-V8%_7aXzGjkd z1p2~}&^7QaFih}(uQAc!@Ga8iYDJGONjq8~Qx|Lqum6Aa`vSkyLP%f6&&G3qfVzpJ zdd&NaEr)IyhDRtkb&l*L7uIYN>=N^Kkkk1kENi-bc3?<^EQ2|+om?cjqR8lsts(ev zKQcx1H<@#x5z0<)M~o1T%3InmNbZBU*5oq0!%9<ZmhWdGgBkRV9ytA@v!_O1AY11V z2fIT?AO(MLA&9v*S&=avZG^n0S`C3cf;@c$d434;hMMza!MFg2t)g<$R>2V-9#(^P zgZlt?f)_W7<-OQ$tS;%~JIIM1=kZSK$_wew@j+=<xqn3uO-`bfL^&Ly<vWuDDao59 ztk_As8@Icw9l>2{LNrB_u$ybfI#%zQm5DEvQ|*7|p|xfPV&CHl@QW_jr6WVBNg<`1 zR1walD-uf8Jo+Tb>Xv)zCIYaxn7=Q~_Bm%3muckm_gkk9%uGUCwR1VdZI(xPA=n)V z1YaT$%x{_?f;$5m4UpfTqUCPhS!^QYdmwl|)ZX$SrzXvmfKyfNfz-9jNiLS!JS8ju z<$`~stqTr)i4WZTxR%|qIQ;YP@j#~5B(afow}F(cMc~Pz*pMdX0ZE6Zz>C!7(dY7S zX!3$|bsFXh687_wo0UPs2YglDQEU+8ZrdXguNc#I@U}e;Z7|HN{Ir&Mt{ZBt$m_CQ ztap~}?_8)Z0DlXJJ3I4mwC5@|w%q1Pe(Znem}N`LeT{MIMLBcMmUcE2*`hMObtk<( zFr7$bSPFe1l<kW!hK0}sim=tjGpr|%K>FZ4z=6=mjbuHnA1gXCUKMwFzO!epwlyw+ zOIaHKG)WE~^r)rms(5<cSYz5w#x*i;YOP1IUjL5U+_o>;8g$8|P7y2mR#>q^(+z*S zAf2V;FpBx?Asz}#fH=`Ec#6raD-Va=4aG$#5hymZraWb=5tJ8gnNX4ZaK+TPaR|KG zD$rRmK=|m_tbSw6VFkD&`EFg2zj#Bkn`1C8cAFL&L@pF3mUqqMYgfc9(#yf_03DT) z+g1l?yK!5wB<NSL1YqIcz^(XUZhC*$H83-En5ep``knS>%pI#kmgWmStB&CnD~Y3> z%$9F-0%7cXQ)b5WG_kAe>kZ>=m&;a~PTYF)CCPZxNU3BwZJCg>h0pFknPxxR@)9fu z{=&P!&$?O~-loQ0&e;?(q^*jO3{A7b8DO2z!Ps!92`mB@@mBDcu93xdFByORuGme4 z=iKeAll7)qYM~x`W|fT_e*<p>6mLP7BcwXq5$w%Wx)FF5#zPmu-M}k?JNIwqk7(no zgwSSdLtrnbGCTKE%N9^+b$mdD-xK>7ACWK-UL?HDr!!~jiJ1tGluG-G0&8tr7h;&& zHv-SYAy5G5-`dtp@M*Tz0FZy4fzT)s)CE=unoW6fpkjdER&+LhGb=16kkH({)NUhJ z+8cX<5D`D2lR=tk`2u<Zrg(ksS$6$pl&M%|TwF=uHPvnboN)e*HVKq|7A86{0k(iP zgTsIpk;1iL6<s%`5^znmn*n)_Z)VMG%Qeufb)mYeC^}Hh-)&rMPw0PXuGJRo#F5|& z&=D{Lh$VO?OmH&FzO?)R-ves+?0AZPrzkqm1a^hG!8O1WuMC%TlCK*N;P*AvIto-c zZ&D3sT8hB1)&(4k932>u(DAK3N}e$gOCmJFA6b#~H`#$i{x0#)<6=XCxT#iC;EJf3 z{rI>wm=nO!BHuwsWs84{gS8M)*^Qp^f(>i=iVRk48-deYn>Xs0Fd@z?n{G*tUSDco z(4Y*(%HtPcrOt7>T6)`MeM@oDxY!+E(G<B~V8=TEqsGTSgH-_tt?rTb3LJU+VO8ia zP<gaxC#+nnuS)5PO<@Jaj2+h9K)9ws>5bLIH#bE-yZ36vF7<y5C>P-1-|d<>`l8pR zytvFld<nX0d!JZpJ-HjwwVeqsH5c0=sy2eC8VR21O(vA0kZ+2!t`~ivc+s3E_t+1# zDojx1?LjDERTOcMw;kR*UgbexB}~|4B-C4FD~94^RsVpzMOqcA)%d4Pk@l8{czRfT z5ZOvl)iJbz?^u6LT2~f+5}}#STy$sqH%g+Wr2>bPr8phSl~e7E#Z4zMAvF<|6Xj%i z(2dh5ubovqwkf9%Qq|5NIUsN}!d`~jY%4y`!OA&Zu@XZ6O4|*Ynf_R1!nReH4dt9( z@=oo(31P|sW9T`!zcslBKaQAGeLPnayb=(%U7N~3-?x7<vmkWCo`>wfbRe9N2@m!K z<cfzA9@qV#tZR()BYWEhFN;?z-bJjrZ0r-prwncM8StdT6Cn&Up%uip^$TUl>NCkc zGs!^X<@UE^+zbrFA+P&+wsYQG`MZ92zAO4$a`G+-x8VMQJC;yO*(*HhYLrJPXv*6M z_5of*>py=)=Vq*dAwtSxOKYm<=aypIQ<o{GF=rr-ZDR<<B<9TgWX-$&rg=kPH;=Ls zkUEz!>dtj#x8~0kywm5pcF~2&>wAQogF5QKJpp1*k(P1Zg00RM*W}*S+_|cIRBm$L zXy$;4VXa@QbvYP|unH=(Isho+w!&zHRRg1^4#0obwZindFjaNjJ8e1WmepQB9=9Jp z3H=EI>#iFaTGRaCo!Eo5s;c@uJthW%{o-v#<a<_ff&;kY@HXf)DBoI_i0^5(wiU?X zb|8h|B`8EV72cHh57-}|BTvq>GDFITCGypR!2;k0z6wqx_$RpaWR87W&QV4U#x4>j z*N}e)f-#h|_m&IZLxIs>w3D1?wMn2|=iv>z*Lo;X-WC~%N~{zGrns}P-MopGz=~6u z)fVa@&*(1FCYM<m3J&6K!kW+)&=R?3kJ)v;YRZU>CQYHj?lHkMfolo=y6WtXffZaM zxp=quKzdg)eL6KMw11Oiut-((nB(Xm(BXefrzwiXtHzcJIM+wT9kUzwqw&1W%wsE* zb(=DY^0xtD3aHU)ok=j}-eo!`aG!xc0dMh-6DD-BGiR4kvZ}KSD{ffxAZUlBI)|F& zIW>t<(te9PG2qMf@{NoYkeYo$Bt5>I7`IJ(KspgGop>8wEtkWW?hDL6*Ry;oJ@bDZ z>2s_)DILTjPaZ1-9L{=s*(rD8q1Nn#((uv1v#lE5t1IWW7Nyr1S?c1Fn#<|-4`NDc zo&x$f6Ra76u_p+|{^f>DIsgd?P9@K*eRsW%FynQP1kCpVR`@&IOKi%M8ZAp5Tm)7L zipO?1w<eQ*_PfRZ*txmkO@=Q%LZg4be?%5jRAWNhjN9ip0dFU)MGJq#o(y!U<;zv~ zk7$kDt<k3)!Np-?5UP<8{qlq#B5M|ZEFTMutL3ZlyGNAKk7{gaCO9`(23iL{1M>JJ zt~avghotPqJ&mTw2lqb1N?l<MYH7J4`kPBx=q>09(qX^?cZ3$K9@MezC9Z!j)`Wn9 zPqH9-ZkAPuzC7;_v^G>QX9M4a&S5(Qt;v&@-?3vS3E8k&@6H0!9zcV)S2vFCS#)C` zZ-=fEo!Iq*IJsPE5qh|`REg1r4(tv9>@JV~f%fSp01cN7y3x39Z=e}W_D@)w>212j zpJ9K`B$eMgDp!E;PL^Z!pniV`$EvU%0iLcgIE@_b^D@p-99)arBfbh%M%NK>W@2Y( z+BOW2+b!0GsL{s%act%@-mb0{;cDL|R`{!-{(zJ)sU$0!Gw%r25n2YF0ew6XMt52? zkRC2t;|>aD-?bW+UMS9JjLR9-=R)kZd{XIXKYaFi-nr2pG8bLT+bw^|B<-_w<5@rE z(|vz3)?Hh}T$)!6XjI1Jl5A)eJXfqC^!gu@jE)Jf=e0T<!_+qfCzXf+vdYMvIq;ru zQ=SRf(KP>Zlmg#pDbWqHm$NHZg)8QkhT<FBp$Ek-ily(!dg#o#Ex>m14eg>L$>Dus z#UN2DqB`WG^X7mKp}&7A&jUO(+CyyC7SjEuV)UTu=(cc%S;gXYV-Dd}bDn;*;VdzV z?UFfHlsLm8>yz(GnoMT3#W(zBFs%ZY=KBp}#f}Gg^03K#=+;`l6U}+qw&+Pd%Oqi< z!6j=rgd!KwC)zQRKKJ-Z6oSM7IEeX?-ge7AjADwo*L@E7;OKwL`P|X|_>NYpqZ7}m zxnr^YwUI%L&irKCJ62PkhOGlpvOfk3c||X5I+nDTxw!w!LR3~Swy;sGN<$&tGaQNn zj{+0ZH<=>&k+iw0024Twl~tecTTe_9a1b=iOmK3(;84z&V|611G?%C<SBex+bdJ?d zU~j1opoF^)CqjQ)!B;@VViaEI%bd!c7An$0p>(T5fNrS+fWqyBwIL8Z0er@n!kt}Y z`iaz<@GiIG0-rx8Chi;^+g+QZ;Pg+FKb#Epf-%4ad^q=6rwBZeQ5Ke?&X`(oQE>Xa z;Bvns)F-vk#O&+*^*~FQloCUMJ)y&(4$uzH0ymJB^o4&_PJf%-M(~iK{4t^ynzh<$ zxaoqJ%HPgv2TijSClAJMhbgrln^gr->#C}KMFumh5mkP19>+%U>mJ1Ru*Xwku#aqT zU;mr$7?Je+%?zop%ryPxFnjAnxQ7Ocm9k(azh&b`?MkVeE`m=dTh^Bq%8VxFK4N{_ zm*W2OCscnnTk8WR9AftLma|3j+V`!hS~tEg_)e#fO^TwsBI~Eq?7xVXI_dQ30<oPq z-&nhZznO`?ZmdXfY^vRAX^C`HyZPrT0_#S<i-R6e2-rOubOVcqwnw+$Fg7K)e_wE> zJ{0TO;PlA1Bv$gM{#fGlS`S8jrmG^nxHv++(NBMte6QwNYh6>@*`@Z7YD?rfyPzm~ z%&WHGkddltI~o*=^d!CL+Gt~Y!a<jR170dhdXqk68HQIQwLa-XrfEpEat?ECq&TKR zLfXYSGXH!x@=7ytRBq1ejy~UDpO!hBT-xb+oiZ@x+BIT;N#jMxdb}I)9=tmLT+0$2 zg^Pb^hGL9KQ|tXB+L*hNE6O?jBSC{l=NmId<(t~>Bd@wLyjFADAh;I@#1oqGYQd<{ zAO~GLFt(U)-N<pDR|Q<A1EyVXvc_*v+6Yzf52nQpN)q7=_ebBG`;vb&^jVx-S&#t8 z6I8iMtaf3`mMlZO{vPrEpyH?CVW12DG=6_At7|-(Vj`v4cFbd*zM@Ar`qI2a>VX=O zQM7x@@Orw|tf;$>@`U7UsUSXhwA+1j{5Y%&odGRI$1h`}A#?E4dilz&wJFgHmZ;t{ zTWeAj)*E}9WcIFq!ma}3jE)1k3-p0B-)YfIr#CoIaPUb-xGSNfsZ9}V15^non%jR) zL>q?Vwy1txc<cqEBBNg2o8}g=Uf-bf6U@07X8Ltw)U?YWr^DB_dy44SKbk5Dlt*>O zMi36dBYkj@B+w?-d7USN>zrLU346@PX$qWqVc6LDI8{q69tOKBrDG*aZ8o{F3wh-l zf@7l#=Mj_QnTFz_vA@eZCKCJOAM$^AsthKifyv<UG&}~#Kiw}y#=DA^Aj5}A%zmRR z!|CKaCOu~CLh}%4nDJ&4J4Lunn>#U)c<YTSdnmE<;}X3Jf8o31-S^;?RaX~z$shbg zZ765XfVQ<xm~b_3F8#@S=diZ@;+W)$pmeoQNjLrPJ8}Z^>z(WReG%n}084*btvQ6N zaAfbB0?xvVC%Aw(57+Qdu901vqsI&%XVi~TC0oQdyt=uq3k&@Q>5}+e6{?`it>G`O zMy$W-E=7_<Vu=E}P%QL;e}clJ11CiYcfTP{sP(C(cqu59VmfISRLoh@)euWg&Kw@? z!NEi*4*WRUL%|+_G;HUmlHGqgf5dcDOa-y}le0*($sNq4m@z*`8*Y0awp_AiEi`hS zal?Bx<yC+vpaUP<q>;Df`}tb~nxe-NH3eKZPk$%$$V$a>XQR#zD3@cp)6$rez)FPE zyIdDrZl$`+h>msE(lgVCr$xuQY{7&s*Jxt`J}5v(8=^{7l>7T*KNElQ;XO>(>&Dv% zc};Dn!3e+=yg3?l8G91i4~j=mU3_E{yzz{cE~Nj3w6%$ypmTtZrgpxSw!l!Vudkga z$(5({CVlU$ZFrkGJnQ;}Wm}IxZaP_$dF*=NF*t1AWSgY}$298%-b0Skm9#hW?QF~e zU4g7P-+-K9rAR}<_M(5sR$2mkaj72q(NN<d@IfnZ2{xN|FtpS`nrvoxzYOK=TG*|z zS&=Q$*@#*8QzAN(4iYolrd;VPrA3ICow+1lF$PGd-8`0Og>KF2nNuBSjAX@%P{2!E zZ;?KfYNY|VBBj<DDYeZ=sf~d*Gs7CRZViN>6mVTU%uaLx|1N(s4Ajc>3`Z%Ic4G|C z)EWg~Gwuw08S)0t0F?wyE}LlvQZs92NGN6fq1b7{By7RNEYh_ID5TC}K;JB@@ajEC z4SNk%0>gL@_;uGHeHf{rvE#SI6T6|3mrlJHRdQ+#8gRoIB4*u!d>5DT6!>cwxxqel zE+j(5sf#zF0u_Jo^}5KQeHtk|!a|$`g+*%+Bdo<nkaqMyScEyp9>RdRKn+13{>VHp zgx*NIGZ~Bp<_J~sTy+FthJ=0a5!U^N*et_PzMK<#M2mc@rgT&YxAe!~<|NpSr{uvm zd)JC<szw{(n|;gWH4XwJoG}~-wS!K;8orVH19=&!J)nPBMe%-W9=blZtGSM@R&+yw zw^i4pUX6NV57K6}pwD1GV1h4(Pj*_<bJGLy`c~qYap)i@3~<mU-=DK--Y29_P8qS$ zMxu0*98!3X!*&S5s(=E57yP1kr}+-<MP08YaRTn!x-g{FEJhys7yK2l!ykpiI^`M$ z(iKBW)r5cli3O(bGDy|}orT#1Tca<eQVmI8tSa6O*6wU?uu4w~#ch$WAq7z;>D4<H zT+|nEo7DU+5XSTdWROfP4Wf7!k8Q4xUDNGvs7cG5CUQDE!J3SOhMMfmFy5}oBTz0< zqj`Hn6QJbp=aXtWQv6PKr>w6B>cV?cHfrzP+gN{HW7yiR3&jiU-tR*b9VnAYxBqJb zCii{MBQ+vH)cZZJ#tkB=@q%<W>gNg;jd{sPFnoZ7qtfsY2?S}#N5TbZkgkydc-Npw z+x1YV-IH!m8kVfZ+XbJ6SfCc*j_>DYbr#i^6(Z}*ksZp4kf19KnT8=w`;hfQWJks> zB;<cc!(JrxOT!){R3o9QqTrjOX5nCCgzfrd3tu`VJxi%Hm?D8E4ajGK7}6j;pacok zX|w8H4KC6PcSla<BE9fXX^>vH4-)KEa*$*v9V$kGs_w?(!JFojZnf2S=92ypo$XEm z>WHg7BIG6lQPOZ82@BG26bZ)CaHcz@l~jL$q}brg$dA6r_=GeBBEd}>e2~zAgqi$p zlWu4)SP}XO<g}8~2(!)BDgp!C&oC+oy;ERXsv}TD<Squ0yKrDP!Hav0RSsrmolrTM zm2!GyU;Kgct}kn|Z?%<TS#W1(Iz2N(k)W!f`c7L``?l4dcLi44-(?Iai*m#<m$85G z;|T650(7)Q8CH4%C)_Wv1GE#Y0}c{`;SiQ<!`rN+kQ{kQ?~JO1sjZ`ZztPG_;KD&j z7wRFS+TU+>E0f@%APn=^qB>BdpnccM+;T6@7OMhHfxiIe_%q+XkGz%G9K@adU>f3~ z=+RrGSCnd{X6cH%gms2~0h@q-3GIJ?F8&5=+xhnrL`;7>)AA0)0{-}eTzY2+NX$49 z-mjk1jYu2SYM<q1oHh0`G<v`oat05zk`f5{P1eSidvM9vYeA5Vr55fe_AGP^+yP_~ z`jFQ9uwE<El!#J7^<WGzw>ZrTv;(*^*xd-%(h;sH!ffVJ12uCx6otGGFh+kx*)?*O z4!9Fo|8eLn7!cs6TU1c9*-{zji<LSW01OlSxz`Z>4&Ne%RV(&SEsV?e_hOVWS&cQ; zmhzn8jLPt84b(tCI+cnb4b?ZIf=RDANIQ(v#2$oRfX{#d{2W};Rq`Dd9<ZU;@aCsL z6Q~Hx0&4JT@TIP=4R6whLnD7wk*cbW$*yssp>fJs+&FX}ycEFMgej=8qbcEv?`^1F zDBI@r|M!38582t-$A1hgi+eJBTqlbgh}n9A_Uo>qF{&y4Y1hEtioc12D#d2rzFRL| zd|>ALQ%T^zx6k_4JNyjnKfCRyWkn$HYsJE-?x19RV@CWg#>=d4ufu=iz2QsKjF<ho zD@)XOeZ@Ax&A(q;vEJ|89^Ia(6N^F1(hBG}<`D~q7A=F$V}3-Hs*IfH?gh8uIptxE z8E<@}Y_a-8A7&OmYC%T-h2T8+lszw}{|vBz@x-_cHE*&8{1Z`}K2`_l!7d+X+7&lK z<4~pQQLpI|=DasrUT}Y&XvdoW8LbN3rE~EG)B#yWAa@O4P&lAi`kar}0G{0xvLbyT z83Bf<%8I-IsQ~G8Wo9=Dw*`HkyB(}&7p3Q35bc7m5c`>7{1z)^hJTwD{&``ILTP13 zpd#9b>yEc8blF0#pr2yCKv8x8CG;NVyszjme3Iyu>QYOZ&s%>NKcesZ?DAS*4xTEk zQKDeEdSG^8jUuiRFoe$%`7F$So(vSgJqfC{M3yp(UWpIE8EkZhm9J<Eyq!3dZiNvU z!18S5W$X=U0Z-{9ylNpyxwH;YhHnNomf?jTC`LdnU57dHZ&a`Xt{TvR^@uiUH5idC z?86R{rFe6z@m_!XDQZ9+ox=*^pf!$fP&~Q%--3KaW-y99E=Td_^6)n16lI{8K8Clk z;K_^9>2a)bKamkkX^Et;$gjn&usYE=mE<Sd4Wo(1X(V6KL0Fq8VV3)dHo*;SS4GN6 zZZbH)c9p02apq#cs$nM?=nVHL=<<W-j%QE}l_;mUI{1Gml#`sOh;G9gzewI8P$Tv* zlQAMK_y$Rc|F5I2&@emh9G8PXMNN_yRnnta_xDTG1**gic_*5H0=l!4Wt?6LPJtD_ zj3vuC{W?B`uRuu@1$M-a^f^C~K3v5<pPKYc5C<l)*JUJFZUx@hT%sy)C#Gbqz2uX( z3*?v2r?r3835-GG{~9;alj<yksT=S{VP#rUm8GEoN7Thn?C;kQy`i52g{mq}@-)2c zRpHkEI^@`!nNIHhN5ON%@bqavjEbc@*B}2cdr-;42eZ?%f*!+MAD#<P5I6@m#<12e zEI5Nc>_KIxR{}Y(gBqwJDyHW!*Dqpr2sDUqnaO{C<b&`gVsYx)3)*40xh2w+ne4mZ z4F(rd6;RJQ+O`M`h{YLN7_uVFW!EY=dH8E%cN4kzaEpG~>R$yG;NLAN+0QnnEl`Se zh4cWhx{#{We?dEqh(gI1RnjbBD!W#Rag1w^FGex6X|1)qSbXs-KXuw~^d)?;MYRm( znE!tX@C(}_E$|u57JkcqovP)7(W1SiU&HH|d!$m|$L6rCFWP7b<cPzp+V>M9G7OBr zCm2yUEXTOyPXg)euc_1v7#&M*vI{nXxXjeMR+{~EW9(O&YpW7lFLl_DERS^|s<CSQ zMpS6E^lp4?p}lN%4b2>DMI2yW`nSbix%z)qkSeIhzLf6kH?rB%%Rd6d`s;(Y=n#Im z@Qr-+YnlsIi)hHSK=D;Zyg4<RSkvVr8NL@s6lt035DFVZ9AlQ4^VLT#`fGq@>_~+O z8f_oegxJQ6^d8X%QlW&yKY~+;NuQQ|Ms#R(^kjTe;j%Kn29Uwp5an4nE%X)0&*^_G zyo-0OF7xEq7BxkFc{Gp~>){^^*0N8g#$G^gr!~+^nMcg^6-O>`2k?f4xk?drz!t0% zF_d-kpO#$ti0bip5Y0AARpU&I%c0M4Yw+&WF(veI?s1UCHcLYY<%AHbfHm)jRuq&M zk`}r*3ddv-J~^@Gy~#Ro8T&>0*i(N&Bxuf_mo23Uf<PYCQ@->!;0SCG*eGF|y>^fn zpb>~g`l3|@+QbHy+5S>_bPxiuNd#j0)qoMalPF}JMB!x6I1XtyJn+>@PQOwh3;O@y zy~W#6tz=7|0_JcM+eo3b7SM$c5&K#5zK|U7oKD5(RT<1iu6l7T;`1yhDuRD)M2!p= znqV7(Czs98S#BsEMRie!j&U{d{ZyAt&{58uIY|79Fzjc9VU_HWbgK)HI#5qv#%o9! zb{b(=CL5h*^|R;@oJcHXjqqDs<k4Pj;j9@8iaJoXPF9645pk@M{X8Y;5|<2C70$^Z zcsdX6D|FF-{J5(4x~kQxrbT}@qD?2omun6B6}Bme==5S%khf?vY)!07Z+j`Q2XzYD z)J3gy2j=+uSc)c4bu%h$?q?AiCKDG@Nj{K1P)&Ei;|kkUMNjBrX1On<BhV!-WRNf- zS(wohX`Jp-GkywRT9~v2I+eoE02=9D%<&5%bC|~#Wwcca>_7)<lDdB=i!P6MLpiCC zou_}i&D}6}QdoFI?~>9<7NL^~{#0SoCQ&B+IX;_eDDU)}00m~S?`N$2#uwScvditV zk^pWazQSCh4s_5r(vn^y2=gjjlYzXrd3a-#WRt*z=$4W6QlJAG$Brc{N`7jaV2=Bd z<pj!Wa|`$hl%xwespNmXbki^0@TAx9FY*kPMNg(EX0A<kZ*2bhhOWQiBdS9-MRgY4 zQkG5o6{#0JwUoCE^iKv45g+4M3d>|gQS9$~;e?h*Mb`Qm-3kRKs;%oLvMn)jyI`Sk z@eJ7E-Mx>seh!(DxchGdC#Yqr<YU|fe22M{u^=F@QB{hlV+enu0u?aFQ*b*FM7?Eh zMlp5@00d-Zm9)bMqD+~C7s=*WMWQL|EPvf_4!N8_B?M9ZtXglfBKAHV#iCP*X+-mW zC5E@ZI;_R6m16|>n}bU&k<C&>=^=<x5qJ}c%(MGZs+a)oFur&{LrD-q<fLo)kPWdH zh|^4KZ;TP`NiBa)5mX(nRw1X+&*C}%MxinSt7)5Id-m(}z#5t!)}Ocuv}K2Yr~Qo( zL=CeLMD<9YEc;LPKVvj$?et6d<-%blMv%WD*uwsrK70XT83|$8HS=nXk+Yl{S*+)B zq)hcw+HPztF_*biXs?t*wU_nSAF&zZ>2C+VW|w66UKoFoqtWR_c&pg4OKHB|b@td3 zL=yATKT*7Nd%qEN+GDy1?@5i7iFigc!G;pcn0n^?E##CJpfz56`D`g8eXNG24__pX zAwU?B3X1~*1lm4$2LduP6km<Zpt~Z%vWy4|%@{sTTtj>XE%g<7#8=Q#Ut!@VscN$N zRlt52OYDDQcJJ3$M_=NqfL!*;^f8*i47|WTnKs}}wu8?RpR>aD>&qjyQjdR6&6O{G z0XV~L?5|V!RsX!aP2ZIEGZbkZbR6r4IYSL$<{gBYo^t#e0T~Qpn`JCtL@0KW7?-+y z+A%I<?4$)lGboN2mlo@T)}mF@8LXE53}u9w6xM$c^HL>*nZJPQ?0I>FnMznj;f4&2 zr$V&*{02c8&6Ay?nC#>{-601&qATIueb!OTtlw7sQq?eM{eHvW0ClO^F@zQL=K0ks zpxkN0ymVnOg{|F$3}s4(@{u75vuvZ#iahH^^cY?7;(Euedn^vVUGW+cYqSU}ZuUzD zqvL<peV|TcUCl4Zx@zf=JF>rkfQ*Z7OAqn^nIyRwBeQwU$kBVokR_VZC1<2d3Xvta zxxo~3amMT?<h;4kpZUn8jHHo1B>iqAxUmcol8k*I+41LWbh^tkKojm_mn%_DbHhMi zcDXF>mB1g=VwcO~Y5`SPDX_5y-|s!5COCge<gtn@c(TxOgnw_@<;s+w5dP&9wy8ir zBji(L2g#Mz35eiwOJrT@fX{+2*vt-+$5nMC83Hv3`bPF6<a<MJWR`m)<SSs0%TkVU zBf&PdD7CGeKKIu~kdMf^)sNbyEV@f?!`q-J#sX7B;pV&%h09^P%2AGTd+<Xjp0a<a zyq32Q?=&pg0c6wH@H}dg66DF9#p9@kGQ4MkU2qIrJ<X+BZ~(Srx6ANo0u?DlgQXC? z0D7|Tr_KE}k*o;exHrJK!Xz~jM2}*QdyA~#Qg*vM#htq$bvze%u`pC`Z2?7eV>-P+ z9PT+gSx(~39YQF&CWlZon5B-As0n`_&^7VO)HQWcK`qZ1ulzxxDTpDaq$Rx&M1gDU z^XUjhcfkAD>$1FJ+vLrn8|?2_?eDo&-K$7XdL$iqUW-$LmCYxs@C#L|!Rc$icDTq3 zC`3i(`v2CK(d}66z8DpOJ~2EksYU>R!l50QowQGne*~wk`~Adq{5JSAM!|p5hue%_ zp_VBZJryK^zYuj&E6o{O5zou{pXcq6dR_>A<7M0cLqNR0LD*c1zl#_HK@@_&b#Jmc zT){q@zLqaN8-@Md9KKVy=mSo8cYCo0hhzKYB=*GB9fD%%;GQ46YUbbt%w`&hSX={@ zs)VSX0_L>8E@(%kDk7?PO{#ivPZ8CBV<-igBM=O%6dVB!5D3z#RONnejI7`+QHxdk zGiH+@nn-1_`7OS(jB{<T@upN?dB#P5Iod7yPFfS)8T2UhRc2uQ)xZ$;wRA0CjH+M{ z@ePapZ_BVO<L9;(yv}}xk>JQ0$pws{4l6TG`pbjW)G-+h#@`*`s9xF$wqC}68_b9T z?J2ZE{I5g5&_0v%6N14X2nL6JM&txHiB_zy77>b=Q;5rbWPeN@_8!ruQEPEdV9D1v z$_Pm15s(_PmI`C#a;ULN9{c%n2uS@9khY{DAT>llY9s||q(b#?L0;fp_RX|6e@=*$ zB5G+GSUX}8tHgq@JaXJW1?*;jE2Y|dqqhpoh#5?!E2Y6FHu!bGf!1VpR_d}ZdIv3! zPQbTO)s*>_fCAQwXu^uTNY=z^5T8p`Z*0p*VB25#vBF$g{%gPzt3#Y*9zp4AjGW;r zfcM#vvix5K7*NEHl#i$a_P{EEjc4$rVTK_sm)?i(T5d!dsX0R)c!^klT-JVuDge@7 z;WG-y)X+b3UGQQQLq}jl67v5^LAt0C;(Gt$<IEWv(k{wGx~L}7Mg65+R0-*#2H+X? zyt1^5>PWjN6Jfitw2Ly4F1i(A`w4{YE;2|L4Uu-y)Z7cu4&ZmXGE3S;<&iErE$yN* z(k{9Q>7o{(F55_s;l=HLLg?-yhxX(?$BQi}TM*alVHt7I9a430kzKez^g=j=^wDf; zjg(V*2&YEUYc3)#mx;JsKb0iI`;`xE10LTjWg1;XWX>CrxuX3PCB*f@Sw=r2eRK~I z$JDmqZH9j0qQMn5lu^Tp<;fzhSB8(FA})76MIwi|o=tkq1xOWt!BnvnQ?>}Ef~1(L z##5+mN}?8M#mZs5IQsl8@oR)=L1+GD;BBh@kf)qM`Y5BYO<wd&+DG>zeY6$nqf*(^ zKx7Z;qx&hw0E4c<gv=#d5!uUQLi;5<1&-1_>WlPIJEV{DXn>oPQx$0lr)Ck^Ltys2 z!$k$*)NX`RNFT+2N&Bcd(nnuN`{>U|9}P$P=>4ky)Ew5U1gQ9)LPKSz*MbPp2C1Xu z<A}=zOY10zxSXLk0<@z<w^XU@nIN)<uq*&!86ta%i0lQkTz!!`x(}(Nb3P&`xQD%d zeKLi;{)=>jxLZqP3S-f2`FsoFBUPi{E7nEE(o+T_8_QsSIb_k_%y!=*bx|&T3s^^; zR(9%mkX)dS0UwlSBdh6lpUR%nWUw#j=~6~*Dtb!Wify4cF#j$rQ!DcFCx9tFh|CSX zk44FeyrkOqN@{oo=92~Zl&X7P>1$H=6NO7>jM;b7*J^1ykvgiAvGzi+9gK+`yPL80 zI%t#B^)^d?U2nUzj;0}X)KOYTWt=>?RD5P3F|F*Cr9Lg4Zty=wA)kln$3j)ocEG;u zXjZhel46ibYL1d8SJLTtzx@n71W}w+DkqkqEwEj#l}Ejz8Niinb_Vrlj4Z;ayNK&m zE0F8yZ2a3oUs=>^ngx7<{W=Y)qaLY&FN3xqs^<)U^8af@I;k&4UZ6*`XVqdbsx%t? zBc50|ESpm}tQb)vxCv%`oN+;ljlWC0TH&yAbsbF>X`>FQfpv(>1tKo@b$`Sb49;H@ zyu>a^fAe>2gc8Q5Z3b^&_(rC>mS&1Ar(^K-^b!0f#N}R_N2p?a{hdGy_Qwog%!n+l zvKDuL3OxHYR<8Ob%?_)&M$!Ri*e&VyJ|k+hSM+(jXW_C;1Wj-fJjuS9KK2_;2bLiT z8Pe;CieD>SmgQH|Y_P_}HReqeUt`3BD+89ZmD0yv0$UM6Ww4}WRF+>m{xcZK&Pt2* zMH|p^=-cpR)LaGrZ~upwH;;z$jsJ%$TgaAw?SpKSM#ff5_9e^Y9t;^<5!%EkON@Od zdom2kmT+4ppQ!9)PePI#Wj88m$}%DQ^4vbZKc4UJIlt$R=db4+=eWGD`@XLC^15Ev z>pjOASkFCz^_=fzKjkaIu$~*25n)i#|Kcup#s2TR$Qlb3v3vFVe#b`7IoCySSo_I; zj&eKKMZ%(r=N{=b_Bunx6sKP+%wij^IWs;m&Pv*Ho-c5|Y)YSE^(%edbVyMAFhMo7 zBW0Ud7W|wyqHuT>j(d+PCWtQ+eoe9X<-8WUUWdwI^-F?Tj5ocXS)>xyYHH3K(aF{@ zi9LKBc)cj2pC#wT@7f~^cm4`QCF5&<kKcQ|zkc*(R<A&G|K(c71s!LT_7d4(?t9s< zoneBqU#jR|Iq3Fw4}lzVWbA3R%2#AW@`-zxF$0eY+2GN?lFljhdj$&?Un<kJf2^mz z?sjmpTz@d(+540jiW{@^a6;KHZs)(ga5(tA4ZimEI6&DiZ_8Ba$M`^ds&!+3TrPYl ztAJpgN&UU<ec5pAoV%5d{@e?z{it61vXCdenq?vBy_%GehrI)qTe7Iui*w(s{1(lN z)=MpRhRXH`!~1BraN!!=<njDV|3~w3pZh?^8CxCO7Vf$29`CB5xenXn9mRh|#5BIO zW<M^YkgKyTxAakUh2F}jx)N`Hc2v`gCs_OQ<l37^_b$`%#H?z5WrFM-$mRq1|2pAF z${)}F|Ma7`IPh%U<?@bl*`Cnw{t35m<{I7T|5vlwqIv0hspZZPWsf$zPjm~{sL{>) zKbmJUCuXmx4T!pnoZR1`t|c9m2MFRLLuzljP+4MBks&M0(%NQ_A6eyp%&u*h**IC4 z5<z}ywwcal79@88j~~ya&mc4j{%&BDSrBrT7o$cS90stou?GiHW7uWzlEx5r;7mvm ztF(5Su#Aj5c5``T=p$$AzA^{DJ@3AOW%*{G^*xi#O8kqjp0=;FXDwOk6Bh;Cj5_9p zUMAiy%VW(?Z$qUOJT>2cRIGFunkgv}e<ixA-%;A@1$9cfE7Q6j95_y3J&P|HFFkQ! z&A;A><$}k?if2OZuu3;)3ClFxax~klK4oT?0q@_OMh`rdyLQP9{QfBL!YnyZjo|DC zf@^ji;ekskRGx|NmD0QO3pS~f-#w*vRd@dz945i`Rlk0720crE>|cb?uf+%E>^Jy( zE-y=kD6o84`$C~PjXoO7qbFc<tE0dd$VKet2Trpf`3rdO?l(DfnrUve7M!af#o1Lo zHQLB9&?8!<I?MZ1jd1mF^RNz=R4+)DlA5OZBl>|k`76j`dHPH-7w`T=c-_&~m$-e4 z4r#WRiQkb2YO_^;Y*#Se<YkjhE1`;;<uTh*vp()uFiOLvtoctm;Y6)Vhg>@GWi69J zi*xS|c}ypi<W{GH^2pGq^gwj(8vZbHbrKz$ms>3b`=s%NKBk&mEqmzdDdSB(V>7#4 zI8UNxo4KN9LFW(6A*poMjNG-0u&YF=*($|)j(|~>txVQ`{O9ecw47bnj(Oih-*g|- z6JoA)39$dQ?r^bu4cG1)Yd&)q>{5%_rj|$rP5kmu%J`!#7$m3F2^X-MrrRpBRX%F8 zy~BL0I&_p;dPA0Q5}<>VI;C;s^^(JqF7xWJlF3g^lhf0~fK5{gvmnJopLU<nDLJ`o zhKK31?3llQ?j=Gmb6?5l)wvOEEv34p$eKU(pL1UxnAd$n`CTcSIjN(XVl8Em2W)=V zu}MAgH@L&)=6&3Z5l;7x=8qIO>vP~=sYNtx;K9JmS1<)Gfd2<4*8FRI*xz`#7_GcI zok?UJ<K*<o^U}OJXEMp3VgHOvPwFT>B<q+Snh&IZLJ*45FR-wy`?$>o5f28jD%?}W zb&AHnh=!h_oB3bh`s8S7ulHnL>oQ}{;Mp<7!JcI3t`qWLRH2mD0|T!O=@ylQJ8S-U zI4b?x8yb?*_QXeyx~$%Z_7AgUd2XFnnOiM$SUBZ%^@!Xx!eKpS_;wiG&BmX=h0EjL z`JiWiwk>s-q+HRRY7et<5^Uyw6gtfhW9c<6%xr;NeF!1JgwRWZ<$%YE&#ipFK5H${ zf{#g|j}*#*SPk8E*5*T;M~%7=ym7jQI9(6TA04Bm7knTespjht=GDOBcQE=8uqCbw z<N|ft7<}d_R~D4@)oB+GJ!()}ml@7oGd;wA40d4^P^Yc_=fBo^!k64?b=ZFt&(1?l zI7M~1Fv5c6`k_ae4@1Mm4?XI;neWwa{pNasLQ1Hg3hYrMVx2Pi@3DeJz7|Q%@8w24 zBac6{o$d@NbAKYLq@;SH#v)8B-LEG3wE^e+C4A1V`?m!WYvcVg`CF~-X`<dns;T3D z-#v5g#N8Xh8Mt5Faj#k+<u_&xBf}@OG;ddXh`CkP&eXo=RDWp?xUba7S9QwC$s4%O ztqs+@c-^VR@j~C7z1%%d^iHCys2hu&d(OhSa7@l+%`UfzJ8?qj@!0V*HWLb(AId)| z413g$uaDhej$beEOBwNl*WA&3Fkbn8qV|^GlMByomG!@Do{`b~;5i~QtYWU|Frlvb z;q8d}Fz3s0g|QoAr3wXpuSfj)IhD7F+RZIC6Y`oL)Q1<>w(Z<E7S7$mZ(MGga0|X2 zx8)b~VEmg+FuW-4$GgipckGK?{GPZJyOhb_sA;}D!CTX;?|vp}Qd9H0{j#WkTji^; z5oyiauFJe`l{MonW0Ix8Gna$&M%Mc{uTpnn#=UJObTz-PEQ!1I*)K*ckoJNvmc6)M z!%$_=LXRw{Ega$O<rI3ylIQ4DE}ARqoyMgT3SL<xEs(lGfU*}iYYeIkrdJCJYM+mA z_Hhcmj*A%|x6#ys_ZAm(E5Evb7{5Rwgc+9Mt}MP^Al(YTU6%Y}y<~wTxl?jE$EBv| zwSn29ML})j$aJo#lF!U3x4Tyse=d;vf{A4>2sO7}8>}y%FQ~OCleenpGn-^j`rhZ? z^K0$EO`*`@cbe75Vs8S<$V$Or?zL4*dhb=Mk0sum8I^_JGN{aFD|1$VEm6I;_y)BM z#iErnRxM9@yUMPm_bw$|oVvZ0x;xui=cQ14ZR4Q!TEYDT>k|Xj;wOq$E~B^#R<5JC z3Rf<oxZaxv^394^^a@)DC;#-SXjQ5wf$SeO<l!@LH!U@K<Sq704)}iACdm%D-gCsk zN;<>L*eSnd{p>XXd?vzw(G8Q-0wEkkcZlcBdO7Bm*K(_epbM`Cl)r(H)JP5RMPFE4 z;L8I6+X?XZ&HNW#*o>inXO&G+f;-ikW{u2v8$8Oz9VNb_rG?ybx0wdnUYURR2A0b} zHAw4+p(SGE5b(F@bH1H)Ei;rsYHzlc`g)*4?)Tc{Ow<#rGwVHnmvYme0f9*C<j{2< za!Ahe94q;C8jx$1432_^3#-EF6gI3iF7a0W*)HsbGTa4RZ&K(FK6q6-q;*QDu!M9* zA<%#vi4S#@fQ=Ax<Y8!(sI)Z*WSBnZhfgqa3&_Ad7u_*GmNun2rQtBPHiXX`4L7-- zw*1Z6^ts4R3U@AlU+Qp2<MQ8E;pIAG-9s;MtVvc}5Z$YKxS*&w5%XMpXIUU^=aF#B zCy@D7c-A!bOUZ;E$}_1+a^1=M$@dAXb};tULG|fCzygldjc><O+jJ$hH)~jJUEEi# z$K5&nWYai^e08AIhL!*6N^!*xjkZ=SfltP*rocO|!o#P3q-IKlkrz_-*cW>Y5)pG* z!-#cHiY5hxd431qH`?m93}*9D9%EnJJXmVR>fqhUcHR5m(!P&nA~IAcxP?}g^F2n1 zrfwoT=IC6j1cbE;#bKd!>|Br0^PBjc(ePoCR)R%oxhFnuG@Ro`nlAURtl{(P`4(!l zpfo$XjYYnHon@A^=7{m(cL?8DwA?BA8k#HRUTWjpefE>mHDIiw66NlKE{hl5VB8FM z@BY-ygU|?U3l4|-CAO1dnM-8AxZTF5jE29snU*p<3X0r1xSB}*H8cpdflpr@)Jit^ z5v$S46x#)-dVjpVn`JOLsC%Cy1TMG6lVR!g%I}(g6b_7=3w~y_)g}K;$1~ss?w8<B z3RCWID#BNZ628zX2FLR29uzSeE=JaJ!Gn!Rj)!|2N^o(%<e<;4i)X1()G=;1AnIti z@1YYADEaC@t`qA(8TxyU;JVN{f38RT_!Lf9V24XDcQ_fe`5YS8z5gOingdkfr~yt( zaBvuZnaFF{p{|0duMQryVWZ1txt<U$7j#{{@QUMRq3-vKZXU!$!(s24Hma}ZYpGGB zF~8iQpQGWPx8NPS!Sk;UUcSR>|8#8_1Yg0$mK;;2xzaqL`u_@eW4MF|nVhoMw1M1G zNk$X&7o6VtLzffLlc4?0gU`)mW=l{KIVHS*^QAwJ$cqtnhTLC;A52P#)9#Rw@AetQ zrF{zk2V6Gaj$#;$uEs24@PaPSedtIc`V-jm>fp{>DRBY`YkBiPsh<4qa;b<5B>E~m zX;La&qPq5Jpv!`ju0M1oZTtz*c40=u58`thva+AF0t`9^@#II369s5Xqpg$$P_t}* zHVM}V7e!5zCNOTs>wbUZ-M%I}Tq|T2T7F$zPK|I3(>MasUWKpDz}~5lmy-6%cg%#1 zjQRq|ci!%6?|koh`snclE?a0v&^wQ)T0?Ur-|5=taDdk+$wF(cSI%n?P?*MUQ2kZ7 z%QV&>4>p-L>aHtVX%aLrjRRoAtMJZ$aX6Y-?CQ;ft4ZWbmf(40f6AV}0$lG~I6Lm0 z%ujjfEClX6;l{#@v1*S|V<(7t6@F$4TU9pHf1Y*}@WHIG=-dC!N|Nknhwi0~XH_#o zKP2P}ROIWr<bCf7K6)%nthRayaOnrB7}^<gINgMl0Fx+mO6`!o{iHXLgjsQax4R9o z`ELk=4Sa+atnAcOIiLd_Bil4+{*ve~D4Gi+i$zuI0E<6e1KYqj$;A^8o7)hIEEZX9 z03YW#)1cw0(~Jalj2!{GMXF__=_OWw0K2E=!bxH!K+2P~2f^uQ(o)vSu;%afy8@;A zL7>Vs2(|7B<$OQguR^#0;`Ho)Oj92}sb+>QrG|jT$QQo;&U!()8dH|Y7tz&DaACCw zP>?^X5GW0}c~1F9?*wx|cie^qlBx$qf6Tg4%#2I|ld4(qEma-20Jlv%`KjN=@f-K= z5;WakcDeg;`NBbtyF$uK6>`AcvycY)sqcnAVhi|<3*v+#CA2X{eQxA`+IssmiJH<P zXEN4)DthK6Yb`#fHmAB@dx*cMju3~Pm>Ri3s=W-lBNx5CeA5ehjL>$0%m6_qf;1** z8l0DOI15J^g;lJdO?b&FRs^KvtQCqp<?b;G>Hr-`X3R7~V)Yo9ImwX;=T{loyih^Y z@AS7Q4ekPMCFbJ4b3Mp^#08B^r7_aZq-k8)2<_i%W+rge&_a{eG{DGN*Pf!H;#^D+ z1HOox=HRv&jcleVj7P6&xR=)5yYJbM<d+(fGp#~%2b`nVgfT&#pua>054`&jIJFcj z3sim@TzQTSmKfo{vuM~&pQkza&kBNEWjOJyH8D^Cv857v4{`*5`lHu$Fx#KNjj6u@ zr0F6c7`NS~eUBB=NnA_7itGPE!a-87;tlq763sxWU)I{IU}t^!c$^`x>pd3-r}zjd z*!+vnrQydau=$O_4<te_<t;tK?o3U^0{a)$u0t*!QnElkd3dmKd45R-OKK@m*&9HJ zdI>Jhx+c(E8$Kd`M2VRsNl0~=?u-=mwq4iTj;bB9u%Eo)@2r^SIdq=f;sqNuOj92{ zAu>W|VazDvwogLw5~5(y90_YQ^)sBL0S&HXV7n*bnzfXw?72d=OTR9|aLqT>Sb93i zNDJqqv5;H*GRO6{A0t9tAXSnWJ2b#Y6C}69td+q9szio=&VS6(8#hnYQKp4gl*fNR z9qIvXEP2ZV-7?S@<lYfNEc}=%a_^^yR1`5vV=5oH$4C=O-HoKjVRf5C5+wG_@Y26q zTI*BSB^tiihUBo_&V3vfGpu_`o>jxHq6TPfDDUK!36H%H(twqo90Dcsz{R!73%maQ zTX39~I(LVE#FtidfpAOEQh;WDXiQm4!G<^V9Z2k9jryFBdmOZA3E#q^GyUU4$U&5u zay=FsW2!M%!3hIiqYn(9^m!n`{|s=+UaJ&=IPge}Mkg2&7+}Z^R>|ORkRgdZiL?~r zBP@-i!c0>g|0;b?)A`~!Y+Gx>Zb^oo0*P*xvGNLkypR!mTDEW+43Ms#MyAFT6Oun- zt@5y(Ghh^HC2z5K75H^;YQq$`s)xe5H$n*hsA0uZ@+0sa@eYy4BqMFu_)xf|1FVwd zKY_OxH_IIT%4UF)fTO%m{uoKTL{caOx=c~WWGrDQ-6VgENf(o<%h((AF2K&~p@hJX z#Om~abF>f`+UXeg-t)9$fV1I>dt)!iE{V}=OhY*55P3knUSlr8;RfCUNRaqXEb&KM z;Xpd$G?*m0C#vDVlSr(<W?tMjf%niNCmJ*&<d*P>RuFiSq#y`$>j%(ebvIqPr(1Ik z)%$n7t@ZZP{Bq<LG40IntV}&&!R>%szrNLfm^Nw+3@oLEXkeGiKcH!eTDmy%6-n`C z%BRtBqVv=Ix^AgfKb&cA?<((>8s#X)%@yzWG=HpHN}Q64RSyia6PF$-0{-F}Q3C@E z<dBOdp>HVI;+0)Psh;*5iNhbkNiALF1o--0#G&%$OV8ZL-%T6O=9}9JB&7|gW8Iv8 z@mX5B3C1fb3FE_q;OoFJ8-7?1njszFhXsvwu9g74Kle(tbZ1DgfN~&L^sn-+s8NJ4 zZXS?tU|8&-P8C3AM5E80I-Ws$+X!hm1Og0ERtcOGlwY+~#IO@c*NDdQOaA3x(YMy% zuBh#@jv7WAqD&6t9dOT2Q0|j@FLyS7J+WfK^TH>u`~}Wh5_bacYu6-<w2mg`0AY)6 z+368zHNg5T-kN+9P-X0S;TO==0cruAQC7*Y=(7@qyiz+fuOdex(E3sbEa~ScB|BW4 zc-t`Tcc2}~j)`XHW*JC!GjNl^2W)kN8YDYLns6d959=#=K~Uz+?k(sq=@+wqOyQy6 zWudeHvw3plUj}Xx_yFlXkeT$Ek;00xbIx00_V;3@oUfr!Fm69N%~E&AFQ`&@KpptT z&^I!<YJcq(P-OsW4AYm87b4NhKn-Pf%G8aG@EDjKF}Z803^YXzXMe6p#`a4#pT(=~ zWDg&M8YM0i1O29Mtb}cv&rwl-`5IHVxsTuU+{{w#vWA5rWNL@30J7$OxCmmas5l1e zE~za9F2SNui6RPa={+}cZ)^wGNk0<4PYizoIY~d5X+eorGvFiA5{y8%>v(CvpM@fT zal46k0X7o9HyMkP8!u)f)0R;+xL<6vQi;DHz;(6s_YaTyDB|fFN+#xi*OEuQA)Dge zC&LW*Wv^<hlA_{=@=KQh@dtot*{o{zFfTL>-)pfO=O}{U28ONZ?&p%}rAvig0hW=U zJ)U7hCzBrlv%bvaZT;;tautHG*2C)p!Da_DgM-jVaGzwxNa4c#@`R26tx0^2)#nKk zpswMHk%<$TS#NuPtp&b+mg2C{lA+$)oblts{h%ai{V=>KuwdzqI*@l3FG!AU$Z>|j zQi0@%TeBgJTYyiI$q{RhfR=LNIf4{8g@}m@K~6DJyniy?l7Tuu(=O>f=L63Vm)sYi z-2wP9D|c1f!9h|`Oi}SkQTbGPj}u^$0a!3hcSI(~SfK%fvRQ3^oG=UJ3Czgn?hXa` z;{?HkYCp1R_7O@dj7SevngC!OjedkkR;Aehs{3`UiMp9$I->6j1&gYF)(pr6QRI^x z$9f6{Prm+n|E_?xX>Vb{ik$HA4}~`#$u)|8D3tvwx7|8WSanrkv7ElGm2vlSgGR<` z*(pnPy*W3lirx)>!dfUGI#E@3L-ySbOY>*A&>Z()38WRn70pE(GE(okLYmX0JaUSY zqE8C4*twL09^)uuBrw(x(y^8j4k&y;RX?aHk#QXIN<>4TrX>F%CG~(!5)Ry8{Kx)i zTs9j8ATbYpeV0vC1y>DMSSBx%mm-NRz<z|tO{kfKWF#<u%X`#fha{#DX`Y*M<Pw8M zYpK@dJK02W&_eH*!H!2ZR$0RLIK;PKRAr_*<RHLI3rqW92VPx5V5DZ~fyn;YYOP!r zSt!tpEbqYyR!Bm5)%6qsS{UG9xRTT~0XC2tS!fE0#1!nRgfB1b;1_U<^p2Up`?r*a zl#dv8l$x=BM&?v=L;ZT$X71UyAOui^>HX_jo3(ZXJdfCN^vcI3N!GAJx9zTp2kD)_ zYr?RQ5g^Eb?s|6ER52Br9x#DnQ`E_-J^^v2?tZn&s-B!W#YhqNopDCKh^Rgbu$SRN z-4|{2gEWDZw6!M~ySWM&jvV2WV4Q?J`&8XA-<jlp+WI(2?SByRg<bNsKuZ0f47}3g zCu?Pzc2R3bFm`UxtqL4`qj6=g)r;7$LzjNF^x=YzLHem78+o1(*+c6b&_}_++BIF| zDqoNPOa}6WUr_+;{>u*V!qQWDV3lxx?_N@6O!Y-rzDHv0SZKFNDwg29sT~(B9SGCZ zz6&vbZ(K8yYOQxM9Y0R18>$l;4pq&r?s14`p<!Fpp9#K|;Mb9UBZ`4klB$KEF~nA^ zrz%b8i?YFnyg4j!<(IAkEc!V%Ltj7Jf%6ifhv?BD*Iv}%126Cqnz8nhqI^9@<JTb- zAe@=N;5&muy8EljA-0xw9$+;m7e{H9=o&(Q5>|6+@d?nf<Do(e^w)%GgvmT-?HI@v zy~Zf-5#jzva0-_WpBb_MK@b$a!P2Ui3M<Gnzy-|81Wlgo7;9w$hoFiJV!~%ga1<`> zJjYfx%D$3V{J!HJr1v3Nj+vl}4VHs`ld==|rbo`xIQ&1Jn5sMFJM(uf>PhftK1B0> z#iR-6)afW<7BG9}G<iCf=uC110mYoPN5T7tZ9yWoX$lie`fLdcUQnB)zyqgS6xsZH zsXA&+xP~T5p7u#Of?1i`;2^Iz#wEZtpf_Tdn{xUk7N2!-yq4dOY;xy^GeJnzA$IQT zZRa9Hm1w5{vLPJ7Gpx8lqXQfP19H)SC-bhJu>RDBC0XZwCP{DH#9jE_-3^LJ2?r!7 zCHe~3nTn_cX1k=xIz9v0`WwxU8kaTT5U0+>0pg#&>bwS6B>r;CygBL$>8XC_2DxM) z9WtlhFBv$51Z>=tJeKs?Vq?k^5+rFPeQ#P0MvC4yzY|@ZKTaG46(y4e;jjjOa2mgs ziVatqsmkO>4LLay%|=NgH|=+2fjq;N)O9=X8|f(*WX?ykCAY+`MZqxIy9ud!ymW*k z$j5DecBo(?{Np>bjNAU0#~Dq0IF26vycABF=73n!!s>vTrbyG&kj{g1G!`JqV59kQ z<FcLoWXjT3NIWrDU?Ul`-J?x^6YNo3vL}c6r8GpZUBGOA1f3*aoRoQEhE<Gjfcu{p z#Y;QU*w%(<OR1UAlx*TL=&{7!MfdG~a7GeNv;R!~Pp0$!if3RT>1MB$HZ`z97Iu^8 zCccI@z@(Ex{D9b7Z1fCJn~HJF`FV${)Vy&?1gwx6sp`t}mj3*SUD!f@v5WxeR_Mk6 z4791ltH?ceS`B=smHrC-7q|8Nv~(m8HuLv_3<o5u*O-d<t0W@|^}#2#8P^+8EelM^ z;JL^v20?pk?EetG)|F*=p*THZ<J8z3A~VPZD?;HL_@lrw3*k7n(-d`_)-1t+AiTu7 z=x?h5rztqqEUV-HN!cQQQw?^gR>HRga4^*nfoxJcUbbRmB%ArceLg}2cK%`ztV0RU zuo#Isc5P*{pNZaY;=H~wugn_@Hz<$<VOHXXg^+n%v;nWl<Y!&QImDyjK=hiRXnQBF zksAuUaX;oPbQS5qNV^7CWI%;-7G#Mca){>MHAOMgl0KD?hfBhL;Y<Q?n3bfROh@kM zHD{8U1sGCW|CRIzZZ(Rttd2nxi5CSmedlO+AU}c6QKwcV<H4FONy+urQ@C1s+xAl7 zi623jNb>l4HllXdqW%HRqt>L|qj-=Gtb|rzR@CusfB<OH*&=J2q}h#dHh9hpnz$}1 zT##YGJEZQule+<bS(7SYL{!vcizT}B8WRL;)6?$jHOc|=By?Juv!0tM*atLDg2(YG z2jIULA<4n?fp+V--Wtlaq}~gZYtg+Ulw6~^C%vpF+am8<DBEIhew1yA_ZgHe=*@)6 zEcI4LIT_8}rA)^6e!0BmhEfGrIIKJ_&TU&&7|s2rT+8f#U1CkwRq&QE5L(B}j%CbR zTa$bBdpV(o>ehkM6V9a9hHO29rj5*ywVp-_!T}KKJ#3XvI~c+Pc{XT-=yweV4@XWw zZi!Y8r$0qo;ibZnsC#%OAW#o=i9?Zq#{eUk75m+jK?YoSj?~>(LFbzbSSa<mx*hqN z@Q8MC^Ry^`E;HaS?l~LnI_Vv0xD9k8y?fAg0ZhKy2{MAq2A~X4RBZzM2YMxednOw( zan?WxEJAEeDWxi<Hyjj6B$onpmt&PS!lm#5D#oxoqHNHWMD!!2biM6gYZHytUD6h@ zN<b^q|N1mYgA~RuqZO${Q2?Eyh=N%E9HKTT9!+F_!TM)gaY4&^*_Yh2J@BD`G)xOi z%9Nv^c{EWOBkT@wEa~E5U_QihNQUx)6Ee_kvasH^9c)U(CQI(|f?30%E;+-DP^(@x z2I5$Y6$1wgQf4lOzG=kvOYpM>+C0XK=ikEn08CNnl+PXoH54XL*^o_$2UfH)%7Bjy z6hgLt)9Y2lzmLC;zw0k^3RLH#g^|ZEq5?Gf_+1RoQRKlwJv+Tro2+3@2!n`WiAL7M z5pR$lldB<+b16t2;7Y6h0P9%=PN;LZ#K`m)Bdp3KPe)<%rudzIqLj}<rsT%@rlYAH zmciskeGOSTkMkJ2n|M_q=087vane>Kw9Te}Hn8NNi;W1toHR^=%S!b5`HiD2Wqwd3 zh}0Vc3|tm(%|EQR25^_KsB$GI$e@>B=KJ|`6fU?8v@=NkoHhK#K`@?g<)0_R0}eMB zX)=k`<FJ@598#ZEONqoXNLX_~Hi^|!U?l1Je~+P$<L4yYB?&pOmbE?(RY_^PK-_SD zC%Fi!XnR7Jfe}Ntz!Rpz%oIb?e;@9kCmnA5uNRL&BYHvE2zM1&%DzU#XfYp3SwE7W z#fH0~=g$U_LWyQW{4KIaEE=`;XM4E&7WtBan-F5LKu0GNj$!y5P&qi>OyCe_r!l8? z7^soo>A7)(wldIfWOAI96WpzGkdgI&6U`FMrm2qSVI`X!HT-0Ia{@WVMlm2wmjdG1 zL=kZP@DrTdkRh-bRh<cPnPz`qVvSx?z%FMIH9<4I?I+{a17HtHBa!dv%_rzC2R9ZP zCb7B?)SSdL0kiGTu>SgP!l3_I$b-BTHEalDDg|pPIrR^?Hyo;~O7j4;qt}Fgu((6) z=je-98ii`zfJNt}2Y{2Mc)kmShMP#@lg~>gcZZ0;9<12?hru0*zwD4?;#v$WJO9F$ zw8l-y6ljhnMj%Ad1OYHo&rLsc_X)OYD%6CuZ<HE#j_|07&f8N*Ga@hfALQz7KLVF= z*}wfvNG*~yd-4ys2M6=ZaJo5vXmRLDYDec8vcSb(!l1bf6h;=%{{>$_YgyPN2@Y1s zmXB7C<+>;=2b*eO#fdusc@ERUR0*sg7O|x>Jsk7{57e>H7_t3_0SW0D7kR!>UKCk& zCS8gxnhVmfdwvz1Bi)GsXz2^rTd=YcQ=(w2t7hKo8hcj4b70maoNS|i*C-2w<H1&3 z&~YdazEz}gLH|JCB^q8VbhGcDqwxS6j1(bJ`Gf4Wvmle6Ms&+_#Y6t;7lb1;?Y+!Q za3m?o;Ex`T%t%US@nOA}f5hI8vWiFKVGikyPv%6XCCnRjj5OQvudQRqD-47vSgo;= zKkH|Ufg!^s=)-rj0Uf-5hV$9zYM8b!mue1x9Hhrd-?<+6z@!TD>!I}VK8KIFlZ-Ft zDAWPwO|8jZ)x*U_#m_P0CkUbOM41aAk=TKYL0W(y<cGQhZ>^2QZ$kMneFdQb!OLLM zVUCPoGD^h5?vzIn#hgdg{+s?ve0N>LPN4v1>ZcqK|8R*MycJD<C^aPK_2KUQ|9v8Z zg;#VxfyX8ig$~_31HhbuI($wY7XSG4f)h}h1b+$aJiK@k2HxdxP#$fSi^zC(xbR81 z&p-nhHbKQiNnTTi6e!&P{SCh)x$L)5r5x0r<KBdzL<k*+mDe5Sl<wxLv1~Yz!E04< zX%1yoali4}rnP^6N2-kaYu6k5q3S?gVmj^xeYvke*E-eMa&>pdd#Umqkl}itl|20b zZ3*79lRRk2-I3*^pkGkJoj&F@J2R7%s#y;oRQj>TvQZswoK-!MCj;Ny<xl*AW}F^{ zrp)`Ev3OpKP+s^VXIzkv5npu~?3|Yqj`&a*nJw2?&|k=ZejwL)vA1w2T)@}kDaYx; zEjh%maGw67+!g`{@o9gJ(u*YuwHSDcdE<W)f(-}yP6x?}4FdNJZ&7$SvM!<1_y@08 z85)@JBr_Tk6~zbTgAM)hJX7o#Vju5dhO6P1G#&?~OKS%rgSxJU;pseiz6cFZLt;?; z&&~NjEw!tE$6F^mxYPn9p0IofxE|@*wke!`aHH?ju2D@|Wk8|RGARq_5Ohmt;D*dn zcX_-y=8in5w!ed5oyoG`ya+5Oe&;HBemLuxmGD;e4RY=XvC=#D@z1jU;!_bz)`xu> z3Vv{d(YPe@c;Mx;EYE_Eoq-z`j>C7jl$sx;3_tdNKBB*km6|EBNoV+^eKnPKrlYRw zaQIfY`8<JlxZqR-sf8DoA`N?lxvy`nC0AQ{1&tS%W&T0pdkTBhUb{YzlxuY8Elg^c zYc%*8=;}>CW?jp^e>(HWhxf$|Ox^^)h-;0uy~Ry=*BVhDihnm=%f^<X`+4!%cl6HU zzLXSy)2=^sRmzF~@o$2wTXy`NXR`@+5ZUI)Lc%RXwkWa?dmWMe`%IyX10uTt{$+~D z){rU`(nn+;mnz)S39w6vzq1_gn)WFE$Jcn*-sJc@pW|JRCCC5hiFfUYk4L)2yXwTo zmpH_``Y}B<lq_sGiO4=FS(wFz$fimZ2A)8FWLHQOUS&mS9KA$b6&cj_FsyscGxcgE znP9k)&og!B5>ZuX5O>RPBbI0C*d=1A;2^7dp?z}v(pf~~{rI2z5uOZ)#_^P&g*t_I zH{ulrPdA=S`5C2I`1@Bpa;1FEjHCFzTUorN0d1%>HilUBDqg(JB2Xtoklx)#{PnMY z(cF@iPG+w&jqafr=O6f$zbr&Fqt5~sWUx;2MSXeZEtXMk(IAj<*Rnw;!yd1h!|iE2 zcgf1bbdK4|<I>!^)zZZ|KPwO1oSD^<>71CA2WD}><c)Ynuw?^Rh9~G9j!Jp90-(4` zSGZ9rg)9A5`*}-o*%q4SJO^>T;g(x}QW*!&R$8qTfIba!FH>1=ey@wg);(0d(cF*W zk2l{cmaJf`6pZIqDIu&GRb{!jIax}G(0~6suoS#DkpIk}nIj_(uTJjGv{dh|5Y_ef zl*{c==7`D6mGOBQ%wkh=dd0}koXpvnS$w;sfNs}-jhj@ylDfNnqU1ySQflRY2lRKv zT#rI{tlc|gNgnJn7VJHBg4U}qx7Ee*3^vnpOvQPpmkZz_HWQE(WpU>8E1FOWeV9U2 zb>@sko5Il5g!d8=A=7T{BA=LLMNj#>k+24F=dzVpnz{uMF)7_LKIgzOCFyw2O|9Nj z3m$J_ipVj^ik8%t_lepBWY5BXum5{e2E1MRq1|H*_`&!mhk65k@Esz`DpIB06!wS^ z4ct%=I~?Sju5q`RU!VzYd`tzx?%y@t9%=TK<JH~->~Y)uqW<u0uYDI{-B3t2U8AbD zo5AboupUqC9YO|t0CguPkch1=Z5-_7AYyE%v-1`_KOM>~6G8-F+b!3Bp6TV&q1Pd- z|6~H6&Y}{H4}6!_JmVP^iro7)&CiKfA7@m|UaJhga20Mor~G&`;$(|^>+!vsp~dJ& z*}8GP<`!H0C~?sHuA9lkuFMd24tj980e#<00T)U(v3&3yk`iw~3+XH1Tu3H_86TPZ z!3sD#e0It0vpCjylcA7*D?I%M{DVYGo_-Yn;CBlxBP&BoJq-Grr6I2-1|4o`IE2Qa zMJx@;3K;axWy7j{Lv(|=q0gov+L~+NJ@4RKO`iTr-a)hmPyb`yK~RmSKa_WnPnD<t z@5#YavOKurlY<XscyJFpR`U(f{aC}QEJHK_Yv@BZL^ELxm6HvB(FK5^@?Ar85yp_$ z))1|WF&w%~zsJjiL-7nw^6=nzcm_?md2pNDgKs!_a5LP4TFgBC;@pGiqdfi0+!j#y z$3<}qwu5*jn7v85g>QCvioc|sO$syYSvj38q#ej>Em|6jQoCTK8|rq&R25@V_9nfT z%R7+=rHd{7m`MqLiRk_I^PGo4+#kcQ<gyUi49}vK0Mv}xTrfo|xp(98mI7+VXimuL zX{W04@W&V}owv7iwWbZ`b^_sMs=REMJHvK`@TfQC@|Fckw@dZb@JEifs`x<Bj4zgf z7cx{W0yQ)IF9(Wb<QJ{1T7^aSR??FZHLLPpJuSC5P_5X1Ia|suXNGR!O1ITMsW+Ii z%bKBEyrPHl2mQp(ggxvvD%(Ai!DX=}i#h=2^2>G^GFppP6s`6%JfG8MTI4fIp@Np& zUi-3Los5I-Tgos(Dw*#rrX^hK5W)KGr7{y<0{drm@8LDTt4gURoBBCFL?X~eQmr~O zPzVF*N3WrO!hQ$Mg{&m`mkaXUWo^N_Tx>LlvG&bx){5Pw+gMGr5KSZ$oHI?wbQ)eo zo4$2vm(yUsGkEn}(vOYzg|nx{GJb58RS|y1&Oi8ZHK2+x`oN`QuED5^_OMUzp4PXU zDtU_mpADY6DCB%ZYkd(JsK~$>sSkK{`LH<;@w{7q-KLwO#?bw&19v)Bjp%aJ26Xp* zg??(b$*ScKXd$=(U3W#HKR4ZEwc|VVuV4dut=s3*>M&JnLd87l!z$Y<u~I7<Wqz;6 z2eZN#rX?$8Zu4$6{7<#1))0^R#ZI5b^b%!bLznb(AM{hUr-tfI1-*Z4FaUY~Oufpf zE!0<k&~2l>MnuJl>Cb(tDmfJdrW>4ARYzYW8+<#bj-E_2C_<^Dzo#0U7Ewn>q#AtV zQ%9dlHMqs3j+P=B`0uL|qw@_m{-_hlc?KJhI<YU;!2g{(kpccys!rt1HrU8kCz@m# z_&-u7Mtv+;_2SI)@cH>~wt=*{lMZ&Sm9p@Eqwdd~DJnm-@ml?nkCdF-N=0&Pzgk=3 z)~bQAYV^ThZ7@_R%R=BnXLu+V%@S5hJG);tNIK-6hrO3O_u%1Y7Mh*L#O@t;)Crma zEC3)vkN_a<9Ggw&KZhGQMoR}~*-f*bGC>(i2Dkvd#1fatbon&Tp*gfRJ6L`@R=Aaa z)p&4Cj<kQmy)jlrkLU1F=ThF8`2*eW?@z`5pT0~(+1dH#e_y6S#m$L#yN?B1#RpeR z$|hI=LIrq3p|R7l#qvcDdlR|G#)M*wvxN(_tzWBN9lI?sH@}*@s-FL4b*J3+%kSxd zhK)A^4Fg-b^I`cXgc3oe+wV!rE>BN?W+~r3o93Wqo@%c+`>+qTTJ1u*!#x4CgOdeB zqjy(OD9F^DO`qmRPE0`ekqf>5nxYgSd(>34HxAc$4Xo3aLHXxnZR4xo!jm}DMm$)I zy6`ysthn(;j+H)WYyCW<t9L|&0s=`|GN>=_K|De`T{F$&!@W6=SLVB@)Fmr_O30m^ z<EU&aJCOM)pZib^GJ}UwVN3v2pQL+<ml*rs%2`sD;U=UT*Sy00H8oB|kHcH};!v_s z5^5xhm}$JVf>sP-Ex*%GDgZB&GsYD6R{xc=A*uv$)}vGwE@(|3PZ{X?miK3~!L(L} z>D5*Kwz^3BsDsDzkJ%fn1->PJ1!x)E`4;|XGn^XUCNw+GIDc&ZhzrB~(Rn7pV|DNR z93OR89uZ^^WD#U`Vl|8Ei(>F1c&U4_O$to-$%<97Rvc{+x+Z#!>6%c8_NIo{8L!}b z29{dWCqfkKS?kZ&AKm1n=TWz*6YZj}IJD`T2Gk|02epFAN%ad!p{neE?ry1>IWM+} zwvBa}$6aI2eeauax51z><`gvYo#eqI4lTXJN@TO9pSY}avFFH{B3y1v3gv`YescGG zM0rObM~Z64H=jQNE?P@wyfV2+yc!Pl$_8f9sT~x_WJ?3#(pa<^2O(D&Qo8`&cLTl+ zlivM5Cq%*f8o)Oq=^agfB6&zpxHKAV#zM&D{QqZyVag~7nE&8Y6OwUI>@=5m&O6{! z%W_}BT8^>2S9+a_%o)hdJdtzN23d^RzFPc)q>*jq2<EI9;>GU`T_jiVP+l5qzrv;D zSm}X?r+gW~AHchq-b$#G6qI9S0rH@dJ5`!*LcWL^8^XC-LOeEq!_aLGYtc}Ev=*Rb z84rA$yf6y>#%x;@Z;h5PA+31`*~a8oI7`#U_T)#}GUFu_<kVTnFavor2bn`80}U&e zpzhHUbJOQ2$R@P7YqUfa_Z$fcm?zfK<jK#IsvV1CMoYSoQ*wBNsS@ka5+~E=l6Y)} z&Gj6cY+@2{MhjklQ_-~18oY^;?^bznj#47xQeMqIu@;A|F?6ehgzpX6k=>GpKavaG z?OgDpsdmq+g(s@tffI(?nBt+)5@qCwuWbZsv0G)2fY<hyr(p+GM9)!L088ufB=>Po zTb@Pd_o;i3dKGxNOZD}&?fJof_EL_vo@n84+RIS7$;euNalC~ygkv+vi-Rst&1tu8 zztCRO=KIRsfA&vPyML5O#P4X4sGMl~h@8k}raH#DV_!OJecQh|v~xvhMr%eiMT#@% za)$Bkp4-IIEve{=gWpjTk(ITBZY&8OoloX*h7o%uHRiZQA7f8nBD_7D{7*4Y5&CBO zrH4?wh46WQ<NwY0&WLmggyOA)Q;kpK35KX-pqPh(Mr8c&#wqfpH&DE-@E_yTj)b?e z$pOVYmFTY-|I=u)k(r$6k!d;PGJf1Gn$t%5F5{FW<ZTYUdWiK;Tx*cVVBJ0O45}zR zO)ow8E!bpecZh?h$f-rM*+byG2V*_dO7UFi4^Pj3QBnc%aw}e2_ZPTEBT)R1%cj70 zh`ByNtorLK4Y^luQW5~ozkO7#78`|8)pyN^MLOu3vwwl6ld4j_rrKijF=yBcL^k5i zyuuaV%gIi#x>Ovp>DS|l_enJl##iH*Ox+}*4AfkE4>BLS(R4H=?1}klrh(D8F^D7Y zaK8b6&<5eY3cA*<ou||QaaKWMbFo>&m%z@aV6-)PJdt=v!O=K3Y3O{0<HetsvWFeP zWYkn5S5+of)Np$Uo*l><wg6XA$?Z)!*YVP+3ITX4k2hGZ__a!D%-p-I;j7@JI)WhF z+pj`N0s`SpRr|mS#N1!7Z1M!TvB5+jqvTtEXRZt0KlLLmb|#9;ry9$Zn0}h2xNNc< z`PZw{F=M)|DR=^mn>;d3Ccg22IuRKw@XI&JL5ai{#^TQ=rIFeQJjOh+H}oD<gm9RL z6P{ukWD548LgTqseaK>hH17;IYG=q4C~b&ZhNm}hL3q&Kdi&(^k|z1-NlFRqYRd(G zN+wVP`?pbpHBTA_ik&WdBx9Q~joomNtvSO+;5)d0JN;k_VyYaf+&riHWY`?cv2GL+ z{~?IfJ`Fj*)AkwXTeN=4-+E&VrSiF{*=VHQx?d>962_z@rgc<Hz%!I5K%`#BY^E-n z<$9S0_pV&MZoOgv_a=sZobE;ErkhZIEvT9=-N}D8`RImpDY`6`LjBU_)9%xz+`ih* z9uOGqZlBma!=!k$l_89GS8P+6?oBVHI#c<n8Puki?z&(9<hRX4haU-N*cW=k`9|!G zz#HK=JZ};*<40??140xxx#%8rPPz{LJpDf1f-XsSqLSa3c1hZOR_NS*FKKswm2*(% zkEES=TnGdG%A4l<RNJ<1?YwQb?(5qzPBXWNW{NYMTN6JXCNL>JDX4XP7ZJc1pcZg^ z^9=ngU39dzCm@6^01<F<lb>!yze{bPs#CM5TU4eu#J9EbUEh|YG9zY=wz7tC?Ft2` zZ(7oo=xlUjy2EI#_@7O4>T<h(Zba+RgA<M{j$DrHjvUj+r{DH@-kT89Y7yLJ2~Z7S z2@v1>XH#wS>?Zf7A|2}$*fbgXxrY01xgYzx<4p@Y^{sa=1>uRqOK3s_AgU#!u~wUd zz6=^!ZS&4OdV&T(qeE>m3eFn_eSoXVf(vp4rL8m~BzsuNj$SW<GoF8c%dH**+dY28 z!H8JTK^ubmsJwSgJKbObf`cxV7keE#r+UMn_+kBEw!EbrhalmhG-e`w3>3r&m*Y!J z>lsxE4}d+Z=dmf&boU{^U;OX@2`P0Iz1H*YHSd#g`k6{oud&a#XcT|Cg3)Y(HMjP! zw}@x6LT&kZow}faH!RYBinD(N>6@YfAsS+>yeZ-F-OC-{TEG5j`m=fTP<FU<Ri~R$ zgQ?eIx=kWHJ5}%5dwJ6Ps4>*aHv4v$wk@SSCnI#sBUd)3KA8~tQhH5p#}{w-51#xk zJ$0TG!Han5*+0x`&MJ&wj8aO7A-@vuygYN>LOx;36>F+#a?|gB>PhnZv?sEU4atfL zj*chgo1`>+uxM)9&#E(nW+sJkWW#b~!Xwj@$(d5yve;^iw4aZq277_RTd9V(5DEJR zC*5PE8d<Suj<oth^RFG+3BOmR6gtWE<7QtAH4^U5ODWtV*N5T0DqTn@ACywiAlKKL zem$v_;8`No5|Orl`4s#0w^V{>vQ*2dv`tRnD`Vc<K(&M+_meIoQf5zi1epboWin?n zO5ggpnwD!>`dj^iqM2XJ;(}Ih|LhugWJI4(ofAlbG6ISrWb%D7N?Rsok-a!`3N+3z zriz><Kv(=(%!{9^<;<NY<mOn>U^<XB4eFZW8xY4Pf8T6>k=J1Po>=?`@%MA=<DIy& zYxj4evL*2L?lseKw#HY=eG5hCWyAmA$K?LhjW$jy#VJn|d!8?N*x>vDl->*p{K33( zLGb|feZM9<%z3V_>dI41VBhzZPTf;&|EF61FL!@yg)W7zU(333_4bq9-$~n(>+6$S zE0d`!m8*Y$8?+Z2=H?oT=A8O|`|OPR2)_5}?rBx{G|yQx|2S>lj1(?kJRs^a5bE$$ z6SM!<H*`rZcu6<#_T$Lia?;M1uJuXP?+sPoon}7Ghus^HNBTOE!{tkSTWjYP(&rUO z;SOa3ds9zy9G*5^-oMbK<KLw1pQ^PXzx4&PJ=ytx=Oto5idFBcQuBoXsc2F8xc0a< zmUhg!i!vt^7!{5yv>oM86IWAI<5E*q6I7E{W7$;l^7B&hvhfn|y5oiPy5z;|WkJV; z=o~~IFgYE`XE|Wl7YX4E5eQ)p5e{JsIk_vi$p|0be>Tt7Kgn}Knz;RN)bRcOQ$}<l zH*>##(roxRv*M8fmH~zVCdFfljNz{H|H@P}<m#e!g+X=d81*VlB|=mhl|Z$xdKXjL zC?c`_$SDTRuf?P#q{XVm0~4%R?hIQiD#o*(7;&c=qnqeG+UOk+Rog_Z|E3;NKv;?` zZHe{(JwU?0w{Vx)6s4b}Pf+XHJfj4o{U>yCmOK{Re`c2@Ls|9(b~!fh(ru~YFc<k> znH9p<Q)1^#0H+AsDBB2K7DbkD=6$}&{`E0anUETReMYDoX5W;5{OK&zjrQfpa{Jk< z`1-V1XO^f>97idfA31dH-N=$xT&r8Enz3-du&GL~q&l^gx9LWQADgfBKWD_&S`u^c zpj!M5f7ctqH*u_t;*8?d?z=Yvacrf&`FlqRf&tQG=4sAp=4rO+V=Z0Nf3Eo-SkL^q z1LU-cw2QR;ZvWkOxBYJ0*1vQu|2T`zm7^Wr?E0x(yDYf|0*|*=^jm*vZnBS<MWjTh zM5aWgL~uoOMIO8it!?XP9_+}RQ<BkC6H${@e`8luKCEd#DSy~hzv;-!+fnH}xkp2I zmZ`e*Rw_GnmAX_rFiq;1tETIc_L=h;@|p6F<}>FX%V(Uq7b9pqn;z>d9ra1{XoFBL zOUS2=*YER0{%&{eMNb>N9Poby9Q=GFA3yNwh??&I4I<It98c^l*SAQV&53($>}{*< zf2;6am(<4It~;vf_;ty*n?VHdX{&0lg0Da2wxRZ+wvBO3M^p^E>#VILj>-I}IkWjO zb4K$cDJ;@2=uP-d8Qd@zFx(87oqWS?#v}4j!Dp!XYb3{Wd8Sqd$75k4y8@dy`c1mR zgp0Vztn;5XX2_rF**+7|${fzLpL+D@f5Gh--TOXb@GGEVn{2ymTYhwAv@Sz9Tg$-u zSSh~#y4K6cwetZ&o2Th<RIaw==oyC0nvQ(ztn>vrUCHAck`m7C^HjdtmvWhc<04%5 zF8|Mp`{+gvjOpxLV;e|dQ6a5IZ$xfHZAAD-b478{PuFzFPFm#zT<<&^?H}bIe^D1* z7g-lo7m+inV^90xLYVC*sYI$qsYZN>{u22m>PrNJ>~0KS*tO0Tov2TYM_JoksC=(q zhR~MQY3%$RxT<4RE$YA2lk56UJ?x8*jDXPvUg|gMEou?<G_{jzKvk|?ZS~{5@{I?t z@{rUv)^@f1YFk#jdb@g?uKlbhf9SOs@PJX~v>L-EV!9pfVcP}U=G$@Z^Wm~F>|Lj& zfbi8e#{4-W799>9CLPw6aklVzk50EMVLwtlQmf0`!#ibMZ)`a_mVGN0?433}D9~!W zJvVqpHpSygAhEHxXo&wlR+b*kH!7G?@sf)zVl{d-ay4o-!YA4%@}Tzef9}~B-A^4d zz+9VcbYB#idgPi|E_1kNOn6#Ho$J|?q}~XV=*nZ6$1)i+k2tY<+$-1|!|i<Tu)WxL z>e{JmC$8~bW4!jywd1)jJIW_N9L0Ux0PJo_oja<-Q5q35Cm2|uOU!pjam#4WX!{Z= z8&%0<d&G%B$9-qPR%SOrf1rgI5``P<zwLk9eB1paMIvmk&dz{dqEjw)de0duI4YPb zSX=l**z1|=vlgpHmcHcLZQcP!+DF=~+f^ey8E1}EbQpYOJ6QTr=z7IQ@_0Wt$(tHZ z{a*L--&Db0|0<@7m*(eEPf`2YiWg!&_p&d3$qN@(*>UvZ6(drEf7_DVINJTA4_@W9 znz6N3#)v-{7M<jn<ey}k6x!vkXW7)0edEaX<x}3l5zvhK2=4gTseaU2xaWUxZ{E|( zXR*fXF7TpFzJ24cvr_&{Ezz2>n@z^om&VR%O8pX(dU0ZtFI@TNjWH?3YLksIa@jiL z=6(7ts!@ANL~xWie{=rp(AukP;m>279-vQYozUXbV$}La>!jAP-6Z~LM2zQ9==w2m zzI`B)C6XnIC1NK!e=e*hw030g@ilf`28wvSVm(*A>L$TU5$@X3`KOUF;-6pcMoFNJ zF5;=HUMqH2YMaDA{?rL(8hIDl9p%KFax{fG<yb4Dj_1z3e>lD{MGtwsAkjBRw8XWz zv;^NAf5W}|f`6J8=E`07Sfc;v0Q11H0mgwN<}Bvlwmz?qDQsBg*Vix-PyuWKN&zQf z?RhLf%gEDalS>r?lcD^<IksbX;gFMNV(fh$8_{p-YaY0nv@b`%I+VGUDUA2cKOxZi znApZdUwzHnf65a}x9O_X%66y7aK?ip`y*`2zTpH}*rV-ZZ%)2BwyO?{R%LqW?mEn$ z=4^t=_9i49W<`c@#(nPHqnn}h;5TM3J9dh_xH$SMkL$BDN^!Itk*<rGGY>3asj12n z8Imv>n-9JSz7D#dnbV4XPY>T);VTgW&uL4<*dOque^ei1DZZFw!t{EI!i0a)P3qW< zMgKs97N+wp6G>ueg4*2v{dBzw=7V>@pQNO3_iEYObR!k7%y!~8r|R!A6LbT_0~7<e z0#r98Hjku8%7z%S*`_qzA^AoA4^HvA?si}6SD2xYf4_wLF;7O%Bb6+b43$ikv(6R# zI>?x&f8Y1%97@yNW36nvg1OiHPMz}Cz5FQ0q0H}C$f=MMA$%c>A^(IN-_2aC;$5oy zdA~|lGuwfB52hPA>Ido}v}gXMJK^gcCl~(b9inwY?Gcqeu|9^~u(kPmN3cnO>O-xf zD!-xsIG#C!y;pr@))HTz6FaBMI2*<YYHd2Hf2qsMmCnU*zH2@kV#w6C)xOmh*dEx{ z(BANWaq}KfO)p)%C?%mJ0-+yzKzg+xAPAfUkN{GQbPz;Eij=4zNDYC24FLgB5IE7G z6e%i5L`uMh1;Ge{NC{Rzg{UBr=KD{=Ip61ZzqRgt@2$JmeQ&L-<zBx%d(WPkz4z?- zf6EO0*RYkv+Yd(}&VM9{NOG^^MED^i1YV91#`0j|nP-_2OubHMlehS|s=IUK6e(sa z&5ZU3O`9e}+dxB^_9K27kne<hw{J(yZ2!|S&6WNrDCnnsKxpE~DQweYrX%wcUAl9S zq(`jhEpJFZI<~@liY5!65@mg1E;19Ce=W>AbP;+@Eeo#WZ#`r8pE;u9%gxjZ!dFP5 z%A6)o(`9S2vY85W5B7DxiC<KLtjLpa7)68cun61QtjNdPu*LcdsyWx~3zQEC`&gFD z?aa(=x9GoKSv_(4F3~R8oQsYXjN^}k$MMDqSi@#`S05un3c5Vr%1Hd44|ddlf4R8> zZx%9wCH0@2;u{x>;*AoG5{P>IqD=akK3S!&A?d!g4w+a0Q_0F7?K*205b8IA#Wrct zbJA7#tr01(5y|BZY}%Kb*YNRnke2_*26v>NX_BLJ{BXHtg4Tk{Fl8ZSo*A)}b6Xpd z&+SG8Dno|O^Dn>`cozh$MP}eBe<!u#^pY+}%}|4WDq%v&M0cLRjQpOivo-;f1kmpx z=5=OK=RW%7&dYS8LzSUR`d6g_Bp@wqq5(W*Vr7D5$N*S?&?*D*@obmreFOF13j_9U zNTONlnK&fzTVe1K{TT5vuS>~TKTu6b1eGhn+()?K>;3I9GBVo_S9wcne_1qMm>tRT zW14oJ>U<bc{yx7;56tE)eVo)WK`ad1$f*Sfy(gCO>@zenYzhe-SrM#{%=gS*dO6AO zwUr*4Tz?#ziQjX9BGz|;gr}8e28)pT<auw)T;#oYzYC8GsC)qJig<@#s&p|2zUAXK zsT&Wv8tS`RNtlM2{q-(be~<0OGGcl#%R8;VhQAz35!YEhIzmlbs}KcS6_QX%MAaKq z>B2742hQ@iJ9LXq3;OHM*U<UTj~+K{VW>$qI#pu)?*+rR_&4(xr40?qufrMbe==rl zFV(?R$oX=5uHDEc1~qs)V^i8@QvJP?Qs3SoTBM^i<Bz#(=V%o@e~dn<77%K|ay&*c zI8<|7Hc-*<D@@P|VZ~>)&dPQiQIy*ic(3--lRjO(5dIK&2yckMN7zT6`lc?83RdZ% z8X-J%Dqz_K1UD4%w)0r^er?gXS|HWFDM}i%`0r<fvxCE)Y+ap^?RRg;9EwOk?+FWm zh1}>bJSSUSnP+(se~OgDY>krDo!UzyPrCDS9wcifwf~k8XhvVYXi-^yhsuTVTUi6l z8%%XGo+81koqAuC1o7-lS}&|>9nF}nOVA-W5QJHUOpDJ-S`~yJ5M$~v;SgaXVJAVH z5JXUANqf?+Nk!E<_?@7RrrGZ1wc^vdtnnrM7^MeNKA@Yff0tIz?*$7)*739pB;EVr zvsGs~cqHV_M`XK_zly(@zig5$jW@nj+Qnf)0GOjhh$I*goLRL@Kl&DWWMp|o1>pp+ z=eK-7E4fBErZa-SdqWfxdWz`(aF_VQXqj^d-;rkqU#G~iDw?~k#t~{tr%HAXQ4>3z z>DiqNM1?d3f6}Z#$c<*7orqMa1=$U;v1ajq#B0Y3#czmLkB6n+*)vN!E9G*31&-@Y zFXtEI6N8EIEC^a7>w;!!H>9lUXW4SN6?$@KGQF+SH9aw{obO{(*PzqHt0F{d?pJKe zN^KNzd|H4F{Zsx(fc-w4Sbk7|eft10&{2T>buTgSe>0qYKY*z61kPUZC8|Wi+0Q+R z<ybg77EdgH2V*<95CiYR*lCW$z+f2LV>?mB7RJuT5>Nc()%+lM{;~3&qsNFk1H4z< z1<yw)?pci{I@I%Owh5e%mfwR2B_7M+{a`6@UgwWJ-vWqo2YC-%gP+%z*|X|Nba3L; zG=QHsf0EjRa3vno<NZ(zJHJU{kBmLB3dwuL5JqcKW=6#k{aSgWz6#Lp$TKrTh<B2B zXTHH{oifZaFQS4aZ`2%&_E3U(%bpmJ3VtSu#Ak8DqtW2!a*+5Tgm@+p{0{gLSG|Z= zT){8Ji7103ep=-jT;Z)N=J)Rwpd}y)^D@k{e;RZTTO#EM_@#vqukRu9x`E$yd*Z~O z#92Decp7iJ58uVl{1;RCFG{SpLWaQEN6m`T#qRp_-oMFo=L-=?745K6HWIahh49lX zFFuAg)c34U6JPf-(+MVrJB`c+({GZj(*tF5WZ^2ro5CaFF46=O)>T&Y-M4o1)Nj$N ze`yw-w|OrrEekK3$G2{Xx4vk=6l9JFwXa*=I9PM<Et$T=jDW{w9+8AjdUDIY@vZ21 zql=MDLqav_2+5ihD48S6Gn;l(X++v(3&D`})<mjG%_c9voVFsK{#yQzdmrHC<X!y& z&8FSRErZq$?{#fAcb7GWo1X0N%8${3f9FR@ObNFo7?FYw2N8C%-rth*c>gHzjfLSK zsoDHF@Q!uL{K_KA@RSqQLN|9t#Of>`NHUV$_nG^jSBA`I%q+SkUGEw}M8{vFy$D$) zNn1~YW`d?<)Jl(xw+maE^3=I#065Y#4R#6vNjO5-OxSf4c8%aHyQSwdVm0~Df4jNE zt8Eg7ZsDmxf1PV}^9}h^dHc;}6kCnW$KFOet<i9+HFQ_o<2%PSU!Y!x#oC5{aM*dS zo_<nCE%IdambZ7~`IgPt8(Ei`_H@fm=&=0y<){w1<D?N>RYXvXUkonBD<+Tw%i*bS z?h>K0c7Lrv|6UFUj~U?O945|wf2}?6dt~jk?naCokY``$*W0_iR_!RHU)V~ye`CZg zU@|`Gjm_^n;Ps-&*}w9n0u;x?Gw00XdE>3(mE#TL#p6}kN03h}@$5ym=jPu(i$s*a zvWU_r-+a(q{QKEBWa$OMG_PwR+}q0DdwRXp{mv}r^1-0nUI93H(uMp*f3f#puTIRl zdJR`iS{>_pz#cO;iT?c)iP$TbC|z>LnOSF>MbTvgwgPLASx>hnrSe46!?)wvGms3` zXvpqk+@^hq0MXTT%Q_vIky@rjT@`N<U;M|u7_rN5LIzJeapfTY*%!(us-G@BU#?28 z_>^*t(qc}$LyV^HVjf|8e{=~gjrcvzuYX={shAnV3zeNhsdAIs*eWbHy*@|8#pBT_ zZ*O{wtcon_v1M7htX^h$Jb$0n_)C2J&ZO@Oj1-m?D}bfUieh0{yjPx$9a7No-`f6D z1bk457mT-vSBTe(N6s1ySw!iPZ{9QQP=$)&cf8$)c%%PhDeJ_Gf6R*bOdQ42u5UlK z^^(6>p233-3;4%YyW4H8eU|1f7CHHEKmGW56cuKZ6fIv8!>x@AOykXz-wNkhj3D9) zy2kG<TsguVGyd%Z%Vp^qb+W>Pg)6GTun?ZWdlqc5Wh3C5^<EJVt)NQcB$(yjKAa`B zNzdq$6~Zo=s2M2be_s03>GN}aypub0k~Lq%{zC5zp<ktIb*4wE#1zUd>b1LO_qP1@ zoh5yrik+!W>xOn$kt#)YIKhV?p-6m^{({;2St+iltMJWI|9_mR>kiJcF*+BwLK464 zj*FhOEQ@Ex`sJ#zv3YfunEZs*Tm6>rH1j8kv(f6)`ZRsdf3ke7YdO&o`@a9jR!Kj4 z*i~maGHIW}-v?|OKj(mL>N8<KBCb3>l<6+$ui%gLmrT-Q%Me5e_OBo1I8HFWW=H;h zzQ*3_%DJstcjchu*%#s`s`;LsoB#D7`gwTj%tzQF_Tfeoi>!@9a;%N4y{`pbX`fP8 zS}e0d+k+VSe`=+tXLH}SjUuD?qSmzwjtGsQl1w`+zkE+{M0AKZv;VWU?jJj3q?5FJ z<V|}2i9EhIo@T*j{$_YH@A!dPc9_mZov5#vaCnq(yUGaPh~mh)kpeAyy^+^Fo1WVy zp9~$#6q4cnCH(Q4-OZfVz7|?mX1FU+MbKIxMQT}yf2PF_VwK<OU38q7eWNT-onQtr zDNI#nCo_*J&g}7|l`GtMU}^mOuKk2(*eY>5qUc0oXzpU#5^0H;m|iZhz-N8`dx><) zOXu~24H4T>=Bj8@;?3_yi$30qPmrZ26GJOaM7PN-Z;D?RZyYbh);RP0i_*H>uKI_N z{`z!Tf8xjVk7*xCvT3p;>+22cVm52MRsN4JuFGmoaocc+kke4dS4dZhTIpJ8S|lyv z!y}c!<nkjO2N(@Wq=tTsHX?oD?$*elV*vr7ks}f|O%=>Ix)VJ*eVngDIAGma^wMXz z&T{gIMEaZ(+wb3w+GBgkf_G}BX&M6ms;(88f8Q;{mWf|(s^ZPWoA05M*98cy3N5R$ z`B_WM1+$Ykx@;;4mLgANU=zHsciUOPKELW;OV>JU8M*IzIDVDzaZ;VunB9@}MAGHq zZrzBna8@)ku(N~cm6j^-3)cR0?As}PJZ7X~FRXKkp4gd4ztbtWb?D>j&6w=muE7Vp ze|7)8vX%=z^Y)8UPC=L48$;=O(V-Qde;u0(%cN5r5aU;-7XO&4&X$tqn@vB$U~F*= zs5~cH;(F;(af5BPOqlaXMVDqNkBXoQzY1K1S4BW&@1GG5&*XJk-`lObJiz|^??-5V z`%Xm1XZhhnMF?&M-J1T3{)=Qp>^-t0f7;^cH7QZZ3~EQ`M|wyn6#bo0{2zmdk{>!u z_-)zgmv`<N?zuBlaaqscT&34L^0RwA8qf)v#$H!+isvKz<HWQQ(|;uOhv);RiJym# z{9ZBNZa7H}CM{LqNBl|d0{-$z(ljZWIt|8lBp4(<l&oOcB|rSnFKzyP2v_J-e|FIO zoT`2k>LiKTMsV@=*1RL9z4-np%<GF5J+1WQm2SzDoD!#@*5A(56E}=*<zIE2P<i`7 zf@(o;PXCntDeV(!md}*=&CrwW5%<v~$`Bl?doqXz4_D~aiSXC)&g``~RxIW6phv?{ z09GeZhiDg0TF(|BNLvjeT#LE}f41y?oqN#`=k7sxcJ0@~>uaHrn#U-I6HLFFWjZ~i z3+6RW{J7m)pjDooXgAdVTQU71PU5B4*{<(z0>lSHCmh|Fbt7rqx`d`((DwG#%xc(( z9;qKHy+(LPlt)BIWJWR{-AmYkXIm#ftW|B|Yli*ns9mqBw_Ge$cg0~zf5@Tcgsn7G zS{-?M*2F6yG<HPqKabj8f89tnaYg)+S~!XRt<qp3+&$ylOOgS@QY=Muo6t7YHl7ic zWqrx0rHC{sLj{QO`&s*{sorwS(vp<?xi3OkFBnzc%<JW^r6;GJFFMZ1zBm71b#mA9 z4NFO~Cu0nww1e`0`w(|ef3>i!$8M%;OGsmETZ3|~U-(A<hbh-_m)+$>!rC38TTHSX zk?5YyQwFBVhF<Em8ODRuX1nPtj03p(3yt?yCL{`7Fva^A7Bl-ibfORBA1G<;9}VqM za?k+})_75abO$nwUr-lDDlruYau1xJYsVe?Zp*OAy;3rlW-;cTe;~>*&bN{ZYju=F zw}mt8qnaz{1gKS-29i~{G2G%hhE%@Q(IYoJ7DX8Q^R2|gZa5~Qoi|PGGIiKdaU@r> zc<#c|WviBRged)8R-M%MGYhwM3p0(!sJ)}c>y6&(Ttmq@>e(hddJ>Otvd?5V#)W+j z-bUV{VKaqXSlR_Of6zCPa|}G$Kky`8JvofwHB-MQUd}bB>5<#waJGNz>8lOvg0+*^ z<0FACS2@S=lg9m!E~Hl`BW^}*6e9I}GZ_nN@4s#;>%tdN=F%0W6Ced5Pu&)GQoUMF z+cUH@SKb{xRrFO#=Ha@EaywmMfX8N=u)>m!GZ6XS*k(#Le^2umBvjhtwm8r;4^;78 zq|BAz?zce(Yzon?J(}uu7YyNOCS$hF?p^RUde=Eh<92FZzytnk@p6s_N4FFeedTcq z%4C=?Tvcg3E$F>|bHU3~{r8{HRx|slA~QL1@p57fxC7deF}nqf-tv0(uB&jayZs(g zb)%Tl=tETnf2x}09R2*?jy#DclFe+wYH+vPAWf9b1-EWLI}7y3WipQDJvb&eIPP?z z@$MHHNYTr=brq#*(%&{I@44RVHvB#X&xCa9{zaL~!bt*gW#fXQcW$cy^$PNiiMF~Q zAU^35rEzd2W(?}7aJx-d`O>8yo66`37b%T_RPlfZf0Evnn+sNB_~VV<3SVQ&ISP)9 zr+kANFv=(~Zq#Q14`Q>U^F?o$FGGv9C$iVg{ImQ|AT_tS;0*0GKOjYn3(lN09(oeb z_aq~eu`%xTD~SA*mB~1icNm)NJweai%qM1k00sA-yDd6VuR~gs59hnIjJ^rpmR5nY znJU1+f7?!LHEa*lE<M^&3oQ%%O$8-pJ5?CyA22R>)^dy&kTVc@!o45p|0|O*K2vWF zw5IjDEk5k&e=6=EO)!tqgL*!e_oFJtf;#Bj!dyLY@<8i)DqM5r(~nZe6?Bj3l(p%V zT~pGy?>kzw87_rmAkfw|?KjCeu<QqgTER}Lf0oCvaM&4#3$}~y6{+YR6P=qlALF;= zLn+0<c$vJi7o!XT*@Vr`k98SH-KKQoyVM9Zk)2ii)G5ti*|77DrD*5PQ=9Sv+^aTI zy__GbGH``0*y3=!OWyXXekCZ*4xcdIUZI?;SyJFfy{>DyF6^wsG1~;Y3VXD(u}(cs zf6_f+9RrsC?S!#Ig%RHp-%KL4j#mbJmHZYrNz&#o%;r5k-z(oEr;?n?3LqlDEc zylP(i57B41#W8A^+Lw9JXRvbw5sja&c@bls7wE%v6nA%OVr%;5G`m2Xs`E=10XeY8 zrizBM0A%o9o2kQj__0nohrr?(GR`$#e=vma)4fbap<41ArHjJ)Xaz?N+sB_F@|r$G z+R48I=vD>C3fqJ+3o}XYQziv<hb@KxNi`{OJZ0Pu$TpLL$H$FFEX>wVN#;waWwU@` zO(GS4Qj0*h^HDZBI*ia+=8iq8BW}8Sj3>Za#BEAU6Yec&P;tbj>LTuK8$@o2f3?xk zVAz7T*GJtPPc=G;RkfQ@Q?^vK;x5dE9)mNCG<UDZ|HUZD^}OJYp|<EI^G}83*TsH3 zG@Fq}mw!ZY-$}haCcHlFe!k6=dEU1v)d9JctI3)k5>qLKi#Edt@xgfqs*J@M%K3G& zQ?7;Q{v3|POXul+I<&w~wcgQ!f1!rB4~v8qIhdZDD|GyY9S&nStG$1@p7zJEnZ=H3 zUHp;4y7b0TYPg!SN0k*dQqw~=ti&-A9l2%dK;Gj?)Hh+A-;Qck2EVRIdgCjqpFhGq zL7JhNA0-`@;}~r-9Ex|&dpwQ$=7dw&QLTYDEqrO?8;y_53-FpkQ)4v;e-7>3Ic1GI z=-kqieQ!?4@c4sQ^7+#z3kIQV^<*g%Z<*%{^6BWfTeT5m2gtWVijK{v8plEAgl937 z)I7dzsyiiqA?7M>1*BVdg7y~FloLp|s)~+rCkmcGEl(k{7^7-izC($z`Yxs4jj9vy zV3+q9vjX`OjZo%Be3Hsye^{y&{s4*d0j1xY>H@{`eXacB<8#GO;`KBUtw^*R{sLuN zjU1aPtGu&79J#fin3MPsr_{6XoAYFVq4V$D!e=f)34k12Xj4U2=mTKed$*QA>NY5Y z>B}oR8jLzTh0@kQhD}u=ZZk-=2h0k5Pc`;J;Cz-%RW@!AN|4sZe+Te>d5Z~9(&ag% z-6T1M1W8v@wBm=_`#C6AK6>LejmGZ+33QWa#Thl{9}t-L)@@py0kW#6wP=N)ZOdaw zXK<cP)lHmI+v!g#)J;{Va7RFwMq3M7PR?aPIT@*wg*P=__Z1Q+zjvE9#LGhB=K>&c zyW&|W(-uFa^xw6+e-A0TUu-j_n)mn^WYWna+LoW&D?xU9c}ROcMr$LKX!S{ojycDT zp-qjiW_=dp@(iLIWKYd3#y&L<$YzJ;3v)DFaw{Yhby(X{mEj14Dis}FPZmJC6Q7<z z7Gsy%=tn4fYMi&JD!Ri2DSP-SWv&rd0=f!4qCGEDRZ#R5f982aw8Ba)mIWy_E$R27 zz5;3V!`6bu+h1uA`(>(VMTo5<$f7}$ZV8?s<4byPG%r}Z^CSv%s-fh_@9z#Qc@U#5 zA~h8bKr1E3bX#32<r7K#plN=YWL35!KRR~H)G<@mt}qFzp=KMJ5mY#q*8hsysWw{q zXjz=WuPGuPfA$wtQp9cwtHh00&8bk&Xo^VcbY#|<38X0PkT=BZ73yNbqZy}WYIan- zR&ClGmhmLtF;cZ@OBhvQDSWalqyGW5Xk^H%Vj|bK#Q1S`vPZE%RiVS>Q3%q=^({7j zke$3GCJ@syPA#%az*UIk`kpuTq~6z6BK40@4;kv}f9}rce@gYTZSmY3AoTX@70qnf zs=q+FkRe(jtkwja+VMHX__@e3h>wgIZD)z8T!{bjoM^=(wNYrdJsYqg>?DpKuxSum zddd%kkGYa#eG8Z_9esNx#}~HYQ;=83R@;QNEa`$s;(JLkwxO1SP<UltaG>RSJ*4zp zt7wH!f7ItskkYb^VYd{PKrv(R*{%5PgLcqT-J&4i$i6_Jzf8&Th~4xA2$d$Aurk~! z(Er#`?Vh-upn}1UP#XWFB7hJD5|XVVLeBchJBy((qYf$nzClW39~hIM_fPWznG-R6 zkc(<uzx>lx&ExB)x@LnN3A?DPplUdw)RQVPf29Dqwak+<AFOYKG4Xmn2jojol{`6U z|GnI{7$|Ld{d`$#P!YruW+rqsAJ{L}fR8i&^(Z^J4JyWJG}~suNci<9AgzbNNO)iV z0II<7c}}GORSCe90Xi_n0L1DA7NqLbNnn2#;I}*g1=4+pKXYmrgh(`mj)1Jd_vtVo zf9X&z;6ok-ZOx(eNh@pxx^~Y7```JcSt~W=1KjmJFd)u><HW$g-A5B4fB!lQ^>xqy zR45*xTU<S~0()+A$k!l<&cv?`MyrATH+gVjoH{!?Gzfw)2N=L1ozDJ49xMd5Ohff% zn%z@iOB=LqX#+hFX{ZZg>&Ld@U+VZ{f1upTQii6x>U?IM-qKsml@Eqd?(*HMG>4i| zx@*Ow&p9P?cW@6qd>=#5LEGxON6RNx4&}dW@q_7p2~HiM#;UDQ8FoJ&I{O;ogG5H( zLjsK$>QP;f0}wMC1d+v%ifLFr6hvPDag!57dm*Ml)aM$;DbRiZ1kp37gV!Lgf8s#1 zJD^!R(4nMeS<ToEbug^MkSG+rX&uEdl$9>rw5PJ|CDnSQR;njH2yhMXJAs!9aYoYy zTpN)CEpu8q2ptqeo``u0a{nnXGu0o0{lRFbX3hhLkNpa!+ZvFs0D0##h{A+-kaOh? z1C;U&Lb+jt_yD1C9rr<bUh?;Ze<Kc-t&udy7V{Zy@T{{e{`HPaYW$0|f=G47dO&({ z$k%`*fCik6sBj24n&(L{giv6&`#s=(U>UiQg!l+qV9NRqdFs@6V7NUfyCs1xyob@- zVHMLUQpw<3sO6<eFoF~)?e1xsTN{`19=Z87mlJDfPcZ&fb!%jL9)c$Te~h~Wbmeh$ zZ3P=kIIv*uucAX=a!Ly9sALeJ6JU;~{sQrH=b!lS7zchf58`Jl8)%9K9k?VJ|8k&S z1_6jx0aE55md|m91#0zA^*}*5lz-C5uYiIcXpZS8zW}?>fc-#c0q8P?c38+ienJ%= z)Us&=v`n4_-aQ6LX%-|je?1F?d_hq8a17WCVkUrNfHjD^Tn<?S&@K)+6Y!wPItqU% zZw$yYz5{tt(5dqxkbe(adMAUHS%5srAx#0<3XzY%Cd>C}jN?y}9Dmxa!6=k(iGqCE z-Iw}IR|L5ECN%@kFa*TZur;q>+<|yf$75<VzlT7M;&{?{M@2Gdf9^>Q^mt563$)L# zlc}O*&LAMJt`=Uaur37BQGomI(UK3v9mCL7w+SHM)OOcUKHZ1rWbpW0rQ>=iW~xXy zC->q`0G*8Suc!cOcTykAw?sossMIWT50iw<fC^2^!ZQufxPw6$?X4VrO8sQlvU77l z2Gl|I@fb3wpzd&%f1Su#_L$2c*B^v>EwMG9;tbS7dP;`lX;sBIn>G$_T5aVGhc~K^ z-^k@<f+_~^{6@Ska8=ddQWH2!xy7!U$!R_yF(uAzehU|!$l=A>nseiGR1>ek<<&ul z0S<58Hh~*t)w7q@`ZHvRa266OE_csxp(&TW+_mKgaZ(2je{4o#%7_~qQF-B9RU&rs zTn$?!!V0<O<>2hN7C!*R3Xm&4Zp`hk#f!Sa?Is!QmmIlRu%7_)E`W^(=<ESm88i={ z0MQPbuc%pat!k1AtKxLi{}^%|P9p=nG*>}3I1q#WhFkK_a~nMan<cR2hgvDu7W3mV zH@Uo>)K2dBf1v2*$|<9&j&pfF)E``)Y+e9&>@6puVioi^{b9{0e4Oczd9GZ{-($%7 zdkkV=Zv?958gMz>z_YYVc*xO^aBt1@1b6Bu?o>UrZE>_2ECssaWKDG~A42V2X=&w- zyIw)F?U47ZYEBs%y`=_T$@zmWutEbmiv9qJ{DoTWe@>nC0x1^G(*}59k<miPXZ!7` zb-Erwkm7c*dk6ri_q{`fDA<Zlb9N7~Erfu2D-STo!S3-0>Xe_edqACr{l(cm!1jbu zoA(Uc47%51*y}0*=;Lm8_s933_D09ucW(|TfD9Kn<^C9q%IGGPOk%l{me~Sr9bguW zcTjI~e^-)_7tVE1qm#y6oLP`pWz2GUxVTqez$*XP+NKUla%e`w3c!v5bZtKsGsJDr zDXT#HCx1Ip_TNqvcJc28sHd$hfN=ozDtD5F)snd>#yJM6wt!B}6Yf16oyGci2cV!? zYR4f^*8^463T*De)t`)cz-^_8H{kMGa7Ns2e>U6ta!l6ji76W8TBSee`;DXhz^S<w zt_k*38!qqQ-xl}$V{zHv7JvWS?R}Yl5Ac)PJXh5iYKouRoC4KUKxZS4TFq^=cfpQp zLfhXF%kYb0e&j+1j4-a;3EUR$EX3K$b3@5Mddid=&AZp;U9;_@uM=0pl9~ZGUaSZU ze}3E$68qb}#codh1VO=n4=4Ha-zIDQ1L-n$aiI{kwpnhEpvvVajcdkpl}f86S6MIW zmp?kEbnJPGej{VFcvqD&bwV>)6)%$=ort@;V-Zi~ZE;=*D#<LKE5z}8gbRcncL+Sy z=={V0d%IIJ`E681=!1@D`#Y|rc2c7uf5#jaojR7^E*W;g@gv$Ygt4F&+|QPI8o@y4 zr=Y6x9Hr4B##8or52|7msJxmh>J0NjU+i==qjyH=CEE%y<&*Duq90!&J47~~lFhqP zZLD)M`OV6XMGtC^t^uikkUBmx>GpPME7eM~_Nb|{$D$HGIzL4=EYoobZ5hdsf6r_0 z3*6s)x7_KEgACuiTc7k+(!HjG8Rl{G)sLP&@vLz8WS6kLV$tVwVSq_kI!<mbSH9#q zb)#maRMlz6Q|N4yuzXx^_-z3*-|ZI~UzJ{(FT6ET7_ceq6mDg{Q2tHf_Tokl>efZa zpNB@WH-wcqU2z=P(4EldV)=Shf40eS0W%%N5FOmpf45bO8m0LqM$5A>6FVKwFwC>^ z2-Ad4bSo1sdlSwZr9(%$(HSAnsW_b2`9^!HgRW9$|08Of+7~w+1p#9v=V2|pqu6&w zuKD@K@p*5LYD86=Lu$*cMWH+<JcPj;7g>i7!9_ZoPu7XgZI~6T%67=If1R#jXkSe5 zEs61_=6Do~KxaC8+eQ0|1=S|wsmg;7KZ!3}QkR^~KWwUFc;XCpm>V(<7oM>Rk75Yt zt?tr6uHv0G=dT_vQcu81XZ80}^VPBk`BjmZzU4Yx9ijbMfzM4iA2Zx`wrr~U&Fr?; zy$rd$mkEL)>TRJ6AJZ<*e<vw3P_RC$j3a0^{5S>`7_r%$Z)MLp)eiUocI_OfymW4Y z7N-+HHIfNFmKmL&tH()#&r`Aeph{He1Yf=OfQnL6{g_|~pB|{Prn{Z3W|=#J9Dab} z#tCXpZW;!vOMi$ylae{asmVqy%yxN?a>_sJS?1|yZ+p)dcu-v-e><{+IR#$ng6K2j zm+72(`cxd@%5^tRDaAY1dEo)#8>pbHK#Oyn5-;Yth1m=5BUV6NB-Ho5jhw<t3vwHh z&2(`7x8v?^Ip;3qJ~&_CMb*_cNH-p)CaG=l2-`y4srg=ZN_sYf64<XPjheEY%@B83 zze87>F`qDE`Jf~He>3$LZ}_=;{{Qs~{QmuB;0k;!(PKa+D=bSwT0m|dx6X`T;v^r# z8ZR#0a7WV4UCQlGDZicWJ7ClujKA*e!oWY@6KyC{>W!W8`5fJwI-dBc`TVB~v9q`1 z#@jk{JgVI*ss}6zbqiB%D)1GL`>Wlnrb~lYmjbgLSG+3Sf9I=Of6*=<8jzRqouHf$ zau%i}AwB9Yl4aD4w`PR<PTGn^+JxeTz8s_+QXVGv-9uFeQ+h4kuSW<u3sLxxTWZdd z5#kA(GJf3bYf=u+?qj2d$FbkH^&=^VRAY$b)o*=vLfImed)Vq?awdAtR!Aw7QnD>Z z1-lPhd5e55e=$@7o0H|Hge-iu8QFuGypF0qNHJ6%CiY!cb??PCCrt8ZC<{}t$l4!$ zIYO3-*m(nYimyzyn_-4Bf+8Se&ahchH8#%>z0pUpy08-Ie)0%qi>mv6%BRb6z1SL? zO0%}}&rgR?JXHJpu!WZ(OwXn=kL+^%z&2#95GCEff7qn>tA69Q40*rFo1qVG_T4~* z@1<M;A{DCr{aAh=;)JcdK>o0Oa~WACt4~#CaWfFn&Jb;Kdk93jP~lOO2_OPjjX6&~ zX9qR>kkvOKqpQ>tFH<awHPG+h3W*q-X88I}o&zEmQQ^T94<PbNH70}n*d7uI5T(47 znO25Ge-d;uhP@|qLmwcIP<m}DYsmtDZ-t~Bow|P-GCWxx`^CV0Bd}t9hPn478>rM$ z?SGDSwFM1DRedI30L)&s{}I+13_&H+Ed;q+5>md1&S>!tbuoVHGr5AA4yRZH_AJ@W z6d4O9rA+}lY7nyzuuD<q46GBF$LOrSoie)8f50@oj0Eq>U4Y$#G9SjS2hy)?DzB0~ z!Tj7J_idEXm4zA(%VQt<R{DX4*(h_vww?By1B_&=-44Z77Lf(O6g|#zo1NPWq#l#q zRFLmESZ3c>nQ36At|V5=J9GymUl}7*8cI<DlZ97}IZKuYld&o?IJF<S8%*$`R))*< zf4<LPyyL1d)#Q{MXc#Nf*sDI3$)NjQl=&$3F0eB-qfbu8R|3dbW;|=`>+skL@taZR zdda_}0J<gP*{!}F&{H6G^5R@%B#=*4jj1C)26m>1Qoif=Z-M50ZkJGLH07Mt1+R(7 zFPI>NAue#kwisEg2zC;3)vtZ(8Pi#Pe|9p}A8eY1C|#(QA?($JPz&rlc2WZAJlD4% zG$2B8GMH=1(w)c-EJd|E#b*8N8?diDDm3pL9jqLl-j}8tbDJ!4tkM+gAhbKL{lMb3 z-KYC_Rr`%rMvsMRW0$h}3a1hS#j>%X9jNK@_J#Sr{TT@CWc6HIz1XOKMmV`|e*o|! zZ9~UVEf27936nM%;hB9r$l8TISE2V=eN9uZeO1OSS)O;c3+)b}lqnCZP^MLl`>+Pk zRE_n;h*2<8$n9YM{e(nZj1B<mpwRAUiY4%f-PlP1WGR@+X+^A!zOgDaDg8PbnZA=X z&_d*5L(h|o!8(~|_GM4i>I1H7e@3QXXb@17EHqlse!z1An&+dcF-I1E8Unsa#&+s# zGEg3gojf<!77d!l3XK-GFJwb2sw9qWuHf=(v6DxUqvBBK;d0nG@6g?#rJc~|h4xxb zOJ!`F&*UU<h#=LNX0j;c5Q#QN4hfC!qfo%&p2CLiPuK`F$!7Mo$yCcjf0|m*88bKg z9s%}uo6rJs83?peS?q$}<P)Ijw(8uUi`Ok6Lt|WoEJG=2pyf@Q&_=Qhh`DD7N|yed zEAW@6s06c>BoG7U>ob%c#+QKWxQJ2gUo5l(p%>W6>)g>z_M;NECC@5=rWzR!yeEHv zo*t>rWsqNTgb<YLQ?G{se|SRG_!-s`II&hnAA4>)<oG`_Km0%?Ft9cNZ6^0+aDypI zgmOZr8mvr<Muw)(Bo&AsM-@j<zJlIMY(h_x+d-s{N?~0qRPKOjxN9>RhTIKIZrPYo zcD-*I$TXl5USd<hB4%dx9hv%g2Y|V#1S_t^Evgw;u5j05FsrYTe><X3f{?T8xHSl6 z0dn6u<fshPQvMI@<`>OPp!ZMM&~xM;p!eMhSR?PrN}!X7N_dWqOxjfc;PvoTo5_kf z_+6X0Bgxw`0&evQh&g{)j2G&;<rb^ba^GJ?jIve6{Dn>QVTk>~cTz`eg+BikRUASo zRjz&tsA!6(Rg4JDe@YpPWcXdk8U_tzt~fa<Pv3>uzL4K7_U-Nh<i*vQZN{fRy;W^g zPoDimS-K6$I6-TqT+CsggJOzO@(_?^AiOl|a<cjm$n3d3dtgSPOu~H|Yha~kMfAbs zr0<~18iW2PHi85QeCb^oYy7qy!VbQWJstWW8DJH%n~M(xe?r3ZGQ(P2N(6EeE|o1) zEYU-&hdR+jCd+auy{U&YT-g99Ej&NH1WiSxOVPPYatn}M!)MzMY~MTsjbP3f#1Kc# zAjiu<!?rvSI3t4(Z9)$&j+t`VkyDA|T#dK<Cd;_gSYzLnHOg`P<(kG@<TIx@!jno+ z=yA=tGbJ0of2O-A;OUx3bKe*jOWs3yahYS-Vjnhtjq1jZuPHnPqK9LHE2BPv%O1|F zdtt|Ahb@-w<xW_6MfogufQy{q;B+nfkKOG%Ktyq5JpLJ0y+KSIS9;t#bl)0vCHml+ z70<8_xgw35!A1m8g-5fFRs>eAb)F2e0jFgrHgOHRe@u2+n*c4O^GPlhf=rg=+VhK% zGs+n@&834HIKO644OxbxU3JdypW$>|{bxAq{~1pCKf_sd{AW0Ei>o@^;iT%vcyXnF z{WA#NfmgSZZ8<I7hp`XWs0Y|@j})O0*Z>bUh`E}z9k}~rpU_iTWpAJ*DQtF26C1@t zp6dojf0oWtnt_iedWTkLr2zJOpUDt0kM|Je(dd>~{!|S#)Iesl+!6s540bYM;Ox3G z)$eTL<e(|2tR|oN-bVnjP$nb)p7I>9!>8)++w=mr|8b*l%qj-S>Bes|UMvy>@0Wd) z=gPyK&={(tD7DIqg~0H;*q>JIg`nY28S_^*e@%eeV$i>lI|0cCG4|XEXwq-o%EkDQ z1Kec@wT2QicOF+|60EpferQkTN}M1MbC)pYFLKlwjs#R`dU6-W{UKJGJD*UUxo?dM zp)7G%NOwo70oR!an)?E{)RqRfa_&+=HD)>&lZJ{KE_TwueJy@7Wz5%BZ@bJiifdk{ ze@u%d7keO+u!T!$$_%eL?G+jGHR^y&!dm#+=+CXWZ<cRmB$w(jh*=w2mQSe18s(>L z#FdblvNYpT=VV&e^m$IzYjCOc`tAl?3aTxYI99nSAT7D}x%na6l)01z(j$jERc&OK z(_G3X;quz_T_#6yV*w|Vu%_5Wrdp0Ge;R@;<pzbDEYgze-)>&Wm9;KRkxeJKO74&| zxoZ$Z1;rwVDgc`Shnq!CSi>60+qqQ#=9MO{o5!3c|KJ)m>5ZIU3q~{Ks0z0aBV?Nl zmx@MyS+hk2S<0~>vC?-yEc~?*EvX8BV&|0Zj~}njCQ=8cmgH9-p4jOdIW=2Wf9+8k zG*InXIx(;h8+*m0#xM4YSFhNB)Aujgw>`2M(@eL~JLkTXH&pLr-@YFft5V~6ecGyt zedNf%wCCu<>Vbn_KYpmT_G~hB^4x;3HuY+InqXAP3Y@<&YTX=jbhO&z%fW$K&o7?_ zJcEyV88uJ8_G}xeNyg42YEt$Lf9SO)9IO0sb6T|K$M@-4N<xK4N8@y1fRb$WO6_8) z$5LW!RAoT$fJ$vbp;sVspr&@Q#-sknv?(Pa+vC@b=`8^Ufk)$@1EbUHLPh4lFeRbZ z!`^$gDd3Az-Md&%gXp$FyN>a$M)SVv!dmkUiz^?h)33EOdk8|Pe{7;if8ymm9+z25 zJsyI7v%7y(3M594vnuPFr&m1N#%h`~rbRrH`>RbquNq`bqiW7HPv?$yklmER2d-W- z@2~O0#-8`M>Jxk3t3z}^p|<5-wP`|A1Y1d@dHO(&=t8(ewUJ-bZI8eo;fJP1z4nDu zl4qK#p*a^%nThx`x_98!f7t7Wr!!+=FPAbst{#hi!aDNNd)B1p+1D{cN?gl)d+@;2 z*lUZqXH8>q*USeKdl7A$uPr3kJY$WuQ{u|zQ$h!hjag{VJ!>3uy=ML*F<Q9od+oxm znr91ROE$CmpH{l6Z??uoOzz&(7U&b3wqPZj5ZEvlUAstHcqZJ2f2@tW>LHsj`?zoE z(){Y40ok@Vr^(&>+pK+J^A_4w5(00G1zuY`yO5%kAnP|9?YaANTSw+tQSCx+%;DB% z|M;Uu*T*`bF()pF_TG5)((iFu`pvcldf%P?ge;#~{OlLS1BcJdk6m;*v+x74`S#O< zCqo^rPZPyNt>fzEf6v{V9vS_vm@;$tQ_s!m!?Rzc5<dDwYt%gZK2}DFBhSx-4M>kA zI!=~Gw<Y?-wl9>)CKz3}a1p5qcoTOqg%X!G|FUtKZ&pbv!E3m;ZJ_Rf<FDHxnFpKt z5@vU3Jxnx04u%)bkL?@q7_-<gXLw_5=hVCS+2eh8h7(j8f2YG|m88L#)=$0roMM<U zw&mp#&0~;5YP_^CEWJ3|OYXb#GC|8b_RGvi?Bwq7wq1-zU&neVai#NC`vzjimI5Ys zA86ZE+wwHA1JU-WHZId+Fmcw15_fyv@D?;21IaRbg==w_Jxt@Hn^@a?gWBqSW62B3 zsDy*kL!yc`fAZwPk0W>T_-fh@43PNR`94-J`NZDwSkPl0jHqkr@EC8JUWF$~_jrgk zOxt@}{za_Mza74Jp!Zs_6svH9mt|jdpx->5ul?ow>ZaP3C)G_zcKErPm)ECzJ!^N- z2W$MB>O4}L!$<kr)!tXnUt7%a_$1BRqUB}KIGycjf1uB-ajf$luMYm=<Jm#1SGXO{ zU*p*rp3c|4>0Py;PgAjnm@e~(wO1+rzNbiSxK!Eb?eM($u>(2PmBik0|E8hp^|ikK z^pMcH;lAq2wZjd>-cJ9fJdcOoO*?tZgKtlFdX|O`q$Ane9(ySG&HL1t7&13&ct!3V zxZoLyf2V)M)(!Vpms}feB)%xC8NRi;obn^AYx2e8n==NHr>+%#K^8;=$@{|$1x#V4 zd@Ad#c>Kluh3dqjc&vn4t!XASQJP`AH7kwDM=)TuGmDvH%+>e&fx<I_?R-gFX-4c# ztP18^*3Cs?BFQ2RJ|i^46D1ZU7=?`Dj}o!se@il^Nz*iFa5jMz#Qeat>C7UQq?M2o zi6umZ^c+4F;Th2xff>XM-^@BI<q_m^L<PN<ST0}<FXJm)R|YE+D&t9!*rvP<{Ovw0 ze%(K}^i>3`Vag%_f&s_?{s55xcz|#KZ-8ikKma0uFJK+GB>VS;*)skzkrcUQ3APhU zf0o(XS)OJMpXEvATm55MoNcrAV97YVgMaN^jH4_QmM2Srxow;M4<0X`BEcg5B6tyR zkw6jOuT$kqV(e&EAk(@tm6$5{OJG%c8OcrsmsHaN?;Tva$qb>prkC^m>)MgEa0>5# zwZ4}R*k2}G##<&@CQyb*QC?PL$Fj^>f4(evRya$S^Y{OUS({jRmIP}rOO1tP@v)Lv z+gScAMOFk$hV_J5L@(*ACk66GuU%hH6<+0A=3LEZDluK@D(TjIsY0tf%T{bl)*WWt z+64seBPuEU7rl7v)0hb6H|AmH4dx~$gNbLRem(Mw_m{{ja#@Ql#+qf0(>qA1f4slM zmN&Dt2pg3{#Mx*9KOvc*N>ip8LXKg={*%CG#nWmXZ%yE($;1Z|oC#Jm0h&2mo0Yfr z8Y8&S=CUWvf@DE_o&GxQHR(0cFFl8+nXehv%%dV|wJu4AEy23aJVlo!8S#{hS&1bX zvK3jcm^bMmq+XtKQ7e%oUA7eKe-SgEu0?X?85eHnPqJW}aBh;Wr<<ln^N9(L3n>dI zBb52td6O*TH^igj(QI>86O)%2()oXcH|<&C+rO|RNPrWL5w;S12y%olf)1;Left;j zm%uOhs^qdDJ8tb}#xKEDxn)V(A2~OLl29~WniUNN?$i1U`YR-%&3ILKf5uc=RcJi^ z$W|korkRRAzrRwF6dOl~BbXAr2(p9_f>r}vsZ)vmrSl6tvNMui+i6eqOY<Z75yb>m z1lpyNgxPypc1&caEU{OxT+m83Nt7MI@?mc73?aG-mJ3)(Cn4DTSq@CePA%drY@D}U zDM^9t$ueMyGfO&6)3VZ|e_`Ce%pd1zSKjsq_*48OCAKe%hxOkAKv_&#P#KxRw@u)m zD}`d|DttKtoO>g@^#b*L^{{##BS9m6Be)T-kpOu2=<hd>dU<;VI@YBKFKe)otdE?l z=5a)8-qdyfx&uR>C5`iTh@>EwHM#fM*dl}g<uPlb9`Q-~le8zKe<wtzbf>gm_j^|a zmrdD5ta7F`y(2x9{}*BvzHAMy%+c@1U$kSU(?#g>on+2y2IkCRCV!_en}@Z^T%p66 zzRcv#EuBA^^2}-GWx74-PWqj+JES|{k;eZq=ZzpnBu4m>%-9=PrQRhi|D(HF^R$a| z-w{aSXD6`su+XeOe_652rOrW;AkjW;mVX?nEZi=bWXd*VZK16ek&Ji)*R=~Jsj~T4 zKbha@A4$Eufg)B4NiysmEIH<j&Z}vz@NzyYxg-g;F6#tSlD^XEMOsR$=Lr;@LAI}3 zMt@XE5@a7`MKb+5n~C<sXn}E<vgrT15&y@mt6i%R{QpK$e?Ilq>=a!v4Lq}n<UC~) zOK<8FBuTP(nJb-3X-Ik#eTj6C^Z-{tsK32)3w@x|J}n0p_s`Y0I6maRU2hQ>kxzpC z+fL@xSwu7v2!yqxl6cuktYge0ogaz40_AWk$s_@G3@ePetMe++RiGSZC7#5`c42|b ze$8po@Nqt6-gdbp$$xk=_IB0=mKzJj5@CMoOz%vm^LGxW)x*VjtdS`K%f@USmK1A0 zOPyuQg0b?MUzy-$tQLLWKi6fJH?UP%q5sP}2G}nGNgX_?oQtXPf^13FLuL+Lg=Eds zA(kSxY{*txyXne(A!VHBV^Hd-^8ep=h>^qyf9u!$>&oE&!+&0UkZHi|VS07e6HV9N zkl?(Bquh#Ym0bSU&Ap!b=~mNtBf=I|8MB(H%LEs@PBI4{zwJ<3=4U6e3|TI$f4#3Y zc9n1Sb!TE)P1*v?3+~11CE&&91v3>?L0G{?){RJwz()Q^+CUShsj}k<Duif)5$h0h zU*|)py2=q);C~6^ZwGhLtl5qPYnC+gNoR6rQJM-2N+>GZ5J@WR02YpA$-L7Umlg=? z;Q4<(DChPYOFxlgNPm%5Et)P3LEA)=psCTevfWs!%md6TOiktkW_l-hr|DrjxPh6N zmcy@tv=SJRgepEkwmfTqS@S<+AvP~-g}IZtL|-7;lYeG;$3@za%W7;mOP{rawT>0T zGG@86zB514>(c}Iqj{qRIuI%FR^e9hR^C?S<@Ibq)&g@kvy{nsl!P}`WEIiss$AB= zY@-j*6G=6s8lqmhUYZ`MBwY-y!WShFg#b5Pt^VNLaNP!8WTMHk%~;o&`{<UP_UVa4 zm3xV0f`6=E9YRo%hTJB(jenccHj!=8%iGvnS)Z7Lbie<ouzm@x@-AD(%f@T5Em+OW zXnJ6J2jA>2@gt)D=g*XwmC>xo|9&%{-1Z%q{%~`nnA6_5WA9@zd)MP4U?;NC*TvOM zNcXnq4hcyp{J#eC4~amr;ribSog9IG<&6==B!6u-3cQU#5aT=~w3E5MbF_1mzPocb zy|nWoDMxUD?<%sJG`Sr$Ds)K!6SMw!6zq96x<%a9lnWvUNpstC$AlOPn1uDXXxLOX zx=oyF$_0@hNIdo^rVw(f3)|9=Yp72}{u@bB!ZfTGItuGNgB}uxS&;VFqdp69Kz%0i zhJO;~*81+Fuvcf$uf_LUkRt4JzX)-_q(kWAaj>svSY*<F{h@z|-?JhO*`t<(_Nrh$ zuRop)yPm@VyJY1^@9cAzh0dyAzOBclz#iqGe~PPHbHTvBgt}BP?Da|4)&A>Ghzz6T zqNOA<up~De3L%VD#b~Q@Ko<E7T2|s6mVe}r%S8$wR>c^qcaveab2(s_tOF?&hY}GE z-0)w1-_$Sx>fNVc$MVod67TFtt+-s-|D9llksLfP54}mkb~~vXhmsRkTaVeVe*84- ze*&`c9=Y<u`1P1@bzC;ADi6Iyg1Vj5kK=$7Py8_ib)hq`&OEf41k9eq#Gw?0Nq_4( zV1`j1{8b*>LZaPY=D+^-JCb%d<mw1-(!g9%?=FB{IE&sT!2#KLKa`&EUJcAO_2Y%G z>u1rP689WQ-VPja;z<nVhC1$ChS4kXfBV5t<f9KssJoEvIpmrNOK4%<sN*ieIAHsT zY=#S|&jDpFjMc(Sstc9EDEa6Z34aba<c{txh273?c=hK{1UkY5WuhQdB9hS~&~<=6 z=w=!^N8;U1(l*Cjd*N@|7-fy_%dlew9I#7M5TmYfoC?b;K%bSc#glN3C<kFR9S)e$ zBTaI5%ykqlG0bq5?Ye>tdO>cO!Vbl#Mzo+zb_+3dF}@nO8dz8%x>kbfL4Rs=%=HxJ zfDu1XFi#TQ5#=q+r-#|2A#@FvR)}tp;DAH>)w-|2E)+KWv>i%Pi?9+mDHken&FInU z`XCwf{RH}n#C{)=zEf_n@C|*;IgRccFrRZAu<ME}=Ay>&o3KOY(9b09`H;+<P$9x^ z^f_Qgj|s`vDK}KOgplEE)_<j`7$kY7LD+sMV|_%IxXFajSwjp%1J?p`C_+z3sP86G zopNJ@Ibg)9c*bs0gA?kgaF-!wNJHojET{<mS%L!&#i)1RfgLMqkaHM1tsb#m!eqU0 zNnS<|uIqq$(B`vfLCJS}NQygh(}fRjz=&#gcfz)w=YU<B+88O#<9}VSo#)XAN!uWj z_6`(T_|gUrn9<`!GTxD!DO_?R!x<Wve$cLS4LVLk1sV~LB}{^a@tZJ?nz%<WoeO9U zN$P%5!H(RsTrfgF5*AGQYX_=8n6wGwrYZCohPi;&=7K{Rn%$3KJ{KCcIuBjcjBt@O zxhh=pBBLj)>w|I7k$+;ejpY7N(mLl{itr|5Or~acA54x5c3m;UoYp-49Ja9-y<PHN zDCrMp)Meql#vCxCC!VD4oJ$ohnagla=+d+d$}ekha2=v*MKB~yri3L-FkPCsA((Ip zI!IDIf|TK$+rR}QM5Qt!NV(3a8^Tx<Ot+@cE0}5tdcPzG9Dgd%?tTT^TGHUNbLg6O zgr}5=9->5=+;g()fL+kdOXyU|chRI}=iCnAZ>E@4&F*p7&k_#UrRjiyX&q<6#4n;r zlC}hrkPE6)Sj~(BX7pSjNx9^93743VolCl|I0wDB(h#<5s8J_kSjr?4!Pts1*TQ{- zO<hEnN>Y!IVt-t6p9yoo2pMTu42kH1>J#QO$NZ@!GzsG^MgJwq0f(r%-IFl6(uQLm zL#?_I0n#S*h!QVyPfgbckD%|>=sS}8<4B)faz}-4SYVE7b<e<Fm2$wYD?XSct>d$> zuchdA$$N1mwhL-p_>Bbz%;;$$!CZ5h!X@!!=hiOGfPWy#`i2u;L-+I|-bkB#MVz(7 z+|a`PfITWhzmil>B<*+2{VdD@Ba~$_5=jJC)QoVKC5EOYv;dnZLyt&uz@bL{?giM- zvW5#jLr?W14#=2nMwVP4_dM-7a3E;&P4t4~yA;xhYwnWpVQb8cR`&|*b~y*^(hSGU zX&qmMJ%2ApFG|{`k|teIE5etoIbcT5Yto!+?y7J}3)y+J>q=D6uI7d+zoCAEh-n#< zSR@{U(bdMm;Z=X3rKPAOlDk_j0>J?zG-YAwq&;q^bqEp$vq4)(0N(i*`VT1%ICRgj zTLAv*uZG*Zheiw|qGU~)ktMImJzu&$91S{h2Y<a;YJVo_savibVv`N#fOhvf_yr0F z?7EVGiO@bS0>4f{n@HWuBn`Qt6cBrDI3T%ane@&rR}oRNOm<%F(o7A??`U`wIP}ga z;+w3=Po#t$=8`r}3Vz}edZ(27Ns{f(Tx|pgR5V0ooFuvJMCl^1c9=?SA!#_}653sg z1Am(Jqr0Wyw=WTfFlL|8ibqkR7D8D{8Fxjyf&?cT($UdU@3Kj)J9AAD-?n4Mw7V7H z$1ZcgE>$Gvo%V4>c;037VJX`)r0$)ltq3)H4oJSMMC#v}YmUgW%CJ%CqKHlOWf3NA z%;r=T7g3_gLJS;6UI(`x9!5oHOHuPk#(((S?TG(Rfr_^zU}s5x;!!vRp92Q1Bcu*b zqoQ-A{wLrB;Q~5eYX4c%4t%ZyVv_?#Q>R-Ueu2sXyC^0YeVyYP@at6cIjMVRN#1yr z6JoCe2PEH(A??TK?m%RH&agS!rD`#eTT1A5G25@DctcY3m9T^pX0HxTAAX_&eScj_ z{T%5YKGz$;0TpK?GtQCv@F-sd)(I1;BV+)lRG=HBIG~xkcDDiib_HR`%`8G&(L_pA z0g)v_zMI(<WHZq~LHA0%yFl8uD>nr3Z3m`Ir+YK}*cA@grD~6%>Kr$Q=UqWFq-={x zxLv3)gqkx4B;PG0x$nviM`Rh2ZGVcoC{7c76@*E=S&WWii<IbY1j7Y0tb?<Fhh0T~ zk)oE88h7O$<${Voq+n$v`Yu#Fg3lGh)DhYSPrHg{aY3{Fy4~C07p@Z6?q*54idNF1 z<%ld-^4+Ul6T2o@RcNI2ehNw7J(rBQ;f9gX?Z(1=Dmh>m#S5dTd)x+osDBbIDt(Va zGIK{|A>O!gK=R#NBwP2~<A^K**@o7o>OX<1BM5n!W#}n(NsCS(&f+n6U7RD_p$e@d ztzJQ*y5|;fLB&<+j0#eNJL(*w3y<;E6>@?HRiX8{pjnK5w-fwW72yvbv(x&D+hs)8 zBeU|zce}fS_D^iMfwq@^SAR)T^vJ!8IP8u&quad`zO|YIcBzJ93UrU-;XA9*j?%VO zByA5=1>%xB2PEGeBpG|;UO{BtAltm^qC`x%-6ClFnH3l)K9&&;M&dm&k9BcAaGe@- zur&1=slX%m27&`B3dq80Nq>2u8WAK<OrNfhFC0^Y4wdGBW*LUvzJG9^8iLtwvx|m` zF0!Imky$Uucc;20VkQVJ=yd7*4WxCRxt)kj-WUPB?mck1YaFnP5|3G@cRUEb@ftc) z`d$O+4^Pxx#9nU>NWRM^se9($LuAd7Z5F#!lP7Z93AjKrs*xf?R&)v};fulQ;X>iU zwP=d8dLt>rGq(@H0e`!BVXC!gs&qypDc2LlKwy0_I6a{-I0vM{NEbbGUm$MqVLZ{@ z2$)YATJQg2?>nQK?3#Az5fFkRO{yrMROu+~LAn@FdXGv|sTLsAK%yduARzEaC@Muj ziUcV_Ac?3n0YR!jh)NR>A~irr&VA$azTdajIq!FVoquO7)_-E(GuK=*d+yn@_x_QD z&zl`p@z-O41FLREu?ii*?Aw)6c!B8#6wUGR6xY7c;xOBxDyeq@CXJ{APJG|Eq}`3~ zDm(~b-xp3FL>+N@{GDrn!|1D&g(%x~v{WEp5fAE((POOx10LYd-iEXPtdg1(C~8LC zaeBPWwJ+?DW`AR@mLdzV;ZSi-d=xGgPa}fD0R;QL@Pq&=+3E3bu2n80&tn}5Y!UaQ zs`;h`P`GoCoep5(Mw<#9vFu~jQo91)c+`^9<2|l@p@llz?`kQ!z<WH3>cq$3`VKdu zDLg>3?+d-fQEbkSnYa%_jlLhV&|^zXkowJcLIU;P_<u3tK>8IUHARd2?47TrL<CQ? zp}d_RALQN_b{MmLdo3j<c()A|;>^d({qBm9j^cp?_I+WI3@Y0BF_c@4-^f?G;{w~$ zL@D({-m<7qCXb&UxaDOOq}cI@{cVkuw&3(f)P(b6QSN=Ag*{t;jnr8|lXld+GoLv3 z3ooNPihmDM*!P9gN~m?`#}eGOLPiVH79MP652Zp66)B?zO&>QMu=O!2SG34vFT+YX z2o`mr>|GwqbMFf~yxE9YDQ7{pZq#KLK1FVnkI`$z16l0*Lf~p0;PP0B`<AFt(D9By zwzrR@8V*fsp(f1ACT49j#z*tZ-<MuciuzFdWq<4u)-U6BU3uLiEdka|&(@F+e=vEx zYi|oV)NLRnEaY3wAP;hWQQZ?-7{y<X(&jJ3ZnV6TXi`&NMLu^Osu~^|jjg)09kxk- zZf~*dc9vo^?C@kVJo(|bq)z?&L7UZMF3m+4hCk%n+%UT~(@VpeW3gA;*5QjmjGSM8 z!GDt94gK)ykco1}KHYO#r@YFR<^H`Q^y1Z_0Ij#?q!Bv1ZrR`K8wC!p?j*i)%9Y(M zW<1)g-P!ItpK-6T-gI6b^;~AFcDWY$JaLbE%XB1{;bGc%-n3E8Gz2$Iuj~JbY}Q}x z@7J6|1|OqqdS+aub-!gaRWlUk#)HW3Pk*-X-lM{Xpd(j|h{(~g_b{{KSEIE<<xxdL z!AnzTzLuL^4>c)OenYZ$^q6lMNmytY9&an3id^Y=-qaE4Gh|BA)v?Fdk_sz!^0r7v z${$uX6gmh+tvtIpdA-HlHT2~cFLX#|c$`>ng$uu2x{M_)J5J8DXy0G3T?`B!Dt{(L zd6dQmw@g;-v~2Nm5BUs__m+3#w!U86BStp6Za`MVkCx+I>M9+OYYTA~_a@6dDt4Nk z5)gH_hR!ouuKsc;cPu+w{AxP03rYC6(Kp}{(8|*nT&(14-00N9xay!d+<SE^tJ4#i z^Ic>eQAWX8*?e&I%EYN{2Y!)5HGhaXV2ckVINw&9Ssi!oJ{cS#R_!!b*b;uN?D5oB z*X6COV-Fq?Sr-LdS65SCXF+f?-_n&#b;@%5%brYixh_?}zN&FR17yFZ@+dj1y18~k zNm$+*ISL_#Qz>e;;dPc|%S;AnA%XE9Q(%PnZ+E)o6Igfof0-v_#jHYWvwsR&WbhXr zJo>=8c*ym}w01*Q9%-P$+v-rS(VXjzS;-qp%{U)L31{dV$)8G@U-H<mC`w{CPlaM@ z+>d-*{p_2(=Tq}iZ}a+tG0*#_TjpGseoltl(ELg=CJtD07LaaN%)BlAz)<gBHIBHj zGB&&#J^1ctAe$H3X2oS#ZGXru|0Am?D$8R=wZ+f1`%S4#)MaR)j~@UP8CKK3@oilT z#ry8%)*b(+-<0~u@s-Bi4FL3|OZO)bTJUTJv-&s5uF}VGpA~Y?X64lIDyl*6AtcNC z*6~vL=t!ITEWa07OYxe<-PwStoJ)7@CGM9EhrEx!{TWC~UaRl@3V&bUbfQR&lkp0Z z#^2ZKhh2TmmB!F|hm=&NuaJhFyK@0wkDXrlI7-Y0*42I5$a4BkSpKW~lisIsdH<(E zb>7ebX;)tW>*(4|1LNZESJQ{C)xUEUM0ES=y{^3WG%F#(El7#G5D4U#N%tUNspju; z1hj<GTnqZza7uMqAAd+#c4&pNYkoKISd*0vMqOvxT^sRt!-wJ3TZ8HPuQNWcULY+; z=qlzk{QlW2gcOPHnhG6vf0}&CMItk)c3V~34l6A$;CuUOd5L#%c0MoTvS;g4OuECR zGM(KPOvfn~@l3ATsp^QlbWP7lSsFTO7BhRwMHH}|%62J84}ZN>c6ztvZ#H?Cm14g( zL+9g+=j(gU_VT?|YxC>B9PQ;VRL_0u-_XEZz!JTxDUmtuvJo=!+Z!4q7glfBuP$C+ zc<No7?K!id!4JdkD^y{tuAm}6XF60RaCSo@5VmRt3Is9U{@{OGj5j8`9-tbL9TU!^ zMdr-PjvdLoHGi^3nh!Gg`Z21xUGV<+orm*5^p_t<>!T@r&4x{wf@?Jm3zU{?n-PA} z`T4t)mU+(M)vAUIiV@l>_b+z9JyIL8wq6L5dLDjb{B{~K7+#GPZx!mfnn59y|8BV! z?7vNLlg-3ogxZcyS68)E%(Ru>3tzk1agR2%q2s?@%6~ic(6^=&aoK_Dx$f-0J?bW# z4S<au0C2l=x7;P}mDvxck8Z#6xA7mll(1r2J9<R#byBoS<eNI&FD1M4pyQ%drxA(a zRqMfb%@L}D4sV@Cvie^UrTrO$<3OqT=u1p5;xH<2vQ%(BF`WQJi*E;~^g2tW5DdF! zs&6i^wSO*pMk!pXo*5dTzB0R3G2<URc5yYxGuZ=W5PZ9G2D2Y@fb4n%#!G1`vfJ^! z*7x|p?~~E>b<uN5qE;Y}X8+u;nRPqM{V2jt@8DXV#LEB9YI+d(j@7NNdHdn;>eGe* zc_42eCF~0tgeqp%{;)dq^tipcMv}PTbdcr~B7ePN5H>BfeM)|NvfY~HgrT_%es`2P zZW`&C^S(JX4`LCUcs_Qk<_B|U^`*`ggX3Q^Au6!h$+`SHW5+<7-oq!5CZJ6}YT8Bx z_HA;m_YThqP{SK_!qBXEVsh@meB{@;rfHSb%DIg<eZighOP$p(W2~*t5BY!t39Iu_ z*MC`o!SeYofGK}-TmF<NP%mHSN{e!{wN`;aaZxl_Q3Vgvx%O@8mYb9TSx=kY+zgsW z0%#K<yDcX_Q#Ci|6M>2S{86`$@tggd)}HB+-L|U&`kR&y9z9&1HFv47^&s`sW`4Sf zIIlG~Nwe@TbIn$;3OI$z?}d9byLIQ2)PJg`CvkjQXON-4e3gb4R)g|CeEbow`C}6I zs&2{Q4;T%Cox4dSwN?;Zeii@+DD4i;OzJ(<PlOEr9lmBgY}=yjlr?J0_B#*X)a7~u z(VZc)GJB8m1E?)?|IX^}<_JMd9Ax-$_!{r9?b|=tw&(b!`i3ldlG<~SSo;0MD}VpR z`Ju~Mbt4sk!7%nHbk&^H<L7D>-;nDJY%k;eF(hB-?pPAG2{dSKk0F(~b<;y5wEM35 zQwU+dgA96Hc_~?mGHyw)=O*R?%j-^%uq7bY?Mn<Pzafit5uD=i_xPCZ=Iz@*g*-{v zT40aRYTCu=`zwXwd;)<IKDOBgJb!vAh5iA5i;wxxy!~YuTix(RY0UO6KaWAMjf+*~ zq)kJ5_}V$K=#CyY%r(-|k*l_SaE|uh%U%hGGdRHV2{ThYa}q3$6h&E6&!c@ng<Zao zRG2;$v-FqO!0G*h(w2RMTR#C{Csfbq?3bmU?4x}MllQ=TD}KaQ&DvwjD}VN@&8gz4 z7Z%Kc5_=hVN55wQqp>l1!_y{%CYxXe)Wj?emIG9CehHH`@7lmoPaAa>xdc!kd%)Ti zEVNl}YuCu@O4ToaqB9)9x)QmYar{L(+=ib^uCnD#%E%YmJImVA>5(sY+B%P2Iu)*u zPY(KmA4fF&9&HDTEBX#5|9@&teSf#_rlNB%bW-3q_RN^;SrZjZz^Qkq4kO2My_LjU zd?Grtdm?q#zo}sOD@_5gpd0ZImgsucbRT=^5OOSf!1ZiYcT&0vW+UXqd$?A+n^pF_ zkNU&P>4fDZ#F$uiEL^fB0C?qdb~K;c6?J#kvNC1hT8rO=AL0WHu74;^7H0P-)+b3e zDSY?2om}_kp{Ew`X3!RN>~>?_o2@K|eK5{;*{9ZHU88|@pfk-ND|PL9&|GmwVPU#q z&oAno0d@K9FB8^wa_xxg2McDy8qc250wuk!a_y!v$ei(;i$&Sl`D6eCmumrys%vZu zN~dksURB<~Wq(|~9)FvenUZv#uF-%OezN}H{M(liyYrDbA$n(sUfmJ;J%;(YV&G1Y zqIYJ057CXdRi5n#Y!MZDXOuuec*LzpaHa38P9IdMoCfxzh+Dp(K$<>X0lo<uwR6nV z^~2)zuRGK4K|#PqrzQAm{LHO#pyP;wA2lDo`P3S}DcWxM(0{uz>UT^_B{H<--PO76 zjF~gBt%Puq5sLBWnc-VkfNhs2>e<YV*aHjxN<yYeV_zsNZ`?mXjj~<3Kaf`SBt|d3 zS)WUIW>q<p4(|P_&t}fY9%y>+s{F_m3(?|M+M^zuzv}<?lUvEH4GtwIfYx+)K>J3g z#3=6@_g<)xkbi6U%q6Q$0{xs7955ufR#CF9BQ9(HcIfq?@!e^y%asJq2}^u$+`FJg zb1vQNmmVTVQnReyB|J+3Hk9Ezn2ZMeeaM&~!=ooc8`<Vsl>|7BIPA(hGf4~ee|r{i z<&AtJ_O5x`fB4p;23!gxOwKjucTnpGR}K@UF;>jotbY<5Cb&M!A3UBYowMR6^}y@0 z7I8B?danboUH{a)tuU;18jM)^jTrDG>n{QxT@<1CVKcz+O%}0v%9k8JWNc+j>d|)Y z&IG6P^?5PS;5LQ_3_-)rYp1xvZ=0_9BF$vplHeQ#KPKDh<>%Ejz?DmX4O3IxAN0a* z6=vtp0e_<<n3~?ch|Btx9k_`1F6B=9s=#Idk(src^uEs9`j*wcjy-SMQ3VEaKC`}M zyf2E$^R}?>nv6MXI`XB}#4>1Y)E}3>>0^1z4=faT(ur_Wa7UOiztxcK3G6tAKhh@n z!TkijVPOU~hD~#W^r)6~j_Q|tJ*m^JU=`8XEPvGGfdYJkKaf7LQd|iTLe8a+7Xr;- zH|kiYI9Op$X5nk*14>sU+ztnQ$t!H$HWZBd>{XC3ngg6?Mz0oztK9gu`|u|s(x9Vx zTgpG;y;})*wrE4FR9CT9&h+o^z6TcehBnUnM|^U-FRjH24LGtgJL1~?gQQjn^kiYz zD1T(A_+=%p{R7Sw{Fc**f5i-EuuJOb1-;j4I1D^c$*aZ37d%wB@6Z<_GwYHcx*~v+ z(XA=C$F5s9BDgHEI@tGH{3OtyGly3nH+<SZ07$|pP9w3yt0DV`1$zCt<)J#O^&6D5 zI>WcSUqt9`^*3+JuFOihcAp3ya|90}{C~>^!IIA1)B8b-S!_i_8BTtfQwo=^&%>w# z#i%mbKc_Sf9z<>?PtN_Cyb})J=eBO%#kFJvagOgzp++$ixt-11<3F=b2S?O`^YfdF zA(75+@tG+BiTUxMrEeVbQ{r53S;DB>J~Yh#DOo8PJiZyHlh^8dTxIu>ZN-l<4S!Yr zxG_mqtCF2=@*PslQZQOZ{ROxw^Z|qY-J$xPOf60W9WJ?R9Nx(d?lzlSbLm3+y1sWZ zDiPOZ0nrFKJ$ql2+9p$5XdAY*ZTL4+1^oZ`d2e4$pZ%Xak3QlcbUy=j?(AK)=gN1* zFJqs_ay@v!=aqQg$tZ{Ad}azy^M7Sl(<A|bDAu3i_C;GMsCDU<EmCXl<Qd(*9>Z;Y z{B2URuKHZ-*79=RM2+lwQ`2p~tkk3=Ys>TJ^TY%MeAw6MMJJBbezdxQOY1sVJWzQv zJ?C=K63(!*Y|AM%>AaQ7q0F@ObUvSAp^l6m+cDXd4>4IXWmAvrsUN&5uYacRv{{Tj zw`YD;T0|cl^j%@+uaHj9@h^I(^0&7@)*#$Wo`yQ*m{T-+eF470&h2{dgyxjRJ^1M! z`IBYrpwhI$-co6BP|&mQh-HtKZKQsNjApyVz3RF9cX5j%nc@0{Gm)_p8FFq#*a+!n zF(oXd+%C+@`=LWvN5)<TVSfRqgq4ZF{>>GEUFcuN%>F)dJzZe7EF!^IGvw7~mWE3e zOrmS*{mn7|!~Q(!vI=i3Ed&pA=bNo{dS<W;|8*T}i@|Y?*^7;ysJ29HlxVmV!wkBo z-s`_k^vpQ1$a5X*in;J19%i=2>6vk4G4wjt3{w#oaFjOyH&XO$BY#K3<rQpmCiuI= zs7|$I&PKV0%S%|vH$qk6cCcrL^svH!cZ-(gRs{B^&g&Y_jH|=l1Kwp?Woh`tsLNhB z*`LE!1Kv-yo~E!y3HKm}WIM~=e!Ldqo)i3eHvF4={dcKdxfgix3|Ypt48d(oBV<hF z(B7|7jj<vasCyPMWq-2XaD2eKR!ijIn3kAx0r1#1Fbxk}HKxlh$8T7hAazf`>aS%4 z>by4W$2m#wj2m6YI$#1&0lK^ue!wI1bu0{%+Xc>7B5^)=x41Jb5GxMJio+UYJfPRx z<Sc!bW$p+g6PkcP_T*q%H!>o@K!%kL?FCkAY`bBW2=R3$YkyUVY4pu!{ZoQnC+h4H zh^KO61TAi)rVWhwwH9q2J(U+TYq?+ugUu|hWfyIVfY0Y|-~siVjivbKL5*Gmq{YHB z@HyO4T+R0E?x(cDD=^sHlDeC1bMlj3gW3~y;}-Y)3KEhRMIKu&Jm{)ljm*j(ym`EM zMBUg{F8PUP-+!(2vt?z0xO^X(&@PLg8|zjJZ_)-9UCeC#H0tt3YTp#~9zE5PUwk68 z7tku6DqG9>N?dd~XKVflF#eu?*0<>0$n6GIOltaajFHb;%k`ZrURtS1JsBSMV^?f8 z3jobyr)KX@vsEs>gmlp}7-+Vv)1$Fmn7dUFIl$mTj(__XS@qSvS>@`v0Qz%{w?P0h zK$bT^+;8pq!1R4pOfB%v{m)>KQm~*K8Be$UZfB2#Xd&S_6KcM<owT?i^*OcMeAf>P zkOO*wmsj~cz`!}j#6QCYL^|ElLfYg|S#o<k#sb7fxq4?;&S!Lg+(#+_{!%pFzJU48 z74&#`&VTmI@;vG%V>MDaL$ty%OYe<h-;dGKHBRyE6C0&W2gF{=dGDW*2NIiyXyK(` zhxdt<#YRJVXXlD7ug2A$0ba6Ja_#n+>*+<Xuo-}u{<wDA<$AgpIbgXf><8igEiJ?2 zFk8TI3@9F`4yGVWw(P5SIDKqlX6p>5AYGQ76@Mg^5F6#|os}!L4ETri@7it7>xW;$ zHm3p7*gi~;sK{Pm<ptY&EX${L(6xO>Ed^A83aH4kzjVB~wol$t;LG5JUIPUgAFys5 zE#xOHelFFz+kVj0d|2D3W|?^!Kr3e2TY~7rmYD;C0lfwfWiow>?rgf8D0^onueYnA zRe$BD`LyW#s{pxbKL^W9O`y%ZvMA353&h1%B<Jgg#iuf5t7hk`{Vv)vgGFU$TVE2k z7I`+k{BqHHq+`0hDIl$VQ6zu;2DI9b-7-@Zpa`_w2D)HmzQ*j-Sv8n$gc_VvB)(Ap zNA65+R6+;Ve)F`qpHAPfpo>ptC~8S#ZhzQS`qc~Uh?MS!?KdSI<>gDaJFIW<ws?3C z*=w<5`E#{{`CURYEAKAp%ylsmEADq~8iysXdGVy}+4yKn>2{r|Y3c~FbGca{r~5AA zbxwzx!=_;e@9pJR^4MoxN6&?IU{-R3V_lSCK_jvoA-Q&E+LicS0yFFG25`;Q6@N=N z8~el@!+d%hkl3+M8gRfnLkFoOIF={BdkCADTPv7YQPnkjPAh(G@LbwtF}CONqq&JU ze|c}%haJV<%uStrxD=Er^WB#5@yxaSj)hmB?guE&<>5^n?R>(GweH{UaZekv9sBWk zZ=pxUiHG5sCd+e>;d>u*B+)!Z;eVlGJEdAdK`eF0H*v|c4PT|GB(cGhv}6DbD=z9S zU`}Coc^FMt)bG;j<5<W+U^s{vY)J381xlX)!xtSA8nnf(^dFa|xTK>x05+>6^O(Ri z{Q&Anrp(8}R^~JxQF^Ek=kL<sh_p#BK>DHGd|`Z$vQjWm^!yvVq0?+<3xArXsS2=Y zea7P-R+N2%JJsjY^NwSE?;}0N&hCWQcjSN^{y(Q&q@&&gKHQ8My+_6hLG_KD@cSJS z>VUOlKmLp9@H<d#$*|^ku>vL$z`m#J;Qa^;d}e*+NSdY=0L+S@D?RSm@wLck2c}`j zH)+Pm;&%}R;7iE$V3)KyO@9D%<1;=stq$ZZ|AO~1*}Mxx<@kuMq=c0N=U%=Zd@8L@ z8&rSB%a{%m0k^L%FtYeu%)vB1q$??7UxIF>_Ats=M<7xc***WniaLO17C{g6rG{fQ zBEC|p$EOwdbS=F-K&<-uib+~1=-v7Yp5J7+2O!loWuyqXgoDAI*niWt_U;n~G9foV zHDxl~2CA(YDFQAwpjvoO*UY;G<SATVsg_<01ad9@9Em*SA_uBZ@9oxhoCWikpvMqY zrMX?mSqG9HD-(T}t|X78gCXADX?W0)4+fCakH2TSnF~Za{DcnUA6o;}{5uVgJ3LPV z>Z4!q?53M9K=lJUOn+dE2`rdndl+eK_Cdx%pU!$Pw!;(5wZsc#hRN^<5NXt7I_!vx zA()+!wcy)rctgt!Cm>o_(uwD-hDl4IAVtXGg<W(933M@#esOBWbh8A^`$^7?J!@~) z{Zh0nU1^XO^#&$aEc?85>cNr{NGSs#T)l(A>2(5#YiTWDsej4HJa2_3E_r|mRRCe@ zU44{31Wy2{RZKQ>0BXU4BW1xZZTQKe&Zx9}bT#YVZuD8qr<Qj6w{utQ@I`Ne}S z@STR*j{D_Hp&dJ)@p&ej&%4ZwhB5RQSMN4^Edi|O`ih;jXcgAs@kS9+H!0w57w=!g zX!<WHY?^#C=YN>`dT>-)OcC}l)$B_iJ;v4>?GPsFJvl!mXQE}|^GIrOVSDS)+Lq__ z)f%m`)E~G<^p+FYKKo%VETbPUWV-pbYsc6TO>dFHUXtHs!Y-_@M5M($#}*uWWyZ+o zAIp}<KwKPlwkkSWZN~&VfO_;Z(Q@_iI|kHazTnu1ynofl;@%fXo5Us2OozLQ7L5EO zV{-ETOh7?Ko%1dzuh8^PnVV8<!~ZJkY`Bk{Or4PI#|N5jqJi{irPBkCV<o}FA6gIg zPum0Y&hrxKmbl~xE-&G|-NuesaP1ltA>EReoIv5pz43G2USJ_Y=cn3Dw1&aAUqFws z_r{9=?|;X5e#+crGY@3{n;xi)T>;s*^cV&=NHND?9{~tP?;Jydf6N4|h%<Xp_TCvF zneY0_p)?p+R5=6q1XHaK0Ifd&JUnI(0#)~-E_#0ffoAI~%xN%iZ5|!K@0x0X>m=%L z`lKB8DcCWL*H@6zqQSsK^eq_60%L6dlw@EVzJI5v6Uaj(<jCGQ5UePDNVjo*N>+Lo zU?7#5GPDH83P6SvI}K?avqyos@c*2;FTL9hsPCCF3>2{upc=8Wbu6t1^#8FR4^0Y) z{|0YqOQQD(jGY71qqw&l*Wn9Rs@MD!5FXHiN%}L}TdHmNsG?5kHhfS9eSIY;O$l6w zJAeK7W|R6)07S%;v3z9g7C2StUbC^c0ytID`bt<@r3UR)xPCu=+@!t>nChY>BS>KE z1_*@gHJf_-11nM-w!VUwcJl`&9r_Zf{V<>gT)L0wlP9n;_mLYxGJW_n_mL|ZvWoz# z<Xi0l{8myxA=rrH={<+WrT`4-;Lesr+J7FntSSqUwWjr*V5hZWXz`8t0y&#v-*ba| zGr*+0`Z?8XS`WS+H&ezi|Cl47wqf6k%I>HK-@n5ARFkwDn17|0$dw~w9Do{scWz4X zK9Z3pI}TI>%-{u*AvmTCX6wXW^Cj<Q9>zio-}(wi+Apxy?tj5An%09&MbwN@#eYAB z1i~|b?1Wc#Z0;A>&nZpmanM1`UyLfjF=oI4ly$H9yf*|awPWim=hJ?HEr#O@UcsdP z3y|Cb@^(Ywx2a15(-({8LiV!hd+y%m$Mq8y@#`yo(z+#BWp_p~(okURW@Za<1HQAx zpO$xVOlV&yIA)m%`>~_4x0}{sU4Is!IfDE-l_srQiA95I^9M`GT|qYiN^xaut-L}f zU>*K<2k)z;uqws?UNULvLD$jSdqDjG`_<E1ZXe!S^nC-!79T8K{S!*%39OZTR2C3Q zuxXly<Jd*ePQWD0)CUi$>rBHOeAeF5tr#38VK5&zaFiu97Mhs{#)LVB6@Qht0twx$ zy|o=26G0l6v^*ylEg()fzC*dRf@cJ&&!|_W>Do8F2byUU9TT?`E2UNdV=U|hvn=ek zc3chEaT-DLUz|E7{jlyQ+=Bu8D+cmVv9|;nx~I*&5o6=c{x3whsbKJzKOC*cv1}On z(8d(o;8tLo%-8kmDu(Q{)_>qc#m;{W#ZO>Q{(}gA*6|{6pF?^hW`Ytd^7C+~*4`^H z-0NuqZ$PKTNUM9xX0`te?+1Os{xiHAH1^N%VZ!q5e*j;Mw{T;i%K^OOJY5@f1GI7H zc~6~xhS%m>|22HjVY?syCPR{Im&ppAc^tY8LYlE(jV1V5;F@_%ig7%t>;9n6vy zqg58Gb|08YHa*6{JN6%$G#2}h%*A^KSbAV#q<5(O8R`DzJ;U=y_M(I3ADof5%s)6> z$N8gRM1A<mgNzc(?AL#=ZWi8|e-LgLy;J@~VP~3kow^_Lku>%{FpMHr@lO!4i51vC zFn&`<U?GAN;FNxK<A09<BF#aawx3z%G>6lFM!6mG|9IZxVHgNCz54ves_*_XtHvJ) z<MU+XpNM}6HRXN!qmMCe$PoFHM4Ne%;UD*#ypy|re|&j9k7E1@eO&#{O2MC?qV6v5 z{fS^j{W(VKPxRyZcfOQ>dLZ>*DZv$Y5}QH8V6_F&<JcY0v46J6#ztaAJcxmr#T1%m z1%f^@E=`>T50-z1ZvXfL%%c9~5C8}N%VTHh%Espw<Ue#Rf=yd};6!8#Tb+!x1Hlwb zc@J)#pD%&!F9fl&m3z2TXDXc&pCmU@aka5Z)s(%46El|6=EWT$62JDe|AK`mg_J;V zh6IN2HlAt}X@3-N)TN40xXDK320|MV9&e6rkMoQ3LkXcq;z!Woaj9{sC>4~~RlOAU zm+^aKEIBHq2NQ?EDu4Ei7e&<)#feHp6;u>z{Ci7Nd^5TL0%e1;Kv|LO5<C*D`ONv8 zo(DX+Js~7sgM$VvtxPFqEzx8Yd4Q~Td0Sg+uT+<hrhi1Wqi9f^DQXmVN*INMa*Dzn za-pK#iBBa3A+MwUb?+tD_T~9Cswah?EJQp`@FG4W=n}ID_q_CaiK_NyGzrQNvL`w0 z+Aa%12cd{Kgn&?`8~IXdi+BsvB)WDTL*{OTKq%Z@za!K?#^H|D)4%D6UuB(F(`rh7 zr0iALJ%9Rt8_sV*-02)-L9zt-aO2&~7cEwyx}(1%-1(!Sjhu~2jiS^D%3qYzlx#Ab z_=U(#@F$KF6No|tgF6Z5$ZF((+$gUQ(GVxfZL&5|5`|}DaOLxQGI_F+I1JbfpegUp zl%TA(r9BLKnp9-u<xk6-S*r>b93<2cG>Hx9V1HHy6#U4qJCD1-1e4hNieaV+l)Cb| zK3~Cos{Ow{G8hs=VWS`@ljKNpGa-~1j#`XcVG?rU{x}!2y5wwOrNL7;@NX~(4G|DK z=My5>eHgQ{+RSfPy35udgPJ!mx>F$4WWzTp_h6adWQ+5~e-ob<GD$?8yu>HN^}@vH z$$!ZQhuJSlXMbrqEKuuO{Bvu@dnL)4B)6^gGooOcdpgGMAy14Q_hdn?_E~5O={RF` z^bmUE3%QqEMP3H-=t(vp$C3*!wq}$6P6LXsfOwnWPly7NmWZfET{djgHds5zj)VY- z;w3Q~@T8bFC^E-#%|x;ClQ85F0{9^<Lw{(jAWf`Mve6L12E2J;Y7C{FYy*CZloiSW zWe$J$mT{MwN|q!{#Ua^KnUS1yF1@2P%|`B&D_Y;dpU}1=BI6irHf%O5HXJt0;ccoF zX3+u&8N?}sI6@zB45%bk1SeHQtWDy5I66GOA$}`<3$2Z2um>{-vv0ju%{8ZqQGXSw zC#Z+1YSfcdVX6+5tx-GW7_%5unQ1dVgLsOJLF~DaPhNXLj3N3EiiyQKOX%)+b%H6u zl=y)l*l0+t6|R^<F<64xB-!BXyN{-5=NLb~!*mR<^g>}Q%okXg57Pd(zfIsziz7-D z-SUV?`gl3m>ve<b=(zAw;Jz4wZht`&NyN)5mtTjU9@Q0I5<j@f`~Ssgq9=0VL&BGr z#5`ibH*}!Wd!#W=_dD_!iS_rR2V3W8Qr~0v@JR^6_mC^LN*$eFob|c(`n*XNdZ!~x zFX)(_K4Z^37w7h28gtS;2yN7&$3e%E!#)q5qglQel_oL&PEp;K<q^fd9)B0U7<e#1 zi$hq{tl^4|sQ8o_<W2vf09w-mS;zr`FFi{&9U`if^PYWxw*L0)xX#H|G)ng*Hq0}2 z_Z$%q8Ht~TyvIn<kAKhOV{rb?J|xLW=cB1pqbOpOgOsBbE{Z3`n!-*I36V}w=Uswi zvyI$8_Vpd#BR(X{@0WbRsee?a_qx(_jYjoGg+|>*NTX0Av{4dq(m<1!<-gVwZ_LT= zcT#Ehpx2bMKlXk4Ni7><fe>a0nS>1j_>-flap1?nmpQ=Cx5tW42u(m}hp2%RT{4_d zNPx#7A*m1>rfT*+hzb(}LWkfuqYp(3N3$t1-d076{}v@<KNrPwD}N*`iyYW{8BOZg zT3zz?c*}KpMm{d{6_aBXi}Qbf5O!nz?|&3Crq}}nC^PjKlOY>9fr-W!2VtRL9^IVy zs1xKR)}Wd)F5DiN{6mZ8>lJY8beOI%Ml5-f_oFPts{vipNgVxk85efiOjkHtJoy9f zM>xc*3Vm~!INH^G7=P8MaO}bVi+_D)Mzm7N_kM*^%LWI&x6`Ez<k)Y@o#T7RWEAbo z^}Xt@lpq8}r5$-M&Smsz%jnZ@qfd)QpJt3cO&NU}Gy3$!=u^*E?bGcV5JRpY<{-{k zu?en;qZ1qxytEUItkhE>av?$?+7xwiKAA*rCDX~af7?yHYk#DjL5LwFsKJzD6iwyS z1)Y4crhw}kRwh2)9qAwQey^@*s4h#SNPaZay0`8UdLs0aT^r86A~d1M`|N?@`|Ve2 zyT7I<4GkVp$-F$2Zl7CX7Fl-URY?)owS_|GfUt<>qVJj;HQDmlI_VB{HdxH};sM36 z+OC4qG8?a&v47$vyBV8-K|jy(zh$EAwo?~RA(x8>l*UrKkmu|QarT^6o6lAY1_y;a z%b&>XT5sE2U$9bf_?X^OLVKyXk(n*;-$}QntLGg3Rjj5sX4i#uw1Y>L#mVeiZ1-JX z5LIy?rneN*<}^1dv&+35(g)dLF>}Rgl}YJMMP(~3_J3IpMz-0S8|duvt7GX+FUlla z>@^jn%3kH{bXpC)?y_3QDKYzKV%y*%lHOERR?uP(dzD)hbnQk;c6nfC<{%7K`P(*B z5nmK^{YG_mxqoJw{gcf{+@9t64z9KaFqudQd`e!XOc=K9bzJm$eVKJN58s$Vy+U{5 zqk!UtT7S<cgUvZj+Jd6ptx{!aIZb_50<ZJ42jy}i305-BeU=M$u$hlny~g}xHlCJ9 ztlOT-3b6RWaJPd-m7%f%&i#-qF1ued>g=eM{~P(d?4xJ-0~t&Y6+dXtuj242oyV6} zeWU!kqE=QW%!gKez5Hpm{FP2KHTsC=(1cYc)_;3Vm?4@IIcSwx<J~6Az~tQcR@~)^ zwM<4nD{+l1J7}eK|2K4C@Q0`T<xbzz^ahQgE-Q!EO%@A*u)0m#%!=OhNOQYDoPEiV zrR~b~^1SRWY<||@WtjfY;&Nvj%Nq|$&LYZgIGS6wRF}QP+4H<Y7a4rEms1JF40+lb zYkv-Xuo`-k|70*BXJNMZhMSGWjlWCsfoxWUr5~*-%l9m=8gjHft}!%jHB|f6V&OP! zW5af%ayWg**=|oWGxuw)PWFOmC+R)Btm2jiZup{Yp=Kyj1Go9QsCC$W_Zs5YG0T|3 zGUooYtw6WDm2n5}sq!V>)?gva7@?m{(SK9D={ufw`qb9E6C3Cf(k7_^W=+}^{1i2H z7ZKro3Dq1!?WShgK8^BtZyFRuaWO30ktto7%M!{zJM3Dtz<jY`u2(3(DQ=^n9DX;F zNt-|!<aE67;#Dm3LTV%Q{!44DeCbXE=|J1B(|Vyvp#|jy=t$1+50pXnO6&4i!GDFx z39;kKx7;k;-fp(y{8uvk4NtEmd-->~yjH3}9?yLgY$jR5Yj~|Ys&K7rTR!q-!WR8k z@>k>wr)a(u8t*bXEp98fWFh{d_FR}SXX&1q1D-P!x}202_qD)bL-ZoPr$_bh#oZT? zAK(c)qRVN3e8hgk|6<>)qX+=^Du0g^Sm2pZJ<eQ*bR4SwD<)ag55d6wj^HRTy5%>| zsXF5xb^pAOWcs7SE@OHwIUUOaLMwJI7grLqt{jtFZ#)8<Tr9p?8Fenzs(+B*vpg<S zJhCdeWO;6IN^0F*1onvHD&w+Y+?Uxu80;zkp-C4M>K_rc6nL|6FJGasEPv1QmBwP# z=i5FSLmmDO@5@C&^MU9zd(}m2%U>h*S=r|s7AzLxN|x7bWh!(}gkH3>i7c~q473y< z7(7n8@}%a5<-+R{i8WiF%ADuzn<iEFTQA>Q$hK{MgZnCT{+H~11LSNcmtN`O+L`5^ zH{=T;e7WpZ6^fw+d^?^Q-+x*IL^@b5i@GzrA9C-E8gsS^+xacj8Pc9P@HmszFRnYP zhuay;`gp1<WF<*~-NnTw>vAXWU-b2ZTLYQvww75-J3mya$_@ilOV5j?$!IuCSP8uG z4wpn)!iuBHG!}&{GY6g&mrW1$i=5@UFY#3lyDGoPpJ26@RaAbhJbzqFc|^)`@_Cu( z&Bi0FG7e65`aeQ;g3m7~!!{nY{lp(Di_D3X<$cL$Dq0@4-_psY`=^&zmj&HSyG{Ej z9C)<rTE?rPQ#ngKjvsJQg7_YCHt!i7M%zvoPegd4ST6oviNx&LXTShmBJmxW(n;Jd z7#>8^f@`YM7mCW<>3<2%LYAS!gRHdb*S+ZrFUoee3ohrK7;>=N`i;luXW47RCc7Eb z$n&<7nwf2k)rFH~_j8&O7-K_5wvRM2e-)p1{v|WyY{!GMKecFSso8HI19arbbG9(e z&<3C(*JaqN!-m#uMI7BMHAe<Lfc{aJHh4Uze6d*Gxl(4Mw0~rI9cUkp>5&)gLV@<N z(OBeqV}VyC)2Hsq;E$a0UqJg%Ix%$Kt`29fxu|McH*616acGOQw+++?ZO(4$i8Qed z)C|RBmtWV+w%3L2^;r$o=U6Uq!iFe7`zT3|w6z;*vG;hDSoGDuJSV%{PczHj9OeMF z53}^h^L7q;)qgR5qjnz;AX5*LY%3VE3!2-c*K;;kX{3nf<%M3M?N7v(S|6hs)4fFG zy;-td%L37lxJq-H87HL1?V=4?Y}kX@3fL3!S<=wrGq+#shVq6r^$@F`o*9i-fiy?V zT=sh=wxpJh7U#Z2nd&=3wa-J_G#`chG3)LfPupTKWPe$P(hukf;0{F#y0eAicxVTJ zgM8tM3tooj;Sr|>oJ#&`V2<W+7mo4as_N$ePHI;MH<096;KGweK1JpstCQcAE;0x` z#67}sq8&k$;77Q3shbgxL7RV%-c{wzXCW~euqr1v(V-J!6HvXwdhED;!cdxI;~C&E z=Y7~<gn!1jBOpKEBx~Ft5q&iGeyf_u$TfYch7M0?C36tRGPeYC{y|Tu0gtt@hkqO< zeivmPCx;$E+n~Q(>^@5NB_Gn6YE4G}OEdzCTFHmVo#YMj7qZ4+4M(F$qYA?0u)%z+ z<jyZuz>`q}Z#0+tff%2|RsClvr$UYm)}3uU)qe;D?p}ux;?yIJd<(AP6GtW>dSZH< zxP5G01#b|SM9SK)w*QO?1u@I468U$74W{nJCa0`tCVsADFJKcgfj@oV|3)zSusdI9 zB}Wj;GLHmD{sB*J15V`!O^&SE%v&5#RvWhJeXP=}cuZWvx9BK!-v5XZ2Qg9T1etHI zD1QylhY~H|Pnjl<QaD58ce>cz3pL67Wc$H7`9{@7A*wNOOWs#4xC%@NPjJ(YAy^PR zR5l%fx(cqKgLJ;R@rO76BPJBYEVI*3bK)OG^Sf3ht!MuJT*)B`dHJk5xn0wh$AH(` z$m3O<XnYhZ0o9InLZ_nbE{@2P&444`bAR%0@(}q4`N$xatML%xWN(AqkAI8m08yhH z;v_;3p-I)zVGpff31VC3l*qX&+1<)p2{+s6(wTRRPmRy$cl!A4XeEmzt6X7KCXt7z zPmqjjk9Pu9mqxnC_kn9Tl<<S_iqKBzEcNjTF{VItrX-Tl{}JN_U_zi2h$Zzm;(yLH zBi#X~SagsK^`s7G%#5~sVJ5kdd}2_On|7KiLfIux5fq5#M9WftLFyIY<hn(~$2*}? zQ5hGz6)A1xt(&cVr+Mi-xWmy$a_=_z#!GS-vc^i%L=pRhp`Ta`55{uPT1T9coZ<JD zLmsSW)_ksHl4O>9QJwrj)0NqP#edqw15HjKOcIR=UPJ|gIU%vspO41ZsEas&P(-j( z59l1KJBX5GffBdF{KWJGaV*hnxeuB+h|u_EbV6J^$|){2j{j1-6!ln0IEAme`bcf- zlhy&b0jH0DHHbwE=f<_Fag1C$Lp`m-9NNQXcwm`B;-CbpC$GUl>%TnIiGNU3b36py z9+!=VqWLd&U!b7LM4hRi<bR7sKv58xNLD4!klV;?gR1;AsYX%6>k+4cN|mDO^+)TO z@aJwE25c$j@NI#SYa&!W9f{CT!dr3`d5eHYWyeF~e_V2c<Z>@_#X@Nch<!la9RRQu z(&`}sVQ_iwTYHFyC;&@9w7)khQ1yQ))np&CF&Qz~&pd}}Moq2loagj^vYr{6*1F1l z$BGCcvVV4pC2G2I85~fKYjR{UWLjp46{2w?PEn;AnHF3*X-tjWR1b;@xtNS5vy}SC zP*};~H(Nb~Aq*DJ|6t|(k5zT`6RbgY>wqCp825uXsZ2V&F*APdg)(GTvd({CoisHz z#1FVa77(on5W>w;e^bgHxtAPHFhpm^LF0a0>`o%@5?9F4y6U`i5gc1IlUPENBij^o z`Cu%BrjFPr46QiODmfuSOBr$MaxSohM`!`gP(i8)Lmk1G89DdD59B?v^I#nd)gVNU zGEOcaJS6TCYfAmuDKTVgasz+SFkT3~h4#AC%|+fN1pkQERpq5~;)HRMVh@`fnWiAi zY_Xy=e#AauXa!R%bb^ys4>)rz0Ghip;OuVDA%vt3N6d`5d!Z>ghAccd#4*Jkdz2<f zHK#~Z3_=br+(56e8-ibCb{w&ft$Rb<LQTEfHt5m$kC;#pQ^0|r*~ou9xXAxgq0fA$ z3;J1!jRDzwUQLVhf5S(U98GBAAe<q;B%ld~aY85t>+Z!7CLIXv2!f9ap`4>2FH7DK zVVaABe2Xr)Dn?^P9HSb(hKBOQ-6q(?L*kK4D*JRP%pttgQxvJ{YPO2h;%Xe<PlYMt z1}2=4*rV1}{i=Qzif4a_#)7LvG_>(JLW&wi`9BM8xBvo#RByxaALJDxHzA7HU+N!0 z(I=;n<Nq!2`bXdiFT30cyGj>29y6mmKa1XqEBx%#+NPNUC9$TQ^H3*@k$K75#Hsi> zP<3(SV2BoQ@r6;s$=hUo^2tFp)?A)g{<-n^oBtMR0-|N6Sbl$+IKlt{)!_>L#N>z8 zjhkBEIWJ=sba)L4^o-!=7zl}{m7Oj)a!mrEO%-euyD`BuAwlDyx>58fK@_EsGlPxH zjrvp`N&`89JV|yV-zxQIrHJaZZziMh?0u{&98)aW#*akf#?ezuNbdZ7KIMe7T1*WP z{I`wF3!pE&7?6L%zqW)BLR49*sCyxv&`i)K`dk{>A<Ge~(RgMCJBhuOQ~6=jFvO5E zR-DE|RiP+TU?DDpSOaQk?vIS*{}EJUK((YSdcr%g_Y>%Fp!2rg4^l?RQ8}po?uBp3 zd1TSch6|C}R8ERNxte&Js7ur)#13u*QTWLt1UPyQ6^?%jj@v7pnIw;dq}TwB_o$u_ zjz5}p$=qXw!;m?aho*_x=NnBuOX7)Tq2-J?l{>ecWAsS;R49DD%a3D?7S}sEGH*lS zrG$ov4Ax0Bic=jZ*C+*Kaq=Qrt<+yXM3?f4+)p+n>JmnXJ{P+q$xb&@ehEWTIT)NI z=2l*1bklz@YXd8uOM-{K&*#n&S^)`y#11Vg3{^ml$3;zcx|}}mF_U;(Ip|y?^H53{ zMKk33;D&OD8%2R!O+dyqpsVAp3ai57$5C)*Bs-lICmzk?ezXq9P2=jVQTp*efkJWI z6N0p)5vLo@#>TrIfV1q+d%Pr$RxbMCk@;|n7R7%d<kVoDaw8AbhmuX6B90TBh{YF2 zn#fw@Y$6<mgaos~*|X0nEu!Eb&(vQLqsdVJqgDI9RZHtF%!bi5)+ZM5ZI-4p<Vvyv zStaDrY+M7XI?n1vRXEBG4QD|z=d+TyT3M76n@F5NJh7ZKb_6d%fy&i*;zooVRVLTV z|09199yj-&tj_LRbuKDsR&E*Veg`IYa^4r+5T}AR|8}&8BbXV^k^Ps)Vw_t%_&tw) z`x>ifRiT7R0xO}AP)l?pWD{jBcAq6P>$E#2qyGm?`&qIhnHgBjfjpdnX-9r46c}rB z(^RO3skhw=UC2mcHsQmi5nVzm8qS8~&1Zk73q^Cfi-oqZ(sZb%lsWRhAl<qImG5Gb zeqyvU2tg{GA`^0RaD$ifgDgZWK>Nir*x@Wf=He?%hP<);iwdC?#OM08(lImY^S&r; zv<k`)XdIlRgRKXw%{~0lZYVetk|X~=36%WNaKf2-Z!ww(LKdM;mDUjtt>g*fjAeiR zZ-NR?Km=S>Zk@xFn(lPH{M^G7%mVNv`D?J^IHQ>Zo>$coln8xjL-xLXja#X|QV2I? zjch|yCtwId1i>3F+%&!38ulOmC0cOho?xNrQSB%PDY6vy*Z0m2votUnu54sR4mUrq zTmvS>KVO1oFc(0OD1Qxc8kDNnD7=5*%1;xfo}tK*f0B`eIpT+lBRS-DLSMWgvkip7 zY5-Nf?>fw8m~cBf`R4xwItzd}VtW@AHY&r<VXgyb!}iZGRtAp&gf2XC?I<;vawg=` z;6@mwknBg4M8Aw@a1^i#nR*0647p+jX{v~SK~}>kwL0zQA`s-kd=9#3G_QaAk<b=# z+DWPlrJa18j3>?!OfR*M6H`$Iu%xA`bz_dLplHoEPCi9bOKqMZr*29x5)ks=qYmML zSJKMT%GAnb0Bz+kfVA=&aJMoWu(om<0BzNPJ>^|Qo{8Sk-~11D`S5qCH$x6;wDYB! z(oTNA`9RVL$MiknP^vkN?>m3z14$FTL%&%c$Q^5y{O$dy+f%Xnu9gaLqIx=^eprtc z2f?x6xb(zvM{!~}4%|VUAnph*r5TPIK?~i^HUTzaGhoA<BC`XjTO(qCv+5cFLqr0V z*T){r3^Xh*pl$HbbP>A1QiN>fvaPW7v8*Hk{LYIrpLu)JT5oCZI0=7+=!ZCk+@>4{ zZcpY!7%>&NU%17I0tF}<FA33RYXDBMz|uT0!2ulnlyrn9c%y}=%s^A#CEB1?SQ{Wy z+{;Y8%;9WPtg&3c26q(Ln>gJiC&ombxSUn)g&6>sA&vQEHX)V~b|)q$wsG=#GSet? zHpCC5PB02N6C&8C*r<Ot3aw&MX075<=BeUPX0PH^KA_B5#jMOsmu%FbYK5GohP`I5 z;#B6SVpry>;!$R4Wpx*JH$QVGv{^5w$(H1oeRXxL*!UWL@y>F1-i)=wEqFR3dw-mA z;g)C;V`P6EX7YBCU?`in>*4n{iqIili>xRyNcu=x#g@!7V0M2$3Tqm0ipRFwuivoL z*v!fnU}Yd+zfu7GDr*fARibR}6&$gx|Jm@8ooV!_TdU8thruw1-&caS1)nRmYHq|q zqq+?RzkYYK69?4v{?GNKoXF0EmKjOTz(w)5M-7&gru5!ahELz1zHW%F1=X$#ZGd;V zbcQ+X7eEv-#?pVz$SA4WdEJ0pLVRbq*nPMvy?eLXqH|I1XT;tK)15@c`JL?IMyypo zf|O7(wcU_;)9zjjFjgF)Hu#rgp?$lL;d6Vs8liY_DCxDQt2snUn2YHM4yJqL93{7{ z8BD(vWCXz;t$&M<T<!UaH@Eu{4G=`9t*Z0~4rEg)IOu;^+h@GJT{FlV;4%j<DpN4f zTQLqhxu!B~-~V@qx_A(DAiVl+)ytZx<{j%{%RSLYd!(Uotl}(Ve00SlM(&jq9hnS@ zRtp=D86~aHSEjYC8NRTdl9g#WB?dm{>t9oyD#CQ7oU>+AokETbN7#oQax?vT?29t& zdR_p}JiLFZ?Cg5p65Aa7d71gV?ijTjueO@Zv}3&)v06Pas0)A=f53sP0-*2T;r!qr z;OjT|tFlLcq@N6bS@vT+k7m`1Y$@S-PY7R?9HsN*bWx(Ui-lw4FuGJZq1!$;4fKRA zn|8?VaLq&`lnJYkVH%+?p?&Pmf>_Jx>p}(rOs#(!-u$qQ)#n8rjBndpHx@i3cM@si znG8c%%%ZWO%aN}w?sm7M=jFJK-6H%Kf;ThRpI72ELs5I)vDfta?V}IwbmBfEUX-np zHUeDZr-p7DW8%k`>wgJQ`o}*Wmq4%oV0{jI7Z~)WDi&w>#<H>J(eO~});%rGhPVBZ z2zr0ayHPYes7bkHRL%`bcILXmy}eaa$$*e!Z%ROoXil#M9?eamGKh&}1hACYLrg<z zuC3$<Fu#oFMr9B<$t>hMuX$Pp?j;PPcPYdJSg7S?`(#h8ty`Pza?cwMK}~+}pH|)$ zHb67qG_=;|6yxE|{4k?%3E_R~Nw~bA^{ao=jbb_+(L8}R@y6Nk0$r#z>q`_rk&~<g zEXlLVYciAw%I}ja*S%Y>>4_itO^TL(KpS`ah*6DfTApF>`20+`^~jiZ&Av51@W)`_ z`Z>{T#{z@YblKycOkxma9_zW|s^q5C2k;Ly4kk2~?;;NhjBvtDHZCE@?!?<uINg8g zsFO~5R1OWfOG%#5(@9H7?pJOl=T}8Nog&Kg{YBG%|L~jrVZsb>2}*~5{OQS35NDk9 zeehu%H~PyeTmq6!Bo+@q(C9D3y>A1eI`<!v)h}&xe7ewhl0RFIe^QR$<L{twt27pC z79l3M(NE>LsMT$4?9*$*KBp!xKk<J#i8!FcUu<EV$c3^aT9Qpd^bm@kYuW~@M|n@N z@0mW)e~jPNX*|fQ{L(=BNHmYnTdw-Twb0@VPrlAzg!O{ss^e7RRpL?$kC<MpdPhD- z_6|`Th46;*XZL;Iu$c?5Hdq)#lwR@_IvF+ka)yU|{2Wv9foDgUqfJ7#l_-D0^z_uj zEe}^E+GGs5QiwB#_o$ri@6=8{lynyq;{m!OQ`G^3ha-mknbk{oJOt0D-Rmy6SCw`z z!lt0NQ_i?^nEVqxlg>a{IY?x0dc3!J)}GzTP<{8YY+T1fwB?IgV!*n+C@YpHL033H zeBBq)GDh_2Fwy4xuEuur%$R@fq}4!PDn7lL@-Q!D*zO?t2&>KqChN3<MwZfROlDyb zr>%br0T<z$WassFt-?QozYq4I)V<bX2%p}|<z9#$z4I2crC2$NiYWNuwPw)X-m%5C ztvY}l4wL*AVrYHESWF^M8t=2yS5~3#z4(J7EU<lQ5NTkOrSTVX^p<~*$`5Zi3zP}` zlH2_+wyh;QAe@~;^PkbqFW{e<lf)7<)R^T?8e(@;$}1q=#}9{~Rz)ir>G@>U4vue^ zIZ#t<cxK@CaTGY;E6})#6K-ObbVYrNcc#B#BTkGDnmA;-yw0LUoNj{QmcEN-&UT|s z;^#kplZBdyz!s+W%4C0#=U_*#Wh`CH&}%e5=5$PsZL3HyeWf0TT5Zh684Aq2>?l`r z97;EYn$4Ut><oebay`@VtI|F`NNLAWoX<|9E?>;Xo}}%Le56-?$V0CD+6|>dQ>%)| z6T^xt8%hxe3gNv<V*bjiWu;Ro^Ac&J=MveE*O<%H6O#31Yqo!VEAG9YeOi-sc(*%Q zU%BS3Z$;*Nx`tP!%w~d!|M8ls9%r}29#yYjC+fSB^^etz`7T)|_NaONlF|B-9Qt_l zp!)Eot6zFX?G9snKjvqR+N%#+UbXw=?3W1B^71&L)tMZcIeK1w7<QGv$2mL^cG|1Y zV(HEMT#Jf?_q~6AH{~DJ_4^oUxpY@#QK`nxw<7g@Z_Y};)77~iXT!ui4X-_!`ghSS zelqnF$@+3NKE6v4iAtJYDi#5WB2kyFKI|D~N>ozwdTwLeF5@V7ILh)4<7rK{O#Mi5 zi*${??~+5JN0zhWiOrn%VVI^7nfmVJmSZ(}zDuygU#EY)SY^UKM5h`Z9uHa|rH^W< zZ`QxB&#Cz=l;q{RB;FsW>a}uyseHS2#c~O5r|o<d(=*DK7^vp8Vznfxz8U|%>gkHA z{Z%BU2`W?nzu0>dXg0gQZS?7&gO=8;#wtn?Lu*chn!{tRxu~R}A*hy68rrIPY-qI9 z97Ih`5G{W~Yiye;jg*AqQBR8qs+DM?_>#WgTIc<r^PIKLd(Qu?^PRO`Yp=b2_qDI< zxA#tNzdL)aOT5n#$fq4Rr+*2uJWM;3?q@Iy#rBVXjz9KX(`J}vQ|TKzXo8_honxo> zhd*3fuj|iw*fFAnq-CKr@tHwWF2cYojP5+zg>!%G>VCn8_+};IY*sdgru-e$jiH&i zZD#j-6qo+=fEd(~w;rpse0)C0LAmG5WPD3Dt>d>z{mK7B?T6G4W9|W0*l?pLGIrRo zD@exwoM7{b)QPB{r+6p_AG__<m7w8oD&8!gIgvZCJoJeLUFYb;ZcBD09Q8Ln&@7)c zk^6sgdFU?|^ee}ttNU2<THo^4{}y&?lvy`)R-H%fNw4)N@W0lD-#M>7|0Fs$9x4;7 z6?-h!fcMqUu?PR>*U?MH9^oEUg1DdKO}U?VrC&<FSbn+u!scb@%g`4#FH&EozBu^u z;ES!7Tim1nH}Cgq8Zw;6p`bCEpWOXpS=WDUViuduN(TssZJvv&7+r9!_x0b`<NUMY z{$x-Uk&X+~4=K+6w}#)Yq`py{6>nH|l~X8Ck@)=D>gIKq(o6s5u)nU@LVifPa~9+D z67uZ?Xv1=`EA&()KD+JKw-t@+Q%bQWv2s7OzFzvD|At}VwkP*^8GI-BZt(3|?umb+ z_HONMl_Zrro_AI5s@(RxU6@pOr|@p!ZHXj_JDy1+u@C5{9{e0`$jW|0!~NU3XSd$f zzxAklYuIvDP;I{a-_})S{^1WJf?<Qj4_;fs&bmDM^Wtfde`9DJq4HI&1+)RobF>cD zK2gH51e%+!;ud8r7eMddjW(OB8Sj624|WnQR1Ro!k5b-kH-8R0cc$%jTDb1`l%aB@ zazR>Qzk_0=UV&|1KR_`OP+(hNc~N9@yXn(*Q|wxyQsv_5IB3~s!fW)`6X{>Ks%=jV z+TNShau>#P5+=STc#<~NRVxj{P#@xZ%+acsm3r3-Pp8K!3MKUPqHRYvJ9B?FJHL<u zgoKnsmP2Q@#2#3p?;q+3&;ct+bF;k;$$L<_7PD_HW;(tnN~k(yd6~;8w7kI63!_!9 zaMO9Z3d4(@&9$j2r}tW)`dHbj^e*&R=RL))McKd;LVlw=*WUxpf3o^IuJXtp!i8Cu zKepe1KM}fTVO_JzYujfnqpW{?1HR2PcPTYVaVO<diX7%{VFc5!gX$R_dLv_a-eg0- z%;R`N(>H;?L{Nf1Q;QrN8}r2CaL=@=9)AQyTLy%RX-FHt^nE{993xl<fh7zKFMb6n zAH0Y^)$_?Z>c@C8>WNorKqSbxi$L-eBbPt>Th=C{%~#C+yL#`C%jkb(U54^cvAKEM z7GgalGQsMHVTPBlJN{4xc_vb{WygJ>_gBW(NUU%1Mf}O0Q@3Q48+zPzOnFgtgTRxA z2M5H~4BvPyUtIU(Y2WySUeqz*jh+}+bN}@yK*%KLcAL3aqFLkzU=IKIS@&P1Jj`xf z*!}J6=0ox}HEp(HExdoF+=l_22Q*ZhZVtH&6xC)t;w=gnH0(Z*#ajUN>5lWj1~2*; zH0&~<tJ)Ml<T6%Nol*Cj7dGrXQ1F|Fuc?Dv=PiZd<91Q!aEA0uB(>#q9R%_l^A)+M zqtIiXqiD3z`n2o*DK$lla9_~<+MLFU?&!puq}vhTg|AC%&$54ky77y-_6uLn{QXdE zLrerUw_a0NA^2A&@WbKmue7lt=cyn3PSIUj=LK1AteaizxnGRE-CrMWG*l4R&zbGZ zl9`)##FrXSAN{Df&G;HA(dw^D6-=-zH@@`|K5qZSWFKqi7Z1n#>u@<nm3yp<xK}Oy z=cxJ#N|jvJ(zt(git+8T`#3@Qn8TsvPewkqZT{_<n%h~x!f+Q-i#s6ssCUS3FmA{> z_|uTulfEIp@wgFZ;18orZr)%oi;F1!W|CqLH`SiyT}P2EuYdZhdtIGCz1Yb%66~?4 z%~nch=hfQ-(uWlG2i-n#NZkC8!o5a2%HhbEm`|n0V`6_ih0{9G`#-Jney+2dN+vr> zcX}3X8Q)r(?Q{2xId-no&3)5j`0-OaKfhvy5@Wpt&!&2^T6A>uFw6Hzu@A%4ePqJf zbE0BCkEsML^vN>FL=Ig(Mt0OeJ|Bp!x*Br`sRsm1&h{mcuC^azr!kL0C*)p-KHas; zjlY7#;Ol<@gY{OOpCfbMz0*Oi7~QPC+J1f&Prvzo=K!1hdw{H|ja<3kwSQ}E<JnN~ zxh%h}fNrl=U%AbDObB$M^rb`wl00Afa5#hA{<KVM5|A73imbzj=KgI&+r<unj5<Hk z+IBy;@Nzl5Zqh}roVZnUbvZI7T*kPvscEYqAv%BQK=h(lA!_PYkVQ|>eCP@Lc3RK= zPREJxSKV2Ip4z2$)>F*yS8pAje|@EO#3XxALc7$~dWboH^_JlL`jx0RCRu~Q+H&^R zh0N`%xA^DZUx^x?$sSbEmIGUFGFPtNIyyghW#jEk)}W2HoSpR=lXdmhq4}3rHeUN@ z4<3Kimb10)VSc{ab!>j;%EpL))?mE$s{P<?=Fh8LN9Jd)9DQ4wJqXlZ1rL@pm#%gR z%&%T4AgENWi)VGBb(G@IOGWfPJ9nawU{kezAnW;ST+lI_`Dn=-Xq{8>+EUFgpDCVD zY}u+>SIe5p*D>xikZOMM3~&P4(pj~BHj97$TC#BZM0Vljk40JQ^v74b4$Wh({P`+8 zckqaIxZ_|y0;yY?8tHcSG_2in-bm8mH1s;sO}8{T(&B8*6$8up6Ot1)(AR^G!$Szy z?}0Co!>JW3#mB0|!%Q@4`luWMpzfRHnbAvcYt65`cFqbliV=zrZ|8iu5&a`zVLX3) zf?i7TtBq>jO(gjhI%`Z_U$u-6_bi#xD+PK474PO|QsrJa$KPM)?B?v6?#|}0PbIcR zFvowfi%}nIe*W!Lbj%`NfA<FU&yt2dYKK6)-s)KS4bF#SPwL0BL#Obcf9Xw)Yqlaa zI)*BP?cdkq>sEIk|M(L%-w_rXWmkXh)?uJ4uaY{Cz4ll#N2E9MmSN73A^e=7v5Za1 zeA=~!l=-LEWQ237ugQq!?CXm(Gh`ndN|>Xm$i$~YN3JpDa{T)6_J&CQp`1CIiOf_A z)WVSXE24977YWrcZf_)+gbq}CYSidaGvw~ynyK-)HR<|x_L<|=kc0%O-tT{7qrcqh z;$Q!47+Cu7EW%<qeFX8$-l8#@MBJ@v^Cin33mtowfS57WU6T*j2FYw59wPNd=3e^< zkcl}wRMH!ndhM}z&Q!|$_}nv?VYpmQamxJQ+_ON#Ci$G@l=;cIXF-Ndayj)W^Ka&! zK@1%QhCcU3(yv`Imhm%mJUoB&dd~2Sj7rM<y=yY^IrF`d2*Vt~q5E@&r)28q3^im@ z??KPV988{naZToU&UPO@z>s}-Xl9OfPNp*zI&qCDoKw<^hZ+)(4H4&P9COF`_P};; zfiWN}<YW2I2e0H7$9{FzR#0i2^k|Zlfv9gq#5w87H~PZ9?+Muhs}q0EA9xVcX(&vX z8rw_$#%d4*6-AnBMBKo~b0mq$v_6Q14;=$J=1qJ+OTsve7gOGJ4ADG@4{2%;s1JSI zcys9O`R=g((x)S2A|!WecM1tHg{3%%bWWRKRtB0{5C`$0fGHQI6d5VpIY}rPXd)ma z@aljmcP2j>Dbo3oFg<^u*@8HRw+BqQG4;u8;m&>nX&}g(m`nSU%ogjU5tbkl-o!H6 zQ8HVibCj^JU-K!`4F4Sfv}K+r3yK$q(G?&IK1dwR2qSwMSr1FioQBYaAO^ljEX@HU ztBkCI*<?;%rt3f^e31>bX~<^}<YO8M(&s~8hs4mz)<ybv-n@ThIf`zL5%T+Yo-(iC z>)4=AqWG4Td7wMflI$n~d`TD^$Z2OD#m{R1-I!kFcf!CyLd!r7ktu_((g1ogZOQLM zfW3t81MdjTz4$&rr!!NV9F!&-LbrmXdLi+&mzZHu;46YR<e(2Sowf(#r$+376{fEQ z(?3IkeUQntC~|+3=+p$EzW?1*W(a-^&}q%oB{zuyKM<Dt9r4UKd_JJ_0#k_`F1iIc zhkD}xnVs6)DjrB^p8-Hjz1BSNy9jx0--f2<!1nYHzQ|6mBv?#Z8y=D4<xBpO(bmFr z#IrHe%HuByodd=`$XwbH_HJY|?bdjwQ$s#%Gc&q{xd(qgg8`{xSbA$($I=~$%s_m# zM!Y2=1D2bi=gofT6|hzM{n^8o84<`0X8d$M<i<+u{24s3akG_{$$lPZ%~U3ripA3j z3;q6h=1sgOApSx_3u?z-bUB=E4{4?kZ4$gpw{}rhhy=2~*m49t6mrCi-9h_<JagQ4 zlwdG$z=wa0p_yYy0R2~ln128Ek(Zs22rqUitr$bnVq;+PX{0cEDrC)zeV^8eL20l{ zVP$Eg2zo6<-i!T+mWn}XvhTwJ(xUOrp9>)%jV(JSbc>S!(5IOs&Za%k*z#yV&|@GL z8e9Gd9LzLLpoM9J&w!bxgJANrBM|rH?Nj<62?2itCioF@1f<M|-AN0^Y%8#z!xA%4 zf%E`KfDgN!=84%>WVgX~GeBYVM98d{$3)X3RH!$J7K71CLq&K?<E^)f+QpXP-ax!O z^ZQ4C#66TFFb#n4w!z=W46CrSP#Puu*51u6w5#Nfv}}BX3!(w$Hz1C0D5e>bJ2JPg z%G-Y*!<T6+pJBJaNc{=;hIU#D1_tTz8Mk2?kU1IK;ohcrQ;p&PrW2WyxecT5g(Ujw zn=$3d1^F<)l~5DshC<kpbWre!tP;C<n=YwA#Gy*<A@czBOh6F*IQ}q3K+N|eArK-0 zs80g~db=agu)YCIE8-sQJA0S-)Jq<iB7J`b<E?Mk&<?BW`yNbx__Y&8_rl9z0H?;M z36YR#4PV`^a7^~Go-qvIG_e>a(%<1leC8EQKAjOwKpe*Z)F@UOe@{>zFc!UjoO|m5 zl*iu@&OpKdp~9iiM<P=n&T0`eVfL9T2>MwF(3{vm%fWnx0KJHhX<V|F{xG2qGUb0w zyh|fu8dZteF!l7XF!~#auQxG+hQTzd5tCu{>0#k?2Bg@Vm_n<;G@c=*!$Q-;5cEli zv^Oz_mV#+iC*FZAr#D8>Ign*<;vHHCCQOx>1Cvf~45JT1LcO`AuZUavh$*n*^u}=d z7f8J~x9B}de(a2RVD6|&B*1(#8?S%R^&#p$#6}uFCQOBx3mfaN5&ve2|9s?U8SQ%V zFDDJHzY`&39t7j(LKH6aR^pqfj_0f0{vsYS!$lBw3cDh{Px5xt9W?(=tT?91?V5R= z(;05J#_Mv`xSf_dw;SPhka)3gJ);5Q+^(71Y1eQmflRkoyS<WidArH@iwb|cN1#pS zI}(FI$-lI+mid1MNbZVp7XbnQHM3*^K!3zDm`a*E0O-;Hp@SiP8bELEO<$WPz)b}C zY`&x7!JQA1#M^}&Z-LId1>(316wu(}M|k_$!^7`zspCCdd^mu+Ar5bfZXUkLo4fPT z34`IIP~R<sRPVr@z?LWW{iT1ckJhRK{|p-;X>{4pdIm1Z&DbNFu3N>9;AbApkzU7n zCU0sl`vKT#+tQ<YbK4`O@r|^q@lMUIm`VIR3lc-u`6>Vr;7-vnLn!WNXmolrO^btP zg$L=FX{o_)m|;O^0>LrcV#8nsI5hr2zy$A5>zK_^zev0o7HB080s((m(YR(SlmMgN zB_Nq&NA)c4mSMqgT2?4&hQ0HMIY@*xfYUMu?PDe5w9HT@jPK4P)+kNJ4d>YmjyTnz zs{1f28y8`QLWNEnUTl7A1!XXd8ydy_4EfsDW`~ovY&1>n=QvRl%g4(^Tjb^rv@Z?K z&EeblPR$*NRB$Vs+<Slji~L^jF{?AWRFCeZW6gR?g5X>m?v;2~<3p?wS}u!X@oai~ z33)>qbiDeY!gL`Ps60KZ(IzV`?{>oT$zS(;w!MshHhs;MUi&J!V}YVTNxl>mG&J;^ zT(YR6q>FE`So0)61N_PZo`4s>D5lwHW>Q*x-1PAWfX1J%JPLp7o^;#asQ>iqtLdWn zxZxG`de-;YlC{W^%N}>@szvDE&blWr-<k-~pQ+k?;Q$ROjFs8lGko7iTugsPed(9Z zddx2Jm#SYX$A>ym-Yvy)$2D7`_!wlDfE11^wY{QyKTCsp&Ltp=bBcP-IUtR*m%318 za*TzbE>xNvWHo={A}*lLF^ZjM(>MpH6IJ5@3!$ctK4s{}ItkAY`&irx*i#`Gv4_{z z=z`4^eW8nArHs2${+%Ho@OPVFw9J*IarRS(s!Rk~cwFuUlrE#nSw5X}h#FjBBEt&A z9kW6mVkEi9-{Y8350=l!vl4J4mLOSHH*UlXWy~0JF3W%5h*DLmOb$oOQ%^8vaPbwZ z=^Y0dtGLdJ)r<}yRyeM+d^M{>gz?ik#&UX3bUby%x!r2|0ILZXbAfb@QR2Lo7I%<3 zRaKf22c%9_&KzKk<J!$h$_#*WL{8i}YH{Vve%2t)z?`JO5O8kJjRR4aEBz0$CUFzy zq|*#N=jMN$I6Z28r9U6*4bIb?bc!M7yp|NF#`x@_m1=B5(qO=xwX!Fxkho&!wd^>4 zMzyn(HA#s9#ub`@gjk<&D&_u1S^l`8Dt}RyAvLpHE;H^Z<2}x%LM}ZnkQ!epcPGw} zp~m261maRF<?eQ5b=-^7W`LZJnUjt)?%*UWL4SX+_TsjxO68+XsVJAVoQ^%wAciq@ zw<7VE1j`h+n-kss)X>n^WnR%eFmFdB<+YFEiQtp7ZR6RSp<VG{8PU`x<JDB#?@0%h zhqEYUd8-Z-3v`g>(lOf@rKLb{a8{jzTf!@fuJX~P)cN%f43)9hWs83wDGEOMnLOFA zlQe(y@Fn!^UphfwCC|lXoj5;uR1SI|_ul}g_-r3K{V1^1D}JDc9VXin>Jm?UoN!ut z{vI=3_X2ftQ^PZKg2ssr`H=A)5!UvE-*jY7Q|u#&{rzJ*Yc;!UDfsV(A8tRS6Bc_$ zd=m4z^P-Ri38@<vMG;ZQ>UE=bSJ&TfV|;(w_L};F8=n}5%Qd@gg>CV%ng`0h@vpiM zL<Fz=+-m$$JbD(qdcq@ZF$Xkt9et@-HaU7W5J|n%RwmW#-K%H+EP`*M`7gY?PF?s- z>mEvewEg!7n>(FuGH2qlL_yXWa*9^D_bZ2N-$SvBI<6a%{|*1&fx8>4r#L=q{mp+v z;q}Mv{<TXvxg-s4wmy?|v9M{Q5nEVAm3Bp;yYn|71#uTbY$=<8vd#r@<{|l5`QB5_ zs;t{oeXyxroUz@sLYqCsX>J7K!Z=WeLMI5mk1yyjXXIf6%)iK1TieR!Z`5N&pxWKi zSP9Cx9p4|t7rI;}Y`<5lZ_A-~RHJ`WsAs^5_l}#|m7I*Wr<9>rvnjXo;#8Kjz%e#; zidYSBqJ76XJK2*Cjucb$7t1;jwi><BjGd~Y3Q`J`wpHNBf=TlbJ6FH>6@0p@w5=wX zg<j1_WFG2K_~m99#@tY47%hDc8GXIk-vhmuPd2R3|D&kb@oJUGYL4mt8<Bq>fcaDp z%DT&>Snk$<Qd@o$q5yek!xcSgZ)|J_w1_hT%PPs9s4h84LSu8#g1MBOJbwquEwo@Z zMKI5}R;4Q+n^e^b!mffD8cQ!!9g;SDI{YNSTQ+c@?Kb6sl(IuEg_!rn$<-6QWeJzI z-Oi^vQ2bpcr6_yB3{7~hY8ZbX+zcIOI|;D!HTTg5gOpc<s{tnk{&dv>1FhgUY>z0{ zwPR%~TTfswfZr%NWKwGK{GCQ(<-t?da1q-GrMhNpV^ymT)*zqiM8UXB9-{byivlQL zTqciGroap>_?T+gA4?@lfRpff)y88>(@Fw)t$_vONgMuX@q*Tbg7JUkjRf=oJ0AnP zWi!r6@DZ>N&@R-1qYX|{YCKWhb`n*9eTnwSr4;3LU?rX@!9S=r?y0juzd?KCP#Ae8 zj_uN?-~+0S2jJH_(CnRHx2je(tSdSN?4xN{Z>FYFcM48dZ9EFMML$7fawv9rDW~Dj zlq9Of6a?%khrn(=yEK2nIy5#}xkS1ebrSTTwDvywv<+Mh8`42h1?N|`p2yCCr2ue9 z0VZh!+%YW)7O5<-;OK&(%GtRTLY|2|<rI3*3Vy=2Oa=ZJi+AynqDX*!E>ad%Z`uZ& zhIe7_yIjxfwxdAM)|PdLDHGtLlS@q16@jHCC4gp~RP~v>ZZLnv65LnWDvFKBZ@q_A za49;v)T!ivzL-Hl=XE<#(C7nJaAEA=hMTrG<%KF>PhBt?gVsH1C!(;O7j9tXx~mEh zgj;sF=3^6FivEDlDH(xLm93K4``~ZrcUctwyi#y391bQ`>B>=XQPnUdRu-i)&sqiU z4W6#j6{I{=`iy_>u!alSLi1Z6V>Ml*j==9L0krDe3I@%j3bA!)V{5oBR({Ow>0K<_ zMT&2!R;f|7F08=XoMQx52Zy9ldh-&%ls9O{TuNzPsRKm~&8XDfOKArCxKnx}u^<^r z1lY%cGVAihoC5;a+jGv@iP<J9!3DrQHgHL-X?|-BHlTk>SCkR}_OYjoxJd0?T2pES zEDfnXP}t7LMk}G@tA(*mOSPB%z_ZqHZLB}o$B9z6eqweX<;D_n*`8zU4?m7g%x}Ge z)v9Vej<p(F(J$DzS#ZEystlX0gp#V}QvhJF*~%!H>OFa<mEa;^d29F{+e{U>8+gs8 z&J>#tp8S84(&<tpPZ0)BUZPC7Fb=|9(ZsxspaPD$&sngqov~53MyExb325FI90%~P z6Kr{H0{5ehqy5ueLEK6)WgB<KPJmlH;J#q3)_2zwSauX7x-_&z-GcE53rCm2OQJ0p z_pvL=pby50sZ<acXd73lclb6H00!E{HR!qBrs{uzf#5i-Ude6hLu`q1$?*V;1YS6z zU1{aiQpLFTeoqD(>!%DFEjoCC@fbU;G(Ay-yuc{HPFrY<78PG8(gMfd`%A$te|-U$ z1D<rFd{hPS)mfm~=qQ_l8ncixEJ=A<y!y253c58H>s$5r$u<W{H##SC<cEFiV2lGL z3Os*gxdgNARDzp=ZLF5WY=J89M6iwJlDjQXdFc;us#V>&(skMVsB)}DB~_Lp2sXB* zTyTjKXrD3xAG9|<X}A6Lyn{VO0nN^&{K_NRQ!3ExY}Z8Fsnbi^;CLJED?X*PbPXJD z4gUk%m>+cyJ6+X!65EK5!eafZbdOUoU}Jwf$~zaILlkkau@5E3MQT6%yOOLTd`p#- z6MU;hNmd=+sY==dA5)T5ffuWi4#V@6WVPV+sw6)6yi&<Yc%~{z0A8h3q6%+OCGCUv zDU~R}^HoVl;7Lj)8t^Jr(n0vPQpqWJk}ByKoTOBu1}CYa{(w&_m7Io`sG<(ROO$^~ zG~tb^sQvI2r4j{rwrcdtW~vX^*o_k6!q@{JRw_}3KTt(wO*P)fwkS7>R=@ml(+31z zu=P=}Q?{*FUb+M(+teL}e^4q>Ig?8{Zd<RkbPG(jgsWm7<VW36lCKWT^RS~Nql+pp z-f4xPgKT}&?A&eDm6lF{7c7_H=O2G<DJ?<3_SSI2CS5)7Ic0!QwbQlg&-uFclus@k zQOb32hb0B#!a3?%inUh;NL7z00E)3>C4g|X)=5A<maLqePASa8I8wyW)>cdEwkBXt zJ0C;401K|tj`CF%wXg0B*wfxv-EPEe5(u8KePX%fYwL!NYQ$E!a0Dr?;0}LBS0lSw zi=xNaWo3aJSH%RQ!apejXz>hJC)<2<R27!5YGYq`yCpgt-D<WRC2Sfck{{KA{plOr zoG0!?0iZd=cS#Bkwv<LRVf=(1b^xvGOF7{ZCw}Z!v(ohGI?v_(a-Q7Z%qeBo)$F|| z0YzA#Qgo<**vV{riVQfraeaS3)(Wlb>AEmpzK=pvnmz;XR^3k9oqnh^tz2hOkZ@Pm z7#w3CB2itYkZnf+p(ibuBy1J&=d4{(1qsQm*U+o^Q9cEwNv*-?)xwQ{WhsEIB6{OC zHqmF|>IJD%>_O%24;^2QyXt|DfjL#ttW#J!v>ax`ciGt1whz6Lh7EtH+%Ujafa5Mw zoLo2uDS1ku(@Ux2rY`e^EswE7%BTs>!C2dBC6K~WvFdi_lz<~8AMKc~MgE@0wxzhC zyE8m}L`@$hp*LEwT9w?YYYvXHrUbkA9HqQa0;w<Mt2*3ny^Jo+-*71qPt`pM9<k@} z$~hnpE1^V^s8&A7a-x4^qG!@6O19rsmXyH}R&cQGHhP1AHK^Q>FJ12j$5~Op>#&=9 zD68N&z5RG-NMiF2U*_RNfac$!-u%yke!S8I@Lf8mDf&yftx3#e(WNnT0QO*ZzG${$ zM+abX33w~(!8;$I|M!X3(r<d8e)14?{DS^vmfi2OM&Q=x9^8NKh3yy*uh7ZsEfdi# zM|>^BgX)%qND51X<IfJDot8$6(M=Ho2`2$1*mfnqDULbB`}<jNoG;}=W6!-ji~|LT zZbG1-w+mF3{J@26-2-Mmn&7qMJmsB_=kJyk+l+nRNJtAUsz&C|CjsVk9$Z@%UOjG; zu@o-9XudhfCt`o(!ATJbkv3hki`j8jxitDK@nJ;mGgjOFx-2nqv99ax=NsM6Q`G#Q z&BdZppl49z<~KGS>jLWwUz<J+emooQ{4(h;fmrAaVt+!ZZ#Wwtj`ZuIgPzepe#%yV zc9n0$lF#6;-2}sgK%a1SdN|Udi(Z1_-z`4t|5nYvSWSQbv*6G^sXGUC_x9We7;IfM z=u}%J_zN}9a!ICEU6FhS^GT990pBsww`!y>>C_M%f0mjgA9)bj!moMp<<62&Y|qu_ ziwhl#)zA>rwHuM|KVN$v&k@zsm5I>ra9+S1YiM5fG{AM+4}B48o!KD5aipbf$ytbT z`>pe+dVPP(Nw7ehGC1b1n?*@L#{r97#p%d^8$)_gbz#Nt8#p4J1E3}&_Omav`66g8 zEC+-!3P<yTZDAv}{ogdL`_LZC|F<sYn-3f9T+N%0*k`n}KTmOKL}+p0)yj?j??$da zWCG)J{sh@Xl`1NX2;EzF)p?_T(ulGwqY#~=VQhc%_habiTR8<rHV+>wo_i-GuwZ-p z#(t8~w~sRTu$(A;n?uElp~FITUu+$3?8h2iAC-v=&bfHbCianH)mtH}FSar__GcP> z>yyz5%+b}hSu9kXA`8uZwq;!3f8FSMyUe;zjwry!3!^AP7D9cteRh4nx6!vJGWd%* z8)tuPUKJ?XzY#j|**4|+elw%%r81F@In>iOhWUy~uZ7zFw!L_Lzlzbf`!YJVIjxE| zxciEouY_Fwwmo%yzo60eWSR8~IRz(eB=ex}%Ag@-&;w=A%2KFFDRi;~iY$Q&mq42y zL+u_zzZ6677DMHVp&dm~k0R)|N6?%{P_=(Y(7}gL$V2E(A+)Fvs$U4DV4&d`C_e^T z^8jl806J3uz5EdR5d-yl0Hx+bZ=<1??nAHUrRKzyV6@8Wq^adOaeC3zfYv(J&mQr1 z-v@ZHEZ=VGZp;!bukp!P55i5_bN9f?#HXCrxw+N3`iS1b$4jeZ#zym}h3b!TLm7V^ z-_C|`^z^4OMUz4H^>rri=7MT#*pEEjrf5;2A&iGcdTp5w?bsSe@BE_4p_*bhw?afl zk#T=bv8&qz;*4H&mV-e}%_{wh-mpY*xUB=IMfn5ujJ{u4QCx67btC$hRzP79=ZZaU zshAcO7Q!fWxH#61Un-(fO?@vp%npCl)Vqz{M%*px&UNstuDL^pgm4t}{T>w^fX#o{ zfFe3h={+D=eb{hAbez`{cs*FRv_OmU4w1qDDr*8DW7TzaMcM9S5%?uERri#1Rn07P ztbwX~R=Tmq6f*Xfs%tD=U1JIz8>oXL^j#ei2Wm21$DSbq^|ob;gRnL0^h1Ao+akr9 ze>#W|=0Z3I`hIyur-R(}wk3++IXUDLGKv_VMh0)z!LRH8=^%h#`bgDPmVQ#Bk6(Ih z(rEGRtFkS(Z^K5XPttYHEPni9we=&Vd`<STSgmdh^jD%51E{V3C@D_ubZFwh%{$$- zUq2a^J#y=Uobddc2{Amq2e5zpKx^uIr_jP+`mdE&z<1^-r2NN3$JU6X$e{JNHIp%? zXM|Sh+V50L=@++l`lqT;>RDr%!TKM%?bnG{XZOyxetP84w&wm=cBMG*vCsLII<{<P zyY<#-$y(77#1qu)C&2q|p~2S!=ZZsSNwGItBW_2!@)B=q&xo!p2R?uH;z>%f46L_| zB~^Y)Oy>pOcZ(0M|CW4+MYT5HiM;e%qVF%UQXeSe`+Jt03F|F2$)VqpvU$Dt-NJ+K ze@j+kqg$JAM_&9bIqxsFLJpMi{yj^sr}frZNt@r2-FdP1-J*kYza@M(Z?<aPiS+s{ zG4~f=@zxdu@OGT@%D#UJBr>S*n<a4*+^UrldF}V>V)HJ8ZKW-!#$(C7e)PU{&-{zu zFGWF@;iN>bVR>wj+sc-*RtB3-o2|uTHN8IZ-b8*d;dd_J&A`@?Bpniu1ymi$Wk1(u z|DMt0&38y%yyN@uo4Y%9YqMd+vprLb+gl&M$W?4^veuKfL;QbwMQ~+Ix3oz6w9Yp_ zPQEzHe*0kkW+?2|r$D|${>uh^e-ZEgD!6~zw65arNIEV$Dp$hvW#Im^OrLFSVAYMH z-Q}SViPOp^2?^GLhvkv4OalrcwU9&@B1!{l3Oz9;PNtQ34W8~ltKaYduhp2f5)5OW zRfnGQJ`q3oh9-aIF(~--tbW`BJh(QCWC%k%KLZVRI3a!Y4Nb*u5c%*dl2m|yUY!Nf zfFS^?(1RCFNb|k%Vgw9k?3@)}EySDjWWj#G8i-m@)QuD5hh(qDOM{hvpB<Mg#P>hT zde9Hkkko|wT|3dE2>W%kaQ)n+!4d8D6wEqsV77!m;h=x|573j#H;{bsPt|E5elK$v z{Q8cbPfa`ShK-6bkxiG~Zt%qys?#bjy>$GWUth-aY4fwYD}7>2;ikW0TJ8=vh%vnz zFNcQk0iD%pSAAY4Q22@29#4U-cTt&Q2&ab25)i&(0d<<2*URyj{K!KdPlcY|-A)ig zEZ1M&^yhyo-cX}udcMST@*~IHpO)0$_45@&ynAx_m<OM4qZ-Y{{pDaCKikIrslb!F z(~e?@kSCYdT={$p)M)7!U#3*?v&Gz>met<P28b~O>Mj@C@pZbW(V|>m&Y<~;c^99$ zVebkkh%vWtm*Z{tIt|rm4K6QBv-pYr7oYZ3-pzj&71LN>D2sctsQBbZDDB#Yo8s3P zjA5fu^Yd9F!cL7QeoeLF|J&B{AMLM)*sO$#tj!N+XExu&nx2`DX~`;EIGd27E;({> z)WChzV7;%jezEq+V)1{e8G1BSnm+l5cI^Lho2~mVwC4YMORTG0lg#NMxBofa8&kbD zdp>`<xKeaIsydAX&G>QgSDQN|v8YHq!@+52Hcpvc`vh)@h&!#<Hsa_q2Ei}UsZ<@H zw}YlWsIbTwrcwv5rfu8`VW3NJHSW-{BXxD5$h$=znGRaK=iPdU4@jR>P!tz5R0q$d zZCnpwl*rcCXy}vB+{fJzuFeS&r>Dmv=Xig(&C)jFLKtPT)inwDrEIG1NuaC4_n{gC zx3L$@Lwc-ShluK$3-q}VpOgBek|H~LV2IT5;u)}m1;OG&>t#foft~<a&}HleZNoq0 zNzlQ1xHBToR4@CLpzGLQw2ccPQjcVDHLeJbwqEu-L1#B3eN;iwV9-`Q+!n!6(#wA) zv)#r<X&dkmsWJ!8v8S{R?~tOrmHHYx1n0b-!&|nCo1Q-Ee$i=Id_6oC!8xnv@Q&>| z){9@N=RWPG+#D34V-t0+VevTlMFi)x9-w5UspdU?X^Ps4AQl%5wk8Cah4^SrxjR6i zW1DsG5MpMLc)EjWO^sMf$p>9c{q28(B9C`S*Re|c(gL+LgjiKH*se+Y)1f{Xh=ZFi zcaW*AK}1rKc*ORtH`G?7zJr5^uc_Kuy~g6O+8SlZn0y_48{w<xkl~P6QzOt4Ms2-< z;Hc|`Jq~NEd5vFMqqf3{4~j4u4!hWz;TFILU1k04!Xn~ZqO+SD;>q&VWNUvk@p;iL z#1kinsi5LI_!5npS5yNlegc0)qn_4V$qTEjfkEB4`*9=Ui@wpQntChfFl^0dh}#ym z)t6XNgvoV~bsdwV9|$RuDmJdEArV*~TFntfT6!z_jkPsmP`6sDF38u@LA||k`vFcm zrLe>$=E8JZM`#Cihi=?KRa<{|B~?V*Oz(?!qLLunS7!&qIjWzUr<O;WhEpp*#k)p~ zPhV;Vq8|FR2c`}pWmz#TU(7R?%-`2md)31H{49Dn7f?^4=O>ZOF;c}(8c-%a1-f~S zDV5{bi+3|b9vx!O(e!1cd-0zMTgb;Mg(W5tnw_&<&zU>lzYq?-Ehc}<8AfQvk9Ivn zG!N!aj%)f#XsYv!U)JkEZhK3F9Usc%<o8BG3>^;*t<M>1$_%B<FJ6;5k)zm)m&)nu zjkGny^hP=x3LYKWGe<L(*-D<@HQjwJIHy=_@t)?o5#rtHle>#V-3X1}Zg$@Y!HJFe zWc-viSoQiYeRp=0)Qx`}H_qma*<8)g`V$rVmNlm{kveoqUhc;K;o=K{Bn8}_wRz?^ zFD|?AnnYy&FkNk<cRio@vb*eG{I)ngjMKB)_JIqGbAc%yu*C(Yxj^e~bo0u>FbVl1 zU~@9k|6^#$V9A$ZSWKxw;WTXK5_00^4f-?>DB}W9E-=CcNL+tllg9|2>2kRj9sVU7 zGHDQoj3*^<`u(%>mp1|nIjisROP%ir#)YKwyW`udkVP#0*@c^Fw0Y7>%vuI0gdPZq z@kKtP`C()g*l94E3=o3u0y*Z5Y^5b(WEI)BVM7_y5%fsNrZ=*SM#7YwMCQU&GKSkm z$Y4l}&)OBd5vG6S6fy}`o8cEhheKk#kR7xYOo;-r7#5r{Ok`@{eE~pUra1YXP$!*m zV<4QsJdc+K00WplTV40GGP~ZzNxbSDBpewC^3k_oLdcptn^z{!=2b&`fEgC<>?PRu zYqm38@nnt8Kbfv%O^MEaf_i_DH?o>`l$WEY>s6fRYv6wvp`PcllETkxbb2t4lAA<; z(}d*##}?)Ryc?j?g(*r77Y5P^#RHB6rZ`>%(CN<HPY%i?LCOlJ`u*ExRv=ow$W&TB zW>_e`m+%~-<&CVRWn!k4*>_<vnV<+d2r}Z0Y^Jqfrd8Nku+2<R7=0ha$(vnHvti%7 zY0HEzgEW8G6|meiQaHT|((KKCNIQrDX|lUvPHCiIdOl>$hh0DeVn6^k5jK)Wg3((b z5kBn4G-(V-i=Bk>_dmY%K29qG6+yS>xrYce6%6SVy9CypfeNLELS}hprwI&6f&Bm$ zk%5BKeIWr}Y$9y|LsDcvf~{q22bUFY_A{6<kRE?6b~9*mAHEE*<;+wen+R_W5poB1 zh)fZDkH*$TrW4sjWUG%bGLX>DJc6Iq*m7lhlDmbs1_;dq9khkNr11yH-D36aOd$Rp z2BkIrnjqi*h39t4!E7syza*3mO!~4jy@2EdvCvR@1SCvj%depfmY5dZ#&p74lXrxd zL+O9B5R}H2jYbPI06&A-Rv9M~I(}~4d5QUu$$Hvwp`jBN*5Bbh?tw^yt@Lwz$Ndor zWaG34fMrz=0>T9U5)&;feZ@N%pRBQbN`I7KjpqX_tLeWWghP&ajr$=QV4(gK?{PN- z4o2!9^cwd?w89?IRLPvQ?AC^6S}%qrA{~E7{{`s-ENgkRA@<-iVEg({`x47(hU5bo z00`Y2V(+7Gi$KGY1~5+>l=R;d2((Wa0ioi{bZ7j4hV*Iu8A1lF65~El;{b9%jlZLR z36TL)?8gugf%wk==`+N~u&Vy+Wa~^oC|wc{(~wptw!$*gZjuMnjmY9+p#(%1ZIWkf zs)UJu^m{x-MB>{tidBg<F#EK|K)MqC1Hji6p+`QQ*%(51h17fLTOnZNqJ9s;+w`#T zM-sjhgzABDJmLg?Si{!?;YPlWchK;4L_o<HiK$nFI*7Cn@h<HE&)L)sQ%`RUroZ8N zp#GDyY2_-N!E-~U(Ab#9Gy21Xwtiv@A`BmY2Jp2(NR!9KrX~rjej)*Z!Y^UMP7*U= z(itlVx;13Whlr<{V8Tuj+hN5SD=>NxB;JSkoF<0}Qy_N2d^1*p=pK+xA7UHrJ|;|& z*aMr&SP7;hAvRw64$Nz0q6F|QLAGD84Pk?~(3k=<FO!ktz*hvne!*6RCw`yClmqjB zA{i+GoFstya|j40yqm_9J@X2gEe?E5K=nJeA_DLt8pWE(F4$JOY%qNXGUS82P1C{1 zO3w5Z9DXNSF9Nh}Xc?sUxjL#M^Ff=(@#-4Di_Gg}L6Oe4guVgIHs&F`y#~+~fq~hi z54SR};xhq2Yvw6(j#zOZx0V_BaBEqAGOw21;nuQ}2s#+D;Eg2Ep7Tms4!4w*gmFt* zus64qJ>r$J6mBV-zD)Oq)Jn|kZYV_7dLxNl%K)Ga)0q5DtaF_3y}t?1yoIj^beb{M z$eN;^6vBzQpJO9`e=QJ6Sr{Q?@*Hq)zy9*pSMTaheyshUJ4|xKdH=TNVZqOT(P#r* zSZbg~1pPtaC4~2n1M_+-tlsuR&4G0HIn>9}_~_W4c4pD2mKQQ?(Nv>TbK@MwPoASl z4nMSwff=Oi3=@jY6XEpXisq+G%xJ9_GBh@kh(N~5w=;=f6C&s<6#-9~IirbQ`YK%M zu)y|xSWHR+nb2vz8$_RmY%@oHCP9JWA*6VVo)9{!!U@mZ8Qt_^oADC7{F)H(N@RdT z8~XOtD<FPV5khL*#CZjghI&8F#N}qP2s0vq;SnSiivyQQwf!AFYfE{1yw)CR7q&9# z+6%4B=h_Bs%%9q+ZOmlti8khdwhED1tsO&Te%2l$G9PFMw=+Lz2edJN+q9e8m@I9Z zR%SL&JIvEI^0X^FZ3$01&C`;2+HIaTiKp%3X{&hJd7d^uZ=F__<YdtuNE+#VJVqGl z`$#2d^~#JBwE8Yh5Sn`*(+JIdAL)dMUYRLEMBk;4gf;F)2y1;^;{^HMUlRoRKEt<! zGM=`Krw!m~19;k5b2hAhY+=^II*4T2%gtcg$IZ~w%gxZ!_jsC+Xnx=_y(e%dl%5z^ zitzSR88!mcOGsbYl^1{{HBML~P~^#su*=>+yZ|6ni+CR<+pkY-sG^<5466|9U?u(f zEe$O+v#s65Q^Y)$)PR0_Lp@C#6D=}D(9StN^@bn^@%80aJ!x`(TzXijcLaV#gWO-^ zJ#K@DCv!4ZE_<8eWdX$j2o*Ai=Z)M8>GaY!LwJ(KMWrv7E!=?|@rh8t?~F?;5pS~& zWKR2X-_+GJf2TuYB)(bVJNa)`(n^xgyCA|9oJ5P=c!R3k!FJx@6mM{WH;Cj8cDCiI zWK6rhveq>rJ<rpBd9&4!r;~y5c_leua^^}FL|)>oH9nXBL=`PHIm?BaWIm|MPEde~ z#y4|mBwsFVhDVFw(b{>mVjeA<M~map8hP1xG=Bh>)-1}U6&~Tz?0K{S9?hIb>*mrx zo?KctFWZPXmln*+HpZjX@o3RJ8i7Z1;nL)^xU^g`F0Gk=N2}t|?)S?0GUs?)=ebQ= z=1X2=##MFpa8=X4lWTBQ0lYP6vPt3RxX-uVRBChhLdBfL7f;1ys-dMXI9$@tbJMyn zI%z`zHyK2@7$y?6wxN)nTiGqm^25nr*w$vGI-90(_E0ygx{t9)xU~x?6^0SdCJ15x zoo916`qUPGm;Y=aY_>oNFdpHwtWkQ5dgp+24nMW9s{1Ib8mDyubcAJv8?i*4XUsd7 z-Qh%0Yb#8|SZ8of)+k|yh)daRjyqMWDnXbff(tO;KE;r5HqGT2QAa8h4zR{>W#-#D zjAJf6IUGHze0hRAD;_s%wJpeKcj-yyI8Y<XcZ67fn>bUe?Zb>U=fq5oG&P`Vho4o5 z>oMClVvIOXtna)0GSPZlgpugHo6XUpCRXn3W3Ay5Ew<Ge0nRZmp`m7exgF;ij<^Mj zX`$$Y)D@R@i)ry_Y3jCfj1~8Ip~W!4ouiUmHqEC+qK{FhU1BUi`=b-7->WpMG%Mt? z;*K+alAVuPl1?)A;3UjI;w){dg-h-QkQ7Ums_3GX6}O);hpWe_<5a5TQsacE_LZgg z;to?qT(olH_A&;Xb8Sefj5=Ixxj#Sa8?Mlzq{?5Mbrx4>z2X9-I^ZU(e|zAXlj9ty zq2>OvtPq^16^Wnmz@_<K+>QS*&jsNOtVoA{8QCro_u?$5(&hg0tT<fEf4J`c(T@j` zWohDKtVvo76wgJcMUAhV*~ePN#aNKk8Hz4zxpAgcoAQ6K407MO_qbeh6h9;1rR*NZ zlB!Z}BFBov<yxVRGK!r8?r^SC3oE)sS<1NJiqdorgep-{dWU08m8k6ggY^?PV!<<i zpZ>5?!;P4uPBYFq&))uhM|8{m?~JUQmE8wf)3`Nr?w$7>w@}`_kF|n}u;9L@bX<fL z>IkFQB{7BLMlCDfk!0E6Of9$fFg9I!QaOIqwekdMmM?DBa{B;d!lfsL<4bL>OyFaY z|K<LgEl&_<ox_z`Z;LXTT};zChpD-LRS8E~o;Z1{?L&-I7t?#33)Exf-SR9HuE%nl zZ)>)DG0}H<up&b+T*l8cCbsYSHssP@LK3n4d~%U@Z2mj^@9=-w@IqtvaTJ{oH)4a5 z3u!C}+xD{{9bkNLX-@GeyL_K@Xzbo_Ur5ILwza<w<=>l(xwfbX2nm39C1W#xZ9a4} z0J{B71J=`N#+PuPi{b4@L(hGZUG10sQUgRNFQH>opAP^pMy3iqJlFa$aQP`kac0i= z<J@FaYM4@q-V^rEgo#grCC<wc?d$o7=1`KwcVKR*4$98ryB5UDLU;`+w5qQ-9R>uS z`?MQ;U{$*zwq0%T8(_B#9{9n3zN@ePS)cln;FNub_RQRaPqJ^GS@0=-!vLAb$0z%N zx3Yk@3Ra(1VIQpv%8Laoo%Ju{AN&A_p`B^?njZ5*DCUR4bYL*J<&$iJ>P*F5VAhMp zXP*T-@AZrg0jYDHy}R}?G<OQUDkQ-6d%(JTGvw0L^$#8Ie3VCTeR!#VLQGdEwMknL z`gHSNtlYmf?1|y*EPc7t<^M?KQ#)UBJF!{;4z~LKDu9yj;?t<wD2vrcmu66ktm@+P zCV587P|@nr3n3~?zg+a{ZY+=ZIr!9jGsI2)KDVsnTDJMIg5CIa;giH7g6H%WXBqv7 zUc474In&f@@%NN_^R*a%6yfT)Ta4!icwATfVNcDu`vqTZ?P8UFwVjz?Rs3pwZtt{^ zJUI93mFY1@>2mAuApG3`8h`kCnQcGv+UNC*mhg0yfJ~7DGnBrgiD}74f2}d*d#0aS zY-jynb&@%9UMew1<Uc(usaJ9SuqBWxn6q7MQS>FR#s~`+gctpPpM)ce|AhWaI9hA` z59q&!8!!F|{nrrH{!i$?hRtjLg#JsY?SIX7OopPHsynRUaO0mt$!NoWQ2uxLziOz_ z9r>^w_;yP6%ZlK4Wa`b%zOL!OnN{tGnE&T+UXbzIYMIk8!4_bu?pPpaQM)eMYjpl; z`B316+`hYVseB-R!6R`p;v0q<i;@4o8E}nHrOxP3;m)Tk*79Gyb30w3cF$?()ayfE zTJwtBMNuDx+$cxx_mvjAQl4O^Dx>ybZ=iKAQ5s#0<tcQql)};%)sllt4N3rwCHDJY zH_Z8dBKdM73}+mDK1rfj)=13Ga`w9Vo!-QD9jqTGC5QQcXB$$l(a)m3J4R)>$-{k4 zp~>g%lMX(&fVul6if-=D-aTm)x;$^Weehi-KA;xD-1Pndd?}1x&7nN4+_;%{8r(s) zb;r&CMkdf`Vx|*=WZMyAPr1UgP#g3|cB;V7fPpqka+lz_N)Gp1-3r(*9<cv(&BubO zq70f^J<*SUv7pLUiKf;VbQgBJGKw2Hp)nX;klof_c3Y?6C%~z#JJp9hi%PLDWm%c( zrDv1`@Ec`WvFhi~C_7*uN6LMdNqJA>lVGyFv4Y)=Yt{Smb=@iJF2=__ja9+ww#ERv zn7`}}s=%$lwbo0QFD>OMH7YGVR-MjKmo36RP%e>wt+t4)?nAd`U{APo2zVNw2iMzm zXxpXE+u10=^}rKD0rwJqOqZF0>+L&q{o(rIF;~#zc~Rhkoc@&@J4z9{DTmVd3~h4e z%kG}NU$gH2<Ciy_(+P^5Tq%ipDC*w6T3ak+effYW|8dEeaBQv3<>P!pLXt<54hy;D zJwAtj$hz(AoK-&c*xBqY#>j?n*J$DKjdx4$CjCMg?aRe$kJrv`nk<ywDE;nas>RyD zmD1}wpKw;%Lhc^m<Kq*^JchXFeEiZxb@|EZCxLyRtcF8(+!d_*KDk*fR4D9C)^nbf z*RPM16Aan$eo!lSH{m#E&*E0aT2i+JM{coyvtsS;&VG*LBCtFnwOfD#UCb>vy|?oR z2er6aKAW6ykQ27pUOsy-VIL=YaiTmRIf0J@TWl^5xSJrv;agm&C`(EZ=bTuqt?0Rz zz|R3Ms+7y8?(B^d*|1(5Dwj{*k%`;45w{pU8d@=7)|}$cw|!_Mc(lyCOuT2GAUfuM zMRrM5JNSss^_w`@QCW>q7Qfy>(f(2AJ5BCa?ahWES09>rs#8Tr#dV_6%skIr+=~Mr z6_8jAO#Z0;JW)<9w?b$y2<vPlxc9lF)R|GW<e7m|HOSQhvs~4ScR*nh7FB#cRii+f zTdky&;%G>+aiCKP^lF3IvS^%iJVV%jVt&6*y|V<f?rh57k=6?p?N4i)baXG2v-qP2 zN{P7@>3cynqs270cF7{8(JKDvzEWgb#p}Icu8RUp_>g4LsZr}>WPfSL(CEcGrOu1d z%sQK+4kC+@$#IvRfCHmZcS>Cs^_X?RM~9Cuf|KJQPQanjKkt;fEV?u6JdX~43opWx z;{u&J`$rLXO5GO4m~}BnPuwYW#~H9&Lyry%E%GJ%50-NJM=SP%nw{mDbrDBpPmiMb zqhA!?n36QUR9+|+ci3q{D<$XnqH;24Zs`eR(e}>F#YHP-UE)z$<xy#V)=()jv*P() zQuF8$+Ssa&u2cD@=%j4?q+-f{mm?c><|9z2_`%Wldr_66r8Kwbqq2&l6a1i5kU&}m zl#gRqv4=M1uM_py%v5bdgWVc)R90*B82?H(Xhp<A<<Lf_`N(Cbt-;asz1uaT-L$a; zohY=~8PfiEsdy<#izEBhoUfi_w<aD1h%U;ZI?i@2p4EvWm<dEVIn6D99T*rrv-gAN z)#IhBw<Ud)obl#P5T_*Q;>9~s>bjEg#@Z>4BDhE9PM4i5Ad69Vh!?LOVn;b2Em0Wt z;0NV^WMwRZ`KfjlN%-0dohXc1%o2n+1PK?h$m9#Dji0<%D=ltezkkxFA~3dtn*ec# z=zdj^t$RM@oe(a`yboW0E2MLUZ_=@1=xObJov0==G5+lSQtN@yn|s5WN2_RKi;~6x z<xTwAgQbK0qkMa(D>9$!iMRM2^-~>n?5Gup?ZE3q-8Tb?N@dncOIS!8X{|S3#@DXv zM5UXN#H4aT4rvwke3K3p(X_FC$&NqEclaIpOHU7se%`xMJ?g`MgkL`j5W9NYJRe_s zMkgxSY)ifMKzxyug(ttRb449(tX0zI^ymft#=+8>q0#GiQdD(i<BNnW)b~qOjH)r= zK}Y?RN3SIZL7ZA3SF6qDMdS9z7s*<99?|uvpwsfxD?;~oI0Qt6tyijNSA_C)SXGSQ zp6nluUj10<v|zG-%g%mx2oM@oW`3thV$nWX&dwYq8<k`hpt^Bc_{sIr?7gU_(S2ZC zPg1z>;<@BiC-Y7t)u@Hb$*cC}oxsJQ7(tK48Ku_Lq;R1{X!0u9Jl=TG<Bo~z;;Pcc zmGY_m9OsHo^ynW4r&k5vKM>jg3rj7Q1NU>xHlu=tC&?FoVmgjUC9m3=#{(BNV=h#U zu7Ixw9GnJ>cJfbS?y+x-g7&si{|7s70@c*>t%)iKD99{>Oi=+*Mo|z1!l>{AL_`om zm;^+SFo;YN43R;Z1b#Az%9MyQCd^?Dhz3v*2m%RH7zH8$B9br!0x$gS*M0Bm+ugVC z>+W^mdv~pWV%4twec!G+yG~Y}I*U_)-8s3s_SjXClBdQ1d883vvo*pH$)ABg%-8IO zSm1{J9mmE6oW4=XjV*`+Ym<$_q39n9*Nj!9X@46!+5I*-g|z2`f)GVnyN}I$l(>Lr zZf00Sg~$$#8I>PVEd5b@(b!+AZp`JG-EVd=WIZ2$cuv(saoaKJW@#*ed#EHGka5(1 z1rf@oiTa^%%6LV(&K1$Cv_10-f0=LW)J+?Cg9ZV`V0_`~Lv%X8{HT`{?N!Uio8)r2 zp$Q{NlBSEifxp1gG{TC_-~J1~P+WZ|evVDV{`Vy|WGWxj7y->{-Brj8#b>a+SBmhm zE}1ZYvTow}Vc}XQ{Pha?et5L=7fO)v_!aWW=)Db9%(2ji_*%BCrTn(*#w${^Ttj$- z!$6#lE;%B4kEZ(fvCtqq4;ypFWk;wi1fRS*_$=JfvZOrLRj35LH|-{e<mSRI>!&|* zK*iOE<CWN$OD@lamKG2W9E{GEKDoNsSXW_xvNu&I9nTt@3*s^(7M>s1tV`yNj{04} zcZ~i3pR>B27d~U=qrioo*Pn_YhQvX2$o|o7o2ta4E6a%RBaFTlA=#lGBPury9sc5) zj~EwL0k1Vl7e-F<L8oAR8>%TsSC$a`M|{!A+m1p@iwJ&Fx7<^GE8`t)vTSq(-m<WN z3zKakIP}>Fz!UPCD{CI<!Q@X#k}Trkej{3^=u_b9Y{y#SN)ZU!rk#;dSrBi%UDfkX zKXfYZCrhBJ?-04`jjPvl%*0|+lD~IodZ;*1T1OO*SBOGhgBQE(nRniU9Ll^r%g;4h zpp=Gm6zU~7m2luP!f5rdHb|8)@2ir3h)%!r8kAj%(XM~P>5vp!3ij?KyzCJ1aCu93 zT|KM^QY3Wx<_S8f#PoDF|AihL_O+WJI`yMDoO18UiQN8*_Mh_cx8!h2zn383zSs$J z$J<xc4(>jZa$oEMF%c<u7T;}msD1Q%F2aH4sJ-u6W;Sv~op52qqAGA{-2?c4u`ji{ z%SfrC?KVoJN?zSwsP4pa3*$Il)-UL}CNKEt1`O845Dc?_?tk(~4D)6|M^s$iNzdNc zg&5fFf&o@T&{HMmUJT5oV20JG02R(QO^z=aZ>wcME{mj~J5<H|(stoZ#~HWCmI$u; z=kz;db_8kMH6LlSrjSB=Ka5y^D0Lgygo{MsyP$UWZ?2a?EfYzG8cB&Cr`hE<4h>v5 zyTny+-iFs>{na_{HX9E$fotjm7q-k3VTKwBiCrB4i)F62QP(q%+y}Bz`8{f1`AD2N zA9N_;<ExR2=WJ#T1?V1c7oNVw8J+sBK5)hdjk_sOirAQ6D|IMzz)E<3{SHwgko_x7 z1qaeK8TfLWt95r$^f!G^2p)#e&<=$OK7A6*<>7N@-c*~yp_)kvDvpIv*OW6JJOrQM z168J`@9Y6wWg5+5pL0(cd&FYRHkuYhXhM6-Z7y4f-$|KVV+A}(Aj`58HeC|18J42n zJ*91(<z8_tbZeEkc2$>uKvrYH)tV4HG7GkFEPTf5=dB84Xy{;6?kV7dzyy?y(*f(Y zb74PL0!xO82zy;~aV#WaD?w-8rfWipJ4<qBnyX9!k#31suX+>6melgp1TsznMuj~U z6dbQMZHKy9UA@Wyp@n-#%?k)#A^2RPI>jWM^G=b7_zAyy*RfE4rPa>hj82WI3bxL* z-69!6d+JcQVF8Gd^$aAG6bi{2E`O8=z2$Kt!4+krCExXA<hABfDNb7e=vb(4as5!W zFr=<UD8rC;CuPIlgF9grHE>G4D0<|DrVc3a<f*VbDW;0*JXf^{KCMEWxQ(EcHkxl; zibEm!v-T6suLntg_9c|mva&gnp*hyUy7=V|iIsyn2=h*19Ka+{A@Cq&aDoruAfufU z($g%MQEh61Wx3r5KA9{v`ICgzFIIwEx-=PI%WYo&qk}k2#e>P^sxft8MZn!5_?WRG z1Ra75^{_fR?vcWXV0FNBECjM5;S32rZU@;0Kr}`U#?d2x!Fw=eJ5{EI2k<0n;OYVF z;9OXVmB@m+DVv&gRikXWc0OJ>(^X}9g_`^!LA+PuHq`CcHQYHuNy}!Q^VR2fQ^MBT zAjt`WD4VQJY4FwK-YI2RYS)=r0fLVKRkt!hyh}ow49gY~G;u66X{DB&nPrXh`6kKs zs?-T0S+df9)HX~1gjv^X)PSEnAD4QprjBu<tkHGvlvH~U)r9+~fkcaMT<Tex;CDFn zQ$<y#-sgj)6271Ynk;e;c?dy5s)ZDyUwxKvLE?-Bgh7Q_7P)L5dXSJ<q2d^EF(R3D zgAKBhW7ZXcdU0^$20IqY9$c;sYCxTJOV0j9Aj=(pB<%<!q>Pnk?OaXp!R+vPrt}?T zC%(os``}i+f*QzT(LYw1Iz+OXqo{$DgCCB)b0M`=!iqHu(kHF&r7Rp!pP~kA4zR<J z5Y$1$L{0F)gx<KDa^oPPLY1lI!ECLe2DT3dpiLm(Iv9X5r0yGQTBJTnxXiKWsrOO> zezWL*>vOD|eb^`cd+>w1dhwcXkT~~KefLuMZqFVSumlx0Sx9kss6#?>h1LyoJ0(=? zW_<*_YfOukf|L_3feLH0v}JHVQ3D(nxkqO;2;__MqEED0xvao_IVD<cN`$KH3I<s? z7Ybg})LgQ)E!+?i$yczMNuw9ywg`vTs7)S!A{q%OC$_6?_9#<dlGLdMpczUC^YPHY z>ZpgN4W;N%(5g`No3c>PCr87pK~5#1CQn8-l&kCQD2zikE3Z8?Z7I!%)|O9tXgW~F z4!v0Z>A`$g9%S`Id_$u;%AT?`;wzG?NKo@dKSvWf&QwR;rj(8NO6Hy+1p1;A(CFBI z3=;8-uSyzvqr<p*?<U02FN=c*$FM~!d6t_zNC&}7L$CRT9=~yd5{P-(Wgqb%26Wz~ zq{p-TjJ7MP4xHU-Up%c{y;K@{-!Jr7sz~`ww53Nm<iT@LPHAY6UnoziXn7l0tdo%1 z@wi%|B-GuHesm%6_B#Sc_3))vN`wV}-#ma5Hl`5gTSADa9@f>qh3fLnlXQZ`$l?_3 zd%CEYSZ>H9<ma1BFgP_{EL`;*=oNSrv}5u1UXf|z*)JDgbgI{LgangSkU7TL7c}oO zx=*Ctm$KC?^2CcJtM*fp5o&R5hoF2kBtG5<zy~`*dx1AI>VKNg1q3jB$exIQw5R%f zj7MZ)gms+$G3a5MF&T}pex}a>6{MBoRgmoDdw3^9t!UNwJ|hRiKrYg;=1U=BWD9Zy ze%vHHcJeUPjJ%2mBEn-<k6|x`sF7U|ktS4b5?8Yttsc)rlp}UbsGL|`nhZYD*ujMV z$s`XnkPJg?KAq%;o}%sHPm+y)@LY!c&-4YLM`<k6g#YOzCsdqv8vhAtW|$Ty!vU0l z9uLtaSL5dp-6qyg@{a<KK`+uykW2A{h+>oeC;7*KJkZN^(W*}a*j<j31Yl*c;ImLw zeROuaPTM(sw~3c}Zg(F@^-XW(cB)@V_IxPX{X;qRlcQ8*t@h--py$1RvyE>$t2L76 zyhXdeDW_&QO7YifbKVPD{yO_8t5aPudG3~I_gm#u14pUg8tuNjL4IFmAEkGy%O%g5 zigw2<ryg^Z;;MN>^<InWp9SW3?&u_MJ`|;nDo++WwrbTrGPt+a)-#KJ*}0>XylE#& z%~PIKb8I!MdE|C?&GqwtEWMx;qMuBR5GBgZH=aG;t!aLx!u)HH)vZ)W`a|c8%(*x3 zr2Z+irT=wi?0oztn2#tU(l}Z2LDQ6@LP_y~icK^np4<77-LwvpjmRm|C{yx*Zpcw_ zSo?-c^m-IW-;Rhj)nU5TEqYyF;o=qBFQtF=X+FI8qV{Pn-;c+C00o-1XZQIleNDHg z^?IT;QZs|jJ@<5x`Znt$5?`o2X&1eIYVmJvbDZO;8|!w{tzFfUe0>jSPd_P%Xj=z; zjSD`LOPfY<7OQBhbtH22Dceo!-HKjMs4)5cc+C;GjZ&}q%K-9uk<s%**1U()uPgb6 zurz%|xnNCA1a+H#_i~`4ED)GEL%aEG(B$L|elP8RZ;iVTpr5B{e|P*m9Xn{kSsZ`- z2LH)inT!7nFoE;M?@947uShY1wP}kF$o(_;f=@_;_<s(phaGNJIuyTuWv<`mUqNR9 zi*mvLt;hykpnvKqSby_RIsI$6e^JHiAnL~Zpe^Oa*>^U7*Oq5t7O5ns`%87vE(6v{ z!}e(Ua#EBr>K2Ajt;7hbuD6G%w7k_y9KM0pTuz!iXCH~NuT^5GSJ&G>L|WbgM9QzD z%|=A9MyTgt{#qp{79>3cO>h~Y;C#`9*ySWwC3{JXOhU_BGqGyO#{G`^+sgxuCt=SU zmdiOPB_mjW=Z)JQ^Fz(?J$nft5nocT7aW9I8EQ`M+4~!mH`JWbvxflX4L8U3>^%Xw z3^nKVM74vmd`Vqi?bfIYU(#2vb_di7r2W`*&6KQt{YK6q)g6*Y7BNa#Ky3#UI7&Q9 zu&Umf^#Uxa?BvC)+}zPTl+EO;h7LvXe(-8{LFM^>wsW$iXYKpPDi1d<HzZ+d!Ndon zfr91PV29w*Kv9So#{S``Nj0=QR3w{uwmR%Kgd>}2TFofI<n~0xf^^F;&wHY<AkuL2 zMc0kB$(kv7(9~F<^Q>3Fw8{=8hHksep}GU{SScc`J8w92j=7*08<#5+F!A92hof$y z5Nk|-{!C4~oIM6?9X#rG0`dfG9W?4D08zu_|EMugBTV`NJG|gGP_Q9rZqFVHblcZ| zw#Go#9*()()uTV)w4rrm+@V@*&a2>u%8o+JO7Tdf^F~^y#5+O@tH$XVqQe`P0ZE!5 zbVJiJAR!b14h$Nd5`!dw10Rk~2~$pCCZ}tE+LZ_$zSur5xETuRi|z7)JE7ctv0uI5 zmZ)f7>_;!SEs8k|z2ds@WwHjYIC44(3sBoR5jYAz;n(Of^<We(0LcWq1&_9iLF&M6 zL8I-$kmq2xhokKx5ER($!Dzc6Bo|!3!bKsi-~tvd1c?C`u<#R*x8MR6E&xdf1A<3? z4a6XEU_j8QfiUD97{I!|VW*9PiBm})Q!KmJX(MD&!Y|1K9yDs}VdX%vR*j4XT^eGr zZjJ^$>UB)iV$HrhK^YS@SF?Yf;D?Etuh~ES(uN|Yx<mEowV`;c?gV;Bml3R;H{3dP zuA>1>%k2r8x6y#cWrJi*D>Rjr#8s7lNTB2EPa-?It{W#OYqSKHk9JCMfi{Gy7s`gu zeW(#j*0e@HJNyz`4O$-_)B8}PB}DPTB(W(+npCISlp8(qnsP>Y4wnJ$j3(oBZlHTv z2zzy^L-~adHAFGW5zG<?XrmXWa|<2v#7pf2<s9ag(MnA}jZm9%M?sO0L>UEtqn`R= zen11{3156M)6jY$$_Wfxg|}t3Xz6e+Wz-mDJM7y<KaSI}LaQ|8_jc;Ipj8_4Ss_i( zMb7;yojSJYv#c7YV~!T8@V2cMEx9dKxu_fntc}Tg58|ruHmmNlSNcSsutx<CDb7K| z1bWIayu?eggeG6iBJ^cqXg+v<r7?dzA+!zb6bu|s4vhxCckU1Ka4E5Os#qj=xV*EM ztne-cB@g@d(*2YOLYVp_VsL#!zV6YmY7dvDd=jqtI@+RQal^x<!d|Sx+oAep+3;(M z<9ULJZ|E#EOps!Msb_V3u=5vj?!V!o;-J(`pSXb%@y!YbD&d+f(8|PrO9}*e-_Re> zm&vSs{&*MVek*-hX~d!v8VuY?2t|Wi9st8dC^{Hu5HK=16bsf328Ih#I5E(Nz{teV zLa=TSFkF;!76W|%j7$<K8_uINWq`EGF|x$kcu+$LhMid30&*xHPN6gvf`}y;SZjW8 zD}BPO%1K1>1AW2?r8`uAwg6p~C*=9Ae4$I7BDnajbkI?vlmJZFB6RgU0qDEZMV~N1 zMGi0Z(<fX|J40o&(ABd9rZ0UCx*<k6jA1+kmgAbO(E85(Q68iULd6<XW(mD`vqQ$t z?<jHoPPL2;#js-0$OC-~a)B6}04g8Wc|wUmfFMH>IJ%TF0gTyyScJ-)BIsZ^9|E}& zF|KH4WByJu#t02-%4hF{+M!{rb|U?6aAYuWQ-tD<*+?R;UkC&$9Nl>3;n|cw*9mn- zt2_4xc>s|FpNd6Lb-o?s1TpwI$huTBopJ??Djt^Tpi9XUF8T5<LQ_vr95H+|&{P4+ z70k0BV0<#Y6>QRflpmjfu|dZ+<$uDBy(1X0u3{BkEV#_kdG8_6JDFYszSNjsi-TT6 z|8(wu?SU;LXjCj7uXeSCC=!F4L6zm2PbpWdP+CK8zR_8a<2k-ov(OuY6cx<bhd_m7 zdOcXUF+T$bwLz~r_a}N_-w_%g0n>gF*2Oy}ZTw`2>ps<glMWD7VsIM>P!h@-d_D+J z7Ai*!t^ff_Lyr*G&sFQ&Qyx?-zVLv(CAd5H%i<Vz=z~!w(_e#w8}s86=}q8gl!y`# zwM;XIa>Wd_IrK)Pa`D9^G+%K<EGh3B-C2%6^i}x*%|AoX@>Q9J<|`8#eDiR0=W~Q` z-@MOs=Tn4#P2ao^bUkwvU`QvQ67d#fP!=jhTt8ABb&CS3SX}d9q6n-+&*2!i(1lI; z-AVK;@cJBG@2gY)`jMS$)vR$WCed5K-oZdL2wsATqeP^F;ANOsl!*F+jfN;OI1vOd z4?Rl^t_E>jgF-|q79Bm_59#DlB8ouwF*oL*`KRrFftVZf(0o-wCBsvB7Mg#SwUNma zs$9eyM}0GJtLl0Rt;w)IwCzwh3y`><++HW$UdVp9;ceo#fdaFXdx1(pmg!riu2IM5 zROAD02$g=^74OQih%<j%b;01-+A|?7`NUrCbx-k1r6AAr61P`?Pmin;CDX6Q9uUhd zoD1ZClKugo3vx*xX+6-?2ocXFK6|>Y{yaOg@vQk{8#R{mt&oF4qMG!8;v=KPEc00< zvpT+fhuJc@HH_>SXaD)?Gv<%y640^US)hEmw$Q6P(<kL$$|q8#Y((|YIF=yNEaYhZ zt=S~GwOCmlPHV+#4f9=c!td#0@~YNC2D)#5&pdKY>`BL|rIJth-J1O_w^lCOd_*#< zQO<mKA)%tf)51AdE-L(L!?caOs)Z0-+oStVtdQ8*L<8voSx_a8!+ciFjCP!GJ}4@E zYg`Z;gR#iXmgAnF)P+}>CI)9*9TSAb+_o5zv+0+%6pf6}{X{xqCdhv!ndI^$igvbt zeU<u6QeeZ()-2ZNH)XP~wcHh62h~Vm0juA}iF7mezYc)DzE*2zc-_+jAb|QUr+{u& z`wxK7*ShWqulxHS!0I=rv<<UD%LAaOueIA7Uf2E)fc#DJF{_X32S9UQYYPkbs)7I6 z+SiKlhHKytIQC%+FyKxh`YfD^X__2=ZT#5VH{@4lV5qD86)%@-#AVM(vOXCia}CNK zDDg5_SfhFTdJR%J_FlztiPDQc9!WIl<XzN+RqoG#2J?*~FTB`GBWrt3=FJzb-8t!A zq?>80^)5BNDzT!q$H!VO`k<3&;7J^+Q1a+^(#x~D3m3`uJqTOnSXK02l`~d<{q>=> zq0Qr$zbv#ImzcWfqtk<!QTkpUkx|DNplTi7a=Ru?Emo%aID>eRES*eKoU~J!yT=G= zP{~k<K3O;Ch3C#Tk_5j4%vg<lozO$9Uj8dg>>hqJ+sMI%Q`-MU)GICj@vdH>rGOlH zNs&uFLf;TZYOxEAe;MF%S~1~&o<7avD(S$qlQhYDcuKZWfy+C<538B6Cc(=j|BSmk zcRA6?C+m3c;cLWxa?R#^?p;si2TmOEvI+TXRCIsdwQZ_}hhSWNyee{Qk2F9pRIKN% zYT1sO=wO)yz7dzl@X%niby5~vO9nN2#l`w>5C12m4kFxv2zWPQ{~=(1k<#>C^Y(dy z(1`zISXdCReG<oqfO!hK7E*r;b+XE7i}CZvv)dx$Y$Lsofqn`g1W5RfyYtkxD{QCb zrD|oHO~yViDliK&^m|Ftomkt(bRK-^Fm<#gmA*oeXl!Mej$lxM0AkYou-3;4cUX8R z8srL-UG^n3G*D~~G)j(tQ!dW!=?9u5`pW#9)&mVUA>5nz>p=6ewhidAnahHmx)`fJ zbbcPdSwXP0jU`<?CQD?^`pPxwr|{~wou+%$XZG@nj_zd8Nkq+5k+rl7*G2#+s(wWx zDgo|({$rFkY%`44>)P(Rx!{H*r6n7}t28@hnpEZHICZ!}lSSHpI`=Wk9aiqrZzs54 zW)sK!##sHyC{Ef^NItWyKnUk2hS=lU%}%%*)U>s<@U@-Ut41E(iKdf!L)S&t)Q(*n z*<=lDZAo_mQ~wkGW#+^$HcDgy1Z3-{d#As)?UVER8;`tbU^jy=G{rCJHe2LNI&ef2 zbG{x}<qevOb&kz{@z6aK`Gzh%3m!f};afgW*fb=T6^-2Zkbf7()gq(X8OFJBgcA9L zvUtl+>`q#3TdcDf(xZiK9LHFt>Trutc>Fw_r#!KY8(}M<uCPKAMkI<VN7PtkC34aW zrqIl(Q`siC!AH^U&>W1Qr&KjKPOVi`_mv06VLKVA!B$~^2XkXZ2uDF0*I9EDSk>m~ zOgTt?WFB351-y8Wd<cqqj%d5U3hC+vGi$zKdJvMEqWdva6UqviJ;#t)9NxS@Y+_M# z-oZaHWR@g04}?S%Rf>o^7)zT6ECoV7=)tX~t=1*DfYrlJ3_WjNf_Xq(L{YC3X$M4o zPndTjr{O_=59cYmB+T!FL0f~S?pI{>vjz?O#<&qm$~+jfEFnCJf}*iP0u<|;uLXT# z+b);qc&L#4Cf=Vy)C-v`T|l<z9si5hEl+VzdA_Lb)=FfSScsDH(y)fh`p6(~<3XBk zb9caMb$R6Stc-3`H}@uJRfgr_Tdn>EtPf9fYU!?jU#-SO`pwHYHFhto23c;73;|y@ zc6Y5-BO{d`rqM~B$jIw0-ERsWZ|%<Z(;dzQw||6B+G?H4S8GtW=AWwH;$fa#YAKj0 zSnFQg=Im4`(YEO{j}R<MZXbfBgzZSI?Ct-xsXYPY3r^!|={~(0R23=pLx!ul8%CO& zTn&1E5;-vlTwRsfY3Ytytxk(vUXj_~$!foctv|OlMQ8B0-ka)a$NNt1xP9SKdAb?( zm3i^}X3x6`wTy@D*Z-F{O5bdfhzuq2PK7FJ!GXEZzEi2wzU9Oep<UQ4*c_T-?n9S2 zJBL?GA-E^4J`TIsy$erQ<F~u_Yby7$nRds2?W)_8j1>89s)IVC6`z%8|JB){_^eF4 zX!h^ck}FblrGzMbpw#<`nRD}eX6M7TEt)>re3eeUwfZ3QVSHhx?*9m)PyP0S-B#Q$ zzI_+l+)QUwZrKJn?8df{lP37L1KaOa_Oy$JDel?qJ9uuk?+q81M+h=A8B<~d@ac1Z zYpHF#Q@7XhlQwTZM$DRs07|z&oeGNjp|d@9K^b+s^L1V6VZ(ZUEnd9_x|@Gn`b3D| zyzuyb*;aN)2y>uwc&UACyDdV8k@sf)TJ3D%_krZ{56lmm(4~99OZ}EwN~<aWaX!Tt z-%zHZR&-?_`w0tsL`iG|y5#{EkAq`>i@(y0M98mt%u9bR?graBw0jWOXno{(OiZM0 zPyOHb`h~Wmw6h9ci!yDEQ1H0D!;DBW71925kCOo*S0dWu_9PiR<bI?<?A{56FgXor z5VI%1U?*=P_ha^i8T{laBs_Lcgds*QK=M@g(Gi3A^JHnH5+WEsk9Q=e;>V4D!=LmS zQV)@Mp^@YYd=}mXp=%NzGs)L10X;<1$48n_Ik0N9C_FoIGhXHh>=5k^nH?E#2si?} zK)Xp6Mx@2-^D#gNZ)u<9^8@*zsx%vXu@T@H><De<;C(JPkZa7a|5-i<P?%vyK8YVh z78_YV&1VCigbLBFl8@o%kYYxEX|XaqKu)Lz?Hu_9UKSB=QoshgNHZpL;1!GtUJ)NY z;t%Uop8;PW8oKdfg&2xt3L-F?4;dKKCd2S2iy_^fM9DC&kiC%w(NPkN^JE2N!ILN+ zMiAKwFNp-iMxFR4|2fot3@ZT@p~>R;O$?4OPtn-%n<i6SFg2Pae$#M&iWeqE%fp8o zPVvDcX=r?<NjoP~k*0)KGig7<<fFCVsYdPm%)_*JJirKkTtzIFH@P9JCkyDS>TsEY z?)v#8;8~j><5}xV$h$i{o7v5}UsK=kDxdR#1%gXOwg6%#Zbx9JX&m@PlL9W7YTZ+P zE`}d@9&d>dGb!MJou!?B#P=X7<J#Du=V|l!Y$G>rn7M1uu=n2Iw{x>@Pt@EKX@I}I zS$c6d%^t6UbTZ_^_abwQ0*_%iLTt%r@#BWshGHgK&jOB+1fap>Gh|LY9jO%?z)#|X zLddts^>}}TlSv>4Rv{$t;5E1r^*Biwsz8>(laQNFCZ(ZT<URa<Z9EgPW4swXsaZL{ zo)ihc90W*<+4Fz@<s3TL$JnA~5C==*F2nh+HVGZl{0e_t=5Il=sxp_^DE%~{(5b2k zQtDtF+enSaO2WPn98mp0N**~GqwfKma||?dD_T(`H00CmX&m6d>b7;1R-sxiYpWgE z50z!SMP-8w9uX*i1KFOs$@D^RtSIa>!4_4ZM;RttR^{OsqqVMyZCgvH2`%~bhcqvT z@aoBRRQ6jZ67?KmC!g*SQobXNV|=J}O$Z2`vO@6#DcfZG&GBUV3vZwpX{oIo1c)Tm z4xm?+IvKdy{6rS(8p;|-`N?ACbuzxy<|naS<|s8i%9jIwVIuv#H&BozG)7hGQPx<l zE7ffqC@W1u^!AfEd#&+NNA}b_`RS1>fCn1q0$!xHz4d+lm(brTM{ZnKd0oqFjX7tk z-JB|pbeC5Ds2++tnnM(n74JIQoAf?Q=auYuJ(?62@26`~?*(Zvw+(yH=+`qG&|+CH zy%d<Sv3jR}qJ2eXH0)iwl|*N(?CxTtb-naLV8+Y!J2DN|2D)+Dj0*|+$KUeDl0TjL z5A)w(YD)~n8`0baVB%K+od0h!UtOiCn-~6*>q+wz8Zf&l`QM=bPnx{K17_=E|LwH> zA2dDJSy$SZtbhFsL!5dIV0tO#>}rPF1)~W=(iM4s9o3u8s;i0qbgJ}A9Bjcf_Sv(} zE73Q8BaS1qr0q`Q*`6+(MK(y)<r(q-6RbDuV|9*&)Np07L%WUgICMH=Yo1Jo-V}>I zs}uqf0?#2VqKh606a=7So4GZHW4B@}gYg?|R_mu!JD^;l!amK$@n?~|d}Bt4lQOvC z$RQ(tU2cs*7R_J*vC7u_n?*C_q6_%c8=J`?t@y^iz&>xD(kIRFEuF_p@y`Zs#>Iw- zl5ZYZ?_#0HLS7liD{Rs-W5Wc=5BO*E^TTciMyLKdwKv_b?c)%gN@K;V<y(@)+i*)~ z#pMUzbc<eq3KXnHaM6W*^10R;B0G$3v-NI&p4tmj(<x~;G*b$>D+K*w)N(B3IoH}x z*D(Aln>58}x5F(6FT*CiXyhE@AYE5%*d57r+_>^e2^b-)$I3}_tjyn;3(C}=Z?7mc zNbyS15QfPo-o(ml>phbZBHQtQH(&^u@biSc;kst9H6aeYiWmg`wa@K-94$n?PNkoJ zU{0Ii@V?P~zb119LqNyTf<D#Z?~)M_+?qir?_4lV{jJhk_;@nw&INI$ijrMG0`Y;f z`L?Hec+q;$T;th?gVSdvv^LTktFyaOf;9sC&~K}7iT66!>jo_(v%in^{s=_%DmN(Q zS5{nJWv<(o-s=qBN2({eFS;voTbvnxxTRZm_VRZ8{zye*)R*?rlIGiM1B{USTvbSa zUwvzTo#IEXHkrlwj=$4Je>z5m>69k6{$O4YsqxdbulMq8kXE~<eY-xigtXB2yLe}O zqKT;iA3rfVmAJQ8^tFEOu2aNHea4S{)!+M6w(wi@29*$RPx$zkRgKj^-Ojv!eWw55 zj|l5@e`!dARknY3uv2EsB>wW78wSGXKb#5g5MjEXAZH-OjMYkpx2?^zhL`KA$|o$o zxFlYK-#y9DAlF*#DpkCPcHY*o-^BArlH-B2Pif=)1_1`Ujm%$j8>~h@u(0)Q3}pV~ zwI=J3<X^RU|LL&^)BNZe>USuA6#u{g8Uj!AoRjVO`8$(HG^Fc%Ug>-=p~n|*wz)$O zH7IPQvnv@CmT;O3rG&ve9`ZwXILX3Qix~*(w1W2^VAnIe1+&^0U#%j|_gbC>clx8v zn&)O^^Q72glyJc7q(QpE^VD=PsM(hcA+s-D(9GwXxuF5boeN3<$UVD%?(CsS#m@bh zH8v_wm$0W_MZAmZ$d8b^z2aG~$2zq8Ws>v!o|t`D)9&UmtF2EzsAItX$d~IJId2WV z9b4lMHdQ&>Fx_P1rks3pz}9j$=kQS%JCneLJ?B@;A#d`p-{^ol+QqozEID#2M!tNw zalYfitb9!GO~2Ds8I~7+o<FL-b4kSMke~apXM$=%elPbv^31x;kTv#aM>S)5Hwf}# z%n^rVFFhs7#`KMoPc<V;6e2<AL1l3u$GZ4(oJFMlHCj)FKzY9R7n|*_kGo9{t0x>3 z!_#{TBIh-}V<Yz_#!-(v=X7pVF?p7>I|%t1x+jL#-1dfr>0#S{fdc!O_4V(WEz}YC zj6G$HkD{X{Cn{F~*!$vTG8F?~tU=BjwD@g_sa{#wZ^@QgS<uLJ5OQ(NopW<t9t5?$ zec}IocM@Y*ubJ+@?ls<r8JFm(zjW8Ba?F1<|6wfCQaHTP`OG+!5HRkrNA-h`N7eYh zZTCm`<xB^TyVa+EY&P(w`_JwAbA7I_-mCAZ@qgaFVmNwvZ0hpW`jp=d(sJXbjB&n~ z{<6FNCSK#p@bL?ymnWve68v;=Q<t6VdDk0K{`NOv`pbHaUx$yM8@>E#>N2?AYO^8b zh5z8Lzo*x@9eiAS^m6CaW!HMEjRvc~{WEs`g}uf-0+K6#-Wg+WfMS$VJMoLSh=+;G zcN6n(zMM7$PI2VlkA8DBq~5si@7R<Hvq5<n-$N}Ez3x~x!<u5UhrbdQqy&>iTT8fj z^*+l#3Oo$eqiK_?@tz1Xle8F_V?Z{jDD45+1QBn<|1|#?kR7T+(;%1PZ4nA4)-kTf zfw=d*KN~@Ry;T#v-!Tql^U(Ki+Sf~W*e<z5(g}nXXmG`Dx%r;3sbrAYqy5bb4l8pc z$>uK7Qc)cN%^g~9Oe(wCpg8nzF}2!u7+ns<?R7K5rA`jp4u!iS#w#2%{V`vNx~nU# z;?%y=U$ka&X$Gzndy{9I8$$!lH{H(8v|oR?-<c<W2T{u$v+NLqba1U3m*#;i^(@sg z>qRMk{fglZ?$WJpiw@fnmp7}LRqLH7onbklnC^IADL~npPI(svB~e-H+uHa4xN&C1 zSMLflt-#a*Yc0!{0oVNMCQP_|yI$z}Dl)gd=dyuv-3f{@=H42+F;BIsE#$1hi6b5^ z6@=q|Q35`ygj<-R?CBeFy|`v$^nLlpozE{lTuKQ;LK8uEd8%KQYQ8@be)7H|;UVU1 z_H^GFD;yX}cqcSr_3kRFcIXW#MmOO^%jR>iut)iA{0P>2NCM!5jx}8c77`jqd?htb zP^2(jT?9hMf`=of3unKB_zM+0EFtaWWyD^8-DeB2^9wz?lpNXymh~+6ta=R+E73et z|25XQTJ;v>k>BkjbX+qCZQ@aG`?n}X2=lDdKKqnB;k_?!ior2P!QXJmC+p9v?{zz| zA9ErLB6#iw!Y`jWTkvH5{Z7O3j9UMj{J!>(eIZI<|C<*F)`@b2I{Nj`UCT}W>Rb7L zno2G^>a81>{Ok>$b&o8Q&6Y|4SkInDU}OK^Yi`aN3edWs%yeGUGqvyJ_G)KVEfDgo zWsg(=h8kRdtvB};swY6<TJpy&jl8noW2YZWp--PWi93hI=j7>~H<zrR;C%E>s5Tw9 z_;c6)iP<^zOXiO=`ldJ^H40ry$2A;(IQeZnpy^wxdF{NvF31<jCsGHu#}Dz}_V7*L zI(^{mu()n6WKgu;a9IDZ#4qNv#UXo_KbT~`%9M+`u^7ny7$GE_fonO4#HFNu+PpQp zZz^K<kbIXy;^yGCZW{kB59jo)Q+e&{TYDDIt%LwY>)|qh65K1fH5(oH;u<r5Q#?;j z|HdL!udPz3Fde7xb2qPh)5ha&`j*ClXwu^OUqS`%S)wV+#2NG1<dD6-IrdC#IrGP_ zSv|Fa6Wz^c%MYB9is~BXkMs7rFZepCJ%1Q@YdgDjN9U1yX6<+R?Lylwp2A%D{qG$N zg}YUIU&cD1+xdl&)cxvM`r`0^fZ$YPYU<WHg`5y*XJ*!l_N>~=X>6Hz`yb_hSJ~Bj zT<JI967c_lB21c}@(pjg_Fu~OcH-*qml)E#=>NKs!s<rFx1~p=`QKNOlyB~7|J~f< z&ze)q%9e?}+73n~woPoOpOe!5i<6?lMKRd?Q?380=zprUKh?&c>i3_2iuR}aqnrAp zoBE@h`lFlrqnrApoBE@h`lFlrqjUSCbNi!n`=fLFqjUSCbNi!nW9j@os@h5)ySH8g zv-4dU3Y((uKdjoD)bWT*XZ`IgB?u-_5(JImRc$GLErWo2flA{68!cKEUgQ3I4|WMP zE23~G-O;e@b~M(n<<nGuJ&Q$xPhJcUW`jGK){|Vi@4yDXkCA1s(ge>ijtau!67-F) z0#3h86BsZ{_z(BL&Aoc<e@OXv?oK3oCVxNo&lo#G1KswRf0g=Q<1UmFgw>U${41FM zr`$=x17<<z3)3zL!ZI^2GL8zz(yc76fZ)NbU!d)M8t)$I)e~-imNO4{j{RsX-Q`5H z(lPg{w(qf9A>t_NlF|H=3!ru^m)BwUswm5+0anK1sK5oI$2!LeTAQc#ro~bGdI^?K zIvAqdr1ZE8ps7E?z<HzH4s}p_7FW<QmJ^H|&k*3Gj6V`6;ESdE0~6Y%IVqNZss?*< z{f~SWsV}xs;W(jx;ZM}5uGq#S;wUv+a-UpW2jk!k2#eAYyEV=lhZFy7V0;H7m7DZ} z)nx(wls+p?4=}+%o0AeOgOmSo2lh1l!zq?-MK8fR8-N0wx@k@Q`L^`8?%d%4?2)A+ zd@m?w+`}g@I_%de<|mwbU7DdT^d>EKO2lM`dx#YK7O<OtDn4e7$ZI9qvb-`T>>Nuo z*ekMRg7}ylA`dI;a)gw}x=g#TF9vdiWeZa*uYdp^ReSgRz2TRaiglbgDgC9=D5`9b zX94^&XrG$Wx2duPH_!>pxr)Apfz#u6?Ra%1d&iZzbpdG4C8oN;h@Qi}7t~76k1&5} z;Z+Ld)dRPG#Xw!_jm;+py-{s`k$5<t)=XPb>aoq?(CdwrWu70IFLcgM05%$2PWA8H zlpb7Ww=BHBVYW6908v1$zscJLbug)ni8{iV!D;LuzL!{ss}va$Oe$lel+}A(UB2Gm zz`WXa<j3+sd1=1n+xRp?gWOm5riwEMmm$Au(SN?g{<NmCfAh5F>&}vHsLI%Bs`d3+ zs)IxdMlUXQm|goU+LF}<OIX#m6a-0!F%ND0Iz=-X8D~5S{M~nKBOU7~9n)x_j+rdZ zw59ON6%n{HANs;z9=_Ou@T1Uk`488;BR5o2?nTZPe<r9SCL1!{hJfPKUTIrj-O0^! zDGOl}&6QoVf2f)1uGRMg$J0L9A9m_Jol3GOjF5>3viXT{=`eW0Qa$+DBjY|v-wr6H zJ3P`}UD@o8+EAW<&IGqMu`V~+dzo3f#iU<r;56t4!)p2qciw-?e7)j`xisGL*&Qa9 zH@fOZJQVgzjsMO*SL$y|+s@=Yv*UNnypdzVeT3l4e+9%-I!$^u-QU)mYS0Y^>yOj? z#)k_cCQpqsh5~{EQC6Yfw5II3AFn6rEaYSp)u&ofsoM)mlvjamZ&}8B7VPHAg1rt5 zFw2lX5P(=l=Yi)y`SifJ$uf8k3^$e$dSE!Q49x?>g=KtYMQ2TTTZE_ddM_9GwWn~O zktDL<f3I&^o8L`7ot2VUHUFkXeTxT*qbCIVwXB6o8n`%~T$tK@ZZOq)Y>||Ma4=Mh zcjbi1(?sx=NVWL3Ki~QV#<htv&X9SKYERk@GoWM&qVj1QC&QPVg?BItjMEpp)VpaI z$m*tjx>qYOVKIjkvA12X*RSiaC(^5Bu*h<<e?Tqe;q8T-UrXS_SSjd98cS=a_Owlk zagogGD!NUO;X(fMK?sABOhH!0wuv$%$mK`}W4Elwn{@P?;v%6w_s--KJDBh@!fGPd z@NRj*`1r$^ZRhJ30{^;xf#`aSG-q`|*DpxJC%i$cV;Lnsusr25d8D?sFZJI3s-L9G ze>{$Gh2@j>kMPQX2fg24`?}x=M??re3ki&I{huBd2UZYjqK9w&oMRU?Mgsg5Zx%Sq zLACYhb)cBK{?I>9So0-);2BrI=@_9Y5$ZdQAce9}X@xAU#L#pw@!_qALfyWSP+o;B zfG`9Jb_l+;ZBu>29wN_TB!nh`9fEE}e_&hW)4@vGrLBD=f$Qk`Tsv1K0@7Dy?%i*R z@C;Q<#gBKteXIE#DBL#`D}^XWF)tt9+7_%%wWB<|p=h8?u<>>2GM|sfy(uTW&$WxX ziHh)b`Di}Rh0_6}ldqIMZU=RjgbFHTQH3cWj4CS_)<e3Oz_Avs_G@yz;n-zFe^AKu zcmhvaa0XFq+evF{9g{<O+GX`+zjPgQ&0^w}Tu57ew2+qEvAjxjC5~=xya>^<oo$vw zu4A5BIOiO|`9j14*h(oVG#$S^mMNr^5!Xi)Jr{KQpxGX35q#|*MkXkqMc=TNe<{zR zGffiz!P~3Ai5x_Q+j`tTpt)r=f9jc&AFrrs;`R@J7H0(fOUGthy6f)sXpEE>-e}u7 zS+C_}ms#V3%sAy`XongRsec>GYjfg+5x&}CxdhZb+3DIn8QJ+zk5W=R8cBsMW3vPM z<uWENMXq6~O_S8-w$0xKTiWg33QAwTe*N0JF4qeab*WqDaC!5_0-~v@e~DRYx&a@W z7^-^^k9-f{)X>z_?cOf^1dTrj14u2tPff#mUj5lJt*sUMcu88;a*DJ2O6lAsDyW8L z^&mG-M}ITb=6z-C9BOFoTKQaUSY9n*Kl5IQzpsRLE!_jON!WMs^(*(UYZ0H)puY{v zgZsHq#wLnM2picqFCkOze|E$LLXSLi{xA5Ik8a%XD?@I48`-hDUZZY&zp|OTUI6%L zkJ|<<o5b{TfRA>%h5gP>X7upwJv<E`opigr(?dYNX@0PnAFw`#Ns_reP`JM5S{Lvj zV`|zSI~Y?d`i3-7xW0rAFQeV1kN}O>%fUsYuU0^v&7d?xB648Ne`hiB>-NivN_uFU zpHCg39}Qf6tTapzUC|pt_ezfpX;hNrNnn7#mZ~OZJ*|~ErKL#=$4?o<*_oO&2fUjx z{4nzh%^MFlgmW{G(Yo=X3|TTi(%?xHfB__jBjNFTybK4j8v_1p?-;|33_y&}1-Tw- zKG`fy<HpM(#o}cSe*>k!hWxQI+`uEy2=Y84`>87@^gh`JZ)v1<411(5PKF<Qn8v_U zi~^5h1!+gfDM$t5KsM}onjE<op%tHh9LT}AKsG`0N9VJ{E*yO4W!(Qv{}2>To<nTL zPKrSRWGr$sCZ7YVK$F3@m{2)k%Cri6iy`$mR+yHG*EI~}f5%GK#Y~Da#L4~$Z{z+a zlM?@Ue~CsE8v}S@C+eQ*3o(w8Kb4P3Pg+8zUp>&&b1-r{2IHX3<B5h-$6>NGUOdsb z{TNe^7L4yVHehFJ&}{M6#s-I(+B8pmprHXbYo%>R?sG7$$#BDcX@)yF9s!7pl4b<r zuh86(fG2xAe>5h3(`bqZ#!u5D6A{#BZ9M<wNEUmt)Bk<$N;bkRF6uBth1`IgijERv zrFoN;?>zyAY_TqXaIuniU4DgfBUD_9f6xj~G;wnnUX>~x>cx)e@PuDuDrjiZbBI#T z*)wW=fBuJ|7SSK4&kj|k{lpg=6>!7O)6U}+ObU*`f6ma3;j;}T$;n8ym^J|h8+jes zU+x>e;Rw7NDzhrn8HG-ptx3c1S9EM8>*KM=NTX~-r19pHRX!4Lvr-5rRy;(E+=tIY z=o+hWV~>V#k)I;PVq68F0wEmD$AJRSLm_Z-6dr~oK6RCbYLagw9im-NLgmRKNHdd4 zb|4?rf1iv&I3c=?)1qC4p($ly1rEEEOY49%i(*V0o=a!A!uCPHXrC3Sxa50jz}TfX z0RuWKAm-KKq2l{DTW&~$-9r27VRvgM7Yxp)`eNuo^|rYy=+7Hrl$Rk(cxRo_6#Ycg zz-^nI@8$tCFAeBmny6wP+0^Y?q1JAR$<HHNe+(T7?5bJv%hd3~z_$aCsG-u3TuGQ@ ziYvWP^}Q#F+~Pw^0)(na&zVk{6=pL_B7gd5F-}Whf0zL+&6)<r2guh9mTrF!pxw}b zcBC;fQ$q^F3kTMthAKniB(Y*C`Rj#;-+v;JnLacWAj>koX@F~he9}O|PG=}Z|GBC4 zf6l=4_tOD18x82!v}Z3D8egQCS~FgplU_Cjm=!AQ`ds~aN{gW(0bDhcwd86Z@E#zm z8-Qyx##8juOw$+xCEpJR(5`7f--T+Fa%-QhcRfDw?#iK5Q7&z8*%Qy1KCIr9j|f&c z{ZReQ-yX%PwJ-BXcAt(;iQb7@<q)4~e_?x$M<0>}dBn-&X@x@EnlUqUjCsI{XTxPw zTm5UNoo{FrSGVyAG)$<{Dh%S*39+3R6I&Y-4Om&<3Tp^~6m&ssNn=Fka%9@L1o;yi z420n4p}9xvE92ui7*&P<(f@G%cbskiwd-Hx{kw6VCC_a<`6rIKmLss^sKWn>fA=qn z|9j2>pb>z5>A%nU-|j4QiqQ{9#m8IWyHx}kRnhnF?q#_BwAWI3`m=jaW0YDeJ3&i~ z#=!6Hk5OwL?ZbL=M8k^|WCsOaxo#G{=%d+PfMvaz{8c2M`}5~#Tu$U*&dZP@?=yo( zUrqildY}7q^s|OkQ3LjV<|UHgfAxZ*A};Lx``*o$F0L)e{4Vm!{aNuD#|t0Hc^O*N zA}b7tX^$Ly*r%cPO5faS|5wp`-p_ni(4Ar}^z`*j)3X6v?XCCgE|J7uZwc&<=h%l7 z?Zp0EAEG5_p?_S5Cth!DGQ-~Y@`j$exHbt~uqxLcbdwdPlIAAs$}Y`!f6yGER@RRO zefww)pK%cA_Z<81A}(2Bt=;p1;7_k*lCD2Kn!iz`nfG(%4&$OGaL&pz_b2s5qShu# zD<!lDe)a5R%VKM3=j*+buOCtKH;XX2KixWL*L<NJIfSqxxY^msiR4L*zSlB|*C&2u zx89fbhH6|~JN;-hhY(uSfBlx7)2i}O25mIsAWg$L^$&|2-sU*9`u^tc{y3@8`#PVe zC~ZyPG2wz$c+sM)?aJw`ckW|juU!+aV|e$=+~3SxS2Haddj=o4&>K>eUC1o0pDEC~ zKCV4zIgng?k5T77MtJR-k{Y5pNbJar-~=@fNY6)JGuvJoithMse-*Hl*)AhF{pdle zU#PxIk1gp122B0N77$WhJ^<mE&{mr6in?vnqogTYIW&Ptw+xXPw95(mHMs92US_t) zK6bSr;nF7GYz0a*>!H(dSG(<#JZWz=P;4I0UH^`IJIXPy!oB%^T{)%wt}U!2Qe*D{ z#DoIbTd8*uMQ>}3e-=IR&u?i1%u!mp(adRr=@2pX0emO&v7}iuW@=V*g%FiOOnnGx z$3e_mFa>j%NYcvQ55m>sy6t|X^P&<{&HRmA=N{AcNCoqD^gw*O@je?f*u}vh{V@sp zekJ?9^zMu`yzJ~|0te~HN<K&a!pMU+gYNelpH=@UBNP9uf9#2d|Nb>fdTYDqIYb>< zm_opx?r|^{n^zwfjF<F(Qlj(AiM9u}=B90aYaXuqfG2cVYlE0iJk#6Zp1YTuiB3PQ z8d7RMUS`~1DT80HL>9q6VlWWroXGi1{UG8(roqj}fIY?XnB9hnIydd-yLj#A%PVWx zoK@+fm5(onfB1~3SK|={AE&}lZ8s_VY@4OEP1dhca>OTxHULYLse8!P?k>!>r$xN| zcue00vNlgD)vtXfXw!P()tJE;Y}&8*$arNHlTd|G!&3c<Imgwim}6BccF_LO8$9&| z?mO?@DL0|S(Hs2XGm96NK)~<A)SqU3^(Mjom0Rp;f2#XOD`Q!Es{KWUq5a1*1cQCW z<&bty-Myn)8|K0EDt+V-R8}(kqdO_ZG_6#I05SW%b;=c3fpJvUp4c}t+fE(}oh+rb z>!dqb&x*E5OTTXA8J>FZwN~^s_Q6W;dz0OT8Y6}9z9qg7s~>8wXE;&Fgtj1v3LSGJ z06ofFe*x1q_dkL^qtVXoZM=EZLe+sW(}0zfNB%KRkwDk+5j0k`(kcW}*#*gI#5l}i ztVm-$Yh!W^8@F0n3v{HqD{FPe3LLD?{oMzvbNoM6=cY&gmJJ(zt(S+<K;cUNc|v|F zv8V~dKa2Spu)=)g4{6wNZN2;njg_qI4S`T`e~|G;Ov4<;pETyQ_U0iZunRKYgyGoE zB~CiEAEQd~%m71-$b62{l+ZQn5N!?RIIWaGy>Q>KqHomG9Y45Mj~Cx=Ayp0a=hSbu z*xyy`_)$^1v4IVLv?H?x4sIM6+gUYx#Q)FF7W_}oxDl+a#D6|p@IUV<SWmr@tjAw1 zf20`BlO7iz)tjPF&c%8l?WG52Ge0#Y!z0%Q^WIRX>pRz|>rqR4YUTNEtCS)$Q+P`Q zyq-U_e}fl2*rufszli4OcjC!!5Y-D*c{B<B)ry&pfOM=AV*Y1=E{CaCF}1l?pBz)+ z9Sp8U(_t}gxKO_9W|J~-XH+?txh0l2e<fSr?OFv39>0WC`Skkc-1*S`#lEQequvH7 z1Lu2(FXf+~d0wopgK2`kymTdZUc<Cl)1lw*a$29?E}6Da?|peE?o!SkKau~a5Z94J zX-kjp^pR@0Fy()niF&h<M&;XEqbpd`Gsj$4*YZGRKwiLFT=+{#e-Tr_Z@F-5f2}ts zO##1fVf<QSXP-|lepy}(sPh-c62(=(W4$SM;@ENVP{oxc)A6SRx+yl<-&Lc;_zN2( z3oG8|F{6Y{12s)amby&?sqVCUo<p^Dg_8b4rhyu!SPR|8fg*Pr)N`n~4l8NJT}YHD zjC#K^;Zu77icy!ic`KkULLB>De>}@_ym{cq1!$AHgxy$IN>SW^WeV$o_`25Endh#4 z&!4@#*EsOfoo4JQXW`T`FmwUhrY>RgX4Q1?Be|)|=kEPCl2-hM-jap#mQGCr1b5nX zPmMB-;goxE1A{5nm)?^#4Ze(ag_lWhiQ*Ewg@0~$Dz{q#2<Ml-uMU2rf6rW<D^&gB z);14`?tM>*nfo-fmKa{A<{~Ktc$cb%^+bNx>{yFh+WM-ilUc!+x_PUcI9cuUvuyg2 zR_Nn7={ySu&Tie(IVURlR`VI{$s5vB5oW~i^BrsUOIwq=&Dp9vsam$({F4K<A@?7& zzR;Q6NOgU088b&8T1yS5f1Q6l{YWLWl_Jfw5aa4DFP#HY#cwvB({?qNrbe1Ig}6Ux z&DGKWm1^{!CuZ*b&>AxQxN06iO06jMW;9Su+x0JLBwJ*_1HA$rV~&m7=|`%eaoo$J z9cz9|M@2?zW9D8D2`W~3b9N7w#)^zoSFnxWs{D`|T(Hv3ysuQ1e^*mKI-JijI^5?t zzU-S8v%K`yah!X3<ROr2sp~Y?Qm6{o68-<TF9E=Ob;5h<Un|{s`)}hNjNJ~yuFy2` zS|(FRVeB*vKG4{JpD9JF#rGQ;@G?)(GVs=h27F9$nj}8W*no{0NQN8j3o`(Cczl#N z<20EI=@!2y8N$bOe;{)q_Ss+tG#Gx<c#0j!&(QqG!K`h<3_kKWGBCDHgds|HK)OXo zon*-W`E-JZ;ZF`fIH8rF0VDGx4B|}r>fSr=bQ>9H%M|4xD&s5W4RyVP`08%;N2_vs z%+s6ji-rZfFj3lTytiThQ+;WM2H6&=_EevPahIHNa7@o(e}<3`J4~H+4KHTwb_k{) zqQW(H=}YuW%9Wc`iRbNPc9LYXSje$vg%E!7DxQRBG4{qM8-+)&9w!}ZmZiPLCmV)8 zaTSN2CUYRUj2sX>cy=V0;b#0Q7fB52LLNps#JY+=Macgbd+!0()Ry&)D+)*uxRwag zauo#xDM|<Fe+pLx5v7PoQ9zmr9W)Sn5v8bzC^a!kZwetmsG^`CkchNELK8WZfJg)Z zf&V$dnYr`Lo%jFFJnuK(yw5Ywlg(OZ{nlRlWS_&?`<JszGR`vGb{ATasZJil_D0)s zSF@v!G6eu7oVyxX^fa$;`*9yEJysYHbo#8bR-VhIf06w@#Hu9NLNd3Z*!DVm1zMn> z@lAJYVS`1YzfxtT+Y@--l#nZrZ1DvUTv@k>xGSAv6;@Qo_&7Xz#>v9IV2%THy9T*6 zk~sJcAyBl<-P0@TxO8c^ejtymtQ{2gSxMuYaVRX`577Sx<iDx|f)^oB$~5BcStw_w z6&N-bf6BQhUhvA5{P(aM-)it2{3%Dv*sL{q@*3Y9hGKM{ar5+YgkqdN1IA#TcgKZ@ zyJ8S%auoz`Lg0R59@#rku%uMME5a6#S3*~AgRG@%hO8Y|Xw&mw4N4ZY3masuLwBL! z_L1W-dA+<XVz-axHx!@uLVSCf>#t5N;^}+}f1A03LOSm2zGUg`E;dR?rcrZmBH_de z$C`?KYwLq`wC+Lb=sa}_g`6xzvio1(hS`DT+sZr;s<oXQvt{KM9RwSozvVy1zi(`L z`8TFN^!v9l-rkP6vFG=Oqbb<LH&cJt|G#f!o*}nj)&Fas|LaD{!+--iQtM>6Rs~4T zf8UP6X5zqqq^7b;HjSJstA>lu%M7CQ9KF~V@4Z#rE^cPe$CGWE#|7_eI=IJ!7ZhS3 z0SDX6gU5MdKr1rBb^c={tniLejhdM~@vhOJCnyp@!h1f9cHptWGcZCx919G%!vX^m zHo?P>AN^*W+b}Em<facO_JPb7v}%I<e;UU|GL(-uDgnc5itT}f)%{qZ1lWx2{aC)O zQl){MT^FD;!fc)q1|;GlQl+b>#OWGl_Dg)(hcE7tD&+)8wXjra8OXwg;eFf0_8{26 z?{bG%KoM-{Jsn5e&817-RnPcb@%16B=Y*){7rg}e`CEIzgcy6rCdK9_zK;2tf7`_b zvtM-hnmOhpzvo7S1U(@Eq|A0NuU>9@k`TX`LyeZB$QLn;T29J!q`J;WyQV9MAVenJ zvY&(1Niu)3RyJaFB`gDcK3O=%!wLhc!9E3{_@OsEnlUw0Ni1%PpYEt<(^##ydZ;J2 z*zkE{skW~+JlBhSW+I{qQLz-#e^=+O5z@|Zv;06@&}}5o@Wh+e_B?E#`s8Mu`M6>) z)1~*$Bp|%>B|d>`;s6S{T!<w4$3uUNbcz1$HyQH8ifcib7!m>gvVunKE`$C+nmMGI zLt63}!C{bk#%ch?fRA4$R<LJHS{i0}{QPlQ?JkL6ne0xZDv_7>Z>tq&e_lRKTpT=3 zBDiBcj&q=mf7d*fRaytSJ^|yuKo;nhz-nR4RzKRZY5+1NO20wy_FlQHHz3(+BMi=; zQH2$UM$l5hP@lYutf#XImAty8vwP2X;k}U3x{0n=$^s8R>Imqqu~Ze5$$FzXaItl! zzxW=gEPzVFX>>)7VM|r=e}l0>_7T<I%Fj>H3|ERaTk+%WX@Xb8So(2Np1b{o@V46P z_%wBmm4%D6tT_Rclkb?tC~P`;q{>Fbq(Ly=EnfRd&s|w(k~B?C_?=}_R$(S?tJkA4 z#_k&huPQD_E5ClyQQ=-%#5Rz>KXsS0>Hw;-;`ogMN0-y@{VHfpe<HS3Ui`fIqVs7S zN+gnJY#C#hrtIBb<$+2{CM9>}$1?ubYLEM+2Aifg9Tg^yLRU!9cL!aDTohmWJIndv z*Ph<ju+JQ<TWKc7Nps0r%W;)3=-+$RJI}t-znfmRG2l0v*}ic)<K~+7sf?Q?Uothb zC+lx^&T7^#&1^KUf3AgXjIUyxdJdLp^wI}<Wpa-N__~jc3N9Q+Au}qQPxKTOS=$X@ zKW#Y^WILSbGzQCWZ+cnL{dwJLz|E(=5J{-==&7I%O1N7iXEO*z%1f<Oi?p*4*a?A# z5U2@)qNyMl&<28}>&Sw3E69ErvOfUrd>x>j9|Ad@Krk7~f0+*1-$N!x(B#f<mR7>+ zF*xQ4D^+GzpC&9vetC3^H)ho7WhFJ}n*6eE5n8dr6dC;S$+<g5haaCu&YCCWw~u*J z6?Rr@`)UJqWDGV?e<@s6cPVmqD@_C~>8k=1llS}b0|&?vIQ|IH?KWYu`h1b1TWXbk zTMA8`!EW%4e+x*_%hw6FZs4giCPga-ITpdymOi!A!JPMQ3w_V1CkVn|b(98tV|B8s z`FuS9Tk>HbldOp)>GMU+a?s%F5wmPy&sv^&jU0iMCOZLP<ZT};?Mw;F1Lu*8S*P|j zs(n#4OIzz25Hj&FZC`H5{XBTg{bPEIxYEQ*Y-i|kfA@~NUtZ^gJW-lBb#t_<<bCSG z!I9TF4&SakuhSeNF{}=~glE8)WDRRSdjV6t5wm<WzG^vN1VAU(V$YH_ae+DkF`}@| z_d+yw^bV#y@CN&r{$UA^W(CFFR(nb*WpIpiB{!9K%nD<oa#<`c`m0f0j8GBWK>y|< zofhB2e~WKC;V}2vVC<F4i=DNfi&5q01bAA>?j3_JH2Hi-Kq<gWY`?)c2z8(owc<Fg zgBEZ6w^uGY(Y8K@Dwnn5R-6GPxhVtbNYi@I>(ro&ufVr<vit0?fpoNK7HEp1xjdJj z%E0y3+!HDiHmE($Oy&2*o4?9^i0d7s$6N5=e>3-^+qo+#ZdX5w>6cXX$6N5>XF(H4 zVcX@W9)Vt;3>;qNx<}hCAZDX9mE&H5QHN-`hvTXh6VUU9{Rob)_`)H-045352{cW5 zbSzpMQvHiD+)X7YW}qVV`J&9u`br9d;?hec%Kw-#FwRh=7J)|XDpQh6d7#hQg7;d} zf9HE&ZdF|!z*n4(XmbA4?9%zUB4c*$>A5AU>e$rd%uD7Pv|2omB*|Ls`c_f%rW{%r z>X5pZ*;=^}@fC6BgiF(h0p6l7GT{y`Q#*>j9BDju$S>03`=?=nbag%HpoQT}N1@~E zjmIP*`D{4%Sppe0q^R1OCszJSg)1Qpf07a$EukNGT^<qQG~9sXo^ZS!6fQm-?*U1k z2)qePhT{Vu`7Hu($&%sthfpy=o=hVV99%X6ZwQ(FBJhDM8IHGxq*o(F2`Zi1c>g(A zx}CIYHAufx2Od0qbMV3^yJxE(ZPz0}&2Y6R;kV@5dzUG}x#`-f`F=mhxjJ{6e>E;B zuA5k^*_He%P0#sNx-MAPq9#Ds;>td=4sFz@;=|s(yQ|z|2MH%<FCPq$xlelZ*5>Sg z%>SGjt@%T!=<lNcE6hoQ-y{zF6*?_ZHGSaQ|4+96zPZ}u0ZH^q=YP-nUt(T5=iQ4q zRptKVQ?YiOcdvJFvX9Vn<4-@Ne<lzolYQQ`F0Y!7UwP@hZfmBNQkY&ZWZrRDdSY%9 z8rXy^Hlh72$YT?l-h?i1LV_%)WD{!Jgf47C0xU>w6I$4WuCO5O&9XW-p{`BHY!d>@ za=M_V-MeNzckA0u0L|-JxTIZ}K4%)bYX#)Tn%wewLu_%_(bnZ<t!B~Qe;T<jjcckm zy>KSIErj{ILH^pdq&^Ayn9|q+bDnxw>o#I&_PN$)M2XGkrg`?{g;UagOkAkeUUCfE z%6T0G4A9?-k;L+`|Ht@W7z4Nbjp+~l{%wrAnUoh7^nYi0;T*0N-A(x$$3M*dUl{?A zV&nc_-$E1>T?Dt~Z7s8Ke=T)0P+U;6d-kez+5hzT6*ISu@Oq)tQ&M^9e2GT-_Il$% zC*>Kbspr+~P8^^Ad|nN)|A|3EWb5+o`>v`+x#<D0Y>^9lq$V=NK#H2YAPe!=)?53Y zeE$XOO;>tcVPXvW+=Xay2gy(zr7AU{c@Sc#9f2HO=kmCc7cPJde--CVmxk!DH(g^> zNIdojS#k10-Tm0!$kto+Z(s8mj@N`Zj_QZDE{EDv4=drkwd7gnBWDP);STBhu}fh2 zx{c*NZs0k;c>6j{e>@1JztMPatwYD>59o{sx%f6<!Jt>~$EsKsrQ3<tdA{)SA=G`7 zjej`~?<jYaN5;h1f44+Wo^ie`b-Y_NoFSB5knYOj#Kk;a-5uq=klMl1rPNV=qg=aN zIVdN3^0J-JUE6e}E?%B4zq%K~5GSTRXk8A6TO1>v&40{oeo4(TH{B!UaD8>q)24Wd zZm+QwUX|jF`bo=_3FVC#(EOXO^$)VZjm(kyCr`2agY9({e|`*yU;nN<Pkpk!dSrB3 zqb=mcDP4sie-H=-fpzxwFKe*Wk=15*=hc!%Ntfuvl8>#;F~j(!8xLLiLg@&!Hh@XG z{(U9+ve1~$URB;`tsN`mXZz_Ft}6V{`RE57qm`Lk$*cNvoV6YwcG~5<y8ivfje$Q| z@cV-kNELoff70J{ULSBi-0o?W_dI2(vC&OVIEnX6>d5TbOG4m@|5A*eV+y*V5myEp z<K2Bq(jTnm-wpF=3cF_Ufg`|fmg*8Qmt5XR3vgAW4s%K%znJ6o$y)tE!G8Uic!M#^ zl%-TVnL*6+8)Jy6#g5Ml1#PnfCk4U4TSU|QkGfZSe~7#OnEJY2D$+0-z4|DrxkPr3 zb71|bS7k{d5(Mf>87+D-s}%#y9(!if+9xbiXaO0DsSM81H-7R=-G$Ze@o%rrsR#{t z*+2R*<;`cAJ8LCpMxwX5EN_fkrlhP~{P=7_xpTuZCGlvkbYg(}*jV+~SroFiviL+x zQ4wNef9mT9d-o?Kcm6x;y=F-{V3OcBOHz@`75A_>Z=7j%-k4sFO|3btt90ucn8w20 zzAA$mxWE(-%YP@d4|JBy74JL>CQy3VfSGK;1ZJk^V^dEMz|2m%O3S5SMvjq;G0z%K z5e|&w1!LO-!h*alxT{OgQctKq_BV{Ue(q~Qf19*H_HLtGaqZofNqjA<vlV1(9h0!k zowaJr>*OS?N)&xN`XIBJJfLF|O5cIj2YCL^cNHF77y;(ZkM{#&GLy`bvTo<U^AYTJ z+|b&%`DAV(Se<BU9DFoiyz_MaJBBnawMJ&KSO%M;V-iglMk@fP$!U5XY?`~!4geA> zf1%^Cz4ic;gRFpa*74xc6hR9zEyx#fL?b)QsqRnHtv|ZQ)v!}B_|%%!A5(8t9$FcS zCaQl;UtXK~T4XA6i#Nn?`B84d3F!9sF*D3;va_kndv9mMQeM1QNK=EZp5d7P*AbUN z6n8B<b1(3YoTl%=p~;252pD4}^gMRffAT<Yd$1~z^et#{=1!mpm!oeIL5HEGn2*RY zx}C1&Nhx=z?=}{P#06pafv=HEb|^*3xQda}v)VM5O9JhmVj0>&T*u?Ce{o!#Ln)q! ztGKSQd{sqomqAzmx!||)`}pv#Hzc$H1F<F->58O{&jx2BDP|Q(J}Q@w$5l9Le=L`* z59Gdb;jTzRe6c$PH@Mh$ZvC#%H!l3U8xliv2AC!npNgcpg`rfqLE4Lqpc0{PeE8EK zG-j~vmCMD7q=_m@gFH2o@rgvs6*kz@f3B`AUg~Q3v4M91)s$;j6~h>xGzMKf1(2`( zzcNsYhvJmMGL=;X1r3B87nZxnf2Z4S0HV=*66YtEPf<!_<$gJ*SYf<zoavqx;gI%m z*9w6V?r?o-#~L+z`0;&pz52yO@{K`tzsO+5T;nlKNP09LlYwMO;|p`h(JtJ<4U$<A z4sB2XZT6OZd16fWkevxfSaL_gJ4n{^CA42O1bTz{h0{RxRkEh6D$bJPf2zvHA~?HM zKhGNvpb`s)A3ug<+i;&MR1kmTu>+8tXnbJ`71SB-&;%8f#nHl%7XjW4mEd(3o2sv_ z!L8n?AIYj<3-s0X_tVk!|Mob125g*W@?~=yGC#fzbNi&D<c$?nJ0CBcYrtzJ#+iSA zTlas=|C|}1d~cEbyXgN4f3t)dIZ6Mo(8SZ;*rsW<^E}s{|51j&6X)-m)qi~#b_e}m zIQ*;4<slEYX&z_|n>xga4tlt)d!e8qQ(&#FI@6AQu@WX-nhNi8kS=U+v#?LhD`hLN z3e&891uMMHzL?7bFWFpWw<u!^bc}*uYKP72f?L=tNtec0UJC0je{2x7*aTfR!2_G1 zj}$8$U-4$R+|6(en`QNH`f!@D0?gX60+8%B1JrGTt3ScbqDht@m|<pL60nhdQ(rTw z;{G;ap*23~(q53Md2cnStlJ{C^Jv2k@!|$L5F##CoI(H8BO5DSs<Mi>d)vK`_<gAG zb}#DNkg-S!?Q>6Ye_StWseXCa(5%S1rSvF4gGN<ihxZ8y0!>>m0$9Fn%&VbVJIOIy zSLAgN9RD%?7sldke`ESXzkeI!Az-Ze_lB{R?U<vshJV-pziq^)V-t7z{Wl!{ZyJxu z>mqs$Sl_aG2j=lJwm^(oSnZ*_hIQ#k-D2ISSBKzzA%@upf8=p&tG~(HWTc)C!ze=Z zC=7G-czh@qyst&9%k%O1u-YS!WzQPtHqeDxFC*!@pHz;3LJ;C>Y=PGDHXzdrtJP#> ztra`&)npo0s{pYl_QhiR>Vrx+w!i?0u(4^#vEE+>nmCTu>a#D>?W<4JiksQbfm9C? ziL5uE8@pL?e^+(nZIZ#bYwoP9X)MNOzp?ou6eIt**a$o$t-Y)>(h_E!(Yoelp$N*> z2r%Bpeh}=2&DeA>S+6lC%;PQkX<9o)jZ%gWRMuQgoiH*n$foLhx;FVHDrmx=xCsUO zHTjk(Xo^6pQ<HC&f~F9|guqk(^lJ6clgwC%vN`c|f7zu^E(5<=D%d1}Poabr=M=Ca z-Jik=b=emml(T6R++8&<k=?3t&a=|%)kZdKhHkUo1e?(WpDKY4rBx>UOJSv8y*Y#K zv*F<B%~R`US5S9KJhX}a4xljsG%`Tv0hm-Nai5vJM{Mt605Q-9gvP)M=0w!a=}gc1 z0|Qu(f2g{{c@4pWQl$Y;B|TM`HsmF7cwYb>Hj|MXH3+R>Dncge4{>0mnKGx@7n^d~ z0y!%fKXX1e4byDUY(+#_PG$Udlzz|59w~X878lVSuPRPUHnX=&0K<;tdz>l3WqULe zXtYY@rPd?0bMA#UZk%_79>8X#P1zTlpRujle}cD_MqWeRK9O(~)Qm)c<&6E1DlIYC zI3LgjDjtU5x0xRvY&2-F2J<NQp`0~_+d(hs8^jk!z8HY{*(<F31#fERH_(sQ!)7!< zuX8n(v(a_#!SFtlSLXsaE5<s?*;c(fdxUO1cUCR*80MW8`Vj(t`>ut~^I=7`++bUQ ze~`>=5FPJPjsqKmYC`-dY~}<}`2g4s*n#y{3D)^_unyzE>Kz2rBv{2=U=`<pRcvwu z>>ge@AEucHI$Z;uo`Mcspu-rqRA~#`%sx>jx54%j7zGPD1cMGWphFet-~&c*<~OtN zlmh=FEWz9LXpPTc;u3Zhi}+2dR4!7#e`!rs@k$z4ojbGLO--MqfEw#nUKp)tx4Hcs zNXg!io%OP|YVxo60$o^>zl@!#+p)487{et-Xzg3k1GurBF<N{pR{$SuXS5dgiWY!B zA6UB&ZF?as!~80{zb&3^dT3%Pjy|#Wam}uXjbs=F@4E$F^?Wq@;<Xu@f4mH7f6O<y zxxGqZDVqkL*;+7ouV>h?`|E*W@#n)bRKUyh3~a*}E``y`Ux77kVXp#q7&H>$eX-!B zn0UcP^76f|-~nDg8g!!se^i%?*4neO6R0BR=x1?Yr2aB5>=ao-2f>FCX1@42N{o4n zJfWAh4WrDoA}{H7x|`Xry}PLSf4rg~FvyN!6(}hCnQKl~OB!y#KYu8|8DY<j=e~7~ zl$59(MPWbjghnw;dj$kp*av)}WXr3AXT-piABpR5V)xfTJmSXtTnaPSI?8Y040a}p z_}Zc<R)r0yF@usQ%9Fx|4qtq46R^|5-no(@bX740-d98e+U3<V;C+)?e+U7CoEK~w z?J?u805c1F;@7KH^6FrP<U!#iz;Mr@aHqj=BTe2{eGf{PUJN=Y8+Xp0-T$S@>nO@R zh|KQsy6P(|U7EIh@``|n23U;-V13Os#kQD&j0~))R?nwiUMnf!bMlnum50!X%df+g z^3aG&r$#SbXvF2&h_N5ge~8PJ?Jc+R#LVoCJ=4=5ztLe;3CQnMqnAJA#|=#+1^xK9 zw>*LTI{6Y5E*OHhI=`^bMMw$>=j1>`JS9}morQ*Y`g^9QLV*g0RS!dfo-}%SLxB{+ z$DTofd?LnPLo$c6g$oL3!ksY23M44p@*E0uST(^|q_ClG<XqKIf1$)liR#Y9Jsail zK2GkJ1re~91$=NvOKJB0?iwr`X$p(xRW$t1=l|A+sr$phEJ4`if6phkcZ1XCbWQpn z&f<1by2hHt{m|?bef`OSn6R@hI%Zg*LxymIk-UJQ*lrm780YbW1&6P3yuT(nCfJki z=U3G2hgZASfoli<e>|TvGqzmHnZ2?|3|!QlSjt>;?r^|lRbf^a83V+u<rCixjLWVw zsNJ;gJgc(7G_r?oJ<nfO`VQ;zoBpW$)_6tPy~n#tUX?3*NPlPx27|Bbo$P2IjOM81 z;i0{-Dzgpv$k99gJ$?b#8KK3$A`Fz_I>UQdk9dqa(}|p;e_Il&1z&+y1eJ@b+rAP& z{_|4c^~jHyRTZH9hp8}-FnTW<uew6n*J$40!DJ2YQ0HWgcYx-=a`{RCCwvV7b%2-5 zzzygUqfpyug4J-}vp<J~l<?H<W2Ta8vAxhwdC+fPD$)DMLeOaEtxN%+2uqBlv!PG; zYO+>cOw;%1f5}~C%GGOYbo==^_ZaYaFbNs?lfR^sdMaI2RA^*l(y1;R!EDB~S<~eY zh0bMlN+MLn6CTb3+AlExa!6y~Hixx|^FTvC{q_wH<(bnl%7m(8D5jSpx+(GUr=9?O zC!R7L{85USDTLV-Z@Yk3uJWS+Z7$4w1feb7A7Ap+fAn3V`X_@bN-qU~Yfn8Enlu<X ziBDORd>8MD_rO!m27f%PG8kWX*FbK?lsG7_f>sc$9X6!-=+l5A7iJCO+j|}_JP}Xv z3H~Up(icyUHRxQST&~hZF;R->=0x-aM>Qpo0=Q~Vr3noi%o*Zye&pV>Zi&~rZD6uu zx^X2$e`Q5pP;<m^oA=rvfOlb5B6wQigFuoC^D%<w-8c>Ca$)8oc-p@C;X9vF&S(qX zpB^(PcuEoD!5{I<7>uobInqK+RQ_=(=WJ8rNRptq!(bv;dp5CndbWc~<)AdhrgBh} zZliQR)jaO@8Ufz4SN9;P?=cURPc&2Uf**5Jf8zyv(A6zRn29~jszaO|DlcDFL@$i5 z8oJjGUVgW%Tv(|2xrgrWzD?RakwmbhQPq<P5`$DB6w=d)ahkYzxn}X9b)bZ8AhoC# zUZOeHLyto&SrHe}HH+!ifhq2csTC4olBeXgh*F9_>gN8zi>*rTHM?)EA<OdrvWo!Q ze~J%aPR`N|fvrh1CCCW<knL*+n4IJ}{d&$dS*8ZrLw|z}iTm@&`}&x5-fH#)A0OZ{ zAP9WJ#pv)wi*AP|V>8vsYq(Y263$v#rVC(77Q-3p@WqI7Xzs0s*89`|kT_x(T@=j; zh~Omj=C=QNr_|kOL1qWpP#?jLImFyWe~!_Y3)9+(KE}k6VJjyAeJo$}IuG+85P{{_ zL+q&Cg4SZ50QO)f^g6>bc{I7v;(vNYA;;7rx9HFD)bcYk$$>g^yqeq4D!?SUMHgDs zq;@Z~S%KiU)r?n5x4*6^eYwJefwPwTu;a!kFpbG7dUl*^>VG)@K2fipqjvYoe=Z;f zhX@b;Wo0X{ikpk5<6jX4EO9?4+OuZmdyqq-*14HkxVcbS-3_i4sO!D40||D&*S9fc z$R#@UJJ)tI?~>(owE5OJnE%H!CmwJh{(^b<yHC))J6h3}PZM|l&wccyRs<|!wa(oB z_xgOz0~{tKS0tZ5O|*Fm;P)Pne?PaLW{0v=X^)yLSXb`PDvKC2Q!;W7RNhJdmUC1q z<SbpW?+I_b(V9KFJ1ttZYt$Ysfkd~bMXPsRy&ucBRIS3}lYRKU>n}zgH@iHY)lVGf ziJUa{5F9a52%r2`J}K%UIB7&O6xSN8r7N~RS-fpDIKK)_dS!q#a>wjaf3{zSYl%Ht z28nJ+>+#9172AWD)%CbM^kgv>^o*%-jZ<I<fu5p^**xj4_4a55B>HulvTybp=!tr+ zg8XE}c~V@9WLSGzwd?)c*Un3iRgg1AW#N<Y%txY2*~aOv{*I%MGQVUSXSkko98J)k zG)`0N8hra&|I0%a<gC#`fA}P$eA3lJaN1}ADty0(;DizP!97{DGP>EE5vKtde4@s+ zMnPt0Ht|FDM6D~gv%2K*`4QVM*)kcfreMf=z}Q-?%j4i4zQ(ika)N@0u#LP6x<Bns zhwpEWqfND=#+@2nAAk`KmDC?2E(~Ki)vjHQOex+*A@i=g9lnl^e^Q9>-u^vT?} zX7u?0P|cVGAeES(ZJ9pV2LwsYeNQvL`0hzUEIr++Yudc4pria~^@n8RIgX1GSzA9p zBee!37JrSu$iMS*c2(ZRV2$U$BydjL<Cv%-#CwftUm3jVd>EBJGur&_0P2dsXtQnn zQ+L`mIdl&bshrzie=S2*l+Dk+o1E+ILc4mE+H5j#h`^(5y__ZUqx14cnb*abl`p%~ z?i}wpr8@{esm95;7-0AP&AxSh>qAPxZA#<ug{H(q0&cZ9bvGN8f@8-R<)uxdJ>##L zq;tkyl({iqW^llFt%N-toP*RDRFRz%Lz1|dY&~sfwU9M7fArdYy=!&)CPSCFc)F%G zW{_%#LY{YGTp}(y{UDvCLCX~!CobNqsTeFOy3?EB<DT`#osUE?p;1FsPp#QB_4l~x zHNE>LQr8tS>5(P)jh~#QUlP7v_*AHV`U<s_vJWrFVYj@RZ_uSew$l$8I#L(%VPrk8 z-S#T?SZS13f8Q(Bnc2VkY}}rmd1nKNQjTn_&77SX_;bK%Q7>zf<Q29b#fct@m`k<i z(mxsTt2|C!@(>8RQ!s2;*|$8Q(ldt-6q`8bxe7cCc~RG#t$o@9SE$|GqZPHDUG21V z?tKQMBFM5*5F=1Q&YLe`m#B6vkzdP27kPV33|gV8e<h9TxdP4WIx=?KOlTR~OmuMd zR!u#kDV<m|Y)Hauvjy)~{!lmXcJW2V<PFY`j}oUWRn_Xl*42T<c>bo=lB`d`nCmwm zCKe=md%x+aZuCEW6IuV7`-{0MmLVjUf)pH-p&4{~3T22Cf3h34tlL>Xy8hd(>aupO ziq5O6e^1@q?`yR8f94pF>eDKrULLnl9jLKi-C1F7Zk;xm*P*O^Vx%+Q<m%}$c)iuo z<)yu{o)zpAul-l(jG<~u7$tZ9+k)l7J|1hA*$U6PGMY#~Y+NRN!eHqn{=m3IV6hNQ zD1MOL=hWS1zB>MC!DhMcD89Pb*$9toW1M!qf9gxVX+iJDUuvzcIIC@Ro4muthY(hK zwS?u0^HyIL&xnrD#D3g(yrK4bL-<n&?-%XW)hzanvXYJdfsHF|8#Ch}i%f0OY8LHB zr|i1(Xox>kTjP5c<40#mMM!ezWOvXVIb+Ptr92<!Htn_dvoESUXF^66)=M`gCNNo1 ze@On`WbJ{F1nmLh^zeoA#EK+q_s>045@BhO`mFUbCvmaJI_-+pYJSJz=ku7b`bTR( zk8*w?axQo&`6d#C&1x#})`7=u(}14L+r9qY?ujk#NrO~}d8#9E(WOTDO;J%?Z+11o za8zlsN0c?6H)fQO!I}>&i()k1L|PITe|2h<X+<<d3FC9m?A_k$m4uX00*yw!J5Low zF`{lFO^Ay@H5Dn=fwHy(14WubC5*A2%-G&VKX;QB_th!_qSB&{P<1rObK|ykC;}T& z+)NOm89a(fj6--G3GSSTC3JiQpCsJk+Md119BV~<A8K5mD~KSTc*|GGB+q3&fACx? z_s>&4;j&yY0S9uhS&_kPD_%eg77=C31+7F!=F<fm*gx&;^Ou(P&-3ZFF=<-wi;C(l z*?3iwdMr>Pfic#G8*1Y@Wp2_$3md$(w03Qq$r=O0uVN!;%)e~8D!Jrlf_z-;<$%0N zo0_lg9`h?`nYKvmEfOuU*0d#}e``?R{$U_!Nr_(bJ#oF&nC6TT<SkuYy}cppEioGw zva^1-EnW2Ht&DR+QNjH=LHtugq3bh!i29fH8}N<$m#XF`XKm^5k@4Cj6)iOtbs51Z zp)3^27p*AxDeLkEkcXLF%t1P~RZGtb&)(^+De+d49CbVY+RFTY<kPdRf27q=-@Gnc z94_kb-Lf=Z{k822Y;H;ett=a7`#B>hW1{;NaF|{j@TK9JK<!(N#YIskZajZJ-oMHp z(k2S<yj2w}Egzpyr3`7#1^7d~)TaAanMQse5BIi0ny=qSncffawp{p}eEe+HK9m^@ zFHwNcton>%x(R5SihOJBf3BvuUuE9(E4L_aj<@B*x8&pLRo62)dGLk>__``P+Q8>_ z`O8)!aPRs`iuG0IZ+;)OooN6=9$l#}cyF~op3a4j$;azfWeozg^5{}^!3V39csd`R zGarAo3NZ*o$XC~^3*KL)$7}7xujX6UelM8e$9y;M$*NXZ%NvkcfAL<)DC=J=uPVu( z{kYZ>9~^7o<0fQ6^B4qrT@;BG^B>o!@u_~pF1E<Aywzl(?vwasLh@W&vRs;b%v_uK zK=@bLB6yvcr6K2v%%`Ulb*-A@NZxVla)f7#U%*PU8*by9D212lo9G?nV{6_-q=}*w zvD*yehx`*~f05lGfBWm>-Ln+i)7ll=9$Ks1aPju6N%s$$5s~pu3Lkr3KISv$l00xk z)z(O(?n{DlRczzD9(DeCKzSQOK)5qU#O{`1%ph;${1xgvA;9-N5+U4~Ei!b|@WFtr zYUXK;x^D@xRmh03$?`FcIpEQNxN7DZ4LUPnwu-B9-jO>0e<*<Y9v(3kTRw)I1Cj^S zRYgrS=<^8#Z)^4z2iF!7ouWZ>@;s61Uo#<s@v+|C(po--nUnm~%-JI5+QLbvXj>wL z`Eo=M=$6pI%Hf-mjPGwXIL4bfS|VIqB<RNu5pQ{(xaS`<CDP=b#@@2#+Tu(<c97`m zIhf*Kn2$`|e_OQw@TqlUbiguWNczm3A3)|;xNE%Rk*{J)c3C}&;-_e-r21SHzS9)5 zl)c7CqdT(2u19zO7;1N{ey%g`%?poGD7!3sgHT!VBPG#J;nMv2{=%D0hs(I6>2eWp zqT`#kkYhJ<T5YU7d-n|z*aMJ_|55)5b>mJw<?<gQfB){Pu~+XL$1F9RR54sEQ|Zn< zOQnh3Cy82({5<*{@K31I>qlnMC!_wx{{KRqO&Kj7x>M<%28y^!cT#oh^1v?SHA_pi z5V8DpYl{xMM5&2K$qT(tTdSPLe{|=1qpZ~AP9^)awJuAWjt{={UiUUrBNnFD?=|m` zk)Dt<e_%n}n@|u7(&lGDotu!tCgi&b!C8>WCbVZ0y15DMV?l|VP%Eq8kVC9<LL^8{ zD4VO5cx^RA9v;3YJA7~B>Ud4a2So#cd%MI6lYXZACzBUGx6|Nqv2Jvk!OJCw*yJu( z69`GU%JkNvqWvWVfA{5^Me)|tdrAm??zLkrf5?fRo;$r@&r0t@xi|fwU98sNMHnTf zcN}P%_Q2LhuftZ(0&-aG7+dzW{mdM4y<Q00+A*df8KD=lWlf72NS@QH-?paAlq2t3 zaR#!mf8M*%dRSE@rZ}oN%of3#zoff4b7>n5QH@}t$<um?(W2~{yV2X3p1@VIKJG4g ze-D-zLEncK0vNb}Q2H+PLFPGf60{*oSSCycm!mtk6Qjs9B^&A?wqjJ7yMZPwzdiz1 z1Wm)=zG4py>Bxm^?LZ%3hLO3k6Z)MIT3gYwOktp(T!wYlLu{$lW(Jd2_2#x=PB7`@ zK;1d6T2AIHSpgdmk;#h@W_klo<g>WMe<)c)#H`qnlZfCo!JEa=b+DB)fHbrM?EV#T zKnPb75iIgIJ1`2b90Lq-b`gK>mTB);+wzz72@A*p`a|p(38pr7gLh2@sMp(IU+E+V z=%ipbwqrDyNODM|GMT8iu@zdf0BaW=%(Zd~;Kydg1aDh028h_9=wQwj8(;}Le-vZD zq#U<P(E2fa=y5O~W}C0t$|VUnCa(A-g6^jlJQf5jU_1Y`P@xiQ-GVG$%zmaTS^7`! z#h`Uw*F~6b$o#r?J1|?p2m<%+Xc?G4<(rclRzb5?B+Q6_romNn`#J;LtM~YdR*U(H z_;Lb^WCnIXj~IsHp~0#T``Q7}e>#THY6NIwz?ST;<H1vVgeguI(&zru5X7BK3$nGI z$5u_zYEiT>^AgaGYooNN=K*-}PM}F+7v|&V{TRhyu3U?bv<WM<x#ZW$3w6t1?Y?lI zCRlyE$tbeuSh$~uFv?Bm4?mKnjPs_;ft3He+8p8uM`L8`vig13UqO%vf6FF(5I5_v zHWb$qmYP5w+!G+2yijpJHfBrmf`4QAb!uemt<|@$WAw*s^c_bN@ZPrkPj2o2;|Lhl zc7Yi+PO&ncb{s7OU3@mhS^1(2`fjNS8?ik^FhB9_YZ1fo6F)PG8eyP}fhSoRHO2O1 ziAYVjgGU;mk^N#;Ial(6f0Ec91aI;JVe@ndVte?uN=?+_xjBq;)0bk6f-0L^Z*iu4 z*m+m9c#yalgMV?uYQkt+cpg7*Bh~z(p|haClhzpf_WZmg1)e)b<074w#<2=K37|H2 zQOVCg8%J3-qtDaLFY-GJ?ygm9%O>P!7k4P0fPRpOI#is#A!vRqfAFvuyv!;y*(U&1 zK&rnat}WST&iBU3MW@UuPD`2P7Z=pBK94rL7L=rKEch??UEf$`e9dB$QmV6x4zbvw zF(8Ms;??Lqo63<k-O~8EQ)`QHs&bQ|xN+JDJ}JBXi|Kr-pL@h8V{NpD12T#|0F5K3 zyRLsPedekV<np0>zt$n!)qn0Ho^xQnmWei}v2S0x15aL%>EdPmP>TL-w@MT7eae7< zSdv?q1GFpZx1{gN&PgZIMuPwF7XjJS5r>5v(TNRpJU=6x>Q(ZY`{*^2F%?i7r_cM_ z==GbJIwLv0<~MG?7N1^U#_iWqw?jp*VuYiN`Obj+pVPq|UtsMsmw!HZ9p$ba#r-Dq z5GtZ1%~>eOemAjErM-+tOFedAczWU%mteY?l(d(t^FmNn`9;j_!pq;$x7Kl}^M3B@ zsTXjAr|Pb}ns33V@^;+b?i3&YbzCoj$P<CQ89v$^z3i>lG7$Yr`KWHJ!!~CPvA*aA zWkp?_LtI(L{>)eRo`1bsXc5VbU${|`_&Uv9k(vAK!;M7gY3s-&)b>RO$D5^zZ5t2X zIu)PC+<Jaq^NmeU&X3iosrRYOdavaA%Iw*a4Y<-|R=8xyFw?fLXKmrodXp3WnXAn* zKPUBE{B-MDx=FL&xF3yL-kKWXfV`(zB)(*nYLTQNZd~xr?|*ccn|I7JSEVY<hw{`x zS=Y<Ps5H=ncpCJumH<799%OfadIY&8LT;xZw-caSu`%f34uP`}2<4o81m+BY?4(0r z&Wt1wJOtSvg7!oxA`zA)EHtd(vXg0W`iimWE?FL-;SXfF*n)Rr5%2g~c~bq7&M4gq zaTbJw#21$uWPf+Xn7$>8q>0ne>(vbih4yr<mhlw=ddNjsYw+oW+Da3y-dD|0t>MdC zE#zwn$dWT~dw_Ff2W*J0NIzn>SamR0Tzjv1(pve8)EVsw#7tY~E$y|u%f`XK=8LZ$ z$$wXGmj6!E%ik}uIAV4SO|)9X*BVeJ7vZdRiO{Pkwts3hUm0H>-vB_EHQyN8Dp-P? z@nNp6(Cuq7rY%5iorffQ;Tz8bH>Lji8Y{m~1*<O;esE8{4ZfHwW;q*)x;^!lUh#CW zxJoNuY?b0V?%(cbD$<{LPHt(fm|<PlmY2{W396HA8baFYWfhYd?Q32d{n`r$Wp|uP zzw|%yaerbYdN^n-mzZiS+Xe)c?1-Xd){NA)#0Mh`G;bya5`~_~Pjv_lkOqOos}IN8 zXEIQ})&hQK$>-K%glM7$HhapGHf9Y%V0c+B>bnphyvD0spK$-Lb~K>#>cc}W5*u&g z*LNFa>;~Ot3@oEfTed?3vw?j1d?-eekd26e2!9BA1mN9Y<zm2`NR%&9fB=DbAsc=J zBv?SakPX5B33>V9g<j?QfnFdJZI*XS#%2WF6{77mkO93s{PAf`xu-yIjs`rEcgqC9 zB%!qfac&Uki??pd%|?&h6{@!U=A<966LlhZ@?mwo%MGA;?Xr+ylxA1`{uP2Yr(t3_ zG=EqdmN4sT2=uNC2v@O=cO;;o<6wlaO&2s+TUNFD88lcM>FIk;L$7`@ZTPnjkX>C> z{W)Ye_w+S_>}|ujXCZla7`W%p8oW)>V(eI>nm1BpA=w#@M^agXxDC^wg0#63dRPSs z3m35hN~&JYh5}yoEdLD(6fx|Q2?bhhG=EKlWMHFdl7FEdyML+J$r!U86q~_-RhxBp z>C!aE(t?QNeci?iecfUEXYzkbwXjbt@y4<V=WgMYY6ydQ{zv^M)U@G5(?3T3-PH@i z09V*H_^=MU>iMm_W}(|?if_V-Ek|_NcT2O5e+T`;Dp~gkuP+Vu_sag4s_OD@!hd8F zRnOla)k!%eoco)_#V}3Tm9}a~u}O7iw>XsBAe#15CjFEdKV|Yy`Q)d(|5IjeO4)a1 zY|^{U?D^U@CI5s~7@SM0H0JZBl<I^P{&qf0^UzPJx+&L;GvSx+W7$ZOKkYxI&Eucp ze@fZ6n_(w@hF$nt*l=e%yszq(g@662-In$wQYhZ=@Ni!@yD(`z2HsbD{95FJ{l{L> z!89BvpxX@Z%S_-*-PL=p*VDag6m~<7SmA!f!KSN-AzV^4-s7Lx+vD#}dgES8qcXpH ztyU6jMhSH&WMUj_rn?)^Ke%%5w$`Die6jyg{|VJW?j&M2CFUgaz%I;h|9`90i<B0n z1Hb=DeHtKL%=-h+e?o1!XU2RHeE5%Q>|d@5q~FmhzWtQ%2`J(~k>6koH@DAuE>*g; zy~2;rOwuu*EzrYpigOQWVeguCg(QnEmhzp<)`doi+dB&G0Ij_oU9u3`%i@Hky@ukm zs#2vYC&fkC%<MgOW@muB&wt+Ij!}@RnSBW2N#)q)(D5DT!)gyd&dxE;ZCF15k<_qS zrQ`GcI5zi#5H~Ch*_mzAWv$1)Sn>9?42~@@5aOY1t2u99>xaT-L>d*vkRaH3Kb9{B zHe>fQPrOl(KNwHuvH#gL@L>byX#!6)5n`h3iyfO;Z7A%}c<{Ldh<{MRZqT1ACK~ct z&W3d4R<y!8nCIn<n-265+@>as_zbhZ9_Xubo0>C9JemD9Va`^mXv|1j?BcDKfPz#G z)h-7Xp`0}D(s4#BqJ172lgx}G(kEkqGnUGX`(Tys`_`EaR%wbM{8B7zrrei(u_t|- zqt5|U`s`@)<VK2AX@A?)dOK_;Y(Km&%Bys_C4Kd4x@lNO8kn-c#9u=DTtT#}sU4tE z>p&khF!h7U3QSMHv~852UDZO<1}o+q`0%`4LiZt8r~MrFk_Vd!#Ib4cp9)(hFJSqz zp&{7d6ErvKeM}~&CMQ~%c@%g;=6ohq>H*r-`iMV&`Z-_JKz|=e_-Z|pq0aw$R0|9< zfO}i6Eo-qlnyM&g9)=OmZ|G>sUOEfX!=;v<&z8i`bhWBpTF)c)2e-1!*cbbA*+?Gw zY^#^ez;BV?poOprU$nDfUyKLsb5l~Kou@)_2R!`XeU?vQGdG0O)oyIB5jMAXZd6WV zgr<NtqrU4ej(>c)W)`NorQ*pAo|<3G?fEX*uvhFgx1ShoS3;oaV3fJ&BoyzW1FD)$ zV*s?9NtJ4l+EzL??7&Lhkd`VPH^IG!%`}3w6i=hdda?VPKutiH&2Ue43%u_XXwL%^ zQbDS8z|qWJ0!(+o<0A0b+R4nG_&&D*b>B2h1iTDIoqxFv=icQuB(#C49kgefhS84V z*)-I^bOg^vx@f@ee+^76VC%sP24O%!!61CCxeav)Ewz0k3c#;G#6@_YMAP%DAf*cg zFNO(wS0>od{bp{Tm0+X>Efe=E!rY#J#3w+CS;3ltE34<hQwwK4B3tVu#uUe7vT5?7 zk1{`#(|>eLqBFPG?qQw;M4;dPM9~^R1Xjg>;b#J{gO@A)gmfu)KK#;#nzqJD`qITE zyK&e|A!NJ&?>oBy-V<==-hZ?R5|boXz((@Rg!i%k*3FM&BTax8VGr0Yqtea5&=!_q zv;&>688!=hVrLl}DHN;*5R|oN_aA_Yz7$3iuYWGHDy@diybm%9vx&SsVa6KtmAS<x zk}imr24rx=P*FY`K27$YKYgi0WbV}DLQ4R#WDUK!Ew!3Ve=?`8T&{&Z_z%^$Mw`zN zqK{p?)kMk?%9Brhl{Sc>0bk@(-wO?327%l1sjt)eYiYn+d1@@!K^O#b<x}6nW-?K} zzJCG?P!UD>8VN8OU^BBQ-x~r*@YHvPjKJ^=@I>3=KSxn23LDM^;FqtK^GKJHswv^} zsi3bc%GX{1ZWTuB50T);7g>d6d^X^WqF59*oY`1A5?A5K?w>ETCLUJ-e(gI#BEkk^ zpv#OwOr)u%bZOEcK$aJ5fz6ylm8-@zzkg)Ypv3cX<J-Y-l=w%Hl<Nfr4f_@f8$=_8 z663p;_^rUJ^(wykBhw=qHZvFQaE1zv-sWuiR4!&_F9%CF?P{3YU@IW3@f;eht?Ow7 zGA;H+V&e-3$Z>+Rg%@(j<QE>u*<7*rMv8wVY(}l|1rl;_=4?@BIlzVYd`LJS)_>h- z$_ns)xKEwI8s_a#0F`9Immt#x4d<3otpKSPyZ<TAI1|Y6<8UrZJ{%Tc1%B6fOc~0U z6z;IV%D6M3{R6Lsy-GkN&<8u9-h?4$&D&Xr*`zlX^S0Sr@_{MldTD_a_-~YdenbbZ zdY+xvENq+k@PE{QLggPzG=&N0vVU>rH-t%fV*eMZAR`0xmJ=g>2gE#ACG$uN=jvU1 z{>OR#0ag9zn=sVB$^8|RtxDd-?v0gt6UHldZ<{&zFQ0Jqi`=vu*c@JEx3Gsxm+EsD zHb`06$LzfnW?tC9D_hD|a4Ag0%%0ui1)D}`1FY~GyT48t{L&lPjQVDdwSUbVt8iA1 zeK6M1!X}3KiETz(+>B;J+6+^~CS7_5-lw$b6S$eb*pyY&KpD%Y!jM(ejU|@rr4}ss zu$M9q6PaR5xSAa55Ww#_vyQN^m+QE_%fRvVj{3ISyP}<6?@%vp;0^dh_ZXv2?jZVG zTnQ7I?CFSiFT@X0`{$`HM1OzXnu=m;4Q*TN&Y}!{?b#I+BmE{a&YC0-wWmre<l9<` zPx;~jeX;+j|Abm8K#4J6;&x$NP9g;VH>rRb^VpHULh8?+LOk95cijI$RqO(##UT0b zSKGf(b+{?D(s$sFmM<udfg+zx;|18kumbyUHQ=*whX1J^4(u>4vwvRaHOTJB=KcUJ zFdWC?QTzrh3Kn>NlY%8hOs1D}!TXMEvZ0SGzGGp)VqiJ!UHuR})7T_$q?0UqM*0bI zcHM$N(k6FP*rZX=xMyf};2qgM5Cik?WHB(3C5wTP0vtyV=&<`|*{>j}V548RS!VG4 z*iK%sQ^tC+SIW7)YkwJvs{p;xV=YOnkpP>S*(6};K)5c5`G8pQ3pP^NS=W6m0=8a3 zl^P&F*~i{xrMi8>!dM6zoP2TlmvkE?E@ySs<H-R(!t-4noYfCO>W_TaJ<jTi>RGKC z!M5Gyc?x+zyOnarMJ42CqnJ~c)h{PsnX&tm*jAmLK?XL!e}5^g8|vyvl}e<LE+nZd zUy=ye=`|)QiyCzJ2Jd^bam^G~+t=i_u@v~?qWYs#YMeHB)a911ay=_{g~o2J^>hO2 zG-HZXma)&@(_B330sSE*V-1sjdv=gQ%Ws|>l|?Zg#Az}7_G73*$5+aGTii+23>#4o zk7{d@BHknrX@8Klm<l>{gAN6tgJrWjsj8*+Al{^adt8fQ{9QCeMk=UR$Bs4eAWw_Y zALX63s9w&C3at}eSpp5Q?2E1?`YoSWJB@B`v08vFG5Y3eB3Eh>D6`0bb{;JWuX{<& z$pHD={t;w|$d{J07cf)>Zl|8_uq(^5Z`fy7%(52W>VH+4OxqPsznE<6vX-1~D<w!{ zJ#ta~wX5C|BpHqI5}lN1)daAQT~w>sU8I@UfMW3Ep%^bH1je0@1ja*8WC2R8;6k7g zGp4?+AemZ8@X`yze4O_5rf<Pu2#M9IE8p<6lFprp-d5jCN2ya*BZS(0at(gw`Ga-` zrN<wQ(SHn_V+xj(z9+l)qg6ZlKW`uCF12amc<t?+CUnEH(O+rcm$r1IZK(~{fcXuB zqka|3BV2Y?7}(jTW8SG{GPe`je)U*LnWS=97nK!xR^*AeN<Yk^<)IGY?*~4qccp)S zkz5&4h4UG=L-n;|ZZH<-EQ3~^)%Z8soQ6?^jem3dD;;L*aXYW912*^<_mkIR2k?{o z)+Z&HS2sTGsqTDLad)~ktC@KHVLYFoyTa(p`BNz5Qss>xy!8P7WzU;6A&!{WS)bOY ze9jtdU49?O_LXZt`=>Dl*xMGTz4ua_!34?cd+#N-Oa6*TGS$&i$A<=)fzEND^L@}+ z?0-1yZ4Bh-xc8n(r{u3$k4$xJ?}Ls?pyL_P@e}BH8g$$PMpXeFJGl@9;;{beb-?-} z`=`Sr2Sd1JGx?u`Q8>F!N$#LslKHiS8KZuD++J^MmCXUEUx&hlk`-_!p<1FVs=zq8 z4V$8e;KuA^Qpkq7SzG@yn+DpZ0Zz`*%YS0S9A};;OXv;l#7LfL;ny8{EcI)EbZKai z10i(ABYNs-+5v694RhIF10*41erOHy0C--n`~BFM^M5sw2AdeI1zXt(h~W}LwS>`J ztlb~~Jk!l2T<h4{Y@5`tA-}-ht`9UFsC2JoXa96pZ>w6(4lAcmCQpxl#Yu{R#ea)g z&R$c8Uoy~&3^*pjeN+r_q1K9lU~Cx9>JAuG6sC1AM&(`$;&v-@*2~(0Il=TIx8YKB z<)XBpeKzp8#Bi-`E589^SiTrrHjMi3hjp-~JMUj<c6-w|^uS!Qp(69qv_<g#j!*6W zLMr+1k`0}|aF7T>1Q@>S)<s%t{D0*bifhe%)T9COl?%Icv&>*FQXr%Cj+c*$8^1wL z>9s4<28<@a(IU=$Fjrq7<Mkac1(kem{2r@g>)jjL$6rxY!{B3fgTSi1dM4aq+CV#! z;#DZHF=L<|P4Tvf3-QKhy>i(v-K<?r>AYJ05I%+&d>c)9Q78~HXHXwWIe!K!69)Cs z6bh(J8?=M*?!d=926L6;5**)rcUN%}H83o__9Iz{umj%&7WyInax~?^)N`5j=w+|W zA^EjDjjpU}+S9o>yYKpx%!>Z;kY7f8LL{G3(!XloE^S5JaZx1x;5!)fneP;5!sp}- z-AEH!uDD=(Nl{RI(af#hFMmoEZr0}q%JtW-e#F)7Upg0)?QvgF<D=$NJCi}Y@KAb6 zN3%z&S2sG%^Q_<Xm92W+Xg$yL`~H#ne#cbLfjqGrJw2={!`NXyT@>zcin=Sm$C{%h z4<w+MJ$4D_JQKmWX=<sSI|n*egYpcN;fiPaV=%zCzG2k^P{#I%v48I%u`E*IP8b6j zD}k=4`Us@9ZndX9kDi4xj16B(1v3zKBsg?IxTI>rX~^C*tf~$L;0Yhgg#xr}Z@CYZ zT!Iibgi5Zp^%Ty8N~&&raforrd_eK}<nHH+>lwg$n~k-);gNVm)dtLoK@)B}fq!*; zZu~-O?Yes#mFRT72Y-KDa(X~Pa{B)MhlF>M?)8@rKjOLVwC!4d=q2S}|D*mBsz;NZ z`X3|z?&`DK<0)(}Zs;5p`lXHI^~<d<f&%YcqCeC7^YQPXe_X|1c>T2*{`boMm#ViM z?_3JjI{3&K6tV{&wNJHD<xakjwz9EW?awVlUg`-JmvR?+M1ROiXr)FwF>;>1thj2m z`fd|C$bt}wEU0A@qOc&_{mBHLHfrKYCuGyh#U@j$fzraY^JYEDN8PmrSP<VPw8nxk zb}VQ}hy^WeLbOfDaueDNxN)0RR=uGUGD*ta#B*zlGRR#$K<>JRcto%Rxoc1R?OnF6 zf?_}TT(k7H{D1M3^J7;xr=0J7LtjcceCH_n)vr%~eOVU%Xsg-Y<kGvi_i9gfz|P=8 zL+{w{YfJZO_ADw;k(g78QRbAN*zw@KQ^yExijlf(hFqvwQtJ%tSWRE;%q;n~idr%< zF)`^3(6&3ubENkplUACYecdzds*>i^%Ez9M4>K9`ntuu_CuB@TyL(9`AuO37LZkYi zif}J0lB@$MMMW2_2KtLM5ygzr9$TJXe?ND*7_f6mNO`q3JKJNsqj%BMy~L}?$EII1 zagO8T$HD&3&Ch%$)R!KfQ9`=8)vRY{=l^c(>iSNq9&4+ulHX7G-E7>!ru^FPx7vax z{H|C7{(q_+NSr%vK*xivR+gzwj=*y2mGIEE*hCk{&;`(9to;XABOEcnH!OR3B%K#+ z2#8>bF?24pGhl-ah@$hL0{~6zQY4)l?Ezr0OHo?;R}KMt{?vg{BbVV4qcYhs`<TaA z(}6YjpgEYnU>74t*CZ;F4I|F#&zMA8kdv@{5r0~H(Fd8^0ex&|sFncw1XC4=z_#ci zc3`$J1%NJ`64)2;!GV2&=cnpNm^-fQK}Agv$s8;DfFYeBjx~NjTX$pEN&qxm_jhx0 zH)jgTg=X@t@iM!}Cc4^OYulM)<V0Q84&1C6Mm!mMe?6R)Mh?&&;#%9s6d`YfuS+l) z<bRFObq(eab_2F1&J-e-=+|?sNin6#+&F&Sdd^w_u#PVPPGC=FD4@Eykyacu6h!(E zT!~2M>B_=wY*|~~x0c-%8(7v|#86hemXeyP70`q3ZT}t*vVq7twiR!n4a-_g;2Llj zD;HVEwYj<W=K2FdIFHawPK+kgkKC(gw|@=ecbA?!BWLBejb<uL584~^-ebaM+ZUl) zoMqH3yG{5k7i}9F4s5U1@Kpf_SQ0eGEX;O)wF2`DYwK;+fHMTzcyp)iP+O5|KBgOa zK#wnmz8lRAwBurQJveHQFwX->EHRo6Lo533@fBlA0{6*cm&;cZl54d|-QPT&dw+V{ zJ(zXp2$Q23t3<D^Ow>wz+TzY^gk#r(wBYIN!`c{Gl5s~zzb=YeR+pV!5wI{!n0feS zV$S-BtBA7{dp7zpy~Ai){i2))T1mM!=}DwQk>KM>Qh-7iy5pmUJkS5f-kU%*m27+C zEf`P`P!Ulfq-_Tj8c>;y5P=q21%DBl6bzs!s4)p+B7uMrkyeDX10pjRWsq5hKp=pE zA|en(ra*$okRSvE!W0Pn&k5dp`+ME}*1dOq@Bi+%R<D(++O_wtUFV#foKwHl-c>g) zpYw3)jdhT=6hG(mFjmhi4JI*=rg*;9EcUXQr(pj+Z@ipm+RG-st=nhukbj-pGTv#) z;_`AYDY2J#cnV&}Cy}kl7t<mWhdZikSw=~*dfsVqgt)ot<hPb)iJ4YzpSNE-ppE`w zS<L32x=K*f22dzkTpmB`=do?bk|!pg%vyY3RHj`lXBmW(KG3?k>Ep|Im%CKHr3dpv z>_Lg$nBlJXIz6!mA-j#ga(@oAuJLr*yKiFsq2yTegSMVn!Y)1fud(Kat?x*;%LDgo z>mIa?sjl^$J>_x5CyfSDJ|5e6mPq>WU?=~W2lHJl4YGS|tnGbKv9>?Z<)^%hY3PK# zYb_70&htz|Cti;Jbi<@oDfZ|=TQYDy^w`)Lzr9w=ZupU+$1iGH_J3>h1}%vd!-mQ? z6tmLkiI=CVAHr^MveJkNmm{kmBEC8TWMU@P?Hz2c!M-)PsmR02cu;Qf+4IXGo?jFz z41HUr?OV5aI;od_7)<M^$aF?(;rCVfInKMhw6DBKdmbM=n0De~cYV}Eutu^@tS`%h zGll*_Geo&hX)fsLE`MJ-{cIl5fp{9A^HJED>tR_(8*V(#Ld73R-rPdLIP4}+hh4QZ zJSezCr!=}#+7pbOl`-$372H1$z0|dRp-Xe&OuX=F*T;e}D$~st9jD*6!};oFZTc3L zp1jc)^Nvk(_8zv#^t?mmL^7>UcdU>BA%?2=^`4~8oSXf=wtwdxltb-tB3|U}#Opkw z75iM&SSUrR<X(imXn0ke!<u2QrBWlE1G{r%o@Xb13C16tEB9qFKYQ_Xs5365X)NXw zFP<N@WZ&8DcHS(KT7uhx!!Q>+4_@sEk`20^$3Fg|JYh3^i@=C`gdwd?8&t2)ABrqd z>N#{ej<r<u_<xa^R_Ic`K=&t_McHjcYoV(O>pUTgpy@els?^SzHBEdI=(z*e`U^|v zv!U*9KOTX8>9&{MsTS!k#+{CTUA@^1c%ZByoIBEy$Sct+3P7P78bk5k1q7vzr=zLl zcersj_L&5AVK{iU!Rr$HHucvLd_nPGTTU7N#N}VQ<9}?s!ai#(JF}c_EEcnh$W?bM zkVgD5p5wOBG;N_@S;)@$U6)Q8X_<@*dXZ&=kxs4UX(92NiC2y(8OAT%I>UNh{r(a1 zm|6*`3765!gtz5f?09&hv1F#Hq_Qw^Jnw3Rx7VXNRrWJm^kpN@^6GAODB8QNJ$GjU z&lKytx_>*+-Z}gOtqFIJs&Nx-)7G9@6jg{EO@3vHa4H)-<`|HsWYB%Cc>YYmCTuPM za4THO#uDD;;jb=DH!j9|tW~KyRaHp7;;cE6H*=L;k1`ToBqo$+lTl^a9LEn|e_;C{ zQN^dd%9gRn!91+(?6Kw^y2%jx`}mv~;aU9!!+$*sWw@Eb1flY;!qH^mD8SsY(51T2 z1z+e=U+B_aC_6S&7&udinkhU!Q|LQ0RYeGjmP=3wQf`bi4pV~}2-EU(%H5axCNIzR zy>M5Iu`DZ|z~sEi$r){T!J{M{+i9i6vn=NJ=;G1H=>lgZ2Vqw1l#zTcZ?s)yZ3oU9 zC4UFJ#%aajuZmecPTO*MW9=&Iz<0UaO;jMQc%i14-oqsJG1(X%+Y1e&;;c(c@|>O5 z=khr1W3nAHcoaSi<=(?g>|?IN@S?nUhpBpqvq~fFNwOWXJ}B!jRDTciK_BxPb>^p1 zT)uPQp|kMX9HY&-R$eIbEtEWqX>qmz;eV_@o2(4$?;qU3wa!XTN3zT%=8o6YmFiR^ z4W1}&`q&uzg}zs*6rSKA4BlLNAq{vMDnCB9uS{bw`8{6n@X5Gyad7&)#TRV``7mOg zaJ`?@-$QVTT6`mhbjr6SGT<p3v;T8bO9}&K(wh_(T->IZB`J$1GvA@>>Ee^!_kTzG zcf~(vzT+$!iO(4(Td_j?QDs#X-BuilD!6uFv9(X$jACPJ+tTR~SL*HxjMX((+*g`z zpFir=Nc+u>oV9t*@-F|PK&h8Vks9;K-S%tm;00{w?7in9qam-WD#ilO1kL(3USAwj z4Q##WS(|DgEt`WTs`}MjUp$c^xPMPu_Q4~jBnI$^wiZaS2L4co+}rVM&neO<XTX{g zV9m}#v8|-1>n!{_UayP$nc0rl!^^xKzv>Y3)W_u4((ivxIK5HzQSI$r-sg}0d*t9j zcxCv-I{oqhX3G5B46bfg79TV|9$U4p`RlD&R}B9tOyiT)7=p#!iim4g>VNKLanB$K zar%YJUKGwEH}gw|@9;Q=UjZ{Z1NGl4Y>agIN>P1I$$eHuYl#TvU-SP+=PT+H>d7iu zQ4V{BU6C#`V3=*vGelX7crX}So5i=#Cosq=xlx3erBCLWFe5wY^j;x5Qe~1-bkK>y zhwBqM$tu}Vf@q8{#bS|5`hSuUGVGvF_(&ehi;{R4JYr6sZy~nXlwIiIdLwAmgHGjs z5lTjV7PEF1p~tGcDd~&cu`icc9~v?IA{fgan&U{Tj~rTPNo;G8c&5a<M1rww=wG96 z%r3Syl^|mlU(Ig79Kw*twbDG(`Sr-fy}ZiCps~<#&(FPQJ4eSlgMU0ihM>~t>nvg^ z$a+HEV&}w}A?``RM|e^cG}$xi#53!P{>;vaBSYM?f=+nSU8t)^l;$&XMgQ&23Hu?g zlYjwNAwyj~qsE@eRrD8hPFM|{e#WoFAKC`5Y*aQn-azla*IBT~m#?NV6&3DY7Z^*y zU(UoI+63S18D;!z7k~TSo2Xr}?vWqPk6+t|`>|13cx*hNPQ1V!I1E>(0qAU9)L^Xp z;mfJ`9z{62S$Xh7IolgMI`_SAHj+>WAG-~Oc|@5!qtg4wIw!n`PE+}h@I4A}<9g+h zXf{6z?p~K3>mK?+iS2DMn%@{@J^2<#i@54(_e1yXv2tPUqkr+4#$IYwme5s5EKgrH zN6vpX%4$=@wgnTyyNUx676P_#W^fj64}3;`nT`1BJa^^HeaGrtrx#fPI)t;tF!AC~ zhcygNO3Qw{@fZ1TkV55<yD$Dw@}EvBd8gEMa6*)e{vi<l@cFQXy}`+d+IN4@;9n#C z9{eH>J=6C){(t`lsUKy)=$alljIJfAI9tnpB)n5?dc;{Ba)zp+2n>hf&+j^$FnCp3 zy!*+avxnY98cUf=PkBvT(ii-=g&rRsVNuq?dC5&YbT(nw+;ugDI_2ekONqGkF8f{0 z>tPJvejh<wPLb?K$qQ{!3Me&bjI3O1p2z9?Y^N{K+kZlT6dqyQbIE2OK^IW+qRifC zQ4c7+R;r{SYpw+-UC?*hc-Q4!&5=R#<Do4PK>Pa0M-YnQq}RkgeJ7Q>NzFB{%~)Ka z8~?0|<_SNF+>Mq(K<Q<q@jCP7fxge%F?{cRPQQjnNS3##zPuEyq8Wv!SckT>0!mGh zSNHi041XtStg1V$=%sA8)<D*ORb6V*(UHN}B74ftRb8g_w&%<|ItKQe<~{Yln}6Bl zZvK@I2hF!Qt>2NIuv($hdkpK9wbO*>SK&NzAE<}2oTxi93RFI~e|c5B7L#L9s@IaU ztB;wA$q6j&t?6;<@Iv2r9*Hf=t#+}EH14sTY=5yxo8s<hbu|lt2zT+pg>FJ5(5Z;9 zrPTsQE;$u(V`;rWoI9Hu0}Q2Ir0A;&`}tZ7gxT_H;SRnWqt`4%QmEkvSLT#3iW%q> zeUghJ0V)c*?YM=xu4&d`hFwSBz+caO$xt%Ien&Uv6BuGnrdUb(4!$g-+iZL_U7N4T zD1SE{m!QM>DvUtWaR^<XZ_HRQO<qUb$iMx!HB7;P+B(zb8^+S#W+al<8i^AQ^6xQP zQ_@YXNyhSmHQbt1RWo(&QAW54Ov-2jL5#nh;dvOg&IpVFHDS1?%H1>uV?&1-+XOn` zf~lsQmhgq2foo6_tbV8}Opif5yeuKm<9}8iUS2C8Gpa&^6$KZ$F)6M$gI5UxxSW*a zuwV(oDt-_bpOSnlSW0k|tC|7}4_+s*;aa4?ZUwIqpt+j_CS1vs@$gDHfeSbAZ=*75 z%J}sqMLr@G8@?pT?@e8n5IS=8Q+uxmLj}5`4Z~g5(ANM!<~8GZN{lIRv1KE<{eMm{ z;)T${a{_HXEM<yY!|K>Cxb*xdSnP<$;+wzkYkrXtW9lmQZ8z}V)x=f&c?QQMS(+%z zk7Vc{ZVs(f5gg>AQu9bn6tWeCODWh$ypX$UE|Sg2GX2{wmvkvVF&31j#CKvKQ%6$D z59h68Z6)mDo?t*z;fJZ=V{-J}qJOP1QHS$nS&{@@E;=>b47-*N;SVutQuIUFn+SXO zdJJbXEW~IVZ7ppBVH5vn?jgaJZAV~^5-k=(Kk>co*At6^)@C@k<>h)pN{(-Ia9bYi zYEL>^Y}(?(%W-fUUt>89PRFO~PyYh0jIHpv6mI7>E^8U;W(t`}s@7S*+keh2Zz?Uf zV68&uh`2mHTxztUx>hnuYE*`FJN!F^P9=J#rXFCy*6s7yNfO;~-vo&wwh&(}nlRU3 ze>4JwC^1n(thi{3ohl)kq{mLBVJ04(BngpjpTUCY_F3ElG9$NQ7C~rL1N%fkc8|#d zg`}v>^2Wz=qkwLf{w`2hwSOY40)*g#+Z!H>e6x*8-558eMiIc#cAO&FYd#Cu(}`p+ zzn8T*e$?-UHfzKR>9vMtZxs%2C6e|cR{PtxZfNogaeD{5Mt*+zj<(VI$CHvtc2>5D zdu5u^7fJZMxHQAo(3kPr`u;g_QGRLRq3TG?m)M&MoeiN&XJU3{SAV<MG)rB@-JO!U zI&=Yo^LA8h#7%qmpVPz~8V#|9%tX_aqn(Opdb6tacIJdO7T37>UUtNj9{~@A+-M+c zSItq+7k^cJ5fey!#nZr}vUULs;e&RCPF*kyJ~|?GwfCt%1Q+SWBT{?YKxRh}q-2#V zeL=OYofXFrrYxA|-G2iPNk0gJ%X#XwDI;9vJ34M7d*~3X$hlBM=e%@er|AwA%x^xL z%p5IAUi;Fut0>di&@pMc`H>Fdr>IHwrNlTRe!}TdBoXeZ(CJ9LciI1JwnL5X-oW6D zYGV~UH%Yfv;%AKkg8$W=N}BF$(_T8aWXKMe%1s20K=p>y=zo=?r%A-^8NivHgUn=p z+E_$5wKwNh2r2Nuob=h`3lLVjXDDVQ?pnK0K4qzSHLE>1KPUFP1=sa{&I_;FwM-yx z!3;D~yrgpNm+!14S?#*_75to&rD-{+KBTRj(f4OesMG!-dee3Nr?Y5<_MwUN0UXZU zxzb16hD4{%D}Rg!x+Yd-TYJS!udNj8PS4;ubw&t7PLhblV~A9-_z)=t2e%F@Cr3Ag zZwjwAUu;B^NR*RN&%a2;ojy&1J_NoL+zCL8x({4wS828Us!euoA(|Q0OLoBRSCAsM zU}gfDSpd!y4^imE0t)KjSJn$?RRLPT_JG!l6tV8Tmw%BY-eb_(&w#aV@O$QgCQHC? z6#aoF*1a3hfPxy8!w5r(KJ822^J(D4t93Pz=#x5i?3L6gh5b-w^3E~Cwd(m~2)GMU zbyTLDT7Kx)vhJ-k34x;>B80TGRiMg+rG{KzQW3zp*i^??!BsB>9Sm2KkhQF}RoxqC z;(mc#9Difw&u+P!OY4d|M!jaM`^+ITDFg?%!pp}YdJ73KrJyEH+gaBD$V@fJ-K&-# z)3zXW)iVL9%cy!HA&}-bGY}@rYlPzbSw^o(h%|lU%z{k&w?E-e%(0>v(3Ea7YA8k; zDb3m)JgZ>uM$Ptw;J#;vB>R)u9<9K&su?*$5`V5!=p1#tqn2+qon7sr=So5YT6#+J zQllAQ$M^E8qyEPD<&(r7CBTkc25{D05R=b0zW+18_)nA3BcpRAc5a37=~B;1s^FEg z3$xce*^1*Fb8A7%u?j_YM`Y3j<wV6Yt1dEWni5sPVRc6)O;Kdms^tfkwM+$nG&X_Y ztbg?hFUibZ8P#Kb;Cimzz<_|o;_gB`w>k;>VgqPW56~~C0btVZL5f&80Q|TXRWHQK z3zeP)^QG1E;bkpm!F+9iptaNl^W_1ev}H#ye}{U0cX<mXm@f_xWi9T(d?WSz50NUf zl=0IfR(oX9R|@tFiS;HjX_A5kILyeT8GlM1z<CEkN5|~yC>#Y6%)eMH)~$N28QXW2 zSpN0I#Z8z)4zKOs6P<6X=f?<?BAX_5wK}?KO+-bX56`Q18HFZ_$^j_U9W1#}sHpx4 z$^+|3<4_)0PvSt)qWTE5xS+<-t$89!PgKrC#dY?n=X(a!<*{~v3d7K<T3J_;QGX3Q zI0{-R7D&S{Zh{9v90KdeU<2!^C>Ut4X*z0LWbg~L_cf^h8eSO*>c7AT$piW`JICh^ z;^w4AZ?h-tN53j`%2)I^biR}twY2y}#eVxw(F>ToC3p4w5ykwh8mZ1U86Y)Eb=uzp zpRYE#zYj0`i~N_!k^cWz17?4a{eLJd)?esP`3IXTu|bk%ANITO*MEx)KcdX#{%3^D z($3V9X7)Z1R{&1WDHe>GJxM~+-y^CcE&y*R_C*J`Bf#70`AT^;?|^##4<?WjI}cIN z>dcQTL92leSAtfvzLiH~MKQ14^!K7zSDwR)I!<FnedOIrY^&xs>+il<=YOnN$36Sj zi|scv1>ek^TrpFkwPL0_dZjmX!-_fPw`NvgGhjgB-hoYOa_N;+et@jrKOX`-?kL}z zcRm49A&@G*H~;k<1lJ@OQ+GTKH)_g3D>}H5@hJE$-FEpN=1d>+HU{0{#oI|O@h!!@ zaPHpQGrkvt4)@~OQA?bGqkp`n`zMixf06$r*(v@faijm~<l8-hEq#>VoDbQE!gY^7 z_UA4B74qtaBQR&j-(Rl(1(MtdoY&O%f?sX;1xMBs-)4xFUyN1g<UNrZ4IW%1kCvyJ zZl_3%E|D@-0KivwtyKj0#8+?|iFA2AIOvqI6hCJQ!9CUIt&cxRf`6`HMI~{0m;o?~ zTY+sY>>}b-KqsrZmX#`2?k~c0xNm5%gk59{2puFus12N22GC&l3bMn0O@sD>zS{00 zG|1X3!ja{^ZX%a$MJ#g{fFsLMB9O__*awE&mIu0hE;NJS<giRbQ0TcLR9|U{Uul{A zN#wG<0Q8yrObp)fOn*l{lr<=9Mn2DZ(V8&)J(ejO?pF8wD7>{4oGIpIrL`tr4g#l# zbM0HVHu-shWRrbsY?ID*gXBrcO4~NSN7~Sh;7qYB_q}0j>dR<Necon!H|^4NN3UoL zh@RO^HBrzk&Kol0=|~bjJ4G_;c^w(PjD23s{@R;Utpe`Qvww4)UWh;B4P8nt-yIkd z_XDM57)qDb6aRgWixRa258#Vx;F-D#czW*#k`Pc@2tyTPsuniexsla53Z0=7Z3~AV zPRU+Z5eL^ivw_Uq^l>3UBc4=)J|l9s)$%QXCo43<>8+@~@>k3fW8nz!NCh6XD!<g> zK%Wv}>TH&STYoo=a;Uq?w(f>5OZ|Cu(_YTCua%{zd6B3OCN>b94{)=D<`0WNpX*ih zS%1`6qV2&qXr6@ifpKtX4m`@s@pdF5+Iy+d(hM>9uF}=Ka^UXT@Y40u%o)IfIbcEj zYqs3ZF9_gmGP<Qx_WPNT3jkAiPN_z!x(ImYFT5;4jDLJ*+i>;zC>3b>9$>jo<ZhKD zG60xRw@^5I_)t^<cyMZ9XJ<)9EPJ=+3_CZ~vIfD^Wi5qHrR5!J`TC2B3Y|5;<s2!~ z1yCLyk9treHF}MTyLOtCcpA7tN>aq&;Jz&v0r*vZ1k3_}4E`ek#8{$C2ZUsCz~B*c zAIuWK%zqYWxCi8eELjj5>RSRC6##n}0PI1LCrN0vjA}jLyRlFJ5?BIYP!&kl{A6YM ziLE-T1o;_afsd<hSk@|Bm<6s;eLN2MaRk|s>`9rcftwT&rqFqNu7|amU?7TX#mXA3 zBWQE2Qo~KLV)XrdJ%*Br97$yzeLKIM;cP;_g@3t$x%q8vz17_5lYuNK0kD>{w@?gT z=|pNY=7i*MI6->!R40-U04Y&qwfwQ(8B}I9CZZ7aulDiN;uvu4E1|$ieG*b#7WKfI zyq#Oe@#hMi6F@~%7PyVikce79PXOSv0j^53)aWbVY9}dl;zOlI=YSg}L{>dt-e#od z-+w#Di8iUxNZ^NIfe&itk58ZjTo*%?ke@BOzq-r?>eD`uONNBwc#K~(4E;Kgjb(AK zAhtD1jp}3kB4N4(VhhDe$PtUk?CRh_^N#tJJq~VFev}d6rJd^euB9!95ZeGu!NK@F zg2{M7X0-JWc9TmiPm+o;eo-(qFn(FcRDVhwv4~d%@cn0V&U{NgFjgTK&Zff9j{u>~ zj4_{L1zZrsdv<uRjt+2`p`%2uMLaOlsZ6HJ2`}{n`hyt1Oc?qhaQnTF)SRMh2ZlYz zSzI5)wgiAx8=3o+BJ%*ae|mxYSKfxig05Uz*=hZ4-EU$nT}iB3c*$)58<iK}uYW=T zY=qk}-l+y+qxIukMD={U4v3*x4EQz>Q(-<qDImtm9f$4!>$x204zMmYzC{I8M~-(M z0Gl6V7aRehl?l5b04z!D{Xc?yCcEGaSeF>@)B);OyWqFp0OoUMqpUzPvR|VjMP>(~ zN?^CVaj2Q7yJ2W3$e)>tl5v+*&wo#+Nsaa#gW&EgU=V|d60yK*tI7{nTt^x`xsGJL zxQ?_3o^a{U|2z=#FY=!uxqWl)5C2f|pH4piMV!d={jJ2}C*_RnKh*t~N$%HQ#91Y; ze#igcATe9LQ><4jXWTJh)QQX5<z$F0T=*(^tr&RUxtoB&s|%M-f}yO&0Dmr0P|uf> z6h+ILiA3=dqDttB-eHj*8z$15g@{CSktoo5MFbUz@GGLk6_JHVw4|N^hRrT-6=_gc zIzik3qh@EuSIkpWz;Ih3YDFJ-dprkTUQt=qNzR$oC;V5{eOx{VnR#_%%ef0CcfD*( z?(PHd7z1D(vKUKLYCqA8M}MV<D|AZtFwdNeoHnK6%u8|ioZ(t$%R6%t<#Umr+nZ!( z12diB+Goq(=OntGBnk7k{dxG~U*tbSp8e%dLN@=?Nxx0RpaIHnB;<%ET=#`ze}1a} z3VHYL6EaWj_x%3_vhJ5Xg3~HL!4BRrX3IU1gW9_x)~MyDTPSqO%74tmx|%J*OaVG- z1%|-`S_42B7A=BdS2@)>YXGjJtO$n9xRci>Yz5Z}+PTjg4-84RJ)Wz!Ar-ISu;z;4 zyPY?I(G2N!xfx_8Vr9xMW<{7+F+2(iJ641MI`afj9+f1DgB`CJ&c1dWum^iwy35Wj zWQ}Fer;O^QEuy(KVSjymQzW?Vk+KN;g{)m!wP>4YRxW1(d(-zkFpfp994}e~{z}jy zAXb9(dYJ;8%M8#bFaUKKI~8Yt0|a8eSvfD%6EY+86Qj($9NPSzX}3Yr7S|`(=pqL# zk>a*X)y-LPxwte8X>Z6Uj-6G9f$fLtDS-BLwCXr$J1bEMAb+t~`&R#^k2^r0-6;UZ zen<(y5$s4vU=XegT-^n!QH21<FPG|Up|*XQX=SC}%scA&g{WovlTCyI-z&?#v*$gF z8;>M~=AOZy?Os&uzF5_n8yJ7J0X-R+?SN0{^+9`NM5z_0VOq;eoopXHW}jqG==&`# z$oS<3_VJpVQ-7<eIByf`4D$wtHw|GiM_v`nvt~3SN;vJBd%5i{wu~G)EyX#|9?*FQ z=qU6t>oHX^ihd~lOK;1I^*_Odx|f8=Z##GW#A3db382n6>8#HUuap(M$#90JkXTGq zi+-7CVmT%6+j7-UFgcgps59FRm5AbJHwx}tq0Vf=KY#3)in)#=nYt+ZqdKr}%laF< z6)ILMmXAEa@SX#f^#TiWYtpnLsK1UJFDPzE&CA1d(dCa{qz;~`BnNnZEab7OlX$yq znoBB4M%^QnB;FU9iYBBAlCy2w<j7h7261VpQsTBbi|U5w8a??h3mnANvpYTyRHz7O zSHk>pUw=ok%3PBNW_>REYn^NLH(O@9gerbws+~(hYN{WJS;9~r2%{ITEWXpddN_Od zYItC`eLk{L?<a&O{8e}EO`e+Gjvh$P_$F+*Sc!Vq{nIsFX#&-tBh!18JA7W}4ZDsc zy`nYgX&7jZ49EpS^$#A{Qd|+-)mDL=+RUVBtbg+<aqs@1_kHiH!}@X1yX}q1qfCsB z>XtI;p?RK@@f;4fs}HHRt8Yh-WbcN`or@P@LZ~~>YC0|A5xb5wxbl{G2Pd8`|8mfK zsgLe)Jbk1}@ZQD$NOITm8;&qxd4wa3Yjuo6#)Opgo;vBCG}@kaQ+Eh>&wb2)bErAT zDt{|`Z^zFGo7jpMI$p#}KW|J|u#4wxvWxdrw2LQeWau@kW$3|dPoj-!Da;@{li~mm zAejS+03?h_@%-BxSEh=9^btr8fs_ep8?u#p-lz%~um&0@p9UJI0*!+J<x)Vw3Q*X- z6uRKiBi6H;c-#&>HjFrcdGl=UMh5fjWPh48qb$KOM-RcXq>I_E-E9|-{ZX=QDBio< zciTUFJR}5{xRex6)8^|*vPO!6pShPAwyEidlck7K{Cb9}N%9)vN~CP>YNM_GL((an zBW*(nz)nEh6<-``I{pAQ=SAj1UIjDAHx}U96bBrA#tholl>7JSmzD6{=9@{YjDMg6 z&40L&{5`UE!^#%E5xqpf_Jf9Oz22L%y#sS-2Rn3<vqy2jf#;1=Pp<e5dT^*09pY6d zJn9~vK_}J5Cpy2&*0Y|}hpSV2lI>KQ_coQBa#N?tS7s{v#bV77vpW9>!TDzoBD8WX z!KJw2&|dC=-cM2Exs@)2ZQ}!+vVWE>h`5IG*%8*I1X$ub_{>Ppr3zT$t8zYy#dSj* zj71KidbsNm2X7;XvRIdHz!KkTPT6C0TF58dV&>nC`pr`MyDnD#0Drc~HNeGc;VG=e zOS0wfW6D~_f_37NL&sT{<PZlR0YuLwYed{@&8gRzq8J#oMsw;2ricoIHh*ePg<*=w zFeo5`V2bi!jTd6(gY=orWRLuYpd=;UxJ7H%MdOZ0*{_rx08tky%coo(J&?>ZcNbn# zD}V1<)?yN@^NjFJx~ekCX{e)P^kd?~M4C~k)>F@e-OcK)dWOp}icMY=*BdQ;^HmjI zG$rA-yHRhK2O&IjU8F(W{eR^K>GU$2csHHml1XUUBxK5Za1rj)rl%3|bI6aJ-iR#9 zjzWzKu3y!fg*!b1D~=}_SebtC);`EmOldW09$8FIdw%F~_l=OaD;ukL>@Vghd|$?3 zH>Oj{b#z(E%W3g)zjNPsKwVE~pL1VCMgK>zl&1GT1j}(kU420f^?z0GMAU=x;n=V$ z9#r*>Y_C*E1N_7<&`O1+G`unl9;Ch~Gj5=Xn{${OVeeN3OB8!Q94xcg-d9Jz=6rRm zaCZR97<&I>(6ClOT?=T~l^;bB8U6&-b_YttI8+;~BRJ4jQGEnzA?olAJnc15x_*M% zg8b&$sJ#U>?IkDfEPtK!IQ5Wzloe8j?;UTjyz`=Ev_!)(y*D~`)5RULu9ZGXI#oss zxgTDu9%)6M(h(v`e1cJ{)Y+%2@ANuU-yy5Hy|ywLT;$%8!lSE^N?sijJ;kg3BL5AN zsJ>*5`a{WoI=TCEF8T7?<y`DP1Vrar<oM~nT$xgo_!#qgxqsfLf1ezA^Gi89_0^yE z|NkRNzEe69D>IO5Rf}xC^gSMU+8ZL&Qmo$JQRB2Koi^0ZPJne)zbiX87~~|b&bbvm zl_`^*pybe$u9NSBIc<n|LW=gSc{ks;!d2B5aUH+abXRn;S9DUparH#pWQNG>;;#}8 zO)}~n$(5F{-hY+O&aQM8x=nNlWVG90PrgsE+X`3w8~3t^TNwgycRx>X9arN_sYOq1 z)%^5$0+F52to6$VbH&;<(o*Mdrrp@G9q2^g;MC0R{2?O6IdIQvEsPI}e5-gOp2e)` zV`4=SEgBf!gjZu}aosE7QhSck#$48y_9V581XqVh<9|~>!S+5OZ)&l)!`*R&fA0su z&l`wOfBB33Z?WBt|9km=K0ERWxq<k9h@=6`%sKYQ+P^dM(E_$C>BcwM|A!Id7u*d+ z5#x{Tj#Ms%uTnU7i@}g^__)hYZp|;NULM&0i=Nunb*NZh37fs|&u>=PmwoZX2n{70 zcE^yD;D38bVV}jm7<OiBIt^j(TUteL78*6PJeOlR&nm|gj9Ekhf4I3qi<N5DABr6L zGU-{AnKkn3m)2BI6IXB#n`exe!>;Ry8~9-iMLYg4#u@|)Z8Ph1HufH+uDva1+7dqg zC9L=KTEY>oLdq0_m0E7XNhvqQlg8EwJ-H_sk$(&skq@nw97}~@$i0{vLvn!<bh-Aa zfu?z4LRGE`L){E3OW)3yV2BZjqFsqPLRG}*Tlqh8tx_!x>zm1ivsV+`xshtLF6bGJ z<=l~;&PM1N&*_}3kzu=diSfnbO8UI9G|%$Ovu=XR2O7(V4KZ=Et>HaIu0HSe%7z;? zT7L!6P7>C;!mlFHj_jkB!i3>R)gP78@bb1Hp0u|3q`Ow*yV7(M>R0b(_blALd|kUy z+R|zt@z=)^2V2pk%r&-#`(=F6rYeT-b@ET1cWRCGbNk$Al<-&r-a1dZ4PW~Cyi-H0 zCV<Y@s90H|;H_b7zSmX4kNb1_Efpbv&42#emyw<{gMHq0$v<0~B@ExMt_}ZGUt5%< zjr?Loew~)SvGt@U?Z<r+LrEtrYZ8Vht7`*iEzdjk#^$cEtiU(#-rZ;ENNA-@JW4ul zsbtXFD<)6n2c2g!VsoV|dnOb&wwgEbXF;Q{Yl{lBkvuEw+O(LBt-G7}<m%e^K!4!y z@o6CvyV>QA8*K}<k@AnPjk{P`?noGR!V(VY(H}k5^G_QQlgExt*Xn$T&6NX;>ThiI zX!0|2`)u~r<2<t`7PIce79D!@<6}*Jw!qob6CW=b<{x3p_nfV|07^i$zq2>M;L&l* z4ue)6o4;P=h6O4Ol{g$#{m^)|T!&?cVe5Zl^~15b2fD4(O@5wk$MB02al;axG`K<1 z2WUvP2dyP`Ov)u8bNF7|@NQ3<zCr7=wDgKh-+rmFmkIq%lj^HI=im7q(N7#nJF%<# zs7gOiZCvMeVMxT(YrV+C#Oa$Q8twAV!TiSJMc+?Fk6PBxy4$g48gx&f&wM%6`vZT$ z?kDP-;oGM(8^8El`J<>P*Vx>XvAHd!N0A57$(G&tOzeEw)yYESWTEl=nXZRERc#XS zS6W|WV(QOFJRW*|6fNJPQRbPmxUe%~!7q;K{pJ8`n|`*hg>3_J)Ufo33`RRU*SUW^ zbtcN$xsZlC=8SjpM$xlSb)^>l{?vc=blRf|R^`^*UDy}co2YaekG>u=u0x%va7I1P zZS<>JaGEQxD=&7eDVFbHs)HUfyg|@IX696>UIS|^0+aJ=uK%Uc2XjuccP?5KD~n_C z(;WrSZLY_2ebfZ@D|=UEK37`U4exr<f(vz)$Wx6$D73~iu!pN!bt~QnFkOF#ih5sT z&l!~LQ+D1G#e)9!lhpGBermG0+}ZC+tc<WduAtcCYR*<?)SLE=tZRhHy9<t2UX1Ke zOXFP8;<WZyRd$}g(w%<s>klU!n)?$T_EcHjC;H`47eg_ybOA9)JU)0k+w{3>Z96*~ z-AMPDY^LQHMknk^nyP9u3^;$vf%&wmEa^-J@pL}B1kZc+_{Akx4$tm1f*5T~xZ%RD z>Mrn!%5cuaOzX+;oHd^(pslfisUF8qZ00#%Z7}G5(1c4vT%_L#6vkCq$nZv{tPQ%a zVgn&1j;Ipcd|e_sib`MCt*+bsV!kc`olB*!?rzX^URb0w;T}~<Yt4V#)V<rAO|VY( zDWQL9O<(Y@xWc{}Tr(0CS@^uF@@zw1)hIcwBOjL6fw}tn)0-q;eVmW8vF{krk>K5B zBJa(kiGz_#MaHia+tck%jIcfw26$~8Z#Q_N>At_;Zy9m0!SzF$x1akLm4~I0y9!s; zH!syUrww>+pYKwg?^1uCFC$F)wheI9>YG*So1^b1tM5dVt0H{sn>Fj3Pt-Tx8t@Ds z;Dk^^+Vm5iRY0LIy0m?-mqQ|L@$TRU{TR7d`Y}1%dZH=L@n71%^f*~iXNsKRrL^`> z?Q9=Z>vL4S^oS8vFPlbhX_`G;s`n;`rIH&7-0`9i-3l*sg|mNlA9FPohXUhbSQ~S# zd{Fw~s0S>jRUfk*!+Ya}R-)p}N=tH_%MoY0n{tq_T;b~+q+%|5yggT@<EeA`?z7!5 zb6ASGJZ}4#47kPb>`fHByturk7}>)__AzrYye2QSJ{Td~&B|eI$mR95vj?37Y{Byo z&NaDllX26KPrZNEa2?#Sv8Ra8ig4|>t!wem@o7!<6rNRmHL|aWOWpYC{^J=-S!(se z@Ot!K;zH7BZ)ISm?KoD4*h~CQwBJ3)M2YL1iY0y99}auH5+)#UN5CE9Bm~CXz|?V4 zrIJ9C3s2>k^{x_X^4r$(v+|72u)ccXv+`WZuX6H`_qBh653tfJ1;$nAug1xitg3^K zxmr~3*8b4m#7Bp^>q<QXaSeWhBO}78_Pd;%t<BEbNmP$R*v4z@xQPng{s7dQe^t<* zl{bk?sBm@YjS4T7oO`D?EIos)SjvrZ^%(o0S^2Y5v+K@XTC@SjncBS3GrfWvm&HR~ z+65kCRrP-yx&Kx1XmQJ^;9XdYVChwcUYxCK*_LfXTDPuH%BG{GQ&L|-P98XzRXGh| zv@eNqt;u%QYj!29KW32sf8}#3@la*pE;80<LMLNz0$FcV`Jku29OEv~IaEFJ`8KBL zHjLGb>RXG^`9L1)pB}%a&wNiF8`K>)&}a6L$1;B-4-VAPZ0gBlxslr@-Fq%Qgt6?E z{NIsr6pcl06Eov@u)03;19_;!yln9irYIW5vQhGH#`c+;Eplg=m%<kJ=sPu&F<Frg z?_zo`WxyJ<A_)Wfc*?op3s)%ilksIOf?z)sy68&qQ>C>C+A}>TqpD0L^d}4b4s-hC zj-G!@W(ZoQ-WPCRqsT?>8)n9@urYI`g%+7&8{1%c{cU3w9UbPvDW!6oLKxCfN#-qf zz<kFdm&v?zGT2O?`H`HPnK(ySoj32FnBys)XQ%fvtnQ9MM47cZREdoK8eX)(@J*{? zT>IYmVlZPezM)vT%kx^Du%Lgcwna092F8EaRvuMu81f9LqZjmd*R~jk#Nm77;g!dg zp_A!^I#xmdLT$^hh}ZD3JJ1Mui}b~Mwzuc#>H7w>0qe=A`GWrN+ItPjTqwRa3MOwc zySSF^9XPs2HA-Q!F0c+=&|gzqa4I+r|2_f=+ZClU-(OKv8%36{Q=50E_qTTzeD8mq z9fx=W$J~ZW%h!FGr_lR{It%uA^WVV+q57|*tT$RDFWzH&yN}N4cyF4txK<}q&|g^F z@(|Gj&m}`$cSbGEZ)JNUN9PWBuY0RLUaE%+iZ+lKOb@KnE$AQFTo<$Vg;CA+ZtbkM zGbd-emKg6m7alctBifdoL)c?u2pfM##5HT|^vl5Hi)os0it+0*SeOBR*X)|RqpjN} zf|Y}%T)XD=c=Fl1-e!#knVIR(OjC0)!SM~{C5M=pguJZk$)A=_I<_89Q8WvY6GHh^ zM(^Pe8KD9{h7oc&WR=j6@5x9u4H2V*n^B6b#O^_vrpppS^}mPg`N0o0p;LcHQo9f5 zNrO9L`pNrkpnb<uq{;bVx&A4lJr(!y<3#HY$z695r>!=U`rC@~GDZ@FANdB{35I-% zs;Tvjq;+&%z8<6dAB!Qv))H6q`xx3O3Vc7VZ7R5UkYGLcGDFf#w6M^6K8YcDI9crP zJ7UuH`7U6@-zqwsk71~qjZ1&grTI+^xXJh$x_Hq|w#whvEh6mZdn*VxENzL-UKUTv zN?n#EDu65htR>3xWf&c1uHr&teikF|@b6a{s*)7y@NF5^rifKS1HL<hY>E&Q?&F&= z#{Y1AAtRUo%7v$3!z*P7Dn+D91;J)+d}{BlU}=FBS3kuyJXl5`&OLv?4`;wkLe|m0 z1FrQCTx+J?GM9FKyRhHwfPqXJxLs#u$rD8Gs{R|@jM4&sf1{y}z>es-$f;CaegGpR zOtgehVQTY@Bxxf_ffTok;c1$@ig=L!Bex+{E3|TxU?VpoRqICO`h$(6sJlKX?pcP6 z13oDi2M#y9B|W+)uCaeZ(#c%5=&tgmt^dmcD~j@^Sux*~!99}9oNDDuUFkvROA@rc z%6+{EQ{<hVe|kDB!q9W!`}}Cz($2O>V>xr!Q?G&uC8GRYwsqfmPf-wV%&XTYFnr`b zf__*;B!*AiM^FumkiirgY@sK<dL4x++PQ@u{pz(WhHtiypdEh}(NNw(e#wp8q*;Qe z`0OJX0i3dy@R!`;O`4h`<}e7w(YCbot`brCuFKKB^KgBq^><xP^qqIsciM8-<yhZ& zx{`*JxmKE2L8KCK<6W1Z`_3mS5x3n<vhMo~iy9zqzMFKs@AEi@e`Fs)FD#-xvT~hy z^HZ-0eSLx279)S)h+J9R5x0T+=?~Pd_xF)BS}i09)!vWAmBZ&PnNr3NO3weZv3m2Q zu|i4vUD~D{Ma{);*2H_d-5m7I+5gI8>z0=rcle=#KJJ=jmi1~lbg1~Es^G4@Uzq)v zoXDx^tX!kM_9gDNY@^}!M{9}JWyK|Z%vMZ}aRtk>#|eK~I+N|}{0OyIa60dn@sEsf zh#>zkY%{k;jCeXlPMUv?^cVBLVbXU6{4;9*LCi=--AVFqrO1vAM9YjP|9z`}hFK7B z)A)^J%YSA6q3-_}v(96K;I!&tn4T$YM1osmuF$z1;@}oSR?C-{9)@+X>bAS4=G#DU zr`*2CfJ=XL(=W$@-U98U+xp>Bqk5#wwY%-ys4|vE9-kyZhphZT7lmnYd919$=?#y; zO_DI{AJ45%5i3vC7q;jgNEIu$0l+&@c)N*~;XVrB`|DDpUfAbkn5vyyyr^mC2GZ?W zps*5`ABU>t@1SQ|RqV2J)0ejF*{GHuFDY94`&oZ=E$F{s!~O_{9G4$iF?-SNGu=$& zavlgA-zDr7CD1!v{_!<mk<WUMx1C!ZWVi8ZpeA=>i%zN-eEYtMAvJ|gj`Fjvy`^PE z%dv-)qaC`Ynu-$jg;9>j<Ku=Qo`U0U$6z-QSA<21iI*3^KNAiVYtRQQ5A1seXP0;o zx?+EE>!Q;A>PAp$jtQ5;KfR8P`*J1CG4V3zlVwcYqsB0W&SI(2WTA?^TZd-87|Mnu zG|H`3VU)clxOtM5(&0S@!rn}=1!B!|zF7JBT;0yIdsoLx`r|s_W$g-`7%&UU^@o%M zmg>Dm=WXv{+fm#1chJOuab9jUt`W@Y!K{A?%%nPGan$a|C?v_K=vN0f$65<4Rl72w zV`XdS6J_~ga5}(e?chX+1#rh0>VvNu62zsmt9Jw7Tw`6`=3DOg@ZWrFNJgdAQdeac z+P_(_XBjjay=z@m*X^3tDd^m;=-?)|_vyqZ^MpM3=c0rjgq<5+D_^V|8jtyf`s;re z^Q#)J-Eo0~1L-?MPF?xgdK1*=90W%eQ_qL`o`cM!0L1*+(<GL<0YrD`(J2y3drtbS z8D=l&=bl}SdrfZ|TtD5L2ONT8Cv9l`LN65{Jv(UwCs%c*1Egx_LC#6Z&hl!BpVNCz zspU&<I!)r;a<o#R1%gIu7F!<H1p9wAXgm{x7X#{bXYEMD!ojWM^A4ei9N6a*BjJn5 zc5aILi@*)oiU+q_QUfoz9Lu#xRz^L)!LaunaJ&$zo>6x7%v<0JFFgXjKuwC+0@@rh z6Mt7~v}3D6XWjv|d{+-Ux2m@p)xk-C!jFK$Wk8_=P*B~vvR6|WkRU*E1(bggo_1~_ z?=q^F9s|nTf#1STAQ=FSh~^O7;MozW?8$LuqpvDO2l#5hcUB&O2<2CAt%dq$SC4(M zs{fuhR95q=LMKbi7W5AaS)-nB^tEz9Ni7MC#1+c$SK@tz5I%>IY!V^`j6+o!5h;qM zTGy8#f8VuTv=Zics^c5?>Fs}4^6G0?GIIKA`BkeGIxje7R_`94Ld*^>1Rd~SKBk_J zfB@V(Qlp=4<W(=-qH*wyUege9p)TK%;cEK#A@DM|=H?IFFotx>Zz7Rh#OPZD3%M;> zT3KQXgp(xX*1RgYa&xW1Y^hNt6UdC2o!bM~`sdY^N_KAY@p&R=@&|wS@<cA?qEg{o zxfLjF93V4w`C{=Py4?QI%Pso<#^>3=fFN~+&et-QnHt{Y@$MzvB_;Lz2-c-knDCv{ z=q!d`1{1!L8pR(iswW3~KxVpkG6yUW1!4<U8_|;#*cp=W;BCG67CDhedGB1yMv+H3 zR(NT@$fF!D3foOCZ-IXY^PvDy)>0nK*8m7wOJFb`xX+SHTNZ-(x<H>jTyccp4sf?% z>}DuhXGq9T<}g8vB4Bvb95&y=0f+%}*g}gZKyb~a7rC|$ZVi~Cw`61B=OnH#s3mU( z4y#g$_{BmR^ua$`JKu8SnY|kryKdxDEOm9#rR0aE^Jf$~b%lRnZ?H&;ZCYNn>|~TH z=%p>ok8=Iy&7Car=I(<czIk&aKyPmz2Z{g#dC`+myTHKR2zG%1=nVc6UP*>ligkCu zD<i<NZY6HFyBl5!`lxp|z$?MH-v!U{IgyY07xu(O(3!lgqCWzR6wV^laX@>4ggQ^K zbexE?2K!&ChZ}#34Aj6kgU5mFf^P<o16dE>Tr2C~rdYnrzH)TBiW=8v+}%7!&Z*8# zx`%{D-$M$0&2hyK?;(-8z>{*}XAQ|OZ;U15xH>@E#fV7#w&*K1<y*WuBBkp3lCnUX zYn_T9xvZwIC#>Sv0XSn>7M&Urx}+db<R+(v+*sN`gQ|aUN*L1&bV^6qm>f%vwwAUA zd3{WpB}vogA7r4+@*qZA2v(wXSR@bUtwP>Vf&6WEvRf+RMw<!B{A=8`4Ea=56YE>- zZ3HDQI+Yyu&F_YM(^yR4#ofw~A{^vriWXBLuHox&LsLc0DQg6Wx#B6-BsPqI;1W}3 zNo*y87T13$b@n<NO3>#%NX3V-Ap}ouVha8ydzF(ZR_^cXxERR;a3(hjVZLi*`8wwc zx5~^FBGl)GfUA~>^QRddhpXg7OSo#8Rjn5Oz?Wt;n;|5HKk~I1<0k)gO}mw4@c88n zIa9=UL<FD6m^JMcC+hQ&47_P`c(9~EmfM|*4NZTNM5kcGDj@<7E;Y6HMzFj<hTD<q z8oKlyZJlsC-+}=%)4C2WzOv1bVL_DD*_t5H8p-G|NjGF5EDRY(+mtVTrfsb9HZHnl zEGNiga7>fMiF*7q4Bu4FVb@hgN&-u+c8Ya)66C+G#R6Ecv61yVuPYf>O6b#fxZ$#a z0O5Zg^22j648w05d@paM$@}f_6Zf;=$}$?vsNpWMgfrZWDURVTG6Z{W5+m#I@>-fC z{~aUV47-NDs_424jIf1&k~^Ieei$oZw2@%T-N0yM)THW@*js?h`{;=>*UUs#$NL9K zf!nX*R%yVGEIbrZ?P#oi!Ojh~MlC<O^|XJK;<y|@6e0lv_(?|Vf7qnS1|EFs<Ji4t zD^xS89oV>2rPuH$NjVit0Px985py;SNcWm(0*RP(FYjPLl)$tty=DOt!vGNo5_16I z47QSc>gDYM5ND4)^=bhg=I^49NGW1vfWD*w*v8%n^wj|c`Z5Ii(li75vIUi+fxds< z09};*e6;Vg3@{E<@Awf=ssvt|gBy2;MRX{f2DDlLEhg~Jj*f`ir0HVo1}X80w9Trn z98$~Ab5zd{hgW;gEx!;eKO<q@c|d%})g;n}lDE}seo(Y?>-DOq59Q+oY0ll>gH<1t zQn+qAmBqZ($An|hKb6kpIxDH<(m8+adTX(>L~4mm=?uxaTL*Y4ddm>kq{yw}Z@+H5 zni2k;VEtj37}xB(znFi{tn>IC>ksw*W0=3JA^!OFw^BVaqpsclcY1%=_`hYA+-1}a zsQr%phr0h`%+4Q7VS}Qx4BC<+cTZY99|nx5WPrhNN$%sNdqi8iJwIt?SC@aRwWOaj zg_N-4&1->yUAM$;wz672Ps~;x+(AkH@tu7D&S6-r(Ys>>)TJyd4DH+yYemooA!8W? zdS<_m*SiY{gH=ShCwK*k1#cB0v4v=T^hVHC{2%~)fMWN)33e(llobJ|890_{zy?58 zujFnF0OekjL|Yz#^Thzxf>nQHg2A;5?jo$UV7t<Uvx2p>*gA(mA!<eFEW&pvae0^+ zFgVrvdJ~Mi4OzXLefF7{Gwxwp>f89^3(1Ye4qf5(%N|2kN}slOmU;-@#y*m^95%~8 z*T^pbmzf@pB4@;IHfWtB?PX2$>9(4BemOlb0RXVwx~)HWemOZ1p{;+5w$mnlwv2ok ztEr3LX7AQ3C-77n07hz(CJ={#D+-;p!)p1QXUNR1F?Gn0UDc^iYr>VtrwI_8xv<LN zp{dr)SHbc!y|ZlT`1~nZnp1xny4Ce+%;NcSP4s;Y>QVg{KK&bl8>Vlk>OF6dJ7|se zDo(rk7?apSVeNiO3Gsh-tt>wBvq7^j>e*ck?<SC*0;$%^s+<=o6<EY6FV?8({+U+X zuMMOFv|@LlbO%aGO|f(j4-?&zgH}spF>@wjgAX}{^rzIBl1VC1f7F6vD~7k)FL<|! z^-cEfFYURfE>Lk|g53{NR87g@Dw4o3VjZ8G;oMDqi{V`x?KXel7BJjXEIMe#iZqL| zPu8pC8PE0{VKL9|Z-ipQ3P0^h7~O5oV&=Xsa*jWAM!sO!rt=jrBymGIn^-?bchNUa zWzjU6r-|=ReJpHL=qiLNOkagQ$eX^Zdc?>Xe<C-LQ*x)tH%p;)>MXk9_1(>w*&mkI zlwQmUQ_?e7vb%o}<}W7Obd({FQfS}$G7s-tRY|Ri*0@65sE1sb=im+{U0+*##ma}4 zy%|X;jT{?k9_at7-ru#(rK@XZs-z%4(p~%7F3;}X?l;wXj~YKIB=Ww)D#>(j(F=9- zDiIe#RgmS<7IsZ@{#hO6<&3&6St*s6;9<-xj<WY<#^itEF3%~PhV!M75=KK;)0N}S zd4AF%I7(rt%`(3!cg&o#dIx@^Q6X|La$Lf3V`YKUMu)LT>-qa%AIL0UIa+Gn{<%t^ zS!O<%hg<eZoVyJ=d<%x?PS0AWd+DBerA4!&A$`K7ugjbT;T7AM<d(;kRBW=1yGvtE z;~Lca9V~xl-?_+u7tZh}w3&y_BU@b*cXD3v4m@uh;W#<Qcf2po)suS%W+DoJka~Id zP)PJxGML2x83vRp`sQRchMgU`GL;WxzP(_U3})kCmIn$LU`8lwZyVYUX8vG{kpZxe zCeUa!U`izc$k=)mX2q?Lezt7PcipB~n0-8ao_c?m=cT5y6(9p!f3}NP?Reg34Y!S{ zei**CZAe<$q&NV6b|zbnzMKC&m&6cH>A3!n!L5qKw^<68zq!YN`y+fC={j@UPI{`W zH8Omzw_I3#Wop2w*s!dK_O$wV)`f%A8D6EL;%Rh}vVDASmP33;T}$!5*n>gv^hNu4 zIjw(uW`%}JNY-lrG+vpqfjGoFHncr&d_cM4JAIxrDVEh}6j0!MhSWBcRMA;hRDH$Q zI;5brz=wE>mAoSXJzxFEe^-#rcJ2Xec<`8jfiji5p|Zy4JAw>%i6KmhPl;eaxaSze z)P=*dx5gkwn+QhS?TiuAJaM`n--!{LN)3NYIy-UzvB57^%Zog2Rp~-A&KqdPQ_2@F zZOLO7E3w8c%mQ8qCn*_yvKX0A6Xs#~DKMG()P|s3C8Gh0ks$`?fvqw_@%Q0^F4*L` z7N((JY_ku=LU<`hnfBh7#odqCmS7eW5T(Q#wLk$4l6dCSxt0__=t*nI3D(&ct~r0T z6?0+n(qUQTP%G<F5-jH)p#MmTHDn?51<VeaQx|Ni0R41Y3pDuSL$jFqP5N=;7Ow%8 zYI#dyu-#kWfbJ`?cosxZpKCrlXOj#HOIzr{AK`%g35;JdZ2EcP@GEkj#pbyQT|>Xw z6SAzamy#c1n>^qjO0WWimNLh@N|Jvf{IDF*cUoVtV+${Nsad+N)CMVTA^CK9>QxKo z<L)ic)2_n1XNA}2$)<v>WghT7tY=x$!<^5|OUGV>4T!r;S2lZ<)G3|%{89d?JKT?E z@ubzLYlL5w_I&Jp^ve*dwg<_$JTHo=xJ9&e`8oAPWOIE7xfdOzz6c%PvKfE$>_(4o z*#?#x<EOPikM4N({+(c{#4f;q9^D;u_e9X6J1?M)De~x^jw%*;bbkgzl7Vs*iV}Hn z*TW@15AKi_xP++w5-w2-mTY*t$dx<+&nJUrHl7~=mUqF(YCz6}-vR9=Pez%7{i)I^ zSW#I)u?EXJIt4E(D=3bmbF_a--MxZ9($hTw_h=zyj=lDf`%f<T;kw^-4P!xaU};dD zQ)hTer|{UFD4(a?jOEUE^HE#ROtb(g@xY7E&KEDdzGyzUm~vhhuW_&Z(Ocy4f!tj_ z9UIF~w|k0z8gu&LFZRF3hJVHu{fX%R5%yi54%<7!z0<$ZBc$)a)6swb_ihyx=wL22 zbLjViKhgi6XH)O^bVzQBEq`P)Mp*vAx5MXh^ptpL(e1NNgF#h4sB`W|PZ1-s6OKDH z&F+2Sqj|=#xBTt9vI~Pjc`HJrZ^At*Li&o3x*|lb2=U)qmaPcWSA@`S!j+aWM;w}V zsdKs&0Wv#b`PqtU`HFukdPSA`%?@ov=yNaHxBlJyQ$Xjy^MsHuYMf+yb&dsqp83l! ze1d}{%(ujoHk<&Ev-^R4H>A`#$>mQ+_n&KAkf!SGSmzQn+#a<K)$dwXTvJn=$6^-r zG4(M#t{2alinF^`-dk3@<5lrEi|M^Jda6s8pL*SR6C+$qaPogJOp0r^inu354)PcC zzhTyi|Bm&CdjB!ZANc$0D8G>!Y&V64WIp**{ePOdU(XcQZQt@=*?*|}KgO(kyoQ*d zk|L*&O4b(Rr#^W%9}E2CJb#LwLJ^1N40Iyw><p_k_f6kg8$C5bAMWhD`EFhYoTPj= zA1RuoY{E)`lazmk$s<-40IY6m76Ip`W?2w8H#M&XfwLi^BVLwlm65Ql3u0qKgw{Uq zIvc|d(rvj_Y8*~rt4#r5URq2ZD+?5&$w?0AUk$fw(wa%z(XWx}6MPh0vdXYZN7S<F z$9)sq4-YQ-U=Qxr1B9YUN}piSB<0{D7M$gbp315i=7N8R+Y8Qp0#$K&ymIva$KHED zHTA4(<I+1wm#!j3ktV$(s8|S~G^Ha7LI?qoBE5=;fQ=d;#1cdZy_W!?*?{mviu5J~ zX+Z*n0Liz5=iYPgcgn5bf1UqYhqYNV`<>@~r|ijo=G}W{_9oye>(c`zQPFh80*Pus zU2F?;6a#->Eo@IO{DIz5uCDmO<I(UP=01Hwv%;O!mgU0&IL^MoJ%l$Z6T+E)h#Azl zlRjmcQs+D4vX?wO8sw?-oee&?lRC2&7!-TIJ-E<AC{mf=&RM!K;CKDUepUVxEy)kJ zd(TwdF990rc@jEc_oS^>OP!sTLDx)|8#xycyr_Snv_l>B<=)6g1Tw2D2HZ{IRmq<5 za93?#yrbIgkpRx9U(MxHZ&wTg%Xp}^_kFzg2%gu^4o~Z6H;{iL6ya4D7~eQyc`kdV zkLXUkMZ|?Oey%Do?u*gqAboo;M9zUef+x~;Qx~IpnDpRHV%>>Z@0Y(u=W&EH5|*%) z`R0GI`f6T}41@sNt>|1%JvNdm&Jx^%mz#LA|0?obUZUoF;&qjMPiG|^2AQIlzGm5C zYVGR^zw9$S+y-P^*<_62F{qxx!^y#^jVQ<2xmPlmAo$Q2b>fxlBKzg}9Qra|u~ULM zF=n?$YZazM_8HdZ--R##YQGq<{A;Oh*rR{!`G&>wsRmuPnt|`V!DSzT=HRHg<?W?w zF<+|yXC3qOhKxJUXK>~<R6!jhPQ5RNC))M^6}q-J^uyzz{{iFn+*hhW-iw#U%%8Lb zJWNmel;@QENqos{*@O%aTmEHD;Z|F^HmY!7pV9Z_z1;frPzvkvXO)T3epknbCH8+h z()&X{{8c`D8UX&8?pVFt>3c7|o^c&CsqrSe$^4Sq!}X_Lznp{y=k)+UC;$io021i{ zKpp^q0YC--=mh}A03ZPX6x_9X$<_N_+Pnw&{{(<vT?gqay~z$UyQH@Jkkad?Fd5q$ zt*`h&x^KthnZF-nmXP(!bpkRAXWD<840MZ<LpO51yfZ&LOkpypz*yqQU!7>?ifugq zr-^0>MiR7o93&T9A=WaVD@Ok)Nfak$VUow9r}07B{A@+GZmkW-Y;sYCetq*3;f0;r z!?5Rr^Yz!&cE7zYl{WA04>&^I!0h}wTQe775*vDcou!$Hu!c=Izs}e!M^Jyj>KOmu zuTENtgBjK!r^=6BzqEo6R*$xPt@!XMHmO*8J<99XIQ5!5J6ZI}e;4&-S>P5Z?+et? zsQ|z_02h9gdiALhyEX>wA++u`)=FP+b!-XCWVp`NEI~kH_YBuLngt1Y*igfD&hTRd zAL`cnw9P661UA7C=(xv1&Jch2es90V6yk#_470{siO-2osf2m>X_qFMG(O*c(;h6} zlqTZ1Vv_KS6!c~O?TkqRK0Am_qv2aBvb<3DGj*q^*zZ;f_5D90RMS8|dM0qJ2H6<o zFZWML-Km_nEg!xWIp|5{ykq%rV4h*xgsH4h_vAwwVn5mYjE0Qj5rcoxRM3x^Pi(EJ z+jlJ=zNA9-$_ktOe!<0e`zO86Kws?_m_$?w!aj>M^w&pJ$pDeMe&>UGT<U4@BDsqu zjg^H>Xp!7?lSZI8Uy~K^Zydi{iT}JLNa|PcK2y4QNpQEnxBeZ_jq^{o1nfGfKJa*r z&<XOKY6yGMg3T0%p9_C)*}k)`c{Y$3v3h0F@7@ajV3fCc?%Bx9UbReccNI144()C$ zZ{GoXgmiy9Jb&2z>M#uHK2MdWZlut;C9DsNuZl4Nj#{bSXPs@pL30j$8?+**6V|fL zygs5q&0uD-+?<+$&}2E_i5=Me5DNtyx6<4-vCuB6-dCON$5em4_dzS-RK26?Bl1)| z)%6iIYS=beevPVMI9cw$GF_{n=m%eod>>I`&>LQ3utwdz>ing^fUrw}TbQRSKkJ^Z zeCU1O8tD09!BFjfzOa@>vgrFuoK})dAD+_<evI~-|EKuBB_`1BD_{NVNq<A*A5MIo zvkGiU>OTK(WX*p{pQAr}{Revgd9k+s=V%}2p1(}^8~FeC;*_NO`MKGdhm|i+)Us%< zV)b5>Cet`6qG-pk9jwLf3^i`Na%I{4Bb=b(RxcZu4CrkJn@ZX-bRz%F9@drnf09BD zrH!V)v4Ov(W9sF!MYp0+e^Nmns(3s8#!z>#a%b4y$)|rps?Spqd-fL5gT<|6VJjCk zIqD=AY7TwBlPrHH9Xm`i_?`3u(0#+Y0xh8h7x9l|ar%DUceZg^|I*6LtD=NC5IW{+ z#CS=`m0>$5W4uwWIG6nV0vW^VJ#jqf3h&U(vAXIpOm#?YU{KxA5NblGq}m_6**@lY zxGY9~d1!y_)B9G=5(F0=!8J;s9h*QyQZkfa#I+v@KbHSb;olb4as7qpuj2k;guIB< zYTbV$NZpepl)Lf&P5ggan3~8<8bLhyzr}wQ`~OZTeF5j@5~Xh%r_acMYrnuhq6WJe zohxYVVxsXb@=gmAKP#`bOE*1oQVBt;GXz^p0lj~3O<_BHZQ0F)l+Lt>&OQ43rDl!B zggDG1+EM24&7`9S)rX1}<M};-G*4qffI62``0$-3C)?o*&ta~^7oPl+ss_|apyz*2 z0(Jd83G~hH7n5C+4@?fHkFp$2A8n`lSNtOjzh7x`Pd>1v?om9@+5rPp?)=uQ{H?h# z`M`ezNUY)eJ$;n*Fw0u%GFyx2iE~uPjCIB4&@pROSd{GvZ0mV<(AVgNs@U#T?T0PQ zoTz)_Za0}F&447Fs6)B`oHl()XW1|pG;AuC>$yywMw+W;8LI*j5j9^*=_}0=&h>;* z=Z^Z6W@&2;ILD|sdNs&=Xf5gQAb)RqPpf~E58U8lSy!6sX!q<E1(ug{^?Y<EXS(Jn zxo67kRo`AO<PM<NF>HT-%DUozVkGQM<(|vS>(Sxo-q7wnsMvNs?%lZmR~LBSRsOxe zJBQDDv?k#Mt4d52>hTlik6%^$gOxCuqmLUxzCNKjN|QGD=C%{}(F@m88ydjUZ{B~u zgN+YPs4bpdcueE3yFlzIEEx#UyV$daUPreapm+8>A~gE^gRBF9zrpZ9t#9AHu|rgf zkrvLysKV3w-<px`s}X95ZKL7z(OmS<O;j@u9JFgU<+W-`8D*LW7Z67eDgx6>CuG2u z;j2!!U<=@#kE7QjnuGT2C~t@A(eQsAv55+ZSRih^p4^}A?)N3#J!xCSa=hgAzkL7c zLqmjK3-*dU8$_e(-9|oC)z*~D*ns{ZbGWDqSF*)GnU%D2YO1l#<z%^bEL!SmE6*ht zf0KWEbbv({hc(+u`-`^i<KC&cdG_42Q%N6s`mVEqe77Y-rsgcF#$<$ml0$#$G_4(j zxs$BL2XA|gmA;!XczGNC<dcM4CptK?eGZZ{@9g_*s_TlYhy>>KdI!&13|iz`sHWp+ zN`U;Y%Pp#<qu=6_mwAIiNdaOjjZU2tI_=0Hl)+F?_wHIbV$XNvMafpt?%D}-qaAKi z%xUc1WN5=6;r-GCvcwYP*HnMo<JoSl+EK&n<tg$5;)eNS?P|ut)U{eO`I_xX^{%nr zBGC5U!H5=DoQBhIVU6bKm^;&0c-aJebd;ZKr)D#D)oEny8bs_#!ox9!wm>fL9b}DJ zr*ZQt1~0J2f>PL$c@m^OG}bjFZPFP_ysyW8V1ae~*;j~ea{KZnm4$z4f^H;*sr|G5 zd(`?*gPb;bIC0e2j$Az4`~x1_d(RWHLRqn>j70pR8Qi`%(1<K|j}6SVBaqWJM_D_0 zZO(GO4?Bq-Ijs=*(`M{ft?_=X<9@9=dAY~7rf{lI!H+9tB6e=Fl?Xje9y>-^m7uH& zQdW5>t74Q@eu|?Uc}#zWJSItQwA&9FBtmzH&|2d9=Z&cbB6N|sZZL{Y*+_hE4@<8V zj=T)P+l*B`D6nb}n_ItewyQJEuUQ(UTJMI4m`iuO9`+gTonU3&s<T%*aTmQU=UlW= zt$^OPb=Il0E846!34>g%7Kkj*!&kcw{#cz2ul+b$g08tdbcug7YIJL?XIS9l=o(tx zd1xD7{jg%2%^4;K4ke9>-WvNdT#}}?3LgGAI*AUfE?%7jYkV0+qXW~5R~NyypGV2) z!1!XZX>i7u(P*^5r_uB1z?a2hD`1V!qq5G8=Z82qs--Fd-<LWnf)jQ}11bXRO6Ak5 z`_bE);7`zH#dd$iZeAish$yKLA39neaku5!L3`m0OOEjVbz4HW^&+Wc@`0gJXR<Ze z?WF;GlAhbZF{ri$aM#_Hk}{ZrtDiEM0=GDb*ktdoP5#Rgwq5LI{-RMIP#lslt_T7B z(>8PYiU}$C&=@o~i4iA7UHrw=e2nCGFY<$|fY$(5QPh8g<9n1-V_5$)hsF*AMZX{i z$X3v#8a_yWjT9Mxa&V4VYqabDrzRV$4jh25$-}pWuX(jE4n6Hd3Bm1E^lRP2e0qrE z*&Fq0bN=A2|7yZqEi|~-x|f&ZleO7?d~#43#g=Ht-W}w(HOgNak>_=}Bw|+4G3>(A zw(CmBz)pWT#G>#EIRrs+i}K6Xpiljjt$`;v5c1>6V%DSiCo+&+XZ!lkw?>~i{CJCE z^OCbwH`l;_miBSH**Kfl<H7MMttWfO%AEOb&e6}BW&bM&kJ$QD`35;zF^{o!WlB7P z5;428j>U_$#0xe_><uj-0#p?58~j3XBltHk+s1!WUYsacu<~9s6e4D)fkgFo?u3J3 z*oG63LH?;t6vP;OWz?>oSOSFz+6@<=d^&@|z<byR1xSFR!Vc>GJ$W<xB7v!G)Rr;$ z`lua>m=1*;wPPEwNu7nEt6lHOU$)mvf)a-=A=C^YC~JoWdy#~prmDdrVgnSyW9L6$ zvp#<dM!z!xn~nao3>&O{6hBn$S>(LIKQ*daRdU7tAW3m=$Q=<7FzR&{J#TLxH)QQu zWEIw5Tf>f$8yISxWkXk6-*bFk!zc*fLCqin9)`@yJz3v>Iay=ucz-rjR8TzQZmFVl zX97WJ(0FvJM>U=;*}kGQthsZd{KrQh_7s0uWeV4Alg8V|z87wF7nHv-E|2sbe-#iW zprg${%$6cjnbPNGvUs~?y6(iXZ~2>&5e?1sJ7UfqDQQXJF>|Eixi2IAs5&2Chm3$Q zew_xvP^RwL;<@FKaxcH!>6@<t&L)W!@rN>WV~XdJNBZB?1^S--s6F{qOfLz{(7k_O zJck-7xAE(l&ddmC64MFc4`u8gFLrylf^&3`)LghDw%(DV-znba2N~M%S;5&l=xLUG z(w<BbJ4h;G=pMY)y;uIG{3d%!QAG-un@M4vm#;%sKp3A6qX1i*-^HN~zm;PSE8?OV z9%Aq+O?@xR`daw<`l_JS`f33wbgzFfEp#t^Zx!lAfupCK)W)ydLYvHQ&UA%3>|GI) z9v1jniw_!BB<j3D3^u+KkKkGvH2*f+h!_0fNEDu|^)R@eBw4!HWd7+MAuIhL0t^G& zczz#4I~Er@G<1@RvaP7A2dZNKbxt(-7)cTbM;OGBkC4J~JNZ-b5lkUkgfV|C^n44; z0WTpHYhd_q*2Ye7?$ygbkbfY8OU}QB1s(3ha)20)U1ORM3^B%W<PRr^v+B`@DE(<R z$QP`R;W~RWFChinXt>U*#}lG~n?USDkr}8D)Xsx(&4`_7eLB(u+(5p0ygmcz4(?^X zjPc=agzUIE1P8$n+igVQ3E_VsC}T~GB^dO$N!~aX>>MIBPMj&6o^TVVjD3UPO3-Hy z(LQ`4ml~sgjC34Fhv15uln4<fSm7%33k=~b2SraJXq3*BD8_kEzc%?0>yHpTe>h2+ z2iJ)$J#RqQ%t!LX739O?B4|l|xbA$3_y~HEH7)@m5fgEgM1$KytjB+%1xez#M#Oqd z1jFHX!6}>v8n_mtDb8>af^$BFJzS3P1sfSdmLy2z1I?y`v(JY<O38PQiQpzN;1&_^ z*a!hq0PZez8x58N0id0~Af}=tYC-%B`eT``ZFS1L)U~b&=#tmYrgU@Ui1TkQ4Sgdt zRjb!6O!H@)cV;~R<F0?@D?UOqj~Y2MAAXo}*r<;>joJZs3%h9OOc$<3_}A}PLJ^Uc z{p6pO_dkDtK0e{~#mRy4Jg{w$sT4=ktWEI3Uc^}=*sv1_+vqjM3H}gATpD8e@KcpR zkDOQ<cn@b#uOdQ?OX()qLLj*6{NcDYmS#r6_Tk3<%|ZkuwmpBJpCFADF@`cV%O8HM zQo}ekN}Q8AH=ciW-If^DF)%}us2Q7>yH~y87%6BS_BqIwe<FOWI8$Dc|4#G$(xYRu zI-Op1#J9E03f^B{_-?RFkqoPx%rTwa;mel&A@VGO5}T;L3(JG29KycU>I<T50TB1p z{nuOfc@*?l?45rf4_&)bM|=hiKW(QdJu@4N-b%aI{46esi#Rm=dMk`aVRGI6%Z26o zQw~jiwUb9t9xr`2oTfr7tMaa3Izz*j<@@cj<C22*hQ?lRJpj-@?7v)GE(Fj6wUgW^ z834^W6=GYJcNx>!vNsg^dMlVmf!tF&DTwL@N>Ym6Lfn7T0}Rye4Vk>&x(patu$M?0 zY6r?BI7PZpCGO?9dW%J~fzxLxP(+kA#Z!Me(}qP;yXXIMihDVC1{Pxvpl{Cc}3 zGki)JW3Hu)nFRj(w3K6d6S+m*;*1W?#2&1cma18SC&E|Rob5~P<cOog=pic<>L=Lw zvt9o%I-`GjFl^QSmi@#q`uXze4A}Y8s4#k)4t#~MaaTB8^MV9te}XY#=IB48|BdK= z9IpKY$@j6o=xeTo|35_?q)Egx|E@{~9<Ni4i~h2T|D9-gkTgm2?LS8E!kH2_>JKaI zdy?dPVgP3+l)D+fE?~TqL_DXZ?=BJ^l!m0QphSP2;8URI$X$jt6*eku#V@6eSp7m8 zDTTw;nuTGE!2=&K9fQpRFvbwe>zTr?A$sZ@zmS7*g*3>!<(_34;bP(^h-bhJa_Sr# zNN8MQQKODJ#}*PApC}Sx?rk>(L-R_n#e}*IFisM}mBO>sCIn&X1f&ENX`l|72N|&P zYV3bUk5rXw9Go&8XW;5Wf|`~VT}aa45Lxxp&<~!k6N^GM)j2ki>X?q8oy*>%-(X(x ziR)|qBUM=%)f~vu%4;EK)gf!3)wslKA-d|28PF<_JNbh5=pQg`X5OH-m*t*v(4WUl zr|yExJCQS!->ziVH59lFFhlLGWD?iXyO4kG(4S{b!-D!ckf`RuYf9lTH9Zkn%?482 zb@pdo5@;;MvRTQm9i9prdpPhJ)8U9W@*dUiE$(26MGCrEeup)%@Y?R&@cy}EnO)ua zGy4p3{g3N+!65@b+XxJSZNr70^3b0rOg||Q	qSL11RfikYbbq|@X#%gh%X6m@?! z)Eo$$ICuIx9(uzP3bm_DbnfjSSbNzG!$J~O9B-3Oh~)}!z1+2WYOr^5mE=-J%vvuI z&wC$uLX@lbM$+c)o#Jno91q%a9gW8|C%TgdfZ%jTvYy;)i>X~_QCaKcGfdy$R(p!6 znO=8~-%y*=Rex;#llS?2{_?k-B6EMI5rqiXMjyzmRP7ak3bXL3NO#<3DhK!*T(kzI zuKpJFv-Q2TG{1D|t2-qVta1xQMSK_18xkc<zLy+7cjE^b5{|M$acoxG-5UEg?8SOJ zZgpwHw&nPxjxJkvxi5BMxfY6G*zS+&(~qw=!S?L-Fi+kDH}cttc8=AT&B}jHrm@PU z%&uD9^y7n?+#17_)*8EZUT<o*M{_tLYdp154kl%Xtnx~?LW@R_ufd495Xi+nbIA^l zh~@Un?Y&sJ^Fc9d%wmd_#*nRa?+XD*`w?7{QZ|oD5>lKe#O+6cgL5nJeeK0u?S~%Z z%&TjwHH1BsR*wFDw6#|0DaC*H-rF{lRpFNR7akAe9mA5e#x*{_-UuH*HX~x6&{@-& zmnygi^7h(BLNG;hUA2C0;HeQC)icXuT^cpK$?IcQiqTAJe!&l#{7qaIQ(Ak)qEiFt z^@q5LH%+G9fD``qH?{X>@i+zIh_-Pl{RB&coAoesd1@rxHL??yr`vyHXZZ|(cwH42 z+hGbNp4fE8;6wRa4A@iG241nJrgT>fyY)lL;<mA?WmTM5xq@rkG6S<mTke$3n!iCF zPq?}RnYCC_XV9_=@#RgpTI%r3_}!kyM!^T;^JFUy$km7se@NM0x*Q}RS_`iYpL)c! zSgTbR)GR$axgG!0&`W>I#>0iz<izmIUh}yJ;fS>BA9dpTYS{Fn8jJ#-8SW?9iWRB0 zmacrnyNwGeF5PH7p8ldXnX4wvW!a%MDqR{1JL;Y5VqX~5CZ9a1t~{cR87hp5R^XTn zv>I_18>scY|HGsa8H9>tgN2Uuw+Tqx0DW?YF4%WJw)wG{6ls4pR(%$Ma>gJhYrOOz z;V-1vH&L(erM=K7nl(155}u3S;b)sVrT^&XL*L?8PZ`HXYC|5|6x>lAxrDBMbT8m3 zlP7_fZAwX>u>3Ham2IlEc8CvEhTjSY%lH3cgHNFE4{lXQJ~@E>v|RDnEh?!b7fF88 z8KPi+v;^N$&yRmer2N=eZeFHD9&16*4&K(y#!EOZqwJ?XS37-4bMG;zf99|bcl0DP zl~|jT3Wh&~%b>LD?eC-PzSi3~qRM7l$%}f%@H0%?6dZV9zLi`;t{y0=CX<;KJbORi zcn`%_zx%Ztb;&ujrm?OU@~hh8jy(C+X+E1A+3C%kMB0DJo-EnvTp*IZXv&8V?7ct( z{Q*SWsgZe8zOu6(ucL<jrCEdJfk@wdAfg6DY^f0~Ad&$@h^j!uoEp&tBB|7f5)k2{ zVgP1~+5sXsr=z?K9Xs^3aarMcOL<P2`eS;{9Knp*B{G7&7sq)z0vv`YC3g|IGPSC# zbsfu`Vl999dNx>bxqAsAI^V`#o8@|Ay%@CKv}w<rdlLm;mrzj=CU7DplxMM#lovuA z;@il8_(EpOyH}(rQb|U#$Dqr#X-(5hUYHvOtuP-><hzN1#v~8S<gzg3B!6<MMAMVV z{XDbH<md?QT6O8<V7;`^==10ekN)@Lq3qkqQ%QgFMQwRSKzsvf5NB&(Ik8Vdpf^nV z-xou}r-(1_O;66vUQ(_#rqSSlyRF3p0Um=B=YcxG9t$;Up=o9Z9E#z5gV+du5<e~j z;T%KeBk}&;tmr6-4!47tid{<y-_l+1Cvt1+<CSXLLCHB|C)R8h0v|(76(IA;SH`y} zR~LT*i2;&}fsY%zhisq<3gG#k&Vj+>W#=~AWd^e(^t;b8tsAw_HH(rUxZ3=wxCpus zA;L1?I7Kr4d41_=f(%x|IFkP01c3>=Zrn1g=f5D5=WibvCJFu0@F<kHLeJg($g^zr z`yc5ipULz6!E!Q!o%cfA#UCI6KO;$*q+oyXmlrz}+wO1=$`QYaXx-}gW36t72mw-V zj*9Jww|LIq;l9>ryRJt{jCY=c$PNB7<?-Pv*+!YY<_E%GsFc@(${uEk*MvKBcs6>^ z%w4eRI${66almlIkMF;p=AOb@N$jCNu1`VRl_-5$1#w%bz?rH|p~Y8qO5~5m%a(t= zr~RU#0h}mv&4P|y^q^Fg{z48<Wq6T7mHuoF&*H94_`UFtT2j4Rs5Ikcy57^uUuqj3 z4ejMv&WcX`6tG+OGfT`uZ#j;Ltlu|F6x!I)t(u(4;qmA;*hW<6_+K^MK}i@dLjb*= z+6Y!uEs%@V6iKUUavlKf+JHug8i0R@Z_10;?h6pfLR*X2mHL|{I`7(yVMCJrujb6y zuNp5~1I28B1~8*+0g&g0piY$&P|Sj^f>><G>0Ha(*4%=*JnK~oy6?oZaxBbJg0k-= zo14kXbn1Yrq)%CnnWhBUiP`hMEQFXn1=7T<?LlQ9Hu{p2uf(K{rkJTOJ?eitRejaI z`&)_T^)A2U9N9NIB_8lTry3jS&o^uHM10>xKHLe(OEM-uxEO|#woBW;VphH3R~NV6 z6zV?V`XM<rX0EbW(zJTR)i*3VAg)kdpE<?6B1LJiYp-p?Z-^T?8my?P|5+PjC9=-g zop8$}(`znwns|Jf*%ES=D`kJDk_sJb+i*IB%4tsaP@z!9Zk<DD^7PF-s?~Ic?y*C` z)^xBORkuk%6zVPp6u>riF8QzkSAEZZq3S9!buS-6-%SV0A3~3bmL5U{W9HHhp<%vF zIaI58Y$+KPDdt|bUwtxE&ow5uyd^u6$AZEoE63`ri%WB!mw@jC+Sh-7FLqXLbR7S< zQgi=%#@Vpz)Z{_QTSUwy_gX)2GKs%(j3N1}k6cjaC(NP-V8<RT%?2O-xT5ZZ;#UmQ zE63MQ)&%OU-)c{r0y>YB-OT58yD2-9s{J(QbHsvFiT#0EZKB7czIcy)SZpMT-$r!5 z652Zr-(r>HB!8Fj!1sR%Gbj@a;F0c#p!4i?w-h71jbc{G8*^d|%25R;B4mw*gu@|@ zx4^%u1MZ;s4CGD>>l}~ZF&<(h*}*YSVk5iMteGgq*Do_sI<$rMd6yLtEm473xG`{3 z_}6=Z3Uy~M>N0e3LL+wFP%$Awgd~sCI9zs5V#2K>T4KopBoTjHDPqjkpf<iF-iNN4 z`vFS>O9OWUO@jd;1bdLbXGC48O(0>75ebG|kHqQqj)ok0AQZxc%S8mmOmc?^;v5ks zM(d2tIs|cSOVlK9h~hntW(|Tq*256a87@pH#1<IB*~57VcMdl|%cBX`t%}y?B;CY0 z8=3#h_c3IQ;2eK?G$Fc#=h(UY)F^Q_J;o4K!VEUyym`Xm&TH4POvV!Q;l~Ly*ga$O z*gV#70RkUR1@W@Y;NWO;_f|aqY*ZeX9#@D0;Uo^05B<GIh8oTzKf~xRSKGI+9&VfV zm#ghd4X0SbnFvz|3gdz8;gWkY8v%}h#zzQ|%(0PiWIBJsIwF!OoQ=?f<tALiDjKyM zInX8eWBZI+H~~W70Gf9yCPE}ci=cx&w(oz(^4Fak<C!efRrtm-v5`Y^AKMHPY=Ns* zq7~dpU13iV{?q<wHCRC-=Of|zglcRhqAyloFhqc$g&j6@{?oT>44pX->~VMSedsK} zgf-QKe(--qF5Do(Hdeu?l+`h6jkB5cfd;OGy3UH-k;{>3f}uf$a21=IuVWMx<-;{0 z5+a6kI$Q?UEd9V7r<PxvFi9KYkL$_Th!<x><x`j4hv?uSh}!5$;Rc})dV((wl@B!W z-W^8DQ_tCH_()=#fg4PdSa6^B87Eombz*E}h`@jRG`x_8Zl{edCe0rTbo*?;y<>@u zL^2ID+~Q@V<j9*kh%r*W9z|XNV?dn0%2XnHAeGcw<Jt`7Vm(pC07{yf*EXTefERG3 zQrZkmSa^eI7%8G+reJpv(0)&q`~r^cs{oGF%(4B=Yp$~jt<!L~*h1SOz(hC8q3R{T zw^AZ52D{FGHnva0d1E|RS6%?;7hGq7z@q|isFaC|r+%xxj4ceJsuujtwSbj(3Mg8R zG~kp0xrHd9UaGm``-A{j6bMS=4$I`hdRQh?ZW;D$!0uVVS346M$+-J!fwsKi&iu7? zLe^5-<O4IMtqz5oI%<G_dJFSvB}UD1zyhjm3pieX&Khc{b<iRU;EgT6MRGJPh56}9 ziA>6=L2#hT&I}c0R9d$BFC<%MmV)xuX=(>alKBwop7&mSqNB}VL*I;%LSXM3n#?mr z>efP%0-VN<YV;EP=DrRwQuetxmrlRsav{(8=?}hDd3dkf=f>iv)fW!_2RR7>8qb8> zb&tD$Tl7X{p3tJZ&G=<>zeeBS1>No0Hbpvr#uoOELi;y93+?~$N`?6D`j7x|OMRX_ zB5U}SF0Mv@EstsT;T8>63MY;0irH6O5m1%ly33AfhZyHG8AC0e*`BdYQWlQI<NA)` z+77qp$jFD~i>SD%E<9EirYncg{eD!vbSyc4nAkb4wEvo>;00OJjlGw>rS24a%j2N$ zE-qimH;w4hl}<StiRMx4*x%ydLYMuLk6l(irSfd{#zr;tW7ujbKiz3k0oN>-VCt;H zU`K*0W4!epxNiN8r_YYXN#0{7Ob=bU{FSSqJbJ_=)=uPvU;MEX%10E1K63M9Bysb9 zNR2T1#&tkDnG>Z)u8N-65K%UI&0Qm%@~qDE>9c$6D4iEGFH?7!b|p&nKStIV#O8^K z&#zl$Y<`VU&H=&S7jc_!P%`p*DBXSN+WQf?JWjt%9nFI5h|ZJ9A*mXat;0v{t-hgy zmnMC-l!DZ}piC=`ddvG=lQ;9q;hS843n+yv=KGlsot<GPBvd4Rf>nyD7sF8rZ_PFY zJ=!r(C@mux-#DMgyd7OjEIwZQQ}Mf8kzT?2x3_#5uxgXGod@AT*|_cGM)FJf6vBke zg<3a~cPW37IcF1^Vb^>8VjG{vi-c2;kbT>Mo5&<!fIQ%}#9QB}wvjk4zEmiGsh_&+ zE1uf2A~L%_R{Ko9MoV>bWWf~_=IwuvG~&JwZYZkb6%)=|xhy_5UYnQ-;p9k#xWh$s z%MvN!3qNOG$cCDYndA)2zRS(nRGk2ZMCoh9d75&>65Z_$+c%^a2m9CZ_#|$_b36jK zy=GN(jCa5G=7GZ8J!IkEcQ5jP!oLfB22$?*DP@hCa*y=YOs4!tW3WBM@z=HQbOu+7 z=DdQ8btAq51&q*A4d1^Xupx^@M3fJV{W4@VpB0_8KUg05uz#e}<LMc7i60Pi7W-h~ zO_!+<yDH2;Ce!ZFjealF#jg&yQa3^CQa6v?N_=r7FASO++q2WxwlFq-@3MJtzpVbn zNLYEx*RiXwe=dhXGIgUJ*TJwayt6#cV^%{x`0AO8z&E9k(_oF=QGxr-&r2cFV2!=e z$D-ck9X^<xi$8;RNmLJiSv{?~ZR-N-hy%?(g@0SPJ5;yvm(srq{Ra`=E!!3QcQsHK z^43Q_`49E}L*XtNFx2pWzhLNpB;5JpvN^_}ZY$(XwvFzwW`t2das2J)M)u!5D|>4A zu?UFWb^Xc4Ted6Lrm733RgbjJtkZVc9F8mGmIi|OLK+~@iS?{3W*OO60BA6(XI((r zN3(2K57Xgz#5)421j$yTflbK(gkQFMfa&01QjavutYeGnkzhxE%2xpD32})<bn1~e z0nP@5+|p<rmravc&&`z=z$ve^0$|zCb@mY*%;1FSI791#IpcuMEucu2043AF4CDc# zZe6$*pSaFBvY+KLdX8F5o5Nx*0&LCcO>R>16FdTIIc|1Ll?K)#YwF<00Gpb%%ns`K z$>ZK+Ev!lDg{3op3@EL{^jHq8H!pFxUJYzR7A|p35|Bz#BKo>&2mP=~9^{?&T2oNu zFXWHe;H&CkN#7n6X*A2Da}qr(zY#p3A+|Y7JOhu})hS8S9_{D$SJb1a*SYMhI%TLY zYu7QL_p5*Sc<>rUz#DDPsXnF01Y6IE?Fs5>TWI_^y0h_rAW~~2tU%No?FJ;CV1i}% zm+fv>Y#ZysTsYM!`YxLjzB^64#}`ttI))jD+CG;}2|D#DA;LXdoMV0va9T<aoYvhv zJf#l9nQ-R_+rX7YwJzwmjs?a|(loF)hcm(=1<Q5=HPgJ4RJs&^WJK#iV^lCCNy<Ax zY9+gEL4w798w~+a@@2avKyo>86q>O+8Yx+}TZ3}lRAjh4TCe6!jt7j+F^}?xWDJ$< za?PmL@NW0_qFnuD%63zNKbdtbz}dXfbly5Vut@2$he9=Ck9!RGN5Vo!J_AY2-e|z_ zhUc6Y4Dm+4f6~*p;eqw1RbRigms++v+dxs3Zy~pTfW8#TOmDwzFkO3=<Gu4rW=u+a z*5`$B6D%B(eri%Oe0AJQULU>l5iw>EPv^-Mmb&v5yK2DF(0t{Q59K;`6?CIv)gWqi z3*C70!TH)L-Ximq)CKm52!qV*^R*`KxNRnkRa~J_?HupVo*3u#XkJ$p;?_s@7INkE zu%ya=K1ti}n&!Fo(nv)>Iz-$;#W+L%5N;voYSusXPIjI;N!$_#fR+*A&H1g7c*T0N zFw=+o+C2Lqr*^kMG0v%{TF}?=%g^VBHJBsxUhIb?9-=2Ko4$7fj-ul)SUHP=+Rl+m zAI3^?p$D|_TzI!auHuw;d8>WOl@yR{*kw+CK7A!7R*7u7c&?Ap7(1hx*nP=-rmIIo z%Su<}5qMeWG4nhX&W-BuJF6DXI+uqyH>>IHtok~SIhO=gm9ENx-NII<MP*kMQ+Z{J zY2wxL%NY5FqA<c1H|bcxhOQj{6#gAy!gP(zUrPTf^dCc*8;vPSdm($_&j_v_!x_nc z4}VYRKPi;RjK;VX{mtb6Z-m4scD^;IlYB!$vJSG`tjp|tPSqXsF6%(p@@hOm;wiJG zM?Rhr^G#6NaE2pxDZiM-B3Kfjq$BYf0)U?h1l&kQAh6{^hF1a@aVmeXmI9>WYdDif zr7PJcccs;rYJgA*psRfRD3z}G)}#P`+KIv}(+`ku@#gzN4^0m913YCoqmStbVv-%# z$lU&-z+zDcSMs|8AX#`dL@nxCsI<eXK|c^pReJ-ttx*`zA>M)KP?@Iff#DFntgZz> zR)#a@4>J=#dzhJV2TLqaL<CSo>wNr8_#fV<Z8DDb(+%WoGed8*RcQpaMHG5}=4f#6 zBJT*Uyv$A^AVKk`zvE+X3<N_*O#u(n;J6wHkCgA&c;!tb7ka+dfGAL@YU<mAR~ith z-Uo?G(?EOLoD>_?F;1{K%?-5pOmn3L5$hvA)ZP$Zw-F|xkw<A^V3kOti%;#1#+WP^ z&ah}%1UD6nP4-N=EgH`FX;~0|AB)QBsxz^seu~DtxeKXsUY`LVsW)ijQ!x{pn#UU? zfA6;hbk5N97geOoh8c$whI$QW9IwV<Ok!M3qr|!NI7!UEcUJ<gppTT%jNeGjpA{Q3 zc=qpWnn@+hJXO}Gi?3DSW=&<1)n>n@DS9pQSu|$s6>~h-7r@}PI1KN9Ig4QXjcNnk z&1#8TC;9Y?)nuFe6UP@m4&~#`0K<}g^q?s5DPcbHMa|^oc75#%0N|ErL1b>aBZ|Ot z0+8}DvGcMH)J&owXA$^qK-6EBe1dPX<btfOHd}l_uxywOKP!B(FMsg>Y!OU~6lLur z!s9V507qrzg|7kaWwJYe>dg`_LmJ)XVU>7E7r(>G^oKH0oN|sXei<lb=-qr@$3%<Z zQa;u_uKba%pn@cYe7tWtX`Xm2EgB;k<H?tg?_lTi5kQ_ajlzflD&5l;G+8ZzZ!@w6 z$#b)se>I#D2KY&tE?y^tIbNoQG2Rv^@%o@JpVO5b2WjA3CyhCOK9z<qFVkp7h?X^I zLs82fASjDEV<6v?2;V&(1pb22OdwFZ#5fFu+H@@OU}|6=i!or6Z4y*a1JuPCWOac| zg76l>-DmjFSh$<Qz6Js>1YCK5S@w%WWy35u`P6w>*XK*`nm<1t=;5s6WHjR#2C2~X zSosN-`8aynS;u;RC~&j-<y}aSv(A;0ATDQ}>q8MU;DR59GtNkhkt3`khOTt7-bdm& z^2=!W)T1zr{}lcmVP7i*^_SAW3jN0rN&`0#j(?jF33PH38^qsH`A-V<HR<BJt^XGc z{ZEAW^Fp#=RllprZ835uAAjok5m9(FW-;DnR`o(AAopN@vvdZSr;iJ1No5{3s>j2J zw#XEArRA%^d^^IMkN0bPa2p^4@53a0r_8#UD2xm<ZxBsBKC$hA5tS!y9rDCWs#7By zR&mHKa2`{cyPzmc0V}U9lWbVF(#8Z0D_j9^iJ~wb^aJxNFM!qt$c!f+pLNJDc_$C~ z#e-qsG{8!Kc&kG)D`4TB0_g66>ue*Cw@#*6Z}yRR4`!-KUCYCgEdDOZSwBoZiv`hv z`n=O3*c|@H{-t7t6R!*2YOOj=KDe$Vmg=aarRE83xS9FF(bvdpbPT4z4fsvwAkbd$ zKq-}>URn;ICm&>K<^dFST5WX^l<`E-<F>Z4+Uh!gsD<C7q2qxaBda;z100cEO#3Re zk;B3SSm8@^U8e`@`bl;DWGK+G02giE&&NLn?muRne0f<kjpR8^6(&}AE?s;9Kuw+i z3wZ(KXSun}<=hu^@la-<)Z=<^jtd%MzbB%evmhdYN*-y*q0{3fH36fDQ~7vyrKkS+ z9@H0q4}V65(8Tw3)qoQ681?u`#Q+XyOTL_|y&Xbs?u@L?Qu%n(sMjjxai?!$w1AFK z4K%KMKK?eK^~rDs+%X(+bpafi3rK;RvLLIE${T=j3=L<5G-G<B^S%r7p_OyGdb{+q z`-S=P6oHG-H1BOR^N^O+JQkvjz&p^y7hu$XlP!W%01IvEX%<8~!18CavWafv8ML)* zShPW`6TTEwHnC2bq#t{ytGy4&n0MF%WkjmBZAVeQv(zX)^H_-%&liUor<?Covq{~` z`vI=86PX8h|1d4n(@9V_nPJXN=IvluV(DFvo4rSwiR8UEQ+^t<z4sxwZaqS-fq!&= z#o+s_K6<P)#Sy#krSs=Yx^)6O1bs5oj0uvLhUl?nwd9sIEo+7*@_I{TWV!6Qw7vG2 z+Yi;gNhoGd$~%4-Oxlkp_I@tI88am14GJfTOWq`uqsno{9a<0@@Y#@8F8!5&*1NL} zr*0Bl$x_RST*|2zC4iH&6*xLT=b}J=cocy7_<Be1Y>2=uLPX5PJ}*cN#Br8>tb0ag z>88VKFJp$jm_vvSnAba1(Jy9oPP1+Mxs+L1xC4n!)exv{@0f6$ylGi@98~RzO{Pm| zF;p#4Kzd|y#JSt&MsrH@2s~bqtWmz3rZuwvOw>EVnp0gOEw%^Mv2X@G^Zb2($jz`w zNplxim&S=-ado%Ck|e>f?jWv;nu}r3lVJO<+LQO2?K^{vE7Zq_75ds1xZaM8)57ds z{Mo#HqI=}a>KW8)TNecWDf~Oa(vIYozm)z}=s$)~J+?=J{omE#v&$xn^?#`M9}BrY zxomFyZy5TY2=&jz_N?=djJubA?S|9B#@N+coa6Wf@0RV_pKz@gJyF`@vRNBnsA$@{ z@FjMsmik~$=kUQ?i=1h)5)jCkasYt=pJ~P&Q0oF08^9{w<i|>W?a@6F{L*W*E}Pvk zOS9DHhkl3858+1-pC7(Fe11r_QgWm|KQy4eFf7}>L1ifQNb2i70LX!VboR)g_a^56 zURUcv9~<CxjqEeH&MF*Hk5tZ#03113%c(=XFI2b7oBV`IkFXYD(~P@7QA$}3i_&md zlnm-y#<E?J*|_sS4PaEit8AAU{zupun+&D7skhPol^V?wrh=}5``T)dRgeU;Wd)mp z8E7ncAOQooSWwG>nn}Wcb0sN}h+AHxy)b?5Zp(_93?y>3dC6_#hpB1CTV#bsxHQ%A z`USM1J-#yO-2RF<wZ(TY-ts03QYgC<HV2e_g$=(sDT;mBZUAr(B8hsVk2Ap(KesKg z-CYednA#oG8VQTwREKB8_AD;AY-#}@yIXrj&g*JgBTW-g^f2dtgvQ0)sLVgDEdx5I z4*TU}cv|e6i&!>9X`jm`oI&0B$an>H5!&yy7mJ3@u(pM2y2m|>Zet7Q`{MKH!Xb>X zTCr!(UW0wl)C+q&jTiDJziVA!JKt2&#Z%J8BLG}~TUwZNZrg$yphxWv!?WN>&;oeM z{Yd%lAj)+UPJi2f4lArqx$d&bpcx}@UeTKaRx9x=Ct|@(LjE2HjB>neSGVboe);YW zEvzpdB3`~51Vo}C3gx@CfN8cd(c7C)di54{o%9fn)SghA^4*JM_K^M-_4JS)3i0Mo zCkn(aPbZyp>0yOqxA8ce|KlBgbYS_Z$)xaA9<B6{E1VO5YsWa>N)g4UG7)1z%njkL zk9;VO*i|;m!$*Vb;Xysxf#+)t6#ce7ZTMY$<kRTVK>Hxn?~zaB3Db+2O6erU7-z+{ zL_8~gxl<@2JY#w|NoAiDG@I`0_sE%I4M<TN%Q_4#=rXm4@J7x0vp&hrQ_{pO9w6m( z%bJudero@J+7OR~rTF1v#iUQAQ2zZ8<=rhfeT$Q6#DPg$so+az%6Y1x<ag+I9p&Ey zXK(nkWNz`h3?-?!6;c*T{~$XxUv2ybcwPs9=LG>guQFiN6ABD&RGC;!cvz=q6mOGH zonOgC2Uf;mf_6vOe`wA5I5%D#THC0$zq{(>y!WPmcw!M8`E0p)YB)j$%tai9`{(<X z(pbxi(y*FDVYu^s>Hiu1Z$!gHe87^1Gt3s6w0tQ?;{PkrH>`YQ1EM8L;;$|kcT>>{ z9v#dLXtKIHQlbG%0dbukVtnMoFO_g~rNgJyP?(>Ok4;49{0asmz`yiNxS`R%|8o2H zoyNs~+yK3~ZJmwqu*ICng&;q@z9-E$m%}}V^q!~Nytn8IdiTd4C*}O#Nj=mK77ji` zRK)Y)@8D12FW~9%!9X|H#NWgp!{5Whr>{>Nw6?@X(xuWXGErE`4EriX8GP!rMC(*+ z3!NgJB7-80BBLU$B7G@iDNQLuDP1WO^azxH9!d)p@UXhlhZ*ofzWV|B$=3IJ$sTVz ztyuZ+Ro#Vb8!KzMpMjoscJa@b(94o%H`Iypc-XW?YiX=AT?=aj!~Qv<6h2{Eur0Pt zF$&IPz(hW>uTRv&E8!cb5z{B8gDQ4YKHnPuD%SZv<@Rv#o12lB#HRwJ(`A_y*c9l0 zQlhTKUW>jKb1f<<HYvLAh#-SUR8kaMEL${N3|rJ@>}K?4j5!mWQJ>#|C5*N1WF2jt zE-}p4(w7(iaN55uxot73j}gw`!{$Th!{Nh_5^W!29|enr-Q8SG;~n-We|kf^@{GG9 zF6~176JqbOf1#b-prw^O<b%C~+G{U=DQihIsrkd;gqA&MaI@mW(vJ$(mU>Hd4!2V9 zhZ3YT@-#0<&cTvHO>GM#X$iAwKxTV+xo6kaTbC53XJ^BZUia))v%QK6<2|3e_DlwU zc!L}WA4n|(%{f{+s^z)@NS2bqyzE|8(D+7TNg+D>9Eg{z?bPr$Lr_}y09PS@=%u5j zv6{6qXndS+_}gWWHHbH8Uvc<BdiHB%VuH&Dur^Szuz|ip(162$me&U#z~WL#VR^P! zrZhP~#bKb{>w{-Dak%FJXtA&`9ZEVK0P-9Db^%lk;vH7~qNZkTDK^j{24Bt2E-WnZ zoIQ~_mz`Zym<J`PReP3zy%0!$5;746Tg<lmP#Enw>#Q-Q*7@xlR&}G)emdK(u<$vQ zBvtK+0DGa3m8QHJwI9{0uMd1jChDL^)T*rqw5Wwl1Zz8kl6#`sknc(gbF+KZfXbX3 zR#mHI463aE`5m<8J0AoZ`1b7&jqp@syyb|hBS?ARl_tGG97yHcx6i77Qh{N2MpP|9 zD3Imt?noBctd|$Kx1b}1BC6hV!&1cGPdYn-9p+wCm@Blz18Uht-WIz1K<Nwkm7?3F z-4(A})^hL9++X(VCN`{Obp;H4{3)6y_a;-M{fS4lO>fBi8|Rkc)l<D^a^EFvduq)0 z;j-R6DeySYz;(9*;ocR0%lY|-cC%r172`uzKH0pyt;{~X1;5m#wd+0a&XGQ7+1mJL zgL`Msem}V?ajpeM7A0(347E>it||OcY0;wl?p!v~bNmYld}i>=>yUckg0#<?*L*%W zOuP-f5IKLL{_~YZ_x?{q_4WQQ0<51bS0_7EzYR4F=k=bNyFZ723N`Y{2{8YhT<!C0 z{Mhmbuf{h&K1e$to__w>cw(8N=Db%->1w&UTktymoOGScneV#dx}3TKy3(J%m!9$Y zZ0DI5aw|S@O~^EGfO<#xc4Is1Dig)@T`MGlL%#e``c30&D?b13sJ)m=^L)AL;`2}` zRhm8Ze=#QncxA(X9w_;sAH|q^>{5}pJA4}_F%TzP<N1>ir+Ln-q8iMNM75_~!qN#~ z>|MV4o8b4;iW3Iy*K7;I=7p_8_kP}KA$Q!3OsroqDR9^xCVkO&sC-m~`JQ2CS6?;Y z&wu)vK)oF#Hhk<tOCliktXMr$J&~2Csn6@j2x2t<<)Ar#S3f_yTPe`e&*jGJ`&63b zc3m^{$mwT;xwayWH$)n{Q*X|df241@{G4{_x!kv*&e~+-F=Cm#`TYIriRH1b*AR!1 zbjABwqYb1Q<$EF`HT;hqKGgV-gOfMjNhWd=)}I#tie@*6K^JlpdRDlrtZq+t94|Pp zI%_fzE0oxOUqzsh?q!QgQi2{0b|0KfP`UqJ{7lZV+gt)>Rn?z8r4ng0p?#QxUs8-? zLV;y^FRHgcGS~mSVq5pvhy1ZwDnr`#g3puju`7$c>z?<MU&iK%z<su5{!n%3R>AmP z))71Xh9dcV&p>!a=I8A-Xwn5My*nSWzJKvbV5`4>t8%j^FD1!KV+__K)0;Xqk+l0j zjo)&B0sV=e(2~_@IbL*g*vbJUn2lu4u0nQ1*^P6(U;6-i6pfX)X1$hn)tvLmkNtcc znclWpM{oB<S^mQ-xDR@cgCK`@R^j7TYGl4%eU=yP=WkUnnOzTl^<hz|?v-k6lKt2; zA(B&nL27@RM|?EUa|Rw*VBe8j{ORJ=M#_cFsRzih=s(;~okrHU#n;mb+p31}SufR{ z4!F`Mu6)i)+x6-W(j%4UqSaM7%#C5f%5y~7)q2xH*w`lPLaKaz&DD!M{$0$vgE<RK zycCMP0HtNNsG>V_Eudlu`zA%g?a{rbLbLFHcWE2#SAG@V_;t(5lk52kxb|Kj>EI6@ z^StyC8S@`ixn^mU-8iqp=B_VArEYiU#+w9er?jpNSEe}Ce!TdyBxC$+{h2Gn_C6(I zDx{A+HEa6y@k0}e8dD8S^9$csx6@#5jt2D?A0*1$+PQlGP5!!dKCzg>cyQ%;)rv=d zZwkeX?V&-iM6hBoSFlE~V6aRuljafl5hxRs4$1(ffieQrNDn<y%2Y}x!6ZQ^!5~2+ z!6-p1K`(J+vCXfS!;EQ>R)co<h%J*Xok^Q@n{{hiTh{cWX~t>NbjWnWwD$DqwB2;} zbl~*%bW*EejN%bzb~Jt1Y2rEjk7>DoR@<0TT4#>6BWT7j`QYP3ZhZSR%`|yBp_MCU zFM2OV;)nqqS|UuMj;l_iPOwg<j;T(kPNYtb=!tKhR+tWL4T@c-onl#IU!z}RM$_%{ z5d-m%Y5TU?C@A9;J(@d=F8C<%3Z8emyDc?ZqGv%W_}23NB5s#`|1^;o-#x8=*ecmN z71hGX#Z*eGc%+Z1kFJlQkEV~YkG8u_rA?((u5F-gpw+T1GP)p2CRQd|CPpTzJJvR; zJGwijJIW-MLZ3=Yp&^Uyiv*tv)*{;C6{q2C2GNo9lp|#EeX(Gn;M2h@!OFqh!6$;% zf;ocagT;dNg6V=KgL#i3@CW*Td?}KDKAf_7Sa&Wz!uZAguN}EJjAqt8S!kyGV;yyF zS7pb)#9=mGS=80C#Lt~Z_)7RQx!7El-LGg-E@!S)0z`N@>d1pR-gyheW(hmW+5(I@ zwBj(O)B%T)?EWKQw84VF)1f`hym*af&;W%S%Z~S{`gL=&8r;hcW<JV)YA_FTFHk?h zw+21bq%-9W5=G$K0lq$hz)PY%ov4b@hl=~}0pd@vASw@Zv~VKub7)VWpV@!~VDus# zhcVBVUeh$1IsaSJ676}Os#yTy)c{n4eyda>6C=fpW){N+_P+rteK2VsK*|OT6H+XK z%Yadbq3r%^A*GQx3!>D2Ku6Er1Ps?tg`P%t|HKOq&IiM{PpCC7!Q5w#e&v9Xik9T5 zgM-R;B#l?d7<F*qIzlMRo>T@Uioqq+^<E<p3t?ARh)rdl`Pq*$lT`XuWxPYIK<!^I zXWX-U1#}~&C2aAl2k#4j#$}H8c=rf{;$y8fdxS9#X!OHT6cPA;E>WWy5YQ-Kf9Ck% z#iOjHl_klT?{}$^`^@pSRLSQPd`?-$vQ3zVn$fji0De78wu!qmZ}|9Ydk!ZCCl;sY zJgk&y<C$t^$D!EaXpMJq80RdDVEJ=Tx26N8)usoht*6tb`GHGWE6wK=8~$glR6GKI zpIz#U((U4FEb#_^stCL`{lzb3kAB>2C%v*DO7gNQ0`eAh1fF{8=Ko#Myndxc8G)~e zWQ%W6t?wBH!UPzQS8qO$7e#<Iay_A3P4g0%BF{(0#9@lcKMFs>zySpRsErCA`D+|T zuD|990$%`>B)TSzJ>CGY_T-yIofWs9nBuGnHb^xFJ!d?BLwk|@#zOPPe2WgBg8h7p zD&M3R)7bsb`W_2MSryYoZX3^V+&6~EHfaG@-X!uQq${9FO^R>L%V;JGsAsb@U!Kq- z42kRU_=1x%zbiiiAL_oDxasBxbZs=$?x{tvF%XOPe3B3J-CSwD$*0l2%0@FBz%L+( zmlb-MB_67OrpTi4HV(6sX%XDs5|5cMmDSyxGMZ`ZnXZ_jhNiMjLJ0gLCB8rz@cris zJ}W8|kXAe<7bM#hjqsHLthmUI_s3yGj<HU;0;bvHp&EyH1()r8f$DdA7QxbaUOty( zn}A!;qgNKeW^2W2Uwc-&GhKz<9v#RS&%^?~APA^`uLRHo)^dzxMMo@x^CqY3`WVYM ze*^+2(c?Zk?q^?(c}|?HKNylv9|KSD)awN{Ui%SvtJZXF9q23HU1dd&^Kl+!Wnzw} zi^I?u%^X4aMp<YY{!{$l6Ekg8sQ;&c|FB|!i?sCrU8*tA?Mv_cJ)QrO7_9>Q60_L< zGU0E3;Q!x?bqeAzDj-==;P>pu%gO}YA<hCkXL41xX<{mqC{n8w`^rL736up8T2s5m zsyPG*2UPuldvZ|LA<Z?7sb1`lvj{GMj;4(QVV>tK@WbrW0F49e&SuKX1>_o!_Vl3g z(Zxe1YZ^JEKt*McE<JO61_`NG2#{z-)*&5#-T%#1tiOY+Q&l<vK%#AybO~@f@&cS> z6WOLN)s3Ua`J9$v+wTDGbn-al&SelUMH{%MnEnpJ2PUZ`2Q#HIU~r}9EHHeTynRTz zP&GP6fUTh_pmB#l*(Qe|PtNQfDW3lDneb8mwe(czXtZ&ej<?;?8h;kD<HU%NI_kcE z2Fet0dv_8*MH9KQ1FuFlnq3Ek)x`b}^X?th)bj2R(|b`7QIXIT1Ql$EKmY+18%@~s zBBCNKU@(SW1yqg#(vBbjER>xPnv^6|Q3)X6k&_^jK#*WGkq|)$o!`cD@BQBUTX(H@ z-S@qJysW)eX3sqHnP;A7<}=T1W)8D|HgoUV1kp|RZ|;Eaq}Xu&zD@q)_WiPUN6U%t zS}LEj9?SI~-n4pzb>RNhMziEu+u39LXTIN=jNWL!`#SRC#vJTM+s6%=l<?ej|NT!% zzs#*BZgW!iKR1Vn?Wyx}aVhUUL|2ngAG@K2FT>r?Y1H(w)qc+x&KldPs$3s`(;)wt z(`cbv^jo|myuYA;<!03zdZ5ZaRU%WYJQ;O+>C(RVL#eM3|Lty5&vL@DVW(8N@3^hn zabr0%F*7K}Q^M!o^Lcb-ztJCCyQYmTC;YUw-=R3W>ei-ex>|8Rp4e`Nu$<_ErbePW zq;`H|DNizdMD;x3hcxx-{+LC7Xbp&I_;Zx0yQW_nHnu4;aj;L;R)6#LY}FfHcB)?v z_Sa_T1CMMsOI$rvb=-1-25F&cJt~>{`uk?eYV=m>Yr<yvgGN7@l%dTO`(pNxLh*Jz zoSl@?g622UZj13XAL$f%CEJd_UT@I9hplf+-foULYtbG|%>Mp1e4n9z`w7!D%2%Do zt|45FI|m1c>u<IT-M>XDQE8IaIZMy8YKu9A__IHM?&b*pv7Qxz)Q_P}Ww-w_KgPPc z4)4z>eQ_nA(8%ualexy6_mM3<Pn%Mn)UU^DwpT=ba>_DN#rO1U+qMhqJek`%ep>)4 zx_M*3+Qw1DX5>lzKrOj{`ty_LasG{I!uOQ>H;&)G9rwrI;+f}2D2*)4jcoxgXEfI8 zBTd=hxfk69r#}PHB+xdO>;!}>O^i{)qOr$xXY;|xO9m!ojR6a%vu}j1G#!ur<9t0G z!_2vnaU!76<n;aHqlX?B-C!9$;hj8$eDhSm=;g@sO9j)^uR}k7%%R^4X8r5*7bAG) z(2wzdUSR<rgI#)c`qz8PM-X`WBT0lZMxUjxqBSa>8BC(&^*`eGA9?zWVwPLDacgAG zsq@EQN2h<?Zm@Co@SfZ7ICMn*(q)}uo$n8#34sflaLva6X4g+EWUOq@e0>`8#;)|< zy{Vs`A@1q2#s1cR;MQhFuV#qRZ|s`eIF`;DJejpNwMC_F(5$Nb4-dOt!;YU#^KNIn zrB7~*Et_2XTkrN|=TROL*XWa&UH5s1wtuLqu~GEs1`~IuqsKL5{g06|+qA=GFWRpM z4f~W{`eNj8M!r0vg6SKPCGvE}#zcPF)RQrxGai#}*c8=&+}||~(_Q&$((?UVX?EH` zkLj;|uNK0vm5V&R_fu#}Pw`*$LKrM6>KpK`&EqiVdW~T$oW`11KUz7cp~@?(PK$%V ze(^HX)?s215uds=VPa7ce|P!8Z0ExY(uPc*PE1^qf6~*%DSeOz!k)pv$O>+0YFYs- zFtTF0^idjr5yp<Jm?=$6ONH%@JlWSZ0vq`G;Zqj@HZb?$jg_N(Km0iV!)vRH@<-&W z;I9@wytDF<SBh#TcfEz_{S2#2>w|l8C#2+EqMCcVC@|xQ=678UF#KFtYnn2g_H9B- z-q63R#B*2Q>K-?WtSmsE@)|M4e23YgGs=nGjx;}iQNHVd>AH*TKK&)r=p)KEzV<f% zCI6tGjxj*fZRnGc+}he`_^9a#WQHuw&y?@lZAwLE7|{F-_>1u@eca>Pzg54*a0hz` zRWa`@8>Ze#H`4GqCfMUM;}@!#y{?{GKd<6bv6QgtIgro|a%=0N;X|evF3b*<QQq7w z#jSmR8hvmQc9GQmm|NQ%eUJ@HZ|=6_)+R*1XTj#0yLt21sb+^3<3ozW29K@))3W8) zZ|mlL>VYG)Hwa|44a>#z@>6|#OP;3vtu*PTJp1wstT$@^_tHPpw!t3co&Q03d~_qb zHL6qp=t*VcyZRezdp3M-y{Yr2ZOrLer2R2}D*ZGkgzli07DA6gHljRiM~O?!U{2oC zM#@iSFxJs_lpO=*`$PF0D4+YZ5jEH|;+zlt&h&h8PWuC|?cYGR9S9^9Kcy_3G{R0t zBArJ$(AebnxP=sF*MDAt3TMoXu(6D5xD)a3>f?6Az3Uo+VP+4LyRu;z9<Mo>ls3hG zG1}WY-gUp^*K*y4%!SbXL5OC3{pWl$#eU?I^G%lcP~ULP*q5H>9OoX{8bkxlidvP$ z38lLeRI5C+`PnGW)LHi?3$7C9MDJQ4U5hY|8$HM;p*)ypJ(>VS4r-LS{t7{&?c@j% zYN^wig*WN8grC&u$Awqu2*P>lbXH-1Fx{IFK%LHB=_9To9jjJ65JZ$X^M)(h9?^(O zV}7Bk<P~10ZzUK}RdNf1=tl@CRF(X~P`V^Rl=?E4Lh{1(?Q8l(yiJ&*PUU$7dcg?S zsZ+TgzFua8T<TQ5N065wfkIWx@$mCfC!nZ`c^;u&TM7Nt>*SDE_zId9=Rr?@NG-mZ z=FGW3p02^apxxnI=n<vn=0$%Z-lJ(!bF-pp#5!b#yNfON2&cDi`V~HjrpM9koo?W6 zCzw)!$D<+iO5_U8#0JrhD(_Qy#g!olQ;XcF{^ZiW9FuEa*9l!zAj{;27eIJUJu~&l z)y0O}jG|20y1H1|?%LvML-3@3QXXHd$2Zax?!=;)m{)i_?HHAk9o<V@M%sA{pW?c4 zcK4e4dubAss!T3&ML7d`%E5Faq`up*EB88QAUB#$oMU=7;P2Cds0O*wUBny6Q1@X^ z#68p@=2#>CCC!BTmYM24e1Yr5aqo-0;-yUB<AM(G+fb~&*qdG^gbZANkUswjN{JkM zm7anuc1LH?SX8fr{1j9^IW~m;66xcPenNAhdg<{WqFl(aLG)atxhp!ChNgNQ;<uty zn6Mmd?(JdnS}?r<8SjcNqMhXYI<9~8t+8o;`ukVhvxG=0eQ(nnV&Rmt8#<jP#zEwc z-k^sdg{w$jh)fikY#Qo+WleCwarW}_P(@RzZs>AaH<iB6kVYg;opnWLJRNgLGMq$Z z9SEkkB2#c2U6&6;(WpYp>zua7eXqE?2*;|h>gZHdd2bP-sLj?CS%6wT9?Nv%{KDKl zw~s>%C=UH_<mR8Z>2%lfVL|_W<Mu;V_)4$Fa!+ASR1c*I-{fh3%2_5;8n|WzV=7(W zWt1q+40IcI!Iz=dn1QbR5>FHd_BiOOS3Dt*Dw~<^&ad$VIA)K&CBLJZK5Lu9Dep() zQ5n4kjoc%Ia9p$}H<*LTH0dL1PwjRyJclnu+4Z36Se--2Ar$QRd5rjAvtO}qUaT|s z5(krQ(nVxV**0;1MF~SV<%4JtrQZwGarY4%anWx0XQ*m2P{RcXv((nF1L_>_`~&`U zW#sj$Xh-}XD7)|Qd#?OE6YZ+#(V}c>>*RnrC$)KQkXXuHt>=0Xbg8o0*kF1z@(Hz7 ze|Q8Vu1cQL&zo}JJD4m#_4(6vb0n)7rAi8?5$$M32zohxcTW@d82XV1pJ38mweq@X zk}8XaBz%ZJdx_Pwi0kxWWL4G5yNABiSkAk{oU_eG-V;eE*x!v@llg`^ZZzRflsUQK z71x@ugSxhNm_{s~DsbbcD??zEhw;>hIiw+sczaI+CGvxDBy3d=o$a+CPdHGeybqm% zitqJ8&~Fld`f$pc=t`8|lwK|3DeW!wVLpjWlte1I8#-`>I9fgQoAhgh1*%O}kU!lA z$?`y#4kR~HZBTR7s39i42|=WxsQsBFD)9+&*i|W?bA^78fUPP#gw92UGr5o#Jo!Wq zmIa9!dDxC?M*0y$(4!v8By|&0kZ6x4a>y|8E%)hvE5v=}YMclA>rqZ#59$?yKvSVs zWs>N`Tx2ZHV;{N@g`Gk)ARf{VQ7P2OuzFMwWq6c`Lbl>O^oNItvPe_h=>B0k(FRF} zcyX9QJcQ)CH&ND9N9L{+(u$4c^)6t<N9mjZQZR$da&77=vZ7Ak=11U0r@XCPn?~u! z>JS-!q^4^SMG8U`2@!7`Aq}@O+NxL2O^7bKO1B`yQB@vug6O^k@yiQ#Gml!wiJIKD z6qGf6O_uYBu(FS;g65yanM`m?UGtr7t2-gK<i9|DN7}jOdvIaVFlLs?vpGf`GcwbK zt3V1iBd9~98Qo13LWX*{*mDnYq<UHdynG3NhPVS7d?IQWvf9nXi3>oi*?QIM79peR zfI7bpwQtJ14xd9y*J0tk+hrT^ua?c~^fdUTsOwC#8a$4+Le0&N9wg2pyKq=FJ|3kw zmFkXu;HeZ1b9!uI?Q<j=n1!E&)(fwN;{;Wli5EATGmyD5Ks*M`{CpjL6)JbCtrq`( zfHq78a#wnZ6|{URkgbgHLJ`bySapb{45rMQ@Od<Uox#G}u$Y3QE)_Fq4x7Ao!^?}1 z1ySh=1)|b+SBOgeAu3(F22rW%CY5?e!=4@*wq|uU^vp5#5SH$Yyh9G*Ok5Bkiq*4r z-AjR>0}*Oru-7qYwKB*ryy+!Pkfb($<S2)FT|zuXRWZN14Z9<7s1W8m*I{SGE7a~( z&3Jco+wxcUVOzu_)b!L14|F08m&1C7yv5ObTo^!qi#&!SorYGq{9aT8tMfXy02(@e zv+!n51j%bbiqJsy(rJ1}#4?>d&<!+Qjxo9F6?Zp5k?M7zi3UXjd2Z-d+GP%ZBGcn4 z{ULG`M>>zFMH%)Ts^jh;r0C3LVYKyXxX$w-4crriLsYt6(+JUodBSbj0r4DF#600T z?1pGXp?j<9xq1Y|0=(Hn??Yr*5Y)c4o}EK<F~F_y8gE6WK?IBbKtv$LaUAb~jR!&B zqR7)t_;Q*VM3&PH_$C?*BFpK2&Ht6q7D7ori2Uj3Q;2d@?9`nXEoL9*-gFS-*cY2d zBkc9^QSv=6-G+U*cfH012$NLPgXs4}Yvv<&!w;q#^;`#n@^mEcPtW;nZg|KH5uRLj z&dQ%ir7~J~4oPjwtju#|^L-i@?ap1zS(!$LR7E@EA42g^LnAkm;7o0QRa2@*)X)HG z>;B=7#C)c^E5FV&oOArzX^3?mEaP86ym<f@ZNo)Eyh(abG-T>EaBT<|anTpKS2<&O z5V4?{2#6B45C)9pxzLofqObbq$GULkIH^5!1Vo%oZu~Y{6*X23osLrNr3cfk5yg(& z@1(gAq8$@a!*wKZs#e~An_Aa#!wDSRiY3>bliKH%J&XPZ|8|9*&s~bRFu?T2y73!m zbZV?7Iv+LOOAnw+@HcMI(a0j)iZ}i_%Dj0pcraO&&e>li&es}DE~atpNk0aW@(_2@ zlBsLD!-K>uWNg)n4Ofs8mroienlrieh@5Cd5WN`LTBUqwxQ}>$gggHVV$CRbLtA_r zYI2I`Q9kOIg`nElk55u<a-!c8&qJ|{p&OzE<;aB9a`g#mRfW2S9mD|ST2&zzZxIc9 zA2v8~8}{oQM*J8Z(jb`DYSx6vi;hLmgZb_>q7k>D85K?sy2bh0Bio2*qe<%!@U%JV zp`2*F>+kcTsStC2LONVzVrmhUv?1ytD2_3v&pwi=Ll8*ASLssd>bJyPu6H^LOKaW1 zaVA9{qE_AFyW>1K+y>XC0eVm^qE)$gI<m$Bf3@e}uy!OY_;$6`bX_5h6V5q78fH;L zZdJdn8fAN@do=a8?r4Gn%yOzI7n6rFq3yguw?)sqBYJUv#~z|c5Vdj^npcdd(<pv8 zZj{Aky*N3w(uEtHftunsMSI<#3vQ~Dys1tatu-%)ui6ahqq3=w2^$hZzwf6nz)y0& z+82q(X0tja`Nn_i6*_xY4_5W<GaP{8&RQt$RQ7}7&cC3zb7hDagM5B@%tM`@h7x7Q zHQ`HWp;X0x$At)pL$j!gSr8G)5PUAb^Dh!N_i%BF9l{;ZGNcf{AmiO!ED;YNCe`wA zxqx_#dO4-#u9Q`H#cLN~bUM=BnJZf)zLw3hFe1#~8JR_1tiq~6(bNNEdX>o;L>4NS zxudLQ<}4x+Ro>Iz#JvrLTSe-I!w`dhg&4GHfY^(Ftgpgq81@lC<j)*dIak~rmkx$j zp(sqMtBV%`)w`0#bar!bM7%^bFr8gpJP-sF3L+a9Xc|Pr{toZH!0PN^M%w#uE4&&L zq1nH?ce)Xu1%<)`k4FROBS;gRiM3u0z7`6g2R1{yNTf$qkVey6Vj0pJ7o-Kz&{t$3 zE=aw9=`W%*U7qJUd^t9)D!oUg4xdZ2fTB{B8vH}rIJF^nG?cys#nVCBO~b?mq{?(; zSfXb@Y~_#wMA4S4%Ur)+#S4TC9hbwK+>p4*4NFy|OM1nq{Jtq0s32c^vw*u<@Lh+0 zWD5BbVv+;7NL5F@Lr@7#GI|xCZGt&^;(O$Omm?g!i%(BZbRlxzWo}5Xq8*`0$K~ME zSefTvo0+>d>pyt0S^p_gb?D*9vS7j4iP%eov&QlmTa38M)US6=zfg}!mtzO_tr+*A z^n;hVIh@M2&Ym_BGwM?tY0CTZcpp@w2{+Br;6GgUzPLa?Fx<C*Ld~@;k6l_oWphG* zR*0k{ma#K;XS#DZek)PLIX9oM1w>tS{?oBPJio8K;2c61<x!OGY7dmc3nmXJ4_n6$ ze`;G{;v2Ye=rN_vd?2rP9X!$+@PuzdZ@99u{JpOLUmF`sLEmwhGy5KgWNikoeo*k5 z4$&|^7bVPe_ke;|WezMWD2RTFFjp0S?SwBvW%M*Oac>c%anY7sOAaQ-WSD4yRC43z zK=GI9A@qIJAlWOBUXRRo<3FWMQBC!sa7~|F<WIjs(Ap&5zajF4D0h>5y|aRR=~(2U zDu{M-HZ7^>2$>f+w`pFpP4f~qGp}!E=GG$adA=c*BIUQA@X=VokEXWjo4<d5SVa9E z(vT&?84LF-N6nCGk4>4iuhcnl%);hMP^!dRUBvs`zHL=Q9%nR{aHO(|uNE9LWOuLe zp&dGY^lq-J8~=XcpyN@QSZ$;Z=a)0}+<A@}YAz2|9W?TV8vFI@A&wb3`~k{`OsPRM zLy>)34)zA!1?j+P%fklJ4UqtUZp9g2htgyoh1!VG^mpeMEf3T{!EGvaO>MY?s5-^= z;AcZyhPXUz5M3XM!mR-KMwI4Mpc}u?(}oj=W+mdE8&9l#nq3z^X=T`J8ehw`L&IDR zKSL?RA13lRWe(ngv)%FRQJgXh|0E~+6_?=GyXMNL9hr*5MRWf|n!k>J#dpDy&$CVX zi7DK06)K_nHSQYynxU3^d4~0AU3bH`5ARdIYaYy+y?tN5_)-4(i^^H7^0O&Y*W(BF z|C}F+`n*Nw&wg&7tAdY==T1)v&n=$2o=Tc2#E324<^?buJ99g1I%PZNJLfx4ov4n6 z&V~+yPJ<3gr%eZ?!yycR2vhv8$Kym0<o12S-FyC1{qlqZNe2@8l7o`^68n<+5;PgR z8Ei%%V}G-5vsClZlL|Dk7}%m`m><uGQQzsGsGO*rq@2J>_DJR=a*{X+LCGG8evCZE z4#ro;4MrJ5i&40Fq(Q((u1zLJXK@?v?Y|v*7?(iEBgD;AX**(ngcqZDC`M4{aDquf zwV01+n><Z4Mt%{&^J18E7IhYNsC1$eLdE%l<6>=!G17}S!a~FJ!|cN3!_Z-dVJ^H@ zhEhjHvZ}DT6igycWc6@_K(qOxIxm^Q>RjuH?UYZL5jPi)+p#LM7{GI6STM9Z?Gnrd z<ptY?<CIr-EZXpYlo`XF)rmf$?LzB2e;-^-XLK?$I#iR)1={7~<l!=_dJ+30wl9kF z_>7s&0}@H?g7LEJQmgwSPA<yxlo*4Z#fj#k8*=Ni@NKIH7L|B|jN%USBvx{HVtLYr zke#5Nn4OTFh@C)&c!uzxaE54xV20R+Bt{w|jFH8NK1%j~Px4PFPi{&cP8v>dNp?we zNpeZZPtH%wPs&edN>oZ#N>oZxN@z-IN-#_|Of*a~Oc+icPNWAFi>RiWi<n8639Ku( zsJ6(rD7A>UC_hzRsgaKReQ>4RZ^V;voG1C~-_EK`v}CY8ZrZ;|yh*rN{$D2~tA(0G zngk5R4TXJwB-?~yj24gbUNSCrC?)F)p+(09+f-td7oB;B7=@jNiJ>Cn0&TDu{Y7J5 z10$$IrNgU(pD-gfF50F*+eMS4ZC%~_+x~HkHimcyKY2!&FUS|;3z-XYCAorJIj&gT zp4FX;4!l!5O-5R$aK~EbOwy+L<94lXTRg+N!jp!7d^ea_EGjQ*E*!Ueb$i5?2#G}% z-YBD~BQ$ATpj|Fbc2!~VA}{Pej32-0yRC~>ybOkX$4v6Lu)OfU9XSzM^xzpXsylrW z{S&&A|C@s<#u0n}?V!s4eX?aZdD8*^ZhlrWE0LANN*GS`PxeooWISOs{Of=Ej48%- z#&d>$DTB`NViYk{7y>OSEwU|&Eut|ZExTGITeh|c#_Wudh}jY&uxc3b`-D;Rag4O0 zkdla!085-D%$f?fHRDf)8-?kG>4fcG6j_)%xwx}=@A5yVVAGS1b)4x)iJYl8i1ACX z>oju`aS_ND&lk=Y%@?%(l)-8`)47YG!FbJouw*1Lgcz%g7)A@Dj&YPB(R{pld-L9A zk>-=lJDayQi!~cJ?>;Gom%<C-Wd=lwCC!9sTWA8Fs-E(mN}l4L%AV4m*&9lW?E~@y z;sbp&kv`0SIce(}s{PjzYapE0aDHIDs@3iK$B+22+;QzQNA~_dJ)V{SKYZ4kCpL(G z|1!}2lfs??PgS4FKg}N&U6?-3t7KeYICdy@Dt1hDa!0i;s_oMI5?AfeZn5pjGoLAi z+zn$dyH%+)^Uzip<ms)DuSFrh3%983NkAu|6ZuK}1avY#k)JG|B%iRcc~(s9_gwtx z`F^e9;<d+eKDEq=!n@QSMgXH78i7N9oyR)rJ8$t0^7imNd6v8wo)Axica?|aUFIF- zN%1c5+<Ae#0v=Bh?Ng2Ka)C!K#+~NaF|rsw9qmc+!W(ihS(xa$0$dEf1HKgwgA1-2 zElTr-8T!y1fS5~qU2s)pafQbtWJd?@{VCmCj-XCN@2DC~^bu(nfXT#3LL`WP;$a!X z9ia*1A`nr^#)-qXuj(xd^P(C2PKXzU;>FfQR&_SUF5eFo8jcr+=8&{&=oggd!4<KI z{VGuoJ#PuO6}Dix;xK_Y37YEa;l-`INrrW2Mq;smxn!K!>h{Henz8C>vrpK<0R{$> zP8i2NxI`^>e{H+f;nM%ogU-r-yKR1;w9Dn={~qW_nw@#oj$P$lF1`PIpnviFKXjko zW}f^=N8`c&+Vf>g**&X2HROCBoV=^^C9_b&bBvcJx29%t=Jb*O!6Wl}`w<DpH)@7w za!}^?pPK_tHCS$5kZi63da`q}!?EL7=Um6d4s3@qTq)fA{mxxgRrzy&9;){SEFcoE zP8b)G7mk;K$-%ZFT3c3n)I+?OaSN-R*Zkl4aD}t3Z)?9WBjx|4-KagNfNkb>v*75@ zjbi%o{#Yg${Or3w?t6Ys0C9ze3+R2b^H2KmuGoZ+hHHri52Xrk5bc}_$}atJZ^Y&n zf9rGP{jyuGLyI*5c`Gr0S&;N1Bt6<XF=A-bS+Fiu__f(C<<GK9moi6e!s#B9u|t<| zI<OP{;WN30Hc$T4t1tXYlHa~m7U?>)S`(1CviC6r!F)o0Wp87+RJPA`=wnSlRsrHT zBzvL0a0f~L*-{zcI>fFCxWD352+6)Fpucjm8C)!rbsc(F6Y!*e0CU3TNyOQF`YTVH znWeH2*P(@)fRuvNCuNu5?R^OM?`9_$cEm*2q36Csx_l#{p`80$^+^E@E4;MO-FFR4 z6;D*%Di%9p^JM2nT_Kg2acZfIRa>U?x(vmwcP!IU$o9W86lLHwUFh9e;4NEtV$n`4 z^&jO<fR*W?QPM$wQ6|BkUz9~(a@5iQOF*>0qW&oB4~+WTP`0aJOuDeKBA^7)_;?}x z6<`y(T$WK=X7{=*gi8|Ml%@YDn+{z5Y$)4Z&?ZwTWDt8Da>;ugQb5{@uGIK(p`c~G z;LqRN3SS?;{w2~W&ajsxaJ$XyjSlj8OQDiKX{kEtYk~pj%nLs?e;zjS0K@|g&#D)s zvE>y+TZ-fR)hw^77wjnDz!E#51{{yd*gi}P2dme2I?oI9vbKSkuUPXGU`;pX#S5@} zg+1sCm@BI4ykzfcGd_xA^CvZ50;WSQ@zU63hoy6;DVKcKOmXb|ubS7Ls(xVO?*eP9 zF)wf+5QDnyN(udFf8AT@PI2jmvh8DEw15siD$36DocSy%(0Pt>3&IXit*i({`4mCT zoA9$7ovJ3V*{=g_fCH88LoV8B6n78<1|IS$+U6XDjVb^eVo~m{RnOVqLF!M+ci#p) z=wIPJ?Baiy5(Q!^15JI3b~$sf1(kuZK1C|d$`$Tz6tOmAe{I|er-lchY%B`l8uB6# z0F;;5Ej3YjpaU>COG)va4+gN6QH|AlQdm}nyB8%q26f9d1Q#d^D6g;|*F@n#1Hg3H zB|nWa=T!BQ9oa_Iz@2aa#^rn}?^okxur@%|^FT)*FGc6VN_Q8^jW*&5+zFQk4A>uw z@^TF+4U7dme-_!xHJ>k2#K6X16j@(`vOp`quZ?JgYrNc$1y;qNF1m(H$AvjjsBJ_8 zT%!Y|(&!?)vF5WgCEO{5gEe^#Mz0KsJ-pGiwx8|oAcKDXZOQ%4bC9)iT~#hWq@1k< zj4rV?eH&WALLg)YE8N8v1rZMOLO!u@oOeZ_-}GEce;e@_&ie(M0D3dAq}S}XAf}xC zwvA|l^L`en0O<dunEK9N1`5Hb%4)7GHox-oB}!im%Ez_Ulkyex=3-a6*ot7I{k)XV z8dRq;@FGzCiz4hhuM7Br-cwldE;a>hygVQ1W4hhhq~fzXMXoLCB+mPJpfXVXlcMQ6 z?+%QDe^FJ{Fj?$yWmFqDyu_}piE0I>om$6SGSVo@P9fE7*|sPR+*k#q{n$6`a_yVb z^IE{Xw0bULmg4C<e*@6JJb%N-Mjp%UPxC-gO{WI$@C%l7(2e8It~XUirTZ-0535E1 zC=%GT%+B_mKLH2>p>q@q-}yTLx+02HZI-;`e-ho`Na>BMrrA8|MO1S?)RCN8yYyFr zn}e+ZH1_jfF2&N=A}9LuKy9B`Gu$0#puNhzvc9_Q9_!p^Y%JI4@%RBW>E*Lgte*Rw zcF=3JPCun@49gw|$2eI1GzuPs**9RT+qOBADx>Tvh_>Y@S5#=J`3rD=^!lOP#k|R@ zf4k@nKYRhw%Jp%H{OMBmRv>zjUFh3T4q5}#-?5=76mJmb*pOc>CyC{TNOc1b7yYIX z7H4R+?5gW_6`x1-O^$muW`F_4ek+S?lJC435MCKoTrDS!<zox*?6tOK3s;m<6aqMd zXCqQo?ihB#KGa1z#dheg_%;Xo0CV8ye_<DMDeRh4e|ey}&)P|xSw&zRp!$n4_?m46 z?y#R%_gRDE7F-%iz_r-<^cv$+6iZN!N15=Q_XVK2UsD~Y;;ib>a1YE_WE=XTO2A#9 z+%HOK7yCKL_IP)|l#SJV&GrL#IL;sOSyPWWQr{Q5v%RI=acuj{;hO?@dAvAYe;O~0 zm&J?XCGmoIIfM{i>`@Xn37aq|Tr7={F%yqDv3M{{Gt8<c&i2ShBQI-2(~9v6sdX~J z?n*aL^2biIM3pY>8ox!6J2J%`k0um89f=V#N&SCa*g4dt*<@|aB`~vAM7*pzW)jB5 z+C}3OR>h!5EQsL(Eu`jR?VF*Qe@4XNMNMAXf9!JFrD0N3yV<>uXx=J>jBIPfc4C}B zy!^TxTxj*sqB0crRd@I#r6q3�#$PS>3hh$eUq|cW8D(p`zf1%(~>NrmOkY?32h9 zT7Iln1})~cjj)~QhA2h|BZCpaNMHnD@-T6XI7}KQ43mYTN+q~BTp2FCe@A>!WRRgK z#Z`b2*0=|bK`omQssEGx>(9^98MJXd!}Bl#JOWMkJ$UQC?2b0?Uz{{t@Ksv1<;jH! z*ml<uIszC9-^@2pjrG<(9F@wT3G(MHd;Isg_=&Y`i4klzZB|)~3G)b34hsr95GE6& z*u1Y<wArY6*Wv}9BBQS}e?L)Q)JLdoXUx`O)7*0~Lb9Is1sDPUjVK&rvUq}5#qj7b zOb!(qmuM4=F<tcLp<>EUEba~y4^!fqGDw~1#2FE^7+MH@%`So|N2tbJf)TX;n%}Z$ z5oR9d!xLt#bn+8d5~7o%p(vLx!WTe`qlL}o;$&9$|EH@Q8C{xae^+aK=q$N49lz;V zpReJ8zdt!BbqppK3!6g@g#zT`i#j|t-WHw-qp34Aaa^QbFivH4cLWf@eDsan`V@{d zFXjA~4e;xYV?1kye5d)pK3fPcM)S~&t&Gsl%{v|OZwEtiAeEnUFD^a~4^n=w$x0j) zHT-(aiZ{Zj?|9fDfBXC6QPqEZKC0?C5_U06IxIZw*yi2Juyvlnn<FZOiJowT*rS<< z|K^sdop^@GKetT(?`x?8*Cve6w3l5ynoTgTi=7uc(mT^Tq&uZM=Ah^?yfeIm1MPY0 zJ8tnVLA#sXyue5Qv8&!A4H@ip?3tKZvdWoZ7!SeI<NY2He`9iEVq;QcLS{l^GGiiR z5@P~pGG_nzV@Uo+*F@GuY{?3(N7H5Z@NM-li!ecN{_l-3JSMh-wZiaZT;BXVvMSo$ z*}WK`^DAFKNnA<z-|w5wH_YDG%)e-jKZ8tdmh}1`e#m+ol5*@c@evKxqls1}5))<w z$7S23W3-!(e>E#KYc9(1rdw16+hk)7Ebid-GsYO+3=9Lt;7YEmKH0ru{oh?EK7RPR zVZ>*zB2>(Ff29=lQ+KafsXZx4-+O8sZabPUMg4;Br2qbV`IheWfJ8F!;l6Jj$E>Um zjhC{X^cNP!YGpqep}YQ^B)Jo=zO8%k?t$U~t){y)e<5VM$Pq%V%cVPS&+kweeY>jt z{X+GhH}=}E-I6B9s`C?R%1C{(sX9M_rh+siYpS7BXrf4ea*>*R8eC@9o@}Kip9+_l zJw+B)o5}3i7d9{d@P4=7`efO56SXo~$$LvaZ?8I-Bz*S!TQ2j&ry;o)3;P>{U)nGO z#2upVe}1<3sP*era;52|=_>)r@aZjq@(w1aKZ{`Q>~9dSRM8D7DOWi=Ef|<~p7Z!b zThKvM$2P6|ihT#pU)q}X;IRLGU|Toy^@-z;N<xL+idKN9Bt12!M_iBi_`irzS($h} zeJ97%CD8i3vdia1{Lf#aEsx(lm>Rw;hM_JAe|2UmSlCJ!Ng##DiJ4xR-6HRp&56HK zv_B|$7bUeHBk|_yN;9wY;O~o6IoLZUJSIpKoc{1JM<(~u75L-PR4s*9mn;^~-4D4b zWijV?>=z~GMb3%ixh0}fudOd!wHlHr*z@iKQEf8o^2mqVNoD&bRCOw4`_=Y)7^m*o ze|sF(GmII!lvH;B$|kIxtC#5A-f2I7`km&|r;Ou;CGR&ue9b@ZN$Nd&<eIrc`rFx4 z<ExSd7eBNyWpbYvdx?qnT4Y|2Cqym`+QM|YH<}*J%*x*H`E~r!Oo818hsYP>j4a(q zSb(5~zsRymNh9p0x<$U|vT(@}*v+jLf1IP_>;X?l&uE);Nuo~1FKzfo-9gPm>faJi zO$i!*P0ZW&%d<pEz;xkMpG1_l{h5Rx21Xx{Npab}pGEa)k&i|{NF{lv9sS#=tV!dk zHF(L~x-YFHSETi_{mE0MX0QBq*_h-lLqAn_4w@|>{AC*ED^#A}xv-g5j7>z=e>p`* z`uIiPi5pm-(_VY_ZOdFpv(C$y)9v=*V!eL6^^*H@Kj2~`+KJlvcWLsoa%3NMmfS2; zE_2u-PR>ZTRNFAMMPSy3+@lbQM3J-AiW7q~Qp4rQxoS-*aIslka<`g!YOwjmlcVON zS_}G<j6XNr3%{3Je|MriB={{0e=IL4-nua(Z)A4OoE)H@W+j*WR16tNu2d_(`y<s; z0C|GkZaE;eq(pX8^G|&$i9Ac*rDkn0AaAq{DZ>1fIb<;)ZluqIXNFr1h#F}#_hi;j z^1mcKv9c95GGIDoI$GYbvK2HkVqVM4wj7W!l4QQgv{LuTJa+0)(jG}8e<5TP`MX+q z${sm_2s0*g&T>H5$dKuo8E!csXk@|+&Wum-+=Fx`x1SmiGCIb*nwf5?Cqy{Rw9J&Y z)Dti=XS!z|u)s?YgqX9L8+TX5nd9mkVuU@+y38O;Jz>Ie=H<+bmUuyeF*7g|Yl#<H z3MI!|;AIG!%&(b+7LQVCf7_7?<cI2pNwh6UVe-5>I>A#O=|x7V4X4szNE331dQ&28 zH}U}aka|-Bd>b;Jyr#xap@}0y$*pSqyEI`WnoL*ANT4YqmB>Zv=p^`_*~4Tjb@@d2 z&RI3Gu)2Ig%a+;O<RLY?6u9W@HON7Bcj1Dw5#&iV{RH@q*`4I)f9e@YaMf8jIb3}( z5w1LYkbF#iFkw}nIS;wmTRKvfNy&7v@Rp1eWlm-0Tda%EUM62u(@$-Yn7u<DRSQjN z5uLq3E>sJ>+afp{Nw!v3O^n$&t4<bIS50V9oi!sztIs6H$ju_iB(<5lEyA;IWPi2d z)EKGR^JHx`)wCFyf7xSXwAy%Tj5IWi4Ap$nVq|9lvWwb=_>vB@FH_&5O=3xishz26 z6(_Q!&J@p_v1$`v(z|B7RdY#tbz?Bo++tl~Ntnsc9Jh#*UXo=FXHItP_U_z>ms}EM zvNBt*jDE`Wvw$KjdGfe=eDbPd{7hHvZ6oq^_54K7-AEnse?Im61jx-t$Y<1DQaweG zzT^}&rMsSz$TMU`wfwYIZKk=}hTM`N<ORb7PgSHT8Kpj)=&6i6L^e<#PViJgN|PyS zE-9X(v*VeCRtPbp-AG5WjhbO9O#*2Jc>$e9lSR6az0}aDG)bg2Sw*cWjV3oM@Gt+a zsq>R*N=Q|5f2%q_iKc**hrE!H2A7+4CHtvmq{5|U&p~wmo8@Kx<=-K7yJWcH>~?at zx?K`{>#PV_SzSM^Mdn{FvLs8Z4W_~6XT|>IUygcpa?6g{-DIqKbyCZ=St)Y2`b<iT z_`h7#p1G0OqB45|n!NwvU)7Wtky&4Inwsj}7=hV1fAWgjcyf&BY<#A^Rh!6?22(n7 z(8^4F=^*o1=8UD8?9z5-cBb8_HsPfcOpnY^%QnF!V`flhwdK0lZx{Jk#Qo!*Vv9Jb zB}ry?X1nEo_;+1s=@`>4)7)}hV9At;&K$RtOY|#U%v@7jv0O;}A!`JKg@374h4ooH zk~P|ie>_Mw_boD4i%)whv3NH#Sxq}(kHm<mkwBd}#^i!b_s&emj@^%~Y{iUpn0qr7 ztmIOH)#baJHWwOUwH2#J@+0zf`qxy+W0{i{wlYTB>qL!2kcXM4GZif5lAa16<$hDn zqq}<qjZB%hGlwkY()NfM$ufUr)?2JA{)-(he`Ox~LSy)={4wZHmQ)wFuoW=cQYZcI z&=aU#t{%E%9d(j=dBW2@$fM-*>Z8LylO~M44|{e?r*a}X!&LNl;wMGBP%T%QA1TTF zFBX1kd!%GD{Il@`3VtC>(m>{{s_gTO)W58|zCHK-_1EF$n>%BV|6#WK|3mhjJ5^;D ze`$(Sk7E(dh>-ZMwUC>#7SBa=r83tP!b%!MY_?SFJhjjCgK<)sq|=^~IM_{7i*ONL z!4GosuM$hn2<3`=!-{`C_dzQ0g5b4{MU|V`vDzu8UJ9}qi6<0ZohdmgP&IeTL*lc# zy;}k%x}-{E+6Db|u|q{$?$yH*P-sf9f1;MvT~}1%$9|-s+C2Ftab5izjyU;7oO|+C zNxbB;9sSl9Vf53JALNn{m4)YH7&o?we?I@g3U%zhJnhn#QzVI~QiRse#KQA?ZW>z5 zQ2O_pg&n&uJgr{Qcq&rRo$+MIJ88F6j(kZW?53(kzeulqW=Ngzw06bAQ=$SOe>YKX z%irfqk_2pKPl-#i)$P?21|mwVMCKJL4xduT7^!I8ym#tM*q6kOv`3!|=@L18Ev9c1 ze;j+vV#XEhUKiWm*QPmOCL7~jQn3C`Zt__yhjQ{Vk9g|g>O%tumFL?n3`GKeg#G|d zjM|$lQ^FiewASBwzbtCy{S={Zf2*i$uXWiKYBl}+!1#{+pT|F8Up2Lp=)caPMFPJ< zmF|pY{K_pM2^sJW7j%6>$ZdD;*p=*n0InyPmiN9YTM=sb1(oDZ8z`n*@%<(L?u8)i z`(0}EB%J!jKl#3|ZTIw#dXX+fSuhO#9&G+mElE$(se8QUdZz41e1g}Ge+qoNZ=c5s zx4!n*xeZCDL8t_`8AlN{>|^7*^4v~bEADI4wHJocbqo3R8xce;dn@SW?t+BptpN0X zdxNA^2IdIpCwE@b_>jG#g48Q@V*Ze^rahqWfOFBl1&SH;DTe}m1>V-H6DNkEo~uD? zOUO+l3vChO9BP8lRVs7le}U@U-_j3q_d2sb3AP=1UaqN2^zCn}?tk~qXI+nY>(Kt= zV$y0qj{43ip52h*&DZHU7}x=GKXmb^ERX;e1i6e+MZvEXfseeF+*y?cRp7`S#c^Y6 z%0ZyN4lBSp2pj_phVVjF$DI1@DKaFfI$K8rFJNd|Hw*Ou3;+vmf4Hbq&x2)PAn29i zu3b^^4AfbG2NdsODju`bFJK*h{mnP{ecZyehg0vv_XFiGK&{;N^g9(6iAORR7c)L! zxLWW-pRO;vt;}k^dd~jC3AJsb{XtN}OE%7_YHh<O(j%n8SQ}8bn+j?uW4Abkm;y0a zML_9AAgfXcm*?b_e*?CbOTBWpN1X@dE-#U8&TP+7{~9gxa^{x8!!LDL7W4~OS4|Nw z*mx%cQ@}Gw7?6JfPF1#4%{!UqfQ{v|4OEBuZyQ!~PCu&}oJ{jTe7RH&6$*pFD2(n0 zcN>ahB|92a3sM9IUj#BLx49jtjM4+F>=dt7m9Wh#cSnNue?dlo%ZtDtm5*KfDu}v( zf?e)=_X`w*%7Q3xJ9Qg~zo<A}dD%U^lBf<Gv&;SLewt!aSr82#4pIl2UIb25esq_v zARYoP+U1TF*ilp}-6O$sK`?;-Vm8l3Q)KZ*&DYB)A6=iyRWGI7WGjhibywha*kM5b zWgYs(qvZUYf8_q}0jn2`zIA1M_yZj7wNQ<VJL@=q7RneLEOTlF<d%7J^^(9$PO{nR zYVajW^i+wwxw*2#yv1*kRHs-cx#dselh>yhdv?Foo+?p|
_pzuI$`6vHmy6%kq z?GG94-A|mB?mkP7TQwah={4N0@@sPDmN5`l`fXEuf2iVt>ObPSx*MC~Do-teQ~Qm- zb<5e7^(sO|waM8P%eXzi{KT~{&z~{cEU1a^(G_3&)cMmX)^Sr{vSJy(DbRg+-fC0e zQ7O9|2|?|#0dT)D_nZNK{F6AJ>+&1?QHd_z>F0;e38%N&z1@>nd{Lf%rD5(qZ{ZE^ zTT91yf3;Tbt^)t^9;nAE0e(&f@A@L|%)bc4f$yS>2JtYbhmMq!`m7NOeaDFMfn_CA z5^+QW%Wi0z`@s%O$AN}pP{s5^b>LW($sm59kqkz$rr{)Ghj~jtzop3E#TxVmAFNN! zJo96fG#{D!!)gwG!B77FlFv`64^tw`zGr@3e-|Tr3u5*tBM<f*-_ZPJpBGgj5%Hg% zG37mFX;Qh`U5@c_yK>vHH{OS?l~^80x3fu~vHlc2Z`#JcvtwvDj=g;4%*HeE89x)e zZoDe1=R+ZQ3J_gBX_xBlw9g(8+RVxZ)d0~YC=2Ga&k3;H%qjv;gBO^S@Lj}_YBPJF ze<ow@+PA=s7AM6on|b#07B-W@=DUm?=N$mm)*>$(?PzorXg4;1FMHlPbD82F>hCht zIfkxer#IDO143s=PV<z}5z4E2z8ARsb^1r(=g%v8KrH{x9fOb`vH4(JgbAsDy3lqJ zFyDkb5H0>vOmVaus__bK56;$MFF8xEe;DR~Up@glumq?Hz?0M)Xs`+Qz~5yAcXNeb z0Jco)rcwT0Z!ObRCG}o6sEx0#>>b9>x;~s94+3ZFu`$k?n_^!^0YPl(THi%L@D4x@ zys|NSS#hmz{7k&Lcw~3;j(kt$)2<2*ALC3f`R<5U18iQ-@||u~2`((5E5AhRe}-bs zf&Le>*}dcQhrecgR2%=ZT6s|WD<{vHmH&F;@4xte(v$4o=j*?efRi@5w7$4cZQm;T z#;%ap*sH6t&CXtQ%S2GF!)cK%uaxG{rkAm>65FDbt^nQTkTY0r^}WMtXQPd<Fx<WU zYVRVT#EX09)Sy9!g;m^>fO~yCe}j#~Ih)!QEy<;YJ00Z^9!LmV-e|So>TrsTQ`)bw zP2$OX%$d^y6YrPryU%Y!>6-1aE$G219ng@0N|0wx3#!+9mqC!%s23Ht3f?`&DG#Jz z|87VOJDIMH^{#}PzeWjC+$wq(7xhp>BQX=Gc7{|P0E;VuP<y2VuP0tTe}h|5H{l{v zgPl)r-!dyRvZ*3-SDnMwt*1^7X0ie)qkmJf!%hzB)Q^FifYc3L4JoL3^9T1tAZ5Ka z<?7=~olP%!DNCo-f2w1bZg#`j^zvpmK<mwJxXp)cb_2Kk(tTc)UFUQ1>Dzo@1OHn; z#qFE=5nF!iw^4adLhUKge|{N@$KfBObe%`Iq4u4*E2xgeKZ9&|jS_$0H=NIddjhZB z=7Sp8Z1!&8<WrQAGFAubMs01L#<PSi%0ZBGpqEq)jfAk^nCH%3E?&o_)A|ICa*Ti5 zn11TFjX9fWPf(`+*tp6a<%d$#--EwM29-C_+)%F|v`oMdIK6jEe;r%vpGHj@*gM#D zS5JEG&+<PPzNy?`CiTHRAxn&U)%LBDci~p<x*9?ftX;F-Rm39Vz%Dr##5HP&;#RS{ z?}^Vh0o~B{5a3bH!Y1I8dGQ9e9{Y9BNzV(90kre!olsNUO+071&DJc)grrS4e~Kyv zjIKP;IT03e5u<Lpe+dV-THf?UWa=*m2ya&Ad)21({K?u4Y%jKRT39p1!nxIPt1pDX z-xPG4hn+bsq>df?EeFIqW6dfMSc$Yz-D?!_?GPYt`QGmit2+Pgu+={uR%&1$VJ{Sh zHQVc9VO77KHu=w>divXG8NlyB)eZv1_UQ7vU38r~_T=v|e-P{bdkom={C3*;f5t$e z`+Ovdbm=5bPZMia{u?g;_ZV1y_8ZQ}{vS9j-~;ey?sl!2)Uglz184OcuKJ%bVDJxI zn)|#8%F5v+&3-So?H}#<zqMP&{DvF<N4t0sFn30uOzBdwtz%pNhFiPz8?JbBthmi9 z{Dw1j*7ck}e}L)(PSTM3uyOxPq0oQu{+UAU|4bo2EMRw;zdf~!Za%9V`%L3?Lgx54 z$4$Z2v&GLg=aAv@wN1#?v;50gOeq2>lQx=ljWV?9I9ycYZ#xfO{%z+czwL~AE)t=B z)uc!+_)h^lE3JiF1Nw;Pff4(i&o6qeJA-G>T`zw0f4rNArYyY0p1mE}SL9SQd8t?4 zR_QHG$0;{S^{L)V0v$xor3euPShkg5PE2Wn-YCJbQdiMue@S{Q_iyk*zmn9qZKWm; z!H+yhERQYc2<(Ikv9;VVAZ4>=D#sQJzJhAvSBcq`x=%8~p`;Qi8>>r*J=*+8e@x`` zun7J8fA+kAcWkhB$RG$EmGY8RJCut+=YY>5e%y|w6<GkgphOD2$N|_D`Qx_NSkZal z36z7rYf=v$o%{41BZb}pT%V{ND%#2QahjLTDB_NX`2&D6%!EEau?_(3v!A|uZ$;k) zHK1x~_f0MXSqpGZW$jQ(9Qs7c_4?Z7z#q4@f0Io}uj?SVb#b&FF!iN&sPzb!2KM&* zl`HGgBAs&6x^0_$o994X{fr{SSQyI@FoPPHofxPFSK)Vv4sX1mwG(O}`aY=yl!BTp z+m2QT6d|ChgWNq}ZzlwFg=Pfg4q^O-4ek&P$X>3#DIvJh-6nKphTgLp4G86VhnFYt ze-`SdY7*%#c!BrnJ69Ba9>`_Z4w=exFN3;o{mM=D(Ov>TLANd6rMa&Hl*uT<w~+ck z@F0{JCiPW<dmsx;g>969<B&SS38V_}0i;f&Ao>WPSkD?c_pk_25w?M^84tnL?vB3v zdfon$DtuwD6JQUB!lJK&x*(_lVVRH)e^i3opag<+01F<45~ZY#%M>5@!WD4cnKBMv zIPC;D0E)0^OAz|g%`m+O#p~cZKf6<uVsJUT8+Hze1ag~LBc~sl?((H5I@8}3fVJh? zxYXByyiY?8fCtbJ`6Hkbd=47J7s5a`2<l~+{sBgTV7H&06%Y$hSheM4U?RAifA`7J zfnpEHM*h&L4158eh2Jp*9s-m<yD>>%0SNZ^+1Ubz07@NeSJgY1g{wk}L2d1gz#k)$ zL10Z8d?DD$zy%10tyDWT*aOnAXlKwJ1ovgEDS_^lpkjupG*AUl3c4{*z!P9#m*3#& zP1UAq{a=7Z;OC#8j@eVZf$7K}e-2J(u(}1^u=AVS7s!&H4^72=Rkl+*-?>-RDyie1 zzc!xzB<)B!19(OJNU4DOgNH99IiVZ@Ls+yRNW_9B8K&ldsS_o+8<PQIKz5Jc;6*?m znEz7CDg~RssJTz!judMkHsS}Ng8c%tg)cmHLOBEe(U?ONc)T{Q`t|ZGe>}c^{JT3K z9D$ko(L`{joWl6Kt(f8ggL^EucX7!<fb7@EyvA|5J75)odHJKM(V1e8@jt&3TLl>W z!E#FiC&1kUa|dlH62L=7<c{Yc<gB0Y^v^R=cyN6I?ok=(tQq{`_1RfC_OiiQz%K#= zRP4rrweZ3A%H8GQE$Fvme|I(b6F%7MWMfYe!T9e3wZK4)!FmlK+sWWQ%PkS?0Sn&G z9kiot2W%!H*UwY-0}sDMu3J!U0uLKmBkuk6TYViBPvo*jGQnM7LFZiXDas|FpBYIl z56lB^{DgBH3_O7PNDQj7;5ldnA2fFA2PmEx{}AwNWgu*@eg`lIe;5?A+_FJY(7j_0 zXF)j**i1$`mj@ETveCKV=U+$iYEq;;$aBF~lx@JFiO9yXz)CQ07VhEDa0%#(z#OV{ z$AeSw!5vOj_7o|Me>mt22JRcoMqEZ#U#93{wCSIj-c=w4Zbww_Z9NMFMPP0#=SF9N zJAT5I9UEMM^y$b(e`w2l{*!x3)l}p{NnkQ~f(Iu#HaGyvkr;Z#=NF(2d=T#x;!L@L z@mB&HD*~kl>yK@YEU4f2!4UBCySX3dD0hGmR^*)$wkojJH5Y70F$St8BO9Lwwt`fs zBjsPu7yB~SU3@oY;orODX(XqHbk_Oe0>)SsNQc`kH;eLNf57xa<VV)=W<D6GKbURC z=xntERw6LJdbV)az|VtoKddQ&fC?jW;U&8Sl$(XG*rUz?X%U##%Fm^s3VhJpY1)Zm z8;zN!z_qvCarI4$#3)o4mw|rp!JkelE|gms)=AK|f-N!_eh|<GP>)&XXWF@DFF{AR zUDV_qegIJPe>L)B+L`9T3bqWS!1dR$(2$M9+}`mq))rtvhU0#WJq=hxD*fEag(iF8 zV104{%k%ZXfgkX}a5*5~33Z=kR0#Hg#P@Sg&rssQ<qVclHh2IezMgw(K>+}-sYu&$ zb^(b02`_g*c>%+b7{^LtDX0t|)N!IaQEV`*YoJ~Qe_LoUd>fzyp#H-6TN@1K-lbpu zbO^(e0=XbtZ!p{l;5(r*ScE^o6_7YM=Xrr5445(^^-9^LAZ!+{<T!s0$cVtCS482! zZunq|lc@tm0mBm7oT)m4;buS+fGT7eWq>9i(QmLmyMX2V8l&@L*I>Bx<|Ka1B0K?I zz^J!#f3(w-AfWYYB;p18Avnf^8`{r11EG-^PGwX%h=vcMoLXHd(HNFHXjZ`%9t@WS zRDt;{7NG!Sfl&i<o@Xf1Kx++a#H~Nv+_!l9!hIGY1FQy@d*^5uDTYAoWF)tgO#<8I z;AqErE5JMgL#kM=1dHK=MNV&L0sR^t>MJ1`fBXbKnC85n^8s6&rlz2TD)^;B_fhq+ z!D**h=f1`FbF{M*B_OtzwJQyO|N8wW{@aLJdFqw4`0b3PH#=64TU+<u$KO9AnKiyw z%VWTx_MH0clQ%Mb1g#zFr@gcP3@{pg^HDmhd+&{r(=i8%wh(NtHrj}|2BeU$Zwn9B zfA}D!q)~qUeZzgV1DEdY(K<4ywaqQyE*Y_HE=1$ag+r%LWbX`6D0S9Vk2ARMa3br? z1)bB***ilNdJZ;iY5()h&cbuPFSlr<pZ}xbevUIz{b=EvlZEF(_9<v&pZ}xj{^0|a zTXxx8O|p5>@K_?NbFbFt(@_U%w>W4Wf9cWEsIphru(|rc=331`b&X3`L7QuJmUqvE zZW{{LNY6cY@3xjjb-^$6TY&q*MyvkT|6%9NqoIEPIM7O#tYd5?OB0QK-^y5Oh(<GH z%a$<KDT)kZPnL`d-<oL95Hb>~L1>hcDM^+wl5JFc%gC4#QH1-<_jk_y>;7}kf4%?Q zb8e?|p7%VT_v`gMpZD{;->>)QoKA@m>t;I}#wgJ+;}Uy|cnvgB9L_9dqtxtgqLzaQ zZer3nXzCcn18GmTw~g1RhRk6qZQEz$_ft^ffdn^^>m%wyjfkFX5sTa-TxVn0OLV0~ zJIRA+3TGCvQTy%BkRrNy>hk*we^Jfh1Z4Ix98`H!`M5|c3LioM+X{kGMk#@?8>RN) z@fz15J?KiYcG3yrT{yFly$iZO7ljWeKt-;PswX`{Tta4**t^7Q92V(SPa5Mf+<CIH z^qqq%&z9syDLas|7P%!{=QP-rVtea&jdI9QOr=db$&0uj&P-?bsM!aRe<I%S{*|x% z2W5&ST-fD0sIJ(AIFzj!2*r$2l#u^g<WjlL@!*v0kH%}1LJ~2R(DtP|NFut@xSixl zoP;kbv@czSJV8&NkJq>gIfSVcZ72DF!*wlW_o&;)lkUCdg~;wNMR|l1j71jfP{V<Q z%B(kMppQo>$6#qjx#L{te=LX(x>B;8<V<`Acl{9G?f1m}D988k#A<R_Fkw@^l8TZI zA#6e`FQa6G3EE<=Z1pQmh{CLUVbBAklq*OeFgLi)g0TB!d#(7Ir;v-K_PF?27ucq8 zt}(Y?73pD~+sN(zj`T3j<#PMaBRx!WmAKA_VA^DR=lGg>$QR6he}#5;2cj)zzeT&d zFVPmgU#i{RiTDw--=N*yjrbA0U$xyGNxT5RUe4CixA!4wzTnBqR9-{LVhLA7UB}f$ zn-E8{G((|BM=40;P1D@U7KF`PiaC<7bG##X5KHhCV|J>$H6a?ZBF;nSMkz(eW|Q1y zuCo|yyu^NIJL?qDe;h8|!!wnse2lWEA(+T!<6LpBvpdYU#NHvkCKsZI-Y?V6@+N+T zOTXorLMw|=>R|*$QRyfsYLp^`Gℜ%XLnLm5}X?<7>(wd6@l&+TFc~4)E(4>{>N@ zJ5qEL;&FCFAXICN;)@)%$o1elV_@Hk?G@u|E<@rl`>(NUfA#H^Nh0Bd`=YKB>O<qa z-`a%BqSnxe^^W&-uzZAF*6tvv6!Q1u38c71ZVl}3cogh%F{25Rw$K8x!%Qa<XZp9n zE|VEoA>EWsq_}zREr8m{+8qLYh*To1i5d;6pUyeRTb9PAqn_@HnVi$JzesA6pKfCv zC#Jz;tQj#ie>IR)%(Me>##susMrQN@A|7(tJl78pv9ma#&{jJo0$r5JQs-qI<l&_& zb5N5KfJoP#Kx$I}L@44pc#JI|s)G1qrh@>HFpN%SQ~{zDB;Gu?8xTchb3&jl$T!Ni zU;3>o6m~>Czff*-;BA`lc9=Ywk$P*UUkUcLlpzC*e@NKZVum{~bYWjh7$(3#!@iOk zvA__Ag_SbIfPsgF6*G<l!xR=)!q5SR2P}-tI13C}7`2q)35*aJwU}WB40RZ_gb@b} zdl;3>kO0O`7`&8$0Y(B0Ud+$~#z7dogb@V{Zy21+PzJ_s*y~b;KQJO-uZtPhz|e%f zE@21&f8!YJHJM=t3=x<^DMJkyhA@ZXovGB~=Q<r1!rKS8!24Zf?1&F9g=~=-XX3-_ zAQKp@Kzq|sVicTqojs<@I7u31@FJnutEk8@g1IPdOugk1VsF-H2-I(k@(UShnj6LK zw}hFK8S(Mq4Ul;Z7S`V6K#YUah-@D{hA+wce;uzLip@jm1`$q*(#F+`8WB2K-l5Rm zQOXx&y=m?jZoe_?B$*)^A6^UT#b6J#H`x<I;j}AkA6<qU$-9fE3&rN5>O%?gqO?)< z5gKAC+dBk0A4T|Eh?vc?k!s%1hwF_}nvl0ma{Iacdtm(~_EPb)&5&?(rAB+K6OjdH ze_mxD(qd?lIDNd^km<Yp8l5i|^HP1S5z(D>@Emkwlv0IMGRfWK_DjR^OYD!w&pv`U zqATUvV~-KL;LH;CAx#F16w$>~f=u5*HHQ*b#A05kkJAwAS)=En3uBaLNUlk)B)4A$ zwo+p67$4pWNk&)7v^O0mvf<2Z_Lvq!f1kv8%i}_@H7Go&TGH4Y6uy<c>jckAW^K>l zO7dLFr{{z+(ZykP?9!7A4WyOPuJbltKfH<E@XuYdqAI%<->9ejIn`+gQz^+(E!Rod z#}FV92WCZiVlf}p|AHshFkZ3!wsM^|9fm(CdhtmH0y$}vTkv<aKMHoPl%cVie>__R zX+=+)Y(0y0Cziq&v$v+6z!(yw-)}E|Kcz5DN2Sa>Cp_2{)2H6C^bz5e-F60Q`};dZ z2YJdUw;a%1ME(tj(te-n429{JW+{M`)ENgzM6f%3(HN%s^v@?5OUP4(xd|&zcHFw4 zQTFup`_02+*dO`Mh%rhVl3|pqf0puKUq0kCJm$uLT*5Bt33X?%%~2%7IF|*U6hUnL zg<>ub$o;+f{cjHH_Lo>e+pOQ`ptE2}0<5E$kq82UAwGo1TpEx|k(<7X;$jIMB8y|{ z@lA;G?B5~K<WY(XGQ~9a8MogNW<zG!#Ls3zzGJYq?MtVL1b9q3J5ht-e@8m_hWAu% zIuE6UB}|Ddj;l+8h<`vnHO{R{dGM(aVt|?64Fasi5F;JzpA{_=TbxvP9-S54QHXf; zML+BLC;gr4%Jlf3Mt(*x?NWxcO_+|h{Q=U!p6<w_S@$BKHNVLXk05gBX;alEIcVim z)a}o~Eg8taIB57Eazi@ge<GQ2$R@1Ufbkt3Q&uqcbJt=i>eQ#;mZ!*@Cb|A8?}{9W zjc{6N!Po^c*VpPRKaBkJVNRut(*UDouSFW|?v6Z^rHO;C{~_zOLk^&)x2ZOT%2c+Y zl>QBF3CPwAgXa7u>(v1*pEgu&+SqR|O*;6tJJKghGZNYjo-{$Sf6>zi0Y<j+4(j%! zf-$Hj;mj^JsO72CmmeX_vLk|^Gh-A*q^U)&7S}lrR#MFHh_5MuFyTgd>{@MmcM`du z=OJC0i+UHx7hoFd-G2gn9rX?i1eiIf9>y17peJ@?K!Aas1n~v<TQMV=FTima?9TS3 z8b}-(tKPnp19^<Wf6BHmwL>1Gv8L@yr4Ta=R=nNanWzXiDq?Ht*vFA}ck^yaSC*jA zfdnTp*Z1o1MnrYiJuH+oN`WH(MnOqYgp8XAN|uU9^TxUCFJqK^q_|<OA{P|+wNiWk z_?l;s4RobSJIk5a0e3BD<8<s*Nf9r2&C->vDAN$W0QagZf6{;eb8yhSF(AOphPiOQ z0MkqD1><X;K-lQY{p~C?@d4a5mz^tLc@^amMko<wj;U{A2_+)T9`#M2xMEDE`u#_U zyev*2)N_n-8QE-+dxq;Q02?p1kBhJAfJ9*`;qC4&K+j#TvTJqh&yw!-@rLCq3sJ)~ zHtOEw9=&Dsf1QQZckeoR*PvL?(1Z~jM2*<$PLB|3S!B@Aj8Q%#(Pp`BTu{oXWYEZj z--D1amE!Ft_CyriwTQh(mtjxR?B<C>u^FhpuX*CK*r%v}LkL15M*Zp+Xo!vM-Djas z#wcmXYeu<NT;~8F4fdzwYYHG${62=|N4(DOW9AMpe?<6w%(5)Djo)4+i5R_87o{QC z*}Kp1`<Qb`aiiQyuCo_RsMOvlzNQJ1iLPv0aNhr=A6j7nWF>a~{kF973w&Y7+}2Gw zimV51!S;NFShjaKbl|u3pu7leM7>P-An%<5P=}`?(UZj*j5DN!J)hc4yojFgMeP?c z;rS39f6R1TI$vyoM3e#|AEd5D?pZ)&lI<M^{UD%32os?VtDoC>kcU<PIx#5@h~Nw? zsZ9<Lc@mGpV-SGo3PcPu?VQe6TcG1?K!ifRv&c;bL^9draOf%^f{Pe^P*)K;$h)S1 z%|}^@Mo;e1U__D<lmL+f(FeZBNYm&D{L+7}f1*GD=;jZ_`0!hhZZsCr-sDcKg43?D z$6yR;(r6#=uMQ)KG}^`cs|HkKw3ipD091n;Ola8!RAZ$H;g=0mLwJ;;$5)L^Zhsh1 z4Tf)gI0>i*R=K^&6{rTSj6JpwsK)3EUcCZPjmQwf$Szu!x;YJTHv9Jnb@L{~*{t8) ze|$Nv%N{+)mt#+4qzPY+onS2`3|nr$D6ECdc+Twyr%=j}<m+oG8e6mAOuR5_Tk(B+ z)bp#qj>XS5LI@bFXnQP*h=3cB*iSSWQ6%z#?^-$xJrWrRrouE8^)86ezbmFo{c9s4 zJi85ikd0DIkf%&?|8V<pum>feg_ykwfAK<tJdSlEZt?Rt7D?=d8(n4}(gzx`yO*aV zGhK<g7EH(&jp<Z>-Gp$=I(Qx`GfL^=%XTie9|mNbp&mbb2go+oxIOkTkZq$v_Lu>X zZSrd#R|d#7I-IZ~0%SXEl;{1HVu8FUFrH@ctDf6`7S>T>pB6t`2nj$}inK4ee-Q`Z zt~c3<Fop(6^EJ;(VY&(>8%`+Owb;o|C70}k^ZZoOKt46$r&2I%s)YYda2;|UJ?#}g zTLF29*}u1a=`hg?ex1U8V!${~deh09l$p-vrxH&T2m(kYLRLZ;Kb0nsR%RfT`t@LC zWROa;R7e2^q*AOM(Gl*N&VHiLf3PEIzTuscnZCsTBzPbK1Y2~1m!(bk`{m3Dky8?l zADLSw?J@zxKoYTcR`hSyu@Gol%7YhP#4NaU`GDMS5$O=<CYbOe?u1Lfm=&GgB^?3P zxAB5_iQGc%1iE_=xsz<a!^Z2=fvo4Ko&OV6i(CV)vo7o}dRnJ_$vy2#e+PUqkNpRh zRe(wfCv=E?j)K~bQqCh8Cb{L@elOT`iM=k+*Q3OPaOqN@uktT@)t>_8)qr73?Ule2 zSE4sux&S<ZOoyi*NdTI;iu`N1OBFmiN&F7~e70QY*w^guTZai%?QElv+s(@_=MURi ztZBv_`nSp}k+qH-)Z_6Me}DS$_6X!XG%mNrQh0>~R`QS0g4?jc1Zf@H#%H?@Ieu3@ zV)3b6<1O6v((ALU(WJmzOJz{J*Yo5Xx^Uycgr1?4&4l=*BiYG~Ru}B=EPfMD3rQw* z#@t>7Ek-H&I%Y^%(V}_=-XF4~VJm`E+epS=di|_z=}#5gK*k)Se{v}5PRs<%_NUhX z7V2{=f;88NO1Kl#DtB&L7tWwZT%4-ch3gKs^@P}5)cq^C-W2}jI*Uw*`=K^bI*9%2 z?={C#WOZ9zL~{PPf7slaJZ6Qu^G9B?|Lcn5p0H0YF?SVk^f~|V>9A_AW5SAFutd^i zW3H8l$tKZ1N$y^9e{Q6TV#F!p=e{L!sl{x;=jvO<^jmK;8V=8#GCg1Rp(!w6{TGuL z`cuwsN1l81Q<Tl`D=T(pGX+1YZ*|ab^?vQV^x8*c%If)(CrzKWtv`OulWY8Bf9bU< z_G#kwfee8K1uos|trvQC#OANhk#3NV%8e8K8JS$Ko$X#de_xM0N`%GA_}=B}yxn<l zz%!|bmi$=v;P%MVyUknm^t-@}a#J!j<<;TQyTm6b6N3R8#+cP`T19hmQ6w*;?`lcu zQpUnYZc`$3y>OH_*7#}L=+oVir-zzQ#_M0$yhA_bHiAggU*S(brIq!$k3Li-3>5aw zbbUSWt}n3@e_>Tx(;P7Ly-Y)FrHi5xx%s{cb*9cL$~d5-(je09?PQih<oBz$`?~6F zaZ7@YPoyGGk5ArKnCxsy^qrR)PpQxy-D_?jQ2KBw^2XE}#oR|dz(^(1Z3N){o-$u0 z95~sXqZ*<y`s!m-==MKK-j+7v$8<mFUwZQ$HtidPe-z)gzdYwh%TEy_apF^zmmk*L ziAIP2U4$$)I3UqG_P6GUJfe#k2@p@I>>pIrVOZ_EkVM>fmIgVV{^m0b>a!(E6D4w0 z_A@MX7()9R6NxA0uR|u%f4{d=r=^L|#EA7O`-|mn*!R2aSiG8ZEGQH*y&K|VW$#o+ zgoLNRf8oO1{5-STcG|<Gq{AM|PFq6uSlj#7J%<o3z4-(i@^jBl5VyaXLu@`Nwg@F2 zle*qHuERj=+iztLpRa_JUpn?3*68h$^?SR$<v`7T4OiY%FW!%uhkvtqzjX-Yd)Ih( zU3qV2vnmiz3K3`zd&1@ko}ue@HtybJP^A@}e}#F{#VF_W@BShwbMX%1>P-atZ$9sD z)nw4L1%CG0g`C_AoPIm-FqBF8{#F_O_70*G3{F)DSN>3e$Sy?u^uclZKk|RxLFfSj zSx3T}7J;mJnTK`-Yss>Gs=-<!^d`qAUG_ov*$lvn<n#vvRzYjt;FsHXUhF2M^iXc} zfBaE6E%|$)P;E4JXP7EkWja>&6=~@^oqO18$GD9p)%JbJaZ8of@0dcprp}_Mpx)PC zi{}2M1P1whewgq{(r?_cGUxYX;E_L;K9^1lE@OUMt`zl)&iMTa?G5-baCmgc2Kri$ zwe*DXwDG0xqc1aoGcGK<l+#A7DU^4Ie<#Z6ua?#SgM2hay|?*J8J}I8^?l4pJ)QD+ zAh=SHx8zorsv^@DQ-16C!V)>+^7_+{?`;ZlBPM6fPOMwOOQvle){V~^F?X&eR=u}z zvAMo`HBpT3;m|fP@n9tpZOTZU!tuGb^OjWZ0j^&$<*OLLbuVZAsr{MqZhQa9f7zq= z!GpNya<60j2YKsHpAQ2H-?o9Xe2QVPod7<C5N`=yms*xmsn1(F$%nj;E^qbYL$0hp zUE*`eP0m`ofqiYRaF=dq5vH^WzhyoORU*>BNeSIS)Pj>*b|hTTCj86#F-ZBy|9O<~ z4cr+{CJzq|j=7h;hktMi^rpk$f8bp3;icf<R5<-w;NaLy$~xeoS0DnwwZb1IK(zrd zgJ-V^hHE@o4}jmruB``nH989o@WS|-0)SuP^s58>!XQQNt@0oANqqP%Fl)}~KLlnQ zn3NpA>YF%;dNBECKM$X+wp7e<{^zf3vVf5Q8)d*aypLLi5X{;w$uPy&f82lsUm{=O z*^3$NA!>1)&%?rY80}#fYcf*y!7C8G+1{cIdp!8uuDe7|=be(pszGL$lyGFEFjk2^ zbHMf$`|!1+gc~9;5TX&sSss=zV5G>_f-!vdIaDCbvf9KM$M7``5R3HPmw4jx(<+cI zCZ!l@wH>>g-gKJiby)l;e?d}oQH2QO^vl6Iwi)f_Hx(}Xguw1ACjZn=epXw`<2dgC z@3nW3f(P$4Qib>fqE&B4&<4@+zREN8<QdY0*mEGvSTnlGktb^9C$8~y-N7uBJ;t9E zq{kis8F~RfTMNPz%5jbabjO(#2B0gtgD3`cx(<XZ;MBaccphE=e+XgYK=xv{(@l;6 zU<y9`G5{uW`Yi!4mPru+z^``@TOdKnc|0qCFzTJj_j|I0deY^X(9NVA{*s@PVMZsN z1ZY>hMma#MahwGKI)_Pt1GHoX!Wt~AWKVbvmOYrx)8)T~DtoLJEUQY7Jq>`T@w2%A zXw7lf1;BPD<ph5if25!RKE4w1>L%i+RzJtt49sp-A{qeQ-%Or3xHL3ncJTwivzOk) z-vGEC&jF7fr#}?9mV(m*1m+;XwUdADfdD^E=Hwp-e*SokQ(#cWYdi$*6CCG6;NCh& zc@5mpRU)jx<(@oDcnw~<EuW_gE_aLsd#nN!Ic<8ZGXTore`jd`xHU*A&t)pZ^{Ws? z;OB9UvjgB!U{WdpkNzEmC)nt1M}jZlIhex}2bDBNo?T1@JmT~w2f%~Fhw}sG&gnk@ zfEr9n4FEbPOrokMa}U^7@uIU<CD^;<Dh(h3ObQw)E;KDhcSjSiA5L)~+}~xSLUiFc zpM_C(EK0NYe`qoy_u1S*u(G{H7|HnX21xIvgdCodELIP4o=G{2j1-!dpf5QPFJ4N> z<Nbc?5@P^)I7qpPR1%s#KquJ|Nr#^vCcNDhqe7hJI9tOWY+Dp(&*?Js_LWs4)Up${ zF=X)J9gu<agloJ=`Drsq!62mynX+vfMhD&L!^2jGe+g3}(mF5fCRf`?zE74+WZ9q9 ztkyRjW4hgVhSJ;}1Y>ZCkNvxYaD_i|b`b7^QRKoUL<5Mndh{iP02p`DUr=x$2B-9+ z!}CC7wkfp5f@+fWTx{~m{mG#H9b%J-4U<7H2LyS)LFxDrcL{M0M9xM(TBH3!&aU$c zZSLS6f7n}r(F=^H6xvweqS`Adw3#3Q%`LIX;u=8HA~rd87mP(>lZPt7acIzE{lRfa z;b$L%MZc>MhBOIjnuOmX1~POR;ILnfP6BylFNCil0tZce%@r_!bgu@32)>4Y>6SVD z9$+hTgOvB+1%@gS>)`aWst{h_wSb&H1jF4Ue}t!C@~#8H4Q&2cHZKIE?sX_z3uNHS zJl-(4XxDeJ=YZ7iKSVbHH`Lx3AN~Mv-{ti4Zx(8h(gnDo?Jp>2!HD$#)UN}ki{`g@ zSz3e+9kAhtJLxj~DteGE!!O3W>F(Zu#uYz%9ylHyq{suDXcc0Eue(SB6>LM3$g2k@ zf8@H2J;o1>Eq#f9B~v*4#{nXdNl5^RpLY;FVB`Nf5Yj;MUKe9)fkW7@L3j59<dXQB zOn~5W`V9eMY>;vYAkvN!%mMkED?BAYz9>eI^#rc+_*s6$-l-5$-f!%4{n?*T+qZt? z>2H;PM4n8*3KOM7J~joiE_`&ftZ*+`e@)$|x0;mI>qRJCO-fkYP{#ec=tf>8ym_Y9 z8SUbzT|p{c#RHd^wruCHsqW8mpPn4=O5`5ac~Wmyo2Z&|EA?V{+qlLnIYiP6TAbdb z#sQg1Rft(k`AttE?C|i5R5vY{!**BYRn+4w@-}<3NsY$}SVf3uOu4BC@z7z9f6mmQ zNSOTgMGGFuT$Hwh_&mBC??H?^?D0BvNDtPs&2`r*N;PYAyZzBg4MC#vdiuTe^}B|! z{B5o(tEj}RgMy6mHW$zwiXHxYY5lGP@|55-VNxSbVcHz>{Id<N9wK!~GiUwoE2Nch zr7n-uBDN?*G;VXT)M7a9bDFW4f6Iq_OxMg_zbl1gTz;;0JZS}mD@4THM5O#XDa}TK zY^W5VFI~OB*GOm7m;W@f1Vnzk60rbIxA_R+GB|*UJl;ES08CN#*d2g4K##Qt=OBik zr2^mpX+W00S5AL20QL<kUjze_<E#h<43jbmXiDxNF7ol$c&2=D7GckUx>X6In}2}1 zWiNmazYg$goPKkF_%%q80f=vvh*oe(hK~@Y_?_fJj0WXGH>kFb{c22#I&g5fgYW@6 z6L%yy0p{J8dBdP08p*P8b)bTa&`rQiWd!2G`8zwp=}!X80?hv|JZL{G{?~p?fl|uJ z;W2;?F%{S-a0@G?=p?X+{dxQ>0e>K#4N`;vBB>JL5B9QrnBc(gP(TsqzXT}a{IKcJ zWBIwIfS=`8?S4)_0stviyt`gJ#!23f>q|(y2EV)ua-74!lO-l)8c=?%K)eT(?~W42 z!S2aGe?VM~_OgqCE@0*9O?+KI<7fW?z&)J)H~?fZDXjoVt@y8pqE`ObLw})<@OvmS z`5Nyp2q+dxcMkwSdwdQ5BzJN8H31N`9fAPyx&q+`5TNPE2d}+5lQ#)o0`we-{CM5$ zrigsWe+XKgmqwqSbDW)EYXXZ>{6b!FIMNXmawA1z6u*$echKbcg&e=H<qjz1WHCk< zzmQ+OG@8YWl*1l?>}66&$bWiatN=aMh1hVo-jUEDLX#oJ{ntpX3ee>Fjg;%YkvpJ~ zIw-+7!*8S%E_r8zMk+>vSjcarc-v?@_>Gj>zM?A7NF{7%fWlP+Ie*Ff3h%buv<&3< zAVnCNB8)YnFC7&z_?;Yny_<6EOFroE3V!rg{a3?h2Ps_e(*2c)P=CH`9wB@KVLF({ z!-Fu#h_d(a<yW0<;sT<z3m?vp)(oeA7XWq-QrZD9zY<Z-?=y}Npx}HCf)5!`Tw_Go zJr4nJAKl#*0Jr07_<hj^$Jqb?*9R%P0C1%e(F?-NJwk{Fz|lP3ZBU14V(cdXh}EDk z`2e6~0sjLA)KJyW)_->YRquo=|5fkBo&QzuX(PHj_*`MA;cKeE0y&)iXt03PAms^I zfLDbm1v{IxBjkh2*mjjS$?qtH*?)ipO`FqYK)s)q|6d1%`CkXM_kSJKhyQg@5B}Fd zojUqo2i2DGUkA0QN?+nPFTI?89B{ogNJ$2+`XC74T~7UIBYz#anD$?IxdT6?2{!<c zC?mrA3-13*9mwQ=^*erF+kXYT{&4hv6}<d^72NiJ6}$uN8c?O!{dfC*U*S!j1gi?N z|M0hKLZ9K6^<C^;AQM6N(F3mJv;f_m-^?ZBYxvni;5bVGHqd*-0Jf<+h~t3msRLmX zy!@gl`w);P(0_Qu0-0uja>S3?tO~)H`f@&O*B9l6p|{G)7oz6H11!X#`;|o2>0=kt z&NFr*v$h8X=<I#@p?gR6F@da92_5AC)!1Fv6-Cz9yhVY>HkcdmkXe8C{rgt~d#8Qe z`M`?5ieG;t+b%tNn92-2cF_xNR+{ruQVaK)-JxGoy?@#;vo8OxIPd3$u$5yi;yHSA zUUiYhleepzLQl=pBKHXSwXCd07QeeqYpQPjW#57p@N2oGM=EI|v@Aa^ds|c+)Z6kX z6uJ~X9l7UY65NlPp*PW4l-pNc*c6)n>r@MRmtRYco?TygMN{aVU&t1GSCMky@!r2< zLq(ep-hVAFJ{xlw^owoCO}sa{9iNz=W@==6Vcoj<visxHo{OoJ)ow+H_d=e3)-AuM zn%%sgcVF~J+|<k&5zkVOFF)g^o}V%FEcKM*%s;hwzwds_J?%>mmsC6iYRB*2-xn~u zQ7v@habDfl_9j`q-~X5Y{ecnIEMfdHC6p}dIDe5%U<$@BzDA0?+h)?%?iti+_fHmt zFkJ?j=KY_4HW%iKOX%etQ{}1`*DNOoiXT+8hkq+>dT$aZhB)bYAnMHh`)AIM!-ZQO z-uM00FX`#|{&8RFhwADUTy5%wbf*ucZW!Nh|8O4;Nl=IDJBBOIc`x0+KcZ~u8TYWE zp?}uNnY5rEeD)Tv;>d;jPOBwuInBeGhmKaSqichG&)m3OKeRy|*3x^gu2H+3t`+Be zypJT~nKXElez$u3-<^dj#?_ufZoU5|6snk4Kfu+-UAXO3KpwjPWhSxSsi4HI_2;6M zLv=f*HuX<}O2d#f_2%8~*hGnf*`tkfB7Y7OXMkUYlQLS%%ce}>-Z1m#PcYHpL_ybv z|4AsTaSM0<=4n$ldw=-V&7@levxl@Buuj>4(y#gf)k9ZxpvlAc{mr$T1+&H4aVFIr z0DReL0aKd{zz^K8PS!&+hk8zxf9P2uAL4Gj?OyUNEHkaX1l;nRT#AS8{9JtMTYp`H z!A1W`I9)wtLN&dcV)f8t&c|KR*QU(t-tuiN2_4meUeBbdo0q}zq1w*^ozwu0Tj6Qb z>g#|e*Qub?Z41zNS8Jeghm)+l$n#73V{64-HuqDkzI)*AXyxgt4j?=fL!4#+FuqWz zbm%wm$5g|prWGkx=RI-vv@qaJ{C_+Y!<<OKC9Lpr=?$=IsfS{aQwQ+yz}?lt=&KIw z_4sz)sj9boDXCD1JVahj;DzST1~=vzw`hHt`E$=H7=ugtlVDRhbkN=RS&EhFN!(Md zK26nu9FLMfCli1>QV1^@s{gr|a=02rHEjp`^Xe%cx(#;Ya^SpEEbus82!AgfdI}~S ztC>{O$H3zx?wS_nc){${*MtuB3E5!3suZgL4;)=f4=^iu__A-x7ZuDdY4;gc9|gO( z?PP+%#eiMZ4e7f3)}>gLyW(238vJd_T<T1_Zx(@*a@X!NtBwONiYokCJTwHhgRHKl znmz|R_QI8DH5981Y_EH-I)5<rtGg+cwKg-BSa|=w5apXP#rIpbho`6K!vfNS2Omuv zZ0ji*Rly_7eQ~BvmO_p>^;JRNB5vSC@^|K69Uq>zR($J9QtxEi%zKwvmS`+wR{4+6 z!oS_aj0-yY9UQ^=J#;yytVe3;WWwhU8pSm`kMDGxub;U)%rwU-D}Ob7iumZ<aG0XB zKswpUG@d`^=9qIgG<rBxS=r68=C1z1GoQ&L_fLNxI-IYMii&Q2V;PT8_9w}AGOgxE z_2TfyON1Rw4sPC<xirkQ#>p$0`E+O2s@)pi>By>|sTgLO;1rcGpV}%OpT^DoVj^%* zrM#y6S9)=MlBOTH!+)Pt%AQT-<4(}SG=#e!)z6FyRi7OZ>>jM2X#-X~Hd?&r^!aMn zGdC7HjSkLh<_%fx*{hV<m_MZXxQFv;H~HC=Q<CL8v>uzRJ{TKEytHdB74(VJ-_h zKIi^AsaTzAtb1@ROu5?CQr#}I%4Nh=vD)2O{os|c`33S%Gk?UvgQ7m&v@d7lPed>G zTjuKoGznx@d95TNs40DtBKc+iJ#4uc{cltL%-au<&p*8hefVZb^BJk5lc_d8sHyi& zXs#s6=VIRorzC3R376+vw^^C8e5SWx*vwBxsqO_+-%{}aCbLRRDXOXpHKcyfvWp3~ zJagl9Cv#W+2!E4lg_BiM{v08v{20~siL=eImlIL!A?&!r(LcKR-ro9P*4@of(;-@| zD9^X;Y(nJbyzJEcr--+~OXs6abE<X~^x1YY#q(b;9+EJct=rvyj&Pg(nLZv+uV-B| z)k*UmS#*n<JM>FD|C~>E@8jy(n_~H%fArNID_Za!bbn#T5A{{vewG33jNrohDwn9a zlsJie{pjK0RbkZ3_|TtkP$f!}r1Hz}CBu*JKfg;d`DVcL9H{@ee8z8UV7uP^y88|h zCRJx}?R56M>%0)<e!G`k-DUIpwI7a`j_5^rctWpcL@3)&+=%e1VH!)NQ0eCy96~LP zs%88v?tgvEcWDh~EpYG%W45GAs~v0M6CPp6UU6wnWkngWSDag|SyB4zc$Zf8P}B$f z!~u4^Q>*e&)H;5`oZaHws>h0oqDPgTJ3ACb!cS<j&5yNKZ$<U~`1n9<x_)hDlukdb z8|fjxkl{UYM|XVZ&&00A-_7clAM~7$|LU$el7Ez<*!;k``^Icj;O0Yp?vv)O__(t< zj@iKtXX=JDg-z@Ipl@}CeqO=X^s*LsbMYVW?Xh&W5&K;ExmHZ8U(H15T>MphyAPeM z&OUeZoW-%$`Ra-4xp)-aUx%&l)G9W#@E4CTVE3b1n}!yy;SttsXG|-J)o{F3hPCh; zkAE;{=R3Emu@-*d5vFWO=T-q$)E0iif-TRA`i`G4VSgQpTE$Q3vEwnV{zFkU_z4)h z#icch6*Z5aFlLWXC%(?Lz}e=g*1VypFaPQ#w|3?$n0rsv`?JSN9Mj&ue?E2Pmq9`2 z`>ML}_Y}1jua2@`_aDW+T4~{0(NuqpB7d_f1}mAN3dD`;CI!z<L|$I`Q1enBx~?BS z`udlb)6Y8Z0nrJ2u0pT5-1=DK;xFwnuVC>9kEF5dxf1suT{S!Bvi?_Jdc9ZW*6~-H z&0DR#j3l2H^uU+c^;0d-<PVJvucl~`dugqD6DPTPy)81ytj2{`Q;#BrX&>|^Tz|P{ zz46fG_l=ZSr)iN2v;o}-Pi|;$yi9UWBm33q=13`;g07$b%G1!~E7sv-qO@?miR0Wo zy({v`<Bh3|vc|{&nv33q3m4V9qLBQuQGj7X;~u6J=pl}A)p{l6*9RNz7&ec%CupmB zp#8S))&F4jr@_19<paq2>3Tx8zkk+B_OsUoLz_aQ8_Iek9~*-iYd-OXE3Gd(9F;Ua z&WsSAjy@}*?29i5)wsfr8be_TKJksClp@Oh_?*z1E9`S)IBcs=JbkpGhyshh8XA7Z zI&91bYvC8)GKwjpsKr->&R$^$jwND0_{DdO#ufSRi*E>3xZ)o?b`<O77k^J1^(^w= zzj811))jx;SSZ#j<bf^CQMVn*4eEU$o2(ftk!u|>_8u$c9iKP4QRJ@^AMlk%hp&$w z`l3j?o%;Ow$@+)C{56VNJLX?q{<m*Eb<HR8UZ}+ti6$<b_PL0yYyPs)kKy1OUpK1R zdroF=)Yt+xShw9SvObh>#eb}st51t5V(XbtH6}BjhOS=0v~q1|@0Y%Ad`Y7%=p`SH z6z)}#onSWRFyb4zLA2r(>se_UrQy3P&0ry!9gGbai`{G+{V=kc<*l}UG1;x27V45~ zM&sgW)FNxOlfh$6SQ*`9=g7v;fvaXsTdfL=FrSsm(a7G@(9+<sUVm(?Uh>h%ve1WD z8iK~oVwd!i{Ub5GQxLzvu~KZ`!q$QwO}^-v-pR9L2y9%@Go6v4##M%|&q{~)*<KsS z+2FApY?R*mv3g3V>ea`sTp1dp(d8A~ccpk#w%0~sKCs}u0#;0K-56u0x31l1q_^%H zsn^>f?KjbQ{#B9p3V&tPvMAMHr64u+@4lAdwKQ434~^vv%ki!616Ydg`qBEP(C1g; zXj}`Lr|!CceO>59V#ArSax7bS{Wv#ZqIXNLrR3L!+6cSx;;SU@l>o~D?~~Q&_#2_` zvF>_j_Z78vKdcx{Dl&umeQbP<-RnJK&$V5@cvicm;@5j(RDXz%2`x|WtbR*ws2;=o zasA0iliqKPr17ou$7!dF9z*A&e9qD`LZcY>b<gUYY_6wxtM-mx{q<N^dOqAon6_CI zC%ZS$M}u~$C{BKFh>wC%_?R}9r8}>EGHUETHgmyf0p9W?v@SO)$cI4F)0>C2ylcEY zda~%ttCp7fntxIAqF>O7k;W<PgwILH*}$#y8;Uv#kE~B!q`lYMyU(26cnOg0RX2a% z*ok%5-Mim>tg!++)fj~BZ~TlU>F$NaSA?p8V4FKdW`tI~dhKWK5P3T^_|<D)bLU7j zTv!_1wVgEAB8==_+?YB|y66y1Om_)8>u2u6wd$o3DSv0jJZQ1HrSSNcP}Zyd#>hCD ziC!rz{&J|vE9ch8qETE?pFHAy;~{K~x49QLr1xtsC1gwytKn-Nz}?w9B8!-66vTG> zw4C62_sZug2ajQCReFBMxthHr@`$0v16W7zmi*DrMS60Gca3qcBp*jw)9iHp0=R*_ z<`Bf2Mt_M{lFgB7Gy&ZS@5n8*vt~;GTtjx^Lt`Ifg%%l0d!{#mj5O$Nk!_!B48`gg z|0y3WEB@;enF!yKnNTBb<t~)<Y%$_{|GkK95Bq6L^XGf?^F3<Nn;P*xd^aP$+dsgq zWdOKMgaWrH6X0gfcbf-pEuO&5uZZvQ4tU(+6MyOPiN5kZn)n_#K9M>gin<Nl%-;gH zy?i$bzS~-nvVMGSs3Wl<eC#@Q-Z%c{=nx;|%%{bU(XeOz;%|@o^2uWOQ#S#HJRg0P zzl{vOYZ#a>EeG=>ZvgcYpLG(<n`?k2`})CD6MyO*|DCRfzH0vXxV&}k?z4u4FY8x+ zJ%94?w52_d`Z{5|P`eN;OkBWj$(x*r^eU|rhfegZ30++{muffk>*R=q2iIc#@8#;c z2i)MCs~hK1)rKB>wGfoFR`RU%KYaTw(c1mpk``3tZ(u&$xR-I+JHBc(u=gBvZ^&35 zR-mWk!>{3wG=;u~``=>lU0?g=m%Z+VAb;lu*lWEfj2rHL%uW+ti{iB2`Iwa^uolPp za3>%;O>oVGbE_gCBTafukTY9Ro|z`Uwue(wQJ%3WyN2Ru+_Am1xqD5GBUNFWo~F9? z?8<}rY7>(rXHQSo(O-faIHSb_EQ3K?JE?S@6kE#oqTBH7>$U9;)vC{Dixe#H3V+;G zxWxHhk75m*T5e3Lpm8uS<2k8^p)B&UUA$p+HnyjkV48<<zZAG8*tM}cXRii}-nndo zwzX#^6c>$@DoRKWXcbt?{YY=zRI^DyDk^13Z@#z~;gIq`Ce*iPYWPuj>SqFZNO)Oq z?)%Hre$~B@8v|h<o;Tlh(y9G%?|)Q+M;+b>`Lp`A+nn!k$LEWK+WsH@NxA=RHpuo6 zx90qB+s96~j;pJ_(JJKCIKJ{BxU8MDsd;+UmL`_!;H1#p>~zacJpiZRrr@E<);=Z7 zimt$PWt&SVjGLZ{06z+U%?z$0KMJH;Ec*`<kRP|FB9@~DTah1kZ0ug{8-KJo@=+vJ zVENbJha&-EsS?ZY2fdEiil!b|mK!WMY%9J2S<W1kI{Z;8RdrdM^S+|S&Ly)<)LJmf zxH%_&kaWi&t4zdtdy>`iK@M#&_>QApL59jM>m6%5I7<}+nKlY*N}Q&Ofeag&HE|BB z!X?v2ZcUa$s&L7$kzU)$nSZG$$h47P+s&z}D9EsZu8DBEDx@-PWY-{^%nGRt8_3!= z&PK&*=9EH`D(6|nYDR}rlHhXLU~k20`jm2#1;@XFaA|5!k_M;u4k2r5dr~rI;ZAGz zlu*)HPQ#sBN6zm`)moMxEVVl?^h|3RKDgKJ{LW{vWud`&yMP_fRDYJ~gU2gATspnu znfbEs;MEF+BL-O=yOXvrmkcgdd`K@7wpK`zT#n@U44PCJWT;45izjI=LpYs-nRkR= zOGe+I|MNK(d4BWIcpIVO<E6B{YkC}uijV1O%4=pEuZn<6o8oJsoLhGSveLG%C30r( zlxL?2t>HK|cWkrMpnq$-I4*Z=FK>#h*>R-qe9TFcqI*TIHm8~X@uiT41>QThN>E64 zv;PhrI+Q}aa4<)5cJQ;3<!!s1SWdL0a(_kFA^iW7NxRu^gHJ5+4<spd|G)YF36tJz zJ0yYV>Hb!5cL>s;V64gRf<RxY$obC>f6teyc)u;{;>Xv2`+s{)&B<$B%c-WABAkce zugtlt5lP;A#?lXc*=<y?+bC~x?bDyaUmq7c%kR!hd_C#;#o*lTB%y+`&Z_VqoIO_m zZ<=q{EN(wD?ba)&$^CH}IDKoX@XlE`Z3*<&73I|4<;|U*ewV+M)9xc)-Z<}B==5+F ze{+X}LBN2CPJh)04C;e>cHJW>^pgY_2BUxybPpi^jQKk<r!1uSJT4(V75f;I<dSpW z&1`S>#?62zK@N6B+aR!h|7psXnGYK4PMX=HQLOfTrq~GwaawPA{!p^xkc;E5`#skl z4JfS?ceM#}pQq2BwCDI+Rt8jG?5Vf)Zr@;A;jgGrDt{eoI83di|KI$-Z@!DF#+@yp zHc+~n%><N3{)s5jXXSS`TcYi%aB;;gQq(y^iL2B-b8hUw5+T-)h?3$5>k`yj!#pC@ zXKw#=V95^Fn}`z1gJY7^9>csV)I)PO76X48=H*kL%$2{+?CCUxmwc_hNRp(Y4D<4+ zW<zpDpMRx3D7+jtEcse<ktLN}BEo8m@HN>uo??he$EBF+9ou@Nf}RQb$!tDBJ<w?? zNu6UhAEQ3b!0Dpv99h_6c|q20a!UdAafmMjT@^GAD;X)mr5WaB;JPDx_p_b`D(+%A zGn@0#O_{izXoMrntyn(imB^e<)^WkN&sg6F1AktBJ@0Rwu<)pVUuv|9l%~bufLFrx zw^VwgrBkw>r72_PYgYAkvIG;R@}`%j4pUDVQl#8xBF0Zpd7XF_G^P@#R!kRUJux)P zqvnNvQ$)|c@Q}fjz8OA1?!Syfnnr26*92OMQG1xp#c1V>IW)QCDC?{7V=1ahV2K>Y z?|;p(IoUY}7jGJ+<vt&1DM3BNY(`UyI`P`**eaY(@tMpyS@PGTtPx`~DJm(*SFNPw zdM%vnoPkR-jnZ)^1zJi|&8l#SVnspLE<-&cb%9l?o-vm|E^%Z@&M6yq;+4>Pl{m-Z zO$mec;Gp|?RLYQ9W+z@89mi~LLSGbOF@FsoU!(dD6{d9JWzh|lIIrR~394Gq8D&g+ z&vLAx@^<&qh;e_aYUW%tdE9}eVw|dq&gmT1FDdF>jxtmhbzhGdcc(tgoP&^WMEJs3 zw>t5LXqhUUL9vY#H8ZdTib?KW#u+M$xaUNSJ5mcW=eCjM9atU4sk_nZUCo*$D}SW9 zjlgj!>MLgRbM$v1R)=AO97g!fa=hV!0Bf9yzk@z6#F{eH%cW+9Bw3SJGH{d#OK)n$ z=j`Z1=q<+TqFqRm85bvrK0Z?>SvdD4a9oBOS2br?d|I6P#W3y~wJS8qhP;wq>u#zH zV>NX)BhZwpxnsp;l2mr!Hz>xjXMZ`wa6y!%&BQmO3xrwvhH-h+;E*I!a{Oi7`v}XE z)SOJ52AO`86@%L?<J=o+xUtuzchT5-_e!%Gy6%-VWgly!vsnPmu9~wi=1EW&g1$*( zG<xs^!zf{Q{SxP*x&FX$c`AyDzk+TRW|<pe@~G~ibTe|xWi3@qO%FcSFn>yrmBhr; z(H251WAaD=)g**&LvG2!Wky(<Jo7QSU35HX&7iEPDTHp$#fg#4uO(ahQR^~sv1Icr z)YuTZG1)v5Hxps$MeWVRxs%OvsY@YrQ?mIb94o>yfO<6(7fd$4N)-#C8<Nd4YQs(a zHCcZ;n_=i@m0BAuuVOQC0)ONrN7gH2iZXh>%Y#TgK9r2<!adnZy&pmMpqg}*jbzsD z#@y<`CmA+~xtB-KPf^`7aa!oqsyWeOXGv;Y;5P+~*IPW+Q14RhMN|I+ti{e|bF@I^ z+_&Xky-b`QS;&E9W~{7?z8a(`i}~;tA7ZGN(Q}j)XRNG(77Hqo$A5gNX%)?3T`)qw zXW}!_IhU>V(s9wIY)w{YXEPjK>d3+vEAK`x1&!}7Iah?+3iK7A>Q&9j7q3WA6AksQ zQvE{55#+Bgn>|h0aMqQ;5&_l-6Q7*q=V;h>8CM!XS9^9|ogCKL9A(Nbp2~uvO@hYt zOL$J-FYl`PvY)K@secP2!=92~F_kTchiAo2{5mgYyZ}LW1^QZ-nBT&+2P#UkmLtZI z)F|!pX#Rfv-Zp!FX);E;SK*4$ekX0>x=at0wA{cgy`3eH;f^3>qkK_20zvJ{L+|x6 z79^hn8Oyp{h~ELOLNf*Z>?IDjla<YEeukd+dI=%J9axga4S#+;AZH*L#M@@)0}C0T z1}><x#tSFKsWJR~y{gkEu&HEbUJ`#D7gQ~A35Paa&}NksUKXM<na!o>vmR?2WQU_H zo^f9TIE%b%RO^tpIcih8x7x)jaZk|J8MV96vyQAX;|6&&CdksD<m8*?GluV9;Ln*Z z9AIg8nr^4YR)6Bo7h4LmLdgC3)YOn~yU~4tmO3RXg<89)J_nt{9aw3`kHx5Ch7@Vc zdhalt{PhJs$y9ltdtG3O7z@Ww*tkwpDXM$b+`eMpoh(H|3KS#UJFH9o+J(Phs=VKw z73eENB~{LyC{`3^?I1gY6b(58mWg4w5J9%ym!!%YDu1hD9N#Plw56O4veYlhzd2VP zct3M)G{Vw}`aGld0J__r#WdcKMmGdnT9)KrpKA>~Bf)ZI;_K1Rce?K-=U=1Fgsf?i z$6w;@O_dE;Qk}z+)UK+zoy8qu)D=Tzd5p%}WsKngLuEyfs>_}S9$udNC&JQ)YM_1H z8ZGR|(tk2el}9TFT56U!7tWEKwzB5uUPM@8s0G@T-DqKZ)|_$biMhGJaodvqf99S! z5ky#NOgt6+-D3?+Udf|2g(TGt(Ox#Ym{Rmudkd!&sL!hAl!{N+;hBaDa+uk-rs_|9 zH(#l84GKW2CRGnL2fOH0&ZQKe7NzDN)Jt|?34a<tgP<vazA6}nx67vt<1XV0BIw7c z;Tg4J=yrapTFas9UNrle`tN7SbPmf={j28OidDp@o<ZXWO7ux{*8{%^vHF?#Cuk`_ z))~VES&Y{kQ_b5&ThYGySE)LoN&C39h?VAGezK-^4hv9~E9cG>^L9~{&=ZazSJ|F_ zCV%A66GvH(joGe$dcmv%$X2#II+5IxOPvp;SO1KGDzHcR*=pfw^X$LO(_~2$4s`O< zu{4DK;AgHPKS#rgg|b*~*&1m$Q}%wAS)tUAQI<RtUx)VctlUO6FQ86@&?}+ZFPeQ! z+4`)|!h{`EOx2uZv3~7NWy2^ahVT~eYJd2Pv2|`Hf_|JTmQkyK-g}hAHl`?`p9PH@ zmhi66-3|osBc^N}mQSZg7H%Vg?m|ub-0abXN13t>SbGZ1d<`2EFj8;uzM*;Y=y?ZL zrZL6MCsu?t6p?g{O46=V2=dh^Q7M|sdTV)%Tx8EuGgekW3k3NhN>mEx$bpJGS%1FF zWir~)bJJdeH64+3imIl4F+B(8YHAH*1$CP4re-sj@1j#dR&QgyVlLlA=XhMOJXI`K zIfpBjysw^S*supP@CJYQKwuUSZ)+V^TH!q?)vR*vQ1MD_R+(YnRqAZ$cpbF-rKv^9 z>3?toP7pEH?T92-s<rlYeRNaR+<$@M_`0kv!@eujTcP6z$d^A62d+`QLbS73LlElK z$~n(sp?<BcXY}+}S+BUrjv==ff^%LfXSF_MJUa0RQYXeTxOp-z$oph*mre5F)oWL! z6siLn>K*k&*L7d-{%vOc3KjcyeqT=TueXMrib1<O!gf*_DrEn&zKYy)p?{WYIxw-O z;Rw1TwYacNi0Y615&IBb=ar#Kj?bg64;f6=-9AQ+ze-&XEpbbfe%YLCYQ2y2@Tt*@ zW=B(NO%~&=pE$a=YOZ3rcTZQ`(%z&SA8Esu8)2`L+-DX)_k8_v`@(L^9BE|DZpUPG z|7fT@ntQ3RV@}|0z`Gw9rGGzm{*r3nwxs%6BmywkGqnoj<OOd(*)MrkdZ3vo^FesF zMyhD&)@f~3+^0RCE&syTU+qa0Kk<U}X`2!`^Vh3irxdxt66V(8E6PIa+KA}w2)$3o zWDU1%t)~9S(2`im6)IH}TDQFQ=i|RI##awo98JMFs9IHYBp_24uYc%DtkepXDs>2k zp1=M4VQg_7Q9!|Pce%al(|5-OQmES}Z~wIX^8G@w@)slT?Fj8p>zK9ajml=$z+={~ z*tZ|ny+-FQaF^Rpg*m4F2y<VPC|h<6xzGPs5F_U|*cBGf`u5)ZxSVvk?&;AvjbQDT z<WSZ_<Lcu#I$p%AL4VaAym0@n;CSlP8m0I?PolZb0Q^-fB6z-gFx?-VeaP#^+153| zmjl0k80;+yc#s;X6Q)34MLD`${1S%U;IPfs+LL~JeLm}EBjw_joaR^^U^my~edgxV z2Ddn}qD@{kyvI-5OtjhsTMJKguYO&z9HVRIGIgRBrI{*w{C{!JytbJ{wN!9z=+6=- zvx?;-x{sYtd)E4BK2tp&Me_1EqvG`amD~Eip@kiiTVDvlwRS&mIDO0-LePe_5?!m$ z+s!R_f4lMY&Jdwu`J`?frp#+@{X~*U5&ghG?XsQbGwI`OQn=@u(aqBZ)u(=5bF$4I zI!hZiN%XBQw||>^@BNKpRXt=-vFxNPN7C?I)4O>(zq+GGMteiW$>5dS*1s`@n<CW; z!MMPmWT%Ulhs0>62NON2pCjk~cq^7zRStcuSU#mI;i7VKuKYxjd65HLs&@IjrnSuR zDv~hk`^>KDnqXYy&vYlx&*KT&MXxnh>xTE;EW1{n+kca)Z6;o=F|A|0u~7B4^tDCf zd!dP$pvLpAt4B#cF5fBNzE@#N>-nqX&eg$yPpO}ebL7YZLjV474-0-d@$aoM>#sD& z4&1NKjfv{5x3@4aCoY%Gyc|?b$uIh1#OXe?nek~;Jm0aYK-{*jB`o@)Xt&y!<K=Si zQq{@w7k`V<E7w2Qa7ILU1)mc%*WdhT!Y^g4s~uE%XJh==o;~?#6Ou372%ugT8f~`e zGn-ts<Ghg`?x!@>{Po5H-6s?9ri^v#gS<YQ#(&S1zZ2&XKDTMCzq!$FXROxt@zM;B z4{+}J*12J>L<m*&xj;Zsg;)KeCyP@<de~;g@_&=(U&c7DTt7f)4LKA2e0%r8m}Ai- zo21pbVX4&02h85+>D*9MY7GPSDmo?lxoEc@-{+Z(!sNpjF|mBCQL*f#L061B-~Jea zjefqfJCE<PLE6>1v0up|1Yo7muZ35|qED5Bg{=?nQJ5U)itz`4)xnU+Xj|d#$9zB+ z$$zMGLs#hoA5egH5MDKjK1JaJEceJwx^%^O@&ThEA<?#i-GzL>4C!;{hPsj$AK-$% zoIQ}^62h)t{r+%ylYMV<qrGD4dCtgy$inl7k{@Ti%AlJWn}}O~@MJp$O84s47n-bX z!NZuInX8gw$95m=`>_<bUA6Z&D%T=iK7V>0msq)Ok{lcnkYcJoA8=@oMD5l?Ro9KU zsD#bGXI?6G%=}Y(OeHv`v2SKG*XkVU&CEHX)w!fMGgFtXMmlF{lhy^E3yHd?2ZHmG zRE{&3ykp9`U;eZjDVU|LSQH%SNUZ<2FSzrZ%KU{T@3hix?FlP+)>m4Xc|kQTv47tC zU2qUqWnOB@`v|!k*=aQ*@RbJohxe(8y3@VEV;(AXRX@FV7IypASQVLmp<U1_5Ohkc zw|g7>#8u_tb*l%T|N9#>6?3Ee?3IU<9j7w|pXQ6U&DN#+wTrf8)}`-#EZU}8mp<`8 zw5|Pqdg(pUHn02XewCtaOSS2HZ-0xn71X9r6pFU#)TWmfh$ckIM;D;Cx4x8(?moWV zqD3}(%V~RSt!%V~({>ArY&61tJHc8uI@WIcs-bLj9dbKCT{e2=$o5qQ+33ATwiCo; zqumd0Kf5L7Jst4EX>*4>SK*P<)AU!L1nd9k2jU3Zb=t(d@A<z-;_fK&SATd!r>7qs z7Oek2*m)12Ccm#;R65c-C`~~HLK8s{klusRJ5mfrI*3%kP^5!^lmrzKB1AxH=pE4@ zVkj{ngsvbEAb>~+HE_fCojd3I-}#+6=eu+6oO5T+%$~d}&-1Lkve(}0eP3qQ0F`q$ z389iMVs`j>@1xo>HB6en?te~e>~1}sOuDT5t}j*kJ!S{A)5n}2)OPRr>drOAhQ6nh zk&t!&;YF3M$Lv7k^wDaB+Af5z?omVR?kl<~p$E@wP(qgaU&k4CY}}q2IPN<rRz=UL zwjLf%T@U~Lzj(O)`>2x`@NoUQ2)UWH*L8c&{BMN+a*)PK5Gy}sgn#F{3h#B5ZS}Y5 zFU!(i7W}6MPMS|al=mM7!~gKu;`vXEiU0iQ;ZX=D_S!qaG}{qc+1>9>N~ZM@DdhE) zY}@H%p6#MP^|qjSbHoqb)%ib}a8!3K5Tdu*<KUA+wDi`Ov|W-$ye=)zVMeSu_`Kz@ zc`XcN1yv}oR%1IYe18N?WpiBt)ttZ#P(>)#8gT$0y9JxN_5?c4g1Ckp(;TSCORySs zSbIUVsL+%AfwC$q?EnhvRXmXiHL<g<u$F8}LDZP2K-H41{AEH-?QAPdC4cl;?Uhyn zD-*2H4&Sa;mXF=cbLz7iDNP4fCRwdho@XI;70!}PsR$6(1b?v!A5(@MUK6%m;{<Mi zDq^rYh<lPvS%^53cUGEtISv=!G}>2qN`^j1z)jSlk%?Ai4j11x+E#>1hGrtNO$4D# z@Ug2fLy2lzKoS;!R8savSQS~UT{K<Hg#mV%5w;%QAgeN~Q;Rh|Q@ILTZ*9qHKGWP> zJ^(8VViT!!(|@}LTWqn$X*!zw5WvcYxF<7Y>|=A03#j4YZHKi%z~N)ku;nXvZ7%Ww zyF9#Iu?~n|(9larB`xn#?ALFPGaZ~>DA{=1Ecw=gye+ZXi0{zA;xt54jt}%pf>n=$ zQ;toAl5*Z*KNkC2i_H1po+N7uVmBwo_M#||#=|@Qw|^+~S^~D%VM_AA8IcNCx&l)+ zIkED#l4Q+54CQFq{)H>az?zLuEW95}vSuN`16zQ*=MkL9#?Ze7Kx;kk2QvNz#~-kb z78iL<rzLvIl{E4U`>~k=BY<iVpa;l%<+lX%VFDKCFm?0567dSIBnJyKkGAl(lg!9M zEDh`dhJU<mPNcJqI&M)#iV?4P@rO5w9hh~z77V*7+&qA>E-uqGXFeRx46P=zCTBHQ z%+Gr47P$JapQ;u=3AO#f=>O10*57HO)i6e-lK)T;0#M6CEnth*1w%e;&cPjSHd@|I z9%o%^*%<Yo4w+V)ZNAgewA%cnz1pPZF4n&!WPke7EG(?UXb3pD@fX@KtzkbLU|;oS zcC+bmSjXPC$qh|ukCu(KOmCYxf7^EFUe6Ef<E-&_@2sMTREwh<xDa)<*~~jFpGP+^ zA?mkg+3vKENB!qRobR-Bjrxy-6qvTmj{3KROy8UhGifQrZk&$9)O#P^eNj=)tlN`0 z+JD~es#GDx3|Wr?z@Yjo?dsFOG0_D2RUI!(yV~siQ1Sq#NF8f+>%x!Kohq{;&(Oo$ zm7^<;g_<L4#3y!TBMoYhw`Y`chrj)vwt&JLmW)uhY3Pk{@~LlCl-;ny-bpQT(@E81 zmfZ6t^3l@sUCiml^<PlMadC>k$bQ%%i+@~;6me7a(AcI39)q7ZwQg2W@=!*}Ly@Cu z2PABXKx(4^D-^)ZLA9eRlmawUfN2V_<)9kKvpS``V~8XaB&l~>c0IM}Xy3LyTw^BR zS^2p?S_n!$>x<%_UL(zHE|;nAReo1V3vJPAkS2A28B!p=lX0MVhp-p8K$x%$seclj zoI(ao!a-WjVJ~s!Fkv}T3D_uw>^_+dns*M%!-d1TN*Dh^HRSrg?ltWSOOp`b{?x9( z$!*Y<W7snsKdexa)CQJFg*==b02MifrQsxCg#Z!~T$T!XFu4j6bqY(uF~GX27XM~y z$gOVacKbp+SCN5d{YmMtLUGbF@PA5L7kKgsD9|aa8z%^JxkPFQ^QUz^oHPK{I)x!| z(r5ii_2B%pt_PFSYH}l!i#aF5OFQG?Z6ZhIVEdF=|4HasR}>T{4s($u)qy8cG#*Sg zf!LkG3UM?r7x}Oruu96T=VS(G&nYYyrvdBwu!wC;R+Ve{YCrmUKY-U4G=J$2ikT+Q z%8~NH;VCzpv%0LSvcci0Hya57NP5_;xSjuG7swViD?uuP$nB+*eJ5!^rw*jonWmsD zwBFy)I*;2j<8$>!sMpS<atB4U2)D22<RZvlHcGcD18knw)J%{<+QBqr$FcREs5O}= zyQ=Z}6_k?$sXfzlP9&fI_kX%KL_TdvCd#BL7c8G1+DPC<iom)6<FoY>C_D6REo1<! z>vHo4a9~<!6G0YfD--2hl@8uY2_1e8U%Y`5$=~}e=mXhGXKg0XAm?BZ$#Haj7K+}H zgv4p1H8};{C(A%&*`qsEMc|_J-6jGvQWFM|vTGzrBcFlY((g7B1b>mgU=V5L!TK%K zH3w1`E&?6RA?P`o1@e$RGVyy3)=JN4Ch#LIVPt?ZwtgOE=s@bg$)Ha-f?;GS<$?Mh z)I%py3yuYS!s&e0ko4Y}RD@GPe|Wvxt&0B8_ugS#+piwX+SdurK#kW|A#p<J*C|8I zRTVf8+6TqwIDW?u4u2l%<8v6-^}CDq>05TTlW0x?%l0ihjsyK_z}0=q$f^t+7kVnK z+TT(AtaVAgIUAgcOM-=ROn<D;1g$%doA_y<)zYhd9r=+6ShZMlCfKE~xy8>GIV7`w zt-0c?8_Cl#9O-}7jg$daMOMqA)BVAY=1A~aHxjmf4&>}$$A2+BQ~wUfgg#8!@N#rR z3d^iZG?#(NeK2QbZ9jH2ecDFA<R#>+jG#<&C0Gv?hV(1P4Z~Qurw8hPfs&n+b^QX- zhG`q%NlB!hjG$~-9oPfKR_|AZi-TowtADKD1|2yo>-cG*ucdAHPF_JmWP(8wPRo64 zEq?X5`>?y5>VLiU6`+1+<-3Gi==bTuzLRU9eg|bef*1N>nlN}$1}QFEARCqd4n_?( z`PJYCU_;#M1NApi!$`kw+$5}8Dhvi@Mh!Rm!EqO0vRvx3^?jf+$7UF=0#+>>mIgLO z)inAEBX?w-0by^!>uE0cCnG`m&duF8FwFl_SOr)x&3^?nX$azXZbsq^VE$rZaBxAI zi~Hn5P>WM@8}0|p|8f`<?3^|Wn!JH5kevn+uA!UxI=<KYqXO#uoRRCY(`E!UbTfa) zc)c*H2I*&nWRRUUA%vmB_&SE_T~H!*e)h;C+3C9kO>`K4$3(pbY7^<#hMR?LNQLEt zrBR!Wet+^vb(v{(2)p$)+`IO-wumAZqNB$%&012;J_)*|cZ4IOTwDBNkP|ZM+5}rP z8&6bUeLTvwnc$Ahmr>Uz7@*mBqk8K<qu#a<9wAp`)O86o=uDod@%j?fTO`2?SthG) zNRUEj@<w6n7g2BP2ocDBS#=$P0@{oxYOFpNC4Yh>JUDCIG$8yMJSyrAT)vDpgKlY{ z&A6i`>PP$LkOUTFi>$geK^8sC6*XGl&^Pz>Y1sJ9gVLG-&FzK;95<{>oYV^5I%~?L zTRj;1o$wz&nq<h^DDqBHS6NU$dO|u{liwLM$pBh+fSm{|^oGT(vU7<%m`si&B$AM# zsedjHCi^KnLQ2J5g+Tz6O)5%tc{sU3**8)mj+au*VF<8Wstb5>0%Y$@%D~;ERC8E0 zcs|v|b8-(f;Y^ChvBJobVI^R#)Y%7<BOn!LQaVodtenxVzCEc!&E4~T0ZjyU<h0C@ zHD#+#xH>-9_w;Qx9_(m=6bZox_I~dngnt;&Xm#y}!W-w-EBOk1AgrkOj&6F$f$<4r zzqH+(e8J-r`h(7H)|B%5kwU3MMdYrGuUvEYS&Ly^m7Sj+dJUyqUxmPZfi-bWU)ee2 zogS-K1{FAt+xZ2fr_P!MqmZ()rYM->xQ?GRS}o1r-%$eTFS9PwTn%<XH8=S2B7Zkz z*8$D(;L0>geX1Y}Wt{K&$wmo>@H<Z*My71|Ihy(LqyK_BoW`yFRM3&B8v%}iNDUe3 z6xo(4NlGO{P<9UE_I~o{!_*Cb#~4ce30`Wh1=IAA8vI`1PGGEjlp?(hN+$$~0Ge@! zNvXnKlaG+`XDx?yVDUaSq+cEm4u8wwQy;8X03|ys+YuPiUs7f3PP4W_%+-O<aM`fC zJnG--O+ZG@%cxA`;8_VjKrYG@h=p~6t^3UC{9fap9-Y3FYkt35Ef@9<tcWtJ_j`X< z$Xx1u^^>47CuJjo5_&nUFktdFQc=cPk8lUQoL&f?d=2t+Zq_CUq50AZeSas#kUKKY zGGX0d-&9I<PJwm^L9SxWNxRkJ%KeA1w4tF+_L~zIpWS&YNpiVn&U2)kE0G4t9pLWk z9{!yQas&U>N6ITcd57bFb=#7#b0%}7$kuZjC|KDUmV1T;T@y5k;Zo04_%<<_so+3) zLg}H@oRn><;=!L$T<E0qrhgW{1P67>J+G<BFV8^`ZJ6HF?DyP38vP}$snPF^Lm_yv z&quc4()g$PRM3!Y!IkmB8xrHQH{|U+D0z8Lx#LkD!8XV*u)Ey84<<v9Nw8|U@sITv zKtEtZJkCS)j+AHb5Z{q}u0k5QU_hYnWZ=Pb&@AC(oa0FS41=CwgMSn(=D`g^r0s@4 zIx2dE^0f!7>5)!;O<|)4Ix|tx!xT&lOp%BxUMRt_zj|(2RS6khPp<||wnx?$c+Ibm za~I@285v(c);>~IB6m;Gd_8OZ+YncLXm8u~{pZ@|p$YA*(+&93#o%yAfaLr>3t5(^ zg6YvYWg;^ZM=)ACr+<uO8sZ*iUMGf?e3f{F+0u!jBC8TTF-5vD)MN#s5k^!u<{Vj$ zIE|6l?Kwy0BNku+wR_me7m1aaTJ0VdG8b_NlchV)3gIV;W7zeyXvvp|G?+cTc?O6) zQ3K-?z!Soa@A-AW+^%ptqgYT^CAKE1=Gh7R(J}v_D4y+4OMh|z+bm1FaELQr8B+~+ z)@ezoiLXfvpqk}YW0*bPE*`>;-^5hvgvYz`wo4NM7?)yoJ@!NqmRZ4ee&Qv39EPU& zNN+EGlX;e*ozW%sEu)4|qyUi?zl~We?$^zV6Jgb0io8N(#5-cxBDsin@E0(ZdgjS* z={0yGnTQX(*nhkDh(h?u|I(V~damml@hSdh@ruq!;v8+{dE(T6>}acH=#nE^;X{jC zlIK_=t^c2$a9wo7WsLN{x5=5~moQP<TWno|#2QS8_7+PQHxZ7B(%YhgFcNz)3_7BW zkaNTn%#qF(a~C@?6_czdN)MqXZe#j&M42Hh#P1j*9e>gD5L#jzMnbQM7Q#lH#CYly zorlm9S21NeMNAMTA{4WtSHu9JBQ9a`b=;UB=ZSq7ejPVP2o<p!W3T5%2Vwq){=;}o zi{3mv`5cjq+0&V4hOiT7G2uG%=OGNlWK6AI4?P(`l*Y*G_0W>Vh|HJ~JuL<@6|n&` zqOHYB=6@mPV$8L**vJCJ5=@qM4?FofQIB$PdYH*<lw%U8({r9o|L<ptnaoO@z_{te zoF~%}S1?7$iEqCYnfE0yqIxl?IhL#q+F8zanh@$)k$>8Mq4o<Q;rNUA_n5oIf_f^? z0vKn{wO@?9i3i|MANidoo+NiE9mm9<(vc;IqJM<0ZQOisO#BJ&AwRwd9`o#k^N@i= z67c2q>SKf+w7gr7lu~=C+VNrSBYjyoQ&61Iy`P-?5hyy5|6~3i^M79xOqMy!^(-z* zie_?>220o8#K#x2Cup8?ns?7`G|{?NRaE2p+T~<6gQ%x_5UxUm@-4X;%{auY2`N?{ z?|%e%Uw+E1OuT{*F+zy8O<!_R<Tc#CDE^e!2xlYyoL&4WR2ZJZdAOjc=bgYh3N^i- z5U%h^Jt$%5=BHxEr7dC<gZT60@%ezyEfd|&7vLv_Mn-q$u@+jK2;Z_#ULzijD+^xE zK8=>YPIrV19dd-LQ(U`=r<1o_hWHJQ1%FrnG9F%x{mba=osfM$q46W<UjcY*W~b7p zYpYWquj?{Ae}Up`{?6#}nb9O`@YV3*F%QN*-;nalWX_8dQH%$-K80Ppe^20YkuW@# z^YERzTt?k1LkEfH*LlQQQpE|yob)4rQ^uheBCi@;_1&DOd~KPl)2iovvq}V$IDbaO zQ9F#=w{>r4{ZBO~3ZuW(pU@Ny55E7!{|wvGJaFCH#DFZJ|4NzpJx%?4gNh3HodSl@ zUPtTou`%QKvd>nFt1A3`4h<%L{&574CVX4(@xzYrwg7u@ifukg-HUDB2MiC3P|Wxs ze1Gv)LNv8}@;1iwPZ(6wpxdR%)PHEACH0!UZ%D;5`ZHdYpqlKN^I37=*Q-C^+<rV{ z+i;YBl(WdBfapFu>cD*Dxub!M<@cka+3S63AL$}eam&{%;*Wa7BN6s!A@*oB&DPZ6 zrOwGWE=&;}(mySTy)3t-$im)`YL6Qc@1p~dHOC&!BcRn@MU1(g7G0N?SbzIjkGL6o zo}m{s+W)}~8*yOQy!)xheZ$bNTS9-;laGfl_XPj5`7&MjAJBg_9|rvs`md(y{6C@p zV#1vt{R8^1CSLKM(0?`6c>W3fS5ve9pV0qf{%>mDZ_CoN`uT$A))hK7leqth=0U}0 z&l|}j55VM@6wDRBfBa_-N`LoNBBo}$!k^mw*xM6KI>*U)>3S^Ziz{E>6oc$R;_;x% zq`9bC9CA-?XpST6S(-2%%2gS-)g|(x23wgH*+3#dcji_Kwswv;*XOi0AtwXAL7IW2 zJEU)K9CuWG3olH_$;-QB=jYq^ME@cqf{w2*By-eCXD&9SOk8r!*nba4^;|yT-3Fkf zIcv4=*>LrvgPvYkK&0efnmd2m!n*)ValT|G9+263Vc~sBxc&@nkOwM*gI_ElrIl*o zFeO}jhAoI{Au?rMtS0`JXplcj3f081_3Re=b`NekhT}A&Rd#>l)(QWD7UyYPE9=RY zve0~51oOgBipu4=lz#}Ch20eAMP!)a@uibxQSGN$s3EH-PcoQms}5hy?Y->mROUiB z*;crHtCXU#%KIQOT?M#Zfz{!rTYDY<1$fVV;ZrqrVKIhQ+XLiPpxrN}B!a;U9O@MV z6TeVh<kjoAS<Yl$_3ZCn&PG3Xt-Vk`=>WO}I_m8BJy7fV(tnM2Q>v$3zv<#yt@NqS zJ)H5F**oRGV}^Fs))u_o;UQ2!HYAON8l{^a$K`}3&Nz=}f35K_IYRTCNcAjr&(3ls z+nhcONaby!J2WjG<yBKWU)_iai!<9PzQj<-yEQRS{R4ZqsZ6J(BJc}Fi+V}wL1LyV zzFOeWzBrPpx_=Ky;~`o5#MZ$c9_Qd~!SaKv+yZ{nVRb_Qcz(<R-h#xcE7&*}KV+)@ z0^Isy(XD-#H<DkPH50Bzy-|wUa#-yW_#kZ|ojCUyxYci=5f^5OSE(ZEu<$aD*}>WC zu*nLI@!B1f_jJ2M$)q*rF;{q29kyR#OuKlN$0-r2nSTmTq7Jpeb5|){Nn)a#a)v`4 zR!0SVu3%i5AZbv|bodu);c^U9m6B4@YsQdRT|FeWPGHKixP+L95w0bF6Yv3G;O*GQ zw`cwc_*}zWW@7FC3=z>AqEovE2RnG{vmof!K42KDhz2Z8b>^K+YxNbG-D(YzR`vfs z9QTgF$A3&-Qnj%Ghggk^^0OYGaww!%D&$IW3CK$#uAWJls@$1{_xzXvye5_{3tqVK zN*B(gJt^QLi+RN~+4CTr>y~=b6k|w|uIJ*FM`kQdym-IF*Y^>@9$x%$!&dlvRUxh{ zP2Bi<iLXJ39glK{;$R*%QE3+ry{puPwU|tY)qhU{0ABp<L^%|Yzt18nPR^$Myj}aP zq%dAQb)wuCU_Q!%Ax_S&T*0ngDd`5aODP87uv#y`MB8oyH*{G2D*)ieb0y041MN{3 zMsafX<s8y3sX4aAXr6X1X%|YZFoz&?S&`Tu3XRFy_L3nt?b@#;shtmL(q*l~HYqfg zX@A>Gg~-~q%O#Px@J@+q9*A`hufVt(OMGNih#t#^IA)h=BNiHo!gN!+lv%J>g?O-R zNMNLx3N!gvE+{niXaGt;wZE$XY+{o;q>|V;%9C|fe*Fr_gwxQqyTBtIR$&5bG8liR z*=Nvz4EW=?P0MmQyLQ<mHZFWXV!&r$OFyQUTBCo|Lbz&7F^P>6uag)FLa2lA7IAMa zBt@lXFX$zoC${Q_gAg5{@^ozo&xZ`?W+t2|E>b!~#jaf<sh(P+)`CfTR#4A{F47Dh zg~UP>LRz(J0XEFiv!Z%NjKnnEJr4u}sJuX1LMjAsf;UTti_<aje*64cLqN~yJTXa^ zec^wXNE*C^F471dW9hCbq}R_#Y}RG>Kp26_JG4asM0UGKr6d5|p*GwIiS<wjsnRZz zBC6O$-cHKo##<+f^aIUNnC-Ls)c|hL8ic2f>$dPx7ug&TP_r!NWYS1Yjshf^apBby zPd)+7`!NI5kV?#`Lxnv{p%})TiJS_J?8kppP-obbtJtk^>y@2XGJ|W?S<MTmxfCOr zcKd*25S}G2(;Bbuw``&i@?P7TXDTT<>RQq;msh>+$j@JIGvEz$uMrr#D&jqsLUGKU z#J8V;BmI~*YFP^}X1mDCNyFS;-MaGb2!0Rz(>R!9;|1w19zA|WV!E#UeMG(oUN?Wv zPO9;|bQhN%|M^BMxP2YAQXvGX?aMor0(DJ?o6<U!V0;}aVpv=Nn1_kV?uZEw{Eawe zDWaO)MGn1uW@5hXIua|W5R#}J10d4dF?ryDaR6R5k(dw(6vmcXxCDcY%}j@f_YFnO z1ef8<gkka+_c)QA=MuUuGQ_vqS&@Hlx!_fabNqPD5jnB3AG)H9#JUw)PPG|bQ6}OG z&%YdMpL9jf6N^{oIo2M+v*;o}Hauh$_J*6&w$BH<Fbad=m#Jr0E&viTn=xh7kPk1& zzs>Ox%#drCuDABOcmZZsUcAT%{pGP)-Ose`&TxbY-hr8wA1{{(y8>U7A+~>M>+wuU z9iYtXFpP1UmgTlwYTtF;7>P(-*<6eX-=S4;7LQMM0+#O(Sj^4~lz{W`9Xb`W0}f2G zElimq;+P}oF^6$EG_ji(-vx~B7H`Z=?B@1*bXBXJ6Ht%YqwaDZFb5}uHDlPRyQ~M; z?<F`n<Bj6xYJPi4>H5n&puK-+GmvGHFy-V`s!bz(a6ZLCotb<E;|x81q*sp9)nX<- zysFj00YG9T<30hSuUxkAfq$pYuqb!o@(F+|P<L4lm<uLMIeS%U(*O>1o?&YEE=pk5 zp~ug)%i|NjFpu@*ENQ`?CthPDI$f2H(v3^J#zgeFnjWSL=6ihwBMpD8>Oa5n5I#WN zb#K6`0JF*WS`4$ow2_k#hQ!#!xqf)Sd)JGd`7SrU56Jg5LCw*tU0dF!o^-XbEb$>D z@#$5xlWvrw*L&^Hygmg_&4gu@Op8|lj!3SlY2608$mX0rNjOAC$*9;9;ONOUHK7|! zw`M=Um4k`qdo6*vo;ZJXrD*?z@3p+eJkTyVfvpZh9d~F`|3^HeIFXNOtRv^9FnpLV zjdsm;;BkgUTO!|iV#rl#1ziS5FQm4)ZT(lVkh;WZPW%9H+&qB|iQ$TSTmHaXA8)}d z%j;FHTb*0rcpna?hP=lNo0Ma9?M=PM98F6;=h*ML`io(1Qg453>0DJUPd`bfPqg}Y z)B8K1*5z{&ovlIUz2K(}u#V;Z23Ku=hZjKm6rK-6Yni38juc`NP~4*;;P84jefa}W z#U)6&acw2hL*|FMsF+m@G&%*5v>I;^c^9$eQDJ#_DcjX{wZp+DM~;7$7uubHc)vO< z)>Va7L5|&q6dQlbR}e#Gnv6v+VbcK+gjM_}xw+`f7nNOIR>ar$n4-shzty)CF^{Vo zgnzuR)#nf$+3F6lI-Xgt%T};c(YRCBEfxJP_+={c8PTHm5aAH7PUsh?EIPSU7aHoA zs7^>0@O^n=Q@0!Xgl_2me7{2pk)v064B@<0w*{&)IplxtRUSvUZPf*ORCynI^eX>A zcu>B8s-7H*_l}PtJh$p<J*o^2^?Jw05x$f!JiZnY{=q|mT_29;N^5HhbVT3Ro<!PK zY*e7CFR^8$9uvEOGi(blUX~X`JEW>>3kh-4tx7;!nNd0lmRhs+sZrW_{raDY%)l9j zcfog*fIWZjQ8bs>o~K@0Re?q@qNo(UE0JrsvSM*s*FMxEP#%{^>8X6HaNun!(EP%h zkju&v{-L}PLbY8zI3zh=+(_uN(Co_6S56~EqpS$k$0*Ce@7AuFLP1x8$41cE;_V7X z@{tsnbI>wI-YE0d8`Vhk8GOSizDr0|3@rp9P@I2x2ftsZuz0Q&e5AZl3uPYJTV;wy z;b)%SgWt(#69R&X<&=1DJ_%`TP+TMwjYiJmnGAk6J)^~fiS1|fM<G_?<KHQZuS)hh zg;W=AE#tY*;=)ufZc@CUL74%69*4w-!#}Gk@GBa%MDkx33gQe7$q&E(iIe|^5qku` zkWhaRRWR{o_~l;C6-A@2PG;K7TUn}+<BBK3L2|*wdQZIig3z*8>`Jftg5R>&i<N5i z1({_$WJEC>^=j}t#|ZNTvu#jjWQ6LW)oR(Jhn;U#4_#ATFV%QtM%%q(Pw{<KvJE8C z1hEDy*`|8x+#3=avRin<VXt7s42|GKK?i@oTU~Xf526buR&v!oYki~YRHh%pk*{Fn zoF{N@!D`v7gX?mN>4MNKehDuvZ?riWArVZBr>uRZS198zW$kYVztd9I+*+vmdYQ83 z76qf=vsBnEOP;aLFH68@2~!Lv7MwYugsS%_u;8nbY6|>4UiEOAvOxhU!6CW%CAEKD zLT!qRC4=9yDBLz<u+kKT6M2|<?QCcC2ET_;;zYbqeZ5YJ!+zZ;{VY!OvRB*L#Qj3# zvy|`MFcRz(@|L(B+&DyW-h7yOvQ3HCL0JG{2_L*wU#PCA`B@0E=#m+%ueJO&B7aio zd%o($MHkIrebHsFobWz0XV<He3v+)^86zSnbNPvVxzM&!a3ikc@9&hdmnFP&yjP8G z4+vG0YIsx^&M$kFgwuWH%u+OpiLeh7+IDzT;@l~O80(K<_WUyOs)YI~eH?y7=%&?z zFh{L|(GXOCWx;m2+&Fblr}L9gll6ighrFVZaNfQE%5?DiCXj_{TP(OSi>rSqE+S5K ztqOIWV?@y?S!!D~W#wgwc-}rWs)2H-b|2eN(x$H1-7mE9ld|ggS0!K0QYIIiN207Y z{AEcY<-9NWFPGyeNuXCSx<JXCz*nJ-Ulehmg3-}gLJI~h&oY-VXvu$;*Lc;nv;Cu? zWNLXya{g?3HqXqpD2np>a(RC$MacrrbUG^n&p}IHipPGQD&Q=)mj^A+enZi9qr$Td z@mTiKI%88*)xJ`KYd**XoWV59c;mA$TEpKrTr*ELhF2NFA0B6`eptMF^VR~xa(SFe z_0N>8S0%z-QnW@-`-Pf_@0o?RWrN4Q6kL3SQW><YP+|R<Qv0gpMlFAOp3_aiC@OOH zD04qYb!vY7TR4h;@O!VTc$c{0btA`GojJ~;epR)yVt`Sy?NWK+J~ArBzoV_D!9PYS ziJ_yz_{r{@##Vvu^#HM(7T%T$o#UBdI3q;4z=_W*;|yCT2Nv_292KcR=CofW5A~-k z5eI#L%+yoHY!FZS{+NG;^H#h92B-hZZsxCO0|ux4N{6L28iad<DRg4EvYS6BNyD5F z=>}8pv4ai(U<>yuJM<ySYMm%2My|jT9efG`q_J**yXlCqRb?@Mdv6~ZXsL5Lu?1)i zs(?g#W}jGkKb8qK=1(Qo0&_u9##>jcWM|7$kz)$8z|uS*H^_f`FT^z4r&381riO4% z$*aY-I!s9y@>OI4Jv_XNLW0#v2<%ITDf!turICP)Oau+~t^vTp+eRkTY)cZ$1|SQW zG9U_4Y}^n<pw$;49@!T^DBXaunX1$;1_EB~H-$Mx|L~Qy-E}e@ICxa_Vds}#6TR|S z4eJCTMA9dx0snt>K`2UTL7Y=Gu2p)0sXZy=6u<C2qomR>yvhZ1?)pa|P;AiWbJmGe zNx!HEJLv@cnXmWqxm(D7yzLZ=Y&bUxrb_xsJ(xx(aGv@40k8W<>5oG8u|d`6tR1P6 zYN-bu=mZc<*A;l(uLC|FTgNJtog2MFl?0={^N?2HBjbN{PfqtYVjsu!V}GTbQ{<pB z6sEqTL@RKQ@p=)5JIm#djXJULXXhGds0?YT?@-fv?XzPhM2jI>+WFD350B4j>`^(b z(}Z9cyz<yF?4rdR8rtDevDZlFy4I+iurwiW8N9BuV~j+KU2bdJN5n2~ozs}3a%!Uq z@ni5BWW#^N2p12jX{&_BzF$4p^_|M8m?lJx!OM#c(;`%?p{mXQIQHS<IgLIlr(~KC zMg}ihHq4e_akYx}UO?=%*>hd3R89djA>;I3#jF?!!D3-$?Frx5<?(YGRa8#4G$Ez* zUbk5>ZWoI)l(bvCV&7xVbrn%L-KGh7Oz(wb!Q_8mDDMB*mt4$D?EU?9=fhOnTEx~q z8D#R8{Elbkm(`mh#aj}dkIaUDzIoI8<W_ueApOcT%P{i78+*lD`41nR4E}ucs`tr_ z_?`RoD<4^gT`s(-y>ZJ3{K)m&&wF{j2NLnYX7nqsScYXUyfMFVEBV2rxvxKuU-YV8 zj^BULrmrQlxNcqO{Gu4);`zsE_~*@n-gTw;iHG#H{VcBG7dpppL_olQUVr=9l-s+0 zHGaaFzBZl3mHEOk+4GM|QSbZ{`kN-Pudis|<tn~*-XfQ_+=P1U3YAaJx&I3PS}$j5 zvcBNz3oh5Vr7-d;+^jly^G)vJ8}c$yd8L0=RcDrS_nc9oYSj$q){*db_xyjoyb1q} z67ytJz4^6G%WN}<lsOvwCT5XV&=YgZV4QQSL1=H`BcD;tEu}uB1e;tCG3B$K@V4ex zDbeB8N7$r>8<c6j!1*!}kLnUiE>oDk3v6chA7wtv<#+@BsYJbU{uU>Ob4#4UU^##H zF8w;{t8H3p`10pHp<xQX)>Sfu7oIiBnMnWMVs{C93wp?35?KA#c+obpS^(l3YVf)k zTiJ(qt`x`WLtUi|=bgHZb8RBqC|r}l>nf}%g)>c6GC=U3O<-DD0qC-L-raON`+WuR zslws-36$N-!zQwZqCZVhvO{>LR~~<jYwnR0Wz)^=2^yQ8!PyThlwKZ9-YJ~~bfL+9 z0IU#n?pO4tB=#P3ZaG?+)B7zjzO^XB;B_rld(%@qo3EV6x7T1%nh59`Ap1#Lm0Eoz z`(47aK<9o&kMn!K2huE%g??>#BHhz@1c%9f5?C&1Qjk_Sr*{QVudT@6!0CTI)^lb= zSxnY`)q2y@H`}gUDdDKC!C2b%FyYAY3Kjs>T#nwnf~^HWP-MTW*gyb;5<v<Jf@=PZ zZsu6!ht6f~?Jx&w?Qsyo`=WnGZ^~hvpwU00H?LvUpxrCcVLaYl!1*98wEk2vwq<5S zyEFp;`Ai;6X*5C9wiOBMPgQ?m?Po?@45r>;^Jhj#V*a+P%$uGS#+9}gd&x4K-WkB6 z{-Xo1^{&qYt-Y4FbD4g;VPMiLC$@pPO;2(cplU^swXsu9=ZVX+zwNY3q36G?bLCB3 zm8><jaLq6NUrZQ0aY_5z&a)IM^=;iMZvsIfEM4>8{YL`0awBgTELneuwouE5hsf!z z-b=!^u^@sk{2S5PZ}DG@SLe4JKRM_AVY|}#-T2?J|EAZ!6FZvB|4oHZt^9vLJk4_d zqed~g?4P|T)2S+=zvFI1rJa6@LU&?aW50(#^B3A^{p1R?^p-YKmutzlvYGnyJrhkp z@IaM=8yBsjWUDK3m4km87rCOK28axb80QMM^roSR>+KzFoJPK9GH=-OLB}Z)>N81` z(8jHK*I=wfPg05S%A~IupgPk?y&FaztehHgsxPviTvuw}7md8=ZLy!xvay2mRZb&+ z^Vgbog;;v?wvc6_`YaL98Is~g;svPPqv?@4{)aYB!~R;cu4R8V-WOWPN>PPY2*eD@ zdLtAFg*}=!M@~rD9wtsl*LRs!U_k*#CZrosV0!C@#1z^FV6fdBv+jS?Mgo?BdithM z3R^b%rz_v44XrebN?4gfmxHFWcq^d5;I<8@*hVQ<C?G04JI&_xkiV8;X`$`wK7TEz z(s97h?`F}fR)T-fhmWSScq*!aqiq|r`cno7?-`P~KCn^=Ivw3=ENk<cEAVJ<uDR%v zgL)qyYWgHTIh41`0coN@D#<T&rjxd;l0)w~>rdGt)MVxZ`6}Xp2b3$9REd>8Y)d7L zr#ugIFsD~~1L}i(+M`pN30R_BT}x+e4)Z75%t~i%50if<+w4j;Yz_-1+YC#spv{Wv z_UU7;h@J(4K;U#BcU3Nkr2M|kUv$;~DE`?-g+p+_5slbJiIrl&5m{`b(#ksE2qLyo zhRvKIMHx)FA+Axb`fA#UFEgYL12qeTA?2#SR%LZr>*lPKRU44?pkkFRo6V|%O6%q> zkGDK@ZO4ClbVF>T8q2m__P(goKgPH&p4YU0`lO*{1465=kK_u73e0XVw;A-`x@wgO z+-Th}PtUXU<_|cs77MklkZmEGWV6{<u(puTXJ=Ye+-xBSWw%!}Dz=anvNLTeQl?MJ zjg2ZAxm(Db*=)8Ilp}FJo6Wic+__QG>Axk7`<{OW{W7gNHBFkJRX0R-wQkg=g;W8f z9#6Y*uHJ&G1x>s0twumeKbw25V9x_KhW%qKOSx^QGNw<S8B0`*Wlx`U8cS5#eDRMl zE48*^YTXz~3;6)N5OAa@7HVHX)6!LB3<Ydl3_PMF^oTj^H7KfoT627w)cdTk26umk z6mEZ@W{S{j+t^A8X$B@gnx5xd6@s!YH?woC-h;9&G_&)p-iCf_+Xzez=>`^3u4y50 zK!(TDdf9R|tLFla1jSrRu_rSmDzQR1HhP9MVW4J>fGqlpu57Ga@0GKwQr`<MH_opJ zVcFYJ%$BoX_1au16LW!MEoVk9litn&ga?1f$NHs}HfvwV#}1{HwrbCg4zi6W%0q7L z?dWCK*j7mI?a*Y`SWBVFPu)sQZ9e>r?v}tJ0HLXS!JREdT8v>`pt4}C9{yEBsM%t4 zv;_7ppzs^{*sip|RxR=9Aj7z&JmkjSj&F8=?dp}y6??JS_t?~#5qX1l7X)`}kz0St zm^EUttw>zIT@SI?T2z!C5)bTutd*QTrib_zp(PP<q^eV=&fD?wNY%A8%BDT#NY$(~ z%C`N*k*ZzkkxhH-k*Z<ok*!+Mk*ZTEgN<6&kt(p1!B#E*=%B%vy&{BdZ$~0q#BSAZ zbHzAY#Bx<;vy5|94m$ih`a}{t3n+i&+*;8O)LLOAm4haNwLH^_3BZXUEzeY9DRAPk zmS-9<4><8i%QJ=82(%B@DoY<TMO3yHjq0yeW1%x66=J)Vt4y0KJlTgILR9yH>y61a z?az-?O-lQ1+VhT7Elc}t+po-xn9N1XaT6}|ZT^my<6V6M-TWCX$GJ)ejeLKs6@zSv zwpb0@TmeOWW`qVTM$6T=ys}ue+w^=8Xa>j1&Wt3IV0?htLGnHKzyvt<?###miF5%l z`<47g0^0!C{TV&XyQ&HeNTGypDO%s~SLcb!J8B!A6Vpc+wHEnqWEj{)zC5Coc#BPB z%2AtBX&gY~EBV-^R0p8(k$iuAx3tB!z3Awm(s-*pM0770YP|I!M1C(AVH|JUo_y5y zX>ML0(a~0f-f*$BX_uZGk(!G>k;O%&X?!Nn$YGNKyNl5$SFuKb-KFRgDQrJr_gD1E zWvm2XcR4zM+dBo=)mr3iaA<=lYb$crKfHq|Yb`1;xcC8^J2P@ujMaa7_59|FVfLK; zYS88ieYU2>Dl$TA<g4eaDU+Iro5b#Dy^|lskF045X<uyqRu2vM$xnRkc&IXw6_(7E zo{NG_wRvZ}1<C-qn*IilUTl%(yqbD^Jk$8aA1HH&EA-zm0P>Q_*wDyb-<fx)<13c( zS}N5+4O}}p`a018PK$rnt}b6o6+RQH02Cxl#zrVYq6H`{XTK|qLepP;cs2D0%nRD> zn)=EFlyNl;J0YbYZc^2xzfNod-ZAG|m-(&?$>18zK}DK2nz~h^#;zcHxvs8O=Dp0h zqU`hzatOS7O(OL!4F95AH`T-h)Nu6~ap{NK+uSS7PVYPp>(_q*hfTPqWsp&a*tOar z6RsGCch-kFYg>a9(+N~d>;pc(%N64YDk2&HpHq~wNVh}XtA-M(J+eXChtyXMucpSx zd{>2Zb7@JW#>fU)9NxYf4di-4+9JLnwgI2t;gT{Hf@wOR&%NR^9c&`Mm1pfGufy{v zPkisGk40-66$5|DY-70NTv%TdIx;|;@O!hf$;JNO_o@|olo??->T76U=<pe_zuD>N zY=4h@Mr3XbtG@{CyZN0$R7Hi)MOR7J<c$Z@fQ-Ud$XXo>kpUVim%<)|&v`XS*ZloA zB4ouyxnp4}kV1?%sRtq_dF>O{S2^6m=iDe7?g@$}Idp$z=w`>lTF@rFR}252*@)5^ znhyZSC!ty6%+Ra&t8xY<I#P0f^B1T)(p$Fa-3(#Xwdl7nLV={gh_2Kj9WbbRuFv_2 zUY#g-lD?fFHNf}Ws!=QN-EJ{YKG~%+QHA432j*Xnew#;FmNbwskZKnIe%JZAFx2@A zw^#26my3VWtyd`JxqAWOl_F`N_$*yzn;twrP@fSpxtODI4T0a1Gzc`1I=pzVGh0RJ z$PVUTg|@pkZ43Mkuu4<Tuxd1Jji3pPC1uD=>*s4+n>?^etI4$rd7Afb`cvQflh2K{ z;7Q@o$&#GAN(e7^DT5tjsf#N2Ix|%qj({-#59og|Q_^DIJO1}P5J?1{Drnnj?!|_w zW3zU?#_h=|>$K+AR*j8$?+Q_Uz7aGn@((73Lni&|P0M+N)e!hhDFe^6bo+jlr|YYQ zqSw%RN~5)b>NKZI_T6(5=f`!Cqp{Ts>*4R*WqH-<aKLU63C3|CGB>vR=elpFySh{u zb=`l`)2`>-RmRB0SlPw-Q-|I#yDBc6)rm$HXH^rZ|D5xuo{{g*nk)&D?md6D{PW!X zdDrRv6i%a~`KsDL$u!QWp5!80Q)w6-U*AF3XY|(71Nn}KgV2U^JFn)SJcCk9-0kJ? z8h3<iw6JpiL7})qlzb0c!RO;)K0o_2Yv_M?n5!y2-z|9v6z$0b+y1*=_iAv9rI-4Q z>^(N)uNp+xetxgmGfKOTUz)f-`f|SFS<?<hRx!B6)0@_HtmX%NGq2utT$6WN?@ZNW zK5%oG(0Bh2@3T<A&-|;Ze&%dR^89`y)Y05IpVfklpT2UB;{D^BDb&os@FkzAIh=p0 z=kwS59l;S#Q{9hv{xGKrH48Ef#Bln}Q%4`Y_^kH0{3+7?i1$xrqLAGesvU!88*U%B zSRXrlyuV{yN5iy_&}KtF`tua--ogJzFh*!RP7lvaJ6#g%wz62~vIt-7=sXU{IelDw zk{|qs&m#X|RuZR^R>)Arw$q_QdHH|oIOm|b)8SgD$J4>+;J+MR=$;G+J734M(_vTl znWuxrL3TMj!`+3agW5r4r^Bg^sjr5bB=6SK!wj<}Ty#JEvwKwF?!w!_hMRv%J^?s* z_63}4F07rmeFl{d6R+!8nAn<ijou*`_{sNd=FPo1Alut%Vks$ot<ZDI7?yuW$^1=l z^eG>~i<0o^?1Nc3oC0O9#uE<6rgfWV*2@F3bsdnx`l60cF5{3C%<k*NmU3_A*)AkK zB?s^lBF^ZK6I*<}nSUtYAqC{CDxl20!O;`Fwf%P*<7&M3cin9D>R=#E)RP%X{Ipl| zha{^uil)gUI=n7_=xitXms@{6x}Kvb>zW4xHKM4_gj3ghLN}oI&ms&Rk0b3<&xD@K zD)X*RXF{>%mgcU(P0G<wSLl(NZum*Ds$b4KHOKT)oSgv8?RNJ)4w~_{g%2!B*ZOPj zR-Ux=JKJJU{x0G>8;&LbGqC#4j7?LaOn*rO^7yeUw!6XMM-3(93%GwGVZsQB<e5sI z96s^$jcIPyN+*oikvy4?8rsN{V<&!~80C5`!KtF%jS=djh8ps#z?k=hkq@4sVO^h= z{}O#{>4qNbG<=%&v)E18A#m5E)mDv`1dP};eA4^-yYgY$Z`K~bRHfZY=flM62MjfS zTf)<Bt^JA94Tm_hPcDDo=hW@4^owwAYKK=v-;Yvlt_yaZOK}P$NZLE4p=Lfn*L`6T zrmjMPhMniP4N-y|wMLz6+fFE7j#|TG`GtAT)1-ZSR3%5Pekb+zV-%W0-k_6qTPx+% zuv2*3E#*}Im|?*`rA2JGUvt9njqW|>?dTMBF*!Y<OT(8oV<Ug;Q5&53Vsrf4nkjpR zo%{<LoZ-5i3=6ZITS@zpC?oM2{TbnHg_JCVr$P&hoJFzw`Y4IZnisaEQp^pWUR)UE zEQ;ILMD2-nGi=YMZ0SCgSWxHK(|@YIFwLQrxo?MRxzv4O`)*30{tV4FYf7!rQ{e?) zPOYT<izs{XZl-^2XiBa2Q`H4Dhg;e{7m8mznrGV}MPC0Y)xr*EQS$yh)XF7I!ENyr zQNv@71qM#7*!`1xGG%*2_$`S}nq_}fJO{h}G2cQsXUy|`J(TDrk>|H`Z_{jtphh{& z4Q|tIKS1SjnCsuB+Kxamb7tw?=GzuPm2hV19xE;sab$n#`*ED6L{Ke=a>QiqtE1+{ zPUyEMQhIccuP(@QWNF_P-Cjva78gm1kX#tyh)IiJTrlSt(YwvREre>|9MQeay)A}H z=RAEDasI54>#}QR1l<C(i4d-6+QwxIl@4XI{B>Si^m4T5c2t{#Pbo38Z7UF#7@(;s zU+bk0tWba1)^gQ77F*!w(8}6ZMU99>(+6pxAe?(TLSma4t^5mRoLY(dCaAzmBC-3S zC^BbH(!MRK_ENMezv=EPbujP42mFB_ruw@2SEOy9oqlHuGDXR9lyRyU&9DSnqtwL$ zp0z40fc~|O>|SiE$U=L{`lW#62!515XN%6v#qEFLlyr`*gneUF@+D1yZJiV}XSm_h zOA9m{TUo7w3*sE2nXS?bG7C&77Y@;+eat<XB74Gm1Ckt(_jcZ~=0$1a`8!`5d{O~L z@31@i{+kt^<Mn$Tcem3Hse9#i>TLNMig?s*?^n|nNaMuVoe4tERK>{4Ch2dauRE89 zzU6;Wm2ig{uWNY2kBC~<rL1ooKSCCYE@KVNUvFtHne%(+N(==T5z0~<Cv%tE&3CK~ zrWQ<sXC(He#Qb+lCpWE+9%S=HVP*DAAUVc85!gH7>LaOQvfHH>HpAX>>pQKPjLvS{ z?oV$V%zfWB{UnpyyG`P5Xv>a}G?vNy^+JEZ3gvGN=v$@9+2P<u<I3CKIUR*7lG)L_ zrKuEut#o3C#N9_NJI5xaKi(?MQADN2zPG*KbQG3xdRI$iROgrtToB5*IIy%}=<|q$ zC*H$-_-DC2?$e>5tqwXN_gvs*|9EM^U0jFf+Xha}@?OK%c6z~IpD+3)RQl9$>P~+# z4lxxd<@~kI1b@idknEq!^XRY>^#%0P4<PH;JcfSboKKL;kNxUmWMup2wzHaEcmy70 zO<QHM60@3eJhrx9HfWxtR%daH8!@hnC+u>5I%K>LNvPA*br8K=^?%_1SMdJ1|E}bJ zbNE_SR?Lroq1d^{`>TKacijHR;l+Qogxw(1rhnxA8!rDJ!$G!`jYBtReoELy&NgW3 z?kZiJHjiHIF}PcK_%NHK#!LT>54s-S&eM1I2K*xHE;E{TYBC;3C0l1<6z3$ZbnCoq zBPM{lY5S7qy$A4FI-hkNs$v7aDZOHs+mbY1$V9dCd1aW7SBNEvB%X2@!}fo#=ZPdP z$t9hq3*xekE!s^QDb9a#6G@zsZt#{I%n09<eX*JdDp?Q=C)0jdr^rfV8+){OZ>Kn4 z|DwylXj6=tHu0)TWaIH-U|;y0(O}^jw-&__)3MD5;4hD3*lsCshsV?Ulw*p21G!~G z>a-I@P|$0Rc#ier2#%ut2h4xM01FTH1-?5-%zf&cmiXB#N<Va6Xs5o%?>`!qvAJwv z&At$*GOOzt_xcHC+6#PZ0E^(nITKw)*^pLkZ%WLedJHFZ)1OP4+mn22*DOpalMNy< z+0;#c%>)vbo$!)zVSg`a&fI3+xFUC#Ve8ku-1oDYk$eEI@<QD}5Ho*$A7+@EH|oXQ zONAsSW}5|_%~bYGxH2^~@<sG0kfn*&%YJKXKx4b*toL#%O5Tit^*KC@8r-Q^7(ZuS zj@&&r8uQh$J24zKQUPLlf68O~ZYJOTgKACb49C%|&2Yest7Hp7+NLAu1ui3gEPwzH z^T?(@$ne8d)s=-gc`tuj0XtqD$NzY{6p$ER?&SXCG_-Q8C($H+=NjwZi*3b-Fv}m_ zd4zXrQTd<RBd^bk3<g@EHT7i~2sROABv*tChv_a;?ckI0yOBz3jw4UX=XuCwZim%d z`Qy1n)@_XuYE@FUkaV?XSgqeN|KlnM;mJ2-?Xd@md~AA(W2=9kD?5qYUBdN0mMPaH z#U9Udo}5A~G9jCyQO239ds!MX{YeM?BH12#WDnNgL0Y*U!XL73=6MwTdAZ}1B^kb` zH2*XAl+pP?n;=X~Br`%_0sbRsllyzNZTj-o)$m(QKk|?M-a@&FoivxXf6M8qAyO?p zo*(#79v0;S7qoxFC-JuTHBH7X)hJ81TAbR`o&4K~9$-4o;oZL~{m0bqXMR;(mSjxw zYSry``lN<=#$&@G@&7y0efaP9m2X`M*5Mw{Mf+BYC4OIKmk;mwdttavbWz_YrbmvX z`prbFtKha#>RRE456*|9znRn!NfC^8CoJ3c+a+JqHC=z?vcxPm<$ks6F3#Ad&Kk&K zgBSX@RV{~wR)^osm9E(zvdkWY)INS|u4VehoE;FpG8(b;+;%DC<(%HwQ;1y=kF9ya zVZPX&%nIVGxyRt!t`Ep~x}&lel}nqMKSrIabKULnK6(p3-d25iVAERgFpAdduYu+5 z#w#H@ye@zJb6w7SUBG!2&Hk7RmI?1y$+R6#$X_2d<#k7i-OpS~aM#^Gr(=}5vfL*C z$~?F_F{Qg(vbfMgX7-GjM0M*<swau=6H!N2%WuQ@vjhH=K6mNuw*GBjZQ*Qp>rS0h zMhdi*myK6y$wYWoJ}SkyNyEhK3(eo)zy{H>rKEog-jD)__1wex!LA`?X(4Q9(w^01 z{Aq-Ib@Y*ck^SeGgQ9MUEm()czE+g<rd#EoYT{{G$A?Pkgtgbddi5**xB+Mnjt<=H z7-dhL6aQ$H%Wdw@+wpf0y)X?JTQ03bs6JRPh`98U*t`YxocCYC9X<AQ-$f=pCito< zmmh!PUNpA;jphZ71kIz7!$0~np{GYlF@#&Hq-QaN2vt&N41rda^d^R&uS%+lAzV=< zJ&z$gP#qu93QH4OUl&!k&D`D2D!kSodDL%~@6mPa;rSIwb%In}!i}CF8-C-gDW6NY z+!JK*ZyYz}a|yS8g53G-5Oaz<cucr?ilcvfOt3x0B|awbp5hE16TqjqzQ=^Cr?{(+ z2?nP)#AAZGNU*`E<>B&)#<zqmj#Gi@zKjRi+VlF|{R0P(b<g*-J~9@sbnRYnKY!|y zc=NA}lJSX*dZfk07gfL4>fwbhF3me%^EC060gV^8nNy04j)fLHIkn<jMHjkLjxK-w z+e<Q4N|E6)&w>P}R@}e5BwyGzO>xsd76x@x*Jso`BHy{&r@T|y(nLM&6z5<4vDwbu zS-P&87dOu2=S$c8pN7{KjH-=_(%fi<{@BmR*t#^G;wEi3+P3_W`4H<LP{N<#st478 zQ=d0S&z0|tF?5|q<w7`TrE44gZP$OyL`#(d9e>NFcY?E9Wd6bo=IXyX^F2X}{f~l+ zCe^0*DzrvlLEC5T5A5UbA#Gip_qgxKrA;>c4!^ak@%nblt})pYe%eVAno=wz%jf^< zx%coiy;|dg@38z*4-uQ7HTZgmIXf~cXZ(%0{@S8RoUGS$RL^k3vyGqkZ$f|m>~|_^ zMc~RPD|jJ&`oa0C7B8WqF*=!7>;Gc!UBIDg+rIHOHbqFb+09*wkWKcR?2EhXDxnM` z6fwpo+eSkrgm9OTWHTv}hJ=yLa1*8yX0jQEA>3s#hGf|d!+#CE@AJI>=Xt*WaX-iV zz2EozkLNhnHP^Y$^LL)tthIk;t?T@)S=Y71_ONepbA7M)UH%~9<!Ab<4P((st#oF^ zw~b$)tt%27?~J||IEi+B?v$jqcjeZvJI|w^?=t^wHVKS7tt#gJea`T{Svo?o`Stjv z)usH;NhievZ#X?GazJBunJ3ToKY0n>5NudkLuK2i=PEVp<O#gdbC`b|ICx`z#pFKo zC1}kyD&J=o_mu4#xv^gOYuAgOYDkhv1Ye!TB!2Ih2)GMAIZa4{q@5?wi06ot%ircH zC(&o07qNA$lju{=i`clb1n|viJJHeXE;o@$k@VcV#^4GpE|306;N7C#63?n4at2e6 zIr+L#a&MYI-H)QxH>`j0rDrAfHO90|KfSS2lxNqXYgMTg5$2~Ug>3Qe`S!F@D~IT@ z()G7YbMK`DgSOIeyUEqg8T+uKv`bE}VPfOik9ZeP1y95n?pgX{_31LN0K>q+>Pf6K z^|>?J?i=#r6q$PbEZ{v+c4CV3+qs#Fc?{peAHRgX>+76(wPb(s<C#K7?=|l?%BT1I zy07v1wQ#w?S1t5Y9<GYv-fwJ9gLeDq_B94+sPki0hsqD6*J%uJ9Y)yflVmG#PGjkZ z$`#m3{lf^`ec78zhq32}$`7-Z5{D7i`{0{O$FbN$<+^O;?CIlqhoVk>^^aT5-q&?F z;c)R#$KGg%v4MX><(lbr`^OXy=bqZvey%3_K<W9XZVxWxex&UQirt4vYe_sm<8`+^ z9Y{OmSa?mx+@<iu?4fc+w$Hi4=)(za_K=Ut*|Et(bCHVS8ZB-QBNR`)vV|IYdm%wS zt>rP>J?UY@!BeknSVgm-t2fhj@ucF$1E-PdoJoU=3cY_v!o!$_8W?${4~-!%Q8D-0 z1768wMqAz}3SUo^&fF-DGg?9-f#8iAw%`i{>uB9;H@=9VY50@=z5MT5)`d!?B*A<C zKUlI)bS>L|Ee-fR-+SmkmbD2;$>=p4=lbtkD01kwfRdU0I>7&3OQRfFV?9DIvd!kH z_geY2#e09*8`>%xcPIrO>w|CC3cgBZ>PTfqKYKF%BX0bMKxVMZaG=XN_F782WJ<g7 zh0dVs?}ApiKkBIj=&D3V>k+^55x>qVO}woieY-~3a&9czat{CLT)O2va&gPqV9R-= zVhdIM6NUeYa^6D0e>M@k)kJ{eRujovP0XI%LP>wJQTxV!w5qGPoikL~C>58QT{o7> z+^FJ`9A!%Q-5K$HEm&I8WN~*bXysguaMcj(Y=A0Jbj3!p?qm7k_4kq7diZ|hjQgHS zYo52H;NM_MX`WUrBI5`#YA%%_M|A8{Q`tcHVNSd@I(Pvl_$qZ*pCt!f_V$8c6Z7t+ zf2)80DK)X|{}b|mx%!AZroQaInAS308_m4H|F7NtgqkA%DmAzF?->38{(rlg7`mH2 zF5ShvyRVN}m%AW%xa})9bm~T(^>A7DW;h`de4ZaUregdt=Ja-Vm#9iJr3ZW4z7iw$ z!uZF3cp4trb|+{hNao>os1gun9^UQlvTlDaF!m0rWCg~Ah;P@3GA+u#zg?5(QMNS` z&xDPc-q5|*9zA7xV@y1A<5SFAPDuHZ^!X4Jz+I*#{@vTPK!LG<_@I?8!@G^rZC@>q zR356?*Y>sX`!A&sM%D1Hoz(abx9fYWw?UOs<#BeCl3Pu}w>)Q$Z+bR5UA?%k`R#w2 zz*g8)nHKq!pzGtNLp$!Zr-HWPcc4o^8u#4jwecTyNmd%Yk-9oc4aa4^4VmZ9@hCTo z9!<d7?y&j(3#+tJoNAw+AoEA=a-ulZD*qH)dLvGS=PL}4q(zQdd0vIxl4IX3VeK<t z<<aag=+?V>#lt1)H=W^{BgckU!k~YPo$S4K)z4w{JQw5MfX{Xdk)g)Dm&zr%H>!hH zHfSa{GV3?OxyDwt!Wd^==hr<y;?UGrXH(-0=X07?Ecq&?qnTdcrffZ4^r}@i)Wp>+ z;IuyB0_IY2_ZRw>>9};y667QJ$oY3e%r=Q{eL6i5ssTcUu9t`Yc#A|nI_rN{tT7e+ zWqeU?PfWmx`t5V*7WzJosm7^$OfPFWzmxTxb9o8-7fudC>&HJiw!k4{5@G*B$0Ni5 zdsMp3U7dr&HzN$kLLt-@2qm{>At@MOQZF-C8)1PV{NYv8P^eQ7^kb>z9+OAf6Q0yf z^?gFXAM)z)p1fC!NrArxAOC;Bqk>54#kZAwpeqwjIHtYNJr`y7>1o%Z7uiYPiyS@Y zMXr|fB2S>@ORDDT<BXrbsT{H?ZV|X&>^^-XCHz!?P5ooT)$>bjmS6pMw9c$r_;t#q zL>xUD-hD)LaGRej(NOeZ-3Q?$95?<}0c@U=Ued3BiLS?`-sNAl&O?76Q`i>Whe==W z^=mfEPEQ%)vom^lYC83$eECR*#`2(k0<EgBd<xu%c*Wdk+f9hZHCt{(8#pqC17D87 zsf#a0DoP_^D@AdqzTLkzEgk*fdb(63CGYaE9FfZjR#6tm(6UxmedX?Z9nELo-tGIy zIoJ7J&yR-^g&Fb`wW@#P#c{=76CjA)3kY%pf{a5D#2E<E4?&1M5Tq7@oP{7=We@}b zL4uJ`6nh9V4MDtnAxH%T*$+WB93X7~x^^T*C#7-kMjC`GEsA02O20Y{`JcHeBDacf zwQtWHC}NoUm^^ZBC>+Xm9!@zm6nnQwHa9LpaZKT^yln2Bh=YG)yYCWZW#S{YkCopg z{vwkcAw0Hncj7>9QUw3lz+LYHxd{<mV~u+QtgMyYTxV>Q11$O8?ESm{*p2U1HTlnf z?2g0cj<*C0c9|ZRoIBd0A=qWICN_5e(RoB{1l+@Sg?Y_ZIUuPh1Jx0n<1~N4Vf|}i zMw#+N&kuY{&yRoKujM!+?us$~d?UZ+_SE*AnG02&aQUI_lGLAaw$WiOBF}BN47{`E zkNc0ThBF>nm6r0@DNeY&X+_t}wFtHAW%@lI_V@U4=UV4scyVg>qvHsrh6_`4Il0vN zm-R!iOw8$2^gPjNKynTE6)+Pma!L$|Kh1mLH^hO@1jT<2Z$Wg;uS><6sj(AR4${g# zyL7_b6hH4&>=<2}JG>a0|Je^x9Q|yr*fF*?ck-a&tIyVu;>c%N#f~p)bDWF07K%0# zYjZ1$<0+@Rb)#?O{;Km*Gku;oK~0rYw1I-?9i+Ybe7O^rr~Fx2(FO`~@gVKx=M#`Z z;WJ9n1`2<&{~)dOa|EPNIcJyN7PP*sd4J}-&(VogJCRA1^tQnDW#RiXjy{@@LUd9l z-J(_XQ{~dfm%`IP+)V6>*i`cU4{r{JzuOk=yQqv)6_wj4vh8RnZn=F3YhQugH=lL~ zTE({1vsZUMe3X4C#CI|D@V7&|a~?CwTBh0RGKGI*N~eIH>5|i*toP|_ttRf%c)<30 z)dI53stU)H+2*9v);4VO?S1xavr$>gA8d1%!Z8K5x#G0-ruV&lLTvM*y~m9Qq~d{w z)Ay_(^`K=!`6;s^uSlh1auC{2^{|CJ^c67931?54JvIq_?O^1A_o*w2x|fMOx|+Ib z9QJ=Y^dx;_`d0?r+;Zw;-^RdwYEfKD&lTK8@au&_m0nuTL1cJQvMW?{WQXrW$d2*d zrN|=UBb3Lq?mEe?i_Oj6jW%CX8gsbobP#bXLT~KK-OPiC+sm+)XxT7YPW!rQ6FRNr z?@eE#arv@(1eNwAyz+c&1I|6>?t3l{2|<6K=fCaQ*Mm}-Y<sfnsd)RnOKsL!!M~fF zIcvrFNe`J7EVoP1xiGkA{Mf`3!vG4^mF6arwAv}XlpHid*Z?2(1k6;`I)#@Z3|F&5 z0{UKkkF4<OpjH-dypAkb=VLknZu!x1{sZ-ea1)BDPCSAay)7gfh$3OIt0qfvGn{_} z3GguqX(A9K!(+&SRs*NlFN!q_;3sf`eIz)04n95*Pm;x2nx@9d>?BBo7XSt}{H6>y zK^8nkk~hoShB*!fk%(qU-~)+h0^`GIgJ(&WX0YuTUC^DBmM;)%#KF7-#N>DV$GdUm z&FpRt3!smK4Ww0*Sw4&$h#*Cp&2E1u7*yUK=0iIHuK8Uzjii~HfIZgkmQl^A=;^8! zy-}`+()b2`rZa%d54h8?lc^2Bu>rTE#F$z@E2+$^aR*BsJP5>+R83WH<_fUFf$OAT z?BBk}c7uy`2oxfvnj3Smj{Nl;i?b#p0x0ZkOoJFx2B^c%-fj^3&(}IrO&fptSu)@% zY2)VlHfA1s7Do=&F;E^sSt^|`Dj)55tX$zP{$yu2gH&xYGW5)N4$ZmYRWduS6SDH& ztE1NNjF^klxT;EQ0|#2}=bVCuJJI@}CQwXrGIiUAF#@lXCd}+^<?b|;M2mvyBqtL$ zepJ3H@^d#j*4`207A<TuepY`<yYWikLW^5SEJCoBH)MOQMu<F+LQ*mbzv(ZaoIhwv zF|UqA$kg(I*GM>QW~@IaVNZwv;DB|yrD7HykJw);37#fpn+EJ6YzLVnx@j3Nfghxj z_(<7i0XqoCz+HfP$ZoVQXhC{&%8uc1JsMv&`-j`bG>H-5WZC0tzRrJ~>{TCcW5XUh z1Iz9liK2E2jP|w<dy(R0__!KHiwGJ=jmr)eWiiA8B!{ljr%>?`gOT?cthUI{_)yo; zOUBIO5`@fTXHoti1p!aVbptOPMoo;F+7c{7$to48FP0-G3mUH!XveZx!0J`dR@)c; z2(UChQBYlRwyv4On0bFpf{>o9FT6NkkoT0FJ@CTQy&lGrN*;c1k;A*nB(IX+Unx+D z#jF7^GO*y+Q}wzUhS{5pXt$v8M6QsH3&>-BJ%raqFpPLIqQjy@c=3Bd>Ql1Pzza+F zaAT&f1mQ_CTJ#|dvoBfnN&zC4wgz-xtyGg-P?(;w%sW|-`R0FYW3x&Wcv92(w`4Bi zu*Cw^0`i)l=8M;9FpNm@w<`rrvC=GnepRzNNE6>ch<1<e>uemB2tbGXtxZftMe?nC zC3)2yg^#p0`qCv@6A_vL!a27AsS^i;ga&OSv}-OFG5NArDqPEhvdtxXN#E$&rJ)O} zS!5+=<o5>jtmJ<=SNMn3!llH%+Lfwr!_~H-jHIyjJ(CosBc%aEhDPDSLm5hq_<L@2 zH`i5aS=C)i!yK}`6*<DJx-wohyXIUH(KxbNl`%&Zh{Ewvs69&`Q%uJ-=1k`Glr)X_ z)(?n0*2+mxXW3UgX3zNu%wij3)<v0;KpD32_WC}i8_9poB$}VKA1or}nMChl34-yY zjXTg;p$Zsdq0>W}sROuSqi?P6uRWX+%nRrP-$+Q)t@oJMs)oRTVG<$VDFz{g<^$YG z=Gb@>fjERTdM{`KbYQD*4ojew0A+0Ttzl90A@B%5CVA#p$H?#!3_*U<cz*aDqutCC zfKYyFyb*st^TJg=!~L7D;_iww;$i*@$mR>g8|^{w1F@ud6WAWiUhpD`+YGjiU<{rB zc9T|3Q{#WWm%HoEFfZB;Fw75+cO=!B&hij=!TqEn^E@t$BB(%02i`>=iUnlhjoWkS z*s_=gQKkeSiJiUKz{hk1vhzn`qxjIreoop-*oA*S09uiF^C!$Vq|q{<3m}GdyBQ_J z)C0V+dAFh@m<m9nsqt<W2RKejH8tj8?E>GC1Wb*0v9^H*q$P7Wu$n&`+rZ8A2kO{! z*8Rs@xx?7AhjO!wK;wMlo9pt>o`#u5?@0#6oA+Wa#{^%hm1c?pr@_%Ox;Vz=VzQAs zrFVZh$qK7_D|a{M7<iH-X4Z8xm*0>Ntq3}h#7y!8Ffw2b$v%I=q$@6$bMuAindXvE zgk!P^Mx`$-U|A*d>ra)yM3QShFDVCWU>bf0vAuR@NaWS+H2zwdT4nGD36-B2<IhJp z8lnVT$&WNC<00^b2-X^aA4#-)r`yAO(B^*tUp^%sA%J!TLh~tc{x^q((Lz8n*3u*s zYejm8)wx}I0@j@dc=`%sF55!lQ=nkd%0yfF@(5+Ea&KYiz?Ex@j~Nq1)jGEHT5W#n zWz~x})*I5Yn33Jm_kFY;t!HF$MReyhRl4{xBfTU5oA8rzGc&YLn(wn`Qmk2*(5inL zxEY(J6NL?GguE=S$nKL(J?dJ9=~;0R-L3Z%>LT?u_!bJY?k9w=Wqs28l&z9reVn7q zt5B<c^7AF%`;T+mt&!;ND{Q2Z1}^<1j*X1{)brbO>ivZHFRh;{!@|Dt6gn3B_<K3a zul{z|dWcs4sj^h3BhmUs$h<~3dRTwcKz)v^{N9=#+5O~_vmEWSRhG}rfLcZMAS+&t z?zrKQ(92`eZV$7b>9`7IMeIU!T1#H<u4?Mh*CIT~ii_+HWjC&+kzPN^eBWYOt*env zn2dv@C1)>*Q5zku?l;Ag3!f^>b!fs_Zw)fDwC4)5Wrb#!C9{0&18NVe&+UJ$&&txC zFN7BeaoGf1DeNFj;@`K>2sv3%phAZ^d4{Qz_2yl<pBM8(_6%R4zSQk2zJ+;&$%gmm z^*EG$3*G8JSQLJgb}RK__GZtdTBjQ{_;rBUI)>teT*dHuhYqk#$8aw}PpL3Ns~a{9 z28I-tJfd>guO8ib^vP`357&RE6*szjxMN~@*Lq6)3<i!37f+_i1@m7GF&eB_@L#+1 zI@OCi{w=xjfTZZ1w?XIbO4ey5*xF2@T`AuTA1@&B7VUjD-KQ1xUN;0)+jRF0REEaa zwhcNCpxUSLDs?DkNpx?K;I~oJdspu#*e=Mfab<gkN9iBqc!H9ze#L+BL`f`jk47EQ z{abttOY6QH99J9m)Od#yvkK8$H7y(VJVJT(5<{X4^G7x1!0<3J3P*6GJGmOPZlE%k z1MC)%u@MZ-#y&lS0j(@Fk<$4cDLr0gw-)8}9f=vc8GLqJ<Fjv4+XUvy4cg2D*8$DR z9U<O;ElIkhb`tqsDOrCKrB@mB+9T{k_hskZwMrpNRHxJq_;T9yh*0n7<4-^IlrAzC z$`YG-*B9sxNr<Kzg|G@}@nO82Yz^$~L3e$3I=tqvJKCZ7{*lpN9|j&et-J5ARKhvD z<;KCIN54mcimQ%&oC0;*X!~o0LWnO*jfnxM(eEF3BDNP*E$V+pUJ1uU;3GFWj~<^1 zH&&Q#P~yKDAHpj_*n!8NLm}5$j*lj)zd3}R_7PiuiLw7<<4#@}ul$q6D)~>1KD@y! z@VlC;!cf}B4^zd!<tnq~!LQlF!@VO@-y*X&RGbG-j(AzEKU=yCrXk{~eQzr4=oW+O z!;AIRIk3)KoKk;JR7P*D<}KyU<9To6H2h*8<F1Te49J%7%eh2%si;ysK91_Xjg$4u z@x}MW77<YBh+Wd}uC6c@dM#`H#?2%2>YZvYnJaNE)rH!4f49`v@t-Dlj_#Q$`y%m8 zJEuuWp0s>}7NQULI5zQVJx?F&Hc-F5&?&O?<&&XqHs60of9}iGtT%&307gK$zXPbU zX}ovXplqG%;W|bxEv((Y&a2w>&0yyMia3oo4;zGjM%gs{2mg(6fhSWF)(dG9J%8*h zVh+6d_)I$u?qFnzZpo8{S1-8REVXGx?>}Cd<pv)vQ=Y}lmmpCrXPriTer*Op(s2$+ zcdV{XUeZdU;1`g8#-XWw7R)Nxc-7e`MtlUS(rwiUAvsQIfUNV#kv60!i>fn6U5sMT zBT(Fpiw^bLon@H)Wek9_E>gz$gVJ!961IrUBO>dTtGdRjTv^njIjVmYqb$N^rOJ4` zipHYC=BP4JjHL*aUE?CW9-ry9s)ZOFEMx5}V~kUxQYedm3&@j3jp?amr+1T4A~)oO zv5`GS`hzRU$M@NCmwX9%eM3~K_qu	y0Wn_G>Ke0peajvv-YUMeIWwBIh*`21u1 z_x|q(>VIg2x)){ZFe>+hb~Gf@5`g75#;@};;XpusW869q(+Y_G%M9mRGe?se&7l-q z2~;H+n~Md1-FWkEO2G50;+49<^m8|g&=;(9Umcd}+sBXG9ba|lopZCh^62Y~z(21R zVV$)|=<OZene$z7=DZW(grt}X`AsU-Prtkr-BDp4(vfDvYNYD8VgqK2iY%fdBNpZK z$&Cq}$S0*CNY{wrkN+J@#5u{_;MQu3w`1*{F|_Z0@D{~UyXJ0sV@ILWZDg!c)3i$l z;b7aigIa_Chmz)nW(RkM!otQ6r`z;6r6wwHySA{TF|@hY4W(ICI++;22yAtdv?)Q> zenj3a{qcqjPODs@oN`$7yH{=4SL1<fOX?;^fga*l?1J9@BK_4;HJ0o~3lh}-oGKbe z&Z7l?jn?2`$~*<ezEA><S_8hSF$qut-A_$L>@FDbEeMOntpUAi%qs1vGyRZHJXy8s zEU{TM3Un4{xk!ep_{~_R#*^);&So}yMS&;8Sr;TjmHnnH?c&G+RcEW4<)Xmz;utr{ zP*uNaOS^b-cokVORxk>*7RSIP7mhq+0Rw7(%tGy{i$_+-!R}xvs;5M4C^c80pv<?x zC^mTwh*e|0(VlYpElN}8Uc(D0fz}2KuDgTRQBVSX3)Ue}(B%sy&<m`qrs5bXf!TKS z#Oy0A0xAiguHz+0ksPSImno9=CKEh`dS^wA4${+canrQg_^gr9uHEpah{JO6Y2o;P z{3r68K-<8zbp3`8QaB!OZem{X_~0jUZJ=Y|+I{_ob}1B(Hy3fXczpE}86Rj7xR#+G z^<Ij>Q^ZXyD;_6)B4Y!c1J@qtM}3r%<}KnPb`_6Ld?MEbo(^1ls6X5#<;o+^O-wBw zhh-HYN1uIJJ`j52H@#XB+dV0>_C#ZU4a=qG-A!|@Q_E_>ug>Wj={DF%!>**r#E#z{ z&+eHE`UYIo)z)pWmCn1Ig5Z>va(kX)r(v1b{KuDN_0Ss`dQ6d&-6^W)h+<iuCZoWY z<>R3@vh=t_Qg~9j&JmrmJOfAHe_7THjo1aYpWZv@eRo1A{!ZE4`qI6)SR1#0amhyK zjdM#I3r_UT-6_rqLQnq)8&gknwka*)z?Xd8TPy|@!nM0Syuo+Rn;xv%7Zs-BFloEJ z*8b*<aJ~U2@Ne<Yi=DmSgVVOr6imW-NI5$~ivD%P#5*(K2ggP8+`kyS%kIoPaeZ#U zxliQpZtR~CJCpX+20NzzjsE|CgjlbJH89BdmA%O>*b}w(!kOp%80W{zzC3;V&;uF2 zFA4Ybt_O1UXI=NR#w4^lo;^`sh1#k^SAOcVw`%&e!=mt$f~|dhKkF-2NAZaV6LGlH zTXp-x3w|Oeh2ijwpY_jm`cHeuPkhJE^^L^D&A4u7%N>Nz`mr<=H`UR9CpMd3SbEW~ zn0N%gE-JFwnp=TK$&t3!z6^$BQG2Dp_V~tGzNGb});{HH9(S_zjx}dMrB(0P?R!Z$ z9CcO0+(pi{uM8zD28XX!U30N@?JVO<rBIivY{sj;vZ!ry&hSR<gTny?H>Fl2V~y&i z*V<R*OO8JQ9uUO*dIGk8@89A-AreLJ8XbBnkN}t;Wd8OqCCZU4&HsxUZ~Zj2<mEru z&Oa$0(?~G-cD~>rxBfpTrY5BUo)?5M?+-CA$R-+HKIi9J(c(e3I8`1RQv5@9)$4`9 z`)B?7a_TrPp+wvuXLn)o?$*9?h@zX9hpxWw5s(vxhu^ivxSN%KhcYfHK8IE*3D!f> zi8#82+;9-ImSlaL;|RVKX8q0{iXeGHZic_Lj}Id3x`{Y-h^*)L*Pc~N{?+CPo<DWd z@j1lx<2{gi>T_glzy85TA15=;_|bILgRG9={r6=>5YPJ2G`Acts-Ye{hK$G6gTf)B zVAe7Kt*LK%);g$vp`Ngf`ddb!El=%DPknWLchVgX`iu81G%XSM;OaxK>Z{@DjuUcK zQ{LPG5@ObpqkA7B3q|UEi0s<}?~sKl!<F`zUk=ULAPa4WD}&i$GP1C9xDpAXAz4t% zz9~B_VfcxNeX-Znn2n--w}W-|;nuzbhr?R6PbcEcq3hj$X<@j|=_FhUI`^ezdeINn z^|;K9x+Gi~#p(MC5&Am8gPsCicXGzm?DxzC<UaAB_d|srQZdG>a-$*#TL$4BWvCo` zKblGrbWot84;4kIs3YiKm+DZq<qO}>J@2oTZSW6>zXpf{WKu!CrCe*DtW~-P{lYeu zfk}V>!M+@S?UUAfh5tx-g}!Lrz;^WNvkLU3gq+^;Bf8Vu2!>!7$ur;5JoUCrR*0j3 za(P9qv<&%YtUmn`0xMWUp}vTC8>GElZ0kzI#iuJ;UV%U9!?z6}SD*FQIwe5gxO@`z zS@}GO@2B!t;Z~O5qn8@B%HG(2bcB9dvrr!bmv8NVi-E5FGrtMLmy&HA2m4;Wm<tG9 zJ<-^Us;F$6T0gAqNc?g(fOzirmVJ^X1@4mX+NSQXrAv|>ZBtQ@*|J$XF}Zi{aM*qe z6<j&`M1-DSJYO=d#_3*4`+=weZ~PbRY?24vyrG{NSk*sOmLY-QY3=jBF5NeTr*Fh~ zl$30L<T?9c2vL)*eY9(dI71e-`E7q~jT;>5Cx|Omnd2UG6=?7C1t;Q=!kI_${7Nbp z{iK~;-t_A~dwaP&G%)Cc2Yssba1t&i(MlA~Ey~6mfiMg=2vchcnURfS4_f;g*cc2O z6DbB^N;l02ws$StLT3jrb%m7FGi-|^+wz!yZ84Y-hNtort-5}1D%Sx0p?$X$*w@eL zo!pV;L6>Q&)Jw#TKk%UE-Y?z;on6LIkLY@)Z)oq|-eBHNVqtY|&T!MjY6U_9fCVfi z1|f!)2CA@<`IOrTA+$FTbgFzI9@>81Qd8|ah@EJ0@C<N(6oYk&m*FtnyZPrCU;e6p z=~C>>PMRbd11#iIViDYE6u<>k<x}nq@2{2r_$u2x)hZF!c%rp0sLBHw)-gXHh?u|J zO`(^x_T@eGpudH#CU1qI3&EI89T%tuU`gir+~#HchP%+efZKp|lBCJcH*o3v*MDh{ zre#^VJwFn0WhbFiB*>Ybl}nKODhzjjON9<xQWX^Wxd?nD1==4M{4h$2_!Q6bg^m~f z`YEk_I#9d1qHx#qe$q}#crP}soL+s^FM)`W{2{Se2T2x^-)k2J*#dpuc_DjKm}7$U zO+ggOMiiCsab$fceQErZh;y$DgHjOHHy%iFEq1DMoNaW5jK=M3qZeeftALDuICkQa z#*Xn0N?oF!h``@ny$Tsq*`9m~Py3nfj(&UvO_1kC7TdT0B|Napt$k_|=OItn#d58C zTu|#6V|D{KA>-^7$T;N&8ENdWEOtg@2pP|guu~;=>pewK4rRdhL{o+z(Vm9)c?2lo zzjA&IbP0HMH#}>@<AEsLNq{1MCRrFTdB#s#SW5C)3RH_r(TmviUMc!>sAj;V=!NWB zOp4C7GbE*uY&%0pimzB!7#_(@bl$8viI3T}6K{&vIm;vX#XTwR?Ddm@du*h!{Zv`q zIJUd1mM$0D-Sx1pqaCDo-jia=hShNsfA0}Y#3^wTZ?bFJ?Skm3U9Ek8>9-5q*mY?W zStk^_PA4{z<)Ipx`31<)zu+hRrKana!%X)^80q`e1{FFJ^iE7Yhe|F~4(pwG`B4E+ zm7o=yge#JActVPH{9F7d#2W4>|8w~UB7iMtt^KW;^Zz<xIw@y~`>!p*U3X^c3s3*O zt^D(1rd-HGufyMM_<ve|oPPjqm(EiI2ea^DA##qdPix=mQ&@ZN=R{n%WvgSW2i+B- zQ|0|=@AG~RNAbggB5;u;T=kX-wPiwXnf7g(bhb=3TPDvf)80)JWy=)0WkPM55JH<K zr!A8ML<OO>V5nDD6oq?dUSLBy70dfyUhpHlY4IrWC|^i~y8gs}*1mQq8+r+)3Qq-e zYaMs;M?aAe-4IK{6-~74l9RX4m6f-6dsN<n6jUC1xNAH|D)Us`N|h(n9e<2s+<E(i zN-L8VD-&T+73QdlQH*C1D2K){kNOdrD8_Px&2p7J)M1xVo(d!b=CVxET_eqZi~od} z+AWB=dIBavj<*1RvHwz{?Nb4<|FR_-4}kGn-@l9f)8eB;%(r-ne_;Hd6<sn;z{)Jx z+nd^Y7Q{j+A@XebLjSXVrt9*3*7+XkkaK=4qb=@c%+amD=58=qq40PQy50R^m^G9= zNM$jbp$=BEDddK)8I&<Wgb3Tubi6z!ZCSp+c;8}v6G|t4nnGOI#(+)Qw=jD@AzZ4p zFI-kgU^|qOaCRrK<1TH*H9jZ=gGe0ggq)E+l-BIGmVX9^T;IKKQHJ84-HJQD6<59~ z<Qh9}_g149FOL;K;paE`A6={|<Q&`BzGZaS@}zHh>X3KGKvc6a*?P#;zPzf)&SyO_ z%*iJ-*9Cch6Zg%gk)yj@mqWhCnovJUVe>a)uQh=%S^fTe&3I9dHKu-Yi7m?aT4M;4 zUBCic?z3jqPo@GL7mrE1X)G)iMxXSqO`Pn3_T{x65d&=nd;@fa)Jnt=3tRi58v3b? z&VCE3m2s4Hh`?2BRJ8W-LKhveR`+k)+|-V1K$J{>3o3?CQAS7t)!||F1k)(SD~Qi= zLX2V_!cIWRYgdN?-Zp{axU_00U(`>naZ$h{?v@RT<(r~^J{5H;5$F05;%?`Er*~tE zOx+#|!v`}i`sF0jtmAVtgyHn|qj=ksP44XHsd)3d;*A8DE`S2W6JphqaAIEsbACSf z;M>}N*R)<Ud7-pktmroCxP}5gOwlRjqn<7<C$@r8`K+J%^pgi&(~mhF!YhK|$7>Vt zS{qSHl}qq!=|>Y9X&%USD4;h)Xv40E!iymC=6EIdRp&+L^3W{x=n{iSsO$L(eV5!+ zOU!nw?Wm8C$UV4nbk|WQukr<#{!Y(}`Jq{Vt$hlwbK1v#e{&^lf8kMFzw<8Dc;468 zVk;$l*pZ5Uv?D~veiwtoUWvk4>8IW)Z78?)>1}wj_i&5{{W-MfXe??;Q2BypBVJbp z-}xO`*4o;a1?fLP#T?>r+z??BfYLviNA`Y(zZCV?M%$K$dO*btDvi+YUxvyt2#kS$ z4#Dal5clj<z|W*ZV2Dbi&qD<IJp>Sh0Pi3`JamlYp&|esC&UwbKi6YVX1vE@#ytQl zXpd9`_B~3dX!AK$UUgk9C(gJ3-m`vZu`C`nY(M&xf+D{2#KmBB@BoS19L9n91>8<r zHMhHyyNv(?BLL6*rPyI^bO1oePyL&J2YAHHyM9f?X;_^t50xu5l{1I!z^H+y|L@0n zjJVKl049GaZkU&O6)4D0y<@b483??~mp6s+V2*(^M=El;3}qGYkD)$S1Ns3>Kc0BA zuk8Ap$!X{Eh0kmyL>-^{mWQejSN?ov=oX3FWLCgX5WNG8B8_8HZ~c5`Xz8|pkp%My zAd5}CX(Yu|15T0R3+1cop<M~}`KoO`s3mYZ-N`S<#iKklTo~>R9b2?nLTlgtqj(uN zNXM0gBc@;Q%W3kUmqQ-julrvXY<#_Jf$P_oSHvTxQQonXFP9PT5Pw)|Gy~#@Y6M`J zd527{cgz{J%#0(StvAY<vCNErCEtgB?(?!j7M8F3^?OrQ1Pb0=^dtP9TDq&?-333x zk<`*X1*f2&-h^dEGjK)}-nCFr@ebGv{bHBNdhQ`=Nw|q+@<TQKyRCf{EMQ1Y|2Ifj z1M1cEZ?^VnR8UTdGrBzJ`v>TU?#aFBN23?@cNgr2;$;wQo39#+!tFYL5c2MtxvhQn zYe2IaHM6xZjRibaqsBwR8Zf3t&2H@zVqNtTXH->s(8=sgecF$ffDUGcqo1qz!B-z9 z;m~!_jVHyEO_x-5r4-mhb*Df|0eiiZH$`@Hoi9a}z1|=$70>2Pm;<`}RuFGu-Uzfl z&8}Snr5@`;SI2=bx`#r4q3gFsQ&8X(M3{<V#`D=k%8rwGi%qcd_N44&2Qm_rTHOqE zTvv|G>o7-k<=Dgwqp7>N8Ti-0du(FH3=EvkW(UT8>1Q)8dQ4M!I6E-+ZO^hE=;+zz zt`60F#4w*UG_lH*@re88&o)aIRC3LqJ%&!6hOde=ACqtiQVv&tNYVCxi~odpoioZ` zJ>P&2u-#ePeRD?hUq?(M<&3wd!+z}fi?+-f=#+f<k2m(uh}Tb=g(KXa|Ks-m2Srso zpp(%4%)rJhe8xeJ<^!GKG<jzE5OnRU_0DQ_eCI)zf%;0mBwStQML#Ww@IXCi9MpwD zeWQdZJY2b=-}i!lAMN=kj}rIt(1|T$&QIgfO`|l_dG@~UuQlHax40F~vwag&t+a)) z-^A$GZpAsj1%1C24)qhNu+`R2Ov#o}88SYGNLaCeu67BR)ViKe#CYQcKa9G(#iMi~ z+2c@g$r6(7XWtW@n3G9ve+FN!T7_6xMic}4_DOBJTjVc)h(T(Zp=cRuoFbFJeg;T@ zxGFtKk-0~)Sg9gHJ!la`!;*k^2br($8Xf(&_)mzb@`9KPCt#xF_>-Xfzm#YL5ihg9 zw#=R1hZ#Db{0}$v&xolPPk}+6T7RSeKOw$VPcX7gcq)*60#*dkF&pS-pAMxZ#tQh( z-M`YuUl>4tlnm`~*_jXGEM@$K#B&fIqip>XhLp88esso<prXDI3$ZATn8~IdsN21` zMYX2iw-}^&(Dm*o#BYOg=O)mEO^Jp!DG?g6MTyq7C=q897zi0deJ_vAobk&M-TW^s zM`o)Mv=(^IreV#SGz|TdhK+4`e!7I#)MBTso1O%J&CS2h&|7S*m!n&j&F<Q_*qz80 z^;6oSeicuJxNM+gC1sO^g;v`6_$RYjn2|H`X7XGiJ!UdW>UO+uA)|gWj?KaZdaOMO zlWlD3mD+1P7};%ezxWyvR-6^~y%7GCV*fS8*hM3GzA(E$$Ga80)KOWWLle!JR4gPy z%=XlOS*PiBXg6Miu5U>mbQZQ>|M|Hji9g2MdP{-|qR&YVyP(TMuhAMQ31)*16jq~8 zoeb^iLB)ZImkUJvi{I^)E0n9JNyi7B=TCEnJ!g9Ebalww?_1y-Q_OmX9=Y`K+~>?- zYI#{p0&r=A!%(v5vH2RcTQ7Nl6DqM#$sDVH!bU}QwGK*tqvU?@`PA73m3F9f_<U-3 z)cpu^00DnDyR`D8ZJHN*Y*oF1*k!2|`ty<aUnMGn%{{}mzgT9E(rJ94=><!XsNj8_ z4Xqwd9<Y4cHoZerUev6S!w~i#kI_RuT^7j$D-kE=^C!$2cd^WH$LhSGj8r~}^QK&X zo-JgK=jol-bu)_T9<w^-xmZei)R8-u`Fe7K{m)xPKXsnOi-a%Ejx>8lwOhtN_||<Y za&hK`;i~oLT#Tk~mx?vDV(FxJ%S4*S*!bwZ3xn52p7=8e<gVDR-rA}(*+Ii$?F0R} zxPh_tsie^lS*fyb^SRQzz1w^z#tt-pl3DLgMarySHfrY=Ko4$j(iX#CKWcRR3yPa- zFzI#2mEB`e0R~6<5jPnpMGwBJmX?zF&RZ29=-2&$a4}VXV{h&p-u&tK=f-Q*d5Z<+ z1!ud3e#>crYx`qkN1E-2!>oC!Xh;0_XE2)-i=B!Bi@S|Kli%mb+xZND`@{2p%GRmA z3lukbt$LZ4jp3o|;U$fPAEFyHftFh~#w6C@;nCe2o>tB2U6T&kiYGV7#Q8+4)rfT+ zBkID&LII}t6iTidcjI1TuDxx;P+85}Cu?`yB4t(NRevA@94Rxi(`7y5RyU_PDs_uq z-*B^aU&(mV{G&rJ_G|Vvo_3voZ^9V??-h=^<=J0oP=Np_2=EvJ^g@8hV4ilTufiD< ze+XxIPe28dXFn($9m#>fN)Xr^0;fP=1_U02qE$oD+&UDFcJb!nljdqziXi=z`^w_? zW98ySBk~WLe@Hih9E#CNzOi4g516M|b3QtAVK`q(Btxv=P9zLeCh3@ek8ogQK|T`2 z+>INf3~G^_%tv@JBA^s7K&r@}z11MeQ~?awBRHfthmN_$A|487P*g2rzqY>Rs25<0 z0@>Jr+YN$DF5o{$e3W2kV;gwT{GcCjk+fto!iNz9b4cMPBm5Xi5JxIAb4z*fLx_|F zeL>MHq8VbnJnaKl3h$|ZXjBw$I6Z$|@17O=^(3?*E!U159f{Xr{Q2+Mo#u>izy4gE zx4mqvGVD5f@uSkwaMg;}H}rVgp=Pfw)Rv$3a$iwL=<u_(`^zMC6ruX!TYr~^$#Z4s z=-TUp*Y4vcuSJ{noI!LATEu<^F!fj6D5+t)3nH&$8&Y5lL5rn-?_)Pm##D`aGv7F` z$G{jO7UAXC`V1ID+9Lc7w!RR?5V8n=jjfM^F(fUjE3n6pM(Q^M+S(7}ndB(<5GBcv z=4)3&#A(fOKQ0+d2wCV<VC!pPC@~A2a_sT<scmuOWWOT<U;*PDz@`0=dzsAd9%3l@ zk;S^|BJN6zyJl>EOg(n5^on^+8H^!pL3xcGBBqA%7nBT~nPFJg#gQHSjx7Bspfz9R zm;6|=a-XMQ08)Sjw0Bn>89Cz7_O5!&!p8)R+~t70PI9>2C@NaTZg4%lAzHwgsU#tN zW^%RcvFoW51v`~68xCtPF2YmzUxKWpsGj2z(k@OceHWI0O3n3UeU}R9b2V1kv1Pwk zk+B1!Z)UIc5{G*SXQo{~JXA4PEEl(7$dh^y!XaNbGF}d636eLIL-#lKXRuz_!BZYL z^tZXr3N=3;z)TLPg%DB&QX=gw0W?fZYUx=DX~9Tacg9v4OUK2Gm-YzO4yVP8cd~0D z2T{6M7Q*v?rwnf5MWm{qv+?S>{x%REb0To)fxa1K_4}8%dZBkpm)x6z?5B3Q&Yo%t z@?_WMG2?OUK=B;J1$H0-{uKH-b|7IXN+COtnr`VSb|8~LmmDZi<i?k`itIowUmm5g z*UbVyvA-C>E%4K6X*Y`Uejb!Wtl2<z&qjOWs&;~Z8P-p;=4AJP&-fAHj~450*k7Xx zH%7-_O$or(bza0(Z%{-(jC?xq`@|o6zXW{U`=ugyS74_7p#Ytyr|k_$J^Y$gJ8c*y z{}%rVu`$8TUHYmo_hag<!m#|}e<AU(X6UM5`pB2RGK`$QIt73Ew;TD-h=%gm;(*f6 zf203@9~2ihwqJ4h5&d^ZdS6l3jG)qT!XF8@4&yU9w+nK_3Z4+(``e*2;%Z8D1~Hd( zoxNJ`Y(J?S+?hD~f~f9&@}$~By@|V{7rs3a-23=ar``>?p{quCul8*CF#QH4v|)+m zT^7$Y95n3K=<W`lomZP(EG<#G=kMS|!I~F;h35=!L$eYayi6((g{7sX!9}GD9dA7C zU0nl;oI}A*Y3CXr9Ua$?Hg%lcjO-$-Q2nRZaaOLWwGB9Ze3??nHGIM?)LGxvfdLE6 zL9{NM+dEsj|C{E>gA@jx*Q%E6-E=if-`D=?tc4qQ!NtiJC~S@-M(CcAttEpOMFR$Z zFKKE>haNdPYB9oDkox&7(M(c@X(Wz$l#-!R8CC$hP3E3_VTBz|j@n}}TU2m`7dHeH zT>=sMQ;si!3-p=*T1chKXj$7gvV0Se6Vg-gy-2cy&my3xAUD={=qf@Dtt7pmxHe;P zN)4?cEyCMaLteWCTIf%~mwS^%ISMF$-Dew{RsAc~#0eQGT*6Ud1t*(;-Vn{#-_s;9 z688|NEMs_$YsnK$z}FDX%I`6f7{Pmp)0XdeM(W6!O+agi=F{)c28rB5T$Mrf7FR@i zz^FCX(HG`Z(=$-(6M;+1sn?9#dXobvK6*>9Z~>yUoU6S@YtiJ6Br`=hWeiDw(Xuy` zQHhu@6J7Tkww%4+;d{BH>M;fVmgS=J%LU1=H=_0OUX&`&-mvH9KpN7h;hoi9p^Wd} z;Lrx(_+FMukM=c$Bb6K|DIrMXnyLf6RRu#-fl(^ipHUsi5U*aedK>l%zN$Ag8|2R* z1~MY57Y!)-ArfC^h<CrqGh=XnrLKi5?t$UOXPoqeo->KHkJq;|BZ1lc#@KZ(CK4#i zZ@ja<gQ*I1VU1(fh0%hb8Nf-J#|mu@lHa(qzLOaajO0hhu5&WIfxP^|FS^xnrSblp z1pS}SKXIE1+(GQ#eEz97-zgTsi9S?$Q)auN6j~hoNb<xIO*hAnTadDU%{KVZ8bAm3 zFeplbn+0$Zv_NgrpjiMn<{-G6L^OwSV~&EyNS5X>PRt=tkmPLw<Hv~qWyJ2*-FIC6 z20sC|IYofo?O{o@Dv<DVk^pd$RA*{;b65l|2IOGFZx0KikAps>BD1_5hWnY9fiy*C z7x+<N;*R8K&2}alm?U+7=R<kj)`S6k;8CC&J90Zph{*>~ux>F?B1{n=6YF++U7UFm z5X)Bv=SdrPqWGB?0q^|0xZLfALQDlf9-DVFN{V?5u*AY{MTs*H0NhyP+fmz?QU7)1 z?)qNlPGAW;5)&oHl!g+z;C$m-xx9t~`nPfg2;0!u?i|N!nMzN8SFT-2SXldF{m4JQ zfs^?yF^m#AX<5Rb!&S>i!(ViD?c$~r==y7IS4f#=ZaaPs<^9Vf0kKBh&gOZ1ECHY* zzbv+ai|Gp}uxAn2jo}2piZDtaDHXl4jTt&yU$t_i^v5~L*{S#uU^`73tq1G~(E&<H z?PjVwX`HnhU?RzX$~^q`%r1g(2sdyTJ7``V<IhXr2yp|9NGG>OYTumMO*jt5{pBe% zq-oi10vC7$I7Yex><bYJkqy}iUIqB_HNaz9W-ujgV7zV@W)Clk7@q4BVr#r25?ANb zWY=h?n$|rreMyJ*_PX;(!S(Nv%!jS3vzEm^cQsJ^9x>m4X=-Tkg%;WnCae3wAYbQ! ztaZ^xZ|g1=`#jP>i9X_br)i+Y7hc%0v-_7v-<}l;c4~gkj=E=~aV{;e&|!yA_)>_k z#H4izm)!7o?{nRXot4g)#tuYiYvHD>OCq}k$|q}jDj#bk^H>kjjP1LpIx8(Mjqybr zX(eAP)Y>V3Byh{d2C3WaJPZc=p$}-`Casa&ax*inJ(U?6IH5-ovxw6+NQ3U$TM0`u zUwbN3G;k9(#;tJlVJ#fpn$9ifKiS(;nX7@?`zYd_o0SdSpd0wT#r!+km->5_MExYC zAGGk5U|1*LN~nv}(9+Vix4$$-h*Z+j(zjPon;Ry7<UD@-q<Gq<v86Eloc)KaHV*cH z=AQFmg?u}O0+u6-eeP)_^I0F~m@O*g%kgmuY|fvI>Cx=V(q?Z@eDNfQr4ODjOy`-$ zX#vZ+o{*;+rvjKV@n4D_Pag879#p#$|0VwM<YjNFy4n?;wd%({Z!}OMkKRbGTXlpa zYM_LFj^{yga7V~X4U}}fu10sNR<}=QrE6eeyLDrv{lvrVqH%94)~+>OY)}uq^jfXQ zCg*hZd`|rMuwLcBieCwjztn8tc#6XiVEetm3q2I^8!_2X=_xX{l(KZSx7t6D_7X*P zD%_v$Qqv98`caK?@U!Pe)+$iU3L9pH5$ocA3a(MVb=Uk}Ra<0EV(I>GEi*$Q54fL5 zr~0OC@3d{rPz#>)*rpPGjfn5WEtHk!d`bEJfj`P56!(J?8>o!&Wb7?vOyiwk{sCgu zeIIdrrKLI3QPr5`x-^<|T_8iax=-81pckhsSeo;#k9r4LSIfeOA$OYpUi>(37mBEV zWjr&pZ6$SZrcJo*e24*nCs~<P7f*d8{<ib64%O@O{O{5=W}dB;&{=YI>B!|w?V0VW z)04T6ZL4IyRI72p#bcE36}#@W0mV)*<d;W$e-F_5QY{fEFZtKYXT#bFCwEx2WzlB= z7e-Jj)wDVmv7^?;A^h<dnQROTsZW@Hv=K>*RQFO{)1av=AwQ-hMjm4MbQor@4JZ+! z3%UmZL{_Xc4R!qeNE?5D2&O*Py1K0|<0YztFw7HKhto^XJvX%$5L)`nM$S-Wk@0Ay zqNAPe%t?5EyN$qH_wzO754MhV9P%Z7>*3>#y$q=}Txo-sm7NkJ8)c<CDAQSg$ohbj zo(YYNP8}LygoWdS>v76{3}3u<EbR%yB>=tykJo|^Hgk9zbPZB}$DiovYj|B!kuy7M zCTZ;avio9hB(-!!WqLM`pHYrIjGUQi3d;IJ8;wTFpqFg5N*b<lH5S3=mxuk=_sk3j zSz^xU#e{d*4mGXRmaJx9ZEt;lqOlrHzhm^=p~%MI%8X!f<OzMrjCHBU>rzkFr5>$I z{kA@xxjvn}ezedy^0{#&^!dy<(<(a5Dq1Cbefq(=i#}`M7;E4FYsIZ`^!$kT%h}^; z1v*crBCY1~`7NtDhAlJrMl7`_0hQl|U}-~F+TZI};~&CT-{COlUFkr7pMLE~&P7)% zH$K-Ps&g*`HFaZTk-u;KTc2ngGP|aVbCmkc9~Jdhj^@0t6gArSEfxu{t*RNNI{Gux z0#V3nZF!f$He3fk1s{s6Xs%)mQFTVCi~fx9KoobiwuX!3Y@ZPiB`gF9i$faJRF%D} z%Arx6MyV?PjP^j3Q}yD1$+y}~IE+jw<6EB*FC{D-nHPtQd|P#Ll$z_0(}2xSqd=4B z+S%JWDV?Nt(UKm2pX&Pz*2MzePKoGe7mA0o^bNZChad6}wOUt%*}XIC>d_=;wQ(2j zu=ckaFj#O(hCX<@dRB8%aMR%6uohu2dOLUn;Mly|X7_Vm2o@iINF-@M{TtMq{b%YB z40Poi$09gr`-zH%uV&P|GS%I*p$~%bOPM|{ssFacx-s+VXC@Vyuaw*BN-v=Vjc9vO zf+n-Q^>fa<5x%Vy-lrU&>|vDzf8}8zb#kQEW)4gy0US#QC5F}xI<NPkH{NQE1tD9; z`|c8Pf~jy>nQDuF<NnDJLlSd;)(~;7#AV=FrcAF=wi9i8STyF>u9%!4=SWqf$eQ+{ z<=b;rZPT}p8D>6jR2OViFK_tTIzE^@(CW!J5V~<q>|TJDm)N~3vfe^^Qj3mcMN$hD z7-D{m9hFQTh;>JWATVD&zAsoH_7oP(cV|CD$U9VcyXg*pPUWb>nJzyluk-52SB;s4 zI;C*q;DR?W3{8^qGOv~_Zp?hCQ)(wxLyn6Aub_IcuSv;mOtKhzAny(xi3!U*iiMTf zw>q*#6nGKU^Zd8B<hCf#2UY3ko-2tOvrO_W@Q<zW*Zi0&+Go)&ViZ)MwRY7M)l;BG zOzr~<R;tc_b~XFyIJc)hpuTW&&yd71Ea!a-JVrfroQbL5`YbTK8nmmf#>{8hQ%=k8 zll$fi^s2}=o8$c}8(<x!$y}mFfdzbPR~=EBZ@)K4YAutARb*0gq<;@!sevqTj}522 z_>gM!GTB0SIH=$O4AWo7Y@Xg<{{|6+AC|s;OI?D0B1xN542D@}Ooez@ZafUj3*cIz zCxHW>GAr9J;E_>Y0$@sj2hqE@z{dUX?6Q8?jU0WdC|3m0seC-UhulCKF=)6aJyMv$ z9~(71cXE1JB{bydD?mDr$R3{iIksVV?&9?FfzS{Ia4yBplbBlUIbcRM5N%D#J7-yK z7BG8%LEPZmvy`tqgnCcY>E%PA;E`8fq~|?}Lp|gQQn$f_{$r}4pyJV4>3I*!2l|Pz zGQ-Do!amtaV|ZzV@wEQ(UqV5pqZ87>9>nIJWBF&krwo*Po}CiE0DP0K@E{fydj?`l z!f!|!7}-gi^R}ajpZe!cPKi5SXfrTMly)nBOc9J#8J=^W4m?0oRo@#~v9rATyy>ge z)&3V3(^Ka<V7TbgjSXf&X!Vhl$D?;{4%s@7<>M`Ty?@7_@yq%(CpYa^*V2CV`})fA z_o-EPPxx{f&%SzYK<h6uu+MpJV9(kKE|Eq|qj{mToI-Lljo!`T1l>q^=Fwa%h0XbY zv)I$v$dlN2+c$QzxWQRcqv-|@ix=!78Jku&1^M&23eb4ap+5&L2;7$0McB7FXraWE zJr@l4>(%XsJJ7nI8jy}9nx)1JbD%we<b0jjbxF{Rlx=PWDCbw-8RkJF0aSi<>@fdd z&F2Gn{^dcSuC0e`%&`KujKrD006VdN0yl?Y&ZZmEXk!3_UAmRaLC^saBq8k5o#CD6 zaG)C-9+SI`paiOr49sUa2(n-V(47xu%baL+@B`^$ZN*Jvo#%9&=HQ*+0*U<~*$4-Q z8$^++%_M<~qyY0qPL>=f$)0nEi=_xEkyOna*|U5lkyK6KzyucB9a3NgsmsKFn9p!0 zS`M@)*_jz{XX%2Tq*OEG9V|7_oFu@W7;GEJA}yJY@L~i&8Y$d#WH;*o$W5AMPvxTm z8jvcm6DH9+48_ngAv+9V=<S-HbHZ0by!Ca33J0+Pw>m%Pw2Qn8nUL-c@t&&XZw%TG zJ||_Gxb49Rg0X)!ix0NzRv*cK+k9gu^C*DCmfdQQK=XhOBquYs?a+ZPvYhN3ESc== z=@n=s@2oWduaP(bf08RUGZw*B%Nybih+s40W;keqXa#_uWMx(z@6SyWMehb0NSOR2 z5&;`&TDFZQguV{&{biWU<{%k9;4H~Aznyd#kOM3LE`UsuH1VG7^f_C9JHPk~EldCY zOuh22;f3Ax9(3s@Si4f5$hAaVHCJojN1vlHN!1*XFrX5Xq{9sfl0IBwHfQ{B^^I2o z=L+-tov#mm_%fDkT3+?T|F@=A$=e>tuYcq;wbElC!6mn;brLdN^X>XFRtbUiy1fJE zYT3e^cVLjOP!cYE>DC^96~tf*gg$pLCW)e1-tS!V=KYtkUYnzMM-@%=x4O?0pmswo zxx~tRJ)l_oA2hWpWnb{axujnXoJ*7wg)50E?02cJXT!9txWrVEY}oF!rdF#>7#V^+ z*o0|8u(z8qTU<Z7c&AjR!}j>d(&Wdi08u#QL(?vuKQ7$~4OVM^UeFUa6^`+se^sfg zusC)k4KdnhAquUh`=hEn&e#$@;&A&)ZGw=<IHXfu)!9+1mOpACu#r+*<?ybm*dK-S zX!oEawZH>6jW|g0+n9SzU^@WwZJ1s80^9$s{9h>Pf2;btxc_UE2f?}jhrRcJilW=L zg~>s37D<AE3?iX_k<^kzB@0Lf0ZEc$laZVRL<E!^6c7b$a*jfaNRlWt&?L#w<d*oV z;N1T|_ulV2@4WNweeb+6&KT5Md(S!7s;ce^d-vW|)G7TH0Cx<P*(l2WQ~lo!y2t~G zKK&OY`riTLSFi1ExjvUs2$eA*?vLOK?-<bqPJ^%JdiE!O$g>20{vj3xA-<{rsyB!2 zq2{Y8Qx#VBa`3&6E$6eJJ)T@4rHL+{#><zz1ysW!#aGfa?EQsrfseK}(E&YgO?!XI zTi~OuhjEZ%YLJ9nkPH_j^nwh66te>4$*prTfEMW9YuINnp1YH=w8t^kz{)?hfU^W7 zfPCS3V-lQy7m-nrVk#gqgXv9;B(32h{>>@CCANI=jWA#JbGkwYaK@D990S44DQCdp z-(<z1HH4C>T^0dncR!ik;OQ7y{c)DhH)cGtVw5+6mG(<z+Gp@SbMWx9{N>(V<0s$9 zTX{qW_m<BZ=z%VJlU0wq{NZ#7e*Ri`e9>D+m9yr5D%9`Sljvc){Inlu&ir03R{1)1 zHxo}c9a`TC2p8*+#uN(@7{e1fK93zf(y*uNFo27Cl#Tg>x5rvPcFB``8<)D1B~TSG zy49?2Kgm@vR_8(_+~<n$Y)m&_ee;(GZo_BBp~!tPqH0)`p&_(j%%GRMDjB$rtKR7t z=}b3&x0A2QpA1l1q%}+tu<RI#MZ46$Ah0E_Bd(*WW9O;z<OV<?o0%73Q<Oe)g=6DY zU(8o8uUYZ!hHJtrje%>X5SKVe){z<qI|e(3Iz~npD<|pM^Utv+Wv68`<uE<#7;!^d z48mVG(j%@mG@EGKuWNsc`(~3qGJ^PN*Iqb(cBrIbKS`N3b#+QEU&+GG!p_jGw7Tup z2CF2{4IYV!E)E24IeU2*FLkg0ym1ug$AK8$s#Ch-V#kmUI>Qt$;O@}YC{R8&o)6qH zXzXv$8ycqQ**k<516z0qgpqv~EgKsz`XV6TJ{w(}aWa_mf-F|w2wo`<2=?a8S7+LP z(-2Ce2iDH8J?WLXv-|M9qqsAznBt#IYL0M^a^Qo4REzQQRh6udSVeS<xHvkf>Df<; z6pTsaj+Ko`WCLcr88oGUsWXRL!YiFXqYfH9dwu(LW6<aT#yAIzXaL3Kpx6(TD1pWo zFdllKSpaVo{h)aX8Wd=<LDK@7haJg(9V4p1m)MONJjwT(8^iM{2R_G-&9{)W*0Gf_ zX7D|T(?ddiQF|k8`xEI~bWebj?-;l%*KvPKy_QXQ_aI_}u#w~6{W{1>e%?l4?Vrqv zkS!&Zru8A(eX=CmkDH?#47B`!MAD_)Tvd+Ib}y;=akG!)4?;|+&ff#c;qJ75WYW)j zmm&iEh0&d2X`{bATQ~eK@1ecA6x7cv>x45|tjAkkLMAQ1Q)My)97Hw1!QA+(_H)#E zH;|XN%A_Aef!&a+9FxEi90#BAJ(4XYI)BEOst)2VxI~v7A%75R!dge@4qGzo=ar`j zW5toaeEvvq(rluE349L)+|VI^t+vI6e*52et5|;kheNe_@tB08OUKAH;I_|#Fkhtt znh@XvwP-+#fRFj;0qu=BJ$q>pjHz1ZFmPdlJ>6e3vOemE5-Opu?5B%hFDSKpPZka8 zrLvLDRj&NBebN>G_}AVt^he7pHZuO)F`vg*^tSWZ6=@CoRB)98L~0^`%~u(1@p|J4 z=rrw5e6IxU<OKnwy81QefQm;{9V3ItrEAbD8Vc||ZAj{}(n$<gRp#tHwj<4Ej_BgV zNt<N0Vm*MI7uj1>@(0P+_fW@$>OdsTAW=FS9SFSyAs7J7fzTcguo*_+n&_grs#w#0 zA&(2K0n*|}dKw!)_>k>?lE~sOC25dM0!d#Np^lM|RNyG4?HJiLnqVm{YcT(~H_C8r zHl;$aN@kO#-6BG;>U2;tyo21--oID!aU4t2*x@G_X)Nepz(}J&UjZWpafx<Gf^*$B zNrFz$|B!qf4f3Hd(n!$Pz;@$7{|2@j1NuVPr^}Ll@I9){B__~+n{6)9fS!Gm>pZ?+ z(gy+h7D*p4Z{xJ&V=#|T7Hszg=u2UIY@nWXbBPM{j+;xYlEB{NDDNmc`Z1gdO?7PD z>p3wsw4c1qnc$<P#^LcXU125mPL%drE&Iv$+N!XJ?<8;h$e><Ui*heesMF$@<WAUl zeg9wZKLjr(W84yd|Csudh<`db&wa7v{ThY$UtzU6uQwVBQvX>0Cu#l`JVpCna<e4= z54k@H`EQ1uUi`?AOnS5NTC>g#K|Pq^3S22Ol#eZHjf~(Peo-BdyUbU~fg9?LnBocG zo|?p0<)~{8*8y%^;LDT%QtW~RZ@3_-b3s~Okn|TM_`K|YQ@F<ta4UY*F#^6N=^?%0 z+2aeT?S=H_g_P+pd9=?-iIR&*`wLC@g~s9{LH9-NJ&^S_PnFEdE30^&M5~R#<wEZ> zEBM}5<`>Rx<oCpR2(P_iev#c~zB(sR?`ETS)4#2FosHfw%iWGJY+<MXIgULuPFn4$ zSF>MXD0$s~$hWhYg?ZyZzuNOj&3?L}q*TF}j~tmlS2hLyZq9QV+WrWfLyfy^mzLzQ z{{{Xzh)DQP&>!mlX~04v|MaDSzXF))a^jDQ{#5_>gGlC_c;AnIO8=h$+ZC4P#<0M> zWM;^wprbR47le=11!~(jwkfSpC{4;Lc8u`iY6XIS6S%*nk;kZVRjCo5zr;wFFuxUi z3{dsS6+EEh#_2s61SjqoNyI&$Mm^v1g(=Q_l{@HsTDMl?^7ApE+hrScKIeP)f}F-Z zpJQ$9HP{M93SUI(2Spu_cZ>w$op-<b_VYt#fv#E+E)CdkyAu=zVFvmR7m<tJgE?U2 z&P61D{zW7SF3l#eeGJ}%>>wF)LEgBCRKAEz!RI7R1$Ida=p-hYuR>Yg*Rq3MHmQmc zEZ?cOC42cNE=1%-Z$AmElBxV;7tglxX?<HlqVkgr`pL>?;B7wGVPktF+&Hf?O4wHb zl#PTN*Hi{W7_6-%K3n;8ymch)b$`2zE*$fJaqp*_fbvwaY5~`-oUyuQ!K%!p;ao?L znyo4xM|XD{?J|t{s^;FA0p}%$(Y)2O=?de%o#~<!c%Mv$z_X07g7J5Tj)U)Y>M9@W z<9q&ALtxx>{GS!Z7Ln!m5_-6j<-dM)DqX<hd+)x26O5uC&OY4GUd)m)_(u9hfsn6% zfttnskn_4)b>m#y`sBh$T$k;4wO-rrndyLl8xWWSf>7sm$Li@hw=3nseir+i7-lHr z@K9oodaw-DVQ8Vy(F>>VnZeq}=kqYAM6EVSu9O!%ffUGQT>&Z-KqdQ*%az>^{Xj_g z#Vg!%JJ)DrEj({;40QE#%4QMy%M}ZM`NsR&XYO*wXi=t0Nj&>5v%ss3nDg@{Rf{RO z7yHxjp}vKff24Xxetb;z%Vi6A<y?;XM~9z{0VEXp_?o6afSA5119RW~H-*wicl$G? z`GGoBI#qmpZzRQUVRMJ>5ZAiOYQjJPsgjXmX`auMzwCW|C*Y!nW2XM&Q1L{67d-V3 zVO@j57L{%;r4vgsr|<l42Uy<jCO;6LXqxh*w&yIzI9HdiFFJ6xc~UD=!tSJPSJ6C? zObCmV%y0+{j8HF}471?jJg95h+Ypf2uqVVrSl;(6XLHNL4bfb{zOO#(kXqe8?l3b@ z!H7()3iwIS>XJtiJkY<^E3<ZgkAdJCEK{mhbwPT=^B|)NrrkWaPjkR}5LJ3QHN0+G zd`kY+@K|@6Qn%zdXv0*17<vY+x}M&se(*s%`t)P<;zo?G?<vF7c>HN|Q{};(!gfuT z^6IT}w{KmBHI=nycYYI9iwW{^W^IhTS{a|5M6x|@Q+~dS=l)pD%)2>%y=~j^PS^r5 zuo$~t>aL%sO@{Sy^KHJ?(wh=0_$(z;@VP-A5FP=-CP1|AdZ~Nj7j1HN7dM|%1|Z@D zMBIP~28c=l(HCIJ1ccInuzry?x$iqSA9Quvp|9;||82juQrJS;@x&K>@e@`my5v|0 zOVGFJ<;u40m|l0cCp;~Gp>5lRk2LgTNNylcvj6iB`z+bj;H&&4mmI+V6>!CeZRtV3 zuly#yG}T|;>MuicxLf$S!H1iRFDp#B<sZ!9L-P7{{Bs#;9zIBto}NtOV?8xN;Zafn z;lX9^N~c-lyf1Cr%bI4t3ttKHZALJxJNDCL!@{QUeXN%<vSFcrtQ3v7yZik|a!!Pe z{JUcPhVq-Z(!}QvJafuz5;qd=Zfrf^Fa3<H1oFHUFLt2HYTUlm-3_d33MXt~Fb|yI z?UF%F(w(+b=d%76Ukv)A)z0@kDL%s!gFQc!N$r!mPZmSMx1aTTMhM1kAY%4)CYQ{h z<0%X)A8Q6^0xBqf1bdb01`-2Aq8R4eVl%h%8h>iA&fF6|wh<J29Z^3p7$A~BwqWMC z`+{w~D}4K#<L)Q6_15rh4Tw)~yr;FicO=u~vQj-fM`|o~V>^%7o{PdYul~eFSL`)m z-GFpJ1qstP-r9l2fC^!zZ@9=M8R&Qv*}|!#do$bm*Kk*VD8#2FzOy{1A(u&dNlEf^ z4stY>bvtkUr^d$Iy?D%kr#%<L<dP<IJeh1^%5nD%n?rlJt02S&8DCY>NZqbp6oyKC zcDVgh(*L(RiHvUv%07@!aY9Cay19Vqgz?3G&*5ozfi88cP4lPC>Gs$a3D<XJO@T1T zGrAZ1rKJIX%q0QI_PcBmc2&4#X+tO|&gebDe8c<DZk^4evSs1VhMlj)2$RG~RM)Td z56Mifu7UHr-|PjS89s9h_-s5HOW-+QxZNtY=EJru*s~wg7v8k$9|~IodZ436&YNSL zo|uvYvne5N(C19SVQXmPP5B0k(y^KPDMDYwmvirbjmU(z!x|}e<D|_aHhtJoEcFQT z>Ak6GNl&0_yIR~}AqU2tG+2y+`Xe8N0H{CMip#J7^y=Gj8DQMzI*Vi{7}qV?3C3qG zu}FRa>w}V2Kv#LSF#=}K0Bo2qY;#Nu+#ts(xGI>Kcna>ZhAC>gL3@SeZqBaa%ISiM zKNFsR1{aHQf1RtR0ra`t^4F7YhOTDMKny1TFoJ?1g{2Y|=#X%XOvl3%-yuftxKg94 z=dZVTs3kP`*QVx=+}E;u_d)c>&=uQfu_BfCQVp)D7AO1*{)gZdw=G@0Kc@a9;-3yy zvR%2+{c{@iSJ+_#m+Hw~(LdJzNt(X}H;F!f)S5O`{X_0gLjIfKY3lbMCX(Ogk7%a) zMBN@7@^Mkz_O!eyzdIW}@9>T9l^uPTVT%6sQNCBB7p|J8{C<i4^-|t*cgD*FO3p~5 z=TjB8p}`!rRtd)k+2<7hITc8DPMKa%ix(941$7a{c0nCoPy**v^F@@?1+{uX@mx@U z7g2%$6&F1(%!0zyToOkX{L~~l>*4s_c<1uWKF|p^CBIk04|IY*-<RoD9N!@Ao+zIj z?=hP)k29?2=L-p(9dRTc{Z&_4-&X1ExE|-s?_yUSag?z+;z%}1UsGA{7Cj$gx73d1 ze>s~1ch~H>3~7G^_TjC&Yy|%T{|2~!f&ZVNKh*uxfC@_%kxGBXx|oK_uomV1C*2<g z|1HqP7fAH!zaY{74j4bdu?v6nTt+2Sh5+1I#cf$j`YtEnyjgJFXE?4Oz`3oN;HUCr zg@Pt}p2=s>7*4FX4F|ii34TWBLzeSQ_~4M`eVL&&$5>UaSEbD05MfsYJ~%{w*cCwt z4iR=Kc@6Rdk*-wdoYML5VV5p3_~PJKr7Nn{h?_u_1ngJOe<TDuzFkV^!;f8~===$w z|9ij5>d9=f#&uI(aK!R{ljoCJ_PYswEKYU8VgTv*WOf4}1#fLl0kwc9vyH&!;X2h_ z14tPOa3J&*1n+#EOy~XIdDT{b@a<Av%D|3%r0rsmcz7*j(vp8g(yrsHVlViQhhE4# z8}{se04Hyk$vZ3e?7kItxbmiqDL}Vpw^sOsADn3%m%2=1Juc-X1C;kumzAx@`M}{y zL%aOablVt|O3K>};B!3b{-ip^JbaVzwf=Po*Q;sfK>6*D<dCMv9kFqLH)9QUvrfb3 zxr@)zre7Yrs}UZ*rL=0=h+99o<G;!2dN`%Nu-lkLIy&V%;d=`?mFBn}l-_hO2apJW zjQ_RU$kw&&3uP{8T-ZO-P@DDuSwN=0Ep>fN5O9U9noSA*Zxi~(SA5lZtbZaoHF&%( z9X?pH&TTpnu-tFVYH`dA4!FJIf4ga5HGM=(s}9tt4_%fJ*=%6}c!x8En~h3uffc#L zvxWrF9#Snu*!gc(Pg;~01gb)VQ1d66)4t|+BzY)iD)zB@P1NuCZ#sNC4xi_QFB8u3 zKRsl(U;i*Zf?O^BoHj8|%hu2UUGZ`<v_c#O70yZLe2+WO(nR<kp`Pybf6q3td)K3! zJSYS<m|npIc9>qVwKoQ&PsV0|`IO9jUr5KXbc4kwzOOUlwk!kWx7$BUw*?@`Ed#ix z+GCEw=NnH3XI$);qB{KLw>K>F$Jip9*?-og?)D6)R#=?m{W?<uR&sbYw!QOuB<3u9 ze$nmW*TtGjd^6(TtkqSwfB4w@ob6)TobCq*6gc~7sm)+k(%ZIP1B=d`usL40O9<V0 z+Bm^JZf4VDE%7E1K=c3*%>sg0KoH}43Bm9S5Paok&dLG=?0~>uZN|$7SPTGR9UvS6 zmP$Zu2Z;Ls@xfNX(IUEYi*I*FbH+<KaKww;I@UM0ZR_!rI|Yxge{inT{V54>oN~rX zQ%^jA{Kj}%c4>Gfjz8UB{)3|b|2KK++W-F$1^Do-dPF9HKg+K6`P8WZdY^mR;wG2r z<FeXCzpD1@%Bu%8T{?WY#G5S`(L{RqXh}I+FuaNCkYkA?NAS4}+xauX1@h`4P2@g2 zyOsT;ccCQy<PSR!fA020UP5FMhRrcnwz>H9?C68zl<p6UosVrb#51Yr;*OI1XD~M# zZ2s4`GD`iKcbEkeZCqA|?Rq+9ElF2AfSrpuE2M4f1^AVIl%4S+XlDPNl<h1P0-P$Y zzzSP|Z|lPsr~Bsx_?MVg#!8^Vx*N|RKJl|D%8RDdY_Wdee|>=awNgVGNZq%1M^xoX z!|sE1rO};3_Yx-Kb)~_d(9<umC0lv6YixZkd3GmD68rJi^#j__)NiL-pLSdK^6UV~ zSZx090Z~Ar!gdu}mwGZ6|AX*reE#RaW3xl7-vc^pY=JLj?gqHuI6`a|P`qR_Zav-d zwUzCO7qC9Ve@s?n|58eU2Oh^U8P6-Flm#AFF&VEZr2x^%OvbZH4CR5x`Ao*Ylo$X_ zIFs>)5(A*AV=|smVgNL$OvcMfi-N$JktMhV82Qb8)?G(yJ^;|&9c6%Y2-;4kuCKB{ zfL>GT`Wy=c=q;u4`ylq<?bTMkzKGqi8N>3%1`C5Ve{}Irx`w$rurA$b@eHglG|r8m z*Bj<iK|k3zw*vZZZ^UcR<8RjRcw$EEA)7U~L9e@6!vXlUvMCpDFy69Zt_;)}H_ZJ2 zeMaNlE6^+ZB09kJOL`*|&%F;K4D>16aU|vuPCcIJxE%|^X7*a})U_;{ez`UqkSB9w zYH05-f5e&KW39%q_dZ==h2>6^cAA!bV{P(A#eJB;J4yZ@8T89)G42J5b%Pv}wh8}& z{~@@SVQcZ`A5(u4@lOZeTkbXV`**Bq^pA{q?ZN+P<lhPRO6IvQwh#Xy_a`C$&F~_N zX5CKy)k#}m!(wIY1_esSo&`gbCpzd1ort1~f0H{#Rzu7`TEabiH0&$+s?<m>u;6p- z+1rlC-R7&6mh^`FF~y^=^+Ab=u@!u{Y)k@tL6s$Z4}5zS5W(BcS7ktNSjtsC_DtWt zvEhO&yC9V>NI~#*Qvg|WLFQhNB!3|<BBh%z$c78j4Isx0#||TuQ&03&Q)|_m^JLB- ze=)_Ti%&x9-EOr(fR0%S^NW|E9V5*~o{Kx5RmP96*at{b#1t1J$8$fY8*GlyhuRfS zjx)>{FjepC*HncX9*H2G41xQ%k=^1*(|7KwCJlKu;(jHZ-2^QE>v#WE{0EArxPQ+5 zJ;fr^W9ZV_pzj|(J8mT;4n8~X@kSd_e^nhJYjruF@#z)2Y<SzGt^G~2n~z*5gyiJp zsDdcvsjnKi!0vRpJaAP*PEJnJ%-*Ao@z)xz_i46+x%Em<*Y^2u9GnRENmd3l7T3cz z8v}fYpFMv3SSRW5v$ekd;g*#Ulpuiim<VHrmPZk`Qas-zY{qY*@Ds$aq9;-He=V@! zO{{71CNe)>j4GNRmDUOga>AR&YGU<c!5E;KP@}D-L8kc4I01~u0vKuZ5h@8q(ZUuC z#R()m{o?X42{J<?{Oy~88gWn}`=KO;2R*%g96Psf=_q?L8N4xG^y$+?@q+B0WWy{6 zIt)e9vKZ2bn?)i+=qHBZL%&5$e+TzrWs%E}`SD<A(Mc%YmS${I@+MM0ZVWZL!@H@; zltz6|$>q6ZG)#=8LsPwqs7S_3k-^#8nbY}-5y=M829_g*BVh^l0YNo>LCY{o48`MY z=X|3E2YCrejU-9OKfpV{f)QG`Otu)e#-g}UT_|QRYA+5i94{#^<{E4%f7}KkuWQcI zHRMt(Qe;x1eWQCZO<AHJ;x-cZ?hi6FM&wxy%huWnGZcFaC>d8gR)FpeRK&>q%3Y>B z`Kn0xyKn6-!$~2x!PI+hbkf<^<Qe3uVo3Uu1^WugfT4-VSd<KLu3%zrb)!urjX#fq z(WIn_$WW9FO>Pin<EmnNfBKRe`xUGKUlS2zR3>Sz`m5ZdMw?I?=^KC&*F@w;R3<%# zBPPT|<XcoG6$fi@M1xHQjWm-28>b?L@4#hFAGOGuI;94iPc+hk3P`k4qfI(Z<5dMI zym;>cFHRq!NEzj5)`MJPwcS^gE*|P$0}7lz`jIs-b)SLjoIZ+Rf5}_3H_C)8S3ObL zXFTSn<ba*rmmAV^idyMQ9_+K>&{ajPj3qMmS$419nEX2fX|lVDk9HdvRAIT*p^+bb zTVqzzmmJt<ry7?O_tbXdC|x#9wsT|CmnN?sN^$%Aj1lw9JphFrF;?OOM{-}t0*7O+ zq}#lw+@fswr5LNWe;Xujrm<Vc;4rJec8N;&Ae=#`%C60+V})Qr@zhz{OVf_?kRwv2 zjU6LGuXAT0MUIvwINm~&iSzJbWG>m+ZF(Ka0Uq{fvEv=R*RHuvUlzH?(S7EchMb26 zes``7aKZ~aqnc=}>+bLBP!7M7s15o3QnDLHZT;J?qC7@se=Rre*!5Uw>c*M!TvABd zYYI{2Ve#c^QPm%tsi%eHs%PX!W!xgYCqFCftrVZh4r<hI-&{(Li2HC&%H4M4qy3Yg zOY}*<Z3_I{??3a=r!C$c_~yBw8ff^tng4j&^$c=WWU8e)Yo&?`Sd!u+{j6UJgvKIq z(#$*rBu2Y`e^nW4G!`l%hF;%%a5$Pjxgt~+bx$l!Z$wD6H#W&Kt@9pjXH%(*@2a~$ ziA6V(3NsM9$NS=U)>ziUn=`YM2XQB;<by_|sb`S=3??SM%aWaqo{fI%a7X(LX35^z z;v@@1JzUgv4G&Uf5LfK7deR!Z7vEX*=p?<QEa%gwf8l)9&7)H3_DVaQXN}EeT{{wJ z1N0U23eKXFd{Jsm$JhLp_|sRMf`^R9G-Hh<1Mg#f+XpRG1vh32y_-LW67c0<E89d0 zMy84?FeoIIw|!he7fCb}kxS}FWPEB@8!_Wt{ha6#5WBIH<hVSt^mW>~7{OYMTZ&(b zT`J)Gf2`Qo$v{+LTCsVVX+d$i)ATrTl85(7Zr(Pon%(rw+QNZT-E=4ZY2r-NFOoK~ z(@@30EF-xu&?mM~gtFUowYyt2BJP-bVFF!r<!nK{)MIspUQK+ugOmB-y=qGRr~v6e zR(rAn=f}O-r+e~V4r#}u^`DgWORa{JESKpWf7v%oL`*uc1a~+yg6%U5$J0MoY|g#^ zU9N7K`00J($;#7$2se}jbNZec;v;YOV6*s7M%gO*G7tDC#Y1aON#U;}cdNvbD<7WC zKefjH`Rwb+m$GlW@oJu)V`0PWLpMDhnyK@qdggdwhCU&J14vh3-*&9g&>=DYwfM>z zf6~*pk|BY~&=4g~4ezGjtlnq><@BFt#WaTtJ&)3Kl~!g<q>+g5!{(5a>7Y}mz_aSC zvkAo6`oh`%ZeaaU^W^cgz^N1GS@p=-I_j)yCvf7hdHB>R>FlU4(D_ocaF}VP1r1a@ zY&toUq=>#UIzY+b>onDR6K{OI+xnv8f2Z%Ul`a+^kz)*DaNyX9VLB?i^{bg|!O@$B zq<f-mS!Rj5v&!9~v>RTG9J6z^w}b2#F;8^HHgX`UJS+se;p@mTWmkJ&$bK&KL~Cs0 zRfMOzMPD~O13BjAYHtmxdK9-l+nJeUQC|nQo*z?z>{l>P^u$WvKzPC|HoM`{f5<Uk zS9^8HehKqLcWmP|gjFrPdSPtJagG0u*hFk2H)7w#!s#pAe^O7lX>p*>Ce67H#ukZg zA0S0?7=9hE^dR{yV|4kDL7^Z-N2XYNqm(scDdOrjHcV*nOEbnVnxV%fcUbOq_*Z`J z!}l^C_jZ*tygy5Qa!)*dIEfHNf3KoOTS|jXv71Q)h>tli_~;$fVhe9;UvL&Slq}%V zu?%pdt4G0FoI<8?nu!B&k)()kY>#1=^OWCe$vGAv(!$yeBL2(%u58W8=oqjD5=zW! zA>j?qEU?ZOOA@_jLx)Sq?KaWAWnr(Lxx9_$)ML6sTLGU>Uh8saHw=E6e;hs0s#qOf znDPIJIC>m^P|Uh%C_Po})8Mq{HNCRcG(7jeN^HFoYy3ht-M~0Nmj$Ib#SzSvYPjCe zxZx<~eZ%WX4fXEg?X##iA?mH^+p{0r<lA)2j+5)okV&Xu=Np$Jdb#>cPkJbH16`KB zZy(c5x6VWhl4s~-Hh+`6e{Zz#yZ>iE;<3jMbiV4!tl08XP9{c~5H|Ge?sbM#W;tGO z*=NRu0-;ljic3)f+H7*0TWvdd4C7p(Q?c?o%X7vybCf=u<T?fMZaIwXyPnL?(qu-$ zuE;GFiw_C-zaS9}?@x0nl=lfEaiErS`jRug>&kG2POcP@qqb}*e;^_eY7!%F{6nd} z{|%*&D7l9++k%{h{8EzmkaXivSZ7#&w@ab5PZUWkMNZ1BM(M6OLn@`5U}uiZvZ8>9 zP^d|y{K*fcy8d^RJ~HHc>TEM|wUj;r<YX#r8**B5OFzX$#QoopsFLMa&-VB4<_L)J zhi1mfw-1sS+xQSkf74BSzw3akVsTDXNF17rweNM#b#+JMpI&QhKqq)Y1SmpRV<>!X zn*4T5tjk?a^bgedq{1U}5KX^)6g+$KZq}~UCaDR+HKokcv=#krS6X(<@zGYXuJ-#) z@@Uwr=*@;)34dLUh74`LO*)4`7(s{OgANLl0Rev{jfS_{f1mcF$(JWWW@F!($LbE{ zJCQ_>CPp7L<Z2y`WP9;{@S5hWd*?(Pt(zE~WHP|-@21i4O4|=bH#rEw@92Ke5n(bQ z>~Epb@LJn%p04o+1g|6JK?lNQfYaY!qv55t-z?q9k5T-Nod+ESCIe7^2MwtdZNFc1 zxPxaz(bkF4e}WC>&WD?_TPlyX-s_U8n$pm!bJCf5cc6ENWw*2+!HXVjS-y_!sdQUB z^qdh3NUD!DO=^yHs;e|HJZ(N4sjD*j<(lUn{1w^jP@6rRyUk@bag&$2${0yi12=V{ zt~QwRgL%|39YzUdWj<SXr+K>V7BEOQQruHwKkZ&&e=KgC++2ZfsN?&^)-oN^j2lRD zN^mUdMTg-<r=Uz*O`k&v0|`!PkBKoBXeAUyE8BD3;6Us%(o^E&YhKb`EMELxWHtD4 zZf?$ZY8buvyl#0>dR_Ixs)6bS9R(c)Bc3aTC<Q46D?N`0i3o}aj(85icDgiz>S;|2 zs>X66f1bM3AnL`0u0pN1OoYI&Hi@Q)8-%^?crjvZ(3+_Cs2ivblp^X{tI~6I95!+p zQa>&)b_^vN2knP$MTN8w2J>UE&{ChV`|vj@rYNKc8w9=B(DvvkRAXy-i*L{Y-X``G zO9PYFO$;7-3pLk*2#&`t{aP;BNLb$$f5w;!e+@b#I>p5tpqihbVK))@iDF8Di<)4N zDPA*H0P8U`MjtJVDri*?%ED_V3&1%R#|WYGP)aQnA#6BMq5v#ED25;HkMeCjc)m$6 zjo(D$M~Lx6JD?0(or0aPr%9WL{jOmM(0i!WmeJs8tY-26vSS_$9l9F@YuyZ*CM?AZ zf5bYaJf^}}+{)ZA>ZfG%mT|tV5J+f>AD6lDsrOrf<R-V&<g!k4JFftaDNz%apA1F{ zU5j#Su@33O$s#`G$B?3zQG+c7!Rpwi#7#KIOy~tvcS}mJAhwb^Z0e0y-OfhaV1~&s zVd)ai2I&T#T8LdMODjvudh2?NC~68-e}$s0xq>7x!gnMq!P9+i7-Sgi8&r<UM6sea zP^2|XHM})cQutDg>A31R>Uio{@r3cXkmuIVAp}l%C4{3v1tA4Nc?FVA_oXJiHE^>w zb{_(TCtyMIU`_4zfwwcRG5WYMIOVrwhn(vc7N@W7*QuCSF*`;}Zd5*yhXPj^e+~^o zr=niPxEU`oQTa$d6xi65n~v@`)O2-$G90RfPQ3s)qa|M|pKAcODhG}1Uj;Ze1_OS% zQpK*0p8^&4LQP^{#rW3u`*Q3)d~KAzAh#LQ-wlWa84S4P1Obt>@e(eTj}VYxMvg7I zp9J7w42SnaGvi;y)YtYSIChPIf4F72tjPXyfYW6-)DF!AHmbg+-<o6B{k0MDXIK+u zPC@ScteU{?dm?GZ8w@b_oKl6QD6ZO?5RzhQ_5-Qq{oi;9tQ6E`z5C8Jl8Y{;5u7~| zVZf5YnRSVGp8m&7ZK-C=w_K67uhh1oY0B@Y?j_y7hScVW&3<!l>CKh-e@q0^N+$tr zCqX^@)KP`5GrR^a>u9fGW?#s}bQKX^3paDMuVG^1K-{Z=x4Jf7n;g4)N9<cH*`nU- zLvdj>f39~jw2#;>eV_;%ijZ58XWz?td8l#!U+_N!BkuoCCI94b(tZDQx_>7S;z>BH zDgC>G|1KDr4lIU$O8;Mgf03_&oxb^q4cJj}<99OJkLjy22U^-jPWAQ2e001X2Y87I zz%;;0CFNciQCRUP-oWQ~fDdHgC?O9kKBLSHqRjccXTW|1i|UeLA9-%j1JF~Qvx}Fg zAk2UxrlP<GK#E*!kQAgmCmAkCh6_@VJh%0nyogM>M0Ef<;tNMOe~>-@N@MJhiG3Du zK4FwD?V4jgV3$Ap^Io;AhzPfw+3IF!<VShHg+=;Cw2eTC&O-x9bJ@YXXV(>sSOCYT zfOMv<I#rDHA_DU1y0m43J43@!B49cuF>Yz=NcAzMNaAGXtM2nym)zChLMVA_ww}mH zR0%`w5+!M*;#~R?e*t^6n4ccsl}!c6CC!Q%dGi50&N_X+%v>@y=9rrj1FEy#j8O!g zxewIbKZ*}3=FWhh-$Puxjzeopv(*n|eD*p&Yd#P28k?;qYU*nfrZ}$Y-G|hDAFgo{ zGa574GyN1LSGhX7eU|xbbf2AeL8kmez=x%o5A*?D{NtiKf68|BNi9}d{%1+qeNYB> zRes_=>m*-UW}czDm8Kf?g}1+zJHF=c{ou&wR#dsc&nmc+YYP$UjU^L!;o)jwv7l!S z5tA@9N|WRVjA06YNl%6VqON8^^=0?!N@wTO7(2HDE6{)?PHVup{hS>~K5+e%X1Mvq z-o;_VPBm*me+&V!J6wy~;~qa2uAK9WzSU>Z8qgrs$Hd-oOO#2sM-5w@V6i2!CE#uG zH<D_zQidv<E8kwOv<$YM4)ep`gMYWCaOQf1)Z+H-=P&^Rb8!<)Gp~i3oCTsxUQVxV zsO>t+yH}y@^fsM%eQR2LIna3&yY4}dc5}qc*Q1V-f4$sKG>vp7>$t0a*(oFq{5Ze& z_B?}N3MhnC*rTEd#ep4>27C|HvcCTAaU_>dX83H11lk>~jiyCEbtaeM=8efTrC03J z+Okr=*wjnuD|6%s-We|S_MN%B^0KAh!y5PyDyX*wx?4;dkZ;;YMrZmPAG!1S$hi_z z#Up;)e+*uoy-}~_d?-}?ROwWjIU@<bA13-4%SYug{aq-}rR>$RGOR<BtvoTIt-O6N zjAl$qdZ}*-b=>Bp+P;Nwz`N0;Tj85jo#;^e94Uhl`ewGN^bg{}gR^ohXLFCa(!9U& z4~0%oa(i_R@vZ2vx|ey&iZPaP>kpMkp`H@rf9aE#b?Fbmk(*Bm34UJx>Z(6ffn0n_ z7{-!GyV>^Yc+*Y4)U}#K@XpUxSuq)-;F_L*fv{gO8mDCF=3M^N<bEXo41S<*YVIfX ziqOTA#t%efai05k&Zc7e?>3{G%xC%zDP*HJ9ajr)Ei*E#aL6TTn*A6Q7}5#l@sqv> ze}$t9j;$db<yv}}M1j{-IiJy-Muqi6wP9=%w*!MbN<K<LOEq8{_RTJ)C-okSbRV+D zkJEIY$ZyA?18aF2byv=Yi*{#8+LtZQX3I)o&u~*bR2h<hN~-3`$Cz(tLES$(&bG@c zHiLI_Q<uuz6RA_)rzLZZmbzMed|G=-e+1dD<Qpx8SxnP*_Lh1uT-koLj{_NbmEy*t z#ZU)-=>5Pqf$8IYqr<vVRr#pxvw)w#8RZC?63_^MrWZ77pmDFNIc^p+G&-FY0u4K8 zWIzJ}jR0Uw6Zr+soYDZJZW+^kcJvf5zSf`ttL0#lOwh1`W&<=TK+eE$zO#dre>20- z58gb^WCl^Zr<a^>lq5h}hXXBVF9mexhdt(hc1Z+PXHQT=&9Fj;dT3Or`<&M$$0T9i zCPYm2yOy(IO@&R1`hMi6^a9lW)$O3d?9VcWZwgSvoOSY%KPVScM8=G(99R{S!d7>S zw7c8(OjpbgREK1TE<vd2W|oFAf2KL^h6f>wU(ZmLFG{rqU1G)rj3#wEQ%+LqTJ||! zHuuzg$FHI`d>no)1t=q<s>n-ABAtW-=5Qt=?*{nm*0fY{JfC<$MP}X^PVil>p8`pS zq(kB(8Ii>41f#){!IIA>LXLtbg6vuxTDhIsoSmEno#~M@NL(aKI(8q{e-XuHEBnh+ zSFP-ATFA7a&Jbr^=l}4F`vwX4n>+Z6yMIe#Yh#PPv$`{dGt8Ml3fCwp*4p+mc1hni zMkX;k)C)41)GT=G^t)JUh^@@HjLsXl*;8C=d-OoqLKsu=!0f$`mNSoUL9^Ps$joFS z0>sTcabfr{Y#0Fyr=YbGe-(!!NA07UP@bqO&W6sHkc3F4zxq}G6_jgG8JRm0X*hJ~ z?DJ6VNQ33(;dc4g$~Jy)+<bp@Rzff4#p_g@Tw~mV=V&(g9WUbi=UhnASUVIgWw93% zq$)`3ZpEwv@(qC+_5e>)>?$XHrd!#_f|P88Wbp$5ZEm?4HM?9Af1OB;Q?cIZdRo?B ztiPVF%54Qer@SdVa_DF522x-X^2ayBG}xxhC_HnDC|7#wS7Zf-Zif0;3Tb`0%TPp~ zV^1%vNa~!UO|<vjJU{YOU`Q;~=c$lZsB2Ct<w|CU3e|~Gp)rwV*&FWMQj%f{r949* zV0SC4opL1=U>i@IfBc9%x`0p<fn7Huk6xhkiR6S@E(44xI2$0#aR&pObILw%RqB7I z9heMD4bwpISw(-X(eNhn_zDC#3Jghwj#~?9Dd;jhW0YG5Lt{uPFXf~HvZXbs7yFP{ z%v%nL-|==t?`KQ>iocS+KasU-FKvjDL06t;NA))>;Z6^*e~C~@Tus$@9|E-*CS|#- zTKHoMgbEv8e&TuRBSzL$r03~e@gOY}Plq|x)I{n;u_$QJ^m~h?z0USCQRs={CN1Pi zu&;LnZ66)`u*j6Da-@dL@O939ac-kK`^qJfD%oZsxlWI$ZuW}{aUEW#GsB6c3C@L` z12SAbH$Y%6f0!dpJCs-?jx0L!f#RFHb8oVj5<uWFp5nnf4wY=U6xVH#u+Z0VSLSKM zyP`y>I0_Oj+8XW;KtMSp*A2|6M@^gYD*9GX=WO<UPB6EktmZ{-(&)JJ+>zN!FF}Bu zB6|NE_?&H%at@G1r=G9u7T5SqFjPL6BT4%ckD%J1e^|6g@!6}oGh}tC>^ob3u8eP4 z309FJ4yUZbI_de7dhcv^Qf>^iL8xsF*jA|d8%zLEp<R)<sv+l(ir;B7HZy~YsUs1$ z2igjvM2aaq4oGy(XS6atDMeQo&3t<rO|nOu<7|2RXIL4BcF+T5G22zO*ADXJ7@ic| zrj@gae+SB`meC($>0cL$@$}K^GW7^*I_%n2&puEN|8*%zQh=EUCZ&`lnHh)`NO4Mj z%#UG0kD_!?r7fnxf%t*gr_9HU7%em>D!!F12nuxKO^8qNkA*Ru=y=o~$^%u25=GIA z_x7|dpsY}ER4Ymr6@<b@oj$?eAlx8f#bqU9f5m4dX2oVDXC+|0#ELV8*TAh80wHuF zn8Ine;l+y{K{>Qc1XtraQA}YsT=U{Y_o5b1mZ-c|rJ$7Hl;;c~3_<aDY}hiye&U#0 z=p0lSO1_1pWv7)egaU_+s0xMKveQB!#n1rtf})wxO(_4C@>b5^1A;!BP5ddE20||w zf7%iC7Ddy72#LpKBatCs*4d~T^u7P-XbUR8!--~V4a7QQJ!U{dTSlLk5}eT;lVfh6 zV^9n&eIZ%6P?7+GV-XBDIuTXfs{Wjf@V{*U_1;47XQSQEFDcSV2c}zfgYv$yz+%6A z%XlC5jivOE#l(dQBZtOE?X)fi_2FmXf66fV(PLE5POa9@)d{l*Wa#`ZVIHFCP}9!^ z2~7!_X#I%Ai;(&+3z7Pd3Xxm?tplaN2l`!IdxNwW?uy{mtQF=$d}Cqb7q#tr$(*Iy zJ<a?2O~unEaf!+E>K2-(_IJTjpQmPKeIQs0yq_mk{mtbE(Bv_Ey||Gw_wL5;f2<6y zzDWq;f%0}U0iaVqkDUTau=Cgjprm__t!rjVrhyT{{y^+outXCGloG(wbP$k58qAVH z4d@a<j5h|r_(6=}3XsJP%u*_uD+Nno=H%}(4Oj5q=)I$6@T!?7Y8#p1nqF}|FoAlZ zcJLgt0<g;SQYcVjaRXUJnuS`)e|d4+2dB9=zqwWSfjc6qCS}{Dmx8*id*9h;otjzq zEFv*^S1{q8o*qZ+t!#xWGcT!aLsjmn7~F0umfW%aqQFjr)H`_L^~yGvsoUzymurbg zJ&HTYZWjIvV^1L?hH<Jc7LD^h1$FRDSNl|^)fDc`il;H+do!Ng?_{Kue?Cz3L7z7h z1`?jqACqD1&^%~elwfOfa5GjQ`6=l!H--j{K*fWH8CEIY8O|x=F%3ou?Snoa;d3To zUi^h}brweJp`W0yqVJ-yoC81O9N-?{$79D6#N)){#bZ$rQs7eHQ(!ycOwoR7O$&kG zI$=*yH_&<6p~X-RtrJ1je}tP@Q&bH!Ue;(=^lkJ2Dz8PkRk<amHKs)=I36ntPlnm= zGDZU}hh|6Nqkf}8S_y+F@C?z|DDNk@nIuze4QyWIUZ!Xn)K3&mt8a*P&;iaS@f2=@ zq!$FOf+j}IwIYJz@!4?W9<iMy9HQp}!+)uxpuuNYr_vZfRN(Vce{55-Q(g=US{cRA zD)>B$5K0(8e@u$8MQforTb)9(u%P4tq{mzsDs($4qJ<)u4QrYrfW(gtV}h1O)wjZe zHu0vhn^^pqFptq`D8m*=h!f5<Q4_YGG)4kliGsA0hM3|uUkboKzKt<P`=Sn7d0W|@ z0~bWR)5|Z05XtO&e>1q8^1Cp!4vK3}=qk`BuX}ljE}EX9V+az&GsTi&^JB#rq3@u| zTdjlo@XqM{2r%wwTa;F7!Shl)Q|wbRj4Rp_rQDkGJZ}imrm!+sD7Vu7@eoC4+>+6n z(PHb&UvsSnw??{#rG@}#_t2-~=!Q%@|JYL8nuNOK%<HWDf7cB+7|?El1Q$H#3~@s} zKt-X5QClb%6aktP-HD2A*+YT7DG}$_hvL^04#!m9$uv-Zi0@HcxfV!6scw)99NF)D z@2MHwhA^=1bbVQf{_W-@WgA76+eB&h<CVAU5QMw!-3>w_D&2?&%H*`UK_DCwYJ;T! zoarC0uDqoMf9l0ykssUN-IySvf-om0+w&?&;Q?&@)s+O0UB6%@FW5D%3%20uN)pIs zT(I@v?*IVHqkxnIP8Q+FvaTBdI~f|O46MJN<RX^+BDU}9N+KBB7<wLC9vbNjY~4OR z#|Fzy{<ka&jfos824(S-E*^l`lzleb;>W8ya$n@1e*+>KPSWUGwq2)kUxpVr`QL7o zi9|#VD++sJ+7n7+KDgzEgcM4W%ZkwtOAh$4N0ZCO>s2Js@Kfg6v>$cm#%3&0vPX+G z!1z*U6e6Pgv?^vA%{4=4_-Rdh?+*#ni%Ja4%&IU%9a_%6yOB1pH$5qRZM7cK<MM+# z@I~GOf8~ZB(q^X`yHdjk?Z(?)UiTp;b3bB7?Y(}y3Y39%H4ilW&gxZnbyMB-8oFoM zg~*#N`(8y-#84SYoj!NFqwD+D?YFf)HNHEyJA?yXe538d2;J0@nnT#!sp{l+`fN01 z01@K?fv+lCFW9buD$+hs#Rq~KcdB}eVfXXBe>&r}Ts6C<#gUHj-@gdoeQ|Nl^E;o4 z%~u#{D+%$?=-RvhF9?0K0uFv1WAHm_Dnge&GUBpkB+zIvr_6n!J=O8>(qS>t`Rf7y zu?H$DW^U<k%&+g;;CYFAUG}mG(psJLb$f(SJ5r6$<YajRgr}#+#C@YoA0Yro`YC9% zfB1S8X|ym7%(03TY69%D?0VyGylgj)l4V-?dlI1UNxy&X;!2(uXY9y+k^W*9!_1j? zIWZwollg|)WBJ=*#2il(dZTI!?{k_co<8ufUFN4to<e!qmTj&G)fO(@H0_NF5xyOA zJDIMw5Q`I<MgR2LZ7y5=%$R7G?ZF4Ke_~Q0+sT%-g%G=d4WX~$mKrOr?s5JG5muLy z$Xz>MXtftuU8fh}DV&bV>>toZzKaEA000wXw^XqMz{Blsnafv7E|K$Lq_&zdDR zWp_h>U0JTpex~_x33fe&TVPYr%|f?pJ7A%+BzfV)VscD0Oz6zv%^Pk3#AY&Jf1Cgf zqO^-PWv;phFa@_e7BItaXI+oQKvSgRawlLFG?w`_%*`BHMsd@kpy2_{ZP1)!QlPm3 znmeH32255jkZ#l}x_e9V+&l%$gf(C|pDNsGI%AZUPT%01jj+HGPf5_(PzoJvRY)h- zzW2D6jZr~{a+oZ(hqeg0C_cc!fBsN@=tBcqIpbT@xMTAVjXtt}>jM6DTY~PqHGzX< z{*V1l&gUm)iViRjwINUSFQWG$&XUe#HT>fEB?Q&jx<Q5^ysdPo64YZ<GKwDc3nhT+ zMX8}gYUq(vNNgml6t+=v)M_oAm;JpF&+2Y?)r8Vc<>YB<!9AlLOyQAWf6=;QnuSAk zya1n|wiD!++@2@I&-UN?x1u#<HJ6ZAjEGCHVEENI)p*rd5W@e^!R$&L-=)^|GgXN$ z!5n)#^EE_9y{+M!Xl{J}5Z;{n<&pjQxHtAgIEil9w5mCGRZ0fy@O6L5sP|(s?)S74 zcYCfUn;-SR)!bSdP0ZzOe-d|ptSwddjJkB&Z^^#-!KuUt^qM}?0r$jBmZE5H7lQ@4 z`Y;V$lb2PVIr{8FVGKD*0u?YKk6&TdVI)1|IckhUdeyEu{&HLyvaue@4C9c{XTpVW z#~Hy+`9cc<(UBfwn`X+DmjGEyvd$*A4wAp*Cc-u9NuPb{HnORwe=O?C?mX0m6;@N^ zQ~}w}X5}P9HF!d<tY#V8ASjD^0hW_toI7;<sfNW#9?3ehoDLu>h2<E_6?y<`ST(X4 zr7ZfM-RV<I(nFdP4dflI>EB=<0&@%tR45Tu0^}hf!?<v$0hptaWSvf~XHGDmPwCW^ zx;udr<&($ft)C#Mf0W$ug>2?9*Kj*2=Z)7r4R=)@Iiami*?}7$h&sM7;VUk_<DjJ( zB~&ap_xOquAU+t9D|YUKb1fcGMMo77C`N$1Ix*M_<GPv2OuPOnT0<qB1nh(X_@(Ho zbNn*xhx0m7^a|+XDWb(doRxuA=iD>V7AFF6Qa8DH&b<T|e-D^PiigYK1|6`0Pc<r3 zzzvMdwgI}7=Cd=h15zKHDT40B`-|0e<w3YrSl2W8m=7icF=LBrH++UC4QAne8Opmw z_3yt}WEt!iO$@2l)@7_wRKI1KkW+h7UCT6Ku%9;QXs>*yDvW8uB+g;Evnt8Luomtx z?^Eq*Vb}$Ce?X3r1F4cA^sGliOF9JuAJy`7Gwzj2#F}_qX<QNU8MfUm8vGaWUrW?w z+)8@)H~9Yt35kJ^CEgbE=)a~Wd!tM|C}{tyk1UuSaNHk}#QQ({+q(Zt#N;clwH;jm z=LF6x(QUYT#*)tHr-XQa#{{5IE>wp<iEYf|o~vv8e>n0`E)*f-i$AdcfQt2KjbFit z1#s6CaM{}|vi!q<Hm=uNxgw=Q673AUFBxbs0`9Tg7czuJ79H4{M9A=l*mS=miubRg z=O`hM_kT<bG*f{Z6$dMh)V@B8!o+n&uQlNt=ZS%4F;WshubsP}0+Cf}0>prrfdh1& zlpK!Yf56(o)-kYFvB)arX!Mx1L1c{tAbt>80|U@<N|#LlOu0HP#tm$uG1zpr$O78P zOmPSq;fqbGkD(y|R$3kbw0?ghWU4*%Q(MhF2881$ItFu`78Oo{ZAh4di!BEB)!v12 zN#GfF=CY(0Hd87xHTm<64@40VX;#ckbmnHHf7`soNCBuS-}uicf@neO>*GB1>$3{- zU)~B(ufJT<IViVTEmzDf?i0)fzk)jcwl8g?z~xfSWx4Z1n0sEgW9(6Ye7yf@1j~{{ zr>X+xvh|ilR@j==F0I;Luvkp0QtfyDhayvBy1)2O2Gf0$mZqy<QKt^&^J5|h^lOiD ze|0A^Z}?QMYXXH0u<#ftsN4~oEQXCzH;(6v-cxtn2$B3HJy35)f!;t(wg{JRclFeD zE_L-Z-m2c8Lg}OYL4-Kg&(%%vla&+tlY%n*!Fla_Zxh6e-b)?LikfV#53;^#5UpxE zpP1%r9>0&ZNj^m;CG5!ki|t$b_~mtUe~I|=TCiL9Cxz<IRIvl{Z%^;`wH@cwKOwF+ zC-y}sqiy#SV*Q3(Qxv94jjpj(A|(e7?6j|`Q`X;9qfBJF!*U|9Wz;el#t(%j-281~ zM%RU)DTojr$sFry6dUTd^Sj36vmTVP)@9p)5G~6Y-FUg)%H4?&1B#9>*L#;ce-R=; zQRS=W+|XPe)6af8E27EE(q`UYZ^6DsgeAUL{vCfLCS_LJQ1yjoYuS0$uc}T(B;&B! z-~k=9tR_fn8Ez&qkW!?qpk5e9@9MV~&i1h{-=yS}A>)<AS-0AQV)}RVItrYNCktJ_ z`^^Lr_+y#R#^&Q3*Cc<B&D%rvfBntYZXJyGy#Fu{U3V+%h#ww~P+ws7`*;xFXSs3p zU7&OGYea;1BZLHs7CqH_-wYd|QK%@5uY(DnM8(MP=F)A+`^a~!<WWq-MLia254KTK z*^riWR2=M$3MbLLlB?!|`&L~M_ifMIW<At0kY&95iy^Ial9=Rx%Tt}2f4$wsx;x^H z8<Cxi<utLv0o1X=R)|>PWA!yeuK(fMEtvPN^Kf;pOXpg@__g1W{VN1Av`zXoVh=OR znyC2ckAJ8gDDO;12CqqS`l>a+{2!_aoh7|74-hMObM{C@2%4t#J&-;BO;!*0FDQf7 z_r71WJ6TcOzpN2j-;ZdNf6cm~u4R^$1Eu4?Fu%u0M?bsu_n0fWm%m|wl`rvGm7ERm z?|=Dqd>k4zH(IZ8_AGoGN`I=L`DVd8BT-uLX-;j20fUchsGM7jsK9P65!s8yyQy;} zY6rYV84~KA$d$8xQs}2p#sFVp;bSzl6~^Jlcjs$8=#$AXB#!Ofe?AY3oq6x>8hFUO zw{s?w<lQ(HR3~|Y1z{chx9i$fi05ac%~r>?yLZH<WBK{a!Z)v^%@A1C1Ti{331@oq zui`&YjF=gjY9WQ(YA5^dj4O=%f2XM0Mw-R=*9^Ly#tI{k|DTorNU>SkEF7x$ht&V^ zqLcEQ8A;I}q!40Ze?M!p@U&3IrkGppi#LEhmN&O#r!Ko`7S6`5;Lje+81R&m<rQr! zsVO+G<U6`UPK1)<&5Sbm`_-*>7)dT}B2cX-q0%K3_M>2*4R0r%){68ZdJ?`#r$BgJ z@m9Mv@j2&o&SmifcjsH}2gJD{VBFEAa}Ip=1qk2-?&|<Xe>fo9b)%Iui&w#j8aR~| zkj`&rc0wZ~u&glHvK3dkfo;kLZq2vaDX2L%fK3Vsi}VGO1W@*<M?VQapLAn|VFy|n z5sXb5=bOUDqjnkC1*l47O<e<HQ;Vf-9M#8|bQHcz=5I<)gDVO<&P3$ViX<6JT<p>0 zt=RA-4NeJzf5==i=yiIQUkcr!T;u59@FizX19lnpfp9kFm^kO$1#t2U`3prQi2*qH zixvIN?`W3LQBlDekM*(p;0K+RBGL|XTkf1BS-zL@p0WB@U^!!e3UHYk11?hoz=yp6 zES9eJ6bJOonr)=eBH(MN0G4;aQUfga<JOl)e4hdVf5*T+0Qc!Ul!PF@AhsZZ;KMgF z3<Sc*HkTE<Tf18jz^a9TOQ6W(a^?o$B9;Z@&6_FXBNW7C!#BBPf@4Bz^7>YLADtCO zb1d&K(`SLS4M5tc#9k`#YChnA;JhU4$H)i2_Br$#JamCr7<ozfI1<QOb*nvv^E*26 z3-Rn%e_&w-4h=ZF@UaTu8v!5e+$nKM(t9`jJ9;pTv58jjPP7%qwvE&j=jWr;nF1iG z&{Jib@GOq+XvLTO`HTT&x7r!P`iqvHdg~OY{mK_h=gZ)~wGr_o9L9TQZG|!Yc*ZG( z{&-p{jc%*0S_ocI<j>n#A>RHU?7at6Q%|=yf2;!11O%i>6BSU9CQU%PfI{d}By<Es znn;NRA#_xl1*8ciA_1fs=_Mc_AksodN)V-l8j%Dr5cp2;f7knezx#ild!PHg&%5qj z-?LUGv*)*G@0l}a&e^l)%sJBtH7sqQ7N2zyT<)+m5Dbao1)nQ8P%4Xd4>jHS!51er ze?w2-51rJ7j{39b5ET*$C5s(7RVuip<6Pw8N39iVMkQ(_5MSnxl4O?G+OxOHuRj<S zr87N!7IdjX=bYWr_pkcp*J}sspOq2(uJrRWSV47KQ?X4z@=3I~s`eCb8Ndb052o6q zgsh+qy7hzpigWtD7yJ0dovlb>v--Ykf45=mc%zF}&`p3Tj??!Yy>11iv0F0aUD7Xy zi=%G<rEmn~^HP$J?3R3-bHWp|OY{N*!gAvoKYjmtO^`tps`K^JvT94Oda$$8{*A>~ ziQsKP8}wqzJ1G8=6EO$ex{S_?9`Vc{@tjTwbH5%!Jg?rJTM0D|aw+(1-hR<%fAzLf z&|6*=^Q7#B4x==ef(F#k9JK$QOTj0U?DY68;SwzDqh)!_h^G_L#v0A}FY>=Y;+1Os zs@_2*O6(bn$6A;F2a#Ftpyf>e+o9Ca7jHj{{Hvq<$4P9)JE)-KU$XwMlaTWz*kT~T zZi$x%jvhEl{I{U}H_;=z*FNdJe*%0fLu<4#gQ>$KN1__XHFpu6chFpl3u=j%rC2y? zbU(wu5rApWb*<n$z$~XM1GU66QOwFnNZ!eflOLAR7atiJJ#i#rF9D{p1PcbdNao^g zOQCN<;Ugj7li4p%wJf9U0RI!H4&~vDB|cm0BRXm%#Oh>rrY&0uwjt(`e?jC(Nb*U) zHlXz=4}E~kobk2nbD;cfib*ZrJ}UGL+p-*L0=Tb0<1)M+dI9iQe)Cu<CUrUVCdI}P z)kG*ZZaLJJV&g_aUUm;Qp0(uq^~(L@(wB;CT-_OE`na#d)&WVB_gx2st|)P4uUwO& zxT@|QuQy-ebSQ6v608H7f7sENC`K-qGhXuE>$Hz)vTWIG@sfbM-wt@K*{ScK2O_Ut zy<juyvpDQk#`-qs_*WwhwT{GkP+u+aAgdHBu5XRDdif42CE-N0w+C)npd<;umn*@* z-Nyi6aisw)F@R+aur!h+0#{U<ffEx9wDJf3P2nFmrH(sLH=TT_f7!ZBzHE(7YjvpO zd&sl%8ORd>NVeaVq;&watFu|+^WRBIQl8*bKa|-yd`lX@3IMItAp!pjaBo>w6%@_h zyysH~+(Vl#mSDTTp3jaGew8e2oAVAj17ryXI*1EUBTAA}^wB1}=p*Ckkq~Tb36>?s z&VLDrrCNDb*jDHAe|g_Str&{8og3%aY|wbd;_b7aB#|S#fTUFP>RA8+n8!Xzz8Tp~ z0-)<QXxM#Ad@iG9xq)voc-Nr<YP=_if*O<XRZy5ze=*F@68{)zn2PCfWe?(hM`CH3 zCEnM-8to9n((k)5zZ(j)LIu#yAZs)XsOe+W$O<!*WX7dxe|il#7!gasP?3EW-WS4k zfBC-rZTZ9Z<ss=QI`vKNu@`!d91}cy?k3}PHhQtbp;&<vvI`}yeM%9}E|)2-o`x9M zjlHU<T?j`>FP0P*$0)hCJV4%UD&GxjUQ%jSb?>hlvu}oxpoQB@QbAS_^=)uZ##^Ka z&rK`yp<;w@e`wZ2m&DA>`W7@){uK`cl{UhvEk={&F*2-0&MKWPz4awYVGoNDk@A*h zViHr9q91vg`9$_6N#VquP0=)JcN05Zw?4B5Ss&PuYV|Wdu<aiuo~;#;Z%g~%Hgw*- zk~7z6Vt6eOS|Y&yHZ5*h=5;-6vKguf@|^T-&TNs7f0-cV$ajsj1bKMhRS_ER7^R*R zYYn>fE?cZR=<d|S4PvDotC#4gzh~R`(N>G~v5MYNiE&BhYKIVi&yY%<<&aTfu9!jJ z*Ol&<0u3b-tiUN-Px9BhXb?Be`v<Y8VOb^hl)E|}xew-M-K>)K%3BmFdq)G!g68rj zeN7r~e-(dqlZedXAapQ=w$Z2~9VR)GyhE&C!zR_!Ncn3#=B?VEy)RWyx5gtK?6T;L zaaNNe$8S43iafs%gqIEd*^_ycNxWKBzOK$&P+PRB=tfm{O(GNXZk_KN?ku*4FqWpr z^C+Dt->vI1oJNXumv>%@C-aX5Rdz8sc?ATof9^;JS#X12Uk%dYZcs=_lt|9z?kIcd zA{v9@ogeRVT7@bF>2o(2t#2ngt)5oeDv%Ch?}W-HoLC%aSo02YUu$QqnU1}uf5Xtb zQP}jr#C%{z8%9f(AShxSv|%)4VFDK>SNnjO%tI)^<Z8f9kXZ?F7(tC@7P0{0>Yq19 zf9KNZkK1Rh7ccYY!YSiyaIT0>&EyyXD%I1_Qv^25IfR~u6ct*Ia2t06!;TQukfKo) zfZo7y;w=Ad2R(jVAZ1TIK`0fD385H?<%Y833=xV^SU%DP+&M(@zwLa;L;&Hm;VhI7 zX8+s%htT7M02~><9fPHX`r_CT+mTpye<%xX8Ucy=(~jsQ>fawXW`=?(n=Hm+8K4HZ z?}+3mHBJ&YE)Q{lDQnX+d(XBIUHr9<jl_WKMo2|9ohFIkc;V%7O~*-szc)WTOJKzG zYpc_eFA-!h#@gyMWCg+tjIo9~Gnt!^he_7xj|jrBXsc6`ISEl17L6H}P(gwhe=Y=p zk7(j0N#axxGto^{q#)cR+&89)hJ?o`YWGLxFp`fGRxst7%?xA)f(iz&6@m-K;5C7! zK1ykGn(24fcIwS$wgU4fpWK?go$eFe#7vq9;%^!gW{gt=w-vrabdD7l@!WT}Tc|(P ze}#+0hpRwH5FFqKCqg+185nnse_ZBJ`omq}59mqBn1VlVpGa23ZNd*G%Gwkcwk{Ss z1=K!CuCi<IG7jvuZQDp_!NhB@L>j?qwOGQ}S<un}>bNFMy!Lj4Pk33}I@2^GR0x-Y zQH3{XL!u-##Boj-Z3I`8Pqe@>^aa9cjJOsTA`5O6ZZ7~;!WCi6;Z||>e@svdoCl^C zBaE|vTgBQJ*~QIRZ;&(gd+=YL@Ao9DE9CjdPna;N|9l>!6$md3vjy)f%@oI|FP47} zd%S&@oBeGbcraxaxvCc!yq<_ewaDqF>wX|u$|W))Q5j1ejZ15HY%ZsF(6d9flkc1s z1Q{?4ai?P+Bl9CY8l@9sf0w53WS#^uWh`YjE+Ot@a)UynA2)<t$rPb6cX0X^(Qqe| z4@8cBoaSgGC&3S5bVn9%BK2N-WH>HMfu^SO1}eRznNErb?6?fb38x=tV3%t7J|HKb z{=6r^4okQuC&2}ZqA0wa`H}^x6aCnI`=(s!Nl;V_Kn2Lj(+s`Ne^{E>dzteX=`78} zD&n*B<&KR^`stX*wEMPlrKdpg^nk|Afy(>ROuZn*IYX&yLt-s*p!C`XuDqgCApP_Q zK~g&A{?Z&2)%WEL8IkR>L&V@A@qx;_(o9?;>+2(TY$Vck>DXEJs_xjRrt4CwxH3?w zD=i@;av~G^2>H_Sf3z@gC{uTo<=@D4KblVTR&4JcIG1U}h|Iq{WKl~!W(g{w9in#9 zmueZ|7~*M>Gff|)YDPIL%chIan?t{jS%KVXh6cY8Qv`MbIfinhJl;R>M<PANkj>OX z@12nbhKjDpCK)PFecY|Er|I*P#X{U5X!KnZKb4KsD_h2ye>lFeg;y4n0*V3x-#X5H z_H(%&g51k&PaLYK^}8-Ic;tT9arXAF6I%T;@&=H#FBJ}UlP=pk<ML{w?VVfhG1LtX zYcHuLhQ3ZzyN`TypFi6}mNhj}g!uMfUMbkl`6AqV8E?+--@WVV6MXv$s-bQC(@*QY zxkjs`_WtgWf4T8zvz$|G9UUp1Nz6?RZ=_<sLq4Ni+Q#|suhvQjHSnpZB}|KSCNb=y z<r5O0^SByJqF1q}o5H?vZQ5;g-)LxJCo$tD5x(LpTMlfV)o<vD(;Hxeh9!Gk2pn%U z?<>k`m11LN%PRD7db}5n*}knzHj<UtH5&5YUA-lIf3-N;J@wvm;a9imMQ^p<czN%6 ze&*#ZYqW5?z=q<G-IY8Q1U|fppH%(c=Zcb-cj8Mzv~T+xf5wHEA@9SReIL%8?~a?M z<&X*}F>0$Al$d%VF$MmjmGH%i4$S==-Q`=<*ww}>d`tf3cK_RLw@G8sM<OzsQ<+(3 zEW1S>e|>(bXVoI#EAdet;@*IpzNgOvCETf~a+4jkyVBKA@nUIO%_^m+SIj9d<o1i9 z><6FizL+0txurC|)#C;E5aixIDu3(Z_||8ynzkUl_EFVaGUHo)UNtR2A?>5G7mrV> zeW9Ound(#OnR`h}VBE6lwxhzWSh|Ow``2E$e@?1Aa8y3$<`1?z?h?s;EBA>5v_Ka3 z;h^h;P0qQ4-6BGIeWh|)lYXEkKH%JDNI|s+zvAoDFMDz(<(Y%ltaw?F3i6jSjrzS~ z>+ck%d}a^YUSg6BdGlgZ`~Ll=07tr?=RYm+n=F+`bJDfF$&|Jf>~M=iIdr?E#Vpzj ze<92sR;i!tcqwK4$}b5l9%7*u4k2o%)?KoVo~!w=vfwWL<L4?>lW(JCS2?0y<EGxn zyR}(eV+iH<IU`%i8)^t;omI6^RPSe*xuOgYPVS$peA@O#z!+zO=l%m7;hE^DU&1QG z;$ryiE3Q%}qkkPex$s4)^{T_k1zKrzf8Q~uoa}Qw(>B-B-52RLts<rS`&bupX`FJV zd7Sp`PA+t-oa<4iZvb*YjlaFxpq1W9-@0e;PC{tiPQG<7?@Uj{jda+_=wJo<&22zT z#A)9M(0smUhT$;x_m5_3Kc%A9K1D1QOmOV%)bh<Z2_Eszp_#a<GK8L-X%F<yDrL-C zJAb|YmELZL#FN$c(N4?brmDf3AgAAJ+(dPZq!VLci-OH~Yyr5CDPQKboMd*hr<O;_ zg&0LghPLVG>g8ATU!r-(aVawgI^6qS9NhaFK(OWD4uf%Uub)2wyREeobLl7{&&ez4 zRgD0nOLAB6j}7UqM|>e?6I6veb56Jze}6(1w2ptj-)tO*(wiTbby{5#lYZFAZ~_uO z%Pc*0G*;!qJzDPdBW?VSwN(EC|2Fu;ePamwH@W{E!L+DZ71uv!$n9?VA=>@-ME;YY z`qQXc;}oa=DBC|5+#xG*H)V&<O47E~a7j<iJMJ(ai|y}f`Ejnoao&UaJ%A^(A%9t= zkH#Jd$*QI*9*q^008IgMGOcpQMLzs+iSdvFh0m(EBlR|cq#ZDFpgJ*Qk^bOo_-q3( z5;*F(v!1c^tdU;&A&b*Dpvdi0A_7+&ckEj~8XVzng1AAi`W`zmqnBO_bdFG9j6uuY zlq<cldZewu9ohL4eC$N75NL|caersO^&=JS33ZM12lPkUSb9Ll3x?sdw7?jNmOJd- zp#Tf3NDff3o*u$AEH$^!VNKL;9@Zr8cTHxUTjBK5dvriWCY*LEgz}YiRwad7j4kgk zEJiNX_Q=tueMLQ4`sJu>pFU{t<9a(mOFH`uXemP7LI%wZ5@i5}4#qb@Qhzi<U57)5 z?;JzjEdkFdLkB%^q*dfnGG*vsyy&>25E<Cpg(~x=p)|SwPwBn%50E5@&FrV)hV(24 zDCxakG3mWhAXEV%5eP)SM&`!(UjQ`%gj68R10f#>?Nip{ct#7yofw`IF!ttj2b#bz z8j>q64erp>@*`fBd;he?z<=R3=c!S%i*!ITXcHKZD{=2z9c^=<at)>;1>&p_4iRBI zBw-wvzx3Wi0B`3(A0uBT7+|Cp9Cv5{%|~DKZKi|m`&)ht(VT$sUtbOOnx*lYP2@YF zuKnT1A|Q74Xxq%EmLIb1)Einpkvm!8v;C0cZT%d;LG(H9=zK{aihmjXq>x{Z#WqJ4 zH0pEv0GfEJt?1eg&N}WGyhDSy_uYZAqt}F6e^gMPfXRr5W*EJ6kM8=}^Yb>3^UsUT zuF?;$Ps3>2cvlYiPdpqy$c#u^obVZ1aSt+B9D&R=LM_wt1j<@bx%4j021%-l2{Fdc zw@^E;A2u*lG@TFGXn#ypoKaD7L%T9&5Zi!QhZ1wR@k>JA+ZQT~Rxr4cMNzv1`3+Fg zt=!CvoTC*vSuUdm^ybIdM^$|%w8Y2?g@h@-RP39Wn<VcZ|Ne0O{$A6iQkvA9th~XM zCo{4B{x_kUoMuaP*#K;FJzsD=LEl$oA*qf-Oci9}!S5CSxPQSsQQvn;OH4KGCNz(d z_01q}^;7+R;Z!U<<|b6Kh(MBfGw81Z-flEv98*<Kkb3GHbxoa$O;xdG{TDJE>Yi%q z3wJPIzIz})yc^6hylVmk9UwSz46g_;qm>?NldZpvG{>QW*@#%S4z;J|?WInus!Bm% z?%iui(*hl8&wpJY(I}SrF#HM1SC|@F#|tb>@Y#TP1XR_eoOYS0ffgtnqas4#_ALJb z{|=b@J+Am~bN?HHYH?8f=Rao%d!~&xPBZ;GBL7J+^g<joS1j*8%J$C%v9$h$+X@lT zP-Y@l(xki}fmQj~|0`H&c-QQu-oq!g1B)j3?pC>DB7bnK)#V}4V0IIHaqGwFqr@f= zkc;E7<xsnH-8Sms5Ww=N`yN|HQ!Ec08v?km%)=^Rz`BG(@!cT&yrBkqn-Q=s!@J?q z8y_N|f~Q36kHN8VmxtmgI@=C)Dm#7qa+KIdF+3*ta~VrfdoRPGf*c|~^o83pfG<u( zRFecXQh#fpjc+59H-RdN#sF4nIh5iA10W-NKXc)BMi0RhOFg_>BJBs!K=;$Lv+xye z2RnTeKC&FD3F4Lms(t}9Wv8-?R(T_be>9!{YV*7P)evK8^s|hGS+<8JGSj>u|Cozt zYP<!gB5KLdNuOo!#gnBbN2med_8t^E*Q=-R3xC-gFGawtWmHdseB%|}??RiMq0;GC z4q3aqB)K@KFY9@C`=q%kF-mJ^r|F?#41{mPm(g?{Jt>k0U4`2PVujm_K!_77goFXN zqC7qoiLVJ##c(X165hhGzCi2?#O3qjOHR!W7Mkc8*TQYlJ?DJ^5K#r^i)fD6SB`_i zK7X0uS-y&IB4E+`N^wwiuEK5FRW~(4GH?p>HPGru?0Vw%SxF%{JiIw(|2S!dZ<(wM zl;Ed3qX}aqGZDssjNY-(=GHfx!V512f$k6*0fkZDAhCgW7{KYd+Gs(#;ayi|VwkWA zeh-i~0iAT17kN}pvrK+3JG>k7L0&xokbm!YE5Z9cr;zIGh1)7u=R;m&je$Iz)%ZtH zb=Jad-ti{kWwJGJL{7W0h1=&Mpv`@G*cWZLgY|>opI{}XOiaKSh<(005I8pX^uDnv z-uJ#e*(E&>TN(*fXLJdyI!2VFbFn+WOwMOmCd-6u>_sZh_$m#n7{IX*pxz=8(0`dy zIQHJai|+6Kyw)_!MCa`#&u<lcz!}I;qgu9ms*T1|Y?BE-@ECFb*l@#{IA}RX;Wk%~ zf9_GD<R=8Sneh;mVsB>Rnlr&O0VU#25V4m`@D14r>`MC-3LXjl?pf*8AcIx$gS<sx zJ*k)NG=@W}G|-HXpyh0ZkfWyfRDVG1%raUFj->&l3}}`^xg5^GjxLi=6hbtai8+EM zcpBGXUagdi)B8HZlMNVFV!c>lO%o8S+0qb4Pf;(Ur5|dqnVx(=d@XGWK3TZ^O?tHI z4DyLVt93SCgIoeJ1#n|g>-SfGN{<?@Z$FV9)k(7B>EIL#xP}h3=`K`!Wq%e6%`-Cf z9sd9EJg)gLuiEqXf@OYtVh_rqn~st0<GSJHu}$=c8x)jB?9&puF;be%bmU`%O-#S0 zIwSc6VF+Wa*~~;fPhiI+YpWk8Um%>r_`~@LZ5S5KW*YJt0u^Rkdxln(mvlJ26Whc{ zGQuhTo}y8}ff2qD`znN9On(J@QLFhVS()I8Dc5Q~MrI~7U<9B7gb)l~8+L>&Bxm9~ zGb&g8DCUJzJu68WcZwK`54?u%e`)F)VE4rR6=Ntf;R}Y7B!z*+sxgv4I9a%HToW}( z4rh)ypeF0#%qe>=(2=xojBwyY@e+bD7ncKpOBuvN)E&Eb)kT_c7Jm~3XU9bx?kg{K zVw$C1itr5+3g?BdAVRdaBkk$xMGyCtpN2DH7@*t)6I?r-7ZIl+#ehCec!JTviQqWk zX>s<HO&m_)Mi5p}_8idD0kQ$~P!3!w(7L<#n2B3H!pn(9a4gC4HFitzD97+5=5y|5 z70qL4XBF~dn^uhe)PK0zwxtRF`EMh#kx}Fh+?^Xz4)4zF(H<v2Kt%C+G|=SB=4p-e zg%Bsep$LcWGE(l`5Wrlp9U(IJfC2$K;d>TvmQ3(x4sC(t*+W|pC2L+okup7$ild_# zfg008ixBUMEc+#Kl*oK&LLljfmM8U4A?IcDWQvsEZ>dAm0e^`G9G`Hgo*QzVVw2bo zZBh~&;9!~H?;IKs{&ONHGQ**r4D67xBxpIq#on8!h7|vf?g4>*dw$T1%jOc2nqyCv zG95E7ftG{|i_^=PkohqeRe$7g3<b7SCP?SgV$sg^YU#*FV}SQFEr#Tc^tiRL05Y@# z$S4mbnW21S41WU~QF2)SxjFdh`2s6(Q{Tppi$Ej4#%4s=rvo-baM`^6Kr|2A5|dOn z|2ihA5aNh9x6FSN_Xx_a6m;hU4-*B`0I)R&0OOJbZVF@uep6our6B8Z-&`%d;RZ|3 zCs5k&T$|xqF-2Q(_T2S?0W*=;&?}Wldg2GInDP^mMt^WFiR;4+cg0Qd8mn$61I(Tl zLWsaw-YH?rv1qN(ztY8K^gjB<rP=caS8l+uqX6sZmArinqxN>xIvB{@J4%S&bsY5g zFvZ5gUsyA)Kg?S^^rIwzG4x%GCeT5wyo8s%F91d80!6@q!o?rczc%)XyyHQ^10tbT zp2B53)_*u^Vp(>tHPyZ6RE*hhtVbzuFdHdHY2erepvV}f6^A3s5>;%(ttju=V<vbp zfFJ6#Vs&KMt}eB(##bl~YE1WH{_%X*<&Wd$^`rad2kn7BeQ%94d^%Wri){y-AhYzm zu<}S~2#tf{V<;EB%e3$^zZuYQW4-oQwSO#tOMlLo`fdYd&G;AAfPuQ=Ifi*9p+C*% zvWO+Xon9&^w@C!baemoONVZ+;36$&N_2J3$AbOxjR{@pr%cYz=I5rc|Ae6rNZHv}% zR|BmIehhWtD1=04pivCNlliHIik8I)tN<X;42gxVe4Jvf8BKz6u@*xcI)e45vhNmd zLw_Qm-$ySG?`8oqDG00s&2nP?3F7`)6W>d~$iO`U>QXduAg|giOm0Sg-`iI)!9Ufa zA$DX{(fM$i_@dV8ne6<$6tbh?uKN8jR$@|dBvh~{5}H;L30=IYiPnFOP3?#kWM(Tb z<LdyrU>RG7?sZ!*gG+ojYC&4TCdrV|#ed)v%A#%jdfm)O>-asTYA83aISq0BmL{5^ z(CR2r3~o;|TpSMNFeyK_Y@zWl@Na`E%<8uP9{tO#|4l%p;RdVNKV^V)@hyioCHy^+ z{~U-v2be8ep8qJ@KN;-nLSUz<4-d0J11%N@-9A}Z0}L2NZwG(m{+I{=#-}I~cYnm3 zlnmWPz?~62bZ0`INGC=B?n!d1+-bnPp=F1DP|S+pp;_7HIJ6yMl*zea6;)Y@97Uj@ zlOpSYt$`idFo83NHjLH{`HW(6G!IRVz$MUCz=_h1e(X*=tRgF$_{o>PaEy+<2Jo{A z4{aC=`=R&LCOcFJl(tQofeVKwpMN^^n@n3jR!}XIwcTva`W{<WwK;UiT%susSxCvD z?Gq3^v|`CzBI{-R#4=X1%!-feW_?O;k7<J=my9!t!VRQfJKtqOnp3=>cq@?S@ga}a z6oDPw6OU3yWjnwNa(L}*qmtfts=R>kLC+GjLOsOutv4ex)(W(8d?>dalz*1l0Suod zBj--tKksf80W`I*P%_ke$Fsvd?I6={=WFhJ4D9l<T^Yl&T@w(H49nSOG(<`9&OUmW z#e;_&!wmr(!zy(Ee3OP~1l*xSKcW1;=Ps2+Ld$zh@b`Dx8|BiM{}_A%MUC(*+Z})C z%0PIJ=>}X@9pJtkH4TceSAPHo^Q?ss9(epkOt+R4lPV9Cjc^S|uQVJ|r-3&3o*<%a z9GOF>3Ydv<z>_jd59o05xdlk|15&r1zS$UWH5+eD;agU<0tPRDRFMYS{C?qfxpSno zn4+ewhzWkD&jjCoZ0yny&oWvp3-z+&h9reFfn&QKd>*`p=As)`p?|OLx`tk499Chi zzHto=V=sgp^J0Gt?FR@g%X$^EXGa{+m#*;;V;!{3p?iQcQ-D1fZFZab4(ol$<!xQq z^g`Y8D*PuTq3%l=amlS=cx-MT={NIpMo#kcMG{NIX%>lqHyti**2Xsy3t~|*pI!9g z7MaBZ_Od#}Zwhsd-G46c<@kY>T)6&IA@V$n#P#QmRcE@i8C{?At$V!JEZjf;_DmOy zwZYiozKj3)FK$TGnJ#nx^S`{D^c`Dg<go8jVM7nBHTMl&B(7{SeC;v!e--TCl414X zyb9;5;JZn(_)Awdhxy#?9rmQNGr#wM8TziufA0zX9xwLg;(yJC;myX5_DzOz^zBZQ zHL<sAKW<%K_NK`bu)#%}`U;7li#T+rwWXI!BiG#hW{PE&du_T33!a<$PU!2ZrY1mN zSYBQ(x;@NW^W4-}I1gJ0KzH2}plHi|)j6jf>C;bqTg1byl4;6wY@Whc>KecB+x*&o z8fT$q{_UaJet$*RBrRCcucG6P`F;>$*e<1&cWskUyxi}^N|2h@!6?QYs2w1;fWOFz z*k4})l!c58*}u&xsi`H!C%b(6Ubu7RvKn)8a?H+^OKOswf^i=^TQ>Ym9`bPu?sj&D zZJ>-FmLw;mzkP?PN3ir9-95@g3rRPC;1$rjJ@tf;^?%Qa{2Am3i*&LWg*XZjPZAxs zmLT1VnV<JmQe^k}13x$C?F*3paOzK!#BoxH=J0(!5(L)|SC84JCb{5};p)-*M@XT# zZTO<*!Ev%UK?<X;eL#&q0tK9XjGbS0gT|QVn3fcyDl_yFfg3k~$%Chb3(%_`gYw|= z5u(5Q`F~O9Mcf9)K&wWhMys3&%}L0_tZ0-oq6G*f46lYS9h#NUfyvVFWkB-~7BG66 zg7l%(gjr0SrXYhi++9oXSg17N28LEskS_EHVILz4FVM!*qNNCGm=Nt;Iy4s{2NR-! zXGZf9$}kNY_!DS$LJ~$)1AhdqN(jPCYWY&3<$np57_gQgODG=!h3V7~WXk@Dmqxoc zFMQvz_+d*QN<)~(q-i=Zg|Z*+^5#HAX2GQ)93sP5Ni?`cL~c|VCy57FfXIyu<0aj| ziNXcr!cLG(aeDAY4HaA*LNGFnk)(;Eh2!IzSfC07G-g|4hAEVbkd5)xnmHP(Lh#1a zXn)NdBSUam2zSl?m@vwe{utaLCX9x}kAomo0Z(`vJ`)EtxAvVM_&UQS$f)%d9waZK zJj&=7WGCs`e(22%nBG|agN{1PqDC&0H{#$J*&SB_?~hiaA_d?K;KosE93*25ELx3* z<cy1lOGP&wAw9%3Yv$4dhfC$ZxBKbxL4Q)&?1K(RoDQ5;Qxrpmpw-y^JrZ}owIg;l z6g9@Qw`137&?f_oaRQiWM1v+5oP!{ZLtx@H6}4C*>`y_Na2$wuj3Gu`Ly85>N4SZ* zh$+@CKaLh7$l=^@Yy>fkxOTzbZaCjTSn1<E73G#@2k)~7Nh2DH(3*qSVg~}Ds(<4_ ztkubR68mPaFmAkjUggx2Dy>^Sq!7Zq3F&4E_J&Jmn%0uIDM|0Nd=t06L;T>RN8^sx zh0t^QzU^k^bH7YTmMPeTe9~N<3CSpBp~Eb9?u!Y@J_Y+IKdeg@L5RA57BC>cD9~Qg zGlfdLJT77!GuZH&aQ70Ee$EMDDS!R)kAq+59-Cx}>PvMFKAqbzff}dSKgn-@-l??| zY6_Kk87rI|J4pMQpmmAkxt`XNwkcHYWvr;b*3vmssN_ps5y=!a&U|+lkDEX5T?jp^ z-~VwieGXwl0;S|6<@<F-AqaIBR5=X3zo6AxVl;(bekpQ(J8m%T^{Gc86Mv*;!`$lS z>d^P$E|zpx+(!01OAk~m9<wr+v7KAB71q5{sGk7m)mb?R3T+)*)*bL^4&|PFZ8}$> z5{)Y5)xkEna8H^gt)1$qPIFO-LwV1SOFDV+*3H<pja%OLs*$eNcIg~F$Q2ui(<LBS zL+@M@JpC{7zd&{xJyMf<&3}@J(>_PS{Es3RUnl2Q{26gsv?cfjDE(#Tf0$(M(-DMS z(EFS1_J5P4oqwGys6#;b4|G0c4aMnxAvMDv^K*jbK93$~wpVVr#C0%ij3vG&!dRV7 zA7!^Wpmj&CZHiRxONoJ|_-zj2=!Uq{F{GIbpgbq7l>;fmB9G!m`hU`cW(Iah+~~m! zFoI12qL{~NM@kRmzeM<EC?bf>mca0~a(|36%U^n67k$XIlO0kB1`24PKa^Yt2E^Jc zFczn8UjPAjw+ZEDVW8CWpeZ(+gOJ~n=-+CI86FLkGMRvJIFL74dSex+kyZMGpgUxI zT1Itm7KYUPPTCIwB!8tqSMOEsvz!D*;y`qY{kY*BvT^j|22Wq|jOrV?w)XYGrtJqx z6T3@WbjbG0L!7nLU@K6_@gWn+I=Yt}L-v$qe1lXoP0kZ4=_%6rv`yaamB!K%l9Wda zzUDG(ydWCN%n;ZDv`aHYN0}MoKkd45ux+@yI{n%-XlozyaeovxZS6r$?!(AK^e1}j z-5&9diFGC_#?@{tWEufYEZ4KTW92z0f_yl%(p-5RJ^>Bqsf#)KcJ^IK@LSKR`(xvI zHcL0{DrYhEMw!;#(?y{Liao12$TzfdL7;0aDUWdzv&Yv&%zFb{DYv$0+lTKQTsqrn zWlyU}s-;VIj(;*uPTKkSqNS@nT{>y#cNKzcpT0AukRgf`(&~`>j3Ty$ZQog~J>xX* zTz_Wv$X?P+4QlXLGYbKW3DLxVxxjTk<%vh`URYwP!ZZ(N2*Ki&!pJ7VRd>coebwza zfuIXeOJ}*xe{@g%aX9}(Sts{zOXi$4wNRgc!oMYKMSoy<p;9>2?s})Fd%l)EBJmG! z9-bSLsXW4EHr7Sy_Nl7U4(m@mWO4*8B@!f&#hl+Ha*_=3IWt;sA#I~u;W?&XekRmn zM7)A^6@1s8dkfjENFzU0F*hiDu43*!RX6ISn`gW7?)Ed;Zvu5Ze)`Wm`GdSKZRhLT zUMah7TYno;{S3RdY5zq*VLYhYtHHwS{*8}fUwXfAS>0>yFnW0nBnAL#4?Z^~TC>TZ zJ_)miv{f6Q1Mmv~ZvSp=`jIfJ0Y}|=XXEj$yC0uF+R%}FJYI31A#M~^T61xHi(|~y z3py?yJs9?SIE?Dsd2E$8qg^ZKOO|S?XzvI0F@N(~OB$x9({fgaO_Z;vv|bGk7~ATv zEq+n`Q~t&l55#3Bp#ddghhm*<+swUlqbj-X*~qJdRoZU{cZxb`s+c^54+gS(e&t`7 zw-BB0_prG2YVb?v=GpAfs|W0YYYPXz2Fj4znYVJo68d{W6XIy7#A8qxWo#4tyyVp{ zGJgrZZ&?|Qw^&>h(>luxThC+1{bsvb60IK$ZdIMR3h`H}_TdIwC6#h@j6QQ&i9=P* zj~{pPl2EF4;s%>1d7tXAnIG?PD$z;uX6qPz>XH?U8krw=bMlf`st)F!1SKs=SHI<( zJey$n!UY_Qa#O0d;1=&fm9~u|?|WrQSARd|n^Z{fzSLRG=)&8DT5B7Rz3&w#T^+$U zDU(nt)fvR#veJdhZX4H3<mcj*ch9Y+{qDbe2A7d4ry$94IwKUsm#_rs)UCUE=W)*| z#-*QdGH-WxRL%*cX=}=d=z}{y7m$Q_v%KQ&pv<x(6MRrRTp)Zb)}9e+h_l3T;(z3S zuVt3SSs)5D6}8jgiZNJfs0%I;t{9Cy0u99x;Vh9!X&lA*Zmt>A7{%_is_1T;R#%DH z_b>0=GN>&PwjE`Y^rZjC;mqaK)8Yo*LDAS|Q%Tu|^2gmh&Q+lqxAroF4cMFAI+hbY zU#@6Y^vk$avMxAtO)pk)0OCEOQ-5CGy$+WBKk(xft+IG~>AgznMZ4QvuwIUhkgs1m zK1aTP5W>~6=Ivl2()=tpRsKhNutbw`P>Xp`k$CyEI4rIa{n&H+$sThs_(Hab{ujt6 zu=Y}b>1lRj?>ASfWFx(WoIDSf1)JZc@-p}`ROi1Ku2yRPFvG1c?wa4blYdW+HOYLL zsxZ$*T+#LzDXFAye&xWWU+kKnD4uWMRfVX8T22(2y)`bQ&zFBc7@G==N|#OUcIorp z)F(eL)+&-0FLk&`G%h=lFJCbTO&$C&_r%0TM7$Jykx5^YCEvATP&pMCm%ca2e4aX5 zmJ)kDEp~9Yq|!3K()#|53x5)6siP$+vBF$&gFkN-RhfyM=ZYC*xK)&Ib~?wFTi@`O zN20#R?faS+I4TC0QwIy@_DpPK#7iwNCf?ZR)E9Tpw-5)0roo6xealP$G~Pe0pI<U; zd(rTEA5;E9$<4Qo;`8OBvROOD@=c*Z#VfVe#8)DcmWkC~sO2w)wtpq34Zb*AOnz*C zi%OBOBpa}E6?d3bTNC>aS!?kYi92>m4HwBCwy3o%fkCw4Qva;JM{{k=wO6}K8-d{5 zFj*Bkhf2%Ou-r`hTcb8=;VN0RB~_mUt0B>Yh0vE1uyFy4Tthk`#vKJ0ovg)e{)wcJ zN^e|N59$_n!y_QTuz#tKFKdC{K}T<UuDAzv1G^CzKmaxM@bND413j#KF1`n4irw%I z7#7WSPh#3k!oSWl{&{O*{0x3aO_?N|>y|{^OcH#Z2m5(r!CDHxBcps=M9@7ca5D*# z=VJ2HbirB_|6@Sr$}egEl59v%e3DQXZH7x}<99U9`ckmEe}72^q*0K+?fLd)Jx}b0 zX27ZE!5MkN_#J8GSWz|ql4p>Ir})pkfXH?(2X}T3$cP1t`j@<fJY?f{c@DdsWU-ml z|Jud&XV5}A01EJbe^GN;&mX&?69Br7?cvLB{N7!kgutj8o{0IDMF=OX!659~2y12O z>}TtDoiCg+n16NnqV=<ky^W3V_|}C01G*TWUk5=#znm<TKe$^i9Z_%8r`-_(5{9WU zbDbRB)5FLA_4}v&;v!m42blBz&;MoFDiSx^Q(FFv3FfRI)vBiqy)pmCeyXx#hM}Ok ztO@vkuOI0taQrelL5J-yK#BV}p9_jBYlGYwyADj|w14im#mwn()IFWLC-m!?j^ueo z+v{Q1o-uYYaXlBb|ILp3gN^vj_IUz?+NTfQ{>WwaI~Oh2?_8RHC~^FQ{YM$Qf3OqI zay{pjJfHiA?%h9hH~p4sGX5=vxBJZw`GcMF2U`zd8~O<S>cM>R_?(?x6C3`06y_)N ztKGsmT7SFvBVF3f$E=`K?v~F$tz%zSZ_a;PYik|<@_y#q59uwdI_|wk(m_dlDwh-N z&U7X*xWHbx!022SyT}6k9et@TozW=9;!Ee78`(mwuL*Jv#OV;6SpP--7f9O#T-#aF zyGLr5UbDpiPa?e}NwsI=|BOg>=?HeF<^5IWe}9<#j<F^9*(&`N>;F0_U5ES5#u_>f z)TjtJZs4MF1uimmm71q}5hWu#S|!Kc*Tu}SFbvV9zk9le=n2roMgwU^!2OSzGfMCH z0Hpk*J>)>v*TY=hlofqjwSE=@u6i2~#XP1>ikTauW0yM0`P?BZqpvFq(4p+~Z5@c9 zPJiDbT!elxwSF`RkC{_+LvH>={?z(;aE#w7f$Oi|w|18M{l4|7c5HPT$I%FyQ^!qh zbTl+{K1V;!cCykLxV(7DtrFDvV%R^SkmiEsN9stW#Y;*qA8szZI%3-6%Gp*kGAZwG z<-Li<*J1JXeGn{c3tBi+F)gbqtE#%K#(!dNQ47<sun0^WE%g)$D19qND0=($F2+h3 z{b?!F`z`MrRYVajs2_>+&R%NyFwBo!G#d))BRo~K<MmzoH7xfbLx34HHON?0G@2nm z4{Ds1LLy(ws-8}Vw%s-}@5&I^M6Ngw85DWIWmN^zYa7zc&9h}yS<@fj?Qa+o`+www zr8ltX%*<*CvIr|Dqkw*y8FUZn5%IVoL{)wb2O6Sc_ub(w@^~uSO9#42?TbWazw$sT z8YUU~<|DJSJuDr+tz(T0+a~JV4c|2|@ft48-pR<6ypN2*25>O9RB;To_YrP8erBe; zYbe}Dxas)WXXnk68!AIX5f>X~*?+~6vwf8p9;mr0KGbTLYt6{nKo&dIBb`q#f%=1o z&ZmonnD1uxtvlM!INEd;xp|YBJYSV9Ar)(j%$I@&T^^cL1gI4G=N(^+bdz7pCf0;8 zjn43fdA-wZQ&$YGbQ+!^bK3@9@6H_JeW`p?@lh48PG@Fw{%eB@AB)hp3V*)Xw|*0K ze)WF6AKrRRh-FtVn@s<x&>>X>lPZ+SUv|K-VY&1y`A1138CTlk{Ssfh^lte?^}bV4 z<j*vUt5!j;W%R0y4l7tQY%5Nc1}b=)WGPG{-?|vWYPQ0`?DJLXr`KI(MQTR#8iUGI zjzJo#a^|ayeAck{O23Y-(|?b>J*{9@D?DW{TN6|PUNN_C_&{E_o5kDXM%Pb_#nx4+ zv{uDWO{~pMwEL`8-z%M1+qSG36$g9T4GsNLyZhj5CHK^i+6Jnd7ZlXerV=lnxH}B_ z<C%!7T}8ws?s;sY!c3*p*Cbm+(?Ea}K^3!ZdZ8poO@5ln{z12@e1Eo0e!)!Z884@k z)zTNp65Fd68rQ<Qi!8PGd`D($mVbZ)YhV0tza@d`&Eg+TlX>RFqomRi7f<52jcT=J za%q0O8^`we!><lQONKK*S?qnxK52r?pOQY8UMZbUsIY<_97om+pf59aOm_^Cy&Gw> znuM`hNZ<C+^-`~1G=G)>UtgNIS&)*o=UZj$uosgy72vIouDjprCpFQk;scG%#jg0? z2zn0{zH)1N8CGNXy}RM9fklm*H`sy$>tCIf>BogO2SW!hPTB`mf8QJRDe{s~-L1U) zu5{VUS9$URjJc7Wc2N@6Ia&QyvT@cc552}bzFsn($z>0zmVdzpsdzzddPy*pD@|Ja zHZWCBidS34SY@x>hjICA<|)XZ>)x((ZL_mp&6S5ZX+uJ`cSjQ4e@rqk@iw5p_J3um zlikq<fK}?#Ipw^@?uMNHeX9q9^r2=InT8A*4GzoeX?-N5x}rX8>jrEK_y@s)jA20r zu(GUu&|t1-fq#0#8+DZeb(MVevFos)>#%AAShXRn+6cBkGjs1VUUnXm!<eV|>SsuI zeL;;{h0d1R&+9vCXD1a(ve!lhf;=sJN)y2i*iuz9d(V~8b$`#~mt%ugZQ4HGSwFXe z{F^prC)RzuZ`W4Gfq7ZW)|N(N<2*y^<oV0i)<z}i$A7l`Jh|dLck8R-TdT-Z6YuVM z!)k(@YJ<YT4QFgsFr{{^W&CraeJo?lL7s~-o^iER{%uv-(-TUw6HY$fwzWaE;D)QV zDy^l7Ok+s_o-VCbLO$LBwLvLhUXC(;pa{mXBtOrzSkKP-D%!f2=tN0k!EW4-j*T;f zbNi(gfq#Z7HP05wOJ9XvF;7*Lea*2d^_)-{V#KB}Pql-hf{fc*CyJJ?xI<;6IUMhU zKVyrMH}S)Ei&neY>r=Hu8;46+S>i@9X}@28m&P$7q{5qyksjhU;r%gcv`{|6JjMml zsgWEjKoiPC$j7i~6~vA3cdVK%Si>$>^r_7MzkfHR{{QmJ^ne_f3@9mUJg)rh))cD# zA;03VLubBk)l$Hnz+ah*(O)w~vy%)8mN-ZoK2RrV)pMb&*(>(fUhpD4r_I*{YoJ$s zh!3WgH)=<|`xSS7w@mIV6-WlF3jBi%UgNt|{$)Es1gnQj!P2T96y?K=LO&fmfjyWm z_<ypae@_%9oSxG?=>E~~0Vki;G~ulYskrsC_VWIG88w7x6`4AKTka@sw%Z$VaFs*W zZ#?mR*Zi$Gp~F(qetwP5c0NC^dnhZ#UX<urd&6$ZP`Tp^LrjlC4p)I*gQ=o=eI(Z# zy}E(pVRA`a2<@rDG(o#Q#{P5Dg*AeSrGE)FTuJxx)WYPDm+ykFOeHE1@&-~(8(N)w zDxV1^zD-a*#T=NINXEVYd?&G0$Y*#gS+X=inS<F#U3_QY)8|6}S$N9boq<Q63k_y9 z6qmp0wTb6dG1Ar!*o3_i&wKL@M#|_&mMlsbl~`TWwIk!ceJ%t$IVkGy;a-0(41aMF zRJ7?#j<wKLWejN;s1FNpavd&ym&RMVsK<3vH<Tfyet;Af5awEG>cpbByso!sp&QEB z&|u1<u3U3@*=oEu5lR|RO677Bdf>`*zq-Y{f@>kF7JEgdspN`ksDAF)sCxc1ZQ6}} zlJmp8EJ3+iu)@`f^35c1=T7&cynkS)*N}vGeusi2&KP>EU-0UVNMNOy?Fzq(OPnEp zDdX6K&h+sO@8vt-+6fii&Lq5<UPG$|Rz@u7VXm_BsWL)Rw{s^;kxOFh1AMJq&|bQ- zGDq2uq-Ut_ZNmd~(tRqrACr>J^wL`Au`*YKE*bHAa&<uClK2hG^vt-w^M9v(Ov*2E znQpy<ue}oV@Rf2shi-7E4$9@$GS0rXM<upB$=FP<zcnB0=d`*b7f29GAS5w5cm5n6 zD3ESd=}YF%El5gaXhVN*9N*Ac#(^<dKcCf|%Yg)O+i(1fACt;Y^Euo;6Lh~JHf@Ty zw%57SISm9}^$x{{mHS1cgMXFe(d@U{FSBN&!>5?-hy+e|OZN|38z;wu{Pe!&s%B|T ziMF!Lcq+}PLyvo2%z+O7s%D;bFOOAK9axPW;Z3UM<$8NsO2Uk+ZJ`5WA@dVzFq|Y_ zTsfjSa-WUFh*O8F$L*hhDjhD1mx|iwhF&DF;o33H+J|c`7;p}7Sbyw3JxLF@aHX$U z!%AB*3d;sPhhswQY8*bKKYI9(9<1TZjOHOAF~{l!2_l$Kgkmg~2P$0|eYk9W8V-rW zQbCPzX!v$4mKN$jS>qn3c7&wy$1R7Hi#Z5a<0W0f$s!Jz$%?pH3@m1!k&uh%58r1e zEMW>Xa~VPz2wj-dHh-GA)S+hyCOCF@a-147$r|SZPmXP(CSAY@Vf3^FkA_|$IAP)_ zD>h^ZI+(WKD-iZ{$yfHLQp#qS`+qNj2$d!9Qx>!{hO!W{F?j96kB(4Q#q;905DwvC z0wg7zJ7Olvp8Aiq@#^&GlhC7thqz#jKVl{(hf0+f%1Hp>D1T3F3N(#la*mOy2`c|< z?fl{QPbjPAeYKlu$RdQpS1XVI{*;NPRIL3m^eK`H&J~j@Cw_3N3gW#L*BBsu47p2L zT3>9cZaVuBJrzq3u(0q{H(j$+oK-A~XgW<2!-*q0wH=PCGL!D(P#71uZ?piDDg)^< z&H$mOHFG3X^?&figfUIy=$s>=-g!Y*xrqfOnb`FU_Jj9MDTY+nK>|B{10w9%>tzFu z*0Trb9Zuk{ADI?_hT?)S@)&Q#q()7wJyShLfB}vZQ>+DvvS&G*^k>JFVLY|VY0&He z5`-hTHF!-7_BhlNR|?<NW{JeILTPbph!w;NoK`Ch9)F)W5o+Ic_T-Yo5$LEJ?C5nK z(DK#Vj4b593jv>ri|O^NMm=hZh4T(Ayz1g_stGTgc_f1%)hx#*<9KXsXA;#Ibp>zV zLuK)X@+V;XzkY-I5DcZEQc>|M_0O1e@oxA0_!UVC+~^lA?H?1H7<GN!@1cr$Lj@DC zpTB;a@P8p_OG9O&;yvpIl3Q?zCnSUX@>rq<RshvQiE0eG<*xTo6}%G6y5(;7P+xTo zA_v5IY**)W4Wb6R0RpEhNSl{-xwbZzSAtPjBr3kRVL-G6*AD2pmuDO`z{q3kh1czl z8rTGgMcrK5yv574IJGzBn>x}^g{XM%X<WBs<$nc$n8Fo15<qQtW^_OJ6;bh}r5^2M zXTEoJ_Jvz^=%82c@)ZtCH%6M=-HFwnw<pduzKCM&>usUKFE~c~-<OUQ(#2WJexBdJ z-t$Cvd*)3~82ETc{hZ*g*?J3}eAlQjT8ic?vs)j<o*3(>s~T&o5}%szoDJ)2sIqFU z5`Ukb0NZwBI*m98PT^`NFmXpo(i(!tacxIJx#28S{{sIGi2wJ{U*`L71D++ID)jyY zZ1_a0>81?)yL^8s{P(~siduqg-Tw~$%e?<I@cS#e(AAT07Is83Kft;=bZ2I~nP(13 zqaIphoAh?+J5q1V4R#yG7)bkFQ+p+oDSvw@{lhR75@J52>!C4}g-tNT=OYudmuf$J zoJQwnl90bzJ`B?$S<Hq+Jg8-)iLpy<!^8a<$3uq1Q64^b+4?FC0B?OEA8DBFG4|mj zubR5N_X6m$^v20c*A25&hJ3Ju`(8Hbnbr4@(^Tw`?b~-J1~azkK#jA;`N;jgN`H69 zZ$b~0<-O-YA;CkQsHKeI$|~74{`6XvQ{ZiSP$T3*^*V3*1H6gXbbpq8VokL3%6`OS zuoj9K4wRPUyb=-dIPOUMXjJ1;;yA!;iFn+gjUqn%@G&ILc?A*ic$>L>w5@T8NMX+Y zW<L5Y`Ruo(Pz!yO$SH6#18B<51%E{p)AKN53QDU|o+*_3P!@zlU<qu@EyWx|@_hl$ zlsSiPj-jf)05i&*Ll(ynwYwQ*&Y|22#GbvB^7U8B^p7zy<jSk1xv%XR8TLi#B1%IZ zEg6!sXbukWisu)Okc(o~J`UZ^#hNH$e(m^#mYg-1A(^+}%G8zl89Oi4ynpFtYcKMp zf^kwXoh-O6ayCS%ebf~Q#{N%AbE7?r^JCiYg_KTD>;hOafEiH2{U@dIKr9&J={*&u z-gB|q{$>^jSiP-tEqUOK9Rr#gDnrmSMG>D*l#JJ(m?at`)Fbw<idFAdyacq5_X1;@ zYwe@{cW-&w#YVRMe(?`|3V%vZaK<ISc3z>Wx>OyO5K{REBGx`yy^gBGG$Yf5CU@*_ zdhuIk@u#x**@OtXmm355b3Jc)k*Txx&j8-I`o~eV-`@CQU8z!Pju|%oNhz$z6-6W$ zjzfJU;!AUN+ee#eM2lIhEWK2lfeLK{1ljY4D4N9*AoPGN27dR{+<zcnS8X`KX&<Un zR3j@WRXs7u7R1n30;oDS&ROB`W8213K+WbBis*b$@{60-hLa0iC0@-e#RV4hcmL73 zFVQ~gt3oc|1Xn1&nsQzl16r_iYT~;Sis)Ulb<NrfFc8S-_E9OIC8ZWlfw?GQ?i6@& z5eV}@0Md&<+8s!{1Al3EAngvM9X?&GHtGjLHxPP(&~>p|E!W&DR1!FgUPh=uQzH~H z<CXKu5Kv$NaH@;}!Dc|{F0B55&6m$X1IEt*Hr_6XlJZl2paPtgt}LuBm22=#FV%B^ zYpR8+a`iUxBD1;nav`|1q{4GpR9T>s1U}psOa<Ist3N#KD1TI$Ab0q^wA{EbX3{O3 z4yIp2or!#k@B-tYA(i~3RN`)w^GaIn@nBY{5aAf^7iJT_sMQ~l!=%ax6(O9!als3; zjiYiHRB1@TxJ7tw%%8?{WEz6Yg^DI7G|*$J%Un@`!TIBv_M0V~wwrIvx64b$C*A^Q zZQc}BRW`~6c7OO7AM}qF!IL}u6X?FQfR-Kb$FvL8P3ZT|E26+PB6A9y29!Y3G4ZnN zb6#20L<K4VU4{n8d8t~G<w<Eb(ADHFR7;kh0uQacxxeLQv3)6|!Q3t68COB?5Os<m z`UB&j#h`VjplW|SW?AmtoL>J%YsIb8)<5&yZ+VsKQ-2wRRX%DTRiEPj^*K2Q=nN1= z(nNBO8;Yo?Na{$=aYhlX6yJvt&c~OEPvQ90Z9X}#Ncj-T1SK3%M0Z7KfAT`bIOzp1 z8n3qrT)G2^YCrPoE>-V;)fFTS@Nt5hA$iGrcNF4FXX*x=)ic|jS89AJKPJ1qAHO?& zr%13D(0{_KXtSU<1RTgjqS{8D2XL{?>*kUMZUVvqdGld%uCBmU3N!|zt?yM%2g^AQ zFfC~%p6mMzp?&l@r17N6LsS)xzrT~&!K;**wCEfsRn7b($=wBreHQuSDQTY-{{D{u zf4V~@CyBplyuJ!@l>?9q6vscf9JJ%?3By}+Q-9J1cx#HjKt_DJASL$4r*lzaS$sM# zB{s*WvjefkgU;3Q+4xd&ql@M0kM>c+Mi)0q+=P`m2dG==z{;pnVqL6^1SO8e%E(iS z5yYpnsaShuFWk=^>aOeeSa;d0Jg90P#ouQuT3kGD*4%&NO-|a2>s7$DVkNd@6dzEa zQ-42ncG~0FdgXP9%^MYo!EDZd!T&XQj~V9k{;yeoL*l<1?47dyaHQbR+|At!)4?7} z(;k{PFa5R5-%#~8;Qr*n>~r0J!T1~b|LJhw=Iek(1I_v&-6bRTH!5qN0qaByqp1-W zuWsT#1qQ666m`30xAV%TCTisUILX$;3x6=zYP^T6_(<nsz&>Wc#{q*Gs(hguu%NjN zPfCINwP+ND73g%BU6Sq)`*o;7S?Vy~;_*YZuMTCi`49C~9O?^nI~3~sEwuDoNa9ea z;I~i;Ae864!q0qf>eg|-A^xxF`|6jf%Tndq>9_!&t?C7mdp43U0V7HOq!d!u%zw)9 z91eokfIV}m+ebsDCQjclF+z`(2GWhK`+H`$RqamO6x>@oS~Ds$g^%*_Cf-{Us;PDx ztQ;rV(S+7E%nj(hl_fk|#v_`e_BkiCU{qvzf)&O=3wD%DOIXC@YD&fJpCYm2Trq;$ zQZe?&(A*@*;kOLzkCGV){TOx41An?{zRq9{++Ca-2CU^vjTQ<J#9=XLxMKJ^{WKMn z5!XxkGQlYujqU%3o%euhs_WK9>Ak2l1tBjgA|PO)NLLU+l%jx?Py`gDg&Kl%MBoJ! zM4Etrh;$M_Y65{oL<B@oAfY4>r4tBI!9?o6gYSRtch33Fx##}(J9m8Jj(;=8%A9jO zbFR7PUVHDAHM3TB+!Py1dHvmxmVsbG_E4Mt8Vg!tox=E63pZM9eQIdy;u<d+w7xsU zc@e}FDYee{2gsh#CTPgRpol&4<oa~+9*54+&Dx>p*xmE%M~0#w=t}PYZQndr_lUiL z!eYh?^M_JAku2-qhI%f6cz+}L);|s9Tm-S}ux%PI9)BU2sLQu$v1qXW|NgMU`>$p7 zSnNW}++R=sC;Z*!B4|2%Jzd}mCK$9%96GY;kB43cmGmthhY}x7w{mQHEmps%eJCXu z$-aJJvA?)SKP|T7V3^AKsm1;m%JEX%k*w<*Lm9=gmz+6u4u-J=Cx7TFJsRq{dv`q@ zg_==EU99CM9zih?xlza0#fPd5U@;Iuv~IB0qWEI-knanyK`jT72PG5CyJ%h9cBz&V zt+cK=#2sw2{}PHRZj@*k)8GE@Xs4L-(i#_<b^Yhi#t?LfwfGtka_b-ZplLQBVwT7; zrbv}2t_olR$Da&e&3~vHn<##^lO8$n>L%=-Htkk2yqdF2>P+z%DnT8ZYI>?Ugzq{* zG8taLVhMU?;iJe_HU%g*<I)b)NaX`_y0d2jfD~s}_*rPz4WAtiAa8mxwwa<1{S#=4 z;M#B6$#HVZUl<(CdtI9iFr}DEx(NX@Xtt9SAhoGFX3EHs)PH#QQ>9zs!{Xnvftixb znSg5mDhT9RfFJQ^p<EU|zF$n^fh@?oA4u(r$0p>@e47How7>loZBXCTqz+964%y7T ze@I_eA-@aBaMj-#QpoQNX_E6K1yMh?Sy!TRwXUsZG*>G19mm*lIq~mhKQFL(TU}2p zYnMH9H}Qo*_ka4c^HA>V<NRw5XLTsoz1hoF5@1!&3jNCv*dIW)B&kp)_pvb~UHRjO z#;|Uc(Oew&$KYJgffK;QM<lp=LKc{Wkzb7ENzK%Z<!L(BL+{*5L1DkVljv^^Na%We z{+5%E&X3OVB>l;an&mxpU;Mb!os}ST-Y;G4QEg)24}XDy&v4a#`8w~>=d1jqmBr`e z)t^liIn9V)my;o$@ie)t&Xcrgy2L7cb0B{>QO|SY8SxK*tQM&Fe)!3QhXhQ5)d^GZ zCGX=5G?#%I=c&23$(l+ukQs#drfHH8g}(-XEpqOU$N9f$ba>pXEc+Ql5diMJmJ>c7 zAT}Sw8-FBDWLZV{EjkTJ$hf$L%p8+wkhramE)EB3&3@^R$Jh7QL+Nl$Lhs*{t19kW zV&l(Nlfp+>QCjPpL&QGRd<bpKXU<%7$#hUZ!!e`oY#a2fmOS}OL@ufE*_57&J6;)x zV_ljOZ(1wiCp}EQ?M=Q!L->rNqj+}+*&bUzZhzrV_zZ?9zt+h=;SwH_acr^yol&RL zb}8NC?Z(UVFHgC9yzR^WVbY+JvK{mVbrq=+?tYv6#YZ-DQd0zMd!2~WyPy-mrf%Hl z@_qe-EyclSRxRwMo+k}cyMEmwWNc{M?0Yk%Zo}9jVsXZ&ZxWXX4}WmzvY&_6_#_J3 z9Dnsd|FW`&R`>K5NLHJF$V@M~3f$Dj3)Y;h#0HF?X&VQ(e)7atd}B5?|2iPiT$eog zX8xm^Y#ns4xh_{?fd7ibU08^vdY;%L!u{_L<{z&G$fB0luFfs5S<$4_H=JvCe|`um z{57q_4XfI<F?rKGuh(=!d$LnUux3+vs(%pgFh2PsdIr8zv-ceyi5><QjjM%AtmUXE z%0`o44-LNw3=~_)m&(rAYHd0)r)upF8tU4sSJz?QFwGOq_I_FxKWEJ#%db3LkanLN z>m0IF6i@G^TiWjGl-F&8&0K!rpTGH@41AO|;^&&L4!`+62na=iJpFp}!r9U9<$q4M zTm@W#Wleeet7QNJXZXto3<FaDr}sx0p6>&m+XDcijp>~H*}e7i-O$d{y1I5mbpB$> zuwTxJ)2C|}Oqc%f7Tvho7e8nCaYT`kAG-Xa)YUzws3?5FU94qs<R|i>cs2zy??)%@ z@^9KKiVSsLZ0S~uez$2pq^G~fM1SPyoD>gJUA#4<SPZ^c%R@XG=C-(A_p&a+#@3dS zat$NCX#JwPIRBE(rM=j5YimQDm-cwjESqAB&13U}wtI{<4$zB966{}%t@#Fid^?IJ zeM=3k#|yhpoz^=joIUL6=AwcA(B6`rle-igGM68^#?8~eBpg4d+#f$D-hY12CKizZ z;c#T%;Y>#V%|gngYrdY_j_e|+I64LrH|@)h77tcf%pOvFVG|FL0&+#3{;r+q8yQh~ zTO51&X49{3+M0o;RKHQ@vvQQH@816f&deEhzH}cM!T;-`Vt@a1aJTC0PSa`S=wT83 z6WZwO_U*@edLJfKoPK8c4SyPYfEDXhoi@wGeC95GDfsyFmZ^L77xryQ&#wb%6W?F% z{8WjbH}VZFjslAtte4>0FLbK%SN!_q#XZs2@`_ni&nxQ%JR(Tk;zvm~0I8QUpmYFo z6TiP+VlC8|<!5|6Eowyj68P6<W811x^@)25<{>4p?F!9H;QLP{(0^p3fkTa1wLvAc z?FxASddWy8qwC<>(x&$}Kdt#FIOkuH+F4X@&Z@l%cv@GO?6WCPH`24mHh;JFoc)v* z<pTcIr-ENJ(rbWg>kg=HeliCdrO%7+EE+Xu^^_v7=xr8%z9pd7<YeD(4qxhDc(^_{ zEJr^YhHTiuB{T~)P=EGp)i-qx7i2>BdS2OxV2$q*_dY?aMzc#Y0Np8dFVRP4l}gbn zO3{djcD1d04)q0j#8*9D4QX9jpFBrR^CymbjgL$n7PFrYd5kC*&!6z-P$x;#$T>A{ zY|wkRw_ZqWc=g|y;hd}^@5JwUo0zuyO>gWHv?ksO0Q`g2#D80WJ5eOzZi#DQ(aVz6 z-3<J#mOX<z%}Xlo<13Pit^ilwNVR6vmA|FV*LT6tV&OgV46gS*b$RSd+Y~6ws9~Rn z8d<MU9oJ~+nKVQ?U@-k7b(+zzlPuZEa2(a674=xMgS^uWtND|`vtiqZv?B0ZrfYPK z5ITY;G4;)o;eP>-p6OwDti9hOSU*#}TxPNO$zH#B-B43D{FmO%Yo{_(=iNPCC3<tm zfBvK`+^dD$`_y2u3)1n>IHf|4_Dzpyc+N&Q)ZnHL1RWxE1s)<D^Rz#q*J5jC$@{93 z{qqQmr&p{>)5SddKTH*$l!+Su5Bz@wZ@AMHYW}|JKYwWPPY1tL*|^`m;z#`(41GVd zOv>^fcdIBTe;z66|2vBR!2Z7-UUs>Ww^?LZR%w`7wriB`>6Kpd$~emG3E#n9ljK<s zF}o9D+yEE(i;Mo|(aNull@1B_?%leR?r`GN)4itm$B@PrC-$zT8wRGoFy37_mNI+n zQu_9zzkj&7p=ht+gJZvz{^Fj0@yfrr++V!+H%H3<07*c$zxHqbzxnCkJn^sN%L@PI zJ%7d5^H+R5f9ZP|`m44lioV`dw(PIW85i*XunQ9H6?~87%9*|WkTvMcn{JK6ml6!W zdn$qJ&26U&DYy{c<2mOE6NN5EBFey%Iu7}BxS#xZ_qKl~bXSuG#JASJGl)1L^<_f4 zrP<jmJtB}j()fiS_quef?uns9w#_FOL5CI%SpEn6kHH@1e+T_tyMG$+Jy)c|+NZw( zjvg*f>+k;$?f>hb!=d7|`n&%Q{=2sSZD2saL*0`ciw0K~XHZO$?<<UTE8idc1-X$P zQI#gXmMDMv<WchMFpH(&Gm8^N6w|GDCxv@G80oL!_uSY@!Rx1<4)R<_yXdEHbNEdh zS1UG-a=ZPNVsWBV?##D@662_#+h3(Grf-Y-P3SO`-oKTKzm?&?l>&Yf3Jj&kZ>0hw zIW~@hF_Po-Z7IKr^HYbCXK5TKA>4-Fw^$h2@hpFh^Q07ua4(2j7U?RKJS)htA5kKU z(3&Jr<2*T~1t`Cjp@D3DHtn~v=XcAr-z|%{%nqv*8|wneI_$CG_g|@>PxFQ3G*!?l zg^!C}820g-;7`0gnCw}6egH_OrN_{&VV`s7Ie=t(4SZH-?)ncF%aAS_;#pxx8T)=- z9d3VpeelBYHe==SXT>v7jo}Q&%Hyh-NN+8_S4Nv*Q!h6#uE`|Nw#j@_KY?%6wr&e7 z^h)h|9JEWEe$xJO(|J2#b|z4h)RTCo0lif=5f`{QWi+>rPU~F?2T3BJ{*ziK2vf_D zRnqeg+7sZDt`WI*956~;SVs%;;&J|4Ix2tVYdfiH;h@B{Ndt=--9wvPi(SQnDVtMk zzdC3)PKg|4jZ|6xc4K-g>&056a7Yl}`X`_=%BUpEGG6xbfBnjJdO5uF$T~WaBqjOR zOJ=M$rzDAPKeD4uLGfpnF98jGr!Q&=mp8=){M6K34p)}{F7Y(&U727~%abL8`X+xj zd2mo|%NsJpTVY#n?nTASj?d6H-3!a1oua|*X-S$>gPgL}1{qlq2HzzNqYS=d6%@kt z#I~=lJ-H(@{bV~Zefmkn34xCXaGFm0o?}*xZ)sm=pcj0M>L}k_8RB7|#D>jp`svdJ zFx%Hp{fwjVdfo}Q4U8C_yf(jkyD@+Km*AE2#3K>&opTaT%XfORi)^fFw<VsM_sL27 zE#utdWoCDX6DxHOBWl3;LO>Wp&)<AQXvEK;0FHV7CP1#--{FJYQT$0$-X2yUZ=6|W z5Z??2VMuU><QT})0|qk7o*hO_ai1`hSin(15KoWRoNy{9@PPrI)}B~in9_d*ycStr z(3LLt-|o;O3U*8Ma1Y5E#?O>*FWo+6#<^t#h-lAf;nXzKH^6C~WaOG(=<i)EE=VOG zT1EWz^O)hH{UY<w<Rw2|H2*qosO_R3I}sFiZBg+Br@{W~eg0UKEQZBQNui`R*%sYO z-h4aQ{lZ^c82?9`KK_#6L#2O9V#VIYoEQB#(TeM8Ltuk77PLrk^rB*MON<mB`uw`t zP}~dH!zt#l@Wrr2p%?0gy`j<jem)tBrl!G#Q-{3^OCER^2BTiyydZV+&KLSvg@1(U zC$UsmcS^HB*dM{%C@x1{9i}j?Mc?9<*eUTavHiVRe%xsG_1pXJij{w2Lmyuk7@9P| z_sBN2zX_;(?`Nzl8l7@D`OUXo1%)Gc5xa9!DwUa!(oAoC=kJY6K7FKIZI8cKOVsY1 znbEGqQ`o83cb=zitDo?5o>f0lejLzkV6B}ry?{r`^U?y{-=l3De-Sp<z2pK3E&{E- z;r(ntTy)VB|By0dKv;h~s5g--R>;}*sU)gVNUMhv`N=Ix<<Ei{R^noS-b6Ye5Ei-h zCSnU_c8K?qLB4|@zePb*M$?wZHKy>7A>T?gLsDr0CE%TVe`xiDw|(-BvT|JrRaQq4 z(~?(?t}R`G(Qo)Z`1nl<5?l`AHy+ZMB0i>kFIhbloC*snY1@CfH_2AuwseI?|D?vP z+0%ylgpO*20`^Lf;7*Wl){7wRvBy%>l3hTl)%Gbas_`zMG=r=^0r{ra%t}1v6diBV zVa7}3zsnK14?Gi1ogHJUyQb55=svBGB*_ld@KP9GD!{d-v_cp>JRY_ptQFxM@*KvO z%%~$_D~B2N8rXlz0S12r^8qn<BW$EcU^-87P7+$*)#9%FBQ<uPZ=~K_p~A=0r*1rA zxP7DL<(B9-AV5oHW~iHBK4L)YpNH1Q)I#XEmF}r}Q-*m;yBm4z3%H@H-L0Cz#lnpC z_IYSeHly7jEmhH#vs3!lMijp?QhoDR+Bb^djh%&^TE&0n!lxO=l7$(@I;yhiRY8X; z<;%w=;8tcZ`Bz#Zp9^@F%;JN~^--46#L6cWE93ux|Bv7WIeJjW-&g$yE&l1?m%$60 zr7ME>{|3A7l}TxT`J7_)hx~uR!T&wnRT8|=8u)L~|G@bF6WD0!BlOyJSk);a(kyAo ztm(BYIZS_MSHuBcGnSWW>tlVoIYhC3tjgBMvF)vEwQD^TekcW=+>KL`5e18+z`e77 z+bHeZDE`$#e!sSA>soJJKZd%J)P1YlmAw4Bg`~;pW_eNYWX`^6v%<b9TyCHFg7;fY zl<gm?3HrOC*YAe#-wnaPkJx<bcT`P)X>v0BJi&h^`@`wzyGi|@eirTNoo-I;$8sG9 z(lil`!!DNvt_-=<L$kLK37=eYgPXw;Qlj96)~0J+fy)jpYR4S%7jW+$djB9!RQUVG zg4<3L*7RG{&N+mChCd#VYsOg*C30*IT?C0Q8n8y*dm+fbUL32d@;~5z0zP8>&-MRw zpwWL~$yCYTpsmOBK{nZ+{_{rv74Yzp;<Rsf|8txFcJM54Hr-5-i(HYJEbGN3u4D}G z6n6pKrF122#q9=c=tlhp6*I!h*ilI>J6q4)jXTaN1WR-!Kf#P}{@!N^q-yoha6j=Q z`4&Dz>HP&z%HjP5P)Xtal}ci7{*||YElhu4e>F1h_iAJt8zXB1!#2wLfqV;o?sr4c z-<v~qey?UGsymAN0msx~6=G}^b&5?=KiZ8$vkD0^C&RZwhZh;!Ks)xgfkHcf?-AYo zy+@R_-P6iPzkUO=zd9Obar-O3QT+x9^VT57mHgKpQ9=ISdqm}oJQFzD`t1u!ZXADu zmX)AAF9={uPo--PpN*B%VmodDfNrcnZq{RUeNb~afU!ZeM80g{`O?Gv4Wj*kT(CrE zq-j@fU01#^oWw}e9zRZovzn|V^QR@ln}Cr@H5uMD<Ld2U<Kx)i-~*LK?DFmsyKye6 zd#?2x@1DDoO{G7qeC0`)2}*`%1SWsO@e9IW*+g$|<f@|x#Lu@S<gQu$1`4oy3w#~| zAI6R<?>9{dNT&^8I;eQJ8z=ECU`tcQSXSRWrbBcyuVO4LN=~8KOh0rdY_WGp-LM<i znPux!@Q~;rsG}j@yo-M8N<JZc6p>iS7b(ejN$wC{-&sfmymteLNs~~LG$eoYqBg#x z?52+?!R?3nUS8otpBXyf)=W-I-e#sTMCPMY25`5?0p};{Hf+-ke!o6CHnEWfN8-U> zPPa6<=>E{wm2WPz2mJK`?c9^Pab%#u61I1FIZFgQ2z)<;&GjY2%h{tpw|N1l&<PCV z1%Ji`Nw%>@1B1UNb92(l!EJve5NK1K>FsjTBj5(bV?q?S*rVm^zxxjWZ5Mud3_!<* zUbT)*42pmakz>n<;H|-(7GbdRW}0HNK(*cd`i*9w$9f^Yg~~O%pr&1=+kf}J-0tUH zLnj8%uuNfVIzo$&i;M4%x~s=Xd_!DZJn~nbsVzLxFk)k4V`pPdnty*DBzoh)F}rhy znWr`4G+sVqG8}Ag3y0}AYtdRhI@0PWzsm9F2WcVJ=1}Xks@f{lk3O6Cy#eMUy}cTz z`T%lzVexs@eUVwGocxS{(V!H<WS~)h))Or-vKUuz9F_)M=-a5LXd|a^1<J4%sM!0B z*A#tZA<ppxz6+HyM|ppZC?FKz9FO6NsB3cxwy<0Wb+S@UTNg@U7G)1Bbr2&Xo=W%- zM+4((+O9xeK=FP2brelxIK0gX(Fs+5ztKRsg6zN%PtZgik?jfgf*g&#y>jC(9FW@Z z14?#Qr@yvf){+GeELe|R))dx}ZgCHNlT`Txr&+#H<=`X}ubF@FMA@o;LmHldXci>a zSjY+ma(S9$ecv5Wcyq|GIPNm%6{Jg9d8xamaD-s1V5>SE;;-y)?k`AnBxzxZSOW|Z z1B+>U&=$iP#~I7{fHP)|oyJLHrLlLi|4gw?slVxDjb7ETuX!1#<}T_j{f{eoT1nOO z?<Ox=*K}L&*b{%8Qra>_TPiNcTllV3$2F$rSXm3(aQ<rXdQq5Py?pTp0TOk5x%~0s z*h???LFAXnYhV8XWhT*n@|aRlxjDQ~Lp5kQr@}(5$5*HL9&@MNu^o*?vASKJd_!== z+tK%<!{M{vmnJvX^Mi(q?nXUsJ>rcW*p<sOo_lR*K5l<*{>$F{{@eD_&1(H|2U?a% zTHfc94y(S%%Fk|P>(7+4LYynsTjg{p*X|M*<aJz3%;`BQt@lK#3GItAqa)WgZR%59 zB#(;k2B2R>TP+_nG5C5@uEnNQw^-$ZQdUTGb+Gv<{$!xBv-}2sX>35{^U0E%ofhH; za^zcy@*97GrQtI-4O_02h8t8yJ5;UgT6tbPwUKhongEjL=PT_9sLb1PEHx_*Hg~9^ zL|HEVv{)9(@j^LUFCR5Q&)oEFu`E?84u&~Y%|zX(^ggwbd<{w*lqViE(V4j!*<w%% zDIPhlG}l&SwJc=<>n`P>7-doTO0{Ng9;d~bz~X;z(ppExB5Q9BS}cp^<h8C@EsL1I z-j=#kz*!W5Qba%{a_dUzRPl(+)R}_u*UfSvVe#t;zeInkYu;VE6}(X$yvO5`rDVCj z?)GN4duYW2qG*yMXWx(}kR&~xv=;d?(_8XTT!WC_)@4tal`&tR+4fhVyTo+qvuCvG zhh=~JkjM31FNS=zDXJjZ$G=67CrwAZeCd7U&`yI;=ho%Buu$XmezWZ_Le>Nq=}+of z-^$Icf)w??7OuQiE2^O6C0;ICc^gtxu_VBsG&P<y82Pf<Tl5gjL$-sOq>1n}UhgxD zSQ3IdW;|KUEui<wF1H!zzcdQ@>Rt36_Sk>mD`MrXy6z{8jQ-b;D{otLKfPDwNumH@ zN4%`}K75GyT1bz6SqT5f3Cer3?M0yhhfBu617_Q^LIaLb#=*U2E2m7hZI9JH4N+@W zRi2n_7SmCwxw*bj{3F@bX!*<fa|I{4^p<P+9*}`U8~N%VJ?*M|e)J&?(+0NPg!X^N z#`M&_r}1yQbwt;!fykRZn!EMQ(KSn;>a8BH-TLI{niY`3W)IJ9{qyM4WYD#(o}0U8 z@p_`@Duuq;CD!7=q+=C%52`BDQI|jQe*C7~`sd^tzA3ntP<6jYY{!xkDS1FLTf?}j zQikf!I+JQeVV$$T<DfVv<#qdcsyBZjyszow(c7v|z_yJ9+3e7rn3tvS*Zo&l?L&8u z%g#?@J7c5S*Vy)0c8|2PZVS<ksGTGbW;(8uHJW#qV_TK3K+Pd3V~XPTnAh0%_;-2R zIol=LdADU-g|maM`YW}v(YgGEsM4f&B(~Ort<tT`txN<S!l8%@^fUgv{y~4#Vv;+l zj5LfXj~iqu;?`x2P@^BEB1u0m3vtR!&RiW_5ocQ^>4&JLq+L=Jse=@biNv6>u(<Hp z@CV^BYn;>U9YO?20)GT&t3oSp>v?*JzbrM9lu8mHO=4EDEf4&dr};X#BaYKKs20=> z5*dSkP|NJh-@y|hL+7C$px%F`{z1J+Wul_xa6N5W%thQ?PwtCt3($S3U=j^ef<2Bs zj_JaJG1V9aY*DODOio-*Y|ew67_m69SoJtPc4anYra{g@)<O0`W+T!#$&O@7$|W5k zEs;D)l_WWmIVJ;}ff2$=V1+O<*cpr)78KVLqsJ1>HO1s4=)~#7>%@QR#6QI|#W5wY zdvu$fevN8Fs>M$KX}Lo(PYJR4sii9{b@84sot3&JxBCZ0Nd5D}LM?UK@}F-BPr`%- zL#4Kl((S0{N#$7c*djJP=BT6Fhw1#(5|R-{Fb>3`#}y^HEl#(lDv)xp%CXLDoljg> zBg=GOe?MfOJSeKz-6emP#ZwufU2?LOqg8V(wmP=@L3NBElMROr3y2TF^^w(vDTgzM zbxlx-SBX`Le@f5+<RIuE7IC0efPT^6*I$uZNt(g-#HO)>Sarn-;t|4hW2zh}11lSA z&L)eECpDAQNJ2MjW<)w8%LbV~2zoF@2)>h5RxYkeeM!)^uFij5zALjkx#ci)lDPHA zZF=*W-pvmxVhi%kqi>r_^HYMXHm5S1%kopwP4x0VX9oubG@Eoj`#cW_*(O@1o|Y)& z1<O)rQ%^TQFeshA?r8uBj-}J4o{@lHTB>*5(-9D?OZ7}WeF4F+)VHkhB++5}a_MSW zqbAYedCljAvPOS-KzQ|8tgP`IAXI$LDO<M#j4zeeUiUNv1gFwkQ_uT=U{>mP-IJlZ zRqAKz>4`$p>rJL}KR*THd1RvZ?DN;)pl`>sqEt!^m*&4F%J1AUDFT8ov7T@-;Y~3S zD-}Q5FE47HW5dUv`pe7zgSqO3KKl8Yjtq<A)fq8U5Bz^_WZ!eHCx1Z)e)fG>+TD0i zO0n#5UClGKH^+g>vF3wS^YDs_Ju{{BfTG@kbnj;Y3Ul-Ql1~GwXXou(>>=q;?^#j% zmRpe6f;CO#eH=Uod_4ye86no9d#v$)%70hMez|e5`2QE>Zj)|@AUuuhZ^~Uec$(O` ze~taWEJl9~_Mkxzd(gpuvXXWOIDIvE+NtV|Z;w4AFBg~J=mq^k`dLGZHG9@hZb6&t zt4b%!%wH@2tUmp2`mt{CpZa&NS1=Wu>W*A4el6X2N~>`^F;Bj+lRF>;98r<0KX<+2 ztUfq$(IUPt--4ysS9ipr81zPl?Z<0_hKP!IeZ7CY10L#=i2|03vO-^Z(;^oA<3pYu z5W^k?c~uS#N~@@Aso)ds<<?UGhd&|~x#C0e4mi^zK$<s;olXBtxg9GgSvuq=-S~%A z<4~f#!g?EESF}0wiD$<}e|t^z*U(v>9Z!a|HB`^D%2WJn+|vH5L62PH<iiW4rMkiG z`r&`q|5T316)bo$<b3k2VI3iH;?z2fD>6^g{)>UH$b`54bE1c?RmG6r$+vruQb8G! zP5eXpH2iz9&`$g(7X#a8lJ=_xvT{~$9$siu)eU~#2?6wP@S8(&JXtEzN)MpA!Bw4M zV-dH{G)^U&S>DqV`nsY<AL4*|Ry`Y+e9C|GtNX^gL^XLU>4)>T4}M59$QSNAU%Y<o znM9(Rg4L&opOqtS9G!S+AtPkcNsSM<SFO+4IJcP@^@aEAkXpQa%iOzMfq@i*Ns%fq z-KEdP-O^TaP}ql@&CFVe?wqByDhJV~3dd!$eWh4K>Zxh+)4f-<<@V*|N}LCx{BwWe z+jL(2wtDY->NvAYqwP*#SgQTeHzBQm_V!(`e(gC$EVjql>@6s~3g(Pi^$y|nztOGo zD!i!ayHe$|j!=2LxkgK1(uj<ep>icH8c{7D=DKC&(RdTz?o>Kl@JSK6JIPM;YI`A( z=WW?6+5I@Me~#xIqDXXs1Ick@p@)BLiu-jVtOS~`g3byF%b&aTUiD)ua~LIT%ORS7 zSCh=q3vYtd5yZ&}@8Qjml=(IjMEYvBnu7h=Yei0thkUX1TVb8~nO~u#CXy;?^oW_{ zu!?fty~?g+9tnS$?UU3iRGen+&WY%?0j%#ZZHi9zQ@^d2kC9+4ry;&imeGF@eNJM9 z)_;14e6@?G%kq9R7yVjv`mLVbpV6<+$Ogf>rG1BCHuRE~4SE_b7XJVrW8-nbuX-x~ z<QG;~R;5e_spw?w7j|mC`A+iH)FVh1P`pH!;Xu~5JH=~JHF+D{elWu+S0`R2I-wHI z;+I@r<BL-r$~4?<@Vfs@zjS{D`7o~vCs1@p;j8&MUpnIAD5MxA*Iu<=PKx#YCD$rX zwyblRTra<^J$LzIwE00Cq~G$PLf7TKa$WMddi!LexNA{&J?Htej>D1nLW6K_+>k$& z|DY8m<Zhi__I}wCFSra1?F*YCS(w)gNH>etL)*uT5w4O3KK!oU_h)~)E+s>G>PP>C ze=$7(6>ihn?y8=NE^e_?dMrZr$-d?W`cd=|X;|;jeJI({A-#TkJsNLZ8dCO(wlw*) z^5AldmnPay@sY)Zw{9TB>tR(M>c+`%?Z9sSn?RbkyC&daYFUoorCq7FF`2av-m`H| ze162?eAg<b=0@|a<%EA1UpevOdy)=&Jy<$r&*cmP-{ersvlL6?)uI-bPWB}95A+kn zSNx=%j;T*i>9^ZKF1@o3^pcyrG#P!zM|r;a>v%WemUiW{$z)m4An><`t`G$&=MTQ! zGs#GJsL$CJk!S~?<_6U0C4g?X4zTnP0W238mezpocnV;78L)pGJPo)z!f=NMjLI2C zBY;uiO~9x~7^uwxwW(V`P4NxARr2_%8dc1$MZ|^|v@-cE7I}i)>?)>z&3|ujp5FY` zT%-!3FCb00wVjHFhiXBx3iu1z3b_iIqy(inrFeG_YjbQLq#ICAQ}0nvQH`lw)az6} zYA{uWYDE>M%94M2Nxq~3z<Z~!pTbmXLGw82Dk+J?P5MR(Ak~x7ux=PotRCASOBzQS zOB!1m(;(X*lR2k3t2w(lb2LjK-xP;bDmW=8M%{yWOS_M7t6if5KDKsPz`IM*z<Jbp zX)<|QwME45BMMGW0nVtQ{*xJtIh#3C8fO}78hbRK6zhL3*S2)1XsAl4aOjCpw$PKI z9HE+_;-T`PJai%I?22I1SD*vOPDX<3^>)P*bm*(C@X)KR@C)tS-9%UIMt;AuKm?C~ z<GVf|mp(d`&~jLCM&L`hZ)nQ5y3ihU?ERfMk;&<|H^XP6))az#r@_18tzJuGJ`|hI z%A4FdN&|miJJu!Svjj?W3DHrGrRR!O;<i52R$8fLmi>75#b&3PztpomfG(2N7ysF6 z>caMGlQ{!V9>1eeS4(+{*SP`VMrpf6-Uo?9XKKz)eCgRFbpgHF&j^dW_Y#RkmY%Rv zrliMRgH?^PnePCH9#)$QneV>6`%-Sbsg?QeJ0O2pO-Pu40F|uuCSXGagzeBHCLqA3 z$GVUE+Kd5k)MqBhVwF6=bJfjW3-NA=M9_^&t5c6>A9o2>H#(ns{HMB3_!*5?{DLQU z)B>xguT<rBbtP`UJT*AxyEI7!t*5-n)O_XF6^&8mv0Q%E!M_*Pc}l)+L@rWdH!=!* zrgVQY=)6%)u8r<%)6G9sQ=uPs)(SqwO;A5B`i|WdFGPD}qRyid?r&~|Z4NypG+J_I z{p464H0X*5`N{W&e`M0Y^;XC+!bP;=33qo{000}fUfBm^PLz2tfP4d2`+Y#}MA^QD zgn_HcJ|KNUx7X~UL<K(a?d;0s*4Qvd<LiHY`#{3mxBGyp@%8r%z$Wo6eIKwke$>AY zB)lDC0Gka@=ohpOLS8r>JmmIT=nKd4ob<OF``%BV(EY$L%}IE>xZn1w@uPk3Vu^3x z?*liCAH6qQdB5Xl;C@*`?ap>~Md$kX_e+2naW&ev&s_D6xaubtXvWZ!`v&~E>NkJ+ zbA`T(j$DK3%5S>u?7<3>guW;2MBfj~ZBMK&H@DS(`XI!myyWuEa@2!856x4n-vty~ z%uh~wO<!-e8IL?S-n=zG`g2OXwt284cSUE*_4VEF+1AFjn5H0F@49!Q;v9ylOX1a* zk=tgxXH+r~-18Gm{Q64?w;>;{Yh-_FvJIcbAlg-e1<I~5i4~42KBF-I%rrMhyB)@{ zDEY!XCW?8JvqIv9Q_MCKJF_^uG26XEFFa%BneEx`9eH6LQ^L|H;O`SN!_vq-q=rdi zHskSkk7;0eE=g)<THtK`1Jlhs!QOfTGsleJ^1sWIkon#lY8+<mJ!{jn7ma@!PPsPg zctovIZ5P`3t!ZXwrhfO^y}i)PXze$8&Fg82zk2rCP^<huAXSCCFq5t5a=I<N-GeOF zZSpeK>2r>WXjb8`XnCC*$NW6e!9nPxTGelnw0FDp>CrwU(2pIr$UR%U9`0LO(~g_z zo-I-D){ivnZMVC7wx9HFQPY3sw%zRZY$x=xzo!Lsb}p(u-tJsg6@j^2T4sAIvhn>V zuZNP!FPp9D1%b*ZQGFz)9(7{___Alkk=w9eDl0q|s+sbf73mKW?my0d<so_N@mJ@F zEb3bmW&tjXv+S!k(FKPN%kG+=ZmGI|zIuCsVA|HcWc{<Z-CbC{wsL>V-Dx;EA1@1V zOMurzp06G69#EK2|B+`&XrHI#-ycwbIQ^gvw2K1f@msD=tKW5r>KTrWeka>Pr>}?f z0vG#p<oWTtTkfy>X#;n9*7AGJ#?&(kTK!IHc{*QjzCN|&qE2dLD(AQ$gXv{l7}uCr zi9dO-!3uIFtNk{U-zI<Z?1meD?P8yQ^QS@HqEnyv{M7QvK$<<?3K9bynB}mCRS^Cl z=k+VTM#K}&kp(iq5aO3Wg&J=;S}qhmd&?g7kYG<v%>;W8Zv>Lz-ij?)RLPv0J?s(T z7CAL*(w!I(I0@Hsq6Cr6o@~8AsRd5k;%`yFWS+T>1|>Nf8Y+L=w{x3#HBb)>dreTr z6&!0BMp@29HBnTM(=}R-kQ}JT`_*R3b)*iiK!yg?`geSZ8i5+BkF{(N51|XabM~+f zhpS|zCkl6o@qu^YZN`vjvQoB!hoctqJI?W#4Hk86P96-)B{1V0m2A3E3bR#=H33yJ z;%T)faWt^0rtN<Uq8W<sle|ZS2F9&!1)vE#IN~3)7^r%m&OM@Opr9SB!68y>8ayk| zOu2=OAW!s<)>G7wVL0fMYqt0sh<a$jtUx^_1j$0y>{oq_NO1@vqxzcaC<4f?8uCf} zTNEWQ(H>S#IEW+4z+ORhW>a4y${oDPVZD+NA~8^Kl2(7wLYTpAq=&yjh0hi?B5Dbi zxQ&eP3Dj=jAQ)Cl;KlJj3IBwO4OF&MH>TVrbM&Jj!~;n68VPw?8I;zGzDwK*^aImk z2;n%LQ|bg%;_Orl#RVx?6Mh-;5SrE(d5`E82(qKK5)P9KpKOhxWar-5(=rK{$%WbZ zcZlZ$GvI&Ww<tp7!i;=Z;*Y@dHQ|>aWl-h5f!7on<Tws}TFj1?Oo$*mWr1%Ky#smS z;f@qb^3)TuGXC_;R3=#gcJCcp20u7Il@0bHY6YgO22E#yU4y!2akjKVg8UZYOJKDx zB@(HKi~OUdR~NW%-18;mXid<P*^b6RNkv-LK;(a^mM&D<Y!pyEPRkgGJWVTyy3Iwk z3`)w<5(ggVY~fMdf#It`z%Anzlrh^kq5^qMh*BL0(o0wH4YHh-w^O%P8q|g5IT+^W zE7^3Rjs$+xg_Su#^Ydk3MLT-#{rvTNekasN=I7r_-YHkNK_H+%X2osQoe{-Q-q}3+ z77KqwF0`nxsS%Rypg<Pw*Kv3LaR_O&ve#@yxseY(NqY|MpDU~%bd;vm3`Cx!<v|tZ zoPeqft!e<CE#XcSN5WQu@O^0SAoA=LaElY<RV0N2c|)z`2IK)WfA-z@0wi0)F9<wa z25zy2R7C<ek*8XVBcu>o)IU%^xT6n23=n^B8RT3VRe|L~pLn}D8X<*h$R}X!(CT33 z#ZsW}U`bF~e^Ub@iO`K3&1!p((m`=;>65)q!D69zXFs-3LeTTxO?>v<TN#1py~)Y_ zA53{1XHjDdAz5wXD6Lt@YeYWbF%Fv3hDB-3AzCO(NOBGNi*o~1XAV)1$RUj4h>Cx( zEGT+T6O1>an2<-_`P<l*yWkulL@=s&<{VjN9W@Cbu3Oc#D{uaje6L{Q7$OepmC`y{ zV+nrS)MA!+jdF{;es%>?52+|BbQD}aNcrid6RO@IMOe#;RQ%x}>4glzEm`zC`V<H> z5e#vj_$kMG1-d3gcHC0QNP%C0ZUTStK5nTFQ{Y`7z)R5B7k!DAQIfCC64@!I$?E~D z9~;d;cnTjm!As`?5w)<b=}G(%RnSOb@7%Scy>#BI3Gc0q#x0%ca&#|9;D%K~#ZMAs zaOiowYMa#Jfo{p5+v(wY4GeLkaWHbYb_R!@!v~P3ev(_EYxH0CG=#G(Tw;Ho0sR1= zt{qJXaclQSA4+h0Aq%S69-vbrv82~kBQeJys{rw?-5_a_gIi}QuNhrn#t>29@-7+t zfTpApQmbb83>jswJ{6q`R>wx~+Npo)Gz!sqHai^z=PWr#js?2H?I%)mB+#H{xHwzF zrywCv{k<mt9OMObg5RIDX4rovuMMv$S%%yNPHSMJ!7R}eQ47_)9z?S(C$iHjpc9V% zku}4J1hQ{|z&8RBS5Ud}A_uCu5|n0J-la>cV~B!w<=HLaLz<E(Bqwl~{I+RL$)Cur z8p}2Ue-i{b9km+vA_Tc*OU6F?z>p-|i>gDA;oX{&Qm7pG@R#E7UQK^Vdz2X5vYLp# zU0}aTxlbPPf(_c0-)alTX*Nv|&*PR3jOYL%Tq3sNmZ+mTcMB%gDObrOWiWGFSOqSw z2M&xIXtx4;8pW49f`a+kl^Zw%gP7(z)DH;MorZ#XZMETREGMVYUPRb#0};Uq0Fw5C z?>um<O*_QkEeA=bwg7*?`NZQUNTPFX&9EG+`a8{nbN(Q^a!U@10Xeu2^SIfpoR4sd z9L%+L#lGAiRQ-*n<ZhcMZYel!3!_;8@m~X%5A#DpapdZ<spn8l_Ah1j<(3B^$xtuJ zR`VK5GRCyo%$HNWSF@nQUkE<D#H)^Fh%*ckKaSwkjQk=n`nZ2O<2*TbLJ0CW5&_V9 zsF!c6A#Q0Tace}gVAG!$K3pl-f?<e17^3>1X46H219^lcAgIQ2>n&i~m<0AMFw1Wp zXNdWC8Dg#-8Id~eZd-0C2g!nZp<A<Xl^b7m8_awqTHa_DBn0%shdmFsd|-&z_QkiF z1@r!nD`791AGd#*lCg~+7}BImQT4Di<r>f%-H|Q@X2{k-_^_N<3sJK`AOLt+z2Eyb znZc!7x-|>b0`h_Ij<f(Ln-?I#5NR1uP2aC-w&g!Fz<vc2<`73*R0b}t$<8f4P|v=6 zSd+p@4z}-!^eZqUx31L;FNx6LP_J;LA8_6JBY`v8Xa#?+OQjTk6zHkZnW;}<XB2?P z%_>d7a#EXi4~Y&5>v<1+mDdMG+rgd_q{t&-0c~*0ly|GI&EQ9Yf#2&_t6q&l<`xn4 zir|MUIAt@j>nGd0;}s;^zuHI<MmpCFr}d(W;9AzmofU1f4B+d3DNqKkWuSydou4zY zg=IUWGG>1Z-p>6RfqS+v1VJ)H=fa?0r@i33J8&NrIp}B!HdQ`vFk3xsGoaaocCaRo zNCjEfSV{~?-Y%H%MZAW3^&?GimA*6b_T@iQ`+@%d!v?F_l;YqB-1C8RaLa3bSq)}z zg_cezN_{M=$;?+7_5|vchSbDWKAsn7G+R}(nbd!5nsZPjk8}j7!Y%U$N9)WKPuLJO zoAMmg+oT$4YrifTLR{Q+EXaLL&4a5X+VL7og%7F?W{T(WBbrS(2O;vv=b%K}a?vtx zA4ePHLn!P$Fu}-oFd(D)RqH5b$da12YX}TVD)1L>BU5V(H9BEl<L9V6m)b~yAdlCO z74d(4DBVCsc$*Q$pS;;8>F%h96vb`icteQjK>YZ|?HEFqy<qkoTwH7*?e50exlMO~ zP<of$`Zo4SvsnfV=CLt37iLF`aWE%KWs+Tp27xd;S{<PPr*lkw1Z6ab0@I#NyVryh zq6_U?uFUA$kP9s!e?ras_?szm$V)gKMfHCnl*cTGEv<%t#_7o7r%?-Yn|8DZgpClL z&>IvDIH$rnGWJ?v?f62+Y1$)b?Ry<xVs)UoUHMg{EMv044NNw|2`KeBss81qejP93 zWMG;tEtSxPLo3j#pk1?4uPHi6PPl{;?ILckc!Ly0p0c52)%>^~s0>!ur^t}6^r3(6 z5l<n-Y9thC?NHDr?K3dhC~Sf}BJhXk(8oYC_jGIv>;&I!&RA>_0s@c2C6s|dLuVlJ zHt{l&2G2hMOsjeW*X(FXgfrx__h@gTRcEI+Q3cro&!?o7!}FzS+0gTIQ;m>pf&`9O zwLyZC-4)b7qKqLm(4bk}W(We9e9eFNM&2PtAO+#zKh!5s@qr~ZTUL}SZBnPdZ+SyE z<`B6Xx9jx;ng$)erVYZu%M3D~)(r<!7~~5;wyr}GfO$umM*%)7-<{}%th6f{545ZS zlYtn(va}>9dF~{*<r3s6)Wcin**)TwY43VS##)nY%Q(%xX7X#eBF=XMElPiG{^gVc zos6vQMh!BEGB7nDV{-x*?&*v@w-fC6-c$S&>AW=)MDF<3vw({vGmiZclme!q^+a1J zoX95J&SJPdZf7?95^j}R<c-@|30KGMd<DXoT-wsW?JP6M{Fa8D*#a%cj@AZ*Kucth za=lv(kQ_!tlQjF+2t6tg&F+792Kfn)pM69hd|dSQSjEQeaCaR#9+Wt-FhBD78xkrr z8`Yp>L$M*7^sP1`GJ%9)RhHHOEu9Ow;|P`p#;m(@QH_vjB&bF~mX-#co9k#nJRrbu zbG?!I8^3BcND^du`4&9NBrqCmV@FXY3p|;0a}+@man@OrcO6xcdhmasz-U_=M~Y~h z)X0<k@(oh;hcF9E#FbkhOC{y%G&vh-2GM1ZkEpoWpDhT4!+4EunL{pvECGZNWfyrJ zd6Pkw8RRL05E$B|njb6nf`PBY^81iAQ)LcK3^K|fSpXq0+o4U7eGF?821#ZR@M@U< zGiQ#C_3E754U#`nlmUMxFbD^OJYcx(fEx8m`Z#(cH*u1RHv2)U0|aIP#EdXwkoy3k zU>LTm3<9tD@zGw;%Y8#FOG~A?MGk+OK}Jv+vw3$m)aC?wmwo$GKLXFbOpTT)A{~nI zdDqlJj#a7+DEHE#%K^jqxudYWNQ4qTK-RYWS|s5cBbJ%ho6mm&d+f`vJtCaNE!{i; zYlNci^fon{<){IJ)Ub>N#h4sinW^;#6|%e6WR^p2y@gwPErtKA>9{*1Fu3XSIqItm zZt1f$EE$S=`@X=ZK*=yr)~@_oHX#XsPv$h5<yay0$-&&Y-rfaD=>fhq!=);ekKYX` zf3~JA_3*$swSa%`Vx@rq*!XiLazI?xmQKd-oSvB&=vaCGLqdMvYTqnm<42Q*W5}$# zJk5sCv(Bizn{7TtGe240U*I5^PoC|$Gq=wAvhul7QJ{`5qG9?cnVhnHPQ&rdOxU=C zO(#X(=48gO%q7U5l-|=h0jS{jj_`$LSj%U!g`A_&t*L(tfOABnhGXF@;x%RM`|=Ip zvE5f4k>n_H*rqS48NFacQ6mSBKO*0D^xNyO!}oL=;fOQSg5=H3yMB&(ozLOqwVX;u z2M~Q39e{=p%UnaGK~aspM7IK^*g#46aOq88;)mkv0p@@}FYYpW5e5L;J^XcMIo!xt z+*05%VCsJeL;_6F`!fiufW;~NxTYh=Jj%Ab-@(C#9IWxEtxMBUZ3YEccoXj1>0Cnw zHH2jcF5KBTJ@@hBvRz+6)@Vbf*7<4=IamS|CEQy8Azs_nsdb6kn7ykfILRB`9evV1 zr*`nGKG|ubC<&Nb>j^b%(k7bC>L^gX4m(-`Xu5wUWu4##R~YivI7yDk?O3PZBTudO z_n|)b6}S+=QFeB;W>9&<N7Kv^y1OGQI@_`)yeG{FrvqOoyhCh@(tZtjHeJ+6(b@au zLG<54x-+KWgPM-ZGl=~&1?E9>mnr9f{!DKh(FFQjsUA_BeMyTP?3vLvhDzJMaIZiq zD^PzOTz;*JU<%ws3a}C=>T*Bvc7f7f03OV^Euf6vg2ADvmG_Qr#5<i*_q4pEXm&eM zsZh)9s3fT6PS|atN~g0cF}PF8R=vwF4wv;A1-?hT-Z^k*!)d2AZ`5OB>!Drbtxof2 zqaIY6_t@PRz%vkUw?N<C5yxeHr+M3|<AHy^!doGO;Q0%BLhwmvWW&<u&TK2hJy3XX zWxY|RLQZ*YFjr^JD<X4Cbn?!|!6lHK7ppFFgf{&qRfZHoO2LZ7DziB=>4FIC5$EYA zsgFq#7<^nUt21v0M}#U}-rs|oMN+`z#3{2*3sBf7Tok4beu7kl0$p@hxvB00RxE!` znZ=o_gDFCnu1!Uff-oL&wai8Ax<U~g^kC{JsTyPRpq9y5po1?$j(*7BoVrRf`*+)L z2(0@XaTwchV6kg#FisfjG&6;V!b0JT;?ri+KCI29eL$NjLb+A171XLsm!g)CbV*aB zHY^&G76anYV~aYl%|wr)Mv{t2E+l^&jB=baOD9(p^R_l!gW5pyz?jEPv+J=(9olB2 z2T-+0Em*(UX|_)0zxKccQ!h|$sXe5#q%;iZfl-`MtkDCbnDSUXrcOaAfha+35pDKu z?$C3gheD5qvV>}f@`s)cJxI5t>Qh;$5mare1NEpsn-hN_e<6DzcOkPAyAyx+E?+xK z`_Xp3cHwrmcGhhfIxlsBv`X?N!AXjw0g@@{F{ub6fgxkb7;miJgJ`B`!CirEMY;qP zPpZYBGS#R~^iFJaEG-5W2aDZf+hg99+?Hrnaq6n2YGFHLqB+(Q7qVG$*cKjKiwVN) zx#sP~kfmoZqZn(fHHI@rAx?iGR^h=9j0gsbox{#yw&MC92(sXrL0kxa1UrHo!Hf`q z@aqZ__z1!Twg|4)vlo~lY!EI8lP-?~(??DaA3{*~Py}16NUIFppXx#qCC!tz>XeCM ze`m9)0hWmUz1cMJ-)uJR<cQ+fR-wyLGf1+Sv^Wr}9#0h8_8Fkl4M=~SSizVz&Q6x7 zBio1QhE!hC0(LOAh~1otv3wKF6a~^gx-AuYnC`fL#b*BHnrRDYi*BC{<)a^`CXzmp zZjeez8YC`l32k0&A#GM|No{_{1#4&fmrM3<FBX2k`}s-0T<Z4<Dao3Y1@!v=-pJL- z7R|hSv|X~Dzg?uAz5Rd4wlW<?^&>fB|LS<AJ+|G0+pKgDl}1{_Oh4%SH@n>Eg8uv~ zymPS%G5vA<u{6${IbB)WPOCDf5<5abiTfja4%0%6WUF|qd@B!~$^WjujK85jufKsm zt3UGvP{f&5U77|Kisg(Y$K=Nevf(-KEO<5$4}=5417Xo+BOHHz&ZN###IgS%U!c~L z+%QIQ;J7pv5VtN%g#5o;F-weWoH<Jom)QeetS{DgOj#Zi#ZK4uiCcIu$Xvv)%Nuc$ z&PQGP;099VR$^;mZ(%NC(v^xhO1Gt+C6!=39t<)S3Fz`i$kT<Xy`&6`>;pgcf7v28 zN9xDqJWytCVRe7z>-cwrN5UHW6xj{i6O%T7Ur3v#Ph}_lz%IlNvKO)H=Jz{HgDj-c z&#^XlFKkGR&VlD<9kzpV{mV`zoj1JtT+Za{^&5vglAm6%%zT^s^2A4BnSg(#0(<=v z7F$SYtvP!Ui|&z#L-fo3ha9+c1tLz<4^ux8l&BL*`-6XMgcRL@szGYNZo2M`q<ttK z<nt!i&PI>)WcgO|zNtzqLjH7a4KNP#;EP~t<pJ&v@z#^AqOEH5i~f;REGfcY(qG4) zg>V>nTA2u3grfwOh{LVi^dU^vcI^q|XZwh(b18$-J?5I5{B$rCMq0z_0k`WO%M|yn z$hJhNSg3z`s32XLI!!vdT`hw_lAe-ol3tT`fYD)Yrn|hTGH_4IsgW-4Av%4mJ@YPU zjwC`F#3*3Bfia4VmB2_~!PrU6B(^B7JjNr&<AF!4N8EAXkzxTJt#Z|KDdwG9b3eVl zB`rslbkkH`4#qh%0fR92_BlF~DnU|bJii>BoWOs83fg84WuqHVjTj>?)(tC!&A?<} zi>RxW<yuaC<(eWS^lish3HlgtVrvaZNBi}c^4`}fkdLlT^GY#EaY?aB@pXWJ5&se} ziX>vw;)<B`xTAP#$aMv~>}U>ZeyqYTP)yL>^&_wt$zz8O|AR`@@loYv<W3{KYE1fA z>_2~~G||^H5-1?wd)BK5(lP&_Vjr>j*qGKLIt|gfeB#VMtgO5{P%mNd7Q%P1?d;{1 zp9T-3`iFNa4fWm%9OUNve^3n0T;{_bIQv%c;AYm1oRYx{H<q0@oNrA05n092&e1Nu zKjtzv5erbwNqX4mm_7DA)}PKU&*ndqOh|vpz}0njhDI+r!gGJwtaZ&MJlOwQ5!gOW zSEW`1695>t1Y3e(Y^)u|4r6{`g1qnSTJL<VnJkI6&IDU7WTdZ%$!;8Pg0wt+`8}@5 zV)Gd4ur}K^7ySrTfds=G$If6Zu|ema(@OW|Ufx>5Q=I*Do6+ZV*R%F|j|<6TuH=96 zM8bzY!CQsD*Ef~vPt!q$=ZaZ;-c=5@@JNPV)@)?6v=>e6uv|P07$3;tiL4#^Cfz7( zX@4*=%3|>X0KRy}vr{qDE!`+?X)lo&b#w6;01oBytXeESJzyUv2TwE5QyBU9kmt-; znSSS~5simxZYJQFA+vahdNB)MZ{>ebEf18xSn=8CpBL8i^&PKQFvW$+uea?ry*{wX zW4U=!VCPG;Zudi;I#>?R&QkQ?>4zQfGCNWX+O`FYb1j&Ea#|J>ck!kAi?Y|37A`dQ z?48cy7P(z}R%Ek(Z`X=z6_XkAuDEkY(1u}r-m)0Ii_g_x6uj<fb9A$3uO@#3F!oas z*~IVZ8guO=$*m?CXqawvE1tB9*}+>?Jk+l?SOi_~UHed`kK};^owG7mrY|F6FQLEZ zYJv=?$kg`+Lf-1G9E#%EIjSE;?d>rOl((`kmIOSY7py8C>1!EO@ReC931nF>iUFOo z8zSG>sXv!`JyG7(Bx}Y(BmRFU&=W_Q%Jk<%st)L9ohg+MsCb}1XIjCO_^8sNP@iAF zLbJ^3ynwY;1?C}-|Lt5J>zfrfxJEIVUOmNqJgO={>*O+h&Qrlr^g2*-6KOZJwEE@H zeIS5>W~+)SeX)e0$Y-D90xI(K#hzI(hy1uHT|N}>B_8xp%t<fm%cy^dmykZRo=YIr zKvh^13moEA0nez_V(9s{h@&Cp`c1;9QxC;&n<QPZ0vBJ?uaGVqxga1}VW9<_%)tnG zE2H9+ftCNox}S$b`G)`FaJK9Wk~Yhzu`j6<X0nVaWi+<AlO^71QCegjgKQZ_3(AsY z?1ft;Av>ullQJmtMj3yi$w(SoVoLn3@&10kzu)tGe}6s4a~zK2y6)>f_w&5Y*LB^; zm<u$^mr^Zp>OlBk(=|=C4OO1phV9yUe`8=-9=7hDlaPf-xziPj#ofWL#YfVlR3G+y zM))W9C<N;G6xwKI<gsrBmRT1TBKxFVE%f9}oz7Av@0a#j$eDi<%WRK*Q+t)e4{v^N z>N2qxC~ZHWmoal)BSGzDM&1qEn}0v~V#Un19j3_5zST|0dzF!wYI|fk2;^$pmj~D* ze}kk7xjXNxd~w>{mJ4!a2Xa*?)v^U!>1$uvQ8+HuB47_AP&{;gMo6?I>b7ha{8-Tx zDC!N<3#rE{*-n2Pclg#~*5^_v31WJ3j#!q7O}9O}>A}zFZ5G(V!(g#^i8yhHax|ew z$Kl&cvycqzTadnv-{nr{DMu4~&N`fP*k<8T*bUUP<A@WRDA5vyv)S+IW+4x;BOo{t z66HOCQhzsKm3H2L6F3o0i3UjxQFSR)+MuVpe)3%Q`x}2|eFqEw0)E5$#Ii@&)3#?V z9_-PVQ`RHCPLS$Ju&3OXV#yaaWWQfF3n{`b0K!KwabgE$&i1U@gPNUkw1++Iz|Qfb zn_~tV98|MP<j@CDdI@J<?Hb7_d-SqD7*gg>?w<{oCoW(hc^Ovg?382glqaRG-1y9h zl^hN3QP6+NNVWaa7d7c`S$EDd^kvk>SF8v)%&FG9%qYe0a?Y6^3xkAL;mY_gJ>R5M zFJk!<jV0shmHd#tsJWL>M_;pqA#}M10<IX_oI6U||3c>IDD*Jd*6%lMlTxQ$s<vtV z!JO_NIgZ6U^KS;47W(@^N`;NOdF}4emnC)&B)ET7q2y>kk~RZcJ6+R!tsF;{uF}KV z-70~#<?wOn;uhHF^=`8cdGQnLea4_D)g<PE$aTrD#W9&Vj=^1Axvo-jcDH<BEd^#1 zFDk%RfVf+J-HG*9W6%`UmiwoWo-o&Ii0>-BKmOtP{JG8J^PXN8?@!X;XHu+@FPptS zTg`vHl!@-BSlTUjd_r6vm=R!PnqRypziCf?@r$UHl!`~tk3#?NPVBASpEXyJ>Svy) zRE~|9g;c2<Iq0a<p7%wiu%aUTEjtqV8Sve5wNig$C{>#EcWnRtu$7bh-~D4XMaWFH zy|X0r0$4(F=qkNA9$Yv6LF-*9*6U)0e*k~<Dbe2>a~1zroIFte_GLxch9skT+j!vP z+q*g4$vKYdU0nLkeE-0O9niG%LWxu8tc=m3I{U<Wxyqu0+ZtcU3u|RWE3>}vWfn&Y z3ivYe`O~dJ`-fs$^I^BZIJh*?&BQEvpQGyn7IKtkA!nrm@1KbwEKR7z*N(TEg=BwX zxj9ajInCw#+;aX;RuofazFcWl+^_tjBdZ#_P*5N74E_u4M-#ulX3Y~@+*tG2T8+nf zm6PkBZh6-Fzy)DQ9FDLl+k2xzrNZJ6>$+|2VXup&lm9@UDE=SnBa&fTV#^NVy;rQa zkSaX+wJ;*ws|CyYLu}C`dcJ1qLoI(kEPdNr$V+vyE!mb;c;fhswnpOb(9tdX7k!~h z$?hv=(W#H2S{mG+IJtfHQ&jEnxaZ4H%M$-mI>vfTrE2#TM(z3KI)CnS%;ux>=Mdh- zRxy3g+g@+|sb>T&B+lsNrQ}Bz!*|LfPL^3Jb+34IMO$b8-5q|j`&Hrh4O@TCV)<ro z(uSvd&o>WEFDCR)be~t9@Hr{oa!sH31L6JZ?QAd_j<^r2+Ad^<|LQ;g%a}6Q7T>?* zv8~aj@Xiq(b#08x{NVk7o`z1J{@W^+vwu;W$#B@e74;r0<|x#xYE^d~?l1d@B90{c z#T1{hGJ3b7+Wh)N;Di?C^*w(lOG0bOc=31cP;E}C^xNKi@sMcqun!gW1lFZd=ms_a z({a{!=!Y7-{mbCU!$D)&YW3uPGYdI(QO_==&W_}QzNlXloN3vdR7Q74e*VyxZpU9e z74^<6HNbr{|C1~09`VO!_{-Sf2s{|G^^BEVWgvE&e`OB|Z2NQ<knn$hqb~g$6_Lbu zNUpd-Y&pQPEVMAqYrmLd^JZrO;Z;SpT9Snhu`^*VP|CnGKRT!TQI2EV&U~H6zuanD z;J>z^1kBZxoOYWN>w{<X#$Oc@q*$hfQMs4#`I~xGrM9Qa+%XSn|5~{3YJl*FM5|EL z{w0f<+Rx{oyIrjmCar(>k!%%?GGE&De|&ZMzV9)+t6}BBt*oIZ#V>Bp`{bw7`?))2 z7t9YwT_Gs`|L-wp@im?~@?)eR^jOgOG!GeX(UlF&h~{+|b&Nbl1tY#K&h4t-RdADt z_ltFlbBnhUsaya_K(@bUEN(1fEM_e9ouv2y&y4YVA$b>7CsJ<Q?h|W&B_1FiAQB)J zAQZ4ZKsZ2jRrG)UU25$@9tkcz0qrBPZR=yiS`EE}Ry=i#-WW9Os&}|zoHx4<+<nw$ zF3lLt<bSq$-gY%c<j(8!OUlvOODZ$npa0Gbxqusy*Ja7ea!WoA^XfC`+1vBRZTK^9 zSTC#pzi;bm^}_W=^_cp9ZQ(JO-Dhk*TYMI2uWhe=ZrX18oYkJ+foE2VF8XD8oiC9S z+b6P5Y@d+2@Ff{S?AExg@mph4g;iqb+r_VbznUtlE_6xklBDG}aFr9QH$T?4nB^T5 z?V59MP`^Qb`(>Y*{r~$@*Z%hXYv0G@#s23DnVPVg47dzQsI6;%RT)wrN{u`WOjbYS zxeL!TEy)wIl8u&H-mxS(^W}5L^VE2CAuE|^<z>W@&2W%7xIalPou0veR%thm%@?;4 zkIu3L0Xuoc?aZ4a*8V%d1x;k;&*#z4i_c#^pN=09YLiuykCtB6T9Tdl{{QilTfq-* zE3e(jRo^Dhn36PqKHx8BCfvQgdu+w@|Nen&xa5-3%&D0jGq@Sw8O@o}&y>$%pZTB3 zpBw)9dkKno!#{pQ>n>{1)a4$yW&Y1svGdO>_$#0$+Js})dkcBXd9PnlTozjjo(Y@T zJ9Bhq<II`ivs;bL+lu#YujWMfj(iQftbN(;vN#VF|LJOffY^v|>*gq>dhw-$GqRt* zxA(_Q3y(<h<ap~_MTV4z#D+FUy^Fhx;*v;?odyjtRZ%hGtLs;ko0XQOm;Bal9_rxw zyejm+zsq@pi*wua$mdP%P0wTES3gsgD}$rO`S82j6>(qhi9cRvByS`>tnRhZE3rd- zXhi&uu$%aQ|9qa4^OE&a_7Vfv`d#&k^_r>eUr=26-8`Up;~`Vgee3?G9KOeWU;9e8 zPyBy<r+c$K=yLU*RpSGlp2Pod|5Cqar^%`CkH=}DQ5*bJD7F%kaR!P{6GSg!563q( z+2UJXvB6mLihbPvdJ_~#I)A;XNo6(2kN5Z2&>#7KwwHN+djojP-FM#+6x&X{!I*0< zFE@W(US3uV3S$JOokVt6GuN93jwcKaSs^2rHI>G)UqnYMy#1?`qi3BN@1+<d$#{iS zx$3ncNP$5?s>FLCf=)BUG`LB*@<HB=ObxdLFQFhZ<EzFUir4y}b6)oXf|Ab$m9APf zR9RntktgeLkZIz!YNBKfj!@bkTb_7>F~=nBk2O!WL4}i-W@oD=M&7|uNK3L+ThF&+ zSXuLKt*oZcm%iE0U*$v#`S0bpr}<&?gvb`0b7`g6JYn)K&f&C}M2y0mDB}w<^=h-k zoMV~_)_Wb<l0#18V)I1EG+@HHpf}eUoM-EQYK7(^hPKRkFwSdKCN@jW*)#MtjFXmC zIH%L@V7-OOCLAl|st}*VXtP;So;$<1rxB2_?4)()y{plAtb@n%ZsYCuambK|_&YOB zWGnx-u3K>z$>;R{e3a^2@8W6m(Z2PWX6@m!M>-b|_;@CNT<5QU*t5O==!3l`wVC&S z4X4jnIDL1#sC@1%|0CR|RrCz5Ieq0vS&ihZ?{Gra?GJL-3E^coKBPSOrC3{hU)AX1 z3xZ?h;6rS5bo<5A4(|LJ@dicCwKRQeBl(7HoTq7Zy>`-vY}CUwU%{_H4nG`Ln3_iO zECzQct37^DkhShWghY;m{2k-=wBV_KcE8REYgY-lKqKnGW$_$)r#CJ#UpxQF(@hsS zvb`)Le&+6b^PZ6SxvUct@RbMe<n~-Gh?08YckOb)T~Sqoj-K)x<9Bac1m_&+L5hv; zzE8?Kx7Vggf9IZE>Es6*+`Hq58ataMht0WuIrtuyy^MLJD|so0zt`MmUIO-i$tZ07 z**0a=7q3w{KRS-CJbpUSV>#w~LiJ6961;?sw1mG_cb|OTxw7fI8{faYC^{+MBdq>Y zY5$OWfzY~(^ETHfMjc@W!LNVA=ZD|?;v?SdmQC<$oQQnjGcg(`EGs-gllO3tU)AaP zC-%J`->Qag9;$R!!ur*f9+!xJrN(9hLc26+F;Lv&pwnYvnFUG5B_EmmH$C4cWHry4 z+n6hFkahA9_ps?P<zHqdg{L$2+fi3mtG?O3ko$5a*LZZoR`-i}%xv}w{%Wk3L5uNX zY_r^)Eh8l|*VD#Zl&{BmxA{tg5vM%O(S|^KbLYIZnj~5N6qk4}_%y?RP(z;5yl!qU zLj~D(b!tjJ_quJEw^FC>{&UOULax6)^6k*`-<l!uc)QO}qvE9-HgImIoyV@o&DEu~ z#Vwn1Dm7vx`O*wkjeSW&GC{74BO3b>ha`e*8L}GvNnY|nk&IAef1KCWAVbCvjXKKE z`k?cSM;aZrmn0j+qKy=Pb_O#>tXH<oxuvxwEQ@ivkumEV)^i+@`(l?RIg@D>Hjkwm zEIAQr-?5KH8uoHL)^rES|DUor<7xM7cybN89IdpiwwFX3wsIb)HCgjy8k9IGX=v+9 z@?-<fG*X^|5uN*oaaBV;0kbYBhVfTpk%Cztq|RtTF2)Zj&7Ea`6l<g=V1(x`GhS+} zO7O)QZPt0R<c*xWX@1sua^x)_h^5wfGGs+gKGHD(BQzJz_@ZGPzpTWeq^Vfv$&=MM z4QX8KVPUceXCbZM##@>!%VDLt*?3Em#W-KnR^yvx=3E$f4dcXRZH|@3svLhGqYXPO zLssJ4Ok0hMl9;o9VTfx?CpF8@c{3t4rW2c`=Ln1#+hHLxnsYI&4r?jK-@#EzOSQFJ z&)4B>Pm{Nemf%}(&ZkvkTZQ?%IQTSUZ0kBch7+8oZfz;em*IR!Tct#)&+TWBkRx$X zTjvZJ`;a5C+ctcAJN<dKE^Wj*T9&WOS=9h35S|NWbZdxzzu1!Z;)|n(RpPQlkmA7J zbwR$2l{6)rUssof^5*w*-aQXTCfN`~%r^x2Gs-ne6LN*0iU&z>9MaNl3G2-_1-URb zBmH7?)q-|#BpBZ`l57dm4H6u$v|ub#$Q;cvV^pP`)bP8yY{Ge%CT*LNxJ`_|o#C#r z)~$sYd?fdOYOYF<8lwTZD#3j($kC8^PynMvV=-Y!B#6XdYmg|*A{?VMv@K7xVf+6} zUW1X2i5ST_979PXH3=g(cZ_jTBQ+5tHMfni9hn-7**3S6QH|`5$0*IoFg_q1uVR$v zHh^YY8QZ*VZWjZOG>&WDG-nJN_gcT+hxL{q3vu{=X<VD(b>#h=(6oN6w+Pvk1EslP zErrP{99kO5dRUIUmGdaA&U!_9E<bHGHfqz{bXv^SWqnRpn!Jsr1b-dpZ`z{Guryho z!%hppTFUdcajMh0tu1BwK8#iCm92BS3~i8_DB-z4Mu&zvB}#S9l;MW-iHq7ihXOm) zCw5tXXe~ollx5MmlZ;ditAyosb5|IP8f{5YDs!rgI^;<FvfP{}BS51qaan3ECd~&M zEo8opNx`JqYG^0r$^`A?l!P;rxzXW9;^ymuJQ&HyKehzXLHVaDL7O;x(rl5XiMdii z_6%JOZroaiijj6UD-uB)G2Db)$)JOb%^KW)#Q&XJZhWp%kQ`$W*<q7H*(PGXm7|x2 zw=t4z5ao=eUAHlk0*QZ-CT(LR(XfjnoHlRE6gM~K*r(}ZAFpe`aDvj_VvR%^_IMj# zOPrTt<X^p-Z}V7)@5;!xek|9pg#$BQn){nGP9yKcd2I>OWuTCEV!gHnnJ^9@-IBb2 zWP>0Eq~Vt6B^h*pp{#KyX-F~X3S&W|pW-DRbdgc3(Vwua$_YTmi2Sb(aY?E{lJh!k ztIZ{e1|g=b_xH{;eVfM;4Z@tcw6``!(*I-iwduFdKeom%qd3MIF>?If3{#C5sX?;3 z@y)jBD;Os8Pk3&mwYNAQd5Q}jAAt^k5T*Qd-cpU*2teOb3{a*9%Yssyj+<&uy|aFJ zVSGq<&WRzd5pXq1VGhj@2mp<CxC8%X=!K%=^q2kj7iV?aKRuY;$qU~^!rVZsy;ha~ zl9CkI@v{EQiJ||Wmw%k<w%@{KYc3xR)Bo+0mOrheHf3EgF}jH?-zsg*)c=fst)SKQ zi%m*7$*b2Ssu^iG?Th`FUr_t=vPoQ{$j=_}N5x5RCHh1(xKLQ(!q3a7xCr6CPVtME zc@c;*73=F!JqII}@Np3$AuREWMM>wS`os&~wVt^2AZcq^lWqKG9zx}JrdqUtG5&n) zgWq}I_C?e;G@^G%@Z<suN4|}JKAYsFY1E_Xl$C;{rvJ^5uEu+GX;~tEtCiP;aYT4p z<Lq{cOUsuF4S&w;4E<t<7+M;|dVU+NntYwAQ9t{VlDqvS{;uoRSOR~%hNc#+?c^D| z?Zol3;`?)tS$d&k{g(=8%q|b%TJf_b@*fY#mu@H%v5t)DxfC&=?_?K$tN3TUCP|H8 z?4%v5_otxc=Zu|j=<8&=74?tYA&u?ZsU0c<;{5vsl(#L$vM*&h+@Y3V``G{9wl6q1 zIvzV)7ksa8DI-MXj`gD#T{&e0r)vd=;yjY>6?YyKpTzmdy_fFO8rlX~m`mqdJFSoV zm<Zm8icdP^A3qrPk#etp*xrv@{mH&N<8Z;R(ebjUEb@bWqNg{O+18uG&4C5TJ*5W1 zp)&jIGLg*O)(56nb~u$@4kq$Hy1Vk}k|y>AOu&Q<-PP^&`*OLM|F%nVn}TWb{j@w? zVu9A^>nw1RzT)V#B`zm%t7j{zpcE--;!tn~yeMVTe7{WGZ5B3vmEZU5MzG+pbWY4) z;nIb>Kdf03FDcS}yM_i_y7-sN&xw8$y#|O%!VZ3xJVezMg&m!C4vicLeNi_gN|7M; zV|BNkH8Jeo?zCT8YzIo`@Qb$LZIfY7bz*Ou^(aO>7?P3_*6E4MvLG2s5z!5CSjB%c zhHOcB_wDa7jq!(n4!uw4*N%B1*%yzC`d)FhcNKg4cjN~rQy*xaruTfSJqrVM1;f?> z{_r^L|JW6^@Sw;)1s*qpb_LkOXDa-kxl+PiolNmib*>(z-<IV7b%nshVFY}p%s<hU z5|Qp|dK~&d(|dRC27C_A87H1=X(t|ml>Ztx_yxUi%OS3RNY4K(CG$$E-ygoaIXLWk zb2JY_ax!&;f4iU-mv7G;gEvTar)A`g3bSu4@6D-t{8LlwtDl)83tisGhu2M;!^3zM zYIzkZSTumtS5^~R^~x7zpy;3vA^UtX-$mxYIG4~x2ss>6K6eLBs<a>dn*`Hc7Bci2 z{KT%L3@TZFcGUbdw=8lNl^Bmw)@{%KVhg+2vlwn-;p$GxdeBK9<%yQ*p+0R$$tSZf zG8Nugp7R?%HQYob-}fJ{z&4Z0?De5wpUfAL_u$Lr9!tP`PvZS__<p6-!f=Tm77q7O z9&fRM6w5vMaLw>{qL@AFquc#??_#|}@ZMIv_mP=@aEVLp0<^i=txPHlrd4)wS{$HV z<sbjR2E%wF>JEIh^4gqti5?D4Y1OM(6o%BwE%@+-;d$cyG`PC*+CpBjUMBGs=io|O z$zY3LxxFDIS$;e6fsS~c(lcjVMUvd5V*h(Yro#Ks%lSbw0UvK}uP(Z3vn*3uW}mOD zDl_(fcYX)7`6*axj>#*~J9#u@Cv~y=3-Ppz-1^xS@(uW1XS>F*RjNzo0rO4tKU@Xa z61*|b|Dy2q^X4rFGJ<wyTXlROeg%v3+$Cd(oO!i<*?h$ovi$nb&X|-K*GwPtP4Du* z=)zJu;#c{>n8T3G+8gaMy^?J*XT(!op<{r5LQbt1rUGHA7<;H@ZNx%H0U<g(8%_l> zr#>U$r@G=-2iva_U+D-&5#lR7!T6W>YHj@r@wA)V`s%BZ<(-8B!HZ6rt^&cl2YPkH z1HJ9XiC=HQ_1*2eCY#6d=C_-!EPcJbVi(ze9DZHqf1|C5#4hxI2*3W_6xn|feqHW= zpDS1@@^6M;{{c%!U^Ow{1FOX?-`7K_(1KYHyXmp(0uFK%X19Fb0y#npdjv}^3l31X zU`Yy+CoX7!B_r1bC+KGzCvRX%a$d$E+izXh?pT7=HLV-_4<C*&j(e@vF>PXPBm=NI z>h`&n<ZtEI%1f`s{XhOji{q^JL$e8grS|`(58u6-f7)K!d^<SsRby8+0r)pZa+AEQ zcm3F!e0DSH>0IhLn$+S6F489noI8UV(;C`w^4z$o%-kW@eWM&L=vzX+j&#exn6xe3 zEIc36L*q{q`C=!4YnxZbqb@?woW|n(Zx&y#9Nu8VvuqnQKjH#)YLN0rI8j1>5CjWm z6N1^KVD>rJt6XmngU#(nYrDbrN*vz&_uO=Sh$7bTXW0YxE<4x!`AW1bWDG6r>e*lP z_`#$V^jBp<&DqU)!5*p<W^bRghrKH%Lkl8t$8A_!p%%%I)Uvnb5fyM<98V#1Gc-+H zFan%L_a|H6Vev-0J`~e&u58kOR>0r@X_}VdY<LO_D)2J&b((12V&$573`(Zy)sb!m zOFe?um3Dsr`^G$<2q~6!P9LAhb%gbL%B3Py%(&^WR1o}Pn9t;wz_%?R=V=T#$^~jC zKtt$$0mH6Ry>GNHxqDzedbxe1A6ok+T(pW>+=IW~@$ykZ=51qJX7@~g#^{$%8)17q z^bz!o)gt%?@qQHOMvic&J>&v&^6p8F5KHZIW&+biQBgy0Cx0K?<Mlh@&Skw#88f5C zx|&kdMLC7fEf1(>8kT0bf<;)<cUIU_Lg8?W+Xe*@ToJ-!!LWAn#^igSJzi&ujXP^5 zWv)?$eL=ZS8eF4__&x-GlXussV!ohSCmpU)+uvSUshRxb&qDQO{yAI!TC3XVF;z$7 z)W7$Y6~q(XHD+k~#-+L6A>w2Zyob|e&>hzR6z=5#tGl7@2XI2j>c?fkl2iXGZ%~j1 z)Xkc{v6ua>xIJpT77?(0%VTUOtgo*rCE*?#dVns3ta$u@dQ9Vg6bn*T5J_m7jPz%j z_T4k=z3fi>mFB3dAeJzN^wH6jlNhwMbi)}So+d>-pl;F_+RthASm>W*=bx=7=vS}U zD>z#-9=5sSwjp+X4^S2;{=M}8ecdSYcbrFPO+<k4md7vN!o?eaz=sz1G{<7opqPhi zirxQHoaE2i$NH3i)jMO9@bGt{W)UD5aR%a^PJRNR!5+PWS1_@zuZS)=dc~)uLPb{P z+iBL~nz-M$*2Gy61?EkPU!w}WIKFVQcCvJG|Fg5<{ni(via_+}uT?;-u@|Bq1FiJe zegd-E*<_Ce{e=fUdzx<|t5+P?oEXsq-rijR)(a!6qaO)>oOQQ?Dps6CM<_QE7ok(E zX+c;Xe)MTc-z*Nixg|&k^hZ~7y#$HX-3O<a)g34vy{R^6D=e^Y3VB$Nwkf2Iz>i;P z)`GC`klidey<+iP@hDCEZs@MHyb%i5@}{<?S<ZPay*_17>Cu2~b}v0oJe?$hvcVoz z6%^Vj);U3cdLLR4{|ig`QPs!8Z&DH$$4|*0t`Jm<d@@lGz9gKE=0=dc_iOga^Bo^G zK5K-wZ@I)ugZf=?8$ie+1c5nv+)bg%ngM^;S@WZ^58hh-30pM!4B;MXD=@F(61=AO z$eP~9DnVJf{q=ZcL10^MkPZ0x7CyNa7mL!hba0)2*3vQcUpltdPG(K=a?aK_9X!g$ z3gQfV>Vr7Dhw2Nwtw?bbcngofP@P-dAKc3ymQN?c*1TmORtN;tXg%PCd#Jb|c#nV6 zJVN({t~&1-irG=Yg9YBQ_6vgiurX;Z8KnOut^!(w_Tdh^ly!bOX}KnDzxA59Kl*Fp zto{>!chzG75t?*#&rl(%Lha$2I4eQTyDu!R)!zR9g8b|4jS98GOPjAJdcHozdb#Fp zM9rGFsV-~Y-dgiERI_#xGnvOYTOWD2wTOEZ1bI$*f17}?a)K`iU(|u_3PE92POSK| zD1AqAdM~3hA2t@OmAwkIR`!}}s*fLAQ$4(Y>Dsgpt+;N(uLrN+rv0>PYz@zXMPQ4x zk1eg?iSSj}B3m%afo)-njE^l@tHw|g6lzu*X}GEmr<6?|@?Z2=86m1dtlmCe?XoLT z)s<Bxn57d{-B`1NnG%ua#7Y*-z7T)7vRVbRlf)lxYbU+*9IoLDg5K1Q?s+X}wQN#< zJ&!93uRyFeO!s#p?I`P99@i9RmQBiZ&+9>cP-qsHOo4-8i;nqb2NoHkamn6Alku?8 z493_c)EQDC=c$xg+=pE;-Sfo1kKi^M`O?w(sDlG2%JDI><^4$*emsZCcM3fM^@r!B zmN!0zzhk=Xh-@d8Y#!GZz64Kdb<YcbL3PB?>s)d^{0VOCns2sasY2{g^6>r1$1raW zGwl@W4&5Q=)s;6E!TL;03^f0<*hii0cw#X;Pkmyu8a#>Yp5G6p5*MgkehS<HN4%Sl z!n2g1{iEd8!pU+tZw}+*DmV;;=T(+PJchZL?$xr0LRbaUeTUdWWa0C;(lD=oV$!mE z-du20cz)OK_6Bf3IF#+@o5Pjn9^aK3p`Oa+=feK(tUuwHZa*S)r}N4Ox~=0%Q$&@0 z&lc|r)8=t)V*(2ewS3VwKFErMTDU3|I*>YXA<pNaCXr8pNtbNdDiu4SWMZaH-<2vU z=dYD|t4@or^bSG35K1o8=b<ovQLIc)1H7Aa&BQ^6v1}E6bts^3Y-Hwo<{h{Wj`-eu zET#wZS8@C<b=>{-6YB+z;4ovw8VFX}Sn{O6i8R$vX8!;_Rmd9%cFFXD-q^CMxCMGR z2nklIUB5bKCUvOUK~m8D&s7~RnJ$nndCG)%-v!cBi`)ylROp$e{yhnQV<F|vlidI_ zA(U)rhuRV72^>!&uiSr~m-J+h6#mOUo_zyWng$LhPGEi+5GfUUxgB|T;Skuq<777u zN`N)Pr#6>gBSJe;_lrQ^pv=6EygXP2?tFeSwM4HN-ZeL{y{xkYcEJ1!A)Y=8ol%Q4 zgS;U!dFoubeFa>@#MHrmRdxzl2Tr(eyKwJCbHbI9C#l;mG)08MiW~1g(TNU)offKK z%W=2TUC<yg^QI5Yp7^RvFVTl~jra=I%krT~5nq+-rTEb1z%djGiw^B{&a{Qhmsq-Z zhyZ=%xRuI44aCgrKD7750~LBXJ~S8NX$U&%mxt~fs8GoAJkg+kdZBd7pSpyv&d1c$ z{_G=ub%UbS{`3=-ogg8#KW;>2B4nfX=MGWX1wyL*Q6VZHg-)pb;S!adAqBNRe#|Z} zSg-SB<>*lEeb^WC%aL~P5fRGmSdoOtQ0AQuZyFp0cXHna-`Vnq#55#I>5u-?#2UDV zIO=i&MV`7@E(jBU=GQ@DCIq>wMcTrh<?y!D79r>>1m$&j=fhGk{QP949drPKI92`k z;oGp@?7(*?$Q{ZgPt}&gMX(;`mj_XhVYSF3upq3vyZ8G~9g(bnpTKg@+-|mroM3G@ zdb49V4+iID-P9IU$dm|O?HJC2yNL^%Q(I)A{EEq7waD{-aH|tb1@r4D@k%*tOrDx0 zUbzofhEExm|Mq}<<_A2T7H-0=qgDG#;YJvqAGqhdkPKS}jyE{oY}tNdL~qJd{8Q!Y z;X6;JH~dmfZIOp+A?RMm@IBZ9hF_kXJ^&quSYN9aOW-_sa&{on3G#s!$W!cc{{nbD z=GP@6u*z0{Ez%9%QVuJmwn#%aAy#(B@Lf0uhToi=#zBS<D`=c--4(p)#E}jECUE)N zp)QD(%jJtehD268m%m>?a+_<O0f$4c<}pc5EPLotc;3}=|3~n@m~I<ltuwSWk82Ho zgki((;C0XsA}fVEm=8aI{X6HU?4aF{Y4AANsVi82@x%}1fAhHJw_pN1NuSTP7PQE5 za!M(D9d4Y#bU3ph=r}p=WclO+_!6eugc#}uk@C1_;fOL=ygPUUv>#%nbIotV-tgqB z`E53kI28JsELRE_!xk3vn38ex)F;DI-@E2>@sJg?@P*7QgxlcX^B6x@Q)@^hJg>TJ zOBo!0hv~jclyzZg<Z)%-^%ed*yMrwtTcT+K*Zd}22yf|}&$VYQ!oPu`6xb4;d^w-% zAP6e3^jKH0`3WnXDe*k+E;zBm->f@W7urrVjpsHbz_;KnZ|1!mSUS+nG4cwQbr!lg zPF`_fZGvu&l8uT4_pv!lOp$*s{CXCn;$rH54SgZ!NtgY0hOFi=Tvt;E=w&Wh&3S&v zVL+5}<TLqFk^fUzYaX-D#q<E=8lJZ`SKXh;BIa=;xOPa$^d`4~$rrMRj&RA3L!+l$ zpxxnl=X2HnC9)jyxc*!_3}kwp+tA5xcY?ac$&X9?i(suejGL3`VJIEwf#!$4EnCBX zFUDsuBr~gJ80KTTe=t+u!Tv(s!CN6|s5Xt;kO}7#7nHh#rJ?J@S^y3zkX6N`UmiD~ z__ql53D1jhsyzl>C+D@f*5V+1cwU>iRYL{bfa&(3<u5`kj9*?yA0}1t8Sw<3oM%_& zQ3xwzx?d6>5n1+mTqJz7++VqSUIVIsfNFEN<XG4g_INoz<jC@X9(^VAiv4Nuzq6P{ zms)S=J2@}1>|+5ei|J+)4P9Bg^0-EDR)v4VZpTJrEr^#ueo$P;4BL2nYxH~m3iU=X z6jIWE<@<#s#1BK0SKnJhpB<-$Wo3U09kKYNh4aL}n`;c9T~sc*i8_PEy)ZI=z@5jx z3#2COcc&iB%Kna!MAsl@v$DAe$v?FSLqn4hq+(bA(&LzKob8UOuh>0xbe*I_1A~;a zXOes6ZZVtOsSmO?eL>tBK7!p-ML(9r_{`S9oy6Y=raBDEV)s0yhbJ+{jvM1_@eY@% zI@1=&EysL|Y)>sbRxWBqX_@1H_D*j>PP+R(wWUY6Njvu|x~gh6kunjX1}0s|pa?f9 z=TC|sR5j~J4TxF;lb3j&s19jG&!9Sark9zzrN)o6vY{vr6;Xwbk#HVS{5wb+p_KV0 z3r#Ttuzj_3>oBexa`KojqN&=Q`Y~(MJKhDwqAXP66ZBR}*}3GxDdu{AWNS5jaf%s% zY^}wKhxySEBgcxiH&q{{RvPvuv)#AT-LfX$@g8m*!{b9vkd8{uQc#Ug(fX97Aw~88 zO&J??CYd+I3_|)JD-v(2cAz>M_NKGl_2}AJ6J0z5g`!5(?<eT%l(I9)ty9cyEU^}6 z)j`|QNsCF~3DpE&|En^8#T>zg)ZvbYaWfEXgI+4zTo>nt-*bjUkm!A-cw~U~1sn1V z=NiV%M$im;Z?MhvaCZ1TexwwM-gZU90oqG!O&ty&#!W-~FqlO(1v^onWi4G}n`_{< z;-k8FQz}KZsMZstUy{9@iX%_akrZkjoixQ%Lwa}=X*E@QQa5IQmA&FkDHb)L{HxJu zL1HbYm~zM*k0Q0E>f=<ctg>$21*M`Y)Rre`&xEt5k`q(Vah)_fY^vDD2E#p8P4iAv zlPsNAJPg9O2Gv=OUZiX~oh&uQ+>boyQKZ=v>_%0{Dx>p;6nyJZde!JU%BC~PdQ(h8 zWONM<3gbRNSRE^W+R_wkOPw}!j%7C((Vg%cPLUo<n$Q&~1GEaP%ro4HFzz+PRRiY) zc7p-k8o%K*$w<=Vx#Ft<+I8&DI$U5FHy-iVz&W06ZjAH6XPhE2C3`y*?+?<du|J>T zHivO<AX*HZv)Ft$YF?HOw_d4aNHR;^oiBEl`x<N9luo37<{RFkuq73JZ=o#Dkc25q zZxjayXgjb5H8`0jB@MbTp8gb_Ovw&NHVf<Up^~#0Ox_Mf-^Zw+C+KMGyDA(ZjC-|N zxZ3bm7MpBLH^3)Uqo)(H&n1UUF+GuIj%miT$=m56c-&KTB_;bz^3N%zKJtNwrbyF# zKEl=D)-5)FS(knaf6ABSEn)IT@$Mjvi*=}>+f6ZdBejlcUSpH>=;3%=H9D2D$uId~ z3i@y++WD#W1)+}(EejX2zccNy%cqmKo><;Kj9osL-1Oh%L~`VRlS|2ePCQ0$rYv<S z%D?a;?UI<SK&d4*@JdvCt5Ir{1|CkT>WyOROD~dtX2QVPWNkqFEn(oF<X|uv#XhW~ z^LO^sYOoKh>6D%Qs+EZIhJhyULbV;JrdjnH#v9WQQdwE`+r}G}^yqqcFUer`VMDq- z-b)rtP;@E0mo%8@(u43`@?e6b@4^qs1hZ!)s`?b`fH~NNW4_6sfT}kM$1WtJPjCoN zf=UyAdREu{SdLxYEWcVLgj{(>4^90}FhLUB$5J{%OUn@2hJpQG8eMTzrL1~xAY0df z9*7@Wtmkb|9Lq+jg?o{tqyqaC<@Y~EizS%(Cflj?)0VN@p3zSNF_uU<_pyf^q1u%Q zal=67m&SBEsz{dEbRheUAw3%JHB-;yDEj7qql_ZFNI_Cn{fc)mkJ07{11FQ;0x?tA zsb_R$ASM^lWDv;u(x{|QC*Zy2>v?pEs+WrU0PSGHz@_ASfHsvfa3<LkOwJ;od5k>^ zHomm$D{~*#O7tV&R7rY}R!Ir}CpmM9>4qJuqg#Y=@kpM#@BXHF3W8)<702d#QPEj{ z0}Ni27X2drf-lKmBJi!^y+N8IrT$#94;T~bPb7~F&?d27b#%umf+_N`yRSl%D@3ix zTDr?F*Q6`smtXLR8^@laHddoE6Y5VTqoxQ4kVYQ9x=pS&ROzf^uX!0NW6h|nYV_9x zGrwfzDS|ta>EWx~<a&_0JL^~%FJt3>SPkmmCukI9sbBHDD(SX9>7(S2<*v7LGj9nW zpP(;NBx-P^u+p1|!v?)c>~n^=Sk&*Q=tl{ME+j`!5t<PzSrgs7nhlz_Q0t$d4HIUn zL1Qj$K&WfVm{8g0wCIs|nKPsusadAt#X*`Dwz(FE4lAuf1Q_(@vCr+GpT~!P{6pFz zHQTAEI!IfOUG_^ROcAaiy*-L{H@P}eRk9{t@cNX;8U&3wG$H&<@}()lMP!}_XvnU3 zDrm%Sczqkjsst!8A~nlUY*8g)GtgENw9UV?xNf}W&(ow<70q;%;%sevA@)=aPQyok zlO}FGUKA{}V@v9AF0Ds*9-<z9!i&z=#>ZiC$22zs3JshEeh2rw*k6i79ZteWe?lAQ zfe&Buu<=1QXNA8Rnf|Gu`A%_lb`jk|Idn4FZTT<37>PBU&1oC?ri=5(H;+z}A|!5g zDhBZX(0JIA8ajF<y7V4G*I+gekmx0JD5@|0p&5bQ@glWSIb@?|18SLn?_r~jyzQ=O z0`%$No}h$*zDVS456$G@N6(9g?&^mq6wrK@f2uU?wxhnt8tCYkIwS4OP)y=`v>PC$ zJjQ^b*}6C({I;*>#daq!0+LTgJ^H5+!8ZuZtyFeU(yT-gW@_Whv79RUVc_)v>QI*1 zt9~gh>056VJqKuqv78!z`q41IB80g?)lK$Y4O|%hf*<L%w1Qu<>J-xq>1$Y(&fct# zyMYR;LZ3`voJnR+G0!3`pV8IA{4x;khE;bem2Yg&{HXW`m?VKLG6;;VRF+X0+m7GH zIWJ}<b?cR4Qto*%-3&DMt5JgbsF5gFh5Yvw_X0Ozsa^5UQtpp`hlml+v7PzVGzH%> zRLYF`r*p`}V`Dn`)qnNr|KPWM34Runu<2Ctmni11I)sQ}V0`x=L4X$8{M;WUh`)w` z*T8~AZ?~c+5LS=4Vpx^gJ(wZ@j89;p4AEj(MFR^Gy>AuKVBra3Kk%u0P)@S<rD79U zC_u0ctL}jXiQX=M#mJ@Hi*1PGz^(2<fU&QDTe%l25j4ZVSg;_``$kb5EZjk;8U`}V z{qW^OWaLjTkRt8H?M?GuRP(It=RAy(?=#ecr)Wn?_UYtvQ%oh~84q8Jruk#k%~{#) zJdC1mBkIgkw7dW~+^3i#062X2HU;}ob+fWx@`jXrpP~|ftI#A$_NnC2DW)n?%fnZ+ zDcF-LnYHN+Z%EO%8FjD<y-xrfy;DqC<ZBOKnWo_50JJx~<#{Oq(0*Nob`ya1>=aWO zfVM9HZFd0L*>s+lA^>fzYP3oM0BzkAba@6^_ba>Lh&8&cZzecQn}XPC=$s^I<?V{H zgER#4U(X_cg{E{bYG~Hkcf1`+zK>8jPtfhyhgIMRqg{@uFa$>!0JE8>oN9C&_F)Z9 zI!rqmp>HrNCV<%>eAFA>j*Y%|QLVnDWy&%C<jO%B4r@?F-#3+#kGO6)YuGez^Xq|+ z!K`Z2yf;8?=X5q%o34rP^Cb;S&87>^FaZh2&L%g1PBG6THy#6Ln0b3DUvP$L-r$>u z+G55lIm)AV{!9Vj;@=fNMYw@TGIUO4ztN&2@x)Uk%Z+0ND7`AQJH_mu<jyHV4dRcX zGliWjfCKm`T3r&L0c(I}fSszsg@$QYBbp3nC7aR@Q;%oq(0Qje_?Duis?nPh22Lbv zP7xx1kk34ebefcO>3i`TP71))KY475V1aygtVpy;$%yWgrSpbYqCDn^&+sKR3lRJL z6d@bY=2>LW1W<Lvz&V92sXXR}&+sF;NLCFhDsLlUGeM_rm8_YU_U=xcsobqHmWaBP zP|wRy7;8lF66*yGI|s#^t+dxi(INbhJU~Z(T>u0a2{1v@PvbE%U}8i^;W1KRqD4P| z$H;++9$gHNkpvS>IvJlUy@sjg_*?<|4!S$uOTex}-;DPXuxrrI;k^L+8)JGKfc~+@ zbVq88K_H4Q0M!`JF@NfJf|>xWJ;3A=lHf5G0VYOB!m+V4U_wBqc#a(f6FFpx`&bx% zRRE@ThJhV?%9V2&po_1ptC>Ha=vIZUrGy6v5Y7YJTut8?R{8)D0QM`JPoVD08hFi% z(g*MW8c?gkSSBj$3ED?`HXjxC6zwB9`(CkWfM!eyKOyKqDp*V%-9L;QjLdWQm2H~8 zi*Pio%3_xz=}P#ACrOsd03ZIXM(0a^0DRagz*9^O{a{#W4np3bDw$obN56u<aE1g+ z1a>R7Jw<0y>dz;S4ASheLsfKj0iIs+9CHjSZAR1?%-;Dz$POyK-ZMILDQ*7kW4kIe zjdJLGa`_ZN8vsN0D_+P3fD6@M-MXc2y;ck!p#8!oc=#GMeIQW#va&mPHOiWQnW*t< z^u>fj=aR*y2<MS5$9$1ZAM7PkQ3tEhvx3u-Ow$J!Do3z?YY>`u1^c&)BJqqK9#(o6 zaoEr#j(tuKmxj`MiawGcQA6J$K-0qpCU*gv0&ITp1T9Me*nDn^Ac9;rG@-G}jd7az zcmI$krAGad@1~%=zcO_S=fMtt>0D@@Vje;Ud4g))jiq{J>AdC1sA!g=I;+qx6Bs9w z=cbsc*i&^lu`sSUa?HT_7Mt%)J%%Uxk`kp9PJ#`@L?EBK7fChkK1NM7yp_t{yaRU> z&+#Lzmr}TxEIh?r2N1wHjs4A-ZYS74Kb17E3pUUd3gd!c1F0i-xq}UV^ud#QBTMHs z@28^XRn)a=^nS{rfaKd#1bL*Uq4PENHzQmE%Dx(XoN~x7c~=VhTqgQ@!eil@`Rl7b zRcI?o=Rrjw0CR<iOap~?{ZbnxoO>1DEP1p?A@z=Fngc}C#*y&}0QVZO8Py3%mCElF ziXNg|z``IlqY7safDliAeV=s*0O1)a=T1e?ls02CYUo?T{2CD`gV`+hT`gQ7zWF5S zft2$*#pywsE_OpL9o#3MA`Tdg0ua`cbRJMl1}bq#Dfbuo0BR9M1t>*uo|wgMc!E;| z8VJ<oEC~RCCn*fSWDT(3L2b@DH0h;J{n;_H>U1^w%K6s`HYlcly;ZpCxsYNj1LM-D zLF~|o^sMg1UC2kvJHkr%I?_w>%oywdy=M8{!uBrts=3|hdkGOkBdX49K7Q)y;g&=5 z)sgjwx1|0-qu^ex^wTDx+q+T?3_n{P^~rpqJNGVBv&+$M25>E>4g76Ug3hLwKRx{8 zP_V1Tr7?mQrEb@M#a%}>y6V3ly51DK+H(c<;=$Y9{Wtd1sR}gJUzc1sT5{wJLu+KP zY4)-b$>hYaRLvVR_jh%_w7}E3mamdqjk}j`Ua_L(^I-$6hEi*<7c1$8Csrkk|N3pb z*s7hgZ*|A}6)AC2FxOb^4A<(HS^8e{yeEgPy?;x7J2Y>9v?JiX{oY%p{;y&#hp)dF zjon+h@!T+Vw9E5Tm*>1XDvxk4KSRvz=AQl{^8DUbG3^CH@e#rsyN8PBjM`_lE{<-u zaAaLuEtn;KTn-p)4k~GoYQI-keXmJcZkABkwR%g;?b@CZ>g@QBE7F8fn!~+ief28e zlE1u{>=KcGC>*zZNUU^@P}Jr4c3k<N`IImvkCJWraAN(RN!-Wf8wT~53&WCoE2lo~ zc!!SLI|z8UU3fou>3!!2NhWZg<9AiZd(-J%Z(ocbpBiuehZ{b2DUXRx**jt^Z6tD! zMCpoL+ME0{<^B;lhMj@zy^!jAGx7cWz1^QxZSSdnXLRA)$Jsx|n?EPDcI_tHeHc$V z)sC7i5Wk1E6CtG=3_I7nIl-r*{z=aZQ}Vv7KQit-e5;19FxYH%VfgJOrnEQb6Q+VB zdNG=~(Mx9UwCp{fg^Rbl(7AifwbZM0F1+u!^g=o;#SNm(zl>;@S>72V)S!eKsyi*t z53XW==I3tk)j|BYf{U%AAc|wpa<>b&h)}Xcah~e8`p~vick7y2g*VOLDNB;ne=RlX zk;kZCt!w5KberoEj>+SiQxAPzFxHv&U)THIE+JyKM3oYCqow9M&tuf9)_WEe&b9bR z1S(R`SZdPhY7yMnvy<C3TWl%y>v2lzx7yKvcGO7gJ--z0Hs7O!ug7gyzx688i&|&B z=cj`6a3of=WL`lw3U@T1pt)(YFz$-_t*(0qs5><#`P5?pfyiC!ocrxgEk>hodk~>f zI6}aA<WmvnPj;MNavL?(5w%e<n1<FM?fjsm)kAMnCz#rus#?+3q#S)x_L3TVbHUJm zEi1D`o`V~2r->-ry>#e=@6N{HLk%zK8X?>VK}pGR69mn#a!MjSw4Z?UcQKlhfp++5 zOR@wUy(;W;_lHgt$v5uCHN3<Hg!nxJ9NrU5Ex@tAmv*vKm0x^*f_WS~vU_PefPltA z^xaIfL&yQrHC<BnL_9C590=Im_`x232+$7kO9KK@EJ^<+pz6~#+i4{n<}1x*u}W0b zg1^wnQFHAF75tSS^9PpBAD1pj68@2^`k~JMDT<bgUVPA*)pg>n*psisrqg>{)xtfF z4#+qToxpP)LQF^g{7sF@ePHb)`q5l<JoU?kZ;yB0UCg*JDB32QV0eDOuzI$Cmag>l z#p@^c=j~q1cz$3-jVzh)&2GjT$^BGYGGk`(7Wc^ZnHX1EjL+t`<y`M*o}A3?pl`#B zo4R&jw9b4nscf?tH!+b;C4cBMNlm^R{?4-^h3xR|`N?6M(03<&E!5^Om7P>m`Y@Bt zElnZMmEN4qRw)M*4(%ts*C=*>sm)soWND3>7<;SEXJ=D8n%f0RL^0!CF5t!MFD3!S z0wr>(WIGm6QWgHLsThd30Yt<KUTjGv-xX+g4u7W)3zU#&vll6XH^QmpS%Iv}m~mMm zkd??!A<Jf>$r<S7q|v>FXckE71so|ykCZ(S%lqld+gYW?z6*oms7T^}J#+?-8`<Us zpg3e3gO7va@DJhI3WX#kLlcAqkg?1Of)L2Deh+O6$Z=LN+7RT}z?QTg<oMV%-a8@? zAj%#T2ry|32DL&ro?u=C99G5XWC1Cbv<s+Sl4R=^0UBiEd_$1kO1*yL2Nysa72+2Q zl#ne+TXaeGovphNt@7r7pmMb7J2m8r(W;b9;&fz)UjpJotQnQJyn~L>kSjpPNLFp4 z{+(d9VIQvdRd1ZfQM;_C4v?^?90#Q6Y9ZS95Rq{MH+dP#V>*bY9-0MqS(;7=DXl=< zi5-aJ-B1|Yi1^e?dxgaa`)+NlK2BYY9k|BJReB-c8vH4q*No7A)J4P;qRUUEI*8Hp zCkSE4_d>JcjjrC*ch<`(ygmiZt%$Zla5`d$(JLpI5=bfGszEN{<X3{^SGoMVBREnk z#M>Dt!u|%LGG@`Z+Y5MV8sb+7Jgt~u=7TssE<ms9kh1G<@GwUKsU-XGV~~*08@#B8 zfJL~`^&ns&hm;C`HeK)pK?TIqub0*fIGF|LHo$3ilXn56a@M#p_$VN?nqUS4nwVm= zE{J(9mNW*ko{J-Of-ITE@%jWxwz0pJ0O6bdv&T6feIx_@Dia-*xG2Qt3-m>Xl(vA} zY}nhl+W|a!hWNz+_4_86>Oik|F**h`mT(FW;{x=mH>L}JXz(H06@W%%f^ZI~@9w2} z0Ma}V8(;<I0O=r5A0E$J7C2?nSPcP=?IGM7K*_c}jq?HlA|cuoAmGmg;UO3zCkXC9 z4(UHRyaKc;kTZ0UlnCV1e+@1z4=T+LO6s1;{iFVhRtesf++0Wc2wu({j73|4GLyKz zjx-L;JftLl#9MwmbV75F@Q^v^W169&_47`k55W?bwU2?Z6O0~Uye4tEG$SZU(owYD z)fGG+60QGm7>sigm;FkBMW+-#GX+hu9gG{nczFAB+6Q1;yykOSDHw&fKUYl!bUPG2 z6K;Sp7RVEfbntux(64||9E{0;UL6>`bYOXecBYem_VnpK+4$YVm<fU^GEwB#R`xeN zdbmbVA^K!Owj7QS;#Y;3k7LB~E~xlQA_jYD!PtjFnsRJ1l3r;|F9NmcIItck)0lpo zdd2#bElE!*P==b?OIwf4U9SoDmMitGHLe(~lu$2=+t66;MeVSTO5jax98*TP_t5az z9r4qD>vk2RD=8A|HI*8bv?5RWYwRjQH&CeSG({R+-KhrFC3d7O5(*-?9gQE3Q-`c^ z1;8{NQJh6Sx+@cXIs?>=n;5(N5oqzd8b2HWAwz}u)d0`0O)!l?VDt*mpFj;}*pZkZ zFFHv)3_um<jqJk$P>^X<0&rBcr_t30FzSYXl(quK+Y<zN5Ghm-Z5HrfD@MNs{2OdZ zVIaRc*LYr_(>RN=$pTc`*_aLr7KaScz5^KJCkQCODAq&!4H)N&(QLrTu_YY@g~0e8 zTuKQltr1ksmLha5c(ccvWC7lkrSfioN_LiK^WA~0;~{>6O179_ZU(Yydue_^mVY6C zS{HEi;Yhw9cTq{a4B*79VWX=fDBXP%1QH<iDMo(*G*J|u0oe7ksK#ngM|715rWkmc zUyNP_<}b;xCBaK)5%wG4{+Me>X%W!n*h7l|O5kGjaUh*&yS81*CWA}w2MKDjdnTd) zZ7M)iJCcO}Q4@JLKn!}7+3sK;7fCmNRtuu;7s3@poiM?41^QHb*Q!x&Vyzf|O%Tk% z;*TC$Bhd4)Xe}@`Hl)`eBWG{&3_$bmm0}MTg8iY!Hm?LiQH}GUE#ss^v}*zX_yj=? z@OSmlqyT?T5jqrDv&V+i2pZ7Y8~<6u;4;^RS~2AKk52o1`eXBZe!s>i&ZVS(%BlSt zQQh&jZ?C9(Cak<4UW}_5`aWA4_0xE&MeQ$tC1vC*-?DCz#Aw-mcQh}>hqCf+_yeHn zs5(5c<(H-0?}?y0iGPN4o41~Nl>hOM*>7~)h@rP?y56<>S4YtRA07NP=n@*QKbw@7 zB9vk`-Rvll#J*eSyFo2IOS)=*9raeY!$(89i^jpvCf{x&&c|&^=_u*PF0ZHW#As2H zPkf47Qb;}AKpBvy%ZEF_dh|ez){c`dN=W89lMShduTbiR=?IM05rr{1#MRmC8V{<r zwakl?@1v34A|{B`!~F>}Nz)J41K}-*H?b3`9VH>Y5ju#!GuatF)I%D7zsF3<8xiV4 zz2d2dk0emViuS3cM@Ziir+SAw<Y?edXhi%@Is7OCtqiu&__B1Ps}Crd?*Hol`hWEw zjU{CXAePFT0##bIj@?iO;M1~k9-OA=m=NuoAU_Qg1S7x^+(Xj?9A}ErH$i^hVM)J1 zezKE!4xsCsh_Kzkj`6jBXsj00?4A%V3>eENm}tQ0+C#exhV))q6yVqT@2uc}BW(fO zBO9C*fMOGHkOYO{o7+wMx05#4scIQK9M9_$K#vM&3_xW51k(%%i77zifp&n8Q=lwn zw>AcYtu27Z7$ACYF}hGN6r#;D*G?mP`fI0=Jp$L%*xx`G@l|bq+zlEp-6O>BKCsYq zg6R#UR`k;J0prm^bO2y{h$FoRrF$%n#{s*kY8$&828^2<KL`%BE+M6*fD!edIoGf7 zYMgl)uDqGM^HviC!7(-Fzs3N-QBeP8$!ql=D7;qxV`h!P-av>+2v?xJc!J;$IP7|8 z+kkd(5Xl6L+pwg6EdoaBT3`a#vF{2pV%1oU1&o#<eu9nHGQm6z7$bXV`vBwr#mk#V zLmj^V!?v-eW(cK>F~*uDA!0CiYi8_gWGy9Ik|JhAM#+1qrWspyF_k5xh$ijRLc~m# zlBK3%C`v|_sOLSO&-Z(t^PKbh>pACnj*jbfU)Srp?(4pP-|xBZ>mKKHs>WKl2&e_Y z3P;t}eo&b2xc`KCcWF-G2`xf?Y-<A)rlGI=Zg+V-bSh9x$FuqFiW+;x=0(P)cd!A} zT#dEQxhpbko5aXAxE!S;cka7N_*Qswe|fCKO>y3C)<P&aLFvevW9Hc$l!9Augnuv+ z;YqR<>fkzm86B7ATH!nc_;7zY#UU8R(`T7Fg7<O3_Q^wX^P9of{5J<R#zmkO<enA~ zzP#}xn)TWEPtXaJQI+-9a|Cf=7jg?eO|i_LJFN|DhC^+LvIAQ*L2_)`7zjnV3v<&I zV?VMLp2PLJ$;%u1R)TDKP&c$ukwX9It`12e)b4kGK|3G@Nk2#`;4`iNKwGg4Nq^|M zVsa#s$AzbOu0--vVWGt({}Of%w7Jtz&&X3_y%lyWLI3R)p?)M0irLI5Pl7^)UbW7K zBCTc5jS62og)ySA$APi(girHi;tk=YBa-hf4|#ZS$|oU@iYn`M$OF4KSzFl8d^rg< zJvVND3(GBZAYOzlhaHm1!jl7>bA_HWU36?eRI|}GtULZtWyG*f3ahRJYXNGz0INiu zMreYcgyTBRkZgt4n*_}?PB?y}7#iP#<ReI$(LcU1gY;J+83@Tb%_rq&p;Ww`GOaD3 z8JQO(lGlV1lt@NH>ra99DHF0gK+;3FKQxJd<PvB@2+A^Z0_e?0Y?t|OZf3v!%_Vxy z`FhX&+!~5Vydmr`pYq*}p*gbp%c)QZ*=p+@!ebPd{2M9?uV{0@P*I?78Y9&}Ycghi zhN97>#=3+f3zm-?v?ilOsLjfzmhs)~pfG#-%j=*pw`;6pp)l;L)>1-IZ9NR7#jdh{ zR)^xdLj4C?cmiIS+YG(YbfvKs;g!*h_0|^>n^|x1_Z->(MC?PTcK^r!G{D^CNXp1q zc~Xime#=~*8^QYk{jrR_gYs?M@#G*($Nl?0!QUhepX<fZdDrIVT0O5d4UEY*XvE!H zdAM>uZQ{X_E%Za0vs<6q+4IMGqS*9*M{#e@_wQxZPS5=GF<P+MJO+bnIs@BQs;!lE zJ6BoWqR*(OG4M*zl}jnr0V&7Em`rc2+j;1%(_4v8)GClAalk>c^Ra`gjXVY8&7*Tv z4V-}@@06DJ*$-HgEuf7<@HHUtc!Q3lXiFFv<9t}6JtZ6kuaxs5QmdczR%{V}VcR*B zZIJWAc62GOQ);*9xkg4`P<RsRArP-FfZxa^jtz7@XLZGv<>!w)07pQ$zaDnO<Bbg@ z&Ylcr#fO(S%G|TcT{X>qT%7x{$CjEj{l-<ke#KPkS+QLA^S<xp0WarzTB}%gC*g(4 zZ@w1<EY0;?s5)<V5+xiK^QqQ%qAvgFf7>n+X>{H+6+`~|#sE1Wi2R*xTJ*ShOcAOd zh0-xArhlFkyL{?7Mjh`ie*QEmSD9Su$B&!mWsO%vUCz%x>9Nn-`^3D%QBtVJz9^FS zyu-p@h3RxgPJO=WnjTjiqvWG!xa`Q3BI-AJ@BMk7u!+8TUtQGCQo^P@Ux#bFe<ula zq(&XnSu67p+Q!*iufQ3GcOqY4a`hu5@c_Au=~~b&neD_XVs0q7of+oDqA^eIV%l!= zNxQz=fL(#Qd0{Xzhwz8ceS38SRbWQ^deb(~iF}@Uw4o0}|DESMKC^Hk|F;NH>89;J zrRn_){lK)pn%t8ZzuT*8LCh&)e|O6&){rZQ@w>Z<)#B!13ffk&dR!k2EU?nW^p>ql zmyNUhi<aPqJ_b61?mIYh=N#cHq5ID2hTMEN?}3>+9fYsM`Xh_`U74~NzxGuFeQzg5 zOh8(NrneU3SEH>d(5+E-eK3+mC?M8HEEa2f?`NR*RXPE(g!<~m_Z1a=f6kc?2!o*? zX6{rIjPrc^X6|GXnlJlK%-rcDG&2Ss&zJ@=hY2xJi~HS~!^D`wi^W>pZ5Z>rs~Z4v z9#eZ?WrPzsmw6qM0J)TTWM5@5`T^jr*3idAt6}PzhNe~orzhq1mDWGFHy9~TpP>(Q z&)i`V7Kt%&i~HS}l9;xZf7J^)1DBb1_f?Y6=IFZe!AL*4YTm&3%$*#98zE<Z+Fl^W z*;}fiFPL6JAK=W~xlKqS#2j2K*7Ocx1jeL=;uhZnw<gae-CjLv;Jt&v3P{_nP-jjI zX|&yzGjN`HY+t235aZ&V+|aj*ZquyG9*nf1kK_%!ow?IQFkz7Qf2R#0>coi;8f{~9 z2k1=OeU)uMjJ<bcLthEH4O55vw%}0TcjZ92^taf>_n}N9jQp+DqdMOH49UQ>yGnK2 zh-YuwegFr`nNRjrHagANbKwnr`_PM+=-R<Z7dn}4)-!V_i|~M`dTjB1Fw+)u5pvh| zj%2LvPus0jm%;oSe^{Ax%{chME!)@5Vat@sp_6m_MaguAyV0lB&ZX39JQ|_@Y4z?B zsX7%+_kOx~@W&!xff|3U=W0w$NE=MWa?I%gCA?K;a%!~;Iw3UYTk7~%su*gYpOI@k zAt9~D+J>`--m#bJ4V4RiKH;b@+O%U#QH{b!r+vI_6{@#we{_<%kqT4+`=BxrP6Y}T z-aj#{h}y(v<YZ05M#7rlqu;5gsB8x<eV7HQIu6}JpmswAqE)o|*tMsEbS?CgixpAj zgzcWyF}GeSHY!jf3qZ;HHXH@8Icp2E55IVzNGA}ZaMtCOX%MaAeIM4we4e!Mxzz(} zqD2pg{oF#sf12!}2Sk2u+JrUH6Pg+vE3RB2+-yr2xL<MQGU4W(dG3dbEtSiiD+!#^ ziY-Nij)r{M@t^E>z|#;d)08pALkg7^$pyEc#8zx6hm40|&E#-j^rt3<S|^W6r}lkN zO+6C!n+*ctjW&0rY)r7wbba4lDz+3ZcQ&>}{&w=%f8d508ZrHosdFBq@a=nX+PLCb z#Y#eQ!OWAB6<hKNHwP1<(@IWuaQZw2S)91x?{^lguO6W3tcq~7+?m4FZUZwd>B`@K z0mdk+m(&XfZs?H3IC}1z<xizlOTpDX1M>&&>#)Q*8t(bh$9_VOF#LVwRJ|%;jHxu_ zRzJ|Yf6t>`ie*03tL)J#Uad6Ld&Hv^R;@MEt1aAUr%qS-u4eJ^F^ZDHWajwYl{=AG z6BIq=<z(M)QuP`1d{b|w%``O~vL26lxAy*X1;3Q=<-^+hnhJg%VZdN+jr>mW!46DM z)#jEJXahJq=!6}Z3L{0Mt&G0`O^LT;Hx2HRfBP5Ye;rlYeKm*+3Vue{J^b>NO)Og8 zx#x7+!3=M`_g(Z$E5wW5rdEZ{de|3&ckZ+}!8>P~q2Qe>%}wx*K$8~)c+ipr0WP!^ z^3A4~waA7Ulj@h<<eSWwvgDqjLxsrKGbTQ?Yk~j=+VjN#U)ol(>)S*1NJp|kr{=x( ze{X-EEuGT+_9!*}$D02SLz^{9JmuTz@J5fDbf9);%ZScUYV=u;;LnQNv@}HhSIUsK zoG~5Nie*Sz^^GF(3J_n(|14f{V$a~yAm-vZ*${${3fDPHaLIv;*La{wT`Ag>{#2*w zVgS!keND4+`JImKy#IT_ndAbGw4Z&!f8qO5?vz~#P6>~&X*p4M*xYFUMVEb_8G{}F zC)g&^6_|0@5O-S{x88kQ?B*hMm&W~~XruYS6Dg&}KGudl);5nWB7>$|vXG_IEmY*T z=@ts|+jPq%WbCv_7;SKI%itkDo4tbNe@hi7pM6-LWzNj5^%Z!-TlD$g4UBJQe~$gT zreCW({yF}oUB$m|HxAV_gKbUd?(F2{DJ!N9@6PC0JIx63@8i++cpFQLwMt|e*xWpN zXVV+^u!9d}9Rgt*jhUDC*%KO2Og}hRUpiXpT<iZ^HUG>Xil1%gw=+Nf8T|OSp)o63 zaq^*hpcT`#!;K;MUA;>w=t|7xe^GRuYe(IeYsAp?J0uI|%J>5&#o~pXm@eyU3%*|u zH~l_39^DnRKjqc?wB5~-2WvlG_@d<ToAdzFeCuR!zM@U)#c{^)g@si@sLz{kJ4kMp z&6Qu`3Oh?87gq6&c9lM#kB*CUxlp<H#I>5Ujt{<>lxK7nmRSV+3QQP>e|N<`BROg{ zn@`E-b>3z8uOA)%S-V(w^|U6YS*_CA``66E`Xxpuxy&N)*UX9WEnN-INQboI^@<|O zzGQWTzHkZMWb|61>zgKNC2~RAIn;Pkn;07Qu)T{hoM%&lCOudgtz!&_{QC7~(k-v^ z;A!=@T2OX_R;3n>zqEH+fB5{;J~4ieVL@FuLLA3kvIzR6ZEAu4b^Z8wa93$JX#o?j zI@Md$X@B}6jA2oJFN<Muc0rjq?)oOkfb?unJoQWYYm$pr{LZi?Y**>?(G`Y8>4MSa z&OK$xSHJXr?aal*ONV_9?<##cs>QIVTreu=gq0;1e=+>h`C2Ppe>d#2Y*!F_bXV85 zCnRWWG8Ljna<TlO-?goav;z~rc`C$^w0_TEhgQ7V$p%dPhN;HF&X%(Fy}w>0j0bjY zdpsPVL;74CWK2RFGu?)1mYoXGBwbt?y~r2_7n%w>&zB{ae=+~q`Aw^N_f#CCGq9|E z|1Z}3)C=XVZ{4IIf30S@sWB7@R@UzJ%j)F#sjhEqk~PNo1<6{gS$t}i(OD8aImRgJ zBc)=RC8x%8NrQXho4@#d?kvR^catn!LXlHr3T;Wjkqf_`c@;<QDL0td*L65|!<`d{ zdkQr*JIb1}-c0ovU=st%we$A=lEUgS^UO=!Pfb%UBPFJve_Te2O<%n{w`p3GYLbiV zLBsDzvkk=l7v}F#;@xmq^93tq=Y2HN_1PX-%XM%&81DvdZ8KOYHt&N<mt}iUmi6Fv z67!D#&tHZi>}2i3oG^y@rKgFe1dFUhMZyi>^YrTFuua?~jSwlWhGo0S7mLh9M}kF0 zVjN*OGtrGOf91%MWHvamRGBrG-<(bKt2El$q<kB1j-T96(x}U8xGC<tU#j-@M$ZVf zs%|TsQUH0&PDPXrf}4l3*~;}n*(h<}qHNT-rYIXl?lX-vBW9#Mc{g(kM>b+k*^_OV zDmbzRQ^lSv!BlZ1%P{c{<jqXHBY6Y!r2~08^Q9xpe}uWso~6OuhGXq!#@e&AnF|^r z;@m-v5P7Z_ValGR&;0WQU%H_olgm(l=)*`>I^sW8hTfr?$7@Kw_EwGg%x9m7$9_?4 z1ng(N(&PWn2GLfhi#E18e;7vXNGkRoNW1;|*Z8ObWo`%&sFAOr^zv|A%!)ylK*Hsl zjFRA7e`@OvCmjQ0q}>xP`RNv$Poc9kj?C+i=OT_j4Y2uSJ8OA_C-dHuc~qCHMSi+& z#i&wrSI(_G5N&g?rQ&DCsg~(;ipQVISRSNS{H*%jFn!M3lL`G(B|mi>nZB;r{a7aA z<GIr|pAOEZy_Na;@!W~y4yiVu5VIw3Wwbt>e`6eXh_(6THQWAHruevnc3J;kj}%wy z#YBf_%d2K(I|DpYf>W0g9b_!8N|fycJW?F8JB$Cmj^FbsCd2)UjDUHGA`>XuZ~7HR zc9wcB5d!OfD?9rZVPfwu*6MNfMiS`Se<Gv8o4-}fRIznKm5$O#^Vz7(CK0|&)<(W` ze@WGAQF8Fr$Rt8J)$rc7)-QR19(~hWN~@Yg)O`3PwF8O+u&Nr_2URt(nX)2Q)&03v zquRBmxNIdd@#Ta>k{I7VYvW8tS=A+x_3HlDR;oI+;yAk<f*kjI1y8z9E82#R=Or%t zso@oTMPt^U9HoT5rCMd`i{&@o?QAl2e@1#Abg20AT1Us18@VQ5K690@2OiA|opWo; zs`^m%F*)kV1ouWpmC^ErSZ4d89;$W+juiMadEkKl@^Rf13&Z96GipvV8|lh3U&r5e zZOUvqm)YE(sqXzlT2-80F-Z9FZ9vxk(EK4kxxbV*4W3W%xF;{8pV$p_Ddd<Tf6<16 zuhTI7D-upuKen}gRR5N!X|IUlo>ZMyq`c9LLZ^4eKCx5ci|H>DbeA!P%aLLs0A1UW zxga=EDVMm_uGsTuci>NB3D&u)t?+5mMZq;(k%F0GRY~B_W(iiAtgWnUdXw^6ETzzk zxgS1#`oXA$SR;xLSZ*w5BrXS0f0{5+y8*JjW|~gFpG2={ZK3j7Dy8XqChdG?-(coH zRKI?X*(P*IRnZ@>sw4@R=jX4jFU}}L$=fezaC23sBPl})ts+$;fj`3}0zF{r;;^t< z*gwCjSY0j}OuQO8cMCVHm?+EIJstQ{Q!K=#KO7V=_FC!pCrKEJ)?QLxf4hP!t^IiD z>PMHhk1t^(4)ix?2`bZH(-rxWnQx@{RSu8jS}#_~iPSeltU6YGsCE5Z<*E`>{C6{d zV@@IhU<DX272#+Ki6%JX9f=NAFQr)$Rph|yd15y15vrRaSiY|lovU>8xDoH>2Va*f z^Yt?I#RZog&d9aWs)94Re`AaPBKXnzK6bi3z-vJ>?vI|g5@_aVoV>S6T*42=KbXa+ z@0zl;Cs}g)cWKE9windd<?Gc)$jS)Boa!a%M`pfyOOWYRGa@$Cp7l6mgs*s2PT+O4 zm>P4Je8#SO4^Nlinmw5%@af<O!7N;}g0EZ^Ua1?-H_wa__a(kgf4qci_9>QhD4vNb z&XE;pJJrk4k4%5fkr61{FN%;|ukyqmxOt{!oM?63^%mag7@;Z=5mObRuhE~nxlRKp zX)M~I*RPSOdQfWrRd2Be9-fCX^ka*i<piPy(L3|&sD*kyRWx01AJ7bM?7z2)CKGes zCN-;DRv=^FE80D{f2#{f{i#<FEuCMNRjB7u)u-p}0-E_7`@2^eOUGn6DrDV;tum^H zM4Vb(zrt2?y3ICsf04{ql2|>DZp$J-@1PQFwXo`xWubR112=&fKvwAoYOh=}U#{pj z+t9uF?JhrMK0aqa6x}=g`m3x!(OxY9Uh4@|Rm#jrc={Roe<)GXukK39+Lbi4%TI~F z(@8&rASXCM5>wNtmD-q~lp~v%UsWOE)aE)Uv07dgDl+EhUNs}OzSlE8!};6S80o~a zDq9glZ{4seJLws@{`9OES%HrIx=8(XShH5YUgoNJV!LwZU7U`Ls)*<H(56c`9cfkR z{_w0B*~F46e|r%%&)N#y8AY=#RWpG<SH-jnoT8+0OHog@Nhh91%fo6fgf?Bp{Zla8 zP^BenuAp0NWU;-fZY1}ZfhSmn5Sbmk6sAbwN~_BBx8ka<iz#VDZN|M^JkcxRtQBR3 z%kGvP2(FrrI4(jvw6HBnWWu59p~R<mYa6oyWd~Xef9~FYPnwU`R=bfMBx6>D{sfYn z_r@6ZAI&@ttlx5olbFF31#KvL%Sq~J#JT7yTsj-iCCo|$0`N;`D?Mu;;7nMf=#H{d z^hKFO6Q`$~Z@sE@XK^YD=W2#^lxB22Q(2=99c7meKP#6Abf+KhA?5C!G3Zy4_;h7K zFH2S;e+FL^BvSNtKC&Yh*Py3xX><G_Ap{mkgkRdcq&MJJbvN<e%JDsF=I8IrwbId_ zmgja~9B{0Xmw-@o7Fl-SyphEwqZ@}F95<%i^~P*37;B=F<ywnT+Y4-JJk=?IZ@*4H z{W?036h=9AgJ4-W(oA2K8OTBRQV3Q}vP&v_f0>+fnpNVe(HS^HJ+1-xMf<yytYCxF z(}dUMs?nEmhPvE)@UFBplYpuzD7)QrT!N!Sj63~^14fN>E?ZWx%jv1f(=pZPE4W@= z?gsG7<lk&Lfuz&Zigg>+=yNzXJ#He%e6aSDKpDSAc&GlzU-P0ut&CHNB)v5ri8Sob zf8H#U%2|qLnuwWSC-`VEe%C%b1Y5m;J~*+Ie$mXmYVYP~S%8~<Jf#yC=7ag(Vp~Pm zmL9l_b~{g)Yq&@iTgTCFRjyR+Ex~w-=a|W$@5TFR%L#TkJstn#s2ZJvTh!wMAk*gg zPhZL<FU<G1Hr4d&GQLIVMG9f$k(`H0e=X8JO_cjLB;`Er4Cm`Do!_;g$FAer^t_Fs zv~T~sCpM^2Yk?ctA=jtCS7&YiU5R}Ax>vbY+i6CWK3cT*q(r@wr$@%9iqlD$vtDk| zv(UcJ<a;Es!79QSit#(+=>n`<r`8(B71ytO#O_zJ*u&t4m437Mt(J7~{2JErf6?Z? zl~)ZHdG%{YGzp4}hnn}UEq&Hn%-(L#s;x|E%kh6b(`=r35-3nV{^j%}!tnZ9b5u%F zVUM)g<A*~r%a`2Veliz(;NGvAVWwtOX*`$zqGb7wY)4+*Gc4tIkprKmKX39TGf{0) zCf|czah5P<=B-7kxJu}AVCtz7e+%1S=DJ*ScwO7xdV~JAo1?`6)h7B0SiL*_g*}t3 zs)z2k%`sC(FLDWf67|mXKzpXXYF#~!=7ZV)Yf>}Xs!Ycd>0~8^b~5w!t<ur;mdvkv zP*_)j^U4vVOFRr6A`HUF476GfThp9NFqf#`OFx!Lh*s4LtGc1f4F!D-e?yRQE7v?c zy^!N==`PBC!!dabbqSaf5Q3kv^85PIlLZ*yy~#@Z5zVia)^};I%S2kb%@$7V*!1gi zBbM$L!9seai<ch$X8Bd+&gEmU>7mO*=H|0)S>2mhnU5mw@$@FvVqONduVKtA!+eQt zoeSTqZ*DQ@&Ux}bVvK!2e=TUkP|NfG6$t+gg~RLvB)9+ngmS`vrv1OgM@sG!bki0C zUe5nX+-_Y<*RpIpWAo-*#3*BWsXy;-qW1RqSA|1`@zbWZA>L=6sQ5DW>g$Dk{&d9H zVP3lMRHcK)9+&hvl`pUMzvbVIK0ah-8Cz1JWtS+sw|hS$=N}^fe_z4Cgd<E7xOiFB z%P&1dWdPo0T37B@p#BLq=wyg$!}+aT+~4mpV8lEH*>jWyN^RD4V}1n_Ixv-7tFr@J z>%04|Waa|pPBR96U$c+f$FKjyBsJFmO1)QARJ)B>@J~rTOsn#ZwPX5@UgmP&n9{1d zHGYT0$fv7EsQpW_e<6nbr#6|polEzOx`z9J9l#(~y{`I+4Z54?YN<|C99Vb{%ltGb zHY@*0D*fSXFFboly0y!3G4DXlS<BXaRo`rUH&qoTM3v))Nwr3}MqWRe(qwopr**#Q zn}~X!+Q-lL#7|>`SZ>&UNtsqoSmVav#-#16y;U~In7rlTe;)g7Fc!xG*?7I=_?|zC zL$>Kp+fF<^_Rr$BAuv|9Y;jwAz}v0%LW!rZOM7nEN1f=}`?AKKlck5%^){iu+B31> z;puCa=Om|_F3*WgixHB~C+ZMhqQ*qIYFD>$kleVB(Xmp4TXM9qeRk6er^|h#4gX=Y zKaxUKn+w0ee^@WK4JtZwwlxjuq(9Z(^C><4j7RPF(1fTvxb~D<sgCy+8{-iCeVO06 zHlO?VQRXnU%K3fv7Sf4#vRThggobP|sL8AT6esq8fAufbZp8BH*x0PtgUz=$87R5e z-*P;67E|VZ@P@Q;-beDA`#{R`uy~A_cGlm0QSG>9e{vLn7SJ40CCI&w&lInoQDS*j z4cnL*AgpToLn-OM`%$%LDf3nx#Hz40HTes}PkPa7&Y2Bog8)lcp>VR~HCrgjn(qvi zYL`hIaP(2o@Rw?rOYCvXQ-n~mbmFLEo=}%8D=5mAbX41rGyEh(to&!PnQo|5a_(`4 zgum1he|S7cC~}UEgvD!bj`8f=IH$;u-_%Pu7pyjF+;4|0sgS;Bm4d4}V`FBBIFa<T z3a9tC=AiVzlaDECSd3>(&KO6C3>**&!D?8vgQ`r^Mx>I)SXlhV%`wM2HzE~vpE=$U zW<--qyp(-J%b{sw1-!O4`;8kk1y;|KxJf?Ce`<?D^Vu5``d@!U5jr>irh1k@NqOX6 zCPE911av<)w~NS{V?w3=!1TXeU7=oXI4dp&LzWZ?Q`=W%u&MVyv2;A0!ron-|BxDb z&KcA3A=Uoec7@T|2f7XsXC7!Be;~9FtAaNfx}O`JRokq~yXr)}eE&fate$r@ONjg> zf5<P}vqTRh{Ofq$EGuY=?^yqw^s_|z2mRyB$0Wo?p^!(6FAZ;#%bHPdK5GG6ee8Hh zxW(}@f~(nkb)cn<EAursU37-h>D26>LaIt9?L>u2{g4%8J*p9%w@UFiXG}bvbewRm znQ%Pm=R@hRKi}NWImm;<Po%`sK3|m)f39s4Y<0--vvAAQWd!BfFN9lWtIXG)QTNSS zk+yJIpuQ=-_A%`J@1Ig;j@F3EnZy9uzYq56hFtASyuJhW@0Vu&_5LX<YC+~?o76&Z z<yX0KgzJ-+A{zeJjg!Q7!PIrn1s6Z$uDscN+6-|bVm0uFzt62*9~>iCyVg<tf94N& z#oAvSzT`jYA=bF$>6XEIen0Nfmk&m1vR}mq%r~kjN3~_ghzw|LR8xw&mR&FI`|&D+ z6;1zp#B3_i4n0^bwi0I2uIXoBt*1a=kNc|8N3wam|IsF~_D79o7s@OMt5(PVd~iP0 zU3@v0n@ssI@!Obw{jwnK<L~x$e;;NYW*y$K9`_F(txB|$Ja*>8gj0z-*F#9NpdYy` zI0?~gjJU3hbeYk=cV7ZlMa(eq_D8c`^i_u7pwNi+wqw|O>sebGc%Na|?yGb7*+38{ ztOD1ZpBSU%G1EQ;`ciheW+1cAH%G#bYIcR$=U1<~rptvh9(wAjuA#V|e~gE|b>yE9 z2+s(s&ezsHTGP+x39dW0WyU+H+H-^S2W1{2qA|qy24CalK&BVwL5=s>k2~ml^8`)K z7c-5WR2{jg`sOkZ;n4=fY~L8=(?;C;X65S-oeeYl09AbCgC_5Wk3RGld4luKvzZ=1 z3Ba|`f1XKmBGZ}{<4|$4fAF?8nuN8h{LHzwwoOh}4&Kg%v9ao4qF#uF;p?`xC8xl> z-egFX4FtM-?}fk*YN8f#>hQh0zncjDbYC-wlneygd$$-24~;8N$1rjtAzL9~2T@iC zt`qJMtfJ#)<>^QA61zu6zM*?Hrd!+EhJg|n?!?egs8TeN_%9$Rf7OY(f?1D^n~k6= zUru~6GGb3xX5{C89T{;!8>030K+~u=1-g3c3IP!4!1aoa?aOV=XC4|Fs!*K9GA;!L zrS4-c5LbIgMnvgGsOjX`*gsCJ%S^kQjW(KGC(JsOMKpc<#?(J!$O5pgys<hOH>*cC z(wGL@+H{;)Wy}F+e+?DzX>ry*x<Pa-%W?Ak-QOJthF7JnNad<YakD1$Qw-l-Gb1B4 z=rMQiBLi)1cz~77+~qYqgjAjmWpwWi2}&g}{}5!Wn;LC&x$Bq+CrE>1N)hx`Apdka z5~F7g`KO_60PmIB*x03<L^Yxl#2(Y+E@G}h?E67XH_SCWe*|2Zy_g690zOPbOt~WD zzma~I;cEu@&!We0-Yt-SJGJ#9lLyUzOexx$_(`Y%ThB5Tgc{{&72=txdv{Oe5$+RL zb^6-c9-wD6rYEqFZS(YA#+xtq?%u^SA7HegX+Icp-7s3vv>&v%y_hU0quI^V$_#HI zfKTsZFkytCe-B37ILvHMQe0dqA(`Q;4<#ax9&_@Zu5E4mR@WG(^!?z|`oN$MXl^=V z29gHc28{WwyLXAqc0x>4+$@<su3blOYx@R}vzaf3hMET7h!EXwHpUG+8pD{k-92@Y zkWGj=5*K$iokt%S9T_3hks5k^BO_LHq?TSxTwEi8e@6F(p06q$sZ+;lYa2w{*n7*z z#x?+C2J>`m><%Y#4zmObtQ@UQY`fXm2%nB-!~_N1cV<Qs>JP=uI@32^_SJy$K0&Y0 zstbo6U<BF*?|lv0H-AuoCGLUt%}8Y$#?XhHT$w7wZYZldf-!w_%iU8{f)uenJZ?6e z9;!Wke=s&yg({FG{%LFssjV?&%<K=kkHZIH28Zwd#(%op?92J?`~E)Gyw)YvJU=VT z(eR_nu*<K}^ob;JW5zKV0T<i8lpI%mC*v4QKq4Je{~6dya*9R0>B~FuulUDA4f;>% zQXd<p|H$v+%k;X|4-=j0h%;jI&e83UNMY!|e`35r?p*pGc!C%>?0i4<yBnK`3N@ac zYfwSl$VjOX@^uh5C@FV@ND6UAe12c_(#EVgU`?F;;o6w>W)@=J82%&w)DKU-Gy4Z_ zyTR=E)&4BdE+ge$Yl=XH<cmu*2_P*skp_xE1zcx5G6`LcfvaD^t5J1f?CeP|DYUcr ze>|PESre@Y(t2xt1Novn#f4fmL<D7d02|{1h_E9ky|$rmXDp9mW88oQ)VL`v5P^{7 zT_z`HVq=Jj$o{Y^ctfyuBYSw#>m)ixWd0&)-~xCW_0XJFqTr<n{^+UE1_s1<sSC9x zh&Iad6Ko6ssG-u$XsQZcDqv+#jU+H2f6j|ssI^6Sp&pviW)NQTVDmst-WRWs>LBs; zT#|1#cnY`OgchU7L4q}tUWVv!+;&r1i~>g#{5<Jpi%_F1_hReq0UcDj1+89@vlX=K zsfhtLi_G63ZPu!mgP$qJlYmxHp8rCvJ|d1{c@tUQJ-ifm4z0&~d|+fSBAmGVf8Mv^ z<N-Kh$3drsc+%V(DTNkUaAMK;V>DU6>AF^l#WRK8U(<7S0ru=ToUe0o1S<#pKzT(> z_IO?ZjEVwZ6PJ7qba?Kl6K}_SS}uV1GeGA38$4O`oMg2#tD*EYwL=Q5hXQn508=Ti zTp+(|z^D{pHfEz#1W%BID{;qse-ca(?P6XgA=uSYEDPjs%4j-<3?Z;z;s(rUMkt;l zDyA4er8ut#Z|kjD0GBs_R+AiWw5?Qi6RGtaXq-_Si8R#axu9lB@wwo#EGRk2aX>$m ztiDQW%>Xx1Y7ZiNb$FVnUxPJgUe%BQm8`GB7kg{&0p}=DN07Z5)e`XDf8Lt+K)ytE z7Rf9Z^v1QC(cWlPo534<YKnowVw@3di9N6tHF5?2mZ~cU1T93VB1GY{JvDW}X7Oq& z$t(-x;mrJKf3;}ZMNd6m*mTW%?EUwml?Z&7eEP^F4vn~<@iX4F6vuW)4Sm@5_Jv}L zI4J-7MQZ|ZREif>vJho}fB2ALSvZMRb!5xqwtJjJUepfX0e^ID4{a}^1qM#MEyHV4 z-)&%jGMnN^qj!rYVBD})j>r)FrAt!yLqnIEw89nO<u}#hFyXu4<&A0~j_l1SS!j$3 z*N2DPRBO};SA~Z(sx@kcTfxVmu~xVwd<+_EglofXps_YD7)1`We-3nFOQ5U*tOJQ` z0A&?p?Oabq&T5l2`yceygaE;t0K0`K9YkpcKOQ-Y3O9v|_teY+M@7S{NUayaM%;#7 zv|}iqBucUrA4Gj8$%ZdP$ss;aw!|Rgw8N#~&w6UyfM7X5eSuF#H;Gp_lk;7XV@`qv z9Bn6U4#U$z?JdP8f5Q{tpvfd>7U<l-4p`vtMu&=qmyr^8AezNY#<8p{a5&@20pyq~ z`y0;HjCKsolSgS6<5Q_AieT3y=OWN4!X_+4Z9*7}nK;|X((yFJx=O!KZw;Pm31e?q z;3NKuZiSon)?`zcw}B@i2`)>4`zJYPfi*ey(FMLJ`i+Rme@iT@5@gojYAGQlsw28^ zJ*KodEwlvt^a3A=cE|0xUW`vxOi%!)XS`%dW)<mE`^1|%`mw5bzz|h<1%HTIE6?^` zh}wWi%CPLis=5Ios5chS!}Qt&%JtOHfdMI=!$On<LO!G86;{<9a6}pHrkzJ{q(PUS znybJU@fJw!e*n0llpFAMUpNP<KZvK*k<38w5-#{4QXW&S2!GvEBMKx+hTkI%RDxot zCNtV9f&&8^dTJg5iLmf2(m*zN3>O@ST-2_%hVSUD2?Ycq;RU3DJa7xVs2Fbp@<rHR zXS@)<YOOhrl+ZY2l4iIB{98{=6L3Ky`~hho3-rPrf89xw1-Hnv%_qGg(Jw?=IM^A0 z9e`>wr&;}K1}PnHuroMz3aZJ177n<Igy)e4D!_xdn<lgogvoZWZN^KLG*HOjgNxry z8-bgsgWGy)h5!SR@KVx14w!{IYC#KBXpsihCcWg)%Hl0=u`%v!1=Oixd@mRv%RaVH ztB05pe{Fe*jd5VF;6|g6=Gx&#@SvWWy+Dt6cmp}#9T|sO)vsDA!GEI$$gmA3IacU> zq6rP8)<SR)H+lpKz2frl_ELNUH9(H7Hp$UP4~Zt+Ahj~UMcilvGQ^E7iJIF@+xK%^ z1UGsJ8REp2MIGBkYr^niP{;PscD<_k3jB~*e+^xT+KNccNO_E9WrEu%Dg9Vh8TbH) zJ&X)_@rWbEJGj92Mjw;pAr|-w=wqThuLXWM`WTF7vcN~9kBRdV7WfY6V^Tbs1-=;i zlxRXFDbW^jpVAR#W0j4yqVe$=>0E4}Gy5|R8;3O1;K`sWOlZoA^BDM_-Wn$W3uB8e ze?(~@{$!*KVFPjOw>WI1u&ixGRanp_5iRPVN)J@hwu|tb7NRyHXkzpEB(qZRWyZT3 zNF^=W5yZM=zcExs73O!to3G$asVP#Re{aoJpi_<=JIP5zkBjq?gmo=DBjp*kq}w`P zWd6Faux-jnd5tY`WoP3$<B)D>sE&@Cf6%lQ=C$Ecy)`mGCyf1i#>?balpSY6e7%Vz z*nqGToqtFYm?7-M=P9IC8W@(b+>a&afMJy7S6DIwe1<!H6lr76Mxbm>Xh<ENF1)e? z`j94U0Q-7`AJUENsY#9|uqMJzo#Z?N)}+}Qi?x;{0h|+=@%Jf~d>MovC9bxSe*_{3 zJ>2O-$T1H<A3jlnUqX0E2+!SADnT4NccITIS9tESO2G}nb9auno|v)Rg=OV|)ws2z z$T53B0UlO@Z&##mVEfN_UBR+0@=xIYg(JtD0T}Ai6?{9~3jrOxoL<0JjCX~UsEF8u z+ipSot>Cp4oam|9Mtue2B?~JJe**P)C+(D?7Ywu$mPub2&sbO{-{aO|pfXvFg=Y`e zD170Zs<0DXJqK0FDccOoIOG@(IE4DUn|4=$BLSZ2sWAl1V7#z}C{sjlhUFn-9J+cB zoVfK(%{OY4C~)HZ0^bT9D2C`hjtqI7dO(!U_=FvKW$KPAGov+q+Ny(cf3L%9#qwlx z4hkL?ojJIv-|i^vxhI<SO!kRg9PBw9O%|CiYO2z8@_24i;?b#o>Zw)4x0p)`^KkgT zcdQak0K0+x=x)>GP6SOP;l<G4nlrlwhlya_;HjXI;^;kZZItX3=Plt^UHvWW5c3jn zz2dpLWjd%sA@nACJl_Ppf1v=~b;<X%2U{Fhb~nj<0x^$*pZUfr;eO$?182k&$=4V7 z+t3fCs-qwfi%u7*4uHT8bh<=!3Itrx>0;=k$TWL)A1;3<%~pq}f^sgwS0d(jz_0bx zcu*}j0MQG4Mf4>RdNeZ4mEDdzeAtR+i{@FQ-gIrI?dq!8M17MPe=^ryf>(!oA;A-q zoIilC2>Juo3ILo?-KF>+2rn5>c9L@+_#)!P!IHDVZk+Ef+PF4a1>C;CH$<axzPoAT zm}*)0y-ChCAYUB4lNPFj-Ub>h)@~pP0`=Aovz0bCHdxX^wZ!~ATN`*-a+Y+kJ?CN0 z0zU$M9OiTr0w_dBe`d9#(iF!9_$Zrm$*&A=tU%eJYvq@Ke1MZ^s^SMfCke1ZQWeDB zBXld$e^V1leXO)OuHKTi5e~6O_91B94E(>#HFq9!>W41<71q7Mn`-6S;Yj#kqgpvS z+!k&QjWOY(@RgxU?(h~kSOW3T;Rtx!O|^9*I~)}gWW9P8f7HSaw44%HBU~u8v9o*& z^bv7-<SgG3aWVspv6g*Rb28;ToWDBhCD{iT<GjK;Pi=KTsg~lSv5wLJ)D}w%)dN`P zuaLT=7_TQ(pIp#oo1Vk9?xZnD=iy-Cq?dw_)eSWz8^4QHQ%C}1sJKPdA|vQ{(Y~`H zV=u7!uTAl|e^v`x_s<>#++;yFFY;0b{{XUJvRD&kRf4~kGARwZPkIq6_J~#AA^B!P zX5F2%r@-kAfXYIxC8AP<Gl<oHv5<soHK*nLI;{qu9jH0+#Y?H8AR~;CXhB0`YeWF! z1>K^^%^CbSq~GLL7;5zjeveQcwot3krz^tg!`2f3e-qSdDP9TG-N+VMsKp`<q9jZ3 zQ2QqmewpNZX(}Oue-IflkKG8j8LT;qRG$Y`_!^TOFJz;b$tc$9X^kIh?-hJDsZ|zg zGx+{ObvKQc0u7_=Y|+)`@I!0MAh;WMVezvjdPL0R4UGmyNwHJxY<YXcQ0GhV6Z6|e z;m-zYe|}+Y(JY;QMIqf5=lUC>uZo#Ghv<AMwy!Xj9sI4(D2j7kf{c=4CeI+_774a1 zWDMU4pIV(qARIHUe0_-r1Y+UU>gov2Hjp^u1w*PMY!LkS>8Amx4V+woXg-uHKOmZ` zcsK>3nW%wTLgNOMve0Ns3HS~f%jDP!!pO>Sf0uP=+V{A!DWQv4_$8ssR`A}8mlG5@ zO|*Zi6yL0nU;<Yu#y7(gWZ){Lcw@x`J$TU-ycD%#1L)FQQv`Nw2cZ^4h1wwozV5A2 z0Rtr2N|T%gz(6Xzm?YSa@E2<t#gYp_IZDT4tQC&!gbS`k`tfYV*U_<>{r;=A;)r4K ze}uLlrtlUSu;5`Ij(r5RZw1l@WdzLr*#I1cg<ph>3F7eBv`PvXhr79uCW*c)-ZH+l z6?`YkmYj%0@d8mzzaVXscz6~$|5;jm^$(F%)rlo--Z50uLRvg}PLxL$4ntA9R~}j* zmPA|LFFl}ksDp+Nf4D)F?C2syLQ3!?e}yCs)LkKIlX%ORkfaD+6p})4!41&cW@5nU z6MOKCc95DP3XY|3aAD`-zRw>DK!=L)_S&7-;-TQF>st!JB1%V>kOTuug+qTz$8+IO z9t;u=hbbMsw1X=bb#dPXkZ*-lco8}O^+|i|5%JYib}9fn5;yvFDid7Z4&Gl6e}*H% zL=tF~a%kxGGq-rs9ykqSr==T#U^As-q7R0cmxpg!zK23Tgz@Z!&dE6Jm#NH@e5er; zvOFjqJ~ktmKLZ#ga(=MMvsrZU!oAMUDY-qT^|G7!=C){Mx%k36`YIKE&ZpY-j3d+B z-VNV7mRx$2o{pZr5!`;?Z4W(Ne|vgLhzbdhE(=_mK8$I@r~Mh}y-D{!<SR|@Bxau+ zo7?@%D7#D(qt*o}59cK2Gi!uVxM(%a=>W*%dOqPL(W>GbG>0D70+W^}L>k<7Oj;>~ zWO4;+#EGx0vACREfemqDN{FZeaiZN9A<7YG67A}f5itd+{F4XN*KP|ce+-88lNF(s z=+B+thEXTBC<^)NMB@paGq&RM2MS{gtihCxVD@du=FVQgVavV-e%<}|f)tMq`Ne<i zOF<!fA^MIpFU02qAbQZUDH41s4CM;7U8AKCT^n5?%CjA-Ym_Bzt}YTh+%OSBqA{R{ zDE-4r0nse}$;Qetf-Elme}nS~=#)9P#8*d;i#<&hSRmqsHaE64E`{cfm^Xrt*W>}6 zQV>JpUjYnas0angw*bqk;MaWQD^7BfIv;I<|LLiTCAF)fDt6O$|2k%l!$OVfvs4Mu zmI>_0V@M`E#s>C5a{eZ%_0p2G7;n!)l;IDA1bbnUqdKlBE$j{le}V1dJmNx>65=H# z#o4A?llJ$EP4;829~^a{Oca{i8bREt^WA3kB6t|piGN9z7KL)%3&=uA)&NW~Xy5=K zAWJsXU_ta9h-qjQ>7PPMs$O*B?G}vOaF(>{FC6FUhNs57?!%U}rpfXn8Lyy@rSao> zT83`XCoC&xX-O1qfBlS<sP*HAIIyx|igRLoLJE|H`kV;iLY3k|-YN+}!O@VnUxi@L z-KObXLQXdXyvGqT;BAQPl5$2JmAPTQR+S`B{GlS@k+sCfqg8npE0df}fZ5YUXy=vy zvll{{446F;%67o)xlmdFX3vCj7%+P&l>R{LW1%bpTG>LWe*z4=RMMH`OaW$)e#R^5 z7e9Q26zQh>*Cx$BNG$<@h^6okHfS1Q!qwn5aY<|#a8s(fsPU3zB`A**+#N(rAv98* z%gW!k4<cNsmXbhNkXp+*@H0+uXAqG~U6u#`-n9xxARdXEJl=;l!0>j$$Brhk#h})P z6XK5_K+$Y#f7;Uc9NYrm2i;!-ojy8172dJ8hDOEF&KKj=5fr4n1<e*CW}|u#nTC@f zn{lz^N@+n<J}D7|L@P;vMDRIV7Wg5Mzx^b~8uC9oSabRp|5!}Y)DxXUaer>!&xJ~F zZDeIqvO)p^zBqelZX?=AvYL`MH0I9M!kM-9u|9wuf1AO*zxOBaKoos?h=YI}qUf`c z1q4hH{vZDX6cMkF$7}q#`N0LcpbfP2v9?h=L_pT+e*ZkIzWt%nuN`wQu(FCRViU|* zq>>IVCKz@IsiYaM)ik}6mZQ)jJDhk0KLu}5_2*u}Zv}2XDKMM#vO>frXk8@b?`2yB z6Ct`rf4EH((}d<t?NIR7hk6hoIBQ42KuzEm&Sz}yr9Z@CJZ;{<Y7Q2GwCTRX?@-An z38ed~KZklkHwcK3YF~`s^uL5xclgWXL(o0H!s%uC*`As|BxSXwCnmJH&-)WcrJ##{ zQ6wip$_TnZKm@gpftryoUa%7aM6gW+vOtHIe-ONK7#X2BFY$1F1gno{=XDfzVY|^h zz2M?QNEq<_S;3x3ul?wX7mJ>gUR%)>PlQq%@h8D1pX6HtigzHpvHDKznvU@vtUi%_ zsAJsKrrr_i3@@eUSi2YF`xNJ4bIMSjcy>`o5VQ~jMA)ZeUXvVA^urfp)jc)q!0EI1 zf1MyAeBSUsL^pQw@`;lRQR;|^&wo88IX=Kz#{I3ucn^j7t#h0R;azL017Ae;EyC8j zU-}EieiVL9xR&{CxTi)J@rbu-zMxA3pDn*23%@7CXZ%F^X+XEK@fvBwOK9*_Az?dI z*CNpA(5F7%k^KYu)axVW6`NGeX!;8Cf2K{UzO)%SK7PTdXIU=1RF0gR?D=A%VkEe~ zwDat)zr5ke%<+$E`SU7|cB=@iepFp}wA^;DYB)tIV(oczFYJLcu;;(P0oeT?cBpnW z_CH{wf|ux-`Ph^8#`6ycm$yYjvFS^VFT&Plf<4oI)gtx;A|BrVg*3-h@0uHre?yw1 z&?-x=7PJ{f#1oshy)|UO_dk*a_&yg(E8xqgis?x&=w{Us$s+mYf-(P*dEkst=6e8I zqY>l6Z&WwV6*nQ{=s*5)Wo?`be*FCc%iQy5rMY<K&+x;p<TJmXJ}8@b;A?DjZAR|T ziN8O+$2#(_8?U`ydFztY>1(j&e~UO1LfXA=d1<r1%js*UY&)a(kN?*0>nf<Yr2R0+ zT7CTacmBYE;u{MJVYkaS#mAmX3_O1CMuYZ43}l-sYg+2Bx<2k0gTV~nV?Vmqq*1o@ z$-5$QwJ6+=H-9%rB|`3Vxn`SHaOW$g|IL4K6SW%^^-y{?yoX+D`rgXKe`3Va#Thug zo4y$swWvSq)UB<zLukWzlNjAjkV@RC9_M|EomOLoW9DEAXdUsse{uzz(hBz5hYhs5 z9kaXh^S9}j-wiTDWoTb&#|K)@Kn~OD)E^SMO}p;iSh^p5<nloGu}3+CIATm(yE>vy zjTra+_erN15AUG+>)q(*e}tGDOC64LCi!YP7?;u+%>aw1Ed9Gaw@&>{GgXluI(rL= z7}oDoiQY*(tJ&mNp~JOgyx0#jQi?_s6&uBhKr<?nxQ~+SRN~4#-0;{5?WPf(<M+{l zew|@9sDGS7cuOcbqGTDyoW{7dPeoP`Y=|>k6DD5uDb=YEAE4*9f3566FKX8%v(q%~ zf|$0LMQTU<mq_YB5%VFmH*5FMi<)&|><95jt`pXYGwKOHN{cnPA`D4j^v{v&xn`G{ z6vWcQ;%KxyI@(i6t0y=TR276YG}n`HelJAR&NaKhJSe0Q(egUcDMDH`L6e}05YkXw z0%Na`_9E9Thv_Axe<h$VYDXsuX*UUn2&#$@ZL20XfbpV!KzoZJH-+&6KiUwrmuf~~ z%8U%1^2+P<ymeBhwC>%p7)A)J)a~8TSVjn7MPn}9Br1cg7nl)480*|bC8GcSyzdyU zEMs3l-gjN@ASNWv?e<y14q{-m+b(UcK4ahhyrah47{<P!f4rkQTvf(UK;F?E-0h4> zNNRF}8Iyr|M-91H#w5hk;+|$qLOeaL7(*GdYj7PH%8=cNi)JW8c5QArLm9H`a}^kc zkR8qSW)wnp1MUi@Jl^d#ouG0#kz(F;9vwp9W({Gsfr$vB%Kp5Y#@y$akfUz5D+ooG z6RGB1EOdx7fA`uD<{Ox}l~@E347p!1A;;Wq7ZUt0CuW&<m7ooEqVJh^ou)Tork&$r zQ)_)jd~PM3YrJ!J$dS2-X>7kc6vC9p#NE0(<i?C8u=Y0pY3Y2}O8%7e+WAJSMN)bE zt4u?gxX0XFu$0pAUedsZ+5rQDjy~p|1%oIZgOUbgf7A|n@B^f{2!5b+j7b`VP&>py zYe<m=T2ngSN*cg`Q5ZX9_kn3w_84w7PQ1_^I4T}qDj#5_(4q#;Iv<u&6J9hnYUxXu zpihal_)wkgQ_-hHTU@B!_SWcA;w?c`LVqD>gZu7P9n*gv+(zl}qDJ+XfDdrr{i@0R zIbdl<e+N<OxO^-RS0Ax;t^0&*XZ6?f*whdO=*IT$$cHOt!Y}SW%$mpcf>$=OH;%nv zdb#~;_wF{kYQR17*gsJvp!kI%Js+vl)Vk}UX7v{MwwtbpsJf!;w%@FF6ChuLXO;T= zLloLsG$E}b7GDGE%CogU@FFmXV%*U;CugH1e-IHF?-)}foa5+ju@>{bwwi;$noJV; zAjEd0F3W+rzk;n*9oRA`BO!e!LWk0UfmrrHnHZ0Y9lj)~ke~{_7Lsu630!c+)QHy^ z^j}e44rE&u{-sW6n{i=pMHTL#s|z&HTVvMswPIiop#HMOfof%MjP}mx2&9tjJ<#5i ze}FpRY?TzkDI?$-P*Y`%h{oOAS554{2qvP2bU6lmXFw7jTM&gv)ZlGL4e4?8by3y2 z@NWfCiiz6QmhfR+ju9UKwtyE`_n)}c@z>2rD-XPu88n@zb0eiJy`>}8x*eV%e|mSC zZ&zD+DfOK+`(;7!hkH~oma@!b#9F6Pe>*mU9y{*cJ*f%ZKIivq4WIfgynpsVsyn4% zFYdG#<QM`R73Gm)t*xo=WZ7~<r%u6JkYHrv>`|R?ad=-H{$gzSqiEIj=VU~v>+kBc zaSttC9BTY--yc1oQ=D!6n`BoECeMGp2mva%^waMg1n8jSvcAKg&Pp8n&$1Zde@~~n z2V3gD0ME|;FK}Vw{|g-1F1XIal}j~LOKG+}q<AjZM@e~a`vb2qFAHyeH?=N}4u$dj zAj_NFVM<D`?T_qvUJ@#_J@S+;B3@+vyv-d)Fhvoxm@QUwWe4Fpuk{^OoUxGCKl>US zdHUk0HKZp1)~HkOO|3J)-?;C0e<SbOAxu!eql!zsAJvifGg~GECv(!~2@=0c|GKsq zBdL$meNNQ>SK;k5n~O)^n()O8K1{)g{c($~`~6%K@h;xkqwT-Uz5l~}L;N2F$M+A9 zF8$qNoe>^}RiLb25AzAOuE#-?jW;?@`Bp$CHDbc7ZmAHsCCt`thr(GEfAU7Wl!c%I z0zz`S3uH2YG^;kx+iG?J2Odq+HV?;l3zIl=ts~th8cHh>{d}?1839d_r`I&$%YZ_b zJ14APR}j(@bG}(*K^eWB5ZcOwDdq82-JXMx>XA^DFQgM8`bkLT<6ZyFA_5MD@`S)O zMF`~kt{B{FHuznNyy}7ce{L}rG}Km}Eev?$w*_AVnqE-6E>FG;MM*n$<}4BgWft~s zqUw*3QUp<Kg_MGIc~+5-5_jh8oCZXhfGGEcly-<>B&4)JlsqA&x^k&^bSmu#v&`}* z_;04A=(JYA+cX=?@iP&DSCFXb3ztuRK3F~bx=V(dNPe|v0nRbse{NzzcbD5!gv40& zA@VYiy3;2e-n2-8O*3E@wRK1GwU1uav3tUu(X-tleCCZ*hkG})xlN<=kG9o~?$<?a zbVKJHvZ;4Zcz7^F?$tawR52$nxB!e4+$aCs%sEwLsu=A*xAu`(-Mey<g4}ML-1srt zV@Wjmh!zwiDKiZSf4Uc`1Hr{iYwVb~^DZ6wsjtW2qkCKLnX2$L=1*A29y4CEXrmZY zIW~{$NYqGHYLvqFrQvD0WUL+i)Kb4e%K51KpJCM2``OA*?f^kVWicDG^i7SK;=l(R z17|3y4-&Mz=6!R|g{%3$NO{koroJy+m;?gSdnXi;A|R+Be<idaU8G78kfKNtrT0*S zAVr!2qSVkq1QaPDR7F6L5_$`vLuiTAC=s~%-TU?b;hlMBGAC=Fy~?wmwa?jWPiE4# zOj~l}^sSEFpo0u1A6BpQCmuBX__3Btbj2}83#^2fC3RKD^K&n|G2DYkVmx-Z&;zLR z^3U5eF7LMWe=>ttS%y*V8PmgZLpQlmcTpgWtCB$rrI6>U`lka{d#x<l3~!ny4BOS< z_&^Qpk(AJvJ37~60&9NwPsP;Op{S?FrdBr)-!W+{<?>|<P+oiOtk@sYwhJ|a>4mJ1 ztav&M_`AA6r3)Xx#rSz>mgj+_?#qpfIv195(X1Lqf1N$5?Nb(YzVwG`$nPzCOe|m2 zbyBpl+W&kIi~SX0Yj>?Msn9yUvP?>*=S!kh<c~<#?}y>%2-g*_t)IoYdo;qDB}Q+Z zUW)}8@x2k$D6s$YUYuLp1ob0fU}blMRFSo}ZqWa)Os|?3Y*fx6=mL9D*{=wDQQpr5 zdr{FZe-3+5)=v%lRM`)KeJbydP`93Ou}rr*;TdyrRkt2@0jay~-ZDyeJ$YZ<Zvm4j z?q7w;eC%gXuh_n2l`f*rg?)or2)bMeSIs(E>SzhMs3E=+yy$M}4$}BCEir9y)$;wr zefHgs#%~gJ2T7@KGh%8Y06jp$zf72maCr8`i2OQ@v407pj);;L)8hkxX=}cxci7V^ zbi6{4NUfy-L9#4_V_fy^`{=*R5)rHOiDDYZ-!u=C(j!;dqqB`KLu~#|(p(OSxwRJ9 zzoj?*^hv^6Hv3bbSdHI+=c5L`oc|?q3ER?Vd;%Fv1FM{Dtz()rMC`v*DGZA_8U_FQ zQ+9v9@qhmQ@xT8s+^k(5sb4Z{&`F;CufI*XrK0pWbbh}eBs6QPxi3=$8b|eHxs>*4 zRk5MCNL;Z2JuQ`maB+*sHFh=w(abokmKN%vnO1Vg>*NwkbMw7qkgoX`r9HaYk@n}- z!q5HiDx(3H$kE}wbpg%WyDgh}VZ?a?Hd9@m^naR`u8y6Hhn+*<e!pEHLrH0B8sIT} zP4sTdJY{>A0&OEr(3L+*v`yOVlHW+bg#SI^zw~#;r6rS&%gLWTjlex!eF^Xyj>o8< z2Li#(W~VveW|PvuxY@!qukG2~v|vbcnM(jfvDAefqFCah4S6+jD<$m&$8)#;5=*sz zH-E(XXSJ^XMWo$6+L)8^%g79iv9?dKQ+r6`7})Ev;=SgufVUAU<oJ=q!{&M8i%Cz` zVx`NLG?#jh`otr!yS?s9D+PFJZnvJXbE)`ebN1OP+82{lik?9JJO4j!8x$LJv_8X~ zUbbF<I8Ta9YBTsBVqAkCzC=5MDS@95&3^#E4LcetDrI0k;6$57Khz~X+^4zQ2QCt{ z6L+=ed+k}VHdKfbd=<x1Vu)zd%A_*B3gI|5M;FI3i9r|wnQ=F@5?GC?BY_IG=%*#u z5vH*fS90$va0beGd_+|1*u2yLqU_L9Ix{gE6evCP9i5q2EHla)-J~-E$I_ro(0@TX zGcU39C`a^(j#7-q6_gg5QAa6Og9>GiPSvRgEP`OEh#8hes<WFoaV;fQbtV`y&QB|y zJ(ByF7aanM%)x~tMq@iAaN#<pF@gYf5gcs^;iU%6Sto+Peok>LhGwQZkHHzDbF@t9 zVB<FNdRQmTGARW%D-Rtj3Uxy(mVZF?u_eFFN@z5<`$fS>1oiPv9Iw_Wi#q*0-SH=c zdr~3gA`Q$09iVeb(e&~{1Sh1!Kil3FMU}iHYlitKluLhh%cBm_s&ng)Z7HyWqssw- zv?}T|cz&(Q!A#YB2x)x-trg33H92YSBW<p*2he;-^&O>xIa;UWec>lb^nW$2%)}={ z4Vy70+<_2t2CwNCXrLB-;uHTyR7@RvAlQ7U*xDU!9P51*b^|xzG4LGJTZ=00Z&$ze z!a0*7d^cm6V%NK@<B63?Pi=;CUJy6B2H0^=@+XSj<D=v)?|7=220X<et7L-N=O9(I z0=$CtqsyXR5VvHGSz?g1S$`okEN;hE6<$HFP+<1HiPGa0RQ^i5{Q~?DG$=8No~O<d zH{&bTo4x_<0r8nsB{gw+#6d-`-1*u}QBI#_Qq>wHZ}tkx8)s*y1W4uZxF*sTB#M@V z>#=%zc~SG-KzrQvs^yRAyQ1*QEPNM+_ySB7oBW1i^2mp-T?uNa1Ap<!p+oOFd@_cz zQ{_UdYJwQiI&g6||A+i=aax5Wvy>))M*}pIw%2YK{s`E5rPp>h2Fu|hO3q8Qwh8*+ zM@I#^17`a?<~C^X+GM{SW0sg1S8?a`6m$;g%D&@M3xpY|rMBRnF*I5OTYEcvYrJs_ z--~TT_8UL1JPXEULw}d(kJVKJLFgxbhrN5~4d^eB-3XHdb>m$G=N`HoYC{(&rW#0# zwgNizGC43bS|GCb(7MnXDm(J<N?;Sz=BqY01?LsZZl(feOGz^k)j&bBH&ER@bStzM z$oW-!i(;6=LtHgb(JTonwuiQb3c}U)(EbPu_`SJeSEws6`+tkJ5quCN<(>eGaidju z4YmU+*dyll&>x@yRJlD&H>h)^(T{>XSkY?m%`e*Y@J)J!BCs7rBNPGJLq{M&;_hG{ zCyY?oN#dI_z!0#<8|drV;xMQYmECuyVUV2^+9DXI02`Am?DGruU_#&at9M;T@9t%T zcPgr_%N+Pu0)IRd(Teb9-9G}kpult}@w0X%P+b!Jau0nUkw67Y1Vca`IncJ*Vp&82 z2=*Qf;l;7S1s^Av0AZF0{kdXxcrblq9vA|^LEv>R328JaN5t-2@mh>G3ywM%_Yg4* z^m0o`1E9<i{By;naBnu8crZ>9kq1J307KY3UPJ9?i+_2c_MrJeCSw|uE`mH1jcKND zEC53UJQAR)v&GM#s-XD+CSwrF3SmE2d>z_C8_2J!z>5opd%GtvQKGaFWG!tto&z^> zrARw72G53*4aTt`+CV5WG9H{G9PgSS4qTK#NA97i5F`*v^ZOQB3(9=um_p?kwt@~V zQx_i>bbm=;qJrH+RL&Mt#yGO!#DYDd5i=lI0eGGn#|XzdC!B&H;^<q!IL>2#U4+tH zaU@PG4(jtoJDjrP26|x+?WlmFJ7!XClt8Zm)km0ufcvZ-%&LtvXm+6bH>Oldm<2*& zu6PlCO4pbJ=A&;kMUe4$LrI|dQKnP?L>LVS_J2@7Yr&Zsw878>D##C}R3JnK{W#de z22lxu<$_@<XnCM|57R#I_!D%rL0gp)%!<3B+Q^O$0IK&h?O!==hmL;LR;2)Q;v`iS z*l-}YqjN$t4a^+DJy*OR<H&_`4fe1<dti>RnJxChnd-tFT@#+b>w2F9JM@@308F(T zL4O`B0%uppH8`FLHE26goEad}XN&dW8eBM+VB8V3k_w`m-o~50Fit`~4#hBMoF*BN z;)IBih<eajt`Lz5tptqhNhb-STY!<jM0?txOSH$}bcX(I-A-s_*wfGi$93{km(Jir zc`l4*R=eE}IxdB39{&6-jy46J{}AoDg?}&won`62B5w)oIC(){N1C%oh*7d7BaR;4 zx%_6u3LytNd#nG-CZ>}OC;f3TwPaM_?)>Q0efPRWErb)*S^V=53Y-l*YGZz%=FAwe zg!9{0Pw2$<H?8?4xU6iLzB!f9^yV}3`mz&iABwR=_`<*MclH|t8mJofIyEvGCVveO zdcjUbywsBq5q<v&bdx#=ak5|t^prAt&{jXn0PqwylmAbkM%_pJ`p@dhagIl77jpC( z#rX~N62_exa4w40#JI1*fj?Y8vyqW*!e$64{6BXTPuYLE5r@Uk;htA4d+>S2X8;f_ z$5Zm1NVq4R<plXo9mPj6vm0$bihtXb95QB~7nSmksZlxzqrJ|#GHx?4d}lWDtDz|Z z1)ORkxv@)_B|=4lmtKrhN?Ib!$W}>|A0^Dx|1)Q@bV8hwr`YH@#LeE5{RC2?ED=Wg zopaZytKd*Zvcqe9RmD)oXOVBQEcA!4TI%+9@W#!nwXKU=O@Rn5V3TuwDu0FNbu%N# zU#`dN(6T1BXSILU0Z<33o$nHtG^IMsaM<TJm()9AW^#&$PS6g}&amDEdsR9#a`tC5 zg@Xv1^Tm1qG!nG)OHYG-(i9Q(VqG434%!*jy8u@;L2LIlL@%i)^$?1|MQ@@(p3-La zZKFTCD4N)-!2EyR5vRZ=cYo1KDvs-B*ZBYHBbb0e&Z3tTljexD7wZH#gmyA1HG;3| zE3{zl=Mum{7QOLe-3N}Mo6JuA$7q%XHGi>Q4;KKc%>Hx&=+bkLp|MHoA$SxIxuJ_x zJAHZ=0+QB<hl+>p&_&SBh~5Q<qzOV<@lXP40?&W3{s5{D+A(xokbg=Mpweb!c}6+= z{7wutokB*38)Y)LWTDAO5$~1H3%-YS2K+MP)JFqyG<;XlERY_>TO3&;UR4JCgYJ?b zJ~6ap6ig6t9ca8#jG&S<LdXcxxdC12vxc>`Ze`dDD=~v~p(?<Q-)3f46pRti)HpaO zHt4c`X=`cxw@a&W41ZDZiKA}{(p6B3(Ps^5YYCbqL$9lGtOLvGvIe!kDIgT!<Drs2 z0erG(UqLzyRFsPEo3@ss849|s#_<&3dL`?d_BTt!8(`{su`s(wBeXP3@;y*N4{=M4 z0~ymtr|>Qzb^y9Y#n-R>?Hb|$n7UQ$d=*Uv7yoT`6W~z-Wq%Ko<Y87YL>Q`Zq)_I{ zpq~oT^#NO{{141-QcFHS+!v(NqcrC9kP7o^^WBvx8)kVXKl^+G`WLt{!5e&~rCHyu z*g$$+zL7Fl6fF?^+#az3yy4!`3_#sO)Xdh_!ma5V-!9J6d1OP$!(c=W2$j3Y2WYfI zOzlm-gFdIS>wod~0yJ79n)aqQp}IiMFZObjQe0K<7v~u~DxmUnwZ`y!R8o&y6aj}< z*2!PgQk+#;i}P2i?jvpo*MKZ#%~aZ&M9?yDw=eem6vJE|ifwlUJnEnUbG13ZVRjF} zwmS?SozSDX+7md}mByGwJ7$k!DE(Y*G4wT+-4Aa@fPb9~`bqF}2ZR{##^aW0!2jJ^ zcg3K;sO<W@8L90=&~(Aiy%5qM)Z6yv2mi7cX`k)t&cjaa^bw3eFX#Foig`)2-l^R+ z^c~=ebwTDy50QGh`osII{N*c4oIKg~mdj~UBLO)mqY~=YUyFZrdqMc`<5jC~OSbW! zEKDt*jDI6is(t`?X%A$5J~dVantZWe!tY!kIMfMC9=z9p5wr=MoZOQf6)>%C>(1Uk zT{o6a8I*npuraCVFW49?u-ZAzLJ7zwaC5)gyvQl5+i0CzN%%XbpFsHA6@FTX8Da|w zw*1iVFy2)4)GjO3ZDaA#tvbO7Yp44~4QO@gkAIC-vsPwV##hrmC%*q3X=U_7A*8tN zn*37sgAB2_z#7lH4f0=M$BAhHVaZ1ZKVQpSQ~Xzb>2&un*}+y<%-ht`JGfI?)5kNb zg*kg8+$Z3ANju%6a<LEbg)$+ItwbHOBej}s!6-y>^>x`_MC1d^hr$LnCBv|!@*iOZ zPJc~hPr0*=heMcUehv8LTzhJIG@vr*4~rA}^u)g*d62HdG|=(@QDPfklA}`dNbc95 z++gl4$$B-pJjNpdqix>Ku4IFO`~q&Hgn#W8Ex$60Y)!x~w0sNR|6E4={++e1FoTOb zPAO}&wArc3g41pf1Lx1z&JCH<{B-(*Qh)t=dl`u0uhNW7z`J~fg^H7RB)20>Fqd_# zurQ~=i3J~Xa{%BL*!QgQxa?cqah#6LPE1x@^2AK0DW-MDtl;x<ZfD!{7Z6n<1$LJc zuKP`j1zZj^3erm%!BtRxfqb?uDs3B?MaZuP>M?_Tce=OkST56pXFm#+S8C|Xfq!we zlukRD*izGZ{iO{$@N6w%+{S%xjQ2cHFB;5)pfueLV3_3957cWP;VGk}h5SZo=$DLy zK5%E4=G6`qHl)#*+~WBtZ?c{87$Ry*V-dLAsG<LHM7dIaJW#LJ$kG`(a%F~BG*Hi| z;7y%8WIKhSfVEOyZzlx~amgAnn1A9e4eARmxTWX5j!XFhDb;aLX~UWGZEvxb$sao$ z^4D}z6~Ku{y3SvjFlChXfy-Rr*$T>qAm;?8y-7H`-ToMh`f9Kx+<`Hu<wXrp>%`J| z_3!DGCpOLIa1lsG8KriR^LwUiyU=8&vK?qH6T=Lg6_Qa$$qTt@L8G_b?SBF0DW!A? za?WQ;nSs+nZkp4GZ+AZh`)+r0fPYTHt05WXlyi{fX}AFReFbGJB%_jYBFOm_)A}wH z&cv|;eZ$1D+kGD_IR;2)%G!Z`V9MHse$|s=DW-e_L2J>#cA-LGTqR{`pmQVB{b@iB zdA?f){IHDDC%&q?7Nw4#;(u+~PRWL>1s9ANx?_sv?RHXBgZf&{IFUx~3e#Iid-us{ zULuYmV#}Bc@AC=BjO%_0$#`DfU2beC{D_7R*KKO&RXFm#j#55Q*olTN5Mf4>9Ef;G z<F*4$VhRmJSkepzA|BDWZFes)S~)k5yf38;2y!lD8k&KFAfL@>xPP|0OCi4Xc#F26 zzDUU0tpdNUk<dr(Y6$sLTnbH4A3J1Cq~M@zWVT%XbSEVts86HdAaP_i+MInSg%aY@ zGNN21FF3)Q5ZJc{S-W2FMc-Xun%DG^LYQZsMzwt5^ww;ReBl@`DX{M=#HDLQxkR1` zm$DVur&Vy!KB8PEpMSQKQW@As4_W&>;xTRs%H4s=gOT@Xa(AKhV2^4_R*3Tq+!}(m zqnXD+?ZF<El>0%;it3cQJ1I;Mv^foA8|n_ml~CsFLT$i*^oi@#it4r7&^U*dY9@wp zI2G7n5`GO#riYxY360`*_Y8MxpxuQM`3hNEc&!I1I9SvbEq@qMjvO<nl<(~FD4XEb ze55eGogx&}#|u{e1$Ux+Ng26RUl=#_^8x(Zw-qhJYD3XHt9|0f`4!U3ZN{}Hq5<I$ z0qi9y>UUef-0J4FJPkIDIpw+I?64fahV2Iz4^lFX+k~I;N#u1RZ%rxBHGa{3=hyIT zH}^&6(}XaqiGNKc?@y=xF&c^mJpfOh`QfLze&=vS$lx85W}laQYfmSX6f5~9@=gVE zALqX~WApAL8}nBK$rHqn^u~E}&uV&0?#Zi0?p7lYhfKl~h85~G(K*{j<gg3h!v4G3 zz9R<@{v$1KqUhyi=biszzR~YL2zd*06~lXty@&b#*?&I^^WOMS5WJJ`{wNw@{AP<B zQ_;!6nXJtbT1?i~T-5CwsJPL|O`d2raC}0Zz(-a;lUH@Hdu&@yw#;yH40EfL=KXY& z3_#Jxh8(o+zF#)kbgMI)Y$_e~={6ZbUuYAVmnWPxshP&4=XJNd+$x8aXRLGQo^3S* zWxEHX-hZNDYiE>RTy5W!=K`&&Q^}_1(e<}X6dl@LWRkrZZ9ONO&Xlx`kjXS6p8S^s zC6O`PEqJ~zFo2vxU2|wDnZxG%t^u+K&R=<CbsN@MGGf%LEo(Ay@gm;;xf>12BO|dB zS|GEy-N3IZMRuhBcVv*9()GXAWDE|cr;23t6Mv+S5?Q_ZFVla6DS`rd@QNh6_LNXj z>qsWphM}#$ksP)u$cM~>?0tVB8V&uPhe2On((!FRbp9=dxoH(KIv$L?u!flhu3IJq zOs%H4+-Zl{L$@fSYUDR}yUCjctWm`?;;w&#Pl#m~I;5H#dE4mr*RMv8#PHA9pNJR8 zd4FC@wyQS}GC!}b0-H^qP3fN0lmzRT_k4W4W23ttS5jDQ?_e1%<>}556lU;$)rQR{ zX=<F#t<Ot>+sr1XC3niF0zNu%HZdmiIXYN&NqO3HG-;7FKUVFVO@5uKkNQtCpXB_1 zQciId!J4_ts;lY?cQPNmM6phmY&?}#qJLQZpU}jaJl}|_in5w?lk`k5nbNI2)ZLFN zuDW49so_=gdPhRH+%!}{*Ieju*EBR^L>%4v>oQ33)kwm*le;J`;zxZ@)O`|~X62&^ z`tM+Tdc}UVoshBg4XNO;^2{H<4!$%ZW`AOMw%+asiFVK>l)h~%MnjwoI(_ApdVh-z zq{7UNALS2@-iuO0SPZNgxQhg8;4dNch=BCV-JygLiGNO847iB#%OI14k&cUcd1eUl zZ`a5p9ELChG1YPpZ_iSuD5yUhHA>1I`S~c}>uQsZl%tWrzw~;s`O8<$9x*?(4gRg) zwYNOU^-;Ne6P+OH27U5mC?+}mJb#=7UC;Z&e<6*UycSsWTWE1CbWULWMjxr<?McZ; zt(748aW;L_t83|eA97cPu4=36s+8CLYZzZ0YJcmo^>Ke-bS$A{Wv{1dhyP-2ZyBfT zqn~yfyv8gi<z_WwZ94fSy!ZDMOX>K;<+DF_0){;{(j5PIHTgT6t@%0y(tmUa>FnCv zj7|%pV;Z7pjnRwB(>cMvqVtuS^IKQgOwZCqs-96V_Z!x(c6Z?*lVT9+)xjT8(_+>j zYn+Jz4y!(<S|oNYw5^X~hwVZ2B&GSz*7=u7ⅆoD7Gga-`3>~9O(!2&;5}h%1=g# z9yG9H`ly9cn$R}Ch$j(O&wqE%g<uNXefO7Eb&mo=;_*2{6JXGyTwZ0Hd|=m;LOc&H zYD#UfqnLE(D3A!p&kRp2(xaAcnuajrG~5dDo#^w5Fce9PXur9g7Kd*kPXka(2TqG7 z3GA=sIBOWTg8J_g{f>4P!KkGZ`$ZF(uVN6kZ72Qm>}+Kj`F8igtAF#)&N6>&W=DJ< z#R}R6UF@^!zj#t2I&Jf0e1R6WM6~ZXIQW8H?Q_J9Kf{d+y>EDEAcjw3D>`0aQ$3JJ zlZsk?=>K+{Wt2QfxIif<NA0>3;**F9E+h39dZkNIPnUOC0;69nOGyS6-8OBZL}}i- zr!;KjfFM2SD#)Q$Hh&<-Lqc9+M;RWS$KgkZCn7<C(T|s<Gy|ibVM90L@byEyqi0%# znavZz1^PS{UQ>uZuMI<~-X(@?)}96@;3qbxRGCm+N<K|3s?)|%H$9t_0Oc2B`BPXe zG$~W4{<KfEU?g<wudKR&!<l=jb-29Qs?(6|{$dK-rCrCo{eP5ActY{gg&Vde^(pRR zQEkx>9oeV0s9j9@6jmdegpM4^pL)ZAJMU?~Q0GHkDwq;^a2LPS7E2K%pQFC7fw+tQ z{DT()$JELxyGv`us%W)E%HjobX+m*zD>uEHlo(MjhiZtvxVr8CMqIn%O#<Szrzo?X z`HX*Z&Wf}D^M4l^VP$2N(E0tvqyY9Ho`eHyp4zKbR|F*`rLNk9<W-0{I`i{Y-({V@ zD#<eMR{SmN#|t}XFNuO+&$@2e{+mKh%}l44bQi=4;xzll&is2ilIse?1*Kb;p*ybo z=1@fF(s@u=Zd`V2SXgadj!l+LlYQ3n$$owrQPw64>wj^a%N^S<p(Wq=@@}&Y{NbsQ zb>%NU)X3JWDr=D8368qKX=-fnQ1w!6$z*!&71-zgv~4i5yDF9EluFh0PMqjFFj##$ zQGD+#w~X@^pO^FpA=5NPy{TDi2V~Tv!G;Gb72iM0VJ7}Mte`^28&b~<WCUJM^D!P6 zxD5Rb8-M;&wRA4RWo-Lc)q3LThSHQi#CT+QfVa`TxIUm`n>qe|*E4GI(NE{HN^&jx zi4Ok$^IIQOR64UAkI&A(#XO^?3{_eM&teYnX(3a=gzuL*A-{@H8#BQdqWyMjDVA!i z!54IoJktdd<|}3iDZ#rv{iQ=&^p9?SjaOZz)_-eHaMu@DHs|xzZ)YNK=wX0_+k_P5 zdc-XFOVJna*k`w<)gRX#%syNUlBLal|IO^?dQ`9mPyMc7d|P>88&in1dI%NK(ZZWJ zE0`4SGb*?sR<VoK*cuBZjx7+V@Y687ydR-vD{alYG^DWCw`EFY^hG;qdq0GZcmcyd zMt?G+1DN|G5h05Jc@}@ch|QvzXCWLRsvTkJ-y$>I7vUN5n;E?Rnmz?B_GxqjbTr{# z1hRk6qOcJrIi&|Fs1En8rvx7DnKkf_MAc;nd;zOZF(lo>y*p)kar0hPf<X}4Xl_$m z41ybXOCu`kVa95}Ssh+jl<r21nHAEfYk%is@&&KY^Jo3~<=?;bS(b?B%9tcz1LhWb zj=dE|dLog9Bms;*u2o_cU&gH`zN}#(k&>U@@)a9p+83EsNb<)x9Ah$lL#9+mWxp*N zZ>hDh`Gj<wchZG0tB0`TBh-n?m{*Rt4wjZ`CBkc8Zd|;h(BcmXPFTImWIUv}C4ZTf zBzD*5&g9i<wCYPQ*=gF22fTixFL1-h=y3XQestRyl9M<F!{_-5m2P$N62HJ6ufs6? z+i`EMho;Xg5ay8K+pSy6_}Z<)coJ2|(F+Kkt>9?Sq`e$QQs)ja8;xYdYryc&{c^VB zvDHXz*iqptl1>NO9;&o0%?Ts8On+{$70;YtUlXqtv?%cm-7Au@3NLd0aUSSNP|(9@ z+K0=3J*6IR3egC+FAiz>LP4ZZC%#0Qjs<AOg(Don9}>bdOu%70KIPab&D6Ggqa9a5 znhHtRF)vR>zQ!X>$@MPmRn_t7;$9Q{xYfx|d<MgZ`xe#NgbVem-}k|$uYU-VWn<L9 zmFjuY)lw#-No<ILi`+6eDI~tX-CO8Lwe+O++*Ig@>ho0En@@<FkyC|(R`#NtjZ3bt zp9jUB?x!GS`5WccJ-Yg^ddJ>Xj{RQStxn(6?~R^I;m2SAkL!3?0mU&jwh9|1*RQTO z+5sf;!tg}D{^OCau1NmCk$<nu2We9agmmBj6O56s#4%=cP2+)_M_0TmxnwPV3oxw( zL|$20?5U^Tq9kjh(y~K*%fN(|YR8E7!!19Ha-w~os$<D<r_Of4NTNh&^KsqjqwU4N zZMPRJ2oNr!Oox4{oNrO>mg7s3fI86*!+tXUvl2NvWhm;js&4Aj6@T3w*;yDail;xu zEUk5#5!6W3L|w?%oN1`s^a7#CeVf`?cR!<+g#Rph{C)(Xp%2#iRH-EwTi_kj(I zYNC=}Wc)}#PIPa$>uKvR-b;*Y^w~%7pjCLb<rud1gLbD~rN^lAkC~^hgI{rZMWZ|} zdk(^Dv0y7E?!6!wzJKdI@q~Q!TSC~xMD<6Ong9kjP@RT8UBvFluZ3+Oy!|nzZLQOa zptitC<S01$B!*o$K5gT~SHtke5gmmUTi6s*a7W2vih5C<5@8eRj^o@HjLZCBp&Ra` zr*2f~(bA{7@KrZ2#Bo}39<*I^s!%ttVxik6Y9EncGFCW{NPigO+Gn^i?}qXa`nftX z)mG}6K$2A_ULXn60YWh(!4BXgHL(K%!U?w%xA%#x$gS;G)s;@C(MV-H4Y`imewtMQ zg+YC!3~ZE8d^nj-9}JmJ(sI<pdXJX=^F(aB>T;B2y$4Y|U#-HTanYQnpey?{Jv?~Q z)m2wlH?8&4kblDKV1b|vb2-Za1U~QBk@0WRUf!|WX5R7XeWwNqzX6SEqSf|OjOlhO zX*J4j)FCbWO<@}YQ67emLKaO0*sM8z+7e75si=o=;>BQiII?Iafce{bY+<-0p8EtN zhRoS%HS+5!v!Rvt?fRrd03e;lYT_2RCF@}gY}9{lE`O$bWuqhY=45`>yB3+hD;||R zDqk?a<$4*^LAX!j+qJStxStRk;R7ShVL*gDi-6$K&Bp?kKGjdpPclps{wc0+U`2ew zRAP@LgF64*4RT6D7t}^ckf>F8Fa4>U&Jd8oH?k9LVfc8G!}S)@lF0Fo^FnvI$Hcm@ zg_QK(vwu#kx6sS*)<Tj8M*0{NkJLm5a4%n&jqdlHzbRbk?ywm3TD^EU>JUd_ukPMP zwXHaob04;?I@WR<qs&<}vgzi(ibTI-oag0hY|)Hv6`I-Gf@!q@iF^f!MSg-^$Ja0_ znkh&%LS5jHn@y`NtM+2NhfrV2)<{22V<jefet++`Z7OnR7qowYRPvzOhd=C^Uf6%& z8!h^WK@PJ-*Lg@q41wX<{i5am&`D!7uXK)IA?m4zTp^~%)+2tLXF8%~zny<|L~8(u za<H*7Y0%?LOLQS+Sb(C3djz^}Blp}&&O_h#vVy&_=HQ|(L@|9Gai%Thksxw;owYIH z^M6$Ixs&H?SJRos!T)SfRBk=}uR#e5w44I;A0*N8@guybxD}?F?L6&27~Y2ad1b;S z9=@BV@}gHZc5}Q<nK^XRhYbpivjb=;g1A|19jY2o&YTOVWyg$WL<y3jxugUsr#?@N z%t+c?I!)iacrU>7b7lSa0HITrc(G|x@qf+9X?J$aAmaXXaKoE-hX%G~>6&lSbRO_7 z9Q3;k7~D4B^10uen~7g~-Y*`D^%>BaPE#J3=W4StQVX6xRx2WW|2~dYUt2K~Hfxrs z|BOIZ!4j?5w>5n|hL^R}6*vB_RqHJjnatX%t`VNM%nn$h#=Hm5CO%9WsOAR!n}3e! zoQkSxKshO|M#<(4rWq~lUt)g^=zzO2Jx6rBBrJC7U;i!eGnw{I`SC(x4849aQxNLa zm-aUgtU4i`{p^nrunkgu_Tt)HzVVFs?Q%H!SS(0rgL5;;be$9-=0p|I*{~QEAKwe2 zq-L(VrH!c#`Rv|4{*fWB_VdPKn}3iB(E#Igua&rxwtAY4!h>J6b{HXVb6nZD?cJSg zBc;^5>nBva)$F&m+bW7AKkTBuA>#o~u_Oa^;!TYHc5A|#>}0^qs>b}oke~iUe(UZ- z{G$Cx<So3<`@EJmy{{O4qEmoVT$_PszEF2048Ds`3Af1$U%Sj9E!(L7X@5O+Y8Vh) z8?6{;CEBmN8U5X)JFMSL`u%P*Gl#=#BxFEZI0W84EYQ$G=|>ZoA+V5r1-v-DQFH$7 z!#d8P!KL+S+dYQ{lAlvDDGwRKw~2q`_)1lvN^O1db<5k`6MH?!`to<ZzNc=hkoQZ9 ze&S35sBFJo8*A#nj6QEbt$#d+!2v(9!msQ%>TV*uH?%5~UZ3)~w8_<XV!hlV7x62V zLA}aJI&ItgDYE^G9hP++f(fLDsi!cG>9ym&QyonIZmYzyhSsRM;D4QnJf8go!-UT~ z#@J%WFQ+kNSLxQsvWD%bIyLb+tTs{<PuOWXHN-4s@@+YaHN#ieNq^$jPrfBP3(x!> z5y3t<srWL7G@S?-{eDiVPP9UX-)rr-P#RP?)t(?Z$*n$5{1ezw9C-sTw5@KaL`h6f zjeJ|DW@cq2c%|viE1T8xB<!EUWD<iqQ32C&jG6Ewoos#`KvF`E<{q_|_iYXtiUdz$ zTJTjJ`SpDc;(o{<zkkBSvHk)4r7ZD=RVP$>3$0x|Mg+v*Gj_S1>pBjP{U@=tA5WNT zUB_T0c&`ZC#@J92uGZk)8q;=b&Wgr^(GDJ>EzI@JutIRNj%}bcYf-6Eqfbv{9d>ia z4YRfVqR#sV=|RUq2MclZ81vfKW~bHXyF<BB(QrR_`opO8>VJNX4cCp{Mbk<dyV#j= z>DN29up&7tt{cKT7kzpTRzaU7J<qeHUr)21P^|qbN&OKH$0n~kVl-z0mR^P<3d7a$ zS}<30%86O$qy}8nRlyRaMxpL=`i|i#YE0MU+@spQ@M7#A$Cqt(qa9o!sfFQSd@<~3 zZXYQ({$Ui~6Mz22(Kb*C@3ftz8?tiV<Y>#`zJ1PL-QdA#&Me<4L)WBf+xV_3f!~Qs z-MC%!*!r63N~g}~`89lEVYobgX(wBkw&IIjQiBIQ>&!Oeel4;TmRHm7+aIWC!@SaT z(nst;?w(-Mm%Y&en#rnlN=07Z3(y}%3%{va)XTttM1RSG2Ap5JVMl|<H6wyvbpuYT z#Cu%Tw((WfgT5TydKMo$AKk;-<o*ipfF$pL+`g(X*D=Y8FQ0U58}kzLPF|e~Vs4N_ z79jg^FY21tz%@#pA<Kf5TTx8^buwFi=`(rc#!y|$T_c>6pwrQS6T{??la*U7D6`=b zvxPayT7PkQiS7(+>N!lE%D?Wk=BgFnxlWEP#6lpD^Chfx-W@#`#4qi(64yG(pgo`R z<w==yMc)50as2930$I81Rfqi+nLV=UDTL*Pt&xl|@+TOdl}@|Sb49!@nQKSP*Pu;u z^EWak{hDdHu;u|*$Lo+nN88CC<0L+E%0VTa)_*&$9*&b+tS1rdrFHAUIAcP)l81LH zERMW|+B(0O{N}71Poe-{K?NugTdPQHCqYs+Cz}yi2ByOkaqD6=#Y`71Kl2lo8u9Vy zWpwVhmyq__*O?zj_1+H81$tebkO&#*Lq7FEFPP0DeaLUC700Trv{lElt+eG%!%>Iy z@P99bs_`T@^$<QH+keLiGIi$2ob6V5WX^6Y_`iL+$G3j+zZ2B!R=k<84lBm4bRFY| zY1!E*=#I>5jsLvS_W~qCxG=+F-!!Ohn|mu=7}$yoS;2bFBr(zG0HGujlRCMdB221U zy!+l^w`yR^I(Y}C-6oH3g6ZEp^sApxiGMu+jn34~@Gm*7Vi8-Xw>k;q0b47b_C6Y< zEWfDqk%(Xgm8F`HvQO;zREYi{-EVAVNSRD3DcwSUP{W0;&1omWs^e9ggt=x+_-hsO z5V}^wmI9I8WWUUT96x+hd2K&PooI*@nzVmakVrCx;RTVGpSCo(h)&0R4P!{5w|^c# zO<tXSw)}w&PzhO%`tfJW-vs`*3Oi(#68^Q2#DhuSZf#qMdNjHSCbGkNXZ?^xS(1Hf zKHTl5+Z=GQ(4uK+plSDciV16|8n$bP6V8jVw@iknN64<%O4WZk{&_B}`P_fdT2esj zQfe-?-FRmsJ3#ny|MxFx)y-ehFMnG*4t3I+E*yNA{dn=wxYqtPY~5C2On5?JxD0;l zztf*){TBID1yWbKEPGm67%uf+zh|=?WjLx%NhG(i_c3z2t$BW3C0pL#&Oc&*NlUCn z8Osr_BSVZj+Q)0sMvC?4N-bDmL6-t0*yk@6g_vj@UVOf>dX)4hs5;nJc7Huu(3?ip zP4(fM=QQ<e7UwzG(y<fd5;|bCzr%F2gMyd`leo9J67>t?j-@PYyRpUpyR%lQGiL=` zzvY-l0;>}(Fod09_t$<x=>Ohrk)}QoOR=O#b)pPL2-oVqc41b}_$ZMi+R@Iva`CX9 zQ7sR<&R(tP%V{3%>C4Z<6n}qrr8#5~XZoYaunB%7936#Z+{1Y-Lu99-FO|L?MpN9a z;FkKIP194i|C*+Pu!ojY{4rWz%)Z@d@@M8Aih~1OZ{A_$0fqd)e57^dV2FPYm>6vA z9Y1XxZm7C1-()rMVnU|Lf5uw6WpJpWQ6XReA8z^Q<JR^CF+2)8x_^DW5bKUt*(xC9 z6CN?Sj~JhDa&lACzg$cHC+l<X@^~`&GM$lwnHdR;7whdg2ROAqe^@7dQ<mj8{dD7u z@~>z=^6)h<Or`hYk&R)ulYsq0wedSwC6<Nozxer&X<|FasfreIo_73FYX3Yd<h!xK z^@r{-p;eE2^Bu>-%YUK(hp3Xjrdm;G0zJoi)|rdZk-xnOEhFu~#|4dB5V_twwwxW~ zliZ?d&BE)hJxuYh5~jm@VMc3f2O=VPhvJo$pzpU~?oX9Mr6;p(l;;UjgG8G1-a3Wo z>Mq1*kH+ZxXjhfgLuO{`N7$6ci_2rRm+ekJNT!ikxs}Mf*?&Zh_N_JWYIA!FWJvYu zH?OdMUP7{RSF%r)y~AY`JK^40`_lKe1`UGJZ>E5nU+zY~>q;bwI$jb~&d!Lp+efFi z&IPb5XYE_74pXhzLgn-s*n#{AEIVB8ds}X2XxFQdpnh0*yY>4HKgXjZ%XZ@0qR7x; zS~qEzjv#jFgnyMVQXjamUFW%cX!H5=PxnX;Jr(gXwaIpqAfG6hc(&EjOMmLYH<Hn- z0<QA*rSns4dQ&<|>{EOuDc+dsoID%ULh9k*;JZVGtmh@|11f_n2m7i1SN#}j9&|(t z`83yuj{@d8!V3<(-@w1KYB^~cewLKzQhuYH8~0Cywtw|qXA(}d{rT>ZV`>OE5-YSE zS=i~6ztrDWSLl>Kvutnbba}x;I6G_C{6>n1#M=MvggR*~BogCchjZ?K6wxzXn*D9X z8ibGo@3PTw5H|JX231{8TT*9eeSceZXMFe5Y2(~ywpSD$oZ7^lUF|oStFBIZ_u`3e zVmQmH<bRDC_)>`)cw^JVfl<auhV-fsbJwZif{XC(tUY9P@m>*8w!QS^+`pBPFb#`p z{l~QQ`s9RAbl_b&O5$#p8{6t&CN!TN&N;Tb9It<+{L{CyyVSM1Kfcw$Nl=c&I<121 zeZK64w=bTpcF+*0B44`-zT;%Gy7BJZq-sGWn19@bAH&wzOkd6JvF#ZqF4WA5&C*X@ zyRjQvp=4A2^m@3!LY{g%%I?yxV{R`wB7;SuXpu9_4WE2p(g~+-!i7f=^wvz<<)*eG zIS6TI?ddCvhD+anK5kdtwdGNP6I{>ELX?R@4##0Oy{fuJ#MaP|shrX8zfGnxM?bFR zRe#h+>n|77jgo|qa%_r-BJFM4_Bh7!qL3MG!o5&;oITF?$>OyKQ+Iv5?k;Ng-mO2V zjrTF7Apng}Le;7Z4`mngWEYL5JbfPA#n_K}613xxqjOVO`N$?>#F>{<WC%a^@}g-` zh<f|i<FJ|jwyw^pH;_>GU0ZM~%MyT$jei51vwP&7MubLUxmVN;oHU-t5}nomeiG}{ zK0YB>hcZuXIZzU$$;LlO_abXL7!Nw{Ikoez>_2Fl=N~4P*4WSUUzOR1tyr1bCN!!& z?r8YFTAE->SP*ph1#@DE{)e1D3q`V^xiUnd{M|PJZvj1^-xU5J572LVwBXIVF@Gj8 zZ-Cb*4ov`57AzhXOV+~g{%pQ}`-GyD3P*v|i}8TMDGX!AfPvI0tir5jlDRYSo4RvK z^{U)lNpDerI!<AJGZ`qIurO2;Y8Imv!v=s+-qSsjEe)&P%Tr3B?@xZ~PccJ#llrDf zOmWNs@DU}_G3H4(BXAwy%`v=t|9@zHR*3+U%@@AM$BZ<LSpcwuBmwu?maV&off!n( zZj51!z3vfYto<Qr(|GIqf{x(?MJ7m+5+mEK9-~V2p7#CKZkcXNfExv#?9Si_b2=Oj zrzfz9-JrnS=(gxq2Mz%+(%nzGBZ2LVNT-<i7#f`;+48Xc{k)c3`pTrY)_;Hs`p=-> z2#Q|-X4=oJzdutH0R&l{!OP}j?Mw>wFC&kF{Z|;w$C<iafP0i`vfb9*_W`HCLY8HU zWkWzGO;*yT*hKrt>9A^FylK+gG+-f@aC-x&kaby@@Lmkci9Ps4!5T9Mv;+>Z4j-Z0 z>rRJjM&n15-tGW4=~zIaj(;(^F=0Rj&`{11oim&wl|n9Y({;SP^>o5fsUnYC*U+)s z2v`Pi<U(jJa{?MD>gmv+&t@^5F}gqos&;XxT=x@^j5uh;`PKsKkyuID!|q*wK`NQ- z0G?$=bDQv;9Tz7XF7D3jn*81Nz%n3~OPKw4T2&1=$aP^RyFdPyj(?#x#V-&KL#Rzm z4M1AQA!+i?Y-b(qqd$d`)mMIZQpCq(1G9kENhr3e=S>UEbu`G|lqxp>8XU`t-TFWb z@S*6A|AQGj63Q)L1!6uf8gl|vqnj1(?ukh)sSDkif9f=2A&Zf@m&-hRRRY}I4;-S5 zxVn>ET4%byYu6uNlz;U09Y7=Jh;o@8fCaqZ3~H^~H6o$NoZI-z0wZp8_s4hvUr@i` z4(hd-VIrZJ{8mg<u2Tflex~`IO3?;bN=DtTdfpJZ`b%UbLPDR}xrM?8(8=wr;G9kY ziV<4p0qt=*b1drv>OlXlbsKbRh_Jlu9z7MZzH=e?@3rJMkAF~a6d;X~>E{R5-!)Y= zH-Z=$TWV`)gU-e8eFP~>LcO|0RFVKu+(AK=bzG-#-ccI=hgk|tzY{220Qyw#8I+|S zTv>ikp>=dk<HLg?(H*Myo`s9z-8x5RU*qWD-d7YFx!-#iGQAV_el_g!l?u6}W_mB? z_7Or1P^e%k%zw7*eUvB&aLaX{xpMQD+N5p_@8&a!yWOw5R}4rCu181~^Ksyj03%=% zaFyyIT_VSF5R^Qi@TB`Zn-7xfUf9eC1aRd}^u$9Uz>_`E&w~P8H}2Uz8!6{nRuAy~ zN|865)CYWVTmPKyGbSG{-Ax^!{E4C{cj5u);qx?3PJiIDD+1Nt(w}?se_g*f@6Ggy zWBGZ&+foY6528$<Kdk8cF>*r#p}>C>iEPfAh*pZWVb-U2g*o8|ry(5rL%fb9Wtu}P z*1-H8_0UF&t`BP&R8>Q&vRnv0L@I?yjFHX}YP_A6yx@Pud+8c(0iIBKP$zPdvt~ow z5;lq9ihl_O@KInYV{BjU8j{%9@|&n_tUGOf?WP5U*G8KV<__<Fn1$U4&yf{wP73u2 zP79%Zp8i-y9o6-BW>ZZ6=TwI3`_;@^?+CHdPuv|yhwsrT4N0|1Y^Rb(LE+dx)dAlx zEPFfeq|YQ3E5%+iMLxe<q>IbEkUn;;wP87X7k`KC;h#};Z+XJWtQB%*-5c60#kQwG zrTVukwxeUp`zZfT>>^yr+iyg<o*xET^_DI!=N~h%`qPI3j@cy!2R2u=ijuC!5z18e z(mr|rb<*vqbTX8P&Y}tB)^7e9TiDJx_KPDi&G~1rxo~cZM{+FYShTge<|trdz{V~t zMt}5*??<vyA||T>+9=9uY$I&PZeAaYY0SY)8tZ!B%Oo1B@-{4T9<T2wx=FHt2Qr2& zh35fdf^_~9%<C0}_wadd?|a{V?j7=84!+hgqVn8Z=5u9Ri;DNaz7PE;TDRfhlyL*S zXFi~99OY4DFsS4_(ZdN;a)V{R(mA%ezkf*nYrf{UvU8U)UmES^uGqqVtR-`FI$wDj zC^-_Fb&mZ(t+dmjh)zup0k@w_7U)dwtB{9q52sgqETEEMR`5q*r2>6#)ZKnL@{$e6 zoTHh#LXZYGGk?^=ZAY5D^FmP)weI{Oav@I(um5Uy8xp)q?^G_VQmZvt32oH0{eR;L zDyd}|Hov(zdCO_m=Hxl*gEwDZ0PTp0lKa2FiMj~X-<LA^HDm+5$$Drbvu$V`a7<E1 zU+TTLXI`fLaV?$D)1RBtxPj-a;Bu%!o=A8qaLhx8+`d-WtyheFw2mLH^<{7G&+p*W znDB{T^xJ6puK)9UJl)#~DV^6GiGQc!^iDO<<EuKaIqxgoF>C`wwtVlp_4Fkj>=2@3 z#F5D4{PUd@i&rY{A*i*Qx^){8$$u^F_S63BxB+QaFc}fI_9=`^1WSjOmUr?srNt^a zrSrjz0t7;$UjJAeRFWhAR6>fSgJ;Z;&i?^b>$aQKlAe-#&SGyyNAF|zoPRsYk-@fa z(>An^a*heOz6Z2hwP}nJg%t}UeMgFwu$_k|CW&%RCmMRn64LKYm-LUx1ypbKLu$i4 z9_Um`BDFt`fX6K(Yp^st4Ixsv0e+SaBRCHFYHyKFXF(X29*eO|#RS%OW|yxq%#k>b z*NH40PH+$AS9_FnI(fnnV}C90kN3QD>*SR7-G6FSAtZcsjzvHvFL@f6rCB<t#`MWV z`ub0lri~g`T0GG8KFbtQ)z&g~gyUT3bmVy((xq9vQgHV{t=o_MC)m&Mc~OslYE+Zm z+-<xM$2q^+dqBo<^{g=#6UfxM%^OKHmf&glO2!ew8fgvppw<p<27iv}C61V9<bBM@ zE1by5i*oxpVuj9B5<P1Nj)^eLh0*D_uoTn_E5*Ob<MNnw{czeM=c&|70`*6k=RNx5 zQt8_Ju8E~@?=`uk{uOyS9ErgaC30j~i8yJxioC(HMVsswJErmz!+aHauR`Sl@pzoW zNWSOAY5pqmumc}p*nj<c1RtbX>LVaEGQFs;?DXK~@C&WLFTk<lp-S=+=(~D`isetZ zcOPMN>8`{S4N#6cx&FTng|`%L{okMZLjo<Q=KBw*fN`=0Hd#`N?-kw(TL493EV7<} z?ix_DF<iS=eEpsrD`z$~oX|o1e;1$5{^!TA8HcW;nKtZ@$$z6kHchpDcg?N6$juMx z?g9t<YwY<q?uzCgm=D`QN}jBV_N?Z!rcED=AT4hB4GS3etQM>kzwu(vKcFr4iqMX{ zOZnE)BlLd0JeHN070d^o`Z0D@yd)@ayepyU_2JhGR&e$*LuxwH^EH_%@!KV#vLQ+> zkk)_a_jF$U+kZ0kb$(JQwJ-rgZQ=J<Hm!ZD>+|wP9Yah<^984#Th-e*x3-Diu85yt z<=?pP+ouv+;FUji>&uP&8|(sK{B8{wtk52$W$b(l0*hz`)mSnapLN8&k30P`5`7U~ z{RjCwR6pYWF4EUMqN=6TFmCPg8UeVz|0XLqW>h7_G=Dw+!|pUwHMd=@-Z`wv6Q$=r zx9XBB9;er0lF0Zv`RIi1{v%HRG4<+v{Px?y))aqyK!Lwqr5~!B?Tw>Gev5TUjj~S; ze};#Z6zY474t)mh-i*mHJSbKC-0_>$HQ017+j)Jtxbik(9q6?6*1@a$Lwk|V3{Qp! zrR-c2^?$V;;jtj<A!F|$<K5Kvd2geDZ+%`?`VM&ePAx1;>0zizStgx~COs#pv6!T1 z^jrJllx#PQbd|^f71<V7=abIFH+YQ-?FYVw`saH0E@Ce7KX%-0Z*SLb*Z$;`dC~B$ zQccEm;ZbxL-NhPr-$xURdB2pY;BnbMqhAJBcYj^ZRpjKBVlv8#|MkmU`edIFj>@=u zmXb46FNnV{4>Vag*0Yb<IV`TPQ}-0*tSk)(L3SWLMc>XxA2S@Etx4QGuta;~=?*gq zvWM!pCn2Ch?1gpeFjD^ascENt=+D^Jg_xkHVoCU0!ecCM4IR|ia*K3`yuYs=yN*j) z`hRv^m%DojldHJ-YZyLBd}49@+D!8uUrqkvdpL8&J9*t4`J1iz|N3JSEbMizqw)a* zO0hFY2s6L$f^UpYI+;Ule0myRMiqCGp!~AlZ;(=KzcG@Pf$1+vwfx!j-?Wq?>zqTz z5{w3obe!t0(2~Cf$Blr1iGa-t`8rJ{(0{+F<Yrh&FOpFsZ=T)wpyj*9K+AWV5AmbC zH&wvb#*V13%_rLai3KFVGI6?;@ABMblyZi4lf?Z898J7R%5mj=Fp}4ejXe{Udb1I5 zbauelWqrzJ;#oi8T>hz%yS@J1`!77kg&z*Q3pBzP75uBeS8?2a@ioyEsG2_b!haYg zcpqiM_|V~9K(nK~@R+j{Y%xaX=q-+s63<=e-{m_|#mxJVNYCE-)Tg}DXzgl|d)r+V zAO4%Sz*omqsju-3GDOV8=tLSE7Xpli+27@oUbQPQetyTd+jQSXc8*5&k6p#vUtRAG zjH7O3rqvu511839k4)=RU$gs=tbd^>Jf?Q->_-e}^A`QkgCne`^oYIJsKt_}(ZTs> zm*eOoH?An}?)AX;I!<JsUEEf3$ZOT8#5=043#0a=)sHB@eUdT-$r}{Q>yv8=+T;_` ze6OQ2QSoj<_q3+c{p{p-z<H3{^(`+5C0nhI?gV+kdb15XcNg?%;|0_lE`LT=mg*l| z&eumJ87Y<m+z(mVhMz)99zSaMz-Ta;PVNY9Dychr4|-14@YQtlO8P32YbiElJjZCD zkeJ;01X|K+ppZbWW#nc>{^p{R!}{CEsTldcl1ZDlg~!AnHMEk8u8_2O%h?;%kI9-D zMKU{btPb}_L{$s58w~7g6MxoEizQ~BM^TDb^vc`;F&H#n<%}GT(Qy(Rn_+zDiEo(X zQ1Gd~{@vn8Z^t6@P$<jQp`QCad`ZFi2}G|Xp20vNpBMQVkaU*m!AkiqS5@YYu0vQg z?FGp$^U-n&2l72Rr>mP4t7Nv}$(`i2r()O`N5<>5S<!NSANI4WX@9XzvgI4$Pq{ZS zxIjX>z?~~<CT3t;IjPefTEaT?oj_)cBI%uib8Bm_|AWD*y4NQMQ-zNjn7Ss@>nqmv z51y_{o!oYY*!`T_@q(6YR9B?~k~W3SohZ59=gHiRit3A8BB;pDjZ^<0O5Qvi$}j%^ zuaHDklI%%Q$)0^nC4ZHWim}XC%QlReFcTU=c*|DF&QOW63}VbMk)1NOFvFO!O}562 zWSJ0t_k6y8{yx|B`~LoUdCi&gI_KQyzCF(Kd0#Gh-;{0+Pd>Yz5B|I#cx-OIivob7 zo0a=Li<99gqB<sWZ}Rb-LG;PVpC*=GSjuNCx-sMC_aN)yB7Y;(v;8!TMA}!}>Z2bv zG9t^zt-kPx+SqkkwWe<u^f7I8kKs?31dGCFL%ysK4pRVGK&HQw+w6v6msD>OH3+w< z$(?p&*a=k!;w!>MYHw%kLPK)1-N;HDqtsVd2m~R%C8X9$MQ=M0TL_ZWBdsIDu+!KK zBo7>iW2SCjCpr>rLg;^6)4vv;pb;Us+=Q}{R6`z+D{+y~Uz;1Z4JLjfsMY31Z{H(+ zBUILo$80+htq2Fh@^oiT{@M)W!M;tw%TjI<LkM?S<QYjQ{-Ag<-486vvY&3nIVU6z zz;ydQG3yRc9&|aUK#S>`;3A|Y!j`JiqD_Hmu%%chr{Ce6|AT+Nr5^xWvgQ~bwd1jg zBO$r*&<~8>jt*bEsCZ>6ktef|js!zlb<<QIycorvs6s$e13GaOwlLe7r8?a-#CUoP zf3rVQ94-_5WtFguGmPIhBi0a7sQE1+KiHSCHOLY;q}DKjSxOH8>q79N1h&Bh0xZHx znGW+qouF6}6$pRVS;CBnVfIlh9?6d|^+oOD)F8JJUwu%56bnj#92+DPsK(Z1!Db>T zU5!!9GI|Kul!cw%f}+H9uMm|9QB+9hksqqwb7yrO3~gvfjr%0viR=F|Wpy8PS;k8h z=kvn|NMD3Pt!0eVr+h=$8zhV{NNp^FdDixreW`AMsr`SaYuy!|B2`J(qVcjYGm3s3 zUfo;7F2XmeajVrJTOL-56oC)b5>s=rbmifIIPAFbOz-dtd&bK*GoR5%?&^)peGjpx zfmxrY=6o|HbX|#e2z=B>?JC1CVRWBr=+D1atty`f_u5p*umiA!<Xt(~CvM2*hI(#@ z<%SR3kj{S%I3Qrlu;Yhll2SXSG$(E_;D#`6ILHk*xIvK{ytv^cH`oJ#yUh`V0G+K! z0*f2iTLdk*acyo2^Ar6tSdCRYa~zi&J^pqzKWY3EU6!WG(wRn%FYHZk_~7^BDL3{+ z@crB{2?SI#Hw<t?H#dxOL;H=r{;<5Pc=q0O3Kf5-OBv$^U<5~30_^=>yrJ*=J#RD} zpS)YJ9x%pTf)+Q_a6=F`9K`(SZOg|G?$tD9l7YdtoIot(l&UXY2IWW$AShD<I?Nwt z!V=f55)3B1Xxc2c!{m@RchKH^?!LUmF7wf>xYw(~4FTLB0|ch!2miIb^5uuzou&ih zw;6v|aVL4y2`rn_&7CPll-p1FD$oyZ699yMZr0Du)VQ_rOWf<||EN~69jS+6!KQz_ zAp=|LBokP<e$*Ry27fFvCaPrR%jqFZfiF}@Tc{sOEYlWv3hz)Mb!?H^o@`7>h~Iod zPidDvkLrW|$VJ3h@Rwl15;b(nWl&W`H6DNI3)ja5bv2geOQ~ie)hfF3eKTX8ga*@H zj;d9raHKPBh~DJ;skPxRlnd#i%xpudah<H=d%6PHj3qlWF~Hu>wqmgvhkZGRLzK&z z$o?=`bP7rw^nkb|jn6WH>3|We&odK0R0Xlmk=ckqXr=%s1u218_M49hw;|#Y%S?ZS zYLwv6>ZCK_wSfx)e1Y|1TAC`>5$X%#ov(nn=cOWJ;Z4-Wgw>%wDUcI|btLa=3h1bK zA)QIM!g6U#AH?os^RWIfzWZg0aNZ(A5wo>DG0K$?7?_Xs1uhmse>@!>`~`$auML{I z$Yf-+@<BnzLFPmbLY~?fUsg&d68wLtjWK0dhz!kwYS)G?@2bCujYG;4a;SDKBR^D6 zft-oo2{yH5&$k~CPlIJx7iWI?f%b!Jh&G7z5c+q9$<WI8X%h<j8cm#<-huu^=LaCE z%!T9?Fc0eh{0MHfOZx}5cD=!ZXai3Uq5mu2J|xpGPPtB`65wc>6X6icZzg|_I?}8? z!uAAfu}oUc{XjC}A0X1$dgLoY;F_LpO{e4Jo_2>h^NBTBkaY&Gf)niO@S*UEmqAWp z(~-#t1T}VM1_zC`90-9vhgLAO+i`=~{n!emD}(CG5yLhjU1yp`*n%_^6`jH?q<aH= zEK3FW=m55W;KK;k1}+e=H_Lwm4p~Du&ouZ^4p6L!K4289sbzfttB)-~+7eu-J1LgK zSaG(6ai4KTh*^K6F}yM43!6|-%Z%H0Bw`7!wajSddx$r!k{Z&wQw*`7EmL>mm}Sfj z9&AAh#~Aw+DFf%J-4@opOAJKB)Iw9G${~idqb%x7oFC<&?j0hTFin5mc_H<dnej~O z6QhH1!<WLZ>m<h!r=4O&bS96mm1ugbFh(boqX3IWCJ+*+y)9NhV8>yfW#<#f=yJ@S z;#Bd%69(cwPAWdPnC?IeW_3<^`RGdO-Y41;9I46ey=0gUEQO0RuuPyH41;Wgui~U$ zI2Y27(yp=&GEVyH9>RY+5Tgj^s7IPrMzE%^Y-A0*hkHWWb5BTR;DmJk0GyC`C*XwC zrdqXCjKD6!@{#x9Ok8f#cp<>C8vw_277-IZjcoGj<UyL3OrS9=5y^x*<D3)6i|LXy zB+H(G^uZs--zTOMAXHW-iyYz{HU6HV(#0BqNx%w#BXS$(9BqGCNcW(b0Y{{;Fa9XX zfyhTtp!RmjjKIX%S=ezS8bT&emHixPh)`Nbpr)+3+;RfAr3G*+e*`AT&O_!R=5fRr zVj=w;&D^+8yu##d@=hed(qJN#_K4LtjrBpDMA;Fw2vBN%CxpU2!Hz>JA#`!XWa0-V z@&L-Y%P@ubp00mEgRoL&*uE%Ulq<1~z)zKFH6CW4X1_x6!&3v60K8RK17I8O+%=_X zNo{jE1~fKSaT|XCjd{6WC-&llDC~=>$w&~~7e`E59Z>Z?xM2<e%5u4qvp4;YTk&XM z<;S!UZngQ*FaW4D08rTgpdJnO9m%vKW|Et3vK}8*BVvEY1);7*DI6MA{1aUZNRc)b z=vP$ZYG63dsZ3|01-GZ{%pX6_K7e7{gn!h=tMtPQ4RnPGsKEq;#-u))C-AK!YNjU! zRFwgUeV#fD9F`yl;IKRc9F}4uef*$10H8Y7^)j1r|8p4`DIjUkRiXz0k5hgTZb3Xl zK;e{=0E~ZKC2(-zqM&<*f#3@eye?c=rt);3C6R)dpf<*>0)*-V5&!9#vi?lphGvS- zS3+dL&ye+qC~D~R_l1VpR&*hw@r80(J`d-u3G4z`pLKiYJCtLBjYcj{U-aXs0xAy$ zPp>VDDJzF)gR5lcO9>fayMirtgDa&|S8D}3?M8pFn%Ep<I(!5t*orQKnA5tbcFiLL z?E7FV2>uVj$-qS)9KbSZN56wO(n_f4XJzjp5?~1H0AjsXuz7^iC&$S~en1pJIRb1! zh^{Fu(*UE!R%ZDzn#f%hvCu+@75Ey<Z%WdaqoMkMm<mtEm8A#{sR{!Sy9p-9&U+C~ zac_V6F$0X~{IDt@^@wnQWI0o3aDr{-zLZlGF!3%;mx}wmCDZ^*F5gDTRKCT*d@0SP zl>nkVaNCC|w~1;1#?VoT6m}3e8d)&y1O>@aZUBUG<`N3YB~&;-sL5Z9x^{F4L=eo+ zdWV>Va*nY1Svd>?Kk-;*5q+7^M_q3X8DxLZh76Xu4^+s=N&}<8mvV#x0SF~a4QW{) z#%f_Rk@@fhYDoLeM|vP(q5)<)74c)GscWYk!cSA9qT`wG>3D)G4w|}cMMM%NanM9& z8AJ*YdrpikC`TFg4C{OVR+u85DpdrLqq(7JuJCKM+Y-7Dhz0PrT4u5omYzyDMm>Kw zbHh)UU-vfg7GW?fPsxc{&;8}oFqn8kb6|B&clzp{)O7(gya1r#t%hMIV6Tx1h%{Vo za_&2(&H-J!E~ylNV(I|J;${Gf@d6ZU0VsB)6`<H@SPG!wg8&VmTSC7?d&-qsd<HJA z0L8p!PA)R~ngNAp082m)!7XvQFPwji=_hE9S&9sMA3Q()9w6cE0159@dEXz{r3&&2 znL+l!3*+sHI6?@uqNRcY(}u+$%ivOgh957Y!)RU*ycj{nVB#^&o|Q3O=ZimqhY-&Z zWT+~gtYO$OSSC^sLBu&f8-GuKN^@Z$r#BWGdfQl(mAM&VAG|2)t{jS={StpUj)=#N z#~Hq(+tX}WbyF$6cnK7kXh;yHLR#~O8BYg)eH|SIl-mbJepf!3EzM3sdLz7XhB1aE zbYEI1%X(VX2X!1}OB^RyHo%nNnIT^ofKHQXgN(4xvvZIZa0<>aiTIW-PqShP&%gi? zk8&pN5DcmGR{BWDcs#L$!RmjYQ`m~^C=>P(+BFuIAv*@l81C=6C&y0U-k=hljlF3M z&^Z5X_ZIiTR^x8cIqtf`eSuzmDp!{Gw#CEtNlO2(FATM{b^gzv-rhmUb*()2@dQ1; zcN<#rFg>Y){Cu>dDdlF|^P6YV+O3baH!W}5Z<Bx6jr_<^t*tdrya#_-Xd3m4{L}R5 z=Da?p|KH|A*y9w&-+ao`%Kl|T&KxRjlJumZK0QR_anS1OACmL1LT!Lg$q!h%Y*A!v z=wJKAdC%;})xKgjs7e}U5s-ED@Ol8Dp+56*J@4r;N<(x}s<C;ak{t7?T*LP8>hL;y z`i~z<9N?rOz)5<i*Z_a~B(@M43CKE>C_qFhfQXPT;}4KyTlNn)EXnm3i(w!{2TqxU zXk0@GPT%$c9R=MZ9w3z9dQz1?Kuo~CtUoiD!9Eet4Pq(ba~P&<>b22_vZQc(O4)Pe z5{NlClyz|mGsF(1tx}ES%L*Z(U~|^@>5IPeiDl*68<(&zu%LgFAUmQapv{cA+RPVx zgmrt`Wq|DqwgTwr=L<S8Uy(1~XJIIpSIKrp<P~5+Li3gRx~f;?@F%f#NNs?wjn4#s zU=Q}agM<L8t#taApLkpu7aM5f{$9kltu66rXd#o2;WCVsz`jLVA(CsM(a>^;C*WKq zr*r%$M=5uR!UTUeI$j(h-{8Un4r2XcIQf7?#7iL%z^MM3a`N?V!I4!D0g$=^b_QTc z^`*#CTsSKGC}xz^u2^4+FvV65uYyfO2Eg-i&}8O2h%y+&a++!I6@S6R(rHGESU`gl zFku)aMwqXz0L7V@Kro?xm?@`PHLs7rj8wry1R;X@Vd{TOtyE$n;Nbd->8HSvtQ(Aj zKDvj+KhST2Ymo|Y9-LH)R1sYroP@lFh{0KQcKBsJPaJ~jsJalZ1Nv%-z{~QQUZVE4 zpYzk@$t<D=gFgdUxCUTBii-s}>v&R#wfW*ZxI%E_e!?s2dROv~zBs80$XQqt@))6- zy59D|M?8N;ss!Rnd%`LQcy&aBoZk?LhJR{s38Y<Tg-t8^QbctfiL!*ttOiE059Nri z9ROTk0Jv5ZtQIT|xzdS##&%Ys-GNjpz%r1CPIRmZtQ_I`mhQ%Ax=K974Q4<ik_S-} zGT}p$X3fpa`DMoC&bM!g=uRfA%fX^VnWnU>O5}e?g1L419y~GMVQ)G^uX?vlCB$PV z-gyq_h^Hm27uZ(*2gawiRKU5di`>@l+;SYZT#{Rk<CZh?fO4`SP#(bT82%0D!|r_- zwi7?Tz^&nahShLuPI7B1xHaQIjl@^EOGOmG(sCONYr`e*_b2yYt*jsGI)K;NK?~rW zDdT_7!X1h01W>JWJitRIfQRHMml2pYTbpG+?LvX+vX9X5>7AR!%nJ3bV)|p63Bc3| zGVB8EE7B6a?1Mjrx<WK3#86e*Wq!c+v#XFq`0}r&-mb<HW^Y?#CEc2K3{8_Eyr%|q zp$q9cw0J=Ap^NAzfuld5Q)Y;LmQ6rfAPRpLLQOo3me<#p(X^6=iEx0jjfsYz=<YOc zK*6E0bTh!U$Ta8wV9U^aS=Lj13r(yp^e1Lx^7d^anovV+j0LcpOIW2gMgfk7f)K9_ zKLW59gqZZfi=%D<CTQ|k6RQPX!fcG*b^#2I=>kKx3qod}V!uGjao?pV&}^va{{Vk~ zAOipD!x3kLSlFqEq1C5je@!YXBY|_5vJRZPZ|Nw4DQ^2DCli?o2jR94qO6FC1SS>I zOdnv|(5&eAN<<_xY#xEHHH;@#&>d+qtR{fxY)hIkt8a=8MM-k55bx{hCI-7s(Qw;s zqmh2E(A%`p$WkWSYsqwkjbiNHljDEr(nP5{e%#OEc_m0w2A~i{RSS@&Gk>6<%WB&; zxYc&C;nja<*@aEJ#!<>e%-I$(avu-qI*~>wsqKkXF3mT@W+7pO8LF}R#wS0{iS^qH zO?2IjPrt%AN$654T^ndcb&Zyk(gQpTN|AVO<4(ZR{SkI?_1*)bROjw}7FK@>m`VBS zBxVRr<SuR<E6HsjA(VeHPPW?pz@El_M)JY!YI{=4N&%p113)c>&c~O%h1k&qxj+?O zWV${A)LrFqYz9&cP?~nln?4*PKxxjyBdF<Jn^2IjcwxR8wvIhsL%0H|<i0D^)JsJ6 zPyO-%NrFJcdxS8madKHD<Q9KmQ*TeX4EG6A?i$L073laGLT7`E2Ef5D2&;7jbUMcu zBr0A`7bF}7G++)CBtyAM^dsD5wE{*I8U_6@U$4)GpmziT8m>np0&1ag&@#w<upuA- zsZc=tnGtUg)LD`<27Z+Nlv^B@IoKOgxgVQ|Tt`4~W%0~n0H`Pcs9}FT-hes-fNBW< zH4p&mmJdbNdju^AuyFk!Vw#F;TOaBQNK72S9#OqVB<tlOE8sggXo6HB<h<%_A};_# zTS8PAhJR{;LixH&Fl)dZ5q}RrtO|gb18Zw~rWP>HP>Q(jbwg!UGorj6k?kx8eut$< zWfnoy04N$GuHl$bQpJA|6adAB=^H*2G2MGaRe~)wq%)bUDyw^gQy~f~$5M`EzJo}^ z@=RctU|*0i2+`WamJfcqhjeWL=Xi^1&QOHvif0x>Bw;Tw^WO;Xx3+WzbnPg)|K%1# zF2Wj+qHwKRsnpz0bUoT7);)L)PAW0?JzbgxrsFjTp$!23p0a;#OrP}8J&wOiJVr35 z9_a$q+$liKT|-y`fL9q(6~KduV+65=zyv~i14agao9IXw*&=8mptZSC&SmsqngOeL znhezy!<!K=5Hh)-vIS(HRTxHh#w6Hd4}cpMzaMW7aH@h@(H1ZSQ-KkYPylJp$>X2s z7ip?2Bm>E8s%U?2EN50UH@*WfB@19`!w-LgQwm_J(ieY<(|}Bb2m0WTa*C0H@IYU@ zAl`-(V8RZ71j+&|Gej&eFxbs912E!BxHYRz;;YC2Oc}tLb5a3NF2TVdm6EsZ0B@NB zpelO1J7FiX)4-MzF2adIE=y7%EMciVQ<m_w2^nykS%81r?81ffa}tnB@B#olUHJp+ z6P@|PFgdmsYk~{3T!LC{I1lPJz^W1)z^WSnt6cr?;+%A3!Au{QRry2fE-m>KwkVCV zMd$*EW*A5ONbe#9)@~mHh$e!V^yBPj8?ts=(Uo*Dnn)N%O^R|v2~dQp%&<uY)`ug* zwqP}N>=b_imb#ycj@dQ`Q00mvJ_EdhDdH=$37x!sjbfO(eV-Ua_*!e2NGzp;0Dp~6 zAY$nfv?DBQ2Fwq2n1dGulqEh75S2t$JK&pTxO1=#0I!Ma2eyH*ZO!%7aNtJuRiykM z_pAg~Wks~llCeG}8#dfmd-pAt_u6EFfIe&ih?;*0`xeUxj-k$W&W@;x16*SRimrb8 zun(ts)cX_t{;+b<Hga;*^b?b=1=OP`KuVYK+$%!jlXudnbWNa<qYHS~+|J!wEZEd- zKa>oo7HPiVe1VRK4l7qMAsxo=A?_*8H{|d~vE@iUM86+sAIO^ckMM^&+h$w>aRD^l z=JbC>ACMTxwm(RWhTvY*#l^66csj1^zp{4_KCm4N(`7fr)(3~MzBBxMK*F48WD_B$ zA+UeOZ!zo<0JHQK0A@k}%zgm2`S$cbT)3ooApoiX08|HXtF3&#^D#9GO=4XmgX=E< zWjCK(R+8#02y!Ln5Do&uFWi<WNr<D`abJJDNn)#!?gT|Du339zl_t$e;O=^FaHAWz z3fT*nr)qa-Q?LTqSY$HdEmgY}H;k3XrXywG6(N%@?YO~p$tkKIU_`BnVT29pNJq#3 zR#^3pp&<4>(u`nD<(n~pf}|+s#0>(rA#gtd)_^g^5|J#p@fu=$Cd`j=5P%t(FhPIC zwXP3h&-Il;&SNW)0t7hg9eiiaNTeD43%K>*=UDGHb3gHf8ej1!W+lXwwvW|{u%)hd zm_xlgjts*N!CrDfl>~rF*MaB)uq<q5rj`kqWvn6WKO`M4Kn>{_!!e^R0duQ*i}(cm znJcyKa-~)l5<!^WB2)u*^hjs&u<Cz7z>b;`2B}BdlLu8Lb?u4ugcGbEaK@UEO=mv$ zX@h;i>K@ZIBR&9^0-O@X0h}rVIQ0<VlrJ^8!)g#F3@c@ipQ2rc1YQPM_MXeK1b}6S z0G7ouyr8;5x)#K@gnH^Z#++~F^V~|hKkWb=f1P#}(x2j71ejG1G%cHOj@p0QtTF;v z)NDYD_HZrgTR@9`-(#ixoa6VF^)KYb1asE@Sv0ZPGGe-;b24%PmbK@e=+>MY_n4XC zANLS#WQfijq@Fp>nvj3nYY-NHnSF{joMV!4DpSvBV(#y<)5h~dM7*);1^HGl{8ktz zaji}SuS7lQtPrrVu)lg@aAkkv<8NN)BGhYo#$9Dq_h+a*I8milQv33tmrbqWw)0N8 z+1`WRQ$d#`pi;l`Pl*T0NQ*lv>tFnQPZ_0)SGSpLzG%DO%*-r8oMvqNVs3d<wI~f| z&g{#l)_vO;J3PkNQgZTqeVozuUi4|qC5CF8L~{8R1rX6g;o2pWlR<x%|EMQRn<-ER zx*4j2-8ryDcjE)4*Ej3!MU%mMe(xd!dI%$%$%-i@3DBUNtRuM&N;L^>zB3JZie5}D zgtQg7V5r?6ttk9HLFzI0`%^E++E3*Cy=N_2<1VU_Z6$qMRCn&OhI2uJr|(Sh|5RyY zE5iDGJ#_rvMS6*L4YYr&=v$HEtc1S%dmOi-<^0cZDa8rnz;G4)?bmY%#w7`3RS6Nm zSmvUrpO-bHbTrg!5|B9rE#Ql-JJPpAQL~pdh?s<vHa3+9*h~J{jET1IiwS~tf`S3C zBZ9)!bpbTRztb7d4jj`!9E-^@SF*669>3(vkGV9n_-duuaF%~Y+tvPESlHJ5?~epe zB%wy--GOn8{%GhNrN)(}t{ikPY;TY9^G27m!pw1h<nqVvQ_wrXrps&DcV67u<Lq-T zSCKdBG-N(Mb$_Yr#C(9<NvdM)eV@#!wRS$$w7&)khA;oFT9n+Zvl;K!v;7C`uhWlv zFaB8%T;n~Wu%Ler5_D=dHTJ!E^JbOJ7RYNVhL`R0u9^Q3?c4RJNqM-pDBpz5l&a$4 ze>zdbob$g;4xqGbr|^epbl~f=a1l|yh?r($s}p|}4sbMWrzirn@#|5p3UE2#i}YrD zpxSb(N%8QP_~vO~jKfje+2?=v@TRESPSJT&F56B)c<X<F7V85XUE3)U0i)*YQK+MO z->*mEMfIrCQIU$j<#=UpPHACJ>M=$F7o)U@+e+^I^}(oXS96{}e|WIz_S7kgu=I)7 z=YCi6$}Y{w^liP_&2O$&mDSUG-`2^;R6EdB|A$Zcuk9%Gc`|CYs#i94C%Nj{oj(-| zJgtgZK97Gw-ZUdc`An`(T?bZN(0mJ6rEF9ha6ym*BHFf7ZM?ExDb1Y`<*tW}dKElP z?Oi|u;K|X{M_=CBI^A|xSU49gTsgO8_Emo2kSK5C&4E`~nc71IwpaRWM@DtRG!!=Z zZrsQ%>~IwgP7;X5C@naM@)}zVoS>Nc2EGaclhc1TE-5gq24*S`8MN@4eLd?_DiFPU zXJAp$;Vede;X3830bOyvs2o%>lypa@C1~@6+0dP%Yl6|%8fF9i0tO@NHdMpTiZEat zEk=WX^vQy=3qrsQW&_!Zhqf-5dDrjW3eU`|sln71sB3ods_cH#^R=q-GqN72S6o=o zi0*$2+DY4x)t~n^_Kke_FiODbX>6e&<ZFj+cl~*2qMFv{QJ^NO&=Qz5JX%%TY+(6N z0|}Tk_a_*$UbK_(N(`I-&mubF&Okb^@vK3hO4>T;N7I-6iGxGi*CDfh#uYnNB~2N% zBYe!zu&@eixeMD6_FCSE?6$R5ENf+u-2Q+3w?p`I6@#%1w_A-1j_q1Dot|ky9|{B< z`6V0lg@i&*<Qq#LY|s-94v}8S(4Tsx@%tJqKB^~$2PDn^$B=wJqsRU9cSK<!<Jw_; z;S)&d^D}zC-!QHW?rlZt2|w2t4i1)HSnGdQ9lPQt;9|YJvaFKCUo#doGOh1r1WA8; z(lA&3Ai>Y0x(KVv?Du|{@iTqxVZ|GXk&4$+RZrZmbS6k2pJAj`dyaL*ch&yXFqYfP zDl9DCm6uQ5{pa<i`fwUGkv~7A<(76`+`%~BE%nM96R7U-@eiv`fA7AF#8_DTH$K18 zpU`O)9U~>>DiKMU3hD@zbqu}Z*wugakS%GmCp!~_!Mlg&@~rW>!YekD{#9o3{e^5+ zavlX`7w(0{)a`S%^t=7(4cPy7QRB3%gr$7yJK2ipm~mp?;_Gli?=C@P-qJKGNkWe# zw5GKxB<*qV%pC(BN^)k$lNCRx*VK>qiL|DrkZVUJWRIQrDdl5Ta7f|l(>Z_6zJhqy z2#H8X#iBQ#y35Wl5R-?`Nq-foa##_VX_HQk-io=ce}<9!%2jkvaZ;)>!&8|534!Fj zk4#GHCLykM1b_J+d_B}?SIhK1D6=h~%^Zps)4fYnA(#Ocyu$}C036lHz)|he+Tn}e zFJ4Ixqa9_Dr;pc;C)PmmCo_LP(j~#Kk!6T))QZ+4Bd~M8Nv#ZT!#Sr&y`^i?Zn83F zZusJPb)AUD1P!W6XYvT_n5sDu1ZMzBUe|?4CkRkktsngGqJZg;0Zd0gm(}hhTaeAa zv47}U3FLP~^OJGMRT}^BoJq3}GlFW+@n}4!>G0vpj?OlNWQq^-f%t#FS580M?EKt3 zSJ$+kHSM;0Y^PA#KsoslYo2J(P5Sy^AoQMEn1o|sxG_zgwdEKXYD}AB{BYd-_DC?| zu>R_bY5khu?@dakhN)mZruT8n=B++WQ~vLgjwNX!b^4#J6`0aN5A$!6>*u-+V#`-a zue6iPS9@QDXgZ2#DKLM}epbC~K~8uGd6-iE=^?~FrChYTp<UWh{AI`|{m&^%OwFK& zG8W|7y;R3No?HK7Q~tNNt*1RNYyQvM)&~z}rJ7gF%(}GiBP{)Gi!}4yubdhedZp3x zVPNCY)l+=e3bXgurnhd;0{YRDoX0)2KfdE?hc6A)lKfr$)gpg6h6>Sv{1cH8kw3Tp zo6$F!H$?rVq1gHLa6QpOVTs4Ts0MykC~3~kUgq#gk2%&)(DEWu%r+fk>&bikfB!t) zO#)jKp6-%b^Zv=FiPkqaiHv`@R6cqpw>LvpLXOhEDBGYjck{XNnTDc--jiCYKfHoi zFRCbhr^XsVoF;!2vbo;pRsKzBKK-V`{^f;V%713(#D)IM^2zY+{)=iNxiwEOPT*48 z(taqZj6i#%H(TWSOxE{g4(yViDZF%D=wIXevXT<vn9}_6%7AWn?yTTXq3)U!QA<K! z)p~4CRW-Fg-P>oem-I|+C>J8V#<#mn=C4Z0bZ?Yn@=AY@x2vq*xHpP3&q|QPtE@BJ z8>N^hB*^j(CEu%3It;AhLe$BNn&ll1-rZFxEe7}FLN1dXHOt>u^<}urB!r|0F%Ojo zJ#vWm%vWkN5Mn-MR7|?<Xj4C{Ha94>!c1Y1oypH}cuCBsT+*tT;b%?Fv@}NAmy`PK z;Da@=Xk~xZ@${K$lRRtGV*7^0bc6^>p2pJ&7o5_uzMa&w;XoUhe4|P>h1{ckIHz*0 z?4Q(<c`^2LNg1yD6XuWI?tZq%eUSc0+RL1rd%NE*b~*m?%&W^Nghd<(NW>uw!=K&* z*9~RAzU>Y53$a{>@X|HWpk($VG$@}fh8Dkt2Ty;R4^|AIv={7u5p#me*7EaVxTf&b z^(S_dBOc+<HAp}MWsNQo3|)tKHiX!r0?Ji$*dYyye&VxreboZjGmkgg`o38>+mN(i zWfiPH7-lt`?Q>1cHd;*kTv+0H`{b+quh+j!2}K$h|7(k@oYDydRZr)SRQTyzZVQjF z|A>Dk2~-~a{Jqc`&xAiW{_LJ_(rAos1Ob*Juo5$Oh{^wFo4>I>wk0}AI@04^xX-Os zz>ps%hbS-``kO<Jm^#LpHgr3>i1$bt_=7%w$-QIZpJx1+tc?koP4GADX>i<oj*o#Q zHiZ|!%9^&H!E&3%Z*j0qWo<$I;ak}KbmV`IC`Xlzil0j$N=Svft_F|iE$~<kNBfj0 z8YKE;D*D~3br$V7ivF13bc{84-CGo0l+Y)?MR@UF`dF{*WSMJ=$fC(0DtuvMk(j!$ z!6c?Gnv9^r7ljvyHVeYPi0I&gMdD6y0h4I6D7;AI33go|#stT&Lm;xeuD^&{iz$B# zsRR9l$;!(X3-$g>(B8IHqj!OqZK3Z1wQZ%|Enl|1G|0*Ms4^&$b3SFk!m2i5XYKw6 z+o$IZ3RHH=$A0i#x6qDXnWxd!wjP;W=K$9j&DKSuve+mz=Nw&bi+zY*u*Ftx__{`? z1V=K7y}^46#K47=MPlHhzb%z**l>Rc%`t{(qd}?smLX`$OI6L=;e@^Bog3zMXo72Z zr{8tE9d($+gZrY8>km^)x2l_BD?erILIe9d{h;h>9$Oi!pQlU967sIEU$!-}MO*zm zV{olTJmR6+<$=mIn_%x>#DYc1U&Qyp$!m1`hR!Xvc!Lj`qYM#6bEF{m(Hwt6h!&c| z4+%nZlpum=jyT<MiyhdIv4u4e$vB0k{D)0%8t>e}RG2pGzBRN6^13-?-9KVP6HVwF zx-OI81buh*olegA?DO`b^$AqJch=VtISU@|R<#GuT^ILB`HLE3h}$=6zAZSYBkwQ% zXu^gkQTg9xc;sKDf<Xth*Y1A-Nw`KKs6x5SLkB63knSo>!pMa->OSZfA*O@_M6-Oo zTCux|+?I2`&FLBLy$}>qeoM1Fq)M@;iro1t!@VLFmnKvu!|c#3*RFOs)8@p({GeHG z>!6nAp4=W*F9Z!K&wu2wTJ0j=mJ_dS9v5<ytg2aF?{NQ-!*V;-E-ruMH2JawS-M<S zg6v;Tf9SyP)_5-tXG&&kmYbA=zEyd-H#)^>i$X;t$Po_V->babSm)Zp_{kHR<u2uv z?y8BDbteh(cvW#v)x-;2st{AfVf%a4MAEu}1Ua|LMXfDNfh_gVA+R~@y%2NVA@H?( zqcF2qvm9MLai)#R&#ZsYEMIg8OmlBM$z*AkQ{2|SS8a5L#S1~z$|W?*jjK1(+*wiD z_v6<6$^qtq+}FQVA-kxqakxXweG+8lYOkIuWLu6x+piXbyK&k_nE9IJkm|YbRmC1M zXWOWJP>c}tF!?Fav+87b6|yrdQwS<=|5b=N>%gN~p6Pz%dzF973*6nf_2cE{n&sl2 zDjDvq=OI->Wm3$e66A;FsGcfC_eP62oB}!gkwc8ba+<qUd)QYY=m9eSLkEb1<wFNX zwaNLmU+r5jh01uKpM;?N<<}lLjC-OT;?~cUr*>D>wQYSAg6?B_0^@t2!Ex&c%58w# zoVtEXf~;3=^R0iXuEXF?oc2j3T!O6QU;z|#8r+LpH!6Ss(4oJ>z$tDdH6%%hsqfJB zy$X}O?khpIcPMz}p4_rkE(EnK@BdbXiCqtsAfI$_ed!*MvTiOx_Hu9q9;_E3c|uHx zLzh$_os|1fF1fB;w2FN`GHq#OPX+p<Y&yH)n~`g^g0g>tmcG8pH|VQtB<)AbJLywM znSYdR&)jE*n-$ffv=303afe=4hu(zpIqMpGtu@7f1X=w3zG&Im2Wv8d1_^w|Zlvgw z`(Sx*PG#oj%mf=KKW(tIbgHWxmeSvEb3>-0$=S6iq~>q`n6_yRwD@R%+N5@mO$9`h zr1slMi9LS+^L3r>Jp{SM=2s&7b}gfRv*YgDc77zj1I{K|!|S|0-iYN`q+~!jH4ce# zbl&*3algKI?K^(?alGkh1c)k;9{9CfW!r7rm*(X)J6;kbGr1d<fwrF=w<-HOean)e zBU@)m{4@Rrts*8PMJUj>V<~x;t}iB;?#83CmpXrUNEK@kMbYD^$Geb@jCos@YUG`q z-{0lSgmRV;GP@Ls(NojkO58KK>>p5W^($vS<#9bGjQ#zhs>rTeGAblWsO$*yss!27 z$W`^V`wl<TLxTLZTJ@Ftjv(_MqauJc@~$ArVffnJTt^%H##)o{7f{Iuh-c%1o)@|Z zBsYH}_|n)C>5yw|gDm=fX$+Q%aYIXJxKa)^YQFGys6>TvU=wSXQ}LkN{k5O#tYayw zWWkNCBTKf^<0DwFn*7TP9`_ICkC;`5r8zHspRx~u=Nb?oGEYWYWPY8#CbM>*Kl|5d zOPRmJW|1N4S7aWR#Yl&!-<6?#5`H|=ayozHWr^^g5wj=*0?=i|?1~KUh}m0%^Y{35 zuV0LD|5fPF_{}Xu{kjaTLYQsK&nb=BwiY_m7PFA^y)ePR=Yf?3FkaZJ?}beU|J_@z z>s&Eg*oFsc&!J3~ZlL90Pshw-w0ge;jwkJL)~_m|7O8{*j^c!uwp>;GS6$nl!V!NV zQ}c8U-R4Ugn0m>%m`*KF%hT>Bb75H@I<+RB>kmJflTw${!E73kERwvjF`FM(NH}#l zEzG7QsVmtV8@2g-g#>ap@zvA{majW7cfUlf-FjBA`lqM}HNAXJosrr*s~#`apC=Lo zbPQFuknDfTH+P<qt=1+TU=$=l(&&HG%50T}8U+cEN|L=*<2I94NapGV+L-#Ib3vV2 z;>}Md{t8y>tGnu<<&hU%|Esq#@|pjf6JMGe;oldx`EI48FhSi_6QjR>PLGjY<Cda} z(HEWLWn^o)SzpHJ%gmi+WDmJn>tOW7=1wrO@4H!RWAr8FE;F*z+^ltXulj#WlO(un zo))Z5nH8a~l+U>{)Y>~+^L+nZSP;mnN%A%k4ys!rX{gVi>X$wegk2%os?SUGOA7=w zt&onXivz8~L2p+`?&{(a{Z9|ic`&kVTEQOwVn_MaGcip^wHT;&<ma58BvYNq_|4BN zq-1qh4a}x6X|_`f)eLqw3Nn8rr2~5%Q~&+R98n!4)lWOPYRAZScE<v@gl`VosioTn z1~y2P6w>MXpUm^ktwli%tq6-HE&J6Y!2a^6PhQ5<OU;EbvWadmb<E}k5<bbB9lr^z z8m&GF%q2id?$qM6(jFSEdS>M&dq?mFC9aT+)$th8Ke?HfFX#VPXQ6-hko(_2#=Rdr z@IKJFBJUCHarBt~V0*i!z1R8HQFAw5!5b}W52Mu&AIw5{?F(;vJ;55xn&?q<{!ARB z`>TD!CKUVT4336drH1c`w)>krF`W<P^y!nPG4r&b2u|pvGa=KuvXgM}z(@qB>WAD) zJUDdMAkyx%1FJHD5dD9)`EsP=*`+@x=fsF#^gA}|O`wpoN3$i?_Wp8);U6z89p?AD z_Gq_CtyjCACWetn`CEpb-G$E%OM)J$gKZ`MWD@<_cJKO~zC5D+;qsE&s@<@~zv=%f zRogPzJuuoY4m0A*H_6DxvM;rl3=LI!#D(pP$#!oz4$E*o#m;|XeI=stkxG43XM0DH z|1G3mgMU(x-Vfc5cHGSuDwi%^T0GnF^nG@R(cx9AtX$8Tnde!(#(jrT5nCx)gwdkE zGb<CvU0X(Poik^gg$qnKzxl7SROk4m3ZX|~IR{x9)TfrA12?iQ++eT0+0pfmn0nE< z@js+C^~qP>veAE=Sfka`B+k!lezzvIews*7qEV0>sp1c*QQh>VH#=(ctx=FJDfMSy zyLH>Yzk9kKrqfc@`@Q)q6gvD?MK|j=Wnz%IMytFeo7wCxcUY!(gkVs-(W+Ed!8fh1 zarO5xn_?u$ACj6oJHtC-e^9E?YEV}AY<7toJIx#OyxxBmGxoxg!}Eu<>L&ZfyR+Ho zY=2UGr~_u~_}sBjFTpH}*=&S*>NhR5XQ5zBs0m5*4{1)_=DU`sJLa{wPRwS#Q4J4C z?Ps=t`qww!E{9f?L%qVYTzj;}TmId^WFJ^{4)xL`E&t38aK~hLE4BpJ-u14Fl2GWs z^E?z|RHJ`Q^7=z!t9#C7=ey}-dLy5QHW}4OkpzEcXSO7zdAl60i8ZQGCT08~S-N%h zXsN}G-NuaZ&Bgv9^{I>g%ocC)SLqLKyYt3-<N4SP%ovZ?Yoj5)nhGN=F|W@?HKwE! zp<bq0te@Fv_qt5)ji@m*%$SsyMW~lrR{qay>nwlqZ(8xqOPBiZ#E+R{vJb9$gwDBT zWp-=vw=7-kmp-(5FSM9{?$IBTe-`~`wxc?+M~lCCNxq--eC$3Zd;idd{!7osEHU~* zUV))=ceBtxv){XIq<e?CZ=`w8wJoXjlVY+j_LE{4UwfxKU(E2HYrd1_o$@SO6`+XL zHH?4b0j>KOeaX3fp<eQ&OQBvGB>&L4>shj)bG%tRv)P$#(r5dnMS`k~Y7WgE4V}}= zx;C5b=`IWm5v32@3kA}#KO|+hxo$0`7U>K9Yb~U7?}>d{HkeRj($mnn5cS4CB&d7J zYi}2xnk$%4ZPL}yIXiWW9<9pf`Zq9+d_jNZMnRe+#2?bVEb~94T(^`=Z|j&);C>#T z;|=xVBPIMHeNs=H1t^-)t+k`B^i8YB-9^6tQcUOvqo9MNvY*-7>XjMZ_HAE)(L`3A zLcL^2%%9mf^~y}|8*US)`-R%pzG+=k4}9Zo9q)LtU#K<jQom61r8EHij;j56d_#X~ z{dodGFN|vT&pim8JCh~$hZL)>Jew`(W}W8U7ay8t6eLT!7&-^e8u>%gSBL)0u5my3 zGaJ<=^c^@x@>Ki52ei)ie-ZR@z=SH1{xez?&cgj6jk}Y-X$8hOs`Y;nn-dD1Q&IQ+ zt`!)kZ;7cFn)83;b!Jb1GI6i3`VD_3jt}A*=v9$mQ%5ER1b6uoVr)^xHD?Ub7I(n@ z_nxji2~4PTTPk|}4spEhIo$1bJIGE-Aj3XRv!-0r(6B<)^`TJz`<^$&@=FT{s#9N5 zbb##R)U@2dg775Tfo<CRpC_sFvhw(D6OMZQ!EM^LpC`xXbzVAq6okK|-P?brg+1<B z3SxHC{R_gQXet}^{M~fF0%uFM&NfZ*F@AjBK8@IBf`38F->6Uj$^P75aT<k3!}ROJ zmx4HHM2ZPYu6}zds6UN3X@XL#pWUbre^<HLL7l@W;9DcY`(Hcz7KF#qE^gC?e%g=E zW6t0^O*m)kaU1nY-NtY>&CGv#rc5upLV;j6_pmO7C!#DV*gKZO>_rmk*_gN^edkf< zXzQgkqn=!^&|HG@l*z|&SRLge=MqYvcBGW%Uq&Z&BHz#J)QaRoQzE$wcjqoVbre{5 z_^=76Jw1pSB%4djFRIJ%|E-}zfH0#C^m`iKA?JULjfrGpY>_sjsXc$6D>@{rHrbsY z5?If7o?jf7g8Uh3gfTtQ1@(hj-4AaXQ67#C6wr7d-<t0;M|r-a|1H{pt%a=`CHG_x zYRPQe|DM*Fqcd1gU$3ukwRCi;BpGTbv@OA)rZh*833X6+Q*ZEiNL7I@mK8Rtc8ang zG^!>^*|;-ms)c1aR=Iy_Vp(HVt~ywjepMt4JRan}*Gj0HZ`u8T2<VUBQD#tG_HBy+ z@z6G<6W4fXBQOa{+`kcM<!87>E96-M;V@FXk$;bpa(COlHY1;J8;)cImB(gm?iT1b zp4wPW&K<IJ+iM{x{Jl3?DM8uL9F4sEiNzWOsk-k8Y;@Kvfg*pLmTsP2C=-5T@oh(5 zm!qewNyo%W&Aj*iggmw9PjW0ZrhUx{&UjImC$wGJS(|r&6WEE%<K15Ftfie~o8IvY z)e3x})Vf;|)-(4-Lsq6I;QYF4r%d&6x34hLu`+F%ZW7Ug&9^<-N!MPF+mU0iUu`!} z_<+aJ?q7Yu<2!$DUmpR{JrLN42cx;k&~d))00tG=7STnh8*=*!1&=RQO#*u*G`cKB zK`Jh(bmENnZP+uYebGBnhyd@l-2p<Kag`}hA5~=vY@}J0sRowSQiTUD_vmN=u-SJ< z$CIJqr<P=ZQt1uv&PI(<nGI`COx)TtVh^E2Ucqfy2WEdg-#p<-JNESKQe!7BUogA? z5is8}5eOdNtP(jzsT1EYV^C%JwqGIw#G6h0!Q-)2sleUz8MOe07FzNIhCaE00J`vR zKL@(Bm;l$ta4-1bz9;T|^`F=ODtbKK|L0$zg+IAJE7O&B>g<~z<g3?}I}Uj0Di&GQ z^M=1Fx+Q=A-s<-21G7l~+@azu9mgN{vWDzV<b`7bQeo_f#bV;*m(%CZocWmB*Au*I zpgTAn$DxnVX`4;AZa<Yk_iz2~(py&Rf8V{lc~-<VVm|N7GJbiPnc)x&`|(gSwphi* zL88o~QgLIs^9FuAWcGIwrB|m0y>U71)4z&aifMnVjy1jZe(U`IUVTP;SzH<{F?ikh z>sij@CnG9;Mz5CcZ}Urkd*D$+)qaV*yxHh(k!H9AeNaoi;^F3eZ#MGl>HJ@}4SyuG zJjl$kFO|u+wFq+#yTZEv&aVMqGC7!gr_11;->^oO{e8jXpJXji7EY{t9@lvM!mf<n zd#`_&es0XBcldAW5-47JFa>+gvQ%7o@!@i`ivC!vcHei0w2jApujM(FFAe!0PsY*2 zlh0*_j8!xaJo=Zz)J&rv9$P+ThrGWr8}i6if2{2E_VSJNok_N@q9O0t-b?Jbd-rZh zFg@kU#@8YyQtIKJa|5h0ZVB~)xgpuDBX)mtIH1m;?3sU1)TOc-$CuCxF9SmAPJXsg ze#yeX;{Bmf{x-iuUK*PLMe$2RK+(Z&%y|f2_iCM011vCl>DG@B=cuIvi}v1H^5LH^ zm(>Kx<L@?%jCH&;Hg5=T;Q5?b6dsMbimVQ%v!j=eFE+@;E@>4p>kf~7_z^;s`doi< zo5GUq#wbGYo-YG3vEz*|(Z#{^3869WT<2b*Gk~RE+ZY4-ihS+?F2M9*fdT|C`qKEC z0qD`ehnbd)(l^)Gce24^<XO#b6Htz70Z+Y{W=Q?L`;C*>PZ+)t<aklJ&kzlT19dw= z#y;(=Cz1A}#0g^_Fgb$02iqDxpHP3_I5qd8-mp1eZ4Sl{x-hr#EW99V{0Mu;gRKK! zZKv77<&Fjsn<1y?V0^l+B>DkZR2ET!=2Z4_+gLCD?`i};_BN@J7wbfl**||G=qn#c zVa|mYf0xv_5B4g{PzY9?6)sCVuECLlt7>qdaLW!_(3C3B@c>A94#Njhn!|tW2dT|r z4pL6fVGf{PWrg$5G&MM9_3&BY2l6aPJIBHAv$py4Dzn0+^K3}Q2eG$EJJPhr8l3Y4 zF%NbKJVJwWh#>N!KE9>mM36`n^kk4J59Q393y=8GAdzUn7xnzjxEJ*W(az`QA`YmY zopa$6KN-Y{N&K&VyBU{IAKrgbaWW{rx#Cz5{}|_07LgxJ%re{u%g-W8(ZV!1hY3eK z*pJ{+U2~(FxT8J8R-F@%?e&8U*@GjSC%Wy0E)f-#8;gs*2H(+seF-sO&sEzy^Gi=f zPo41$vJBAK_7L6Bi<JcV)?`=<#Qp0@e_44;fZ+VJUiZ%8ZJ`ZlWPX3Ztw4{DlOgNf z?Z?NTlML|=cZxYDCS+9{v|>L!b$6=!ohb<a_w~?RmOaA6z0UD=0Q&}tpz+h$1<Bb1 zDTJrp2A%m(EK}_RPT(L7wvG?B5H_}DajsS&?J~=o${vm7Bkc{OzOJ{>=yB+ITN|?n zQN;R+a|`PdH?zNOo_c@dNRRtPw)A3=RS|geXnEIBaa$+)u}U?MqiA{O(;w9#>L1EK zh|*t}4p6;Lg_eZx$h&%;-F~`uioKatKW!BE<4yfh<IXQfwfc8&pw17oMNa)1+q?9} z>f1%Z?VKZjJIFp)tU8^Xj0LRH{_St8D0guq)}BN?`CyCr@0@=iB)vMP*i&uZ@X2o* z=!&^LZ)3~c)E{Jrl-~pU?HKp>J|@f`@bJ^0JtA;5Ge1<dWh=A99j8V5iA$fCdPhAz zLUn?)4muw}Y00+q>e-GTy8iU-v$yQ7(l4Nm9DnU$@pi2zoujq02_8k>7q&g%CL#f8 zZ+qP`z1a3`q8)!=gHI|;_rFxMytLKfw<}(HB;c+R&>J_l=D^ePtUvSw_4Zqp@f#h? zM4xc^_aF049P+YyOCqP^G?oHaB+aLID-s1E*fJ6EmQ|O-Qd`BFdo}X`y<fB@2VHWO zeNs;@J)OSOq;5RPYx7dTT2U{1>S1)+|7G&`n|jM+>*jyvS@68`RJ5EvG9qH{f}*zm zDVs7CKZ`K+51JpGS1s1if8(vnp<f%2Lj6xgTk%)^Rvg`>X-xCmXiN{byLp4xg^;MS z3$C?F3Qft5Q6q`+6XQRr`W|~)ye0Ba#Chd(-c1co2e4)(#CGKWYqB~#*kn6+?P#O3 z=3vaxMvH#~gBV4mO-=mhzUz~!UDuKXtj&JQ+z`*JFVCK;IQoUM5REQx3(?8lx%v<M z<-Nm@;qr6SbhT;Ieq2yiF9xD#kd)ziYDRF-16!4@RJRf<vKl*-_?Qn`tUl5z=J8bi zoA{l7-fvc}zfjBjCSJVC2i>?f7W~r!vHr26WG#RA;l`PJ4ciT)Aq?$#GSafFG-K}H zk$-sT_V3cn)7uI!=|{#=rEYAP)bS5B@s?_5r-0;F{kp$ME8W28q^6)GXU6V!wSN{E z$#3hpv!rc4rlk`n>Rh0NJgczbX+E}kElza&rP9Wg72E%0;zltuq?QsI-S5QEb9xok zYukVPe5259&%0X-8XxclPW>OEuHPGM*GgE;emhl~q@N20CEe+4-?YB@rS<Vl!fJk- z_p|Z+Fk%+*FfHAKeH#u+sAo+flX{Iqat^xeufppE2}%Y|KN@K=HSWvQQVgoP@UGkk zmdNWQR8)07e?j){ObpMrl!@W1M$WAGfzf}S!O>oyC56s<fkA5!+xuWB%V;EI#cCL% zsTz=3bx4^GU2+oXH=R~}t3D|f%+m93qvkgb#uUiTijQW<e}x~j$?Fo2`-`u#GLM)( zXb2kp{`HZh>u9IA?D?OEheD%S*Bn}MF5JPvV^6uNuLO?uiIQzw{Zprqj^B2r6}*4n zR~?D{mfb3J!X)LYyt4H9-hZxlZ0iSM9|PhelLESK9&%MFxbz)1V7)f-+4G4aAp+hT z>j_s7n|e3p)D9LWJj-J8ik}FQnR4o&bxheO=<|VZk^&9@%#~^}Z>JrE2YA4Y2_qg@ z5t^h1UYO>jK@rA6NELi|Yf{C2ybFJ+qb1;IQ0BAo^K&{e<7el>S}u5~9%OrHP<XJ{ zNFg!I6G0aVz)2ziAFL+uh@DbzQLOv-cIElSk(IZ%L!N%TCu16Y4+Z}C19#cx>gw75 z|3OLlfq-}RcgstRLw2R}GORF@2cIw^Kzc3xSE``hmi+(g_x1lPDG(CPi`9Qwie^4d zF@ND8oN;Dd;Sk3C)kX6cANpuQ)3Yb*uck)`4Qi=8o)x4Y9Gt(cxMlwzwyImKG+6L@ z|L1Pe(qK{E{yN}ityJ{9Ly>^p)rA|IC7lwb!|Dc_`L5kIj((?PvisD8X3vRg{}#A) z<muIWJOwY?4^vJC#cE=1uEc+WuO1gXKux^i07F2$zv}(>bm28kzWsEi`$q>FU-Ry- zev)S>zcCb-+~w|Wv0b>Lbx_2x_05`DzS$Mdg3tkjLajp!FyO;>2+-I0x9T&Bl;NR6 zf_y(q3zKJ85I;+Y|I}Z^J;mNuDJZ+w)Ag~c)!GnLH6NQc{Aa%s?rHNa)<P+N@Kj!! zYWM$|(yukvHlIx^DDaN9oHfgDku@EVSXwy(B(4;RC5?AlqYS7)jB!&bkSKGLav-tf zCLe)BkegHj$tsYTlmJN$kYItz6=PtC$q^ut;U;7tQQ;=T5=)5peQv2z!3V>Q3bjT( zB`_Cmf76RL&RA)Y?fL7L`skm3#=@g6zHp;E-Z_hRwDNt6wR(e#v->U&WqTP6{a-Z} zl)H)O`Y(`Ic*6;y7URAA;e<_~MQ&-@M0J$$NVDY|uaaoq9r*ukV(Qx>8|fx0a-1*x zOY05Y|A&&d4v4Dj`i99NhVC4?yFnO2N~J^uX@*9+YseW&DN&G+MnGwQknSOsMmhyi zLWV{_%I|o+&-;Au_ubcZ-_QHUI%}=pUVEKAd+l@hEg0n_It$DhExbpAKH_JrND<ul zn(X@Ep7^9kT?)@91auX4V)iF;05r)-cy>Yg=G`lvd2Gt2?`;G0==F6ZR3W4$HkS14 z@DK%oD9-ox;=uziV5CNW^A;o2LxJr5w5ycpBN@ryWECXz7F!0pbNp|c7#le;poz(T z>PdM{Kfskpz#cItM#JfT&x!HtYmglnPmPtWuKuO{eF2k~_dhn&e#cE&e9iGmI%-0e zQ+erhWJa>|)#}uy;sdi1`{M?Qy(nqCRPLy|!`e!x*n6BiY5Q$|FZ+*!dpeIURDT`p z(vx(Z+}jwu`qE{ToO{#oD<BE}`-iVQlx0?)DZXpXTQ<Z)ZpDXTxA1}F(skL?8xbPs z)pa3L6M<mW#dp#V=^)cFL#yjH>oh`7goAn~9}X~**nP_{8y|{3ZnP#2?<#yelGa|_ zb5E=^ZCcvHs;jAgzVh)bXeO+(-u~o8z_2IZ7qjWh4i2u$=E8@|=#^=+&)&{q6%}bi zKeivtlvd3eI#)fMey|$yJK|1F@wmM4HVgek*Ov<7r~Rv#9^uw+J(PFp7V7T{4BfnG zpf37#TfECeqS;ziSzJBS1veKTxx=;M`YO($S~4@|U4~zOgh?`Bi)XHg<Y0U_=oMcr zmp|*+_iZb82={xPIZCY&bIMZhDEpX>Q9Qd!D*Mv(*zFdo`6C%Ib>6)*-o4|YC%1C- z`+NOyMH+qB5S!bGnJ`g#Yf$f3?;6?RFE7udZvu2j+$M!?X$4ma1m+CPnv;rIepoe} z{8E^>!Sj`Wuk`y3TCtdI4UgP+cUe(VY1$pRvq5!@5v7#QUz%_B)}Ut4IAc%f7fEQ> z(Z!1UcyMT)@zJ)Wh~J#lm|jT{xs*_>A=ir+JmK;uF`A$UrgwLR5~-wqS8?ov&MStn z<xjhG853G3U{Iq3YxPwmC^xIHO58XCFGwL!{Vp<pM=E;igi$uTHb^w183V*)E!rgB zOa>C3_t~OH-XzvM9*acvNC>7`Y|uvT<0u$}tkU~ZYAK&fZ&S>+Dj{@hXPH43pH0v4 zCW<TkVwLCID&_H8>l^lkChRNxJP^Vzm2hld=xpnpN)yWToOZ&(w(<(PUDYsqL*mO= z>4&F(9FWtnFEwG|QF$e??Orp>jJJ|F+iIKN_Q~{|XX5>AYf*mNg6X;Nc5tPirt+L) zB^=LJ&SgbVcKmAm?NXOrVy9LNOs}O?Wv(IQo3PyP`dy6Qxz84AilUv{3WE;A+#Wum z7wkrs{8&3k-%;9ZIkc5-<4?a|r4hhN_Yr4*%3JM)7FX9)07l3tVN{g(PwtF6GGqt$ zIaTYF$Cx_jx=k#U-xc{Szt3AFj?8rx7jpbokws{yS|@s-vHakXRLC!q$ngbCsj|1h zQMCcOyjic23qNk>*_LmO<<{>+9X~dSW400Q8(L){dOO8f^!y?GH=Cz)*AGFCLEI33 zYcS#V{R*veneM6VckIh)Gi@4QQ}OL=3ej=Id$+HzhYsJ1e8H<ZlHvO1>L{L?z{9~f z^YG3)_c(5e!a&7vxI@v)J@eH~vpQ%gF_ECj>%9br*L(B&P<qO{l?y|kGPDT-#39I6 zUX8aJtOBLf1!IFoQ&0SKN}_@zW%1#E31(di`s#~XiL{_E@@sCwft0RKb#t9-iewqL zPVMZZQXiD42l-6=cqgnS`g;3W{E2?)%g1(K2o7iQSIc7ci9ea#biCjd-s1h_%=<WK z*O_TRTauije@*a)xAAJ7!{uYUeOy5(Z8&<Qt?KxmPodBo%Du&IBp&z(mLi9L)0L{~ zNrIBY*&@sSpBj$rIKLT}!Szg!w*2p*NaF)VUbMLxYAG_2M6ZYCpLctL={lK+Smf<5 z(j7CcPl&Z*7Aj$lPYvZWh=&jHEKk?1n$xy8>8wBlPa=;v*daSX0--TM7vlT3;@aUL z?drzpr!5Y_xEW_lBd7MT;6!47?SQDn(UJKMCA^aAn!$ZHx?4dyOM%w)p*m?IIO_1u zB=PV7x#gBWyyvhbkVFyJ>MSL1NM>#8<NzYG4+YP;Ye<j|9Kr-3uD!^mLm2$Oj-mpD zXaiLlIFW#-u3#L87hi@9?_O+XnjP3XY`YWe?1q+a+i$5BCik0yjTuvavu%Bg9?6=W zm3p;<d;O)$r~OKH-jbj(AYu=@XMQ!{`8&~P$}NxT$g%7@a>#g}ED%K25ss{`*I{B6 zGiN8YscGa_ymG=%ZJ;2I^o~|j&96vt!l&v|Q_HUat-GfSk@2cDdphNSu(;yLc~ugW z32bnli#6i_>sD=5aH^qy7RWk;F+u_lVdM~38SBRgx_XMf{504e!>EEN(rEJme*I^D zwuzw`hl%H2iPD3C37YR*>bL2uCb>U*LSo`VGK(n^MNSBw4WvJP?G%y;=47zi3;{e7 z&dCdLFc*W>00&^`06`y=3y<s>0A!N_7?;!g;{nkcFM(;n0P?GUUTtGI<N*LH@&Qw{ z{$#QN@RoZ3M586yIT8(Iq2xTazhf;B>enxMA)b>VGWG12cusTT7rAH=%5`Vxlie26 z@tNSNS)Yd7@}K6FTmK0PE4dKYk1JsD)<KF;_CNEdI<YUz0IZPAZK_V~q`yC@|Hg1k z5b*)O9V2Z+_onZE;PoaqqX*L$hz3~v7UD&UB6kMO&+b-+K(v+IC8o@}Pbr1rNA}0C z;2wLH&Jk|W>$k&-A(@z>*QvwOA(_G#=GVDTkL_EXWz^o_Ijm_v*^6;HfsI6Y?ev^x z2x}(;iq6(k1R}YiLQcxPBL<SPrz1HH?J+QDTzHmMx1u|Low|fp)8RubT<t0Av`}X& zvPw$X3#4R;YrHK<pm@*6q2~M`^MMXu<e>`up#l5A?y2NEK5}iHXE5gnI0gpnbT4g) zUc)E4Z4s~3M8`Q1LEI^ehR7h;W~Q%A?kCpG%w_k@%<f0@JP7Dz94y)886bcrR;$q@ zB}b=lA)w-afSHAVw#|)zJ_5{_fC->f?DHHdIPg9oo{k*tB|(lZpg=)n$N~AI$xx6v zN<aXg5eSh7Qp%$FHi)M%;C*8A97!mMmpL*?4->xJpX0DE=~J31Kf3&MQ&%D&t7U5B zKS3wjkx&XU)!%`4V97YT^^|nzly1NR!8uDJpt);*|5Vt2G7jmn;UO%Kwo2V_&N481 z$LGlajx2gxG1?8$L+ot14m4k2W`wOelyu>hSk=(aZ?wTK)fpq9xmdj-3;5_GRnhCZ z&~C_cmC<*3ka<-Uj1(6Mr6M|eDEfamqM-g@NvGVxZsb%qL@5&6qKuXqeN>kNI1QBZ z8`ScDKt9Y5--8)}PXH?A_{olhTCyXcmYjgFBA~fIfpUug!CiOi5bB1A1>!>IPXUH5 zMsyZuU86~agxb)dt%-D{3nJ;!<h?*yK=3~2C&Zh8>m>t%U*jX8XErs1itO_nUVwxF z^2fFW<c(U*pbSu=YM^9R90+LV0~~lrH2ztCb1eQ@U;_Txg*Z9-hzkge2Ld60)(3<f z5Nkk|08AB-CLjSGP-{FuTLJawn>SeX*TF`o^uUs-K=8Mo#CN1{(Y1O1Sk-@qHZ!r{ zzN$nwyE_rkR<FX9L=<F&4n6rt8FWKp|Fy2_q^RF`Dx2KFe@oPD#cci%bpn8>Qx6D# z*(rlq7;UXRBbwbPWH?#P$oQKG-n-t<x6#rNU^#9WI74JFz7|-ZQ@ua3a@Dz%d8eZ~ z(?57z^3?=M%OW=p1%81hezflAdL71>jrBU8Lj_DMbMemld$oIS^Bn08W%3k4R)6o` z{@k1L;9MvwN#%bMP%R+!n!yM!)lzDIrpbO{Mke*S$Ahwts+80$^UQnhUT&+YkKm~n z+cjAXMvYaEmWRwF(?7quSH}0Gy~%3lVAr3RGg$Mo;h^aq(%IXftDHwnT(*LnF*fAc zBr45pqhqc^h=*tJ)rO*t&j|lmW#YwL+KP)$KP7gV%R9Z93gNs~!#zDB;&;e@-l_t- zul@~=vfl}DLhA(9(ry}_mk{&B48}aZz8QL4$MgLGm&R>ZW(duYoexW_b`a`e==@gG zG%9#;)01jz7XF=><`Y$l5uE>PIhKLd{)x1vyVv~=rB+2WcrJRbpUUd3(Nn@0`*J(W zdex-p!rdp2TZRKp-`(7NA1>N|MIImL$V>3pb`;OLUfL1K81LIDVDoG&gFGaEBu5GQ zDH<_!io4XI9?8V<tTSx9xbb_QR#kkNh`OP&dqcV)*eJ=PC)Hdy|NMl(zU!+CzGflK zrze@OOkR^!bk+(xXWG*f#$N9`vYLt64Kr!<ZzW&42)z;)p^C9H<vss@gtksa{!}c- z$*yGXpMi`=8bpt~Y~`Jhs>{h~vE-(`+PJOk<RgwjOx9VMTkFFqIaY3*M?Y?kI)DDs zram(zeb-cTChK`1>l!%lOi%VVL1Z{yBuiwsV+qz5pJ-eN!;aqjpFc{b?};<}Ge!xS zd|>DST_57PUmi9&ez~ZB^C7#Y!cihZ>6ske+p6~7U0*K;B{f1W&&e+J+3sF_C>U4X z=0HR%5*H*BlC^T@TaBESpHGopiiuUN2CtQ}9&Q+sW?Rk%uYDl9$e6*t`pFRz-@BgR z^y4#}^}yTh+>k5@XO53NT$vzf_r_jsuA?keo%8xc;c9T}LDIs14z0(GWk{sx^Jq6? zy51gtL8c+XmZ#R3G+&MBdU{j@Q?1#aMMoR+j^c};76VCU2SNeb18tDr4-2hKJk`^2 zxzHpjD|)4+wxBRkR85%Y=FMSXVPXPZu<Y)FwhhYUM$eDmMPIR<=@0jHnuW&}TYN3A zmB-IR+9!s}Ciu=e?8vNO`9PHJX2Y4Kj@J3ADuMb_B&+}cAbD(7BoD0$DFN;>~a zHZ-cxkrkcChQ=m4o1b2APJ5uHWZls8xs+`uk97z6;`H$G=R8Z3x#IP{7lqMt990v$ zSbFNq%!lZ#466e55KQ)cMBEs<XLLE0XMC%?`#j)qPx}0ShByR15;~6bsBSr^URw%y zniocRi<>}dudA}_R_@(!ReH-kU*z__r^ZWGKi|INw$Q9B#I|T{Az%nop5-Q5>R|&T zZfLkYm3wcJORea0tK++v6phxJ1T+IRYkhb5Xh`g%m+NaVz+Qq(eLedXFrQl{n$egL zGHx2=p(fdXW@$7R>os;%h=r_i#lhr0?sxOZ;xi|d7J8DK-4k-##`__tV?L<aBZU4U z47;=STI+&)2o-=6@^iCO6Gbb2&J41eUp~jbU>FG{MS2vq9L%l7XIzEhDlROaYaObO zhLT2BPcEOcUSy4g@}TBi_u&{JLYtjeC|Zg06}(P=BcCs9hYgumxj0sBEeALkcSb_> zBC4mB1DHadw;a%2w2p)(BRyWV9EctIy}3$4R1Yo(KtejwkC_FlXWdowZ(h33g;3LW z;8L5{d^%>znD`Q^`Jsua=&-ut#TyzLSOF(Td*G|HFCi_wC+>`A`5&G$ubNhyyS(RF z*JPW2bFFUJ?8=RJc+&2d`1+09eNx*%A;0>uo{dMJmgtBzuj`buf}T64H3cU<G@kS+ zW&w}?<VQVJEwcYQdW6eyyXdreX>8lFYkL`0Ui-M@^lnA%=3Q;8E0>OxdxCUz(+$5l zIR!p)zPj<FX|FwUf+o+N{L=+2VM>}KqY!6*;SAr)32?tm8_;N*oOg1><)NXmDVR1! zh`esb*`mnS@)Sn3{11(5?<4!60>8$k{`KKhl{NFWK!9D7g)~|Sc8<)D1t(buP7g7% zo|>cXT45J3``2EG53~B_S}=8vP~a<!3zJxFCXxkqTF`ZBQ!3CZDXDg98=PTJYM}6c zv!4!yu`co^Fo+84WI(2(cEkWx1pbY>OHcFCIOFZ$_H^p*y7KS2<7ujNPBN+D2NZEx zkN61Gbb=(JLQQa-AK-F^_J5vEO`}N6s=HgLZ{YsYX*!jWi$Ti1MeS|LGRDA@{```U zo_HNDplK?40|Ps4ofI)C^??^u78fRem=xI{*=Gqs+~S*=9~Uf;`l83$mMDc+GWX*g zzrH3?pfu)p;bfS%O;N*!Q$3KZ(ToI)Z(5QygCWmAXBj+`-H;#(V)Xh8Cs=X|V2EiJ zMnWBcan1LC0)ZK^7JrcYfT05zG=C5Sz<BT{>z`y)f07vjQ`vwR%|G{976h_?3VG_Z zSaZXYX^4O)2v7>36>(y;{;0&L!qmwB^(CYQAXcG`4nRUW_j+5p|K?F8kx+)eulT<) zuInP9iv@m`oMiJw1Xv<8WN7lKJP~}*nN!Tf6YxOucEp4h4tNDrkWL6-(G$ZsSnzt~ zH5Wkn-d*$d>V{MvnqOayWky1OO~vMmsFDAwRB6wsSPO{cUm7=KpeKp(E@O4ek<e8> zig{aQ&Kk|Gd$43n{40)93|KPc-lc768Vb?`aJ*1}$7kc8&ELg=yOtoJk410$_;BWn z==bwOB{1NUwl$g(p#QD5dseYH=}?eSfN&)M>aXe}psW0BHL(a31PO3|Z-Al}0tE06 z$!8@;*XH7%8EfLeapGX4O62HufKNIDw2*;)zE=we{t*aV0^B7axB;*$fu%$NOSy*w zmjp;7KqxuXaNyIxBK?3-5Wp`jfCzbWgV`}0^d!(X>;daY8q8lRjf6HHYfFqvp8Q{5 ztb}Vc@unwa{$z|~+#?}>I@<=?hd(L<bap|PzGjZ_AsFM5{hu0E(lvvQK%v>l<~KIT z0*fPH$$BcD=`cVkZ$CiM(dHp13=z?{L{a`=;0BUWmRvyOE3&`_WmxjK>e`!rOt^24 z&rxEu1UB5)CP{NEdOj(kh8S#XJf9R@(!<BA$)geOYjgTXZ6gwY!ZqmqIcsakz{T|I zCdP~bJ9)=ZUuL|9Ym`G8KN;!+KZ*Aipq=`Ixgq+$^PLN3YI(j;5x|e#Qfhd<Pyhzk z&BoVgESMhmDQP>cDLwp{nEmYAt{VE&T-^MtYKmGOs{$Ln&I(mL!szbYdX$5Tcc(x4 zKI1@cz4c#eyQjf_n66)h&zIer(LWVtO$62zOtn$@^3MJqpBr7(=a_YVw}sG5ebF96 z4KefYV7jVfW)5@pFX@R9kI?ngoq};^_LStZ=bf70K8B=zOp#Om_7TnFZFfd4Ck}tc zlnGT!8gXaWbf8V)kkI}1arr(VDnMw|$CL%YZhr%4z;^|IrYLFyc-+U7U#uw{Cz$M- zn>WDRt2n02a|$`}12%8FPOWbreNo>(xN$RO3Xi1h^Z-i1?~7C-#|bv1V2eEXzb@e` zi4(s``!vRX{B6r%Eob|uWr+7Mn_(W@Q;1VNIlONRq4%{$+W+ueX|@n6dYFCDDwenh zlaI#uF5S|98K&mXv=CIW+gco*nuxOejq!`)#efDLGhB&&#mU;HNEw`#(Z@L-;a1Vs zWh>(st`8^Gm#o~nW;ZkpyX5}-WNX#u;Me7wWRp*hr6Ip|9=Nx=mV|zw{?PvZ@z|yK z2KCg0&xwSCy++<r;nhzw!8G^OA&35SE!xw&VLeZO1dAQPv*#v0LxRb)AsSEpod*Py zbz>i#7`)l^-g)EhBg=$Y`Wv^jyrBFkYr!5mXm)2f?RkmE9S_q-Rv)t^z{NX-a#9;_ zgwwfoY)ig^HSgZHlFgU~`MF3NWG%?JeLavd9Mb52!Z-Sw_(S3O75C@Pq0XTMlj*6= z-+fbmdD&GZRj)Cj6pZvb_iY5c)OlY{fDCRaYhX`EK$OpF?`ap>`*hby`8LdDb2V7M zA2B@mph5tRe!D22ge;xCC&)nh^pVi4i*9;(IUj@e{!4pJUk~Eb?~PI8;lGrk61(Kf zietVOTzH0TWk4Pf{b=JjdMlV)y^zNgUmVkaesjBe6Jx@A>aI<!{*sZD>D@|#{`;zh zAER_EDf<ozxH7iG_e9e^Q;5Ca9~||wYH;yZE5qv>t{H5un4`2P=}1+gyRJqw`K{^H zX`L)rg5;lnDEE_mzf+JBc=vTL%0Y9l4##$K()uY0RHrsj`y>z3!(y$nF(LS#mWd;O zn~8bjz}K}AaYJb9vAwoNA)5)2v{`wx6j|UmyTMA~bjM`|3#I98&x<R;4|_*ycBQ!! zJ;8!>Gr>$UISri@d$iXj-5gp%?oSMU^32H?UwPi-Gm<I<dQwBoEg0JaJ`C>!t-9$1 zS3LWz9%$KuK%&0oKOV2JT`QO4ox5Lu$q<DTt62<}ujrJ<EjF#3s;O;37QGL=tL6ND zF^p#zv{mQtxnKSo3i>_*DZko?@V(-QUZX-7;Onx<{<Cqx5W99@e4e)@`*tiqh47Xz zoG-DD{eAA1utfRJXS5oMDPz=br0(@t*76>&Uf*TY&+k3!4`n?AKb<Jj-9qVqR&itW za%8SY>>UDw8y|`lZ3fymnoC`&i!ODz31kqv9FjsV55r+z*1c`8Yf@MUT|!=}SMgHg zMN7~HL&VHxi513_K9{!3aEV^TPKHg170#3wt~P1ZFNcAE10}=`xs<<$`vo0cr<s56 z%y1TC7y%KFolM0M_3FNN5cisY&yhUpU?4t>|6rg?#51s<f6uw<_7EeRco)xy*r^6v zU^AUsX9g9l@Npd_2_cd!R3SA=Jz6VUT$2<$6{wj&!mj6kMJpcvZkU+)Vw$sVC1*dD zXXXJlki4K^S>FP=Z2TZ)GCk}dmexa#Rx1y$bCs4Gmh1+}%Pf77sa-ICozitb6AGxL zWzShy+8q%#9PQkx^0Ry^0oTk~D9Ul{Mq72ezN<Bzl?!MZ@}Ag|sqj+{Va~p#&vsrr z{dJ<7*V=woocX!lJ#&ku<C=_Vd-zvxBvNblQZT->`>RT`H*}cReNW$KKg=N?j_n(Z z_Nzwjv%yzf^5OHA0TjM}@#(WiW`~AkF^uI+`5_`;o!O(;EPGh9@dy&V3Cfk%bK~Zx z>IIdz*-XX?I|!c6&g+*CmDWTEej=HT#geH9+a|j|R5+E9%x<WVkY1Rn-mFd3_3Q+_ z)TDM3HEyK=iS)uO{5+Y7#=7$pNt66h#m7$q_By`Wqz`@V0ZrV0B*kL7rB4X`MC|ii zU%OUci79z6?&fZkO2Su?FHi%P0jYU-Xut8}IVbpvM84MIZWw-RYR5kQw#J^H9@4$3 zW4|fCZP4-@dt$|<`bu$o0PUw2xvvOcIadzo>e!dbhj&|^yG-oW&fO6Dk^<8S?yOjz zgD3VH=5CmLH_lpr^CI1QEYA%l=+9c4RMI<B_nkyiv!|{rj*qm)MrZP}&D;g&KrGXS z8B@)moT_S-6WtT}>8B;S5`J0lr?hh%en=XWX5Jb7k5D!Bd~Xz8@VIj~gj=^>hv-aH zY`(V-M(Tq*Z_8XnmVMWg5XFa6`ad)d8vqWd@}oik=gbLzyBAK41NTK7dG&Wg_F%zx z#RmF=McsHEvLbitZD6D_8Pe21bi{hXU0AZaXtp69Iwf+v|I4;9^E?Mq4njY2^wIp| z(L3U*DphwoQ2;|h=!wqOkQ;kW6G&=%1%Ntw5euH~kSw4eGNRGlkUR&%NT^~z6&Cz7 zVnIfp3IlF`4%Yqh;{`o>a<~r|wzGjH6KfNpy`YrXaPvVN6eJI0J(Gm18v;^LNv;nM zsfmq5K|mll9Ua;lR)fcq?WBp!z2o}7(P0nbpUB{v!7rloy}bYv(ue_)+5<@iGU?`f zQNS%6aZ!-p<T&sUPW-crCf4~4D+DY#oDcz3qyj*HR{XO-D>C%t5D@vB3<rK~L54oc z1)?leYGUJ`0dzToZhnK18(`HAKvwQQ#-BBV0K-#UtVWXsSogOJl&usjnF$2{2JA_! z%R~z`K*sd{wX%Ox?Ef#+ZUjqK_+3BNMp&Z>;aLnKI{OGvX9yB{EYJ;+|ECiFqY*L+ zG$HqYa?XQhFyTaz>morj*l;w~##M)NB$OR?Ic(WLN9!dTsw_68e@nYz+0YH?g<Y0g z`gKDBBG+-N@4%ADZ#4%k?Ykkb4qGlX%W>e^HZ_Bspnp|(EDDoJAgHna0215{;WOU; z@EHj`dxvv2VTc7+cn35QG8ulp{1|6+xTRx%x|t{lDLw+aPm2Rz=D|OsqXe`7;aM|Y z6miaj2x#3Qj)ghyJV!hVVgl@dO^qfE5_$o9&rJN|zY+gml?7oBNza-y*=hz?=+L#a zNa$yvvNf#pwrxQDq=5omU;)Kh{!>~^z-x{M%GoF;Rx`K-oDEw6V9eDTIP^MX=ygYb zprm0y%=`!ttOywR1PCYyI62rr%6uT5u1d`y2_VdXzXaehtn)<}@FCPp1eA~ttq*X1 ztSS{+qX?+?zbo%QLBJDVgfDhlRE`O!@+!3GgC(<r;8Z|s){KQd68)DSqyNdMrk~$n zd~rvqglyiHoJgq(SicMG`pxr5C<$_Z$AfR6Igm&@+)QjL8L@M~3vAP!jN7V^w)1dI zvIK#XzvZm95~6=NYpAcmPx`@ECHG!Te`XOH$wkRB&L<K)#qJf=PnYJile~ZbQCf=- z^6Ya>aR-}Bb@2=q`%+SH<1>$?<+3;X=>Cqb=0v`!_xVfN?(CvgFE|*-+}yE$OZG=s zwz%DTr?Ah(O2a>P=p_ZO$$e4lT>f&fneNf`>r`;N&REbIK3rE42ybgz2_3!HJ8aa} zV6B<cFTNF{P7}ZTw0uRl>_#QL{wS<mLiqgt*f$M6q2g>yJ^`+8c6rlz{@ez~n#KF| zUtQ1P9Ao}^eRDJhj!)$*?mf7FIFC<z-1PPFH|;XBM>axZKcTwC`EQFmjg#NHqW1#a zwfT?y_}&j@>c5?~k(=3FuF*|twLLO7ee}5&{W3$qKeFt(-!R{OuK_qkZQsDpF~t_1 zR}aI#2>jG~??>R6oNbc#!c>A$`W~N^UUj`J<AK~K&o+;dLqAwx=_K)gRlPuakGseA zlTx40(lZBl;SKKyqHhFjt*zjK+XNR3LSV}$EbH_aKbPl-^m4589))-}4%x^(ebI|l zfKVO6U_IT^cist;I2aYEFp<6te9>P$8Ar{hkP(gdK?_M)Bkdm1P)mPXMUq^dXyH-S zpdT{xm8i?EE0xiLy_PtCbY-#Z&~(O7zsvQhK}e9#hfBR9%r1WqW=kI&*Kh_`Qp6q) zku99oHOBdgLA!dxP6&Z(7>TRpdrObGu=3EX6#rYoQCF?0O9wx`IcEnC><>RRB;op* z3n_&Q<H!XNM^;Dk2zr092<Fd|!HihB{C45qaX=)oB;<HGqvZ5|<g>umrBo?xlE*xA z?3f&pu2PYkvFGJ{^Avon;_8v9?!e=dtbTQv*Yh^MedhoV`pnh0rq_bT%UA+U)~@TX zS5r05eVDi1aaW8&SA_DHN~+uFeV^>^x?`=JP3_hT1#;k5*HJg+A0-*i{J3%yV0yp& zBjty!rzigOJIir@`R^%1DI=Uze5{oQ;(VVvZeB3!DlSr*&HT=moEUrZ9jR4gqX_wS zXb|i&!J16{Gw@+IvV%l2BawQsK!@=$EuKXA1ZxtW$#rU_Eul-VhOuOM!|%P1Euzg3 z&{RCKsb>u=>gj}Bxhr4vvxn2t_MJr5Z%N1c6j5}q{Er8Jv2zA{y?ebrDow<`%t=$* z8k><-7_AYV!lbSFox*snFFJ+6t-7HWAYYeLzo!$?Km7=|*)LnEwhJ#?b@F#V&vB^) zyzg`u*cP6e`;^~iZZ${R+Hl#bI1#FBm5#AOec39Mf0f_qPUp+=dCmg2m2`Kv3dcg* zN(QGjd3zUsq|&5o)kN>R`_m6Qe|L6ng`_oiYR7-vtf~{2Yu(5cueHRW6L%)t_oMd# z-K1hD&)}-}5MFf>aalYvGw`7m1Cx@~jqR$*lgoNy#|eJx^ZFomH|sACklCs$Mi-ps zTqS|+G2%a|GVUx*O;Y0rg@U{tyr3jwWzd*bQ_YHhAG5jZJI`Z!I^#qbc2Cth6njIa zKj5i~ji&FiUS$7x_6wO~5mOuRdXD9+L0#*7(5QEXC7!Wr=3(x0aP3S6xHj;><0Pur z<wiwy<%$&XA?xLbxa!^r4_7zPsa-|UjN+l>D2wFsryoH=_5^|K<%!;!*|pOvR92rA zZ#_GIKr*+N5hAVp--!L9jH(iYdfjTZZVKY`6}s$w?A%0Ko%Q2gRyW=GQZkxETZdRo zWF;RjCSa;oE_`($@?&t9=)`#ARd%Vu`U8wd+`#f?7*Z^?@bsNb9JXh2ZTaA<jFkQ2 zMMmVV+@UmcJH6}EBzLLiBIEx0syLC&J)-D;#N+k+g-52ZVS*Dg*4cR+c&aLSyS#yv zYn8tAww6|pZv^eA(x(swk9Z$nZ<kpN#3`*wJxB5VFf{)WqljU*`i3>8(`}M*ti3#b zTu`rGCXHv&776<6fge^q%=5t?W0Q?tV?z8-jSIV|wZ-$fbLAh`>P6w<9rt(xaf!Zv zkj7|sAA&bx4xqh6>EVpp5^tIk!oK5e;~a{#@3_2004Fy&@(2%gu?M?;L0(;N`%FuM zlytA$FKY*{`;@svbdOP@gH}8IlU)f5Xv#`Da%c9;Yk5c&cB$*L+#%K5h0z<387Fdr zPj~w~=t7+DqUEBI@RvB>Nc#Q6(BCb8AuoELFWA$iQ<V(96@_v~?}#nJ+K7o6YT2Xl zicaYgvyNSwC*+Crbb^Mor&F;V3QN#3lv3YiU}qZ+`6UV<T_tNL=OIOQsqgmz2~cw$ z@_06#%JXhIbx`HvzRyDgyFffYL*@VVJL&)R3u>bKlOSd(rwL;XffTWEwE+cx${_Ug zLq*=L%;o4H?qr+XPblwP0>L!LXRzcIwVFXvK(mmcAYXV8&`wQ&N2UYhl7t+6<i<X~ zVfN=w;6OkdAXjm)WDg+F>QA5s5SWI4*8C@!o*Z2p4`@DM5+yKc5SRo7BpI0C2#Dme zK~;kx#@Ri9|1kj6252dAG0p;ibN|!dxBmv({DcL!V~q*Rr>M~c@hk#_{UZ#pkWg_- zfb@R<+wU>_8{_akHvBO--hK?pjRn6ZwK<E`#y_j+Nei2|gC)<cJ0FPAZ`cr;?{siW zxM5EvXAq(FaZ6aF$me^pV#1%Y*9@A#E}m>+!tK_#zCK$V>i$1CUL75OI;9_$EC_<z ziOs7rGWq1Ia2UNkT;r@6T<|Qoa!DjP%iz-n8W}R+*3)3hNGKD`s7eZR-u8~H&MX5x znhl2-t<U2GOQuJF&fZZ=)(pxa@Xy|1u9uh^L_nzm@t|vg8~?xWRJeIAM}ofpH#ne6 z{2l3CGiXh8mcb7YsMpGWuw)GtZ1{C7P%S*5S^+?{Jb+3K17Zb~xC<z6I5*<2uUOow z;lSJP0d2$^{4?1HfKCA>VUwf1q5w?`OlAOt9}q%7^ngS-z*sgA3mlDX0bt$j{zYZ) zG0-W4Kpz0K6JEr3lrYg^Pdxu~mH!1&xDSxhzKJV-fK+-GTKu7Zr~s)Hoi7r_L?2oH zOIiPuktbObi}z^j2H1IX{20;<GPIW%osyGy%^;!Z4g8guuqo<vid*7FQS>I^Q#T|% zV#iSj=d2l@NXe1CMw5|F(GF5Gh;eOx9c*R~OU5KRqw8iOb$c<0#DWm5p>&sIQ*AMd zlt7}Fe^foH^w33r(ng(-)L|XPi};39L9A4f$hdy+qsH2p)pB=R#UaS&<aqKFD{OD| zPP>L`eg)2w%tAtvvWYD>&VxQ}{M4HJWV{S?cGMXi3l5J(<6?q>lmgrP9b8AiA$jeu z;*<%<$$3@SvAC4g@_iqY^OmawmXA{5r!p}y?N?z$zU&2mOpW|+qFCyTBZEk$uptN@ z!?)T(2q|G;4Q<zeYsCbK8<+C~5~PtgUHwZ&`Jyz^Ar%1+O8ok-{rPE5a!%^<MWd5} zuSisLCa+87iV~x&SuAzG4GYprb|x51T!_cxCW?Hx2N+vm2CK~##6Y?UuTw~700H3T z0Nzsy$<C2~Ai(ef#vLN_kL)-91Tq6iP#fS0lL6!6DjUP?nm`h*KiSj(Ll{8n@foa2 zOaLdH{FgY=Q-In3ad$d>Ur46P2%y}qQ3N5G0?q&CYjFPr<q39<ko8T781<yn_dGiz z+Z-mc1`t5$tPOvY1rE!#4n5*G3;FW#>_7Aol0YASVFCAj<;bjiF9KtS@V-_7$*h-v z=)DI+k*u=1i=1SduY~WHZl9Wou)LLUv-u1rDkydfHt{=Qf@+RuF5Qul4mb(R9HQE~ z@m|jMUdTvd;~fGm(be(Y>H6|U^5(*84ZgQd`fJr{40CZyfgtqKvh)1v`!DFi!%Nj7 ziPuhlDLJ1UT6A>fI=o|<^f=AF%}P6pr!`x+yK2ebV0!fJoU4V*yhJo`EjIGlUw3fi zIBUyKJv)0`l>ORBmN6@PXKzQkMcw)3)To(R&gduK3Pmm%xuq%Zp_F@We(vw}h7%uE ze9+Nty3d^UQp>cm=Iwym16_Gr9WTDs<u%uT`;rZVX$MV1mEL8F`>xvcP5equ7N6g2 zyZZU|Wzkeg(Gj^9?KV%^H0qpBtE*aD_0gs|L-ngg$|ysvwM03^EUIW#w(OFr@MmXt zG*&r1SkdK4t!Iovl&-JKob}$`K`-U!eflLoVPEw*tpu%B@p(&40UuksVUd!=g9OKa zPtp}c*GSNa$gYd?cvaPBKWEZFA{FG<5Ws|?V}OOqdNEg8jtcH~s+}K^%KfMlyg*)B z?VCQxe`8BJCtq_!J9AOUsJc2)G7&TSQpQ|pg#uswv@mEk>EkBMcjK74s*X9)TfwqX zvQ>=Cq}9jKU2JySdCY;<_MK5c{1Kgh;J~M$MCEB(k{`}cqj!)NIg|2oa38p4&^UGD z+1t{NR0}$D5oF`jd>uyvAK&+=B%6Aj_wg5;9&Wr9>2HvPs_v$Unyglx)|MTyzlal# z(}&2$Wzh!!acZIc96!W|dRWDq+Tmy4OGhvr_><kUQ2e>T%6=rrT(;4y)sgUj8<gnQ zH*X~BCxv_}2odSDBk?42x<n1GYF_31rA}S<S61VYwi|PKT&1xg<m9R&Ea3OOBRR5m zVw^5QL~<g*Ey|Evb^)R{Eae^A3QePKBkrTAG$pXPQM|yW4ZWDl*~x{2q7E?Dt0NCN zf|;JW6vsb{)uc|4rtDx=%cHn|TQ4Hg3RcUb(LU8ByJ^KJEWdV@ux&f%Q9fm~L9c2b zGP7Rjbb!ZnUAUVWaq=eHU@VO5el%J~!nip;$@eGQ9?OMNNA*M!Kbqf4N8~bCy|-R( z8{$x4?#gZJf*<=1_n}|ObMv0ZIKw(HF>^BXU*e6JbSFj9fnL%z&qYOlJQ}%Y4Rauo zCBa_7!wzM^4-a$AKfetp_B}KFKo|DOsKv*(y;w*n(KYV8?h0hTVY<G*<DZL@nTM6x zMzvn0sxeFj9o_QNMn6A}A+*;9XU?~&xTZk*JN3ByIR(yy$;>J=Yh}v%_5~COZ6sLF z!i+1&lPYIRtEy%eU*CIw@H~v$ORh}{I8Wwb=ldy7d6aFHoR}~ZuLdQ56lb8MxxRBZ zo0DJOCu?PNaQ6!Px+yGGv>OCEjES5p8f~Kt>{x7PTl@L+1QeOxqL3_v!emv14GF8< zFw=|-?FqFt_z2)dGkfB8OqDrV_<lkn3`6tKV@$Q()OpZa!Z93w=ZGAJ>F(f>?Y@NA z3VhyCiT~Q0`S&Wsr{CxYzM5Ifdf5CP{^*L~k1Z+$HV1c?V%CVm@`y`@9Nk)c`in~* zl)!}#??g0x3Z`GZM}PRWyL@splqgWeZFR33{PZL%@=5t<Jm!Ie+p5u8bVHC?1nuPJ zr|x*?ldOm*rK2u?IIBX7?fz?_%5UZ{+DV;Hend8zya`}DRD3)7D&pMQ&64rZeP**b z@|@hwk}!~EW;2v2@TIf}LHkmD5W(7v%ZX{^T>hvFre%@zfkd}UE0c%S0)u@zItKb( z@Nh_^MT^GVGtrkcp@y+&|GMfOXmt#GQxG<&tWOf?l6h=@8#eZOiN+@vn!K+7A4gU_ zgM`w1)OAXam)u$DSjY>dT`nr0WY?1j%K9{=o$GKc`KmNiVf5Qm?tg&Pk0ez`;WDWO z<08NFfR+g@F+;t#^5eNbgm<7@n<({N{Mwg%c3EoFdwgAWPkw{}dG_<Q$2g_`3A%oc z3lAYJ8~aUv&O5*HhV{Y%6AA6a?ja_r1sF7LVIoPbxNs+FArC(HWgF|_H!^|w4L&kA z|2Rs3e3L0mvgy_gT9PSzV$-hCByAT7%l8aHL7=~KEu`{wFSk+mS)jDsp&1_{n6br( zIK{|n*z>6*9?><k&fBsOofh2fz=K~udJ9Xoil;(<9|@!&pkX*RR=m@GHG>lQNBwti zfMJBh{Ki%`3Zk?@1kiWKnnArlBs7euuQ&QG4%`<-JuQ|5OBQBAPevo5t)4ZSv?OP+ z?yE|CUZZ}0-}V1Bl%^#04iiYa<yA8{B|E<%1Bl!hEV<eT1P^%z+<+W#V&#y~Gfxm) zp^qMaeZ&gfh!y}KYXEavCpv4+`}2?YfMuL}f#A!-Km;x@1uhG0))3%O1C9ugOyLvY z-~e+sfY4+hRN>F4pks|D3z`o;K#87=MM5uuRkAdyoLf+#y<Ysgn*Rf|DL{=*i4^xO zuw_C)=*=g`)?mp*BxfH1HKLA!IKKNg<^CsssLKWg`5jeuLKG{Df_Oy2-l(?2l6_d0 zg^hs*V%Ai^c%Z+_IKC2A_{Cbt4S@@9Coa^&Ap{sYelFL;n!zA41+#2ea#)~M$ai2* z=3kYTc`ICc)oA{XmV?N_<U#x0-R)R7BZJ)tMD2W17!%3o<X)9aFIjwShqXE0{sbz2 zkb_M=Cth~+f^DO~NB#>p$c&C@+?;Iiw26U!twVh$rd1of)g#8=k@B5o<vag#CIT!` zOpE{$m>54ud3IY-qu-g9SK~WFo3a=`u79M>;{?{Tj>{zdOninpLb4+9(J|U0@tHrD ze&AwLd(!_-yU}Ohoi^6jJ7PAEgV&vZyxf_@_&a`aWHLP5Dm(pZo@SX{-V=dKx80}5 zC!nlM?%+D8EC#9?tQI51J`t<Izy^Z{dQ9m&!x*rpBSku74~u2ODIQ}(GxQ4|M94)^ z!fq2OVT5~SICTD4m(Yha)Z0IBs6;n6E5}zPC>(g!lp;osu`F^Tu+!x*lcTJEn@J;n z^oz!NcZXrT{)RFC9qr$VlP@Dj8KLXRjd7DEfw?V`O`tpo07+f|kO=_1j#v`{0H`TT z8DY7pjS-9lKs^8m{{}eE07n#v*ad*nKY4&@9Rrydr~CkTe+(zT%LmAlD1rIWVM_~x z!H%}=$XAu<t9zWvGO<`(8ym=fOQvs4eTD49-ZZhw3fcet<w+T1AyL^F80SX}FD?p< zi;l^_?x7`^B|d_XSqK~3cK>7zu7wp=V^3<~?8an(3)2awiM@pIJ5}=C<APWNGi6uE zR1Z5ZkR?ZcszmFgV$40Gq^h*iO8@;ndH6i?&%uG!>qVOIrN@6W2zU;ENZdCAD;%5i zjME<mcuJGvy60!a+53CNfN%lQ-@d=+&8p~1(bECNVBGf@TwjHBJM3YiM<Lxtt{`+1 zdp^E|V1_<|9`A8p(5DDRB0JGTCeZZQE+)Di(haEVoosC9t)F}uQe2`gBt0I-hw~Ld zm;9MhKbNwe)OCFwb*oE%O_~d^;~9D3b$ZXjMBmWRWZl0lR)e8iTH#Al$Tw<d7C8yy zajb7I3`;?~hGD<UCS{J+cJ;4(xne^ZT+@0*kr1)OUL&~#x3u2*|5hO>mEKYd{GW{O zH$JE{d|CO@HO;P^(~RpsLQh7Ff;b-Mut+_#E%!yP4QhW~;GaW(91iN(06JouN83h@ zXb!o}Gst$?<#O*y=->7dHZp(xzv*CCvnTX;o^87CwU`+w;LMR}Pbbv*%X3=UP8$9D zllBH&Tpb%Fcx~G_&vdfa`v#KV7W+E4l~@cu;?d2P6%$*R<K}KWVwzg?TLH77wi^{^ zNoLb@v!doBp!u$U6w3#T_m{`{J3g?h?EeyMa8_it`WZgnvUOqk+t((*xC7L-;NtR? zebQ5b=?l&J(fFnDG>b%E&$D-V$zCqR%$TaKW`bkqo|uZWD>E+JNqvYteMQ*4zKeH? zOnc(Kje~(owCLoMTG_d#Bnj_1!b;h2*`YxqlyV%{FMuw8xF=HW-bG9N!S|v)HdWT_ zZTzFxYK}ZC4Y;j=p;n?bWjX~910{D8{8Zq#_7ZzJvmM2c1^gJDsh$gjRXpNNa7=af z^Na5NemDcsXF#k&R>^iYH$tXi->)tn%6{%WA0WP)FCQ4L;7}t{GeOhFe7B3BU`}-# zkL`5Wo2b%%atXhn(kHSywwv@5)HBRDK2ixUlI1lV_GZ3!cgA_8<L=MrC&SV0<ulYX zY3|9klv}k6a_I|C%MB|on+}g3uWw|Y?VCR;w#*Jc(O9nr6W+u>v|e&8V7GdQie?p7 z^DLmDEo}Cr7p~x?x+S`4rN8{CTVSK`{;^1!L046OY*?kS*_^&el!b7N-(BNMre1em zLirrhB`j+{Zz8y@{(SH7_+4Xxs8CYjxvkBTQq9}0p3UT}>UGt#<C~a3*&c#l{c$d= zUu_I5CY)sUvMh~w)Y=Ky_Yt?#qyCQ8>{;~RS)%<(oSLpa?`0LNSfsl*5iIVt_{z)I zGhQ5j?Da)HMaCS6jFW2r65^sJ_EP~1a&TMIx8QLvUrFAbEf;A`aAJS<Fd^W0uU0Kp zhB#Zl7mKZP5=-lzmo<lQoXZ2RbxnrH6JKc>K14qcu}%h2gFM&6%-^8~@xLNSX#Hsk zJ-W%9qSy{GvR_a6sIIMH(PQnIwK4W}-Cgm2%8id+ygKgavXVRb6=>1XUsttiC%%`r z|Bm8o7Tdn~5vOiA7NIb&Q(w=zb91136<@_PZCfD7zp7oAP7iPG@oE|E;*5o_g5hQF zGs|_NM)ivITg$1=r(QcsqDvnAxI)6)p6lY~#`?VNp^1mT-OKqBcsIm~gY_F0m+j7f zq=Q`FII~#6=1y?8w9#XXYo-Pad9mj4qedptcQ6YSUEQDhv{p*fa~aSj->oD3P!YT< zRqR>$By%amJ$cmS#H-RFZ)Wq=eZ}O(@0)$*0|qaAo@M$pn=aW=9;h0{4$giP7)hpC z7)|~K9%C=p{uSwzvZXvSexu`%>=@sF%T?a;n~Jkskn?2G)ULTk>XYcJc12U>SZyt4 z;f2t;V8eC;pRA5I-^DqMq%xx5Qdi5vfe`Mn%Bf8kf3p>#eH}B|^3rE*{p)8#Tqoa` zI?T*FH>F$>pSYi&6ilR*hmMjQ{9u+Rq%ATqvXu@OYc;bE5X(izdp+~ySo;uvWM0<_ z*^Rf17F*yi$NkEcVLRP3NU5vq^S)V>&MMM%nDxy_%;rcjNaA^2@Y6SQ114rGkd|ep zX#v7s3WVH}sh!W1!Mb;w>%bhFnUtM?FNb5puP8WM%Ukmw-n0<H?;aNY?x%OMjaqQb z1y5~|pXv`rG}RIgxdMNLyvZeha6cx+4*}zJGGpbv0f#t6;tJnpX%V$i_c${$9#7-k zb{C4&y^72cP}ga|Mfe2&a^Y`V5!d>tNLtV}qP8t36Tled?kIR#N!F@5PD69e9(A_6 zfI?VBbYnv0jSv0tM|Lw&i2E0o?Hy$bf*F0rqR(n!6t*bN0mS_T+*fpeU~VxQZJWOa zOc1zjCOrr%YXa~pih>4BMw`zsXN$^L6P)KF2m3$hL$s?2(!F?&d-HYCq#uWdi7LmO z-q@4O7NwGaizh}y1rBg|s1k2R_5T8JuL2MYM;!Q$c{2w15{8d<1cANjz%imUL|T1_ zUQhlv!ze^A9hk&cP&T1|c1?5u(aU8ls%!kBhSgf72N8u!0H&bf6ukFufiXs!WfHv{ z4;y?iH|wDTsCLZ=f3=5>292E19avtTW2=v^ov=bqxDV8<N#m~!k<p-IyJaMza08TK zr1Hk1xL@KAKBvdx8qk4DL}|3G1v7e#MWbtBgtjQE0R&&dUjq++YSvZ+tx<5}9>f)} zNo{JsO4220mU+m*`)P5wc$_vUC`Ij>#iQCa_oIkl?wU0+?dB(Gk+`v<G)z6lp7^#Z zwKb+lLeu5DiDnhuLW%}<*!?|9=><JO<`<G-!&lAfwIWPCou2qtJp~k2bkX`g#1fr{ zx@d5hJ{tTbQi1}1Im95#;kY&S(H877CSa~>?wD+)z((?Y<OHeF*5S3OrEt;o^t>Lg zBomv$<U4Od{`l)&eoe7*rv3_Vst-f@BmA0L#`e#RxoRKSr1kMXGo&czG`e5$^4|j4 zGDdQkdO6khafV6CFiK>FHxa^Wkgl95dSXt3n}~<)lsF-OdQ5ryXF~0e7k)=1n^9&= zw~Tln{|B-_4*3dk;xF+^W&Fv8C1T~;wnmu)72=5|_6#3}BpuS8j_^-q{56nXGsIHU z#~=QYqP#ts%jlO7{#C|<v5Mm>;B%aF)(sszuuJ=r@{zwwD9un;wHL|HZTuZyyO~7* zX_y2vl0%q(e8+c4v#~A)QP(%CA3+j0=yZ}`l6*9ZRVvX8AqlL1UVK;_azv_YuSV!T zdDw6SDXk)pFrOKFGU<M2GX#z>lP$_C0e4W0hH22)vmFPlsP=CU+`j<83B2Y~@Kda? z!2>H2Mdm%Mjl~TIp_1Rt_aKxaC-lnZy?v6Ew@c}Nz&Bw0oBHQMf)_scHwn*~1uqQn zuPgp&0sLzhY6)Xe>@V@*Wg}Me*(hUCWGxJ2iy|68&?n$Z(19I9X+~gz8Nh%60yocw z0Ib+cK@*b2B^XH7Q}yzvh-=s*b{YkCa<C#BIT-Rf4wohUIWDP6Z~4MS^r)@qdZ*bh zRP7pn-2lRt4xD5y$V+o;_zXCZg@1XnF}1KxbuRwtHCr4nl~^z>425Tn-~QwiAx#MI zKs$=jTqmjt$`X{;HD%}E@`%x7_ZfQv?-Af7!lPBQc7Xq{yYg=UR8TKsaP7TMR6!1I z9<tlY-qR7a#TJ-an}ZupT40;tRu{l@caR=`e8V24E86&B4NTUW41^}%T?>oxr%}L+ zY^wY40cO<4U~hvG96*>P;C=x1M~r3!c$4)Ti^kW&Xl(xsEKmprz6LfZiA(T;=XK56 z069R$zf*jdG%1=8Ltvjc$ibb!Uft@m(_AAT3tosz*4IYj^7K9JNB};cC{1>^u_xA_ zr}pk&e^0GNz0MlW0D_VZ{2Z4#OiS#)#!=5G1TW0!u+k+yd{_ha!m8K!&<{9Sgu34+ zz5Qvjfkz*BjR5bEQXnHg3K7osPh0Z$z!ovMlUOgu3g+a9l3_iJC)yXhkiid205<AN z)WjhDlHCC_ekh`L!b4^IRl=U&MF9Q{g{nOGf0i06&jy$iz;^i5-=Ag#b^et;G{{&q zwiZTWi((o;$R*(V(1ACBBL%$gdjA@z!U6L)5Lv6Fy=D_^zIUZGi1>~9{V=5R@0hrl zsOVozJiyn$j|Fr{6Hm1`Bse7+{XD^!mCCM|gN&Ez&OF&2_ooH%Z;>6P;#7|xKJ<!j zf9B!m-H%4psWjH9Jj7h^$Tds73X>Dj)BPQoeB8E;>h)3VIOJ?<(z~l;Zra)~QQ2a; z*%D(S@o3pJOX5SbvJb6nJbU)~nDlH#h@)w)mXDdl)Q6k}llQaP?WaAi<JGZGTe1YW zma=l6WMoyUQ#;r>Rn4DTn+_L_oDMLDe};rmT=(34q{`t~@xFO{?u*Pth#?EhaFI}G zNYC7_%d00xKBvB%GnP9pqa(wU6~9bOBRA^?oYYPIEyI#to54l{z7x-VCR{dZ<KUij zZsHoykcXP6SfaK=bZ%RVm(n&HTTciro@Oo4JLu>%wQ9D3>zN!|H^&%W@4jCjf7AA= zt>e-Z`t*&>r@_WW#j<m1%Bp%Ei+Wfi#x7=wgGU66fAskD{pNewmV{E9n($xc#GwpU zN7om-jTw*W_X*vf^DSG%yct^IYJR$G5%ng_Hh}7U2Dh85xw_G^ty=My<I0m_d9hbN zDL)wAb9nqM!5)=zdyCS7KKrg6e^Kf}8Q#UOazv0MJQii#_Mx;=6K&O`3k$PuG}|pG zZU$SJemjNUrd<!e4uPd^mHsBY^C`bM0e=Yhd43kv?W5@zh1XwtsyQztlySD~JS{=A z_758p@Wt)P1d)8=&FZ(P>naeBrzLZLkRj?-)IqbqW#w&p_7lFMI@28`e|tx2C*1)5 z2l}7*q?YD<9^h(3#oB2^X{f75X=rGSS-v*?WN<F{1GFvEUAIJjv5rOl4$q}5dqBvW zh!el*W2Z~g$FlG_!=oQO*%BN)I$bJE%_?OLXCW^ah?Pv?w~5(xf|#_0-p$NqU)JtQ zhCW)6&K(~W%~o+iLaUB`e_t;XiC&-OZs4u^sQqpxl$A-0ylrtNl738!(x7*JiE^NK zO+gva`@ZbjljZ|m#>3jEcBs`wjl({Y(f>b`y=PccPq#NLEl3CHU8N~VjevlmsDK5q zp!7gMdI_Nh5~&ds5m1p{MFo_qw2%-WAVmpHfq*1bsevF;LJ@uUfB&5OJ=b;4xv%$m z-Vd|Z>@{m<uUWIQelz(n`+|qYUC>#rs~IM_++RJ4KcBn|>U4j07IRLVJBxT++`aFl zIjC8yH{GO_+w4ob0!tG&r~9olnA74TnPm&SkzP&ZC*zNcKYhWzF5e|~w)+c=G9Bk~ z4dECjaQCH&g7~saf7nxEy|KXEOxZi8pnDz&E16K-MQ@({Q`#Q4Zh&YDn!Yz~n}eGA z+D~I}TIEWZ11;~9m_#jad5nSkkR8a<o&Pk(z+>nx2>H1<hdZ~gIDy;YOS>}WmiWWZ zC!Ih7THXp6yvL9OD8QZnET&P*TM2`9A94msyARz46}S)Ce}i<yuY5k~0MgWYoIwnZ z{5AP@`81|l3(;Gg$BpYdX$69~4_SjYwU!kyQ(9M_nUrw9aDR3VW2m*Pf{E5zR>v@D ziJifiXo;P}i2q8JIGtb(y6o{Rn7VUW=KQ@U=f&Uj8RO}`XZiG<o(O35W||Cyxmd+t zd8T4o$o<Phf8!2_`0M8Ciw;!`v$)WgnU>!Y_vB4KaGQOG)pK|E!YaADKf_wM6?<VN z+=`!JAGu3=Veh$1Kf?~fygqV!et~6jd-lOnxfj2{3b_~iW}aMp`#w{^WN~!nq)aL` zDo<(ubI7xwDP)<{s6BP`T<4y9!k^g6=YQTjT4M4Qf0IcnG8uLo<nW(JDme`NXg+tq zax;%AA`y_*Nc+3<8)N<hwQ+lyaDy;4KZI^V5qgRlGCQa=(+$GvPP8C_@s50`x;<6n zypgedREHu=n{2p@(2mqlvbSm&=;f$egsF|!j8i+Pn8#C(Mrj02LRvW)t&NhsSHm!0 zj<O(3fB6j^Vo?O6%Nv5LJAYUSF+fAva?~BdRMBh3S)gCsR2%RP$?ZQ-!j#J^hAf~Z zLAY5#K6KnReC@o^upDJWn4%3I-UM{hrj|x%1YW`*(9FKwTI{?bJA7DzBIv$mDD0pD zuWa<K?o2(LvIUgb2vNRg$Y>SR;d}C-(zY5ff7JSNR5FTyEN&_SXbIAO2eol&!x1o= zkI?syjOIffR*=!^s4UwWM)Y<yFnH0hfiYp~(U1W!OZFjys{kE7)Y(DFnr%E;-7$=x z${3+VF%wh(Ys65tw`&+d+tu%#H#V1{mV_z6Ap<+Yl=ToEhaxDwLh|gOZklc60<#k) zfA|3tmPe6oY8V^KP;<gm+bhO8FkZrx$PVgn+*H^oElPqwdq<Y6x#jT=aDCk}6|f`X z)Xf{0cTn@D-_E6s&{9O_K2TmcZ`@d>0<Vb}cDNz9gK{z6;0LJLh5;}H8zJvK8E<jY zIT>(v>oOHs3&Ulq6JXQkKJ^k|>e~?he=UmO^{VL}VG2BCa2KG%27prCumPaNC_z3% z5td#dRd!H=CL8!s8bp-f2sp?P)dbA+Xj%H5^9K7cz6wPUEk+vbpwzEypn=HXM>PTd z>s+Rq5T?>fkmf*x*@hJmORNL~pf?9<5?CA|!fSwX6JC$fjxL~j%E^Zu+o?ccf21!5 z0G+LusiuUf!B<Gz9h4DfiWi{CQ&eE=izp<}%(X0C=Dd+Lgnxu0xLnyNT-|Ywo7x24 zAWlF7juqafS2=I!4jBLj)Vx9h(cxmgA^#R-`)fod<@@Kt?=ftFa?AIR8yXLh`5!zj zE;_Y$!X)PMPw9B3L>NnaTp~;|f8Hb!rW;R8oDpTc%uT$_YIRvdfOzY&hP26(Q&>Ke z_opI`ndF|bdw7z{e=*S*%z9I<SA(@rfE&zObGo-h;$cL*@dFii5v#YbzrSn;<%_y) zFB<&|l*=lU;<;ia2cT?N0ZPWBzD3>*xqu0wt57+tFh%=eUKf<*$T7aTe}d083y(r9 zVahvSozvdiJcn3-uNl_N#IYdKmSz&C7HAu`3Maph^@*%s{kZcqwTiG>6!!Uyp6@k9 zzMKl-zdJUa15fkMA3fOwO}SzpSUeb%_o`PtrSpKD^Pp@oC3uc6ETo>6F|#~-HF!IT zXS$>*d8J_aY4G@oz$f7zf9s9dPZbPD1@pTfsp5i?UbKz1`^h(2^#-h_hhBsWOKm0< z4mOK9ULsizS2$TzQZuEpgjxmHmG##&b*a!LuNziR7*1w+^R&eWEv9HV&ynS174}bK z&yMbfnM>PleLUBrz9ltoxIFR|LXBRDfYTj1gb`%s>Sy>xgegTEf1|R<>k_Z}8tccY zn~O)!bR&>T6g&E*neHGmEBqodWwwyFv|5_N8r{-}5Nu9<nD+@PiTzAzNX+Y?QW4h8 zer&oa_~Y0W-S7jo>pg0@uJqF(qB;0pis_=7GF9zB1n*tyDyq%bG4B^$06(sqhX)}T z!M3t=L;5PQf3{kUf8s<xhuFg6rQwFiuo?PNwGM@aG8*qV(<Os(?1frl*_$hv82rf5 zS(!yOF*s=26iHP5<)+@Dy6eW?0h#OKeTW`OmOkF}=^yeEZ!jY>UoAkHcV0WqgJ0(O zFJrWjw^zb%H$N$?Sk~%PdqUh{TmuYZXS@_mqs<oX&ILgze_-c})sN6)$vr!W!N^Ul z3_Vg^hTKV*>6%Aj7o0CngBT^EgH6P$88r#If_h;V20f9yv@qkmITxviwIxJ2^Qxt9 zl$^nm_cr|zH6dhiIuBi+Ncapj$2MVU#~3=u_1US-xqV|Sk}z&6K5nYg4|V@9f4!YF z{V;C2As#+_f6^&tdWpQkisi%7c$%Kzoe>qy$&U`7;R_M6L1Y#<4>AZL8$y<*3(|4K zs4oR9bTA#%5j6m{#j0az%nSu&IJO3xoIvYF4j;qT>~9`)Ms-4suz}4quBJ@9A!0fx zi<<#KUPI8ZHy%1}bg4-sLbox^$)x|+obph-w^sOYe_A5+ZY*sB6kQ<0+w>fN4r@vA z=m=PZi%~@AS~HEoWyFAaHEFm3(g$IHy^(O3gcrgVP?~4MgLH-9x=1T5gu)Xy*++$8 zMIMMY&+Kl_r#ze-gc?!?DGS7-4yYQ1i5@(|8=S?1e2f=BJOaFuGj3}BUuOKLS1LXv zr%Hqme@i*Vq;1l+g|PD!%R~brRUYfooOc}g0?&ac!%FfoB$4wo(M#}i6j@42oIyX; z8w;jT*^!y}+lZK8TW(}3{xo)rBD~t<qP<E_z_+LAO43gw>t@t~bOq^JNbpQGLRWgA zOfl@+%!B4UPTNw&X;b@g)25O0$mjp%nhal2e`vefuZqY3Q3!?>Xdhh=Ekw7W%fzYl zP~9%X&_#$DOLSK(Ck4VP+D^qF;wY*Qx#r+ZlmH6knC)|X*{pI9(#Xk!zSwzh7B1s- zkG{Bi2!4z!n|Z%E58)6cQMItE6bO?o2H!L58_W=MQl)2hj4r}CoTSymhY?4RSN|hs ze*%<er5Abd-on*wVf$eRJXjQkz-wECC(lSA7|KqU>CSO}pQwEZB!Y3m=|0`2U3->p zish$gc2Yl6*%6k_XePQEz3`D=H#G#&-;B2T8-2btd5ZkEHAQv<g3Y8<J**36s5zZM zZnP%^F?5_RAOne}2!^7Q3SiLjBS_8ve^@T~TFF=<v|fNbt@B&|h{s7D>C)M-Kv%(r zQ=&MKd`Oq}h9!CkmX{I*B0tC9oYfCva5#C<4HN5vnwZp{;I$FMlqgowPU<7X2TE09 z9uUN7i16qZ*%=(7Nv2u_Nt=<PMAgLny?~r1hAqKWourV{9XmhWL_KIVD*^vKfB&GQ z@n@!LlT&98CX-#t7{r}LxVjTF5<PRGd6FmV6IBiCP7m+cnWZaXTPf?Yv>s|W_75ch zBiT;9jg6xOBuIXuieQP9fJDg-s^ZZG&prbx8yE6xUOG|o3-uIMh|b&bHW+zKEd}o~ z^R@X<+-e?f<di6iKVu&AIpn`5f1lx?wGxX?wO^fF5YJ88`Kh^ft$ch4LJoT<sFsJX zL+DWo;`$co=bdDbG3^T>3<Jtl`p+sI5m98>4243CKdg$yr(bYBg>35(4ni_lXXED& z{s#88ZQ!_3Pv?5J5+wTz_$XrZ>X|{^One_=9*bwyEyO1y1hM#Iy2HuPfAjo!?-&35 zS6=Sp(Q*{$Dyp!u5z=1sjk?Xyn;Cf3Hpqm8?f}(lE($k&4FPUW9-THy#*1MmD5jm% zKBy2SiZU^?h{!swn}r_$(l?D&w+Nq#V1$sF;9P&v^{iO-y-if7>P)pL<q~~vR^~^w z66G>wCl;NJzkoHRggtUxe*@CaL+JM7=Hx#`>wj3LTu$8nxBm=i{>MGGRE|*VdorM( znWfLZ66%fPo-j9@zVS6dXYGv-^XS)0y6p+$3PWx_H@=D>RFn0=t23>qZndXGO$!M> zGiAFS&Kej0QKcHIlD?6t(686ugIFXz-Ds5|c0$@(cJYkAQ-?|$e{Y9VdPBxJD^2gK z_@{FE-D}Yv$bC~MR!1sLz7Lh&Wqvep+r~$|R<H5{?#`qg^{V5${z6+fX-!<Q-@V(e z+jrE}Yb@GKa^A66*I9@32OYLF<Z1+bnK|bd{9dzS)FBXhd%`=fx@FwPwT^bj|6b#Z zkH3Z+zj8vG3H~pue~LR^)x9ZohyHFI`0(W8lg$MkMhyP%nf(O)o(S`Vfcl1^#WOPY z?^V>0LJJcC3ApQz`llL5hIbgUH<tV~OFeStc~9G4^$kw#%5}2>^VTg&d<!N$xw>3c zbAx<dTTW#%yU>kfar;WO;#tpRO(j(WI{#O;GKV`tO`#I^f33n3UFUEEryMqpJC<kJ zj@(csv~Uahg=!AOy*Reies{^(>EW2VMS5;wF7=DbLHw4AwV-5rjjlzdWo+LgbPoO^ z)`vo6(=9Mi0pcaGbM&8Ggaw@*o7Y8MN7OardFaCQL?Yn}uw|$Luz?;%Pi!X;f&4E; z5B`K=y`#$se^;A!)}&mdKbct!(LDiYLdwmE1bbl|KS57X+~}M$nGxZ6xh2I%1&FgV zu2LPpExS$3tlw{m1>EVL?Ne8fgG~Brc{*Qg^WEy}w%@#bR<7W?=)0)r-$joG4@)MN zlQWyQP1A#ZLkH5nG1{wU;1?;^>D|PtIRGzw>F;O8e-O4D3`L|cA~0wv*0HZZnjS#! zZYOjCD1&TPdF0pw6{n!+*JpJQx)N~tjbz0RLQj(}6I>2CipayF`QczBUwgnTJsQhs z#`Dox==6lcpUMX%v&+ei@BcC5KWupyHQcacYCa?y5VDf&+`Y+=i_VYc1n~1_M-+)F zh$uqnf3m^Zk$)dfex}YN@K`iAfTC#~>$7xO1iJaqfMQ55?O0!;2O^4s8OH(elu0z` zrCvt(w5X#v=-^g@=qzs#gPGzA<a2`#AfGo-AY90oc(0k%AjWyhlI^gl{jg}%t6fuH zmpA_+<T23(-VhnnH2k({U(jy|G3{H(tW~fse>+_W8Q5O1NDl*WlmG&7)ONP@2VEH0 zS>*8mU}}$W2?2mplAcM7>Vxu7kd*$|!$SND!U&;ji9NQO*-@}G>B!8GLnhBg&%p%% z%vXJQn1E+Pv<2(R(sk*J#G`28sA1@G@}FCWryJDo{3j{#qa`Q4Qa||iR{eY8{03We zfBw|5CE;sS)P+F$7*TtU4!s~tpNjj2VCdwlBmHJ2LKq@Wg7m3}--4S!18Mjw0MZ<J zmIkTH*kg2?4z}Q?OHQ_PQNxcACJ)fE?Q9{B0>y<pfTVtluG5(i)WlIOj+C73Xh!p? zoqoYEja*L7HUDobroCf2SfcJL5cyX9e}K7XI`j91sAFm`0N`>6YLcziMjp<5M>L57 zzy(2&gPJ6(Rgw6a>Ls`mg$Do>zaHumV!ip0wVDMf+i4ooBuzJ?8=hd~Y_%?nIdM-< z-Nxo&D-vkEQ~_*WvjI0b34a+;(0s^U&4py2#W|b0yi9AoTJ}E{Y~9%94RR$pf4XN= zH&eE(4U;@icf>}}TjOrb(EYG*N&)7^4*+oG>8%gJ2&7200n%#*g+NMFD<Rv6J9Bg) zr$D;ZKd8s*<U&vFq;?#ZxXd1Gt{*Xb{h)gRkQf~g4r0hTaUz#yNtD^IZZ8w?8?y&4 zrY?75TfJld%QpalI=To=ks^gGf5TRiJ6MAL%=N64BASAi*t5C|MBpcpmH;aHac2<= z)F~(F=Mk9Zt+>7))dEf;$SK4GaQ^6<9pF;S!gF2V<C~@5#J-{&opLsxXFwm#E=hJb ziE<((k*5$%A)?$!cBBac87z8SHy?lOf(r5v0vQ>OkJ{|;V^<=v-2KjUe}Evcgw}(d z#)n4gN48&$oezn5?UOAG>3Vz3Cx7<hda3)_N~HeUn8DfV9f(ugPFP{-3q<bqb{}Pz z{8C?hvX<;rhu)py-rc{oC6h6X6MvAq_utBp)G{I!zqAZBkZx?ABbR12J1lZ4Zw0^H zKTg(CznqhHx6es6ge_xVf9Pf8bHALd*QDf8E!#dP6OYzo9)@2UNIk`*4V!=I*u0EP z^2?dLz4-cHI?)my&Z`cKye}hj92R|ZRLV&WvjZh+ZFY-dy&bfq`=5-%rUMN3Qo760 zhYO-#g{?)_TWDDcU&kDOgxGH|xoJ1eeC*{<nX>`knynDGi_!M8e{j<d`4Fi8vMDf8 z<$-o5t;Vy#zH*Vj(lg<gO<hBWZC%*WXk~-cPItix>{pkhQ3}0k)Vbv@;h-j?zNC_( zkE^`(#vk)C_hI(wI@e2KF`MF`W#MQB1ECrXEzRbXwJ^;HE<OFlL)rJ!-5+ks-P*r2 zgtaj-op<Fydb4&Ge_iiXtUt{D^;3S*SH<QLo_E>yuAQ6e*#WX%h;8PDzfm84h9!7p z>?<_>_#z<ZA09G$H81<+)UtY~U_qVRyF)F>?J$?bM}G09d-4xum3$&tI?}Svw+PH` zJU{<Ht7BTlCql4eTFGZm=wX}7*PosbV~oH46nPkP>+8=We}PtquRmG%qvPMqS_?#% zyqOI&*}L{4aU=C-x&lv(N{5lW&))fmF?N8Gz#oBYon>;rr{#Hy;(o?to&SCE;onDZ zX4&O_zXp25{Y<+i(W=y8q~^2deEjdtYn=?Uy|X|A=I70;60PbTMrVEYqL2T5`CvB4 zvWBPH!Ek-0f2v!XI_uC0>4d6ay?|pfIu)OZ7z`mZ)7j{`orGQ}E9FKZl|$&JFx@Mg z6HB|k`MaxnM|4qGUZG3TL3*L9#J}|Mw4dAKv)6UR6h|9HX|Yj1JZ^5?hS;@u$iO9# zafo&1cU-Y~15HbOx)^-voYp<L)!#F|d%N|(_RNpDf9ZM5bT?vszh$pu0d$+p3>W#k zpWCUuIGG%q*WV<{%iu)zf6}&=UG13B{~5`VfX;}S=Er*NF?bTuDS_%t^suy^WD9KG z?9@!P901Gk_`E(SHvq`+hk1k0^Au*fe!J?AY6#^t{X5Z={SK0a;?_!tz$#F>h^h-} zB5-jee{e{qtjyRT$ii?1By`3mh%64*Miv0rDynA4*=i!{mu;7)mdZZ&dZw96P?l{F zTE2HCf_~|fIWa`^oFQi+{^aKEpA@zyX~#H9tW*rOrsgklk*_>(zlcof0(6#C{?Vb` zW;bN2=l$LhFt-ydO>u4|oVmb*44Bc`+L)B6e-@l}R>7)1KtD%B7dDZtD^h+k%v>bq zLZusWYulfaM*fUh)OF>;rMz3WV_{w~o>jF=8Ty?5UH5eGuEAA0S7?rmab>T-+kwS3 zS(C=8-2o@bQLx8{?L&Pmxwtq%LpX~PmEEeD&w?jN63f4=GgSF}()jtIaq;1xzz;+I ze>Fq?IYa(cL;gj>AyU-IZ&4@vqD~&pwcB45-fNXcxmV8qQMt)B_P49h95<RBVR&oh z*8^Cv<=qxAjj%qvB<HuiQ0_eJTs^4UFOux+!l<{d>A6quykDyOJNu2ibxT+9!l0hT zDl&US{8ShtSp|Nl;X{(9X;S7D$l|>pe@7ybenJIgnC7zj=&<@@gSy#V4aK~Ev(0;q zzgF0N*0A$jX-UB`7RC>psD9F@sLDV5Q-MFVTZ#TNa$j->J5Z4y+GZD2EVE;55)~%( zPpT>zL=^|egY>?b-L<=+tny{+$vSn8JH0}#LUPtt^nT>lPn#OT@tWpQf0;M=f7C9o zmj2;e^D~sVPu%%E+&}s*vKYZYobm;6TNivn+qqlfF%-?NmgDx(`%=Yi+rr4r2HLgJ z0R0(qr`%4(RVwA^VyvfWk$f~mf5^}HIsIz>)43P(>1p?QUo*L|Q{FvhY=kyT34pz_ zZ_TSm-!r@;;v*4lsMTCrwO1~Me|4kt5>w{rU@X4b;J9rEl?e+RBROrqP@}P0^j%^` zNRud>8#wr_Q3@W0AOM_>$3qbrl=X)db8vQw9o;ZavWMD(m~Wos1rDjQL~0*Z2YZN} z<U|@Fm1bmS=$EnV&4<UTbMf=Qf$ESMei~WSX*ow1qqx&kJ0PE+s+3NOe{>xBQ{5zH ziDl{7bh~SG5pxlrcm7Rww}nWVXOEYd-0a(k9|vx)ebmPnU-_IFrk=TTJ~OPE+2xK( z%g9?ri3NKy+>Q+PZp6c&vZEvG)zO>|<Wp|QDuekEv&WSM&v!KSK89Z!>k%#zu5p^e z*q&O_{{6+nDZX_oE4zrHe`#bbbiwGJ+E$&~b@wQC`=V!Zw8`FsH&XWG(=_W~_b4v= zqD(njUhlzEKy;E;7wisAsT}<LJWTkbp}P54M(;tql)ddqT5_;EHNH|EXwds;l_5vd z3PL}Vvd=nAJFoXq&17r^=y#6Z{X&j*I!Gx~%3k!zWbKb$+%bDEf1pPz_Ruo5a_f_& z1~AAuc+_(_no|&anw0(0<Gg2p)-|W3EIFE4P^3d@<wlZUBcK&qxdk-H*e~@x50n0= z23U>n#ogMpZ@V;>T9Ozvyc_EVf9V{wpK>y&8`snd<i}6}MnaRIN9D+2hw1=!&glNf ztr~YZI+tk0gSv9>f49{i6}p;x75g&o!PBtGFL7TKZ?t@NZ~4Vuac;^d?ND7r_rd)( z=fLwxNP4I0teOn`ERqA!hoym<^6^)&!W0E!)sKN=O;7N2L`5@=sVUJw7OUG#<6wv& z2{U8CUJucE23!<_Lg}e{UuEf3(Dc0%qI`Eb>#I#(7PBj}e>mK{r8fkM?><IYVwz2S zbGJB!XZqQXi|Wg?Kg+6nT#}9Rnt2!f?I;P6AolG3>#bbYfzQ^|EH<ac>+Hy?S}nRd z_Js>6Z4b7#v+SlA87-5F_VVaKu$8-nRGra`(nS|6AF!ut%D^ikCb8c-qCP<bvFj9t z&M4ANN;IPAf0-ad)!3kSW!I*-fb}iY`f2ZzL-6>oal8C4nd-BOO^gqZOK{g06<ZYF zgq+;$+m7Y^DZKs2pci_K;zeQWke*XJPS-#Z5$0H~xQYceA^I7lE20PM2V&?UXAv$4 zQ6^+Q-VmXL1rrN?puIz|*6w`$%=(sJz>>?{CVIwRe;i-f4qg<WGIkL-FSIOW(iz*T zxXhQ2ZS&#p;tZ8++*Y0Vx^6uEoQh=|Vm!!k>^N$N%2Oig4#cBgs2{cn>&L<XBX1+x z5J+iC4dp0l;UERyI6k#Of$}lfk-F_ipP>d=F3L{A7Ux?vwgH2ebo%<NL;`Axx^e6m z$Bt7sf0EhfxCHMH$<^jDby$_eRuT0{iuS4LO|_G%CXvR`tP3pK3gyRoi;6E5AJgKJ zj%D7zZr;fjgp_mAKx!gRQ6h;6!AL<TF{JctZV(debOAuI(^wieIUlcvP{q<X$uA6~ zo#c^6GY&ysiGKYBx=xa<$d%cW-seP6sPtKee}HiShXh9`#KhECm;WyJNNVwI<8=<4 zWI2n8g7GkG3JCXnZ8Ig-?PASSti*+5?7dd4U+@wa#&)Fh!)y%?<@~(#J~}*WNS3ni z@BMx+weoE5`*gVq4*Q*8cT2M|JH3w*&$X>mE03OOJEvAgJ=Zo#t*m?2kSAq-c+#&# zf65*$=l24jrz!wB<aq-gsGs!X15$_iSYfcc_DMf=y^o5g`~m@b+D{gcUmj}>b}vY( zJo?e=fT=Xo`#4}c<_`S!&27@9?8{F1J(03^F|*l<IYnzbmSXO{|L^{&IjN_8;h#^% zBO`=7WY~Z5T!US{`p~#GKjQSITk>^ye~!<n{>$UWC)r-y5^}vN{*fq;r^6*onK_^+ z(S??}d~zl7$=7%x$tR)yO7XBOALPo<=uYz_ZSEv7mZYa$bO!%C-`E<!?~?)-sr&uu zo3wD%8sjb7eHODMXV_jc=Z%KbHPZz&FlW71+iWspkF$ZJIa<yIY0JtTW2}C2e@Ghj zQSbU7V~@LSQSHoFa`LbG6E{ulKkTDl-S*uJPS$Q~y2`f~eB)@}>~^!>?Ph$jS3;ND zXU@8wg^BzIb6f%Uhs00Sgl@N$%oFer7kCrw<lH*$fbWD<k4uy^LlhDhRkfHCZ%TT< zl<blTOu8*G)M7YzMb2o3eCH0kf2ZHsqdF){D3~jP`JVITBgoW7AHy&DW{&#w&%Ya0 z$WHyMiD5jI7!Nz!&RpeA9Ou|6tx6SFX`sqHc3ymJp|-TIRt=+lIWg>PWsX+m4VbiI zCr)f^R;w}@*8jp4dfcy(o5pMx;%>JQANG)&_Q1~kOYHzA>?JqN$<Dm5e|8{lM?`#V zqIOETQ=e;0Q>zl}O0Z095E=WRRjFN@n(kV_RH4?XFFm%YRXJL__@&kgqkS`R$I5QY z!>+8u;bf;in+@=Ctx7?6JK4mBTZv&OD&gW|%67@_b}Fs~XF462XzN;)3$-GBwV6bR z)14Br4R;eq`6|p4N7*ZEe-n3j?KYmd9)T+j#ck9pqs49bDw)K`?%4IY+ueYvX1S^+ zHe5>F3Aa=6uv6-AQ0R1ErOAui=vJnC*m1cQobEi}ue1i5+EHcP6-+e1Bg}Rc9(G%< z1!|qS>@;riF(*4ece|cW2bIqEo%+^^VN#WKz;lPbW8#j9-BNGue-w!3BtE8DJCN>5 z5FXpts%&aUWpUHI?L>QPQ{%&4anr8aiGHq4jSc(2?Z;br!`-gV6{_5MaI6wAu?_|W zoFg?RtX0_wgP!fo<)A5xk8#`C_SKel;>;4YdB*S_c8@x6Hi==!D;d4Dr5&gmZrV|8 z_3I`&r*>6P?JnKje}R!;S0!30`M0f-r%uOx_2pZ$1e<4hMfv_Wzgh-AYZfOVyz&i* zD;GrEc#@My0~Vi$*~?OTKVL0tH$8+1GNXd*+w&ZM(6W{*-+c>zolCggPrKERaT=}v zo<2uOI%}1eA79vgXGEHfAj1Z^ZhcF=9s1(LJcZ?8(!bF&f6&WvCj(-!qgQ@tEIs+K zal4+?Ry_oK7_^q_EEdWFT0e3nSGHlpE!*cu`x6YXjt4r@OFDUSpY@JfdkrI6Uq5=c z#^s?KW*d4HasFwT{DeP81fA{vyk=vWz77^I*`w2{86(~}>`*v8>mT9zC-`YY!^N2S z@ov$@ns%Mtf6S$x3q1+@gT*DOnM+9Rn73G`@zN7pO7$(4^V44`K_W%zdm)FPb=n_H zu8BrhcWtHa<wuW~R^Tju<|%HT9GkYz*5i21`xjxM<)kNc{!+TN)Q*vToX<le=S0E$ zhS4ncn&X1E$>zsvj;rKB1#Db|>lND%%DF38#(LcCf7WXa)jEAKI~?LRJYz~8cJr=B zN`!{22Fpsh{x^lkcC@x=W4RgWrF>z{i8-RuOZ~Ps-Wjt!8U~4@f61;KV&T8M9w|6h zeOSLtay5{we%j$yqE&Z?eZ{_72=Y1xR}tjzUPp`EiihA{Yqz-K^DH~l;`2sePw#OQ zKDixVe>MsuNL+jk8hlR#X^)qKfR;K5|JxXS?eX`Z!FNO*?eS`m!3eBO;$jU*y_}fr z`}2iFOC<<DG9#=VagQgwg6QX4YtCbqaF)odU4D!2(RJ<eJD6*}8umP;<;0QFla;3) z2b8fwM`s?qJP`_i%?j6k%xN9(t$p0~Z)&4xfBZ}owx&iWouGM_F*~NM!=ocQ9gr7h zv?BV#e;^l!ogpLz-s<-mOGFC_Tp9J>d*bU}$X1tIxUZT0Z6&9yJ>O*TB8w*vWJ^WE z4|pc#UmN^=f@Hr<Qg6?<9Q-Xs(%U8tw&&j%{4GR^+$P;@&mSal>$u6rH`g=>;2%qV ze~2C^#q7%64PiQJjyyq1*e1!r)JnWsSr*+;i|jD9S6*Y7=9h*0hS`0~IZEwLR)ZIL z7dcUjXjt_dFBz5)VaV2*?246~jriuoRspmm>8L7Yyo>UfIvzUoM{@L{z?W!vE8C*O zfr7VsHe(3Ph>cW-Y*}iMhr!dww)nP5e`I1yT4AIZ$>=MXFK%B6wP>QTQRXFcY>NrC z7_A}u#*5Cfb?U&(UpJfGLGnZLzKh_xCHuNxxhEt_u2GQmXeFyS1@<SQu$hU}_7#kb z-Pc7ehHLz_8l-?0jh1r;<Mxk3LR7NTzJh7(51tk_vypmMa`K1|;tQKONJ=Y`e;>lB zmW#wOv#0aonkw)2)el1IRI_gmg9lwXP=K3NvuP_ivlqOJUU?<P?Vp2yg-ATxBpn$1 zjaOo9b5fxXQwSKcb+PqU;}E!wy)LzIUpm_n7}YL6?~#L~W~$dGTuJWa@?gIj3|{8d z$R5HD0W*^dwn+mp!<&OonYP$af1XMjE^oZTS+^8Wiwdw-i@~R$5K#!2lVrP`Bi;TW zqfne<5q@A+$)5el@jphaHq~Yf73Gm*o3jcd#j_8WbF$)_iwY;CNi5r>3d~<OlfmB} zKS7F+t@kqvOprRs?3!=j8rCfy)S`O(gY?2kw(P)RusZuzz=0V_#wq?kf9;eiUo_ZW zD&--`ZIhf~tv3eOI2LcCN<oX7D9?-88^hqk_{jXiNS17+<(#PY2StUEknEjp60QAr zY~h3?DSexCLBr^c*B@R|+Hy`3tgysOF?L@Qveg8OzBKroC%fn?IEH7@Yn$W}x334; zGSg@)_R=T*eo>giz37ATe>{=x0=yzFGO<vPl~lJ)n#SyFL$(w(+Fp5;#_y{_LNv0M zmUA%ezf%iym=_t_7NXfpD>*F0`YW|y_E_;tgDv7Doo&(|SmIZ(dAzvUpaly!zfezz z)VNI&fHl7MT8#C1>*a|NHyI=`*Fhj57qXvklU!lp#a^EA;--V7e`Da>!W`a3g>BMB z4Fg~d%tTV59w$i*<*Alku#z)RT)Q+lLVWtxE0v{A1rl;DJ1bc8FN@jq(c^!+-*VG% zi}=1kMcRF1m+9FoE)TLEvI%-sep^MJ^%<5&oIimzy?D<12|ov?ydo2Yi!tSx{2CpI zCgV|cox7+8^6r3If5Z2Hvc~ToF-01cQ(Hfe81%!GL2KEqmi}*|MZcr>nSAuh@4U+& zKAXFuf4M)XfB#I_-KBSgd+%kS4i$F~(r>SheHhlk_e=lve>t|K&hTY<J5{S&u8po} z@%Urh+;qB<hxG_dFr9ri<_pHx+q~{``*ntqa5US@w2=~Hf5pp|eChkMHZ8j!Jdt<m zza=-kYJOGQM6q7u$;iCxeS_tci|deMWfgZM=JOv|Qn;CGuj7x+dyfdOYRi;IUo+fC zjC`q4x`yrvO%9Gub}yel*ZL&L`BKq#|H+~B@4!VN4g5zhD-gI4Qg@65U&|4Og?kO2 z1J$vkJq5BMe-q$7;#yasJ;;+8y?9OoUFS8xTE~a>Je!@gmSd=K_|Ypfb|MWD0?Iy` z025%o<KU$@y;unN*rM^Sg;6#Jc#e-$K`%;au-AFnU~)bc+Ov6Tp*<C{9d=0?+4{RA z{_L}BIVKuAYdLj93y(o34o?a6BD2P~3Gfm|?;#|Fe=S>lEvJuozSe6jR_`SwL_E85 z9Gn%emk$Zy$d(%ii(>R%KtcqvUjx;6z1)9t%>8k&Eyl-v(231c5xpp)VYvn*n)7vD zaOQ6vh0OvMfIT3Mx^XZWlha?=%xfWuUUbmt`RG;66e5KN@?OX|xCxWfSJ=#BVSrv_ z(vV)ue>o(Q?hjJf7bA8_1epE=kUm%31}QwHd5{p%?ESSI17d!AVKWN}@CqYNF9}j7 zLSo(}8MNn<3M19Ct;fL|Od-nXMJmkd{$L9iX%Y~ye(NlZ6e3MdfYV~_d;dwjD{DEA z+RwQSKHwy|?ULBrw?BGK@hx)il9Y(&Tn8U8e_P0+7w2J_AH57gix#^ib7Fp1;r{V# zkF^{=jQv32K7Y0_P>o;l8vG5;X4)m)hYffRK9C@tL@yS#bARxXVF!~6_ocEk)^cK4 z>z+Wi_+U15UWQzYQoF#<TrUf<g@g^<AAA5J?dRuc?y1k75ME$5^(nW1fhcJX9_(dZ ze<-y65C+%gL!O+o`nIRFEqmqN>-&>9DVruG1EuMJK@V3N|F6O0=r78haUEY_s6;JK zWXi_{`-_i6XZ0w}n`bLmk@uRlFLGH)4joBS-Ee3w>IocLka`D)W}#}~4n=ViIJ7i1 z7-x_KXQL7l;R@6vL8>wiEll;r8DQW%f7Bxussj$qM%Blm#i=NqK^&ZgN_YsDqY@s& z1*wEsIG74agL6@jq^UNzLrGjfC{ltNjyqJrNry7BdMAIEe5OZ*8m#o7`KW3*v?vvh zL$gz5aA--YGtM9ZE=xUPr~WC$b43WmaHx9*&UdW|<%!m@T$pXTG3xigrb7F2f7!RU z;=aDx0`%mW*3Jw+o0hXrnw}prV>f<5bvxfbMW-BI#BKyFc%Gq^j@C#<24Hq?S@%Rh z8JxJzP=*#xE)>a3y^V8>S6NE6EX)&BcWo4iXlH0n+B=Jm6eOOp9nlxm!S8Zq6$<Ri z*WRr2P|;oB@EabTmY86J70rHWe>8)O5bl-zz7rj|cjdkwZ(?>SZ{m0PQ0-;6Jo;(b z?#?}p!i6K(dWZhR1INy~rQ_qW2t)6eor=G1rhoSKcb8>5%*QrtupYJml=<*-kl!@V zuKC<pEBjhnS5=hyc+q3WL&I7l;ilaqSd1ckoxS~!6XiQ!e3S`5<Bk%re+{yr!y7?< zM$Egmb7Rp$Yc*X}M`GjCK)0aUHlUjz%tfeaHy!5kqCWh`A?QBwPb!(g!`ybHn0@c> zWKDZ23j<xPBAFp+)4f5K{&q7i%ATTmbbj3SQvoq8?g)czC}KJ^zvfqz(z0-3t*AYE zN8)h&uc*NqU&NQAE`i_^f4!>Q5-zy|we^hwKkg|@b{BQET%Tw^GW}X{*WE*9+_tMS zpRHQi>iZYZ+ShY$D*c(7a^7UP^cZx3iSU6a54{bsw2N7mV%iQAaX;>H)lc~!p3XEr zP2bh~<zDO9b?vayhjV=Of=`j$O*VW3wxKMJYDW3q6i2n8e3z-6e@t>b46mI`cEt0q z@y?AE@`jrS`H99!%fZ*(Y6DL+HHxm;1^EpgGq9q3SAZb_0pHrd+?X2Mp(h|_Jrn^b zGd>yH(AQ2^hOY<L&UaNonZk90{0@n9HTe3mamc4Ch~!#f(AO!=3ruUyZmp790u<Ju zX&#Pvsv|{Hni-#le+5T~tz8N7vyG?PQoi4VF$9~!wa1UTsvzwCDcFXkc!)9O`&PTm z8Tk4>jPFF#E^}=lurilw*^?d70^`@_#+<psKVloQVygi0o!YRu*7tKD15=Xiy<f!9 z<iq!0v}&!pq`zsy%90E)>g)zk%6FA^nbYv~44BtrN3`%be<;YW281rhHjrZ>7L?H( z!L?bp>H(*jRDNVwqsZFxxzv>~SlS6B$c1m$JIF8a82Tx;K{q}Chz!MAa90&U+&>-L z&=eaWf(*-KZ!{&1PDCK8=Bfu8;|mn%*;AnKMl5(Qyf$ENY>H_Y@aP6B8i-qDTmj%{ zDs1VABbskKe;g3AO`d|UN5Pho9MMMOfG?wt`IliE_~O@rSxdw4fOEORTLD2F#Drpd zZw+=+XzQLZJ2w5(Q5#Q4yBdF8uo^a9q3UT-<$-XtJZeM7^2mMkN~lW-?K0A(_{JMN z*Yd$v>eBMTFx6}M;2X7U`FtpY1IHA~(8R@sG6ZlYf1#q&?17VIc)raD%nJP?P9A6Q zNG*qMXsmlScMaF^>aA=Im%cQW8n2c>hntm^;RlxQtW*o)&f}6VXIUXXEGG_8Etj>H z)j$Ptbo~;^>qzM#p4a%@<-~7LgHXBUYE|6xP%?;m2IrRoKTlOCmb{Gg4K>60C8(v- z9ZJB%e^9PaPh7H@*DL(ua%Cu)8!AFIebrZrcV6}nExV+fNw1!}cs`XLZIX2vY4p19 zExvG%QTL5{GvXpU_2{u$8a>)rw~$_49P$;)cflzXDW0}eir*Ms_(nx8D-KZ=LIqdo zZa;P&(_2k#jgiyEc@{{Q*Ljza)Xkv6>qzR5f2AKT*{L+O!(&xwswjAMo2Mv9*SR1K zgjT*E?hAovYimOYv#Ss6Pp2-HRyU^l4Xc_i!EuuBZIFf~9XGSAZ1hv~^&1JDK7GF~ zsM@4av;BPbxYm<@X9$0J1U7>0+S-cQj5a>G-Bf;<cd!5Mdm0@}i7*ePN(bpq4Zr)7 zf0~Th-2EHzTfOEbdOPsSyS%RVDT=TAe$=~n88O<l-F@1vfAFC$JGcbplvRe}8YwPL z_NBj@KRM3wh-CWI9P*nsN>#&nZXcv08Z>h4UrjN;PtjB|BD*$fym+@=V<HmZ-Y^#V zoAO-je(U6HJ@y>@X5nx^BQ|F*-xuF0e;72Id}sJW)l-=~h<XuE&D)8^`fSS1QjbOW zQV)(rEj{BMJt_P1R+1f(t}9+Jz?@ew+Wc3@eVyi^c7wN5R7dc#V9kvRU02_Lx;p$v zeZl>6s^n#fo`t7k>7GM-cdE$n1C<M#$S)1pI%!YyRJn@w`{B741^szNMMJXof2*1C z1_ozMi!|DL9c?l-dJ6(VcpR{`e_$k!o%|{TG3m%P-tLeu#61;WQF`LP-y*yIb5~q` zBtnoZ-J>8ksRipB7g5X=PVi}04LEnsTe(zE+3`gA2L<yN-@f|!t!W4M*vVX5^j4I< z#FDdZwSkB8-f#L=x^3ZD>Y6)Hf4?IzS+B`qDS0W@7+Yg3;ecz)IHzs|+U=S*>OdRy zYs^ePXjnAZSf8YB{Fy4NtR0q2-j_SoW9?~s@q<-RSc8g>!pg6+w2ag%Wc|0`_0{W& zhVSa%SXUbliH5PY+>R-z9Q&HIU8=_R*Qwxo;~S@USCAh~uYK08)~>1^e<{7|V6W7q z{BF0QpnA9ZZj0W#dK}LB`bYiePBdRXtFx-X4=y`gd%LjH=ic<&`JO_P{EO>fgag-~ z8GI*W#}pj!1|vme<$~)Un>$BeEYt7)eQgPC{ggPcy0bZEx&h9yc>Z;v@crH$Y7fM- zaWTVQLhesSe#CY*buh0ge^bkE#6?{<;x%$pD_lflK3brqpE)q!vOmeX9|CX8?R%12 z5<Ni{OZ{|VvuWgIK_;y7)jPxP{(A0&%h3$ipEjVWkDX@@e{04_>#8MRaz&Kp25<E9 z+&@1)Gv5Vk)qm0lDP(74RMtAIr@d3~x|a0$NA;b4kw<dGjNnhQf6p#Yf!aFjmp>jP z3AqHx4`Lt*Z*6WY<}F07>Q}1Yor#wgU7Wk*(TJc^ZR(E9c^l?#snES<Q64*Ek*~v? zpmpJxng=y$D%R-s5sSbm>&xRyOT9T~(UU(GeCv5TI&4-0o+QZqDL2Z5ZbaD6RIYMX z?|(Trli;i;=IcM#f9)KnE_S=7X=3L?CVodVq+>LA;Vf9dZ$JNWPD9&(WKtz>BG%!M z5nne~3EsK(w3EwG`cdH?u0ewRrYVshiL_DAsT$Mbx=BnC!KrdtL{n-yJ6wim(yBNQ zJ9cI>Dm+}?ShXsNrT&_WoFWX5z8Zh;=u6?E2i(@z7de+yf5mB*MM1jky8F-g4tQF1 zBjk`u^Gp}S|Jx<~PA~a?zd`+(I6N7qmLT+-ZN2oo7-zRs431dYsE8wno@t;41YG|* zjk6i1==dbr^;g~7G;SCD=}-IMa;!5gc2*Cz*ZyYKXbP5y#~IwYj`^CuYUN3Sv(^bW zsj=5F8U2n+e>VKRK7ot;W3Tb&f|xqX{9%OD%0wR}H*#;J!`rV^mhIcZrR?1$3kGI| zlV}mh{w<uzf()wfvT!7ziQ7(^Hi7*=@58V!kexX`RpNg%#R_}yu5CIUP4-p0J+p+p zw{D{wFZ^0F)Vq4N{3o64eESC<L_%8bl0|>rxaBHmf8*bgaC7#O30*AHVm4S)`Q_59 zOH!gZ1o0u?YWov;HBwi?b*EZ)K9r2-Aa6D#K?A*ebD*#wuxcV*&$l#@Z{nSQcJ`Iv zNt{T))E-H&Nna&X4XXJ(u)Pi9s52eK@Bf$E-;uZrhx>1g6cR_0jZ=KTg$eop;q{M} z&^Idte>)~eI7q_3k;d*;*}u2)$n)IgOZ89VL3##~bJjm+9}(mxjXo7j7=(HvCwMUv zF0m6OFD&N2eVX`k!@H!QcC~Xi8gamSp@756T(Q6~@_yBgl`C15x#V+GC2X99@396m z&23&b8NQp>Y9owDPH6a?r~0Je(w5ZFkw~cHf77rwCaM=eK~$D*oJ$;>wiAZ-EbnlM zN7!6j6#B8z-B;pl<VQ8vIu&w(Kizgzx9bv*l-{{(3g5p5n<H@*&5-><2`uq}1Za0j zqqfc!*lsV$Kb+mRXaNuLETz!7_)2hJB1Xy{n$K7<IPm(3$u;{gH5_GML^jgvI{I67 zf4dwa&~Mbe)3^^h3haWwYTkUp2OY1&?~Xs_bBN>0L%5o=Dc^N7HKCIowAj}5uDF~T zyqs`BE&HctBP>CoOk=W%xDrhEKeJ6?O(^o_x?g(I#I-E^l1~EE<NN&{mRl39ORFE` zA_{s^3y(WFgzJ6e^Csi!{izpaLm|Z#e??K!&_U**D3(y3Zd?sU&AswaVz~pT##ay9 zF@%Sx0hMU6P=Wi@EE7@fu_%sE&HGeQ6EdL2yiC^|JK_(OJwFyD6Dq4U7R4J{krGzK zMtzhL#urDI>&D5((qDJuHZb)20F9&112o=BXDo_6v`AwtN;0&+8y6S{Px(O4e=GLW z9D}go#%L?ys<AwZldfkW)<>nf*+0Bmn+=;B<sGr*lZGFq15GBXr{hc3l_GO@(}$u@ ze7||=@S3*~$GXz1Bl-HGhyORi9JTU0vY&>y%9!u1b|!_(CMxf^0uq2h+3um9|F6$< z{GTdiJnut~-&tznV!ijBJuy&(fB*fqmY9pY*xeNc4T7CU>yQczcU|KfJ8|296{9SD z?AwOW@%Qzz-0A|y--n8Ex80B`zhl%QYUBXXF2554@2hVuE<3lg)M(*la^)!d*+?44 zwSO^(SyxHr3$>?{xC9@{^RR|;gAO9JH%=CBosNrDd(6s=vXti^;?O{se>fcD9|}UC zKIVGy59yil57`4n94J0OISb-{7S377I{VJI+Qb;~`j}IOGdBKDC?~*4_g1?8gAH>| zu9d^cAFiS_XWodiSpCCvk>p}^3uY_QuF?I%&jKWHbNNzE``zYG$9MO1<;Le_pTg4G z!10i9pmd87WnKf%0%o;6e_(*S0-RrN{Qfh6wM})PehknL{6}9a9`dK-_-;hpKQ}G| z4bDK>0veWp0nk912kKtpM43R1cnHYVG6+|CY**|PEX{-)U;#rI+c*gC^^tEDkpBPw z<Qwa*SiIQ5=(|`*BBBKHkSKFmY)$hY28>Fw`gd#n|5+(gt9>MVe`o1Fa~$MX`_CXI zqRc+*_d^&gO&oUc2KF@hv_=#V2MMr)dO*gk5oLC=_7NL*k?`>~O+oG=LH0FG?u{;& z!qemT#W0XW&40tR+QLEq834Aikn6BwzF@xH@=#9JUF_$x{NwivtxwjPpUsBIGmm$I ztXyU#)-=hVLA$jEe-rI8!d5!9=FRCmYquBOS<xh>Sy#<=85RT^)qZo4D8m9wJoZ1> zE8%XHTPA$FV(tIB1{#(YM}cBmi`mgqFaEi3`=4vQtyp)nH{4m!+4=skG_t%-ZBJwp z&sva`8w)x=W4v9)>mQNNKcegZh+YCBrGG?0|A_1XkyJV$e==79L=`6_$LAk^0`2Y< z{X=R1f|dUe2bp>XX#9N+keVC7OOpRliw7DJ`oLu5YD>=*a*p3;#X!t70M=xZ=ESyZ zclCcQ@&6UM2!*BHe*8+c@oX%_ET{zX1c+V0AOA2Q5a9oNq5lt+pFS+;Jz%>~o5Zq< zW#Jb6{)q)0e?i2KDvAJ5K(D`y&s%9g-360_X4$`i*}%}U090KP+iv-&&hEq~CUlD| zx9B9mS=$jwmSVL%VlAREd49gIG)>Z`82-ilbJe@6$0hFrwj~l}{>2<zrDQwfgs(aM z^D!DGvE>A{DWc496ZiQ1Ux|gkcK?3we&Ij9amaPo5h>l1E`Kss8-Frk4sj))mR-4U z=?Qf8y+ItS#ElcV5B1-#3-=~y#C^ILQBQkAYHW2`DmRDp%sMWG3^eQ0#^w*3eZK!P zvkPd@4_nn~+P?s9%j2g<U!V_4rS?o6&gUEM6$A$F1#cWJE#__f6@15Gih4*-Egw+; zJ#xEqUXd3rs((6{aw*Vmjnni^IY0MyQWT-!Qp_3tjT_O^ay(a`ry8E(e}de-#<NL> zpPf6teN`JnY|n&(FvL7-+fjQzh@rz?`LO%BfC>#U<?CbO+?i)zpRKvjvl4#L*LGhh z{#Ep~<BaQ?XHSp6HF>8lM9%`bUAk}rcW?EHX@X)IRe$OH#Di2bO}*p<H#t|17#G2m zP}2nOGcqeLO56&D#Q96)hO<Z1L2tYR2aUg)ogWu?&V1>jvgz3PT7{4&aQHZaHQr73 zbg9xn<9?pV4q&PyAHP<&^i<LM`x+)IXQKMngOmH1#)S1(ub3uQEI(S`xK}%Xa@%BE zBCg0F4u7-|2R}c4OaJR)Wj&aiJ6k68Eb*b;nRAN$eIiY9T~2=YhQ7K(^jkkD`wVl5 zq;=#t=IRCUI2Kw)dsHup#>3T@yGO#Rit(4BOH5S9kudm>v?El>C0>o+S>=tINqVLl zQD^^CWeul}JUQ=9#pk;Rw}S5k#a#PJ3oFf^V}DK~Pn<(ZTb4{N2A?|$|JAwKTK?mO ze#z!zcSxhRverVW%=ok4G(8M4%o_o=u@EUXiCjFT_l{V{=3UF75+9)vC##itTkJ+n zTxYYAENI@ASS${bw8m7p*7AY&f6aty<|`k%)<$MnFN~LqhvJRNFWR2KTTX<E3^k90 zg?|-?RM31)mTr?div8}9M`PhNIJo3;%POv_c<UVveyqR+YRiMu38VIzG~I+E1(%&G zs_`<RP35%r#^ma1Ja6dXXqclhLqCkFV!}X$QLTogZ;|aykhh>nx#cTiRNf(i36#M( z6jn}KH6g#Np5(=;g+UFLnJZSTZ0Q!&FMqsXmhaRoR^JyQGtNZ}M8)oW+5_3m4Y=Ew zF3hZuPAX}SKV(-}AqqOC8!g5?+1BT1x<-3q*=UwmGfeXy>#ce*>U}M?#wibKU8+bN z$!N^f$?BmGJp*GFvS!Zpl)if%Hk{p3pX?tHokpGw+3T{&o2Czu@B-<Ra$j1{fq!R0 zFqWY>$I0{eH#qU%Xx`gp<Q`CeRoXkQY7^_iJ4$XCotvLVzE68^p(YF9mQ_dKnaY#v zq$Tg+87{}yAczlb%$ND%f*v&&Ux&J4mG7i8EmKwb*mP&?{mgFZf_fjg_GcDW>JL>0 zU)J@FqV}DTGG|!UQzks9uD|AoJby$6R@B{<p}#mdP_8(_7qqU*nST|1eZzXmf7NZf z-k0vya!hnS@rNW+TPJO7WXG;z?|M;sRW2$nJ5js)WeY^0p+57AI(H`9&qje3pS2Mu z+z8B6Q)H=OU#f&KIzRd)594t8pq}-9b)(4ogOQb8taRp$nJTfCw|uX?k$-#X8wvGu zT7&D?9$k9ZRQ=0!TMrsuB}TH8=|ZVIaOcTk*gt;N_vesgptN(<qa?~E$J-0^HlkLX z@5{?md&mFRr&9h;l^WCIf5g_<BoapUm9G+)>an7|cbi@-kB_(q#vd8qjGMh2OyW&c zKPMSIqXn*{)XL{cgX$CvR)2~9=`4TRffE{+W26lGym|XxGI6g&BrWt$c(T7t>kq2S z$CXalfiE;W+}#2=sR`@<Ka{-(R8&p&FRIWa0m;w^f@CD;oDmVpB0*vUk~2tbf;35x zAW3o(Ns<}?$)U+P3rz+kN=punq<8pc?tSmw`DW&S*K(iVu3dZYbAPO=Q|DJ}Ra1gj z)_hLW!Q0`izWj;$d-I56)#EC^!EhJM&SXQ;Oo?)<PD=>7z)%$RA#R^1vE-Q6pC?cn zrCt%Zq`Cfn|L9d*+yHcR#7ZRpG0q{S$$BnVexC~A+QWtc1Zx@Y4|y=rZr7-GECq=a zGLz0Y6f=PK{u^PiCVv<GmQKnH_MhVe%BG8^HAR2>G%`LBjy~w<GNy>j4CD2x>|5!j zA~tw0^aOP3!<pJ5PXvi0TX|Djh@jhJg-I_dfuPNa02RAEMZ=2&`P1pqLLm`c8N*aw z)B5h|GYFuDNRxt53`9NnV{&-^A4`1Sf-pPIb9gVn%IYygq<@#<5Onm+FzN6}fI~1M z^K)=dx0rxCJOe=&o`ayrqzF2Bz#IXXfg3Xegy$XZDK0UB?)C&|HWJjhJP1kvIJq$* znVy26Pk=PIz(cVDMKusK^c^IQ2yjk>7cL|aA}vWnq*(!aL^_kp2CyQTj(<IC<8O}N z`2U-Z41=W$AAf~=y~aFE?T9=j$A+qz!+UR`{0`JV<lh~-@o$wG0@Q)iv#bnHfSC~y z>`gNue+KgHPEjL&GN%T{jDV?#`e-`dSpP|C)>XHG#JTie?7jh=q6?dYwUi-oIO|y- z{@jJCb#DGJ!mq}Js#(G#q`*BPBvN8E7Vy9{1b@sp{eL9*>Yot*2eF^{(NYOSB=QqM znz*JSCfZ80`IPXoV#FaF%R-cAu@6;NzSxjt+I~}RnGdxB4R|BIX%%~d21ZXp<$Mh^ zag!pBL*>rzrj~P0-NjgkuGCa$4dN!{kdUy;vM_1|Dm=-(OnoPJPC^lUs7P#h&ofvq z?JZ3Nw0~MIR}BIh06o2hD5-i%BENfM5U{K=9$oYbVv)sGE1cp`-RMDrK(IU~8Mx*z zNP`Nk%i$TGvtyTM_T(m;vCDHFO3y`@yPHK8?v7`cF675RS0$uW*9I2=@el4xX?Wk4 zaxN9mdnC?iwo(10b;#zOf0`!Qxj+u%p_PmZ;C}=I93%h-H%2q-Oduc;D1+m9J%|9C zFc9PnNGTAg4+Qc8fo4Ep>$A1NOol@%YhbCFO=xCKd1PhH4?x|4jsCtB>wi6M<8Mr6 zoUKE&U1Q^pXaNtg@$63jH1VO7GyobX{L^~>b(s7Y#eWzr5EL;bUcuBlL<aHEittY> zYJW$67(okY!nVz`^7O9n7Agayh?+0pnGH~fI5vNHV0Dch?lMVYwvhutzY9bQ9PKxt zuer_zj#7CAb7pVKXp<fnb04MB3C3;n{}T>ZkbXk!y-~PyT;rCn?V}I`Mh}HG)%yi^ z-lcyXFJrPFNVa~ctuq}wi|LnJ2u5tntAFe0s98S{whBpms@~9{mS4D*Za0-gD;S^t zl`iy?Oz~sE{d<0zlv7F6YU*i-zaSom8_QO!;%(v(B)gwrBkdN{HY()BwGPz^`9{~w zQf(4k^!C+OUOpC>Y^Muifl2d_An4iv3{(U`je+Te2YBh*fC*!S3_%wM=<lDvdw(&2 zd4Y-%`BNXzrAScx%D~!&^dBew&@uhv#Gn6l%Fh8iAW*>98<=JC(y&hr-U_c*IJVQ_ zgHct$;s?JTy9JJcs_Or*)5YJIjvfR{Q!<2KYl%SOlrn93E#SS3*ibG2e%ymcwExX# z34J32x)C+03IF>ozZS2pZ#g>D^?#)eb&oegQVf?hAzn>YXmNoym*dw*CP|c{v(@kf zRQMvHlb_`9di#q+#|8Czayv!CV!a)ok`z;0o$?g>f`SoST~O4w{1JAAJtU=pdmFe& z&hC5ErgYORt!e0yNGJWezl$}vPg2v%2R(9rDmf~1nN<7SvaCSG&e`)@mw#m)`$;)o z(dZtbWI#6jB~NMfw82g|C039uFG;1Q7+KXfpO%CVs#%_dBVFN7N+*~_*&~HZGqM~E zNyq2vCsrI)*$;fH(Ds{O=0acaD$mq%MC$qe_Mc@jEBUha$G})cET1sO{b#y_jZRr! zx%O3H?L=9Z15MUR)wc~j7Jt*lA2H<)*>xgwd>4Y0@4KDce%H;hu37skPE5cS^=yQu zKZ_YnvwxY|*5y&t)SFRVc|1>FOyI{tMqOPWYvA;4q3pS)PlJk77%l!n_xO2h74M9s zo{^rjX#x2w%42L!n5x5TmttenZKCkuALl<}R@3EP&n~>sAMf!isDG-m)p(sID`hiT zcsy)i_r*cPQxLmU&0Ek5F)Y?FKo<Ed?`_F$FX^KGpY$?;?=)Sd@Lpuci!jH+mX}aV z*M}G`I_(+#Jj3HggkIzh&R(7d2`#z^tuE&4=Um%^q|v&1`cKq6USY%T_J7A&az_~X zQCn4##}BCg6p$na*?)X_JcAe#2){};tp;a)uK0mbcTv$vPpiGxb{Q5%IXHCT&P6%n zFqbz)zps4n)D)seW8vf(^ZeEP7v1N^_6Fs)&ZUU*@;BygQ=3PB7K|j1G-0cxeEzcF z-3NlrI~als6Vs_$9LaM}jHIl*q*I5D>hBEwVMb%Q{Mm1Us((UP`T1n%qh;^(5M{U( z{5~>C_eFDre4kcJfo<c2@o`(Jnc)@I;aUIDf&5{(tCn(JGpsh>LiKh#q8r&F+{weY zVCU6YGH57>!tRVqU4(xw-?zx%zIi8+b<VOcsVp6!P8fcvy8dA;5i|RFNxQ&B(jN-< zl^G8gryLYq{eSt@{v6(~>byXB7YtiaAgXL?W$L9+YB+_qlr18}(o2ZFJxYvDO_Qk6 zJddhVVVSUpD4P3t<ZMQv$W&OklXtePjK;*pS68RyTbE?*Cbs8K4o~kINgPBWNW?`a zrpdHw?(6UyY2N9fkCZdX#SZEDX(soqkA`edIkIL)l7C*&rw)te&z5>xpRFAS&z2%k z`YX->ZYXEr!H`z3&M!poR9yWi#I88R7DYFXxA7g!>|<*CzW5IRF#gSeG@<o8U&xRw zA}^(Efw&18H=L*8i(cso`pE|2zFE)R;hRnl-o0;`h4aSy!Hx`mBlqUCUEK;P@ls-9 zBi69DL4V&1dY4*d$vQtRAIv5B`Kj-3OaiAvU?w-2s3mtKw(_0dID;!{x%9S0*jErd zJ=(f_dHD_HuP-ovgY)RsI-}U>Xsh$(m7kgqkA|!pS3H*B4Ms46)l>+1!Vm&rWGy1w zxc()_y~)j}Y7-8lIed9hqBj($9$OOc<-Do4IDcY1=`l^(4bHU3umJV0Npe*M?i<c% z&uTd;foTdDk7HQ|UgNu}-4|oJG&?c`jmbTY4Y^G{2Ksjz9b!CF-d6M&c=K;n2u=^* zSv=`!<dpLcsoG7<Z5lTa#d#x2y(4p1V%FfyZEVr8j1$|WylU5d>^t?2bx6gMK^^TI z!hg+)-;WJH?<OdlYnHebH~y&JP0-Pl#Hru=HI<zdYwe|UweoxD5vOo!IF9c&;(&9i z&05#oFDKM;BGfpeXn~AJ^t<v0oc0;!xP~ZE-jEU^AC52TXXZh_bX?;~^h#-Dirjs^ zFd;sWe`MT_s~kEMvcLyf4eS3ps_&<prGGE}A`;W`zWMsYOFEUkIIF^WdL2>Yv=XTT zo-P3o(|08;Z3|@gzN0Y<=WY+TEU~jOFX;$%aa?CT?)p!kh?}}ef;4Zct}#iEC6A4) z<Uc9_pB4)=YXn35rBY*Y1dkbfnEvGp@!ymjQGkHI*fhZimQHWqcfO0D<A(UhL4P1| z)_hmdkm&b%d@cHXlWu%hP((YO*`3KCVq6pnX6NX=zs?#Tjl?|N3LovJr)NXv(}YOZ zApE3|Z*l5=AX><J!L*Cut8su|{uAa_cF;=raK>1i$pc7|iaSO{OpL3OV?<EtXS;u% z_@=GW|7rXf;~KSVYnI2LCGz$+n}1rIT!SJrXn-=FnB6-HV3>@l0WUzHf%26%5hWz- z51)VrtQj#1vfjxx+)7N8+$^Z0s7o%Fd36OYz1PB|l>iP-=)z56ewu3=GPu7I=Otti zXU0TCB(j2tl@dw~rkKm)CR9<e7<*0{qL%=!Nf^o;KgN`{wZg(KFYC@tE`RqMi}HGk zZ%<{IT{2>O?U6Yyq3BA#qz-V#?7ox|mP0FN)<dh;06k;{<S8K7fcOC-!Dv<(4p7OD zfP?`8=&t~(`4V7{Bmm1KjOQf-qV<4c={tXFz{Bp*q1CTQ|1=<QWAI6<8n0u)%@8$? zSs~Stl^;I<bqCPVmBEG1|9{LO|JHPM{$uc1p5W?_4_Y8VY<w4>lrlpp+W@o*q6OmI z{$*(V7X|J6b5IJe<cJ{{Eg*~48G*Gncu5;gPZG_0B*>RVPEh+5Q;nWt=$H)BsLIzm z<i)qhO>DN|#<#!(z+=7z&iiJC^fFY-q8hDgvKxcPHrF@RfqaU}PJi3I$Eks8asPyI z?0MEt@^|&RfH6atudNe^hu^Y%Je9P_sI8Mt5}E#0nc03|5_0+$cPhy&cyd!+U42&R zbKzy|Gxb>&06#ucKPOC1KXf2&eS|GsfU_h|PlE%Xj*cJSUr>X{2R;R32o*oR(a$(X zYPZ1VVLwK6ER|Sko`2oX%QyPEGTR0tWxxaAhnm2`F#-@LKo0=~6Ob!F0suJ!?0W!l zTxNhtx2glAG4-D(fl>mbQ5_(SH2*yPlOAx214Q-_;HU|LO5GkS1eA#YQaTD?2)sy) z_U9ca0YLp8VM7UiJUCvVhQ!Ib{hOKLKbibn+vyaE7271~;D5cu8Z{AoNK4!h>2LtH z2;j=1|I)4hO#vp1UgFOqMIa35@murvic)Z8S#X_17f^(4<K3?UQ*}c8K0yj`5N|#z za>0A=q-L)X<DkZI!g<}_VV<IKz}YBbY!p91&igiT4S28X#trHhj^9M@{`Tj%vMD*D zQ*aL?&Ya*Dh<}_`fbY_6->(S4do$o;z3N1#=(=Z+IBz8k=%q3<yw{BnssMub^1J@| zSc0ItVT4I90j<9TP<IWWAzic)bZl}yF9_hhZ?WgWI7rJoA=21<e?bjm6J`6MGXD($ z1b9lIl>w03n98N!0;oUm2qXljXkK9To~ncieFLl_aDO5par(CcbYKdADF9LdNCn^~ zfVU$d5a{IF6@n-u(vluPeW1(>tP~P(PsM;frUf4BK9K76xY$aduPXsPhz%xPR&5L1 z52+&~4RjJNHZ&S|6x<5=xXin!ec9dr+NYPTzl3gx!k`|ue@pu<1+4(upLOM;Geg~L z`H>hil7An;`T?K0S(t@b((Nl`z$goeozivx+hp<!*CTgCo<`=J$|JtHq>nHDNZ9QR zE`7di{BSApJHlD<0_U5qeVu1w&lkNfLXl394@IY$y>dJr_69K4N4%r&k=FeDZT~x2 zsoAo}sC3|^__9aMuR3g~kj$g`h(GJ*;^y9i`G4+QGD44t?$1Y%9vr#vxlZh!G$?vi za<19EXP<NR_i=pI6I91S)}jIxkV)7|PK<vF)y$C=|4920hcUqY>&-%LOAtHtZ;ify z)A-Ms@NTkAluc8jy9=|Qxq-%g<);~pv3)WH91}E}eJaozUdqUmGbGNB04DzRz0Eaw z@qh7$)n`4**vU8J6%5{&9l1_Ib9Fm%+S>8eeFw@RZc0jSMq?f?U9uM>4qv<aV`uA~ zUp~BBr>sx4Lyw(b^{Kv-LZX69Q{JPxT4Zj`<kD@3Cc2yA<9$uELHk2nB3fx`H=KD! z%?k{M7M~>_@i!CnGJ4Bf#SIIby%xfrtACcQT8qh?4H;F6`j&bv)R<X~P1J3n8C5lt z6!)R9K$FEdLM+(^{@IdJoGvp+EPuGvZxZR@0u*8eE$L%~x%5Uvh{{<wRhxjKv{51Z zU6UX&!A_T%qPDXEtSYwR6z&{eAiHuV){5;yvBK?5&E%|!oGheCDZRYwTA1qYpMTvJ z&0rQ`#EY$(REk8sF>Q02a}hGUDSu{UKdht_YuN4R`)Et@0iItoNn2^Bj&99P#UUBj z%`~TYrDjrkO!qIB3bVYS&M+7jz8;rjyMmR*v){rG%9_4V6g=0a&FMHA*s}Ksn|pII z^atdhTz`C`@>>&PUt8Lu>RqbnIe)u0@%{Usd#obWrJS_5ehS&H&aIP!Ae)!gt{bXZ z?W!guIc?RdqNjR|0rKm<85#6Oo}#i}M2$-;q)ITWbaODZvo7Y15i2S=X8ImCn=55f zn`-CGg`GGw!iW+)4Ru$0m=0NH`pOijh*j)=oA4(=H!1Enug(-^d_~_NZhv|x3uM}O zjZd1ChwRP#KeMsc#b!!#vPlJOzTWuu-m~k0k$t{t<@}C+Ku7xB&bZ)J3BJqqPpivP z7U2<3EmRs5cQs;}Ush8g$_fgohy0}XiKLEK+eRFI8rdMw&U~#n(aY06lX)E6`HuD{ z@9AfxRbWj*!}xypXH?)!o`1m7mM{yQFjAdQzV=5CFL^@dczRI71hV(TX^x!@9!?Lz z2?<1hEy5#ARzsfVpUCdF_+X*Sn>OnXtuOsXW_neJdq_`G^gC02g>|q~_P|~7@aG5P z;h6Z6M+#LO3*8-mD4xBuFvrE;*>`xk8U>;hf#eCWeKtR?Y2T`9Z+|-P{;_qAzHvA% zuKZ$;X2Ds<#}8q5_sUI)p{X-BFR5<JGrv&?!n{T`O9Xb5)nD_}f8(gg(s#L#x5YMB z)Ii@`0^_hJ@AvqB$`IYKJbkS0t0u(Z5_**bIpRb5<E19Z(nOaY3qfgLThll|A0#1K zKc)qIl~7*Y8bRe@RDY9wnPvJe-to-anusd3rS{Z;uZd{LS*X-@s$=m>%C~z>=E-qC z&E8J3x;ElvUI&hESJIXH+KI`KYYz#&KPg*ZDT|S49?@r=p5mY+3hvg2tQj6*ik?Bt z>T_n~7v+nazL<F5`DOaO0*dj=bh2We$a7}btk+qd4fAy97k_tgTfwWU!%!>w&shUs zQTMsH18udNWxPhMUcEBc@9_Qojf7Iw`%v|(LS8K{UVi-#+zMo5Sh_p2!M;PZIU*{| z2`&81(j14<%fejWlwB|Erb52M#JDODi}aI$K}66xM@8`vKK711II?$8E)@xaJq#88 z0;dL1;aaKH>wh9XhI7jME`KU5$lH@q?Tmb6gie0@n~1B?5Y0dD+T_>HYV6EZe>sMM zZ>r0VwVI!Kr#iDp;%s%*w0fcTpj@kt?AP4ju8ycSrl+pfOrA*{-ml9!PntZQToZjf z&n!UA-3|51qWA@y^Q0`sx0@-CXoP#2U`=@fYDi;I?SGD!+~Qfy&XCqwwAZ3xv1Eyf z4Xn|oc4r`OH_2<!s~D=$<V-V_HC<5}+$cEb`CW@@ykh>I=cvPsQ*fj6@5W)RnS2vA zd6P=7ESg_`cJ;kWvAq-*LTv`LCo%WJ*|mc_gxYEu*!*?iGpnpiyl&O5ZJ_x}*SaVX z*|80rxqnN!40_umOsBxyVWOJz;Qxuy=NX=y&RDp!2shJR+k4(MMXnfQ<QjL<I{>pP z>09Q=|MOk{o7eyUH0?(u->G@nIKs{9M*3DHWHo^Gfb{JbMExYQ9>y3YU&}JH9(d$F z-&H82ur7ETleLQUt$q8ke4-ehoDpK5;T9zk`+w$%e0Xw`z{@%Ctr?WNeYtz6kjHn| zR-&y*DkWVoW)fQH<~J&&v6t4fEv<%LH)Q_nU8J0VBB$4SR~1HQkF!-K+3}K8CYgcD z&kvx1&n1}E)ERfugqjfBq9W2Z6GG4Y(}cVtc@ukB53DApRDlwdWkfAqT1(7@j4{!g zG=Do+w45kgMKF@dmemb3%p99*t}qn^a*$^qWY+LY>r&xO6x+5EXtQT0ub>1qk*lyH ztx`f^Cg}w*2o;z^Ba#=H|NIC3DW9r8g3f`Z6+)EQMTOGlIlzJ@soa{FTOo1ZNzLHB z9Dr*l`>p*sV9&U<Cj)ln$XkCd!0xXM<bOfldc3^#paDFHN`XrAcr;9U`ZhnsZ5|0A zk7W*E=g0Sm@)qyS9I@#0rBocL2D@i>e>g&s_MMA8^mzV3P<{j?PPV4@KT?hqLo!3g zJY`tN_=|o+kZddbMR)nGlOVmBUT{n~kmP}2S8O5i&@rCZNcgSstD+)EVq<DlLw}ZJ zD|M1jvPUV?QbT9I1&y$x^GN?A(veBlb8qDbxplfus-1>;p?Fx@2Km!e9k98^D&{kt zFq{tpbli*+cYzhhL%H+jM>tvnpi^o-sKHFHK=!KC#{;3ws_0QSx<Q|)BZD3r-#9BN zyfEc1v5#)ptP22(pbgGK;GzgvO@Gt&?WjrbjT*e}7^Gx=`6Fs{fby<BYjb#*g8>?o z@?PA_OhoQ)0&FK6K3mBU^TjlUvD5p}59p)QnVS=XOlXDM0-ropKkL4>KCLvkfzzt= zG%A0;>(L2^e|EOFb#k(ImB<oh^}9o}+kx}sluP{4;bX1v9Zx^G*Y9WMzklwt&|Uhj z8b3+<Y5b&}V-o`EA4G6$^6803R1Jtn>yDV0xjW<O&1xF3m?wwolIp4aPZc7<Qd(HW zk$5nC;0Pkd@kmenPR|8aCx_Xc$xSRK0yEGgFRr!3{X$Ead2$HEPwr8f0CqSIRtE<e zM2zRYURv;8w<b4P=@6t$Ab+H4fS`v%5d5!&YEWr2BdOl`*JlRns*L8z`H<6^imHJ< z4~yg!@u~sUOoMeWo1SkxRcZ5`Fq^J+j!CXpQ->=CRu3$b?V@$R@S22&DwGLi1<9>J zx`o17C&B5jJ9yz*ea{N{nbLZqUq28`*k*}-O&;D}qeT8xAd<TOz<)le_74Xem)Iyz zsuMMQMJgO?lb=g0&K%)OfIq?;j8#d-^$h+90R!Qa{tuNE#SpCJu!<wk3^Di$4FpY? z;R9bWf?S5Ha~bSorv^^4Qi`6@r3R|<P42zju5+?Lz+6JMy&ZqF!(3pi-cA6@-s#+% z4GqSs2F7o<RR`=90e`+VKUZou975UouZ0vKfC7*5vH`gF=o1)L5XhQ$`vK)89vc_h zQo~@M2@pj<Fij2i1K69(s0{Xlayh*U|8`YjA@KV454}1#8re|exJtG@tkl?H@q!6V zgAJ&R^(5UC_G_FwW8;k8_ryBlj1z6KrzA@BMKVdM7&TUvm4Dd{vO1H(h-_ci2~<2r zP0Qm@L@$cgAe<k<D&FvcE!y=y^1SNsHO*mV)2umxtath?vCTD}RL*GMY&LItjz9z5 zan?Q|+Z69dZ~hT|lc82vQ(OL+icnL-w-dIKrJeAe$XBg!tN>P9D3Pq;7(ZcQ{QRjz zH}+&W);j0!2Y=LqDQg-HMM8Q>ofjIo&Ir9g^OxsVuUv)i->=tNDN&H`w19Bqh}LVt ziEt9d42qx1b6*#V)B3o<^$D--D2JB%pRzQN-5*r7mM^YiO^9^t#N2>X@G;{kW3OvB zfTR0=y0`KPFcZaLD@TQm_6Ot_K%__pK|kZ8kqnP#ZGX+*oV|+<qGzYLc#e2sUWQ~# z`TP;$5(KYl65=3IxpKF2?R9l^{hYM(c;E_Am0(Oj9wiCGlxAtr9QaL}teQXJQ%as7 zlNX)v=blmn`OwK`!6n4SwRlYSqkiCWwF5lnDuJFhx!^^sO|$<gQF?Oqo;{)Px?x8C z`1FRWn18?PmznaZs|Qmiow2{Ba2V>TF{tokzY9G^a1&2Gj$mJ-$ozGnRTNQMP*Nw} z>|$}XKH#5j88J~F?cg_a{&G<tOrsYIIeS(H_Yi9i8o0}5?tIW}he>q5S**BIzU4u) zZ`$Fe%v7;P)mXK4-j}EE-aHX_Xy>Nd$vc{u*ngl%_wl{-`N~(z9*s>3*YY&QQ8`{C zX6xb?qlzW?jPs3>I{f2u!)kd&d1=BH#nmTKuNtNo<98i7xUIX&rYQP*y2|AL6okEg zZqcI9n^(ld$dX{P7+zu%$1-7|tY<=>A8tfHNM$^wl{G-dZ$A`iV0t0RxP5&3WJ1f~ z#(&LLuUusC-8=!hXWG17qvy}~FY&E4HD<!I#P5SBjdH<+^CWG(C*DQ|4f^kS1Hwky zJNCB8tBrK3roK&m{QZJ!ks&}LegIr>gme1(8(X!JXl<xJ^v|0AQXPMjaQv*#@7iD8 zNV{TjqgJqvNq7@j6Vbh;<qZ02Az@d%Q-Ag9s9>a@N3lh|8P_2?_tJ-v+K$`Gg&<E> zzD{^-7@~88D!}H9YUr8GA}KJ?=Wt5O2(eC-;LbC!ITPtzI5tQu`3&}>X#6fGdLs0k zpTBegpSqwxFztnC*g#zcO30cti$9;d=CMr`H|<i8#qXVc^olZk#~%{~yD{HBKz~$@ zRDZb&t6FNNeMkdt*ZdIr@wCubitc@<&gZ(^eeb+|8FqjBl6~Hh>W;Zy<1!Qv`;MJU z$X7R#>oCy(G_TaI4`x&leUzrc0ohZjNt9i@-w`64`s;+Z!uFMM5+#-D4fr|zO4%_{ z-m(1s=gL1F`7KM&h;olp&;~89R)6>8S7~BQ>!r$Ht=h5sCywL4M-jMCg7zf$jV~W; zH657b3^W~_;cIG$$=Nkm<4V>bcE3~y*V6KZ<Pq8*yraGU)OGA_2;==<u3DAYl?dM} z>dYD5_Q`9iq4#PC;!RJ%rdN;&3wMi$oX{HW6OwRFXh9+BWdmxIM)$(J{(q~!-2k1D zH|fAqcOk!VMVpCXc5HLoz{fTR@y=y;gC%NO?&xRFgY?|Unw0K|t}7hupXfNWlPwZa zR0a`g3W>WhL`zN-Pn3B?Hxcy&94!3Yweq&!r1K_f%JbcGbF^3@#H{p4VJkdXdj8QI z@yfuph@%}=4aOg_;Oc|L@PCloRz1S6ILghXi$kaOV1I>J6W$wHSs}el5SLQe!MCa6 z&FpS<rM&2vrD)yvN)@hi|A|jzX@-6~O_d$a<c`B!haeGec=IGS+sq-`Ph164F#z?J zdC2CBXzu61X*JQo%1tM<XaYV&JK4`c1;LgE7r)izf#@~7eYK8Zoqvp<s>@RbNhbQ6 zGyeXQp|ppCKEh-(0^<@suq?M4fq7Xqpqz_ly4z~7uBi2A{Ek`2J|6oO*rtF!WFig+ zZIK+Ou+4Vs9g(-6csI~sJy8o?a!0gm;x+cnYDu*2S1N~BEci8L30Q`D{V+o*#)uFS zTA`KPcOeqAT$aha`+r>=aYtPoW^0kUGQ+5x-oCO!->kAjaX?-HawhR=eed||fDKZP zh^ow;&gZ*6CnWhORd>V^NOuCX@~d^JYl0r%Xx2^s^w$U_z!I}2C6aK2d^TdqB;MBX z?D|1r`%D9Wf~GmsIVs>O`>PA4yN@knf=5{<z{J&u@sDW?A%DQ-lkjhkW&2x$u`m}} z6zO@5r);o4s)a71wLrAV9W;q`!isW_7c(0g+7!2;Vw_hTNv$b@Txfmv0D8cag55uq zi3|OJyfufO%QkrPhp6s5EN(EqAs=N8gv%CTFb1p2#j=#g?}1T9|5c#}LEIdMV%hj~ zz+4VOvCJaAntzE1QA(AQ;kT9ml1GMrt;|MwS!c?H<^`iEWZ=2flnWsg5cyLDZc3pH z63W+z`S0vw7V_29=JJ%c<8ovLQuqK1U#z%$SttUN_dYOyQ)ZP7JVLN;f~!`jqp>#M zSjf}R4!G#Uu$;j7bHJmSbJ=zpjD5qvPxt>f&GByxD}VOp@;E4o<slMEA;r}_*LPUu zTK-XjTn1PLE~`Vscv^q-MxM{-9Xn;3%hM#aW-$Sc(&6nIij}2>CYCiAyFc3P4Ybg2 z;4%0qYb?2Jdkw~7t8yP&%1idZyrTcA(1*y!#W8UiZ2rW>_Y0skN7%Dp_$pc=40B|- z41P{VV1Mx*Z+F6+fl~cQ5gC3(STGdb4%0JmW#a@O8mk<P|220h41*hJstGfsBR7sA zmu<Jf2P{*ndo>1QQB}DVmhzlEuxSbd*Sn4jcb(xYSTdnlI{~=(BIsjt`MdYmDX#Cq zbKh3|zyIJE_#*$dQU2ag8ji&qX0pk7bqSHDCx34p7^SDY#3gT=8>OPWBu={ioWm<R z11ez3OkTQEkRR9zUt!T|>>MScyyPQ~JwHdl=)*cb<<M|#g>L>>cGVBZ$^zp{@Ke6A z;M(dk7>lgRC9{-g>w#%R|5c$6LFi?}S9*OrU`|rzh{0Tx=;G5J7(<o;T#1d+aXJz! zM1P_RBF9U)0K#lr-@w3^fFN25F}Swc4aS0jF+_Vi#pPOxQ@#xGlj<m`3cf#t_b`?0 z>xvWY(5l=H{zD{{1f2H`#B6KqPe*q<?B=sx%F{=t1V{ETn&7Qoj4BgOV$DvHSEC;Z z#2DF9V*AFA9CTmuirGPm;~stpeO28FM}L2>xFlPWOb!p*a?jd#e{k)En!UNvdEm6J zAIr<}TsU<cK43@oDQ%LKaQO9z`;pQbSO2SoYGxaik%UYZaaH$^|Ix6{A*PBvk|CHM z%P}!RL=e*UNX|v5Z*^iDtaQsiy#Fx(*=}P?mMMa;`@gv?n`NxrU|uJz;r=a&wto~5 z{QvC8;cv%IkW>6P<tq2mmX<4%IJHsD$TM-V{o`v<lymX1SNdRof%;c-(YqKE*oJcD zE#uQo!jt%nPTCW!Yjcq9i-K=+G!h>zw5POtEu2dAr1t7E*7vPfnyt}_BWJs6_)<sK z5fN7--mL+rvpE|_%2x&BFzuo_uz$n&_iwB%CUK=Q0U=AY4i4i+^WVSupjcbJN#}jU z@+YYsqB%&h51*!U*mImb$Vp-TQHv)`vh!}{7oJmzp-vI21le!D_j*Na=?@IQ7k+zU z>1kZ4BT4G#ufnyIJFU-lY*_AQ*_3TNBf>QqRT~G^F?8apV4Lb`v@UNbd4K8@`Q1~9 zCdhfr=)}jf+K^_)R`~jpu2U<rXPSlP!49SAuB%u_8sdZAcFcpqs5mhb>V}Fh@66tV zIQ+f%#l*>vdCz>j9uA+EPOiUk@Su%tFpTZgzH}%WOwJ&|#r}jfY7Eiav3Mvv?5Cm} z&_Z`MBfQn&L{aa_-BJdxDt{Z&-<X~K9f(g*iP<R%Tb<FQ3H*a0xG+7QImUVX>xr_I zoflc^aFe5WD)&)mkWvq3;0bCg-J3Mp45z0Qf!3C6op?1E73S-c)LzQ1W`<el@R{$o zW=1QC+RSt7KiGUGY{A<J0##{|ix+-;<%3mF{LUHJs$Ub029JYz{eJ@jQRE`rthqzK z-gp-?Ttf6tAQg2{B}z*S{sRAEuqL;z!)(RCXTT@a=Evp{3Y9J~DJ*{8Ny92mYlE8s zABzkdHb5p&3M6!tI5H1)ki{6-c6Ru2kwX|_!)6WoH1S+I=4{{ARz0{bkIgcr>AW#> zU^*{TA(XW$ZFO^uw0}L}uC>rbXIkQ<Q79>KM!g_Hx7a{u(tkpXaOT$`7rygIy72c5 zoyZ?44@ADp1`mUg&D}mN@6Eg#1%AI-H)9y$;VyeD@bQqq{C2lbb^a`;x^+{c^?ZBT ze5|#sy#Um<2aj(a*P3(1K4A6uyM5x>L_hwt4`%>D=5)y9BY&}g)0)Za+pR8fwRYjY z=5AH*@TJ0Hx~;OE7ANru-<Lz&Xv&Pe{Z<Q|2P|ifl=x;aJm$+c)6I89b{aCwcfEis z#JRU&vx@}@3dze{W3g?s)D3sLA$NgE_r%-F($bzb5>}>Uo;*vo(jb?TjBQ+DXAXpS zp_i#)VrFS!aeu3kSO)b2F`?>c@k5|Fu|z2ktKcl$ubHvjYz6VF_n$CPPFtEo4P6^6 z$v4Znh#Qyj6$o)B6JZz%w4q!Kv;!12HG}fAo8P`ubGyb08hV2(Ite{qM9fs1L`XJn zAQry=U{CRkZP-2M(`RsIoc36^!2gzQ#LW}5(@+Yjn1Ar&x#QtbwyB3OM*2Ck3@Mdu z4nTP9r8grQICjM8hstZSjqpA6jYg9@7TC%*pF^CpPBN)BDyp(C#D+{9r1h~pW?qdd zneY1TG~f;`LZqoEOPWp=9+2o6jp{}@&iL^#|IpfL$bCj!zA5o!%d4V6@kk$Bm&<0( zIjj}a(0@_A1p7KF_S)CW^L^b<%|aJ(>($>iAL7Za%^7%EF0Wqvteh2RJ8`BN$xU=O zS$g5}SqNojm*`R4i?<ibwytsd$I<E+3!m_?k*O}p;knb=koG05*x>%$ukRA~=~G6I z^^_FNgSzO|1ETCx>ijAo&&XO6=<zjA?1Ldz$$w5L^#iPq>j_)?Ag-##>(u6z=Z@=- z8;Dg=4aAAipb}A9Q!3m!`#o8Vk*_)<jMwfoOUUO=Tm7cWrBnzV>qoXtiQgR<txEQv z>djjzXErS8AnVIe$NA`oNc0iM<+v_`S+P`&d)5YiioFA7-a8X1vu2jBJYbVrAG?D~ z?tfZ8kE2Vaaoym)Pvu5BRF+}o(~gH01iEv(7UYCYB|S93#j><D-%ku)`+c5%T~O{! zT>0*>)J<v}Rg)4$qj*rd;w0fyJv%|$GzC$1+pt#foYkkkH@`kCAJ0_Fg)mUkZ3vK2 zz8?;|{z10FP1Rgr`ZT8Wgvz#-PGGO73x6j|{KjJ=EB;c&<I`1=__zkXGuKd$LaR6^ z=<UDk+Wl|JD2>?$=LZRwkMDSo#QB<)6k3O<5NI}*pM(B%YA(qHwTHR~!*BZ4f~eJ& zIjGGxR3VM3jDSxURVK@iK`Hju5^f^1LMj=mAn*Pzv_Q)??~@EHLDxdjtFSHd+J6G7 zNlQO|>B4`&Q{1L1t+!!fls#Mgu=GRX=CPuW$gK3kRJExjw%4BurFh7M*Gc$xv{-Tr zr3f^#zGRg=zIZp4<U(BX7#ca1R7nP4@l=wsxoZLkmT&>iZZ4EnPJJ6Ol|-j@3*-!3 zUQ7rli}+(mwhGZk+AV1QnSxLbNq;2{r`j9}4++k?Y^1fn*k*u9@3O(9WfVbB|8(3_ zG&u>X>OJl$QUwG>J%UL)19i?!FzIh(2)g-qkhlv#co>mC^#Gw{L|SqJ?iv5sl2IQ4 z$gK{9*Czt0xJXcLIDovxJr%=+4upu4-GS0}LE=KdC^zDnT%uS^=&}2M+kb2EH>TU| z7gxlJ%lss8Wm%1y3w~rieTeiQ0D@?dKgIv9bN{0v>jH^OVeo9#VTAV{LC`#S2s+-k z2Td%P&`S)pWhUyMKPdPDDqk0xVNBi{n{f;G5^+%ns(k)!Pattj5cFN&b~?=c1~j>+ z#sB_s=Dz^3f)oxO)=yTrD}Offr|7;y)61q1=|EmG<WC}jU}=p$(5cuxYeB0!(B^v@ zMY9i32a-S&i;<uXBmgl2bT9?#ApMSP-`&%#5Xr3yytmSS_>lQTA-AB2%Xahuf(<=3 zhbz<n1I+&FZb+OA!6}*o8+u6+B7Lm@f?j3<JwpeiGyzib0r(I=YJWiHpTK(=fF4c4 zJ@o+w0vH$=*)0F~t;ntU17HHiL*P>&1>l0l141o<Fl8W&2=JjNM$jz-gXLNc1SLRZ z3kZ?Gdx^231m*x#$P>i??S}Z*uKb(c{Xh0^pJPDfc3$r;MZT2|cl#tAjiBoUqxf&( zXFHwvy}#d3^B<HPUVnH5&5O+d(qL)px2wI(Am|$Gpcm-YA<(4ev!41?!oo9EYY8H? zARPgCFBjhxcVs&qRq!e%5O9cajgx}Jk)Vy6C2fGNCK);)?qKD5V__~xc|QY8%LKmS zhmF(!6BNWk!!WqIs`zN|&mXU<8_;-|J4u0V^m-jTF%HCg?|%z$Mn&mG7f|g(M^7t+ zF@gnf9Az^ty+H#CN{pcAd5k0&>!g4-AjNm_EEa@Ij+H5sZfOG=zz7SMJI_^6%=MSc zW6)Zv93y5wqF}^W58$h3Q@#fpctlH^&iYo4x(hc+KKd4b$)LT1X7(8}G>3@@Kg+<? zl7SvSLVYSpo`1lQx+^#N7SwXahhWq-?xZ1lJbJ{o>xxl$HH;&+K_NK}vreUghAXr- zHM@Mq5h)x(t!m#CehxM(=N(wFJ{D??)&UgSdojEoQGF@rx4-P*0mOyTY{TqH>yT`u ze;QX<Pl|6uUy5%AAh*96!HMS;Gy$RtfpE1#AXz>61AjB?FMy(^ZR?-b4<t*>8$%1w z>j5S0s@_tvmBDC>)*<$d!RQ|%*IQz((F6Z&Yu^7dS=3&)tvz};_xDQ&Yth@K1D-3{ z40SKJebgNzFpV4dc`BvrPf&j!sB61V!ZDbeT7@-L^`HtuYT1zBG(_zIex7lhYB$m3 zS(v3FIDdKUjIl1zW24X!kO;y}V^Fv83$<f-6TVwV`VB1z=cN<SIh7V{-_LNtlJz?K zJwLj9>7e(#_5~94;a9;ItznLm#%W`+`_fFwGf#(j>N;J#W-m3MTGq9BbHc);*R$k! zDau3fS|;_zQ6RRAL5`t#;dnzkUARJ2nX$H$>3=J-5-vhjijBs1<RW1moI``EOfP)l z?w;l3C4|#9INS(3cU`y?`A5c$fv7K#?*jryU$m4=k}o$YUeuEFlnbOp{Q9yUWa}2g zRMR;3((Dz#xwV1<jJIlRBge+qtVmPsA<CN)^xiS}?c}D)a;2btxSq;JdW4aS+)%Ik z^M9h5g8N*jk|D8-mAttmZDpXrR}!9nq?}wlV^Jx*<3CTtxb^$FlNT(C__tiKGrfil z?jQT~t9|^Mxg#DE=$)s?3A<Xa7VEZO3>@b(sTBRzx24(?jfeM<;FZYij>jfefQJ5< zCFh$$Hnn<|p!0-1H1p2s#@)w%y0+r&u75~Lckgr(gGmUK`53V)q$_5qH)G;e8r8Vi zJpTli_+>0^)LmryJ~&^l`^DAUSK2n5_qb$|S-4M6%XrIEO!)Oji1>5sND=j{aw0o( z)hY^{W|^X8>UZVdhObtR5ARj@C{gX-{gykg^RkS(g;!!h=G>RYh~7iEPUfjgy??Q~ zxDs!dv6Jb$@!DnQqx0trbn4|-n|)m3)~s!jcKZnHncT#0-}H+5>~iPx8?wH$WSQoC zIhLsT%2=pYW&P5+$*+w|)OM9#Z;N0J`fv%u#Z+Z%wHk-2z9q0+EY5h7_W*Rrrv5G3 zNS{YnH)fDvt?4R_Mx@KRM?u}BdVf4)+~{*d#=*VCXiTALyO+DYW%ZRv;a8taaGuo6 zKZ~*8E-(0m$CJMD*CofB>~in$%H~*m##hu6!ONcUqOSMhGnatAmz)ZoR=O{o7Z2B8 zAYWA}yl{M<9E)5lp-$#W>9ks$cDy9Qqx!H<N7_2U*}TU<R#8$K^(^d#-G65u+GM9k zv*tQQAIb(*WViD)+l(?E^ad*YFeP19{XtdhQ<(UbJ4z~GePUMcGro!+J=Merot>=8 z-lm$Lj7pvBqpY15l!8_#JdE3z{$)#1@Q6^OS{~Y#GK$qZc=S_^a2@f^>SMp3cmK5d ze0_B~+`Q?%uCsqsHva(U^MBTbGSNtZcc+imGLf8yrjiBu!gK5o(?oJCum>PflFv-I zOkg@p`iV%>XKa4Bk@P##<Iw9ijW@?S`2@0G4GjY>{Bcq$IrKFS=+;~0P3u{WL`BnO zS%+UtEIiY>Z}a9)uwi4i&Ev0$f&*XIJS3jPbJLS$;E!jbP-3$i#ea^osmP)C8?QIJ z`o9r0JpcOsnMgP7!|8^AI{S4cvj+2Zs;<G;h6;s>{l~7A+anPUCSM4@gRFd&+!78+ z9fyl+>dP|HacugcG!uWYHoC`1Q#qrwJuU+2o_orFnJ+V~i~jYkc!s;6vHX{(YH`K( zwdVkLed;}(;c+7^Xn#i7lk*cj-`eT{ny`Rl5O;3Oco)=}JmJT3V!1liNevcqrds74 z&HyU|-5R8O_w}8gY(>)i6+MBsLS@BIT*(j{bh(1o<J*}jPjY?9gDo&Z@*xDl_b^oM z*{T$%7PzZ9nz>b~7L>SgeIG7pgnho45{}DnWGkuAYT=3aC4cqH)1!E#)KoO%SJc#w zwf3U_Nn_f}O`XaNdC$O;#_g9>T9wr=Osf1Ag}xZ&nr;?07ESFGzF1T^X=E#|c+%p0 z?>qO4%E7|M?q8ngFYBJP@WlQ4_+_S{*g4HFEAbcXm*@R2P{WoH4bOsKo((Vc3r%hE z8{JPDDPHQkwSP5!bQ4<h|1h02SvV@Swjk^GI$*TRoxnJb#$4u|<rvP--rVH04V!MY z>Qp;Q!C{NSS3^SY)2#U?xvbOwC&mpN3e@;hr>&N784$F_T)BxbM0yS&Shuiy?-cpJ zUZ(%c%XK?Uy0swm4j7Ghif$ym16kok{>*re`!=`|5`XvbIWd6I?_D^}?_{d9$xS$6 zw*s__ZQBb|7NAp)R`36X467QLq6oU*1EK>LVAOalp#B})L&9?6V=2r*-T;@6(>Q)M zj014BB1l}$5jpBWFdHUq$~dbn1%fsgN3+;TAb+v}G)mSQ;D;oZ0Ewdp=x95@I|Ddq zhn3;VoPW~t>H)Z?w%w38J%U>x!g5r{CZ<P?M<M9S!T$j1rf?s?q#Xu4CE$3Qiv`Ff z(gTS*0g~^4L!_q#u%Tt<Kn4iGslhN9r9BErFCc?p6v?gKg5VTa5F6?r0}%Ldek4;D z7*&<bkF>-G5?KR@)PY1XfQ<5ELmgieoFd!7sDA@|phCk?hv$R^nNJoXEdj)m_2x?M z-8)4m|JSbmcgD+Hm~>+?VZdiz1f2<Te76Hw>kyo70Z`Edlb(A*W-NqI`oF%=8^C*w zraWbOwBgE<c=VgVn0nu(=}L(jm!Du0AFLO9GEpR!7?}83(*v-nPuPgvJKbVlt)|6- zHh-&kYy#tEt8njqj_?ULGM~mnihS%Xgb-GXO9}Q96l>)FlTVW``%fTW=_OL{jpBo? z;y&$aSAOF}TjcOl>dGu@LXGmBqW8s@)a+#8#l{A=R#K>Y(o5dd8ak+Zb`dhs+c!$O zp<M^nkCzZI(<P7U=NAYV{k)D$^oXuvvwxws)EwdK$<DNnmKN`R0Ci8ew=C*L0-cc0 z2y^I^fudA!da~a@{sMN06h;V%9A;n-AIJgtG)K$jI!;+2*dKx`CW2QvZehHd_dU+R zDo3S7{eUF6;?UH;KI$tkG^~#}zwp$`8JQw{v9;7Rl*;7sfnV90$})CHqlY$46o2gT z+SFz!{#+0+<N$^1zEq|o9H3@daHH=smS4FrnO}LME?Qim&3q`{HwGxo00kVt)ew+V zzzbzL6hAwd%2XWzBo+nom;n_${A+z`iS&}ZlZWE`Iu#kNqrD?5^#w|%$_aMdK@FQF zWJIR4I4jA@cbdajSfoDFaNcL?Lw~fLmZsgI+`0Rb0B7Hi<E<z$>IocQJ_z&eqCpi7 z!W48tj8Yzht=xg>fpAD_7;Kftf^*5_sbJ0^7e*g^1Ov#0$p;5v4pJtjRmy#1_e1_P z{|+l*$2}0C57s)Q+px?a0un&<EE=QJ^^PL(=STH2;Pz?eJBkM%UV`j!oqty%L{=kW z+ZCTOg2N!wK6jM&6(<iqjN|q&`hXBAB>q^4Cm?EE0TQY3_R#j|cGx2t#7od5@sJ!J z8G;rhj_Xc>Bx#N+LWEKH;%+deM&#ZJrjjRto#SHQMv-vIX~7^Qux{LMdDjAExQyVq zh$M5Ra}#|5x<djzDd<dA7JotR15O3pXmnj@6(ej#r}_I%m4z@cCZ`#Y?^eV?BE|%_ zcMu~MBdI4|W9U=fm%8pWm2<_{R5X;mlgz*G;-_OQtH2sJ5x5<)B--6Vq@wz%#(bSz zePvKxO|UHxT!RPq;CgT;cyM=jx8Sk^39f<Q?(XjH8r%-<?gYQ&d$;OVy*huU`pm59 z?$tB3YwwwEAAwyN=ToE(+?Jr5&di(voZ9#el&H!!WgH|XPy3D527cag_Ltbu8gJmp zMq?u@KjIas@p!KV&0=g}T<p^ISB<x<jXXgv?nwtHTq@+Baa+k$$mH?W#15Y5JHpCT zNujh~9~ORs*t&Y^G@x{s?oOC|$BCOAVHuTfMX3T3lpnJeyXr|s@ax3hHY1K(ny-5C zKI$YpN_c}AMw{St9Wt1$i0$<+pC*9Z=n5+zF39wQi<Lin@q5_|uY1_%*|>(?*LGh> z>IL<#V6JLU9}Ku!eTqh7z%wVoSI~^1ccO;@3HF+IEETE48S+xYu#D1#8D|bm100RI zkFYRYosuM0Ea&M4k7qZN_j_r>L>a_(Ups9E+HR<f!L?2H@+UvmP_(_;+{6Lmy1Yd+ zGk9$}Ezsf6rQgQrlL;2$-7ff3AluVbMbwvJU(W?es@fD4RL=aFRMC(irhvZ}uLo~n z=a5W=h;ui-*v8U~GuQKOOrf>V+s2>Eq`W*a_hVbw2?2_;vn%Nm`;*GfKFGz{Wzjhq zr^$a$>-pC~R)b7_1uqz8iv2*66K6+6O8XJvcuU#o@3qJT<W;OY2@s|oY{$@AxKk1% zr+a3oAQK-Gh+`NR2P>`Ci;iW<RPA~##r1Q;KxhX^e40dd#gVK~Swp0$bkfI)`Qea; zb`Nzf)2DBLxp_HXJK}_2&!LCoI%sTcaLzd=U{UVr2X|nItqQ&!ozen$Moybh)aGXi z-&<&BBd_xeMf&4AUR(sooVvAdK`Wc!G9F)RW&E091!f(5SE^R6mX4Les7tbnAMy@v z#V}uiN($yuM8{ZT@`vr4b;$$|mJnz?YW5g2mf9n7TC0Y*8d{UJB1vs}1UBM`^C$%( zjm608wlK+Jv)#8>x-Q@+u4mWy&wV#cVAjnAoze}~sj?TeVy5X*V5O&cVz6`R5E9~m zDBQ|Sa3SS|^uX1`;w5=f*;v5He<EvTY#Z}_L%G438FBY^-u1r2p@DB5xP^91SWkya z>%louhx=fOpYq+>^*#q)|9lDtlQg1+EV#>d!OsqQ!S=p;86L>us)$?#t=JtI?A$pl zhB^e~fGrl=w(l0`XWeTx;POHD+zS4Wm-WJ^Z$WEla%-0N+&JfHFRr}1VD&6PZxK+y z{Ym{3UdIxX+Il?xvR>R~8GezJe$$bxzo6w1B%OZwAM{2h)q8`7T(BhG#Pa<@t(yBT zWMNa_$F9&kGJyQ|{a8<W$dqlOS!7g=t4O_p_DClg6*1y-G#%dL<K2SXoO`_noK6q! zl|RH;kUpt!j{qus)=?s?_E&ad#2q&y0VJ@2f%0M`v~C<O2A^`4EsAZ|^<`5dB-+$0 z^Z3@f;~k`RwA;D~PwA3DqGv-ZO5IE=On208qz>3rM_>(vasS7=rB%qhlu0T}U%!ap z(S*K3-@4dHDllsio>E8A7Gj!?X8r>L4%Ttd4ni0i>1BZNOAMVzwZ2qdmL_$cn4;~+ z*w32la8@60hDBCumpTbz`D?!5=kV2#hv=mQE>b4pAT51J^fYEh`eci70gr!zt_n}8 z0Z;cgMhAfQ-+Ri0e)6JsO#@f^c1P(icFacEFM<sv6>O=ha^V^<>T|gousKGsTfgJq z!mc1jI1i$VP@j1jMI({}7=}os?(p#DF{#jm&qD%G7W4~lM~QnCCbLK{^d!*XlIj}) z{ps}Q(8uc(s*<ETA{Wo-@HBnS&^?4#F3?}_V}aN}2qTTKKrxIYFJmNSE{Bg;h>r}) zXd=3NMa}xH@``9nO{j`4B6qzoD32Pmb{om?x#;lfLp$(4Y4eznHiPZrLc0bUhQF*1 zu8oO>7&@4fwN>5sePKaJ;IC%x8mL&rogKHhZoVs|k1t#`tBu2ekyJfcw_&9^>%ryu zjRK%P%1iJqEyS|ZFW`&@Q-$+%Mkd*$nrkD~rI4>Ko)u5<7kMw`X+$TPXuTM7iI!AO zi)ksmy)Ge_cVuW$aZFz6t3asNHw#br$BZRK?*92aS!(REee`%Ch0S#fM{ck|EyDL3 zm#;-cOT&UeP9aNyGJ{h9J?&}i=w+<i91S=#ymUp2x}^{m+ieDU?8UjwQ(9VT<@HOD z7A^V@=cTa4h6Kj%re2SZQ@WPyn$zFMR6It56!7yeR*fSn6nuGVe>Jxtp1nQ@*gK86 zR-+<y?)mV07reozlC~f=mpVwac-ySkSGQDlx=WL1JZ#;O%Upo=2UWMSHoqI3wFC8& zr!()@mN<0?zOvq}MGn!N+KoE9rCD$@yXbzIq>JVU*D)8M0F&bjzBQS6R=2ZOk8aw; zd>T5tU%2a!-Lk1K`8FH>SdNP;2_H&UHZAd@w}Qw`*488GmoQtG2bel5P28_=laF-v zE8xYCt=AUkaMRP;99v8Ljnl-v&4A{}<-#sEI=Mw0{yqLy_(3`r_sPWeP9<#8#KCBt z$B9pg>rdCj4OJ_3wqTp;7nTP>ql<$)^@1Twj#W;o-+SSqM^Nhyz44C0c-l&VpN}8^ z@@$?Zg(C9r<1^>X5wGA?6@?J`T8!;fJO@lVw7A`}5@RTx79erSD(DMA{Q(A@&GnA% z2U)-#B0Al-(dUl>&hIx>?wN8Ot84wdD;g|5xu3N6`9wW8AL6t7DHO*at+E#{-*5-O z5<S>mwPzmR4&Cgf!cE{i(7Uhlv%JV{u@$MiJ>xK)CyhVnL1z;j9{pXmkUxq)Yf!&& zUSIFT(2{DZJ((VUY5y|)F!z4{s(UV)`#@dWB40-hjX;qe!#y5HPbONSqa|S;)h#~y z+Hn4mqq_NY_CyR%E#+CL+{csq_xXyu)R#Ms2&{N2tQvMDT1r`i&|$V*A1axF#R0Y< z46Rs)gcHgo6NcWY#aglX6BCxZK8BhI<lEJ2Z@)?JXRoOH==WddG0>K9-8M1lslR{A zbM_>-mqZ_unynfIGj6hud?r7EF#Rf}$SN7<GA)&sz0chD_vlW&oz1^+&Nm`Qbe8g* zdA|7%GpT+StTfF6f?yg##}KALD=}9(8lU*jI22qrz0Z_RcYnz#;oJl;bdg(SOrL0Q z))60h$sQ1&jrPcuz5|5y5N~1J^0X4-9&RR7nLp^wD=<5&pi>fwadclCkl&!TIf%Jl zIZ)3CxXXlCxT?!@k#YdBNYY7@_v%(updK+8wzhg&hk;*7(kwO(bV<$P-^em4#-k`I zl=X9(ekoW}8GH{nC;s?hNH1^6=%<7@R=?qB+@o*%8>TIM_7~s}Rb`Ah^~V$}&YGFF zu+oH&0%-YB{Qa-v8(EEa`#Wzt+n^V{`3b(bQ+M~;jl~eg7I7Bn86sV7m%yg4H0M8k z#V-__hSkHzbK%j$FqW*dJqf$ze^GX7*%FJU<*DWt2<+=R_S}5_jc}C$l26Ns4qG`G zpnQ_0s4OpUbteY=lF+HbVmNR`gV4YHR{nhyAX>>PFI;B3_vw%OlB8?5>|a9FeW4jP z{C%%Zg~=|y_{~DE{A-H>rL&M<7Q&1vpj#&WhS%>9M;LfH7aDEiP974*-PvDB%)gvK z54B!bS8%fy(Fzv(`3u|2;C-=FYm?mkg=ydP3)~GdsMS#cI^?rN6bYW8uUK&yq3U}? ze~oJ1NYfB@YEFtn_@-<E^bH7|7Oc+}=086ec*CM*5+$|PW@0-dT1OUA)a$-zKF2D~ z!t`;&h^c-yb)Wn_aj+N~1BdgG;x{ICK25ZEA9Q~zJh`d6R+QrJ(50URNi|`3!+MWZ z(MNvkl@cItpd>IIPpfhqV)SEh$_8dkeLb>Y5xQUnakLqszqOu*>qWSA(ktfZ<f*&= z$GJ6o>Bq;Gd%=aH2BysmDheo9yH|}%*)J~~xV!`~^7dzfbptBDCWC0Lv5?8QqnsjF zSLY97Q+O>$CG7PPc8bj$LygRpk5Lf1jba`C>`m)C1MBmNt>$5dbbX>wCxOF7VKf3* zuEiO-IO{8Vih<T-(k>|da$($NCofK&zC9bcxi+x0yg!m{)<`($@D*XC%oy~&wul9* z^`m@(O}C-qQ>wc8W;=`2!pux_kYf-g6rEZ~w4C(4$^tT-!$_yIb>L0N^h;l2vs51= zzLHX%0Vgy}QVNPuOVY8}($E@3H_*`MYMnAthwSednsD;PU!BMH6-MYQ{8V3^DbHH( zD|;N)NTStdvj+Ijd7siXs{2~!ZDMHSog(W!6s=*#rcu92_)IhUhc1d|J0e-vn=yCz z<cBO<{X*C4!2Ipv=3^=*d1o1JP^B)e1R{h5E<pKujS#R<f0taH*6~IJAp1!Qn_~2E z@BCALvtT6FK2SfTz@aV(t5OlVw6CL9aGW1Gesn8=bq%6(?EYXxv-M@&)%j&Rg5W37 z$;QTlMgt@Dx<A8;LPWc>Ylt%|e(O!K+hxIz>{_M56k9t#*A|}cwEXpC-L$sYXBo*a zK)g73N|21>!4ywwPL~KO=*`hujHrtV!RUHs#u$FD!`FGoI3!Mk#-~o^eDAG<X#3P1 z@#9=XMyBEDDteAsCTz1GgI(lCb45XvUY)a>^C=U_@rRGTvdnOyb+;%KYjD>E*=OOU z>oD{k@`K;y^@^KOndEAjU?Wj<|2~$?0$Q_jHf&;OP2Di44jtvGbI1eH?O)3qn4kr= z53b4iWO&4(<~i;mY>uH~h7!@*M4g~}Fwq7wv9VAGqbl*Fj`&kGuP3S^8wS2RI&*M! z!7CK{U@4UgMQvymB!}t|-cNl4eQmZ3AD@(>w~#0qj=&=FD$+tDPg1xQ8S2lL2a1cH z+^uc9!}4wVEg{tc@hM2P`c>Y)ba{{KISyRYB3qV;S|6w#uD4Z4bXYT2JyaiNLg>T7 zp&~sGkGh{R+d2!YRw6%(+0?y4p_fLnJjZ}-2~6zdl+jq*e*dPhG@#sDG}VG$MlSnH z4gss~Qyd5P^&yQTjE3*os{3btATUmyXEV1)Z@y9W;EzWEeLZLnO!hrg=5H@@@~+hK z(DygJu<LB%hM-7JsST;^nZ`|tsws^iyD$v2yx6-x5xu;1Au6BNtD{5&IdDby6??oO zZUTQ(-_r_t!B^~?Kq29nL+O}8jS3(_n5@Dj4m`I_;TuC-G<muibfd#I0);Psq@&@3 zv~4Ej$bXw@SZ60UI>%?)<CHuZ>zWce^19oyF<~(GL;G6<ByB?sM9j#>ATYF|UX$rU zShl#+=r#!>-&uh9!nu4g=`nsVlCVod45=a#Sm=;%4d^eaa|(Bb)Vp*o5z0YL?1?J~ zO6A#Doy-vpk}wG;znLcR0Q5#Gl0VXu>3sv5E0!pnom`FBkFdxztWee<Q_zW1hlJwx zgjp6?Zz*vN7<{5yfB`6)GA<OyD&qnuMLp4Bx=(dHl3AXQXy!&Qtvw0h{lX6Y%D(}X z&HKSy^~(LIPqrS{#_<_Y?wD>VzxpLA)`uggk}`dH%BI;w8G{cufqmP{$RZp<Izx)S zA155X+%i(d#_V%`p0bjy4`v`&K?oG7pHp1ZsuAf%rCq|_v<#TPh53Vt1kw97qIp}% zA}I&uk7MD<Ilkw|(i4qejziR$UvyfJjXDQvnN-J>Dnpp{vn@eNYQ{U?g`2pYliUtu zpIvK1B%Yfgz}_Vx04J!Jnz(_2`j=4%eGxp=!+piv!+oJ2+ICybo&Odk2<8M=RO)O^ zmDfL<S`ES{a0sKlg@$6nY(Ud@a1eXlf&+U{_-j!Hl@0*HJfBbe4=Z<k0|VIzU6`CN z=s)qeNZ*T~j?M0_OY=#xaDnPcaSdo?CBZ^M#&C`SGQ?d7z@pN3Obq|jBWst%v5y3e z_-}uKC#LQbmN%bnd@EMSqmq_y#}7+N34L|m$|(w(vTJpr875A;$Jo9UpSje=w_aMh z#<d6fSd@^}L}3U>m|L|pLgZDQq)S}BE#U{~O82B7A|Cw!hX-&mh+zhQB9*ogkeWi9 zmKqigOEFRX0`zQjcb!`#`EP!1c?QqQpwtmUF7;H$t{=r*{>|{WKA4S&Dw|pLeey@! zjNB*JZE^R5{f$aI=_{g+*uN7qr=!x%V<S!37+gAk-C)-`Pq~r-{_UpAp!G7-x39M= z6+JrBl<Re9x`OF~=h;1(heV0<)-Z;9?9BIY*((uW1h_x{{$XIg6zKioEA{>QDwFqq zclm*516Cn?3&opj+s(t4UwW;{!x!EAhR=Rw5Q(TV>{TVVi8d3UQSStAI{S5_w{CCn zIS#76(YQbo^!>pER_VKoJv8&z@`_dpvZZ8d?KjIAmo}&NXr;qRnd7M4Skp%<Zwhz% z4`5m=0Kq7<c?q6adKmYd1og5L(TJ^#(|N=pd~0_h8hQ$KcYDgtpEX#m{f{>%c>Sy2 znT)f4CWGk(mK%N<&^d0PjHVx@h8;CvM8RF}<|AO!?bgp%6~I$=geqQ`Ph*>5(h_sL zkX5hS6D5b%s0`E2-+-@*8HWiO>rzm8Piq2u0M4R`vNEeM?E9zPTavpbnQb|SIA6B# zg3~qY2L6Sc@1zZN2pVr9whyMx(G`Ykw=2Y;dOU5br^b=<?X&R|l$VX{_}j`e9{6*X z9!M@uVd5k_eOiN=ws4!KvkG^-qgSHCcm(d4mwPOJ-5C?`_!IZ5w3YV;9?4v0cq5}8 zU_XwBGDBp|XV8J{B4jtyX9ZLqhF_XVYYWeL#(6Dh7)RoT6RLB7AM;TH!14u=fH!sQ zKn*(`$Q)Wm9qYWbw2K+nsryN0aRkadr)lt0YS5DqwL<A?qh$T|-xT^sdALKrOGkz? zFA5G$Jkg~e5+a|~e++ek6MDd<YA}od(VciEc+YHdl?m4)sKfUY|NZ3h<}tVjI72rN zC9zNUb$huXf619?&RdM1TOJmE>dPPt!uKpE%ZdMCR?+2C$@%T9{2_x|dQ?p_+I>=% zeEP?B7i|alTuLf!lRqiHpDhy>DV?5ueH$S^YLw(tgO}_ONt#VQ>M#j+y|N^*i&jym z+&MxL(!i!su3g-F1(#Aj%lbgdhyfPohL+7~MF@6``I{CfIuZ95f?D$49!h=@jkv$D z)6mn6KJ)XG7ouV!B^AU`OCB_rkwAq2*%z_<-GXzEQE*;r%Q*ta^LUtdOvwgTiv3ds zDnhy}6H>l@$u&2gDtkU0>enIwLBGH(lXB|()uYeglAp^N^t2G>q^2ba536GEc6V2* z?Y3=2`{OXtdbEBRufT9DE6w4UidpP(kBeKZg(=L-6`y+5$C<VLfdS>tQH>|e%RI%e zx|==ULg&6nfMijW^uXTiLQ7j^r4sh+VT{;{HJj8RyKZlgJ*n4W0G1J0v*Osr)I*Kn z`J>BTOue-6jS9VKJ~@5b_`RT{O@>+(myYeuvh#Sc13NJS{tk*|LiSt!ANk-p&k$%7 zbr||_P+p-^+4yXVA@Q;iP4fxNZU2mJ)Sil3cSl_>yR%l_U<I&AI=KoS{_dA@wow69 zUhI0m&;Q8bSsH1t6ub%8PLv}Cod+VHBm^t<XY6GpfjoKlTX3POhMzY%XZ`dJ$(xDo zS-M1Qj#fAdIO@-}CqYaKLI}Cm0`(g3*6*iQbGy?%IV`I%2bV7qr_;ASeDww8zn{{K z+;+%Fn#M%DDneFYvVS^RDh#$Q{Gr5%tFPGHm1HuGd&Gh<?!o~~ek2$1nbQfoKFB+n zQ;hD&hIl#`B=Me=bp6b(E7$_7*P5JCQA+wl$mzW*14aERf<-%@mi)u7c&|wmKQ_pf z>zA*lnLXChie#6t)5W&3o6vuVL!a&#Z>;dt|Gva)tT7WYqm>}ZB)Q%#;&oJHnx%RW zTQ_Dj<*7TPxvn4r%dOZ3>8Q$we>mA<>Znaryh#Q2vkt$)G?Xr72rRTz$tiH!k7G?n zAqOx@aFFF-i=Nh?46}RgmIkegGhJtJE^{?!HjT;9T40Mizh~qZ|B$}VV|NtyQ2K$Q zbRqUFz%kdB_>*(Ep|k_V`65<lctjU@uDGY<GK_8AxuZKDFlj??(H<$=Gp+iYAhM~L z;xvKNJ3GhgoB~WP6(}mja5_z<%FKLKG1}H5_kv<CROtP<I#M8R_@Md+O*47(!s8E$ z?Jj{5LE^*qh}Jm;Be#R;_P)dK^k|VI;>oxPSA==2>HBS;3H8p~r=;&;)|ARpo!4KC z=b+3LjzpK;0KMYBy62~Yncm)R8r)}VOF_sBOA83DX_r0D{R_e70^asX{R^AcOc#80 zMX){Ut_=7s03*Uxk?4I3)@<{noIggH59?0Cjf&8yRgQ+}EB6@Ni)2hp?{T~r?E<u} zaNk9;4}pqxL0jAx+aIbHF?Mx7=FsU63?{*6JpBS8z%!}+y%sQNJNC(0%}o|+i|DwW zWw(9J2pv&qXPfKEoafSr^Yyu$L#9&n<iJ;h<FYU%b7Uc0myriJ7~No&T&`wXN=ol= z`*s-CW#ew5wte(1d(tVJpx0gbq}47Sz1T|K#ZM{cgm;zbl<@^)uW|Oou4mGcc%fRM zEk-IF7#o>0SK{PH5g_J{sUcx|TU=B%+~?Ib5?-9yrZ;*rDH%gdwHQ&n$?yn~dsVD+ z*K8ku(XpoPtnO%K*;ov7Q+blHCnP$R6a~zQmc|k4kcdyD#O{Hvx)Y5PK;pg>L4h76 zYaA!rcec9tGmlCCky`XIcA5T{x95ayHPJ;tcjAfEcQQ<r|L+)qOntu%2g;;}1P<W* ztb)@(c4rFk+O2??*MgTZ%*TzbW8xK=X}^ttKvS<%V^J=%hXYwlsi<lbjIrznygqEQ zVL&~t+Mcv@;gwzTCFR*9lCJ|*Y})H|d_!Ehp{J^J;)Uuhjy1fhx@u?j_*Sw277;*> z=^AcKJ+J6o(5)l(s<ejN6B>1pZHbf2JMmX~J}MnlSo85YNZRKE+pv{rw9zWjt>~ZQ z>^M4nRNLo`{UB=15{86^Gdhw%YE*stH<@d0+h_7l9E~>a(Vk|0|HCP-<NOf(wf%-r zpAUS%oKyF}?t@d><(4gKQ?{i7-cMjrVcLym`atsi2In@pp?3mmQU}9P2z6D8Z#qqB zwWDMZIr%1Sk3uv;Sm0#?$sLv){)h{|hL-!w<<*N~opzTy(tgya46l0S1L^(;_F4ZI z3<F^bwN+K90TR<>_J~d{tE7jX=Bc{6y;$llaILuZ6pg?WC{LVxRm*-Vi4S<~zD@g} z6uNm_gy9!DPB1r1b85NL$AdC>(N3W<(0Jx<N%kj$S0xr!Yo_F@b-)2l#X9pjyp+O- zrhZI3WgD|hX~XZ{Lj^#+Ip4w6s!7c8$iGKcde~IjA|gi)ZL2%QnCz?A(y!J5$v!C8 z$a8%Q|83n5Q<Y)6ICZm9M-~84HG8_(^b%$Vp7IN=L27IGRmbrCHZ%aY?IMqOjEB&D z03pdLmC<$dOKzLrpV~GRQFycl;pf#)*K5-Z;b@~vL))@W2R2iv)2)<7g=FaP{Bp{_ zV%5neCp^cCXxNN&u3`6Ga=k<0%6~>RfW~Lvudkq$j|#Vuz2c@OJGlTzG*15muReOf zQD@DQB8kupk@s@UnsW!FerRVpdew-+F@g*R{|T%R3hp>D@6ufcN9bx%oo{6lU2x(z zUm@)&AS~;2;qijDdy|ifS`}A;!`nLDrJE_b{n1JI_6?KuRp$DQ8T5WX;mC@?gZrR< zC@$X(Rn2+Lu+YTPq!2I!#xWt=X?%JdlAQ{kZOt~uYsUfC4Z5|_u@{PBrn3Xc7aMm2 z04(!>y&#j@d-d>Mjc4Qtg(}wv?Wqo8n^r#eQ>b)LI*f!%`)Z#A9J?A*TOg&gBaY~Z zEi#w()fPT!sOc@u!>ExI?uOmN>j?rqxkzkMFe&n(vPsim2{1IrrI^CmX2<&dg-Mz+ z<b!CK6|%#j>h#3V=^BFGNeAcM8`=jKBPkEyuGueNz{(?4-oyjFlFP1^BBp<>|8hfr zSKb4j@#346<dyz0>hbX%tQ1`fhm+r9+}+<@NR3X=E5;{`+n-$JM+qdMO0Uzef4L9# z)86{a`e?r#i~x-)m8Ywi_7(~PJ(t!iO2XsxI@EO<PtxQXaarsTPI3@W8*NhRjnf&# zZIK=Q*cgcU;_N|{uNxzW>eCZ}>U+ZUy;)K?W1?Ef(j;pQ*j*K0F{+OhOB8;n4+vXz z%`Oc%R?AhySkjzgPOWSOG}1rN8xq^=@ekY^Qt!fPfB^)Do%6tq>H3L0wR|q=P*(|O zH7yNLA54I-YwDU^kWBJ4E|Rer*Y3wU^|xVM4N7&<h8V?KP!lQO0NZ%|6D|BBjn4DR zwnB<%ObxC3P%L)M0`8Jd$8Xz};_stX!WUTRiM4GtwQYu4`XDWRd*0FQjCQ{71J+mH zN&`r|(E(PfQKX}#<Bs1ElT}3rDi_<dH7bnc89&$$MDV|mqUef?QA>S5$`j!krQcH7 z`xZX-qsHux#X+0FIB1}jhz`od{7W)}p2=<?nq-F~P&#^7a_fPvggISRQEOXNyPDs= znqRBC50?2%rEpKPvBf|$NQx56I~o#b>eB)vjs;8`A|d0%6+U4<cZrKBCM0HRoXZvl zWU8YpagBzfBDY{aS74(r)wKO>^K#|GI$&QOsW`gSn1JMxq9$1PuY|7t)!y~_3krC7 zbh>od5)LAka@RLI?<;oclA4!eaj<Do()nPbz&wXk(bSoLXI|NWRdQ&wGfF}Ja8_US z?><1>Aw&FDpIWtjbwJZN><l~EO5E%Y!``*`C}J*7_c9PEitXWfp*U)N)_S6rnL{$) z<5hmSs3u)YJ;Zw&sRcXKMvh7Ox?TeT!J~5PrB{cq<Fcl{LdI!+xVTd&i)~!-^M`h= zDQ|nO^<YHp_SBbPsb{9teC=nJqrRLWgG+#;cpF+WS35UM?sSMEmdp4>AJc{Ts0aVt zAj#|=qvhp~L3fLj4MOZ;t!L#ja0^=cW|!UN<U)!LzOA3hvmkq_oBt}Jd#@B^Z3fO* z2Ra)0bZ4g?7ra{sD2VpX5y}K&Ny6RjGTIDn@5VLNy_EScS9{7d8E^yRS6NRs9)L^e z?eNJk86^>v@}*oFyt_sIF9?(4H2jrP2o_a1E{GRsDa2K0;imDSNT)=%8CIW$xD(sc z`3hHD)WFP=kY!#71~|G#liq{6B3}^R_O|wE{(eB1u#P_^|5g=Mg4M|Id#{|Fii+e@ zwGV6JjJ<FyCg->4W6f>m8HYJCGJHTkIw4Emd$;q^Pv-nanLa?MGj5f~oNFxOwJ3j= z<UW?lL3e&sJ{UbXC#+^Q9Fmw1oP5xO8th!%pFUo3d)Sw>?*ih%^P{l2EiNI~Qnbok zSdC0koK%3(w<E7fdRg~DQ3(8CLTE>AJ#H=8FOZ_2fPa5*;M}lN8V7fdlMDz3*UQy% z^z4u04h?Q_z7D_sRa|uyPD8szDlYIXcnJ%%&(Rc+--ixiJo!8D)FW(~2p+I8Gp8cy zTzUGOR;X*h4Z;AuwD?V17`-&4_r{NpI@2ZA-ebY2%#ewku#Vdh6<5A2AYYplOll!4 z9W#3j?;DEMlPbtf<P;WC3II8+MAj4O$Svqf4|<1e9+Z!#FU$8wEnb&1xZ4V^0&EYn z8sxRBC+Fqs6w73k7xamd=!qvJi!qq5!KV*Ih7}Sx5Zoa!sBj-U;b&qyy$UbQOY^dB zmX-+eoc)BWVmz8Kp#^?hb`J1N4Zj{|Pm#GAmdS?0oY*blV_IFMsspx3NZ&W9l$%)$ zv!#sT5-688$Htp1Eg;}7CHd_kQK2ovx<=S-wrfxIE_bzVE+wwfSCDZ;EP4Bw3bv=E z#!Q+K$4}rMkuWG&VV$uPYe{qsn%FR|5AV7QPqknZbpzGYk^cN~&+7<QJm6sqDp->} z-a4c!vwo_`eju%-F99M1OW#jz%)2vCcA1}9=HhK2j~~B(XT%ci6fWh<Ae9o3cE*Jy z#gF}_2I<IOen0?GcFXd}M~X;`kEnRrBqr(v`Q>}i7IdE#ei)u0k{zz5%C40y)Sa$H zX1pn4!jfzOH>b@gR$1-LcNU||A(*=>>vZ+@<rOhz#A_R{UoC(^NnQR+0B^>rR*^;n z*!&|kHZSx_B#25FfPfc6+MQ%SbM0kB6pn*iB)4zybUc$fpL)o7J1eR%BYq)C7qQ0v zhgi0rQ?Jh;J9$3>^yQG}J5s%RodCqE%qIclPcXk~lAARsU_iC{QzK0%WywFMOTX7+ ztCxIqga`RVy88pFmQGLmY!rNwGngM30(9^mztg41Bewb3DQlYRLCn2U)D{b~*q_uY z3Y%VW8Yczuzq*V;Urwip=OtQP5=N@3pe6Dq%iTytWS)G6o61;Yhgt@u?)$5oU+-!U z-q^f3Wy0LAXk<bwqm}RY`5KNrPGrZ2eiQihtDPIsi#$nDJ3P&VpF^+jnZ7~Aww8EI z1@jKq8#Qg&DFx9HXI7H(Q!Tke1Dhd)s-QaEg>W4$<Lk=dqt9HMmQxQISM;ycIRH{o z<^wbq1Ox;;M1yW@W84XRDddNLEs-;Ls}De<Zmdkz^nybZBm{&o3<Lz+drwmnM<x$D z+xS^Mhg23U*p1^|4NYA;+<YJC9Ca(Yjv8h35cs^Mc=0YQn?6!o<r;&|x{vkBNju;x zVxd97nq(ojU<^VfQ73U?XszEr2m4L!v1wlPG?G}V+D%g+)RR=le~==C4>vaBz2_Z8 zdGRpgCA*0Gz{|W~4my;_uwTD6JMp~8wUpvw?y_}C_RoG#&n+g7l%WIl9m@1YU+qi{ zrR)TrCbsN;j2HQ2F$~ruJX%`af7_dhZlhJOeaYm`ghmdZbJq3nBs%Y_=WEI24MF~7 zZV9omR}M0fVGxZU6^jDRSEI{;k7j^kK<}%aY@BasM1q>VVS}e1ao=v5ulQ6AX(L^a zqWd8qrHn55$MmD?#B@86LHKq9C=G{@*aH4gy@ZTGJu~#PeY(=+bl$nb+_qy;`U?sR zT&KG(=z^Dqw?9%Hf`fa*ntVPTQsH?L6emNkL@RpEB{ZXhvZo`fyxGSvLYpR^!{h`B zl;_X-DX8B}z26*gwT1`JeFQa5hCXrgr!r?9>yS~0N-tkzXWKcZ$R#+&PAr2wG7<D~ zsqtrJO%D%rD<5!E?{nHC4eHRG{hl5ibY(yP|D1<h!!JU8cxSrN<PN?X68)Wh8M&pq zzxufl5bdE*5R{2dcktwZi=~mHSzS9^8rER7&;-*e8>N*iO&#H&)<i7BinB+9bZiw> z3``9bHDQK}Y8B&>pO;IB;|^6Xy8RHII{ChU^E?DOk<~C>0-@MnS2gzq+i?ai_qV~! zXlT9liU)O9*Q8|dX+<LbT#2i>(q*Im-<M3kx5R}L_0HEq@SZ!+`FExAbg$Fn7Ps@c zpT6@o4SZ4wc)#qfzumc4E=LPK51F{d3B3$UTw*`%8gyPAC%#=CzrFUr9nY4i9b;a- zX=he4zIp8o8ie{Q9@`wEmQ0x%C353rgi)1^7UJsLUqBuwJYdpyw0g!h$SrdK6}xEK zb=C`Y{c(|H+Wo+7hRv01tHH||Zs(iKbQm_54{^Ui+f}K-^L-_O*5#Jh_zHh%$$5h{ zE|=v}i&bkvd1|9a%W$~6mTTB?Zp0Q?{a1zk%vSRr<MOH<RTx2?x|yf+%z!$0t5sV4 zjkBR_tJQUB@aVzoaeYADx43W&zumZ0<Wg^>4vph?j1u78avR5(3O3=Ovp22#Xk`oD zb+LLiK0e!O<(^&qy4PHK6jtt?m*ONR+K=(YIM+3LuBqu)5Z0C8@!Hl?Du>lfne;Wz zxNB3<QAZoyHt*}qVv7Oqqb;VV_{@H$<=WnGgF^e%lvmc`my_WJ<&XUd&y9LE2$bb; z;RHu1g&n{fKg2n`ebH!<Q}nGL9^z@csqIqBuUr2uuPHMcu!*nYybbP0X>F3&G~~aI zPQ5;ntqiSAf*hTP;CV?y<OB6Od#}V>C9QO7KiTL{Z8?jN+}|{2+=RSJZfC}oWHd8r zIyB}Qe6~W{!UpE4Uw)Cx30>>f;<)Q%DpSah_Rj;N?BwjTi;s0tn{jr)?AD+8@nufW zmg?K&tyW)jlbNAM1~DkCOuOqj@A64(YG0vLq2-pt@JT^K-;O3$0%^p~^~WzxtSWw6 z2na%!zSVy_vUunD4Fz;p7ag?teym#vDEpud{(4oCo`hc>p2E^Gh_$n$N)64;)mqul z=vW85%9@&*%eF7T^NFlqC+k#P-E|B^nj;h9<~7WyQy=Fi8|Ad4DYCFA6(=9Hoqj=Q z09%m9$NiDnQw?B{+;W}WW!hfT`lEzXb<YYvcn6M=-6#51jwk14!}k;Hp1?ftFhqg) zpzcKR;K0{JczageG5$*uUuWH)*t<lpwh&0Ev!2^JbgRk4*O7aEC?;ZTNSQXx8({Ap zsIytFu}dw~;k5Zxmx9a14uw48U5?W)4RvGYuB9;Kzfv=YHWk`%uc>eqG$j3s<q#sK z9k9Z@$F<W+_zUHMn0=@JIf&m<K+nfOP-0p7IC(2bf#be#LGQg(!lRwO?X~Q&IvoIA z{t&j7qjv2zU)wLPAhdoLN`0iYKB!!35j*eFk5WBbA-A%x&1vJ^I9JdlQu}#QAXq}D zp~Rw|k;yCj)@5A3%tF9G_h#&xng7y5;25stX3lFKP3_RewH!ChrqT245OzMi-!)a> zg+JnZ-Yo@X@QTDtp$?ao&cdUUlO5nWV`=%4w-|dsVc@y>ixZ_hGj^&i`xZpOPiM1? zx5-NTyb2#Jvx!UNbEri5mkJ?vLqh^0;EfaN<MT3nbYro@O98ik4+y1v-CEa~tIjXD zM}t^&YdwxM^v&?6<nAueH`;l%2tp|n!d_78A0*HM2E6wuq6L|l9ZX;P6M-GJD~;d8 zFKq1ZLC<9n>&l#cvn#3Ut)GyfU3!eKU77Vg%w4AvhfDFtW%B3o3>)y&W2^3!4t;j@ zEgH{})Au@?*EZcY)9Q}f$*rIG*!P;154l&gN&0Bom7``-XxaNaL-v0vPF1efsWZL0 z9uw0>&Bc9NTx-v}+lyUio`5m{cRiP3C!3`1RpmKL)t=TasIt23jke-C?RVTffwSAN zdc#a_ZpLkAzqs7)X}OxoXejpjT;BM5c2<FfE$Le+rAfL_>;=yTtx+6zty7av#CVT` zE6-Kgx8`L{XV>K&3njN`I{o5h4IG7TJx2o0-Mg?($7hm^7T`eoYGCKbFlzNq->2sn zeTF6GglBhW2?idfgl9u%5r&6y(_GVaO=oq62RS8rqxd_0Xxu@@QuUgIBC`eMz$Cp= zdEbXI)q~0=wPU0N5Q=O&vnOZ5DzVJGv|ySKSHg2J`)&7*WSp<@a3>|b$?#z8JvCh4 z)tC>j;b;2=^+56;Silmi%9J2BZF-VY6rLGP78{l#9%2zD*N9UtGK^{v6rCuft2M#y zvc$XeKf%!~>xgT_|LcYfGfT@hBL08>QARlT(1=3DXMZ126i#oEXs%HD4<bHW@u~J! zC{m$g?t#M9q~7>Sf#bD=%;94TaGIHNuq8Zp(@6ujMI9^j^_9MvHR0L!3L5z@Q}s^V zFj@7E%y5e|eKT*uDz=PZD%ZzwQel>lx0a=5urU%@!cyrix8YNUvz^n~k_`K#V-f1Z z&DEySnl(;Ww>u@Do*TJ8hfh+W8Cz*FcpB8WIqSdfOsV*PhU39)w85SW2Dk_w8j*$K z4;^>_f)U&lP32eS`eempC3+Vee(Ut-nuv)8&8u<E8ioYf{@5QT!{F+jpPE<342ONO zo${|NcnbQ%?!~XCy3>FB1Kd*03)PvhM%wTQ9W*-y2aw;Sx+BW8s}0BPpz%(awUb4s z>Tu%><f5Xf&I37$0wb=Df#I|29lha)>YeCa{BIlyt5A{SHX7iRy6}0rqbQH|N7s7X znx7f(+<nP!!X7=3Urc1AcF}Kno!R+JnD)VVIIw!BS@X(=;X$^>%2|Pd2Nw6#lhyLO zZa@7Mf$(-BzHqJ@j<Fy1T`+!Tb(aT+GeZKGA4x5nui+OSOCN1yU~eKt)0tB?--V@& zdZQ|#x5(|Pct`L;V6vQd&WT=$WNpFZh=d7Gk(WI9RO~<pFX^y)Cr|ULT=Pl-`H}te zIg0|dK$4D$;hqc{-_?>`a@2Hhq&;li)C{>i5<6o@UDe2!mq#2}iPvIjrIt6UQ7y=` z){nJu)jRIlH<LRTfacZyaC-HQMQph7@Jsd1(5Kb7`<|Dkk+pJTkNJsXHNvoNHQGw| zU5YP42VnNQ3SF2K>XpHroLPCMxI&f)gTOgyK~C9FD&}`SMqG|mIGhm?)hb}Lc`9A% z*syNWwdqC47X7vH5Fh2s<Fh}Wn3f~M_!iEOD8i#czc+#ZZR7l(nC5?*-1CBZZz|R| zVz0kaV9thMUZKV}`Wqjv-+~ML6n{cCawhmd6*zD2OzzZNep-Fo>)R3C_WI<DpsDH? zXREi{K4l<uk%2qfN>NfHanZ2Rh9a?xc_2c#`@?v6y_=2`Y2Y3%?hTOklWZZA1EkhI z3K^VwN|svdVh5BKW&qmer6tI{o|-5-C9f!+?k!j{Zb2|NiPJxDhBabQvbWHN8;1W> zn=U*DX6eVH&Us8or^DVJC!ZBljj}64d33X_5vR>f#eBFpF$i^sYpwwI%st35G!q)| zFAoR9KV(!RtwAyEasm6r_*{iv4zOzMJWXS&=cn1QGD^2=EY?-JYUhl3urk`WYpT{& zCu-+*1+X$Ew`(3Hnt~ig_3L3BI&ZZ2b8mw}v0?M8Zzh`)Uf4fhJ71fBs5(Q2hHLgL zvpH?<!q%5-)ni`KdWaW#^x*UBF@3x(Kk1JvG)#`1YfN)di~vMf-SNYmXv`Iat2wdS za}~=z^pBCz;E1rclY}AAw0LK0YV>WP_3!jY9_<57xC#SjGFzAhg#5KOLRj95UTqWZ zTMEPdBTf>i7kXdy=ub=ZMhH8WBwK%XKwGXlj^+~!Vf`*c`+Nzbm=&Z)cT5t6?jkK% ztCO94thF@F3INc?TKIKaW*hPO!%zA@66R~$Tqa1evTIa7+k|KRPNuZMgnWvYc_I%z z7lTqgomlnpHuoFmkmO?U-KewH6rkr4TSBXsIdJn20Eb?89A3}YD#wA|l**lPZoJj1 zy{Kej)CMl-*tI!qeD*3!w9X=@J4?*XqSOA`#g9X&wE`4e>tyR~3(_gdBH-CqSF<(9 zvJ#1RF#lS&F$>qP>Th~nM_nbI^z&nZ;L75Pk?~JY-W)V8nDTesBDCFCw3P=E<=QXK z@sUt!PjdDhm^QOfx0STlYa)2;*fT`IZ+d3A!rk_hT73JpE!d5(Ihx>+Qsz?sQ-;-g zBDe-<AeiBA?osg#x>jW52w`V2T-J5Plf*x?)}N`&W~_Q0+V`sV`_f>Q<{6VWt$$!{ z+%}0m^J9y?pXhjIy^as*x_xn96{#3$78{Kt8t9MxH%Im7J{UgqCxp#`xhsx{Az+?9 zTbw3Lrs({6#U=^}Girfj`(Vh1lfxl{1XwR}aXYy0l`ov$FR#!RC;o0c{PmuL%BBql z=84zh^w(sH9{=BHy1I#s@qFGo`G@>@wdsI>7vjRxVVsECfu%8JtuOPlspl`vU)2?> zDxK!_BV031oK59!70F`Uqh*w`#ZjK<_T{Qc%MwcwVaZyxDpQ=79U{mjP@WK}&w%lQ zUQ`fH%?IjNo#>VHd?7|DnQe8t#Y7$(l0AF-qzuzDvgSIvc`BJ#O^#C4DrTqo4#85w zTyW+6pT>$=bdY;(X?w{NGS*3WS_27^NXd$jt8Mhus_WMlwRXzy0lxk-cNQ`|c}zlc zzliE)S1l~kZM3N9X%_c+jv)jGzXArzWjyZG6MDnE*Aimzc>%srwWy{xHQvSf#&Yhf z7b$0z6PTs?7Q)?vqgrs)N>$&2JAl04br13C0=Pug_Rj$U>Dy&L@Qy2LmltL`YMVZf z#BUx<<zEKWnSag-@(sF9U|oB$7KuSKxHeBV+PGa0c3=6(3_g3GDP;zA7$}ruRyag; zK^w6OEIaFA0!m`Bu})OdTrFQ!ht#^1L+aL0J+GA~+?GFXmZ?@LI4>L7(tQJ&aMn9C z62q-^r&el=(_x=rrnzz>bw{}Z^JOx-rvzFqAc;1me`XHy*mw%sR6Owrpr)xr`E8Ak z^Jv~4K*2nHvT$9J<<<u(&N+)I_Qz<3>|ILTaIj8t)7+qSkj>L(kKNDcP%3mi7ZOYI z-eUp2kZ9Q0@v)^j&LBC5<RiG4{!RPe1i?JVG<zrNpx)JX>!9xI;5t?8e^Tz_gJ-hT zuf3ur&UZ0d?O+0v5<mX;KqM_B>P=%Ls&L?#;Nj@pkpU#kX1y`27vZ?iH3z)kU(Kz# zMfGk4^c}`29V1&WKJi>OJ#(8*K)|ti?}se4681;n-w96eXNdnzF%R1R6Wd8^yzhm@ zYQ3l>&+lK($u+cF2O4Wivq9@GNmA-v8%?I(16i~}1gCrghb|qG{2NX@!B3mHB#rJ; zQh9z2e5Wy=t#WtS8!r10j8Us0KUVxpX{^ZTd}~bQDjCe$mqx5GcGmY_C+EH5hp|K2 zzBFk}7jttgko97GnkRnvHR1X`s+U!qQwG65c+`nBa}yIm*4FT6%ip&8${g?gR(P&; zY;%4?1sk8iigPqSNwDSywMT+F$G3phxFk?}=+fzbs;N)#r;m5D8M_5xx(q}bOQ3io zS!Dd0Jc%UVJJB@08<2b-_rhe6G3@1t!Mb07;bQOgPmNTbYX+Qst-cf2e5L(~(0}7F zEi%Rj2KX~QAs^DT|MQx}1b^`OZ|uG_ZMm_tfqw=GOz<ZH<pjqeJTFn_*L(j&>ziZO znrQaad#m!s^5_2{eXz)wRR81amxhMnvgi13x?`SeD9(&%beB6yR*T91Y>5Ifn>ux5 zCGYkQsJi@+(+hiF-rkJV`h7tI*v>pIk-Bd7V*hLo0x_Fo=J#?MpCP~d!CRynpnIQH z!(|T{>Afh@#2x9L?D;=EG@SMj8)k2*%`K`X`6*-Z*iYVFjd1PRbbbvlgrA%9&aHlT za$-#=TSAfxW(W@#M;IQ*$!?k_-f?%M&)zJd_EEt<XSGLwm@Q<$vc`Ajag)WK|CnXo z9iw0Ls36^&efRSMApWn{Pf(?)iX#<k;lf`*hmd#wwFZDHjgh853*qbd?4AA^%QCXy zzP8@GmS5i&@MEv}4`*-8W^s{Qcr3ZMFO3KNANAxDZ3(v<R>l(v(?z4{|9OwhLVwVF zM9bKXIc9Q6=D%?pW5-J;9h)GHragaFpdCL<?Og?dOpt@2lRhjV-2{E;lHPxj#6R(j zs&mY5?6yX=H#YyzE&I;q(c@=!eQEtU+Q)AHJSq?jdOzIJ9Gm2#sp_;w^iK=hiMCL2 zlS=ahhbjUh-yh>=;s40Mp<Wvc8h~`>aa}|k`123U!g<eq38-;ewGb2;J3IVO<haLZ zn?ste%_)!JLWlbg?b}3KJnQ?3-BoO3`Og0&D`}ntI-C`lwZY;XX%7GBf5EX>n+UpQ zG@dY4>TiAtfqzGzN%MpYmw?;o5=lIBClr#2UvvL2DDbH(Oht25F-M!e{m4O-0HXiR zTj;!(ZYyxK3n~oZndACLC2g7~ZYqsb;r!HE-^oq%zmR6LSQ}3o4dLO7NYeYSk93+R znhr;dX!!(12#)~WzhR+ip3DRjxuHwFIbqjL|DdTI_ZY$lpvQG5oi(PuHkL93eBwB{ zO!FYgT<OZzl?PmN4Zkg7IC+bscoSNzO(t=<=4V&qhFM$6Mk2ymFPiXNLv~C*jA{7n zZ7K=A`#T6kVrnFDK07t^IF?SzqQ>?kNFW77VjS0s=18+AjkP~Z$D)k=zDjbruZ{Mu zTd^W%1+RFx80jX8jG}kOBsJ51p~C4^v}+x%PAGK?11R3m)Pf`PxpO-;Ggcu^OFEx+ z*{m0tECdJ4Hz18C(y|RbY;t-c@ZESU1SgUzpT_e2;P(E-Kk-De!Vaj_CX{ETKQmw@ z_n&AWS)nHWjFh!-^6^g+F`mU#Rj~7(ieRnoPhJD-ay}N(zGP7)rgtlTVrH6Oo2HXQ z05&sBhqwrZI<r}#D6J8oOrtTb>mHx#LQmEVbZq3=Dma%t!c>30kR?$`Y!@?CcEw)e zzT`=^J^N$cgUn7d2c@(bg8$SRN}jA1SpXYEn@^Y1`Tn<NA=4iCO_+4}hmd&(Gihpb zw%*MECVc$mx^w-|$kXvBLezox7;_Vv@L|p5as6}NjR7D|L|wfT*^%~up(C1zQG2X} zX8uQC?S0|iZ;mD6T{9WWmn)!f3W*)^Qf-B66dT^}wP{=xx*eU=0mo-Lz9MpQURU{k z+H;*}5HNiGR+W1*UON2G>IS?W<s`RyJY}ze9>wRvy=VQQmDSv`K)_RnbJJ*!S;`X6 zr-%2_pl%8i&%`>c0}$z9jwHqt|E=OyIE#*q$uvQ6m%dbCuCB4JBFn5Ga5`vx$YKHo z0=1rvIq%m=S*Vw?7)mRFMaOW`!gQRmJrdR&UE_a&T9NjEqr-}d;qpky>YezHsk5GB zzUYzYYjnWaZN#gThkV=Tza30@LN{gd#BIST$UuDJEiq&M$D`!O+#ql=ru#7UMEsQF zgl>Ll?6^qvSM#YVKBv8kh=)&(MfvdIbYtHCSU4L>ZrufWONR1zCne2n=X^%AUL@jn zx%V-*=;jA0=|(4kj&pq8AHC!zR)4TEQ)dF{JnS%F=cN6An-DkybZ`2@9#O9Q#PsdZ z?d!kIo+_;w_u7-4wNL`X#aeN;#vi|-H(4bD57(FjCfc?ohx)5q->FZ5843Hzn^|8w zo>N$eOZ=l7)TB$JOR~L6mQiPS$kPs{1>aw#4vFv}moqn&)DV&0R}zWU#PO3Epw7H$ z@Y&V=^fZX@<p%y8gk{U`p^rAL*Nfq)Z^pjS{3EO7;+uKj%hJs;Z|dh^G3{#*IF}cV z;{;&cf-JkfQ>1BYJcK<+5JP|8h<GW8hj<;z01G{ZndS6P9CV7kev#;C#;h5#(e;M{ zRnMeOXJ2QFgi)R{BRp7i_@jZDnzWSqCD|C=bDC(S-d8vZCX?(70XO9v?X8IDu=fWG zz@ks|Diox3)Ha(s>$%pdl>I(hO@jY7dUPOqX~^|_qkGP0c<aSVmMx<QkDqVyY?DBA z3IVpsY?Xm|U+)rhaUMb}eXi24iPf`L(-5UzztH#N4@%WT2AuaZ+<@Ci4*xqJ4iSrH zAcvMt+leei4{RmkcUv?h_yf?RuPliDe2Vxp{95mAu0FA!bh2#yitq?n_srKBhn6Oa z!nZFndP_!+HtsV^(d-b_<y6pKvm-gD#rW&-o{5An<?`%e;X(Y>+d8;t_kHIRV%uVU z=&N|kO5@!Ws?E!N&uNK&NAl@XvqANwkYfn^wRf@gv{oPxD)SVW3>jpNE>xd79-<+i z7z@WAc%LZ>J=%g*P>$GQP{i;TcPqPf@w+z~BRswcI+cVA3DMoKRKTqlVZUzPekeH4 z3wR-r;QLb;ST2uhpA|dvfYDyo$_<J1XBr9`|E?16oU#F^X_t<pXxxPd3q*m>R3Jp( z)d4_T!w-FjX0L-t`-hiw91m_Jx>KaEC{G#@9*8<i%W;EC8SH#%0{?kQMuMM1sBZ~O z@CMm-TQ<g|)#E4QP{h!7`{#y$X0g*SZ{|um9kJf#%cHMf=mQO9`?l9yE+p1TCTN}= z>C6>K!8zP~l%TeYXJl$)9i9pZ07w1l=*O*NGM6dW==kDLbp18wGlBJ@kV%_EEolUo zj^y;{<0cNr;e9|+bvXW=0^aG3k9+&;vL4>5mFO7Um*%xZL<c!GrT2$j>IgT9j>hEh zr<(}`hZ`jhiXCV{&+Lmm^#5V$s^g++zCK7dNG%~OBHi7wAR#5)AWJt02$D-9ut+1_ z-60JE3#@c^s&p$QCBE0^_x=GNX1=H9%$eOehq-ezd$s!>`K)q=vtfZ>VU{_Sd8NfQ z45Q{XNx%-Ao^QoyY?*|^eGz4C)gHVpT+7iFA0r1QKc=KQa$bNs-5p3poW_Ot6{(i~ zF5T39g^PQQ2lvvDuJG5?u0R=^zR*X$TXGRr(=jax{UeVQ|HK71DSc3F&pc#&rhD|` zIS3SC=wOCUaE}G|hS43>s&vQ{Q%?Qq>+e)FRmYQ^*Y^wPEw>tr@E+OB8t8|=<m%)S z5Y6Lqva&bw9C0ujC7fw`d3`4MURry#_}59DIOZyE7~VO7E#}lknnY?YqRH)`4Br7` zb4eHPS9^mvW}X!$Wn%(iX@Z~5gGfGuHLuBGEAbo0DyyIOW3X?90bvyck6F`PervyI z|2$zt>!u)^%AX~fIs^rzQJIe6|40ruPPo%i7C`%x;9UkuR@kewsuvPShvIq5I6`ev zA_OH8jMLi{xyC-$)b{C*StxwzyA-7s+;H!S5U@8cW=ZZ_L$P;$hcDfa&kc=@l)$DW zXn(90<j``QmxXl&A`V%cQX6vSUDjvEmb7h;Z$z7V+<G`GY}__QO;vZgkAgW1_(b&9 z`_83oosGUUT+&%_HjRTkuc@snPLPJKR_{!%&1{{;XfkdfDb%cX_UzVC3hOJtLeC|s zv#42u)TFD{l;<aO7a614f$@EdjN|P4oNLBfQ<rU=VKxln_8eGdku6<ZNd3ya{KI9x zm}TQsHx8l6B@am}4m{9`hd#(;<2+=(=?cB6IkWjFykW<c$)q!`hSq{Tvb%D*Hf?Mn zGr(lo#um@X@5W@3)ntR>stvrV*RHWTQmZ0UG+DjG%qQ7|;QCa8ZjS2rj&DJ=BsU_! zZQYL%W<=D`WcEg7L8+UjaSlawr}Ht{`NNj)^npf$HKXntXVn=((LA-JQ>)?c)u*Ia znqHXwI2^z8ciKBQlqopqi!H6X-iLFp$EJy>(x3J>bYpY3)01N<=VH~PqS?H%xH9DZ z1J0!4H2EowqT_~o6O_HUzk&r^l5l-apF|&?1Z&bylMdI&{ltStk6bx<+B7|8?r_g& zZ(GJ0NcQJ|qg^J_ugMxN^O5t<i));L$dMVA=_sl5nAZ%RC7e=TtGV4g(R&!9xjBRJ zD@IwH&FxIKppwOO=(c&uftEw0R;iLu)O4~lsK!bp7lVT`9oSE^BF`yEB*{(r`l3gY zU=>tW#(9$|Cdz6Dx6^9sRI`x*rN@?*9*LhFOPS#ZIRVUdq3N`o`s0_+klQ-~?X$KO zCnrDWfc!G!%XqqQqr#6}v4?XL!iU(sp8>J#@4pPb7jDp+x$W8=&i$}`%Y$qJ9!>G5 z$-R73&UNF@^)dSEV=OQ=(7{MnWqU_$1zSlvOEj>R^zeS^cS(S3O1*jv$IDUAOO<bM zEUgnLb%8tlB)-VZeTEGvq_b07y~yB~|1b=h0o0GAdv6PrM3Ly!a%LWIz$=pCPCP8n zBb5XKGx$!dtt{4g?=rDt^#r7l>D~=O7W>$D7R5aO)IPOZ$(c-{R#nqjgxQxWQO(jV z_{@G7_AJbxgR1#oD7~-r`T)FfnwBm!PsE__0t1<B^D9@L&W0y0=5im3{2b3$lMN|y zVE8BNxz);~?b*gKY}Tbym<pz7iZQuIH5n3+>+GNuIG66PmV=`Qbb%)O0_a*VR07jA zD&<vNXevYZo8bGJ(y&AwDDAm7{y;o=)wf&xEwQmDpY8G=-}5g9qSBFSV@#G&O_B(I z=ok};T0YJr8N49g5*v9Cb9@*!&52T~*6q7sLwdr0nVwbnW}i>re77K%%(IsKYV?(; zkg#)sqp@GQ?}NrRX-VhP>6u35!gztg<m$b5@x$cXexH@-tzN>)mJFRY4WfpQ#L4rH zE5F^cZi$V{btAouD)&?#=Do-z>7kN#pvg<rQYe%AhS~f}VaAai(w&y{FRxwVvoGmw zCGCugC$AO>cRG|_FA(t+dUDQ?Vr4)AyI^zHf52N}lg!J}-|xu2t|5jZ1A~8=TA&_o zsNQeo#$WFJf$zbd<4xHQGNK9k=voJFeEq<E`|{jYn3UUqs|tR(4^i~WXs&LJ{@f|- zVMGSsQ~4slA>maV8}=_4EYu8)HaClP{w^q9F9V{ew+?E9LbyK_J4qE8d(&_E#w+Z5 zRY>=b<PaZBcEk6?^J*9`mFz1@7z-(uknlZ4>DSjkV2XiT?%Yb;H-qp!+o1UNT@>Qw zdIy1`Q`z6Vg(kNz55^xS(^~e4nky|-_?hiY>2$oUuW8|jZPx5uePNzXEY&>2B75R7 zKSS;!E}jj}kKQT>Y8u}cw0+i8HDUe5H8epRwpWS#KJTj!b0?2UHMsBJbDLRUJYVn9 zK=|o?fu&RGeSMKV#jWmL`$zLcGWo>K>gkC^7F`82OZ=B`cLsg4>ED{0!?c7Z_lw~m zdq^$uVm$s#oF9l<kH9KjK%V*t8~MY!TK-GJoGgEugvSU-RU#^`foEaL5X-gN3i!AN z_t;zv8&;xG-OCZgbq~kA8xh&Xzcvw$hVO_c!x|<RrwsjFt3AK)wZh>g+SX%7ib!b# zc`K$hL$;%)f-embcIA_Pi4BtrQ|bM2@1TLgV`oiTdli#+Nez>8Q%g0X0t-T$=^|?) z!U^yl%!G#5i&IPLuGKVIc01Ob>k)8Y8|&i&YU<9uxOaBYV>x6amd@f^w(!Z9gdnRt zSY<)O(V8I<1+vz9tX<a?Uh?`x2KCLRid9~9LBsF1hFnhgdVtmakfC=!!))VgK%tOp zH6_iR)WfT8q)1B^Jg_SgS?giNwqPgq$G62Ig>QGF0QI~9P>6`TvJG4qrN7%6Hgv_L zy0<5YGuuVp)k~}126{Wah<Hmubq`YpI)5PV8l{iqk^EVNQ@H$D@MR%E??jrTuXojT zn|4(EX`L@cCW(MiVYpVy95n?!;x^vb^QCpmA*FTYt@hd*P&wg@L+SpvPipS^+2Hhm z;ht+tvJ}W<r@DQXR%gPP!@d!E@EMjB&JmhWK)pB(to*wF(Q`6?bqS^$X*r@fo?GoP z#lDHKpg=U(fDrGcmfyT@k^JmN{%$d>QtPY=S~T%fF_m1<0UugKR}C%FxKJb*ZcSL{ zC4ckGrf7|8=jR%aOUXuIuAi)s%3Qrx+54hMivLlU1Jvh=1f#9rOv@S)I(Fk_da6!! zYC3u%YsC9ZgkTTC!l?|-^MOLn^KUmQJvKuF-lg-AO4~<fElRq`Vm2jFzjGt7`^hIA zX10)d@Y6~3olM4S<eF9ymZMdill?KPi170sD7O%2XRz}QGNI-N^@=#cB@Xy@&v0<I zp}0E{mzY`VD2r?%^fW0t58I#k8P+!Bwxq|O2DnLn4YsuZ5GgjR-aiu?XtFoFurBoZ zUBW7LvKz-e1qPpdi?&$fI|BljXWv?oyI9i_7(F`rBDJ_Z&@T;&LV_)MG&G+!>&b*T zyThGJh>~j}g+4dOuTtl^adZbef2Y-N5uWjK)s#0ea&>y*v>0H;(fswSUfsGXh`{A! zr-ivuO9u8yG0-(nW>7e}!4D7^MUyp9dLcQ>dq%wMvB6}b4-oFQFgMvaa=%;RllfpW zq`ywRvNGtRK1^ik4OsYYg#PMF!%Kp=lWk<_uE<!HyhvI${eX*gRe59!dwY$8^W0=9 zVW(_M<Chw7z`C$il|3xJy}F(WQ<)E|!-lXEYU<UAxbD==`Zd8!OVvMGu+TI@Wnw*2 z6O;Cu*5x~iSl9HR&aP*3+&49L>dr1(Eu~Edl7WlF7eDHo!|urRsr_lD+R8cHPy?fg z(8G9biE9`S*;lWQbcFqF2xp8{Jx#3N<+f9et<my-$_Y4V<P*sn+wqoDP8EB}INl8# z9Ur&erATUD>oQ@9YsawWmI`3akQba;vvqZ)5NHh_v+200sRDbRMh{vOPAhw6wY4vg zt*P+u<%Gw(rL>b<Pf+o>C-6nt7q<g9JJ8w!PnD{kQNiQaEnI6hJD~o<w1tVglCG}Y z0<F_Tr6P%AzSi8G7Bc^-kXT!TN!q$r(T~M74X;ta%8w)Cw^IJu<??NJSHM$Z*mMLo zbk07U-HNGc^H2LxdppLMY1)|BV^MtTB0alPpyvn0Op~wUT-LjUxAKFq-QR@3SR6P1 zX<hK}j7@Lf0`#qk_`t_X7H)Tm;{;nHvrNXua(<aaL3MUDVE2Ko8zTR#pI<YL%;;3v z^|(<h8#80@%<u{LPxkP*9<S$PJeoi^%Xc3`O<I2R9^tSbBOC@^a-)}+tP3H9S=7ut z{o!e@J9n|-1tkJsV!Nuj4{I^a@Tl&##lL>@866p&nuL}V+0Fls8ciwM#T#H6o5myi zM5ZV^2-}eyWa{pV$16;HYc#mkD7cyJ8S&#s8eHV<+s-TC*Fvuny5r_oc2-MMS}f_U zzBW;(mh?7jOw$v)AC354HSD1w(di{Vs=mBw_Z!1@ks($VXwRz6P2O>CZ(Ypr)r*m& z*~ZHyN_7^)gyb4RqbxD#pLeRU&Y0zwM=HCq!jKnVh=e6*_Gu}m>OY}0{Vn-960oc0 zV|ndI;@RQ$uL44z?W-r<B$A%qBp)p%BL1(;h;L_}Gnvf+l&8t~?7se20Z$jGgUY+& z@|DdDaOM>}2Wm0q0~w2rblDnX2Sx+gng5ke<tDng^Tcu(cE_|W(_Z6{jFrQnJU~6z zhzO`hA3Iu3RR34$l(ySQn9N>R8*8r_;B@PZF~YPJA)7C4?$#Wr$XO>+$X;pZB*WXG z2-Mu8P_Xf*`~BnD!s^$d*tu8davRQY$^*~s2-ABwrN^*{c`>(wZ^+2C>1o7QMWnh6 z1(OmR#j}EPOD$Xl^4MBUm~O*9D)5)9X7vc3Zp)rKk8q>mB^n`lY{+(miK2ONR3fFT znD2M^a~^HR!Y)}Gf8pPjq_KnO=g*}wx`xykdAeGMW5@8%5jpba#diPc;yfL+ZDHnq z&eMf^{=5_3HB|G0r?Cp4@;gVE(ClEauL`tNo}G)ynQynik|;Hpd=ppH%|-o(V~>N* zpMQ!wf0BAawHC`2@G*h1B6&N)Ke9_XkCA5$Z#%*>qD$E<<{!bqT5L_g$D4+7LqF6F z^4=j2)=I<b(88EO>VJO#C-Vo5S@r+va`WVA+3`>8z@~MS>H-FoV}p#nv^__x%bCAl zmxVzFElpA=%bj}$yO$=AgWTuOTNmR8QDrEi{ut6;S-nNX4>qv!XfA7b@_5&ad4zN+ z-!=?wJm;BtRxr;_kyB8PR50i4kZoWGXmXL=<<<@Rc(m*kX!(GP6{V7>70pF=wiUcz z_$GQ5{c|7tZ%dXeMp9t1tO1`X!pw^|udVp{;|FPFD4PCrFz#<l$}Gm%2g4M2(N!TM znI5M~LHQY@=G<=**28;jvZ{Z>A6|=He~^AcdnYYt-e$9{;0N;ko|a5G!Fv8&==r~y zWO>VT{gXVq>nHnA>@hzus-&)=T4o;pqhUNZmwy9({muM%{5R}*tG$ZPaiX8T>*>>+ z$HlCU`_^x07O8b1ct2--jI@0kYkr!!k~}`ndA@mq^-ZMW<Zq>Lq3z=9+fP9Kp+sP& z=df!!4uj~=r*zJqP3`QNWHyZq2Qrp3mlz#e%)#Lt`L`;QF)^g<tE9f!*SF?FY1j0% zu+Aef%ByQ1w_9%5GiJMwILQY5S8u+dIQMT<wjTL?RZHn&JIgrf2rRQqaiyWY2oi~m zvX$xiqiyeD!Y1f(%UAH~q;zV?Rit3}v}|g-QL6xA<1a}xjv92&#G}nJjQ1PgH&I&2 zyp3`QZx7cuv4iYiAZ)c!VqJ9qtd*US7Rs5>0d6mdxe$T5hmyd^O$FT)wEO7BeTjB_ z0`97dTIEYTn5*PEctET#k9z!62>i+Rq_QWEKC(f~m+uO;UHx=l&7X#bN+r$GL35@P z5eY{xyEKf@d03YiMbET$Pfd4fvo|l)b307g+40Mvo!P%ZTRFCf1giXGxjvt95`foi z!XdtC8a9PDG*>vC{N6M6`Flto-GuM!`sw-{#eQLGf@i(5493(1`B0**E*2w@<RC|w zc*vT14RFph--2l8b6I-l7Uef-1*&zvmq&4F7J8q7?(d(=mOGl(^PKwsm}g~FW>S(J z^H2Ckay60;t!H`Fwn;D}%rt?j{)*|B&WyN2*dBWQewY0J8y_ZmX0+fCo6?!&^!-WT zVSeWme6qrlNvLc;-H`7jpSdWPaRh_vsqM$fx|M%Y+*dA~9hM-_As2>?%SUW;&H8)K z4ZPb^TUU0Uk}Z+3@399#KZTqbj5A5|p})7fD3`x=TO+<*mU(upUHo&xI_%S|`3RA` zU;SMqNPhz|khMbIhllG;KjEJd0p$#W;7NA~j5};@6(WMEz1=4KnKs|}#OAU4OW6F@ z=*DT^t^)ouBr%v#x9gwHakQ@Md;Ctl;Oo=X%8v%gv%Xi$i&sVc#zRdXiG)rY<o{|B z3AwhC_Hex)rP$3-sa)x9yM;M%T2QK9LA^G@tLpZ(q|s!u30q?n+jZ;xJr;f&7EzeZ z;~eMgSdE7n|K(2aHIL+K^_2Ls(>tkr_t#u##DrnBnz~s#t<sUKd_}75_NZFRPCw&M zWGXVC7AW?yY_w?QTlnl%i!349^zS07E1)@#mGVLd?4@rLN)#yT%V5Rk5u6oeRehBA z`%zxMKYJBx7VUq>^*V7~dsJVjTpQm!s6AxjDyN#Y>EEn!CTCqT6FcHs&|BAr%`{N! zoMVl5Kub<5$FkhLX@xl?JO)QAs~W35bypgvW?j(bhLAd@9<XaRce-C>mF=ikAD(2Y z7|Oh!`Te~0-bP{T?l(*@@G*MVWku+}Gnviy8?`n^rEddbCbN5<y#6I{8<gR5@uz$v zvNC-Bl<<Zx?7o3%Gyd?T8IaP67NoWp<UIbn$Zxg&>B8%?V0t%CnU<Y<t?p;WI7ci# zz45JW^sCD^<qNwt;a6J{-;^@%8^Yq^9Z!w`_6~r3D+s2$3(#}D!8sa~`1V!z^s7co zkgk)6A;RxFpf2LRA@)kOSr|CWPQQUF!|W;J-4s-@K-U<46$X&MIQ>euz%##Mo>rgR z1BlxWI<~oJF_xHos=o@r=%-3)fHoHuRYRB4VEV0g`uioon4sqHtF>o8t!RH+$<V;) z=T}D3>c7CS9zdgiM0=4+&ED-y)po#OtlH?Y{uz(i7CwIm7?XMb_QOBp<5Uf60C7ca z^if7Vx=yR6h)^r4zjZ<I?I7A;f68|^^1`n!pSfG1`)t_<iB`69u|fmS2yec7$b8(1 zDl(Rce7fLs7EH6V7Pocl3e$BG&_X!+Vy%HD)<qLHIp$aGQtER7=iqMzWseal0oRW+ zRkHx}^6fNb=j-JQr^Vq{1AusJpDjTXL}l|^HfVDjT2RVcnTc&cynp6X{R@e2NQ+ZN z?#(zy7atYF@zY?Mur?YyBf-ihQg*0NH<~N{wrjSPPK$9CtoW&ZGT<we)33fQp?Y?I zbrE+H&)kb-e72sLOjb2DvqJ;#3EQUQJKys47yzHnK>LXBX8P0pTQ(Wm`IUs!`o|*x zkLrAzp}u^0Jg;K7*@fnMx$9~=e3p9XvIVpO>x$dx4VD8-#5-%BE-V6r>29N0{5Kdy zSX1~_v&1*W*QZGT+wLSITo&8!eW(=>`R}tXOD?c^v644Gdn(u7OBywCT}$088!h5x z3jUWewk-KXDc(}JZkxCxtG@mb4QP`h_{b5NOj(Q1*YKy&an8VTsob?oOXMFzz1Evr zXi>+x;<{y(@rIbA=bXrA%>l;wdYIlD?Ha%8$eKfhNvf}Zxtl;YB<~4J9&RVW2XVJE z*XzCj1?j}j(2vQgH8IjR7u?9tFY?$rN9+)AOFaQ0OEuMtjIM|v>YkHnH3F(xeq?xs zb>grYO`wJ)8uGJb9-BaNI)9bl$;TL-K;-A=d2AwK=Oyo(-rXg!r*k4dC&3gXyN9-! zhJB}Nsz%fG8x~6bJh+q<DM6%=pEH|JEA!;+<v+j1L4HQsCE>mN8kU}@QVZL<ewou{ z82hVwd~ev<%=Z1q>S*!zB>V(7l1JyhYmGwbaE&xYrSmzc<WYu<$m}F*?s3*p;Y2f+ zr6i4EK&koSn}gE3m*)dilV4x~xod*`$<JCI;z=ZZ#bTLq>lL|8+}j~8PP$>wkL%jV zXV+PsV(Yh_5F6?ci-r)V6Ua^pV?dJ0KRH{Ygy!lId$r9Znb8L4Z<3*}SL9DKVEZXR zri@1FMB)?(nCABNL2$nT_jD}Y><rv6s;b%uHtGQjE=^E1$%pTS#Jw>0Aa?xSnhpwP zN0fd&6==O58-7|9O5{-?!lL^nKIITfBu4|nB0~Qpf=Mj+`$`>e8w)s6Bm^bWhbE%W zO!=VCg(dj=i8|DMHCEoIH}E3_=N&~Q;u~Do<_Vz!fu;Km(9qN`4%0D2BhJ361dBe= zr|OpE!R{Mw#0^EWgOP+X+5(7G6<Bmb-zb~+)(ujAU$TH3+V7Q0y6AUk`xM>ok{$cw zsa{ZxkSVoFxj+axrX2=jWE7e}HTEP}pO{v?8o&D45CiB{ky>4^*3jX|$fI!_Sk%%8 z;(pF=9&N`35We;T2rsLhb49Gt`);RkL#;~YBk<HEU^t<(mE`DAhKwm<u5H+%{SD;k zcD5W}zoj@wl7BZ^!wqHZNTpnjdlmX^-wmTL`kQj3UGP77)CR<~vb95gwGVbE9;?6S zjosJUJL->gyJv{ezm{`gYZw?Xf0uj*2x&OM4V_)nBA9fuGbEuStpkfnnOF4r{=(-# z=p4WR-SZqWBT7dNO2pYA07y;GAc`-8(ieS?8ya?#+H`NX&jvte0CWvN`!XmHVN?uA z66(9}gq{qLgf3Y~!mO;&(?$?)HMS=cE4DUkIY&H!zZeR{783)4z?>g)V!-y$0RVm0 zntoK)f^!8{{Bvbi{HIFje6S(S0^T9h5Kw6)wne&$JT$=*jZdwSq?b1<3IQI)vP@rK z42C`uM?lO;26z*dQTpLbxM6C{AE1XxU`#P>GR$_J6j%Z$Q!rGYA_CGvVS_f)gw8vL zR>V6*RJ4MM1APLr&D8=~7i+nMkqM&WH=VKKH=eUfEBBN3^0It{A;1P75nwUG{uo}Y z_)xGAkz4|t1qE^n#(=0WgoC3sQ9{)ea6{1*u^}UcDCoY4WatM;#G&Y~@Kwqs)o{Ze z)J9;+Lu3Qs^<)H?F(pO`72i{x4c|kPjV8g1Js9fE5dm4?7~mb(L+KAN#toA+`2e-# ziX?~L0jo$~1cozMQ>qdfpQ{z5J^b?>&d3`r{(zfcvV`ApT4c~CZ7Ap&-<}W`hvUg6 z&~ShI_+`HTb(Q90bkcVg4c1mo{s36WxxrRi0Lo(Cmv4L0L-_5xq2`fhp~s%6*fUcY z%@f}9@l)G7ld1SJWAg1eN#C(NSpTXG|CAR>X(qox=-<LT7-fyZsZK#DniZ#^6V8NY zIKyX89;M2xZy3-<;>%epF4lip`zi?Am%COIn#%o2&~&KkUr}d_<!v_al^<)MbjM0M z!6-OSm`w=c`F2T^g5NU%x%Fl0ud~*4t+Kvp#vO@g&oD;weR%Q+mFvkDKzlOIiXema zaWE{t>FtBLaZr6BlD&;miOdr!cnD4UzNgRHs>T0MjWI4e(;G}RMhEKUjkD;LA7TLo zgBvVRLK*MzuDsUn5@*8SAPXJ}lfF|rvcldB|BNLa^!lQ``8ANTCF&S*jX*(nz(*tA z7O>V_yQ@w5p5>9!Q)_)gOtVAJK^3I=;e0U#KaU&6@y0fSf?+5Dbot&MJ2X4GFJCVb zJfu<yR@Sdv5fVX%<j$a=<EVyBB{KMl6Qd79$kD~*$v;tsg3atTofNP`(F;+rpNg?# zpG&Z_EQEuVPXIH&TA_qm$3yHtO@|&=j?AAhU_Y(G1P|3y2ZQGwQ9_+daYJ8}jm+0D zV8hO{Fu}@R0I=miykhkkoK@S{#)S@fzK()U^^OXdm3L^43226p1ZqRGb{TYt{x=l# zgAf4l4F!NH0N683tPzwC1}nd%ouejc<1I*{!{QyAs^%S9gM&&P2`$o_#DbycyC{)H z*=Xr#4rSz+2j%4S+j#);0VZCKMO6{zmyjtQ4CQu-fP8e>!Fw?eKxPu<SPm^{pxsrV z0XBsuhyp)slEKiCpD2;-{b7b*>*;Kz3_#ZM2B2aYB8zk^sbHwwWj>v?BG4EygC_iV z#y56A*UQ`PkJ8V1hKfJijdcZ<=|+Ivx*d65R032D(y(CY;T=k(*fCnV!K=3!cJ-G} z&NFz=ybLEf*z!d}0vPZGpd9%8)*OGqOx`H{V~hA<@yez9lq>C+Dp$*wG?;xSJ*ozQ z_bfuc^D`zrhuX!qvym@W>&fqG)f1XZ^B(7*!inp=*622VG$H}^;ombAPB=@19n@MJ zirT*>Wx{?FM%Xh$b$G7l;%3r6^IB&-zDGoHpU&pVPv&zd(RUZt&80i<4dc~e&EM(F zmC|sExP0KN<hvO=)gDFJhQB^2>uDuOF}E!&WdJFYxgoOo`R~%&=Qu>(&Jx49VrZZT zN94OM;_6H8GqdSlwayJ6KM?7GU}ajWlRQ+_&tTIa$pO>Fbu~@z-->^<CUwzlyd&~2 zF)xnG7=|}<sbvN&mUE$F%d<k^C7(!ymn}|j@k;HmRn_T8W=6YS2{R}1n0!n}{ciPj zC=zM?y3YObXQpzk>2o2(8kKcuy)Nb92OR6dP{n5Cwy5OyL*nGjtvaqPHtommp|1~< zmpCxbvYB*{9j_bNFOswjrBjl$_1At@(QZzliv>-AfbQn-xB}vA@L%lFVm94yF^T<^ z>4DY{m)NTB@<GJADTy1b5yJ<bzF*84g<hCRE02T6etp_a+%`YZ7vRNKea8G7+f#A+ z#2WqJ<hc|g`@ou!sA#GabM%(+18-eu!1Wc3V!C+;Q}wKjmvr~0^);evWZBjppXCdG zBg9ag6v@vx)B_D4$Fn*$Z9F=PD{-Y!X~<Ob3->+Kl)xUfW-FE7UlC!u2HKHVjmg73 z{4uIArwr1<xi{FO&&ojf%$-fbSq%`wT9M}d#fuX{enUSZV4$6H(xhq6RKMWAfPJ|l zqeQGlTYuuUBl<;<-`1rb5Z=xN9XnF7D(Z0yTY(ssN|>n{vMW7a6*)<r4}LA37X8^U zTfF#*_iw}4*9RpHMB_77%s}i5`WkG{3G!ZTFBiA49rlArx%64C>b(@wG=9UoA!Y$# z?9q?;h9tZ2QYU|{2Stir%!r0dG8n=yi2z1Nva8O}87?-;79@pz(c{fL##QDN*o|>9 zwzRL|M+aDJM2YMw3V+9#M-<g?DVj+izVk8B+@R3}<>ETL!4&7$JBGitNpw&n*zwa{ z@3OWOb~R~i-E@<f$ZuR>kpj>IM!`98otqKAtUY!Fzd^s>DFopfCm6s2>reL)gYP_a zo~a{R!ufpMK%)ftyBoaeO$nu6;%|Kd5i?~JSp{!%9<pQu!fh}qdXZC_{*x9J(ojyH z$d07?;}?k9L>{w!V+8HrmO8#0?BQ8A8iQz8nz$zjNm!Z_zVu*TY0rGSoW8+qVFBNb zhCp%Jy29(YPZbSEI<^)tdo}4#J~`(qvRs`h+}+l^i+EPcu~5z(E6NHe(_CwKiQTNH z0CYmD<NC>Rx&kos7?*4O)RcR}k@DU+s<*&ot-m_U?&BAD6<>cz(x{rg=wgQTY^G`Z zf@_A6vzr0#3k1q-z&0M#)y9y=Gr3;Cx)o2#k$86^-<vqi2&S}{Tk2G&-dSA7H1;cS z8Wb?>8r0wsGspi@$#7Q_H2Unt@r#HZXV67y@@ROw)2p-aO;Zm*)pdQYXHNar_8Yc; z(LuT3Nl5GsuazQ2b_qc_yEE&H1{R}tMWGvVjy%xiK(7;$h+zr2dm!T`piY7wr~wPb z{}Z>A8chA-0DDxOu_@f<j(C#+!5q;r$NqzHEK4N->tKcRfMnO}xiq4YMfnV+`9uZe z8995XV(3kJa8l;<JCg6~@A&#-g^vE?BJbiM8N|%9q1rl;kef!_<R+_#BG~gn2E(Xf zbm)YAhZoN@5Sm*E!wDwm_&$C{grT1<=wbvLwyOR}fM-?f7RJk?u*5xc^bDE(2nEh_ z)aMlMU67<5(jfUu!u4jF*Aa%LN}y41uyYh5rrJwJAXe~2)&g5OvswENwX*~ueeK$d z3p(*`W>rxb*CHbe%ITk2UxPVnhGTW`8)0~j4mD9evj(Z|APfP&oF(N>Dy932!{EMH z*sE%bbc9wL)4XY`s!Plf<VG2BwiFK73;p@jYZN%E%3c`tm#4gGD~jn#far~nylEHM z{$DL7I-#6RLU7=7u_feEKa$VK1$5!+ED$^8H&X?cLd;b48(wpob$+bvCPp@XLZo|U z);AX$h<|;k|5(44QEz^S0(F9wcSqq_uprVqlk4XPT3O)j%e-mJFPGS3Hq!u&bjY>T z@R}E$P6$Isd5|YNwh6><6d>k?m)JgS$qvYUhSa`57~XLq(x^B;6-qxJ%b{Bs{mA6Z zW+qX9A}j>=?Z+{Z3t&W~i?G9Y?nw0^zi4QY{PKwO#ngKI<r5MZlE1s(umcSm`>M_p zbm14lw}<;DDS*Vxp9?M~f=KrdsgJ--c6+0vdZ}H;u-yGA)Nv-&Dx52SH<vRwhrlW$ zFnpZlOBj^XQxtB~N-8{Q(VhduA25z1>?~Ekv+#zwUEbYluruL74WZR3k~b|=Y025~ zER;9Rm=-zH1jEi!SoF@ZDJ6lPX4jj0KZOdg>FeNG%=V{?#MDy_hzP@dxB3kyHrIG_ zeuzlIZPs|xPG2pp7B5P`+yC?%R;JXqD%Y8SRDTm#E#LN!aj~l}a<d1gPBn*%c`Cb6 zFYn6+zBF`oMeSw_S1-9P#j_CTPnU?SXB!ZahPRXU!whX%p~(chsZkAcL%h4i*jX?A z0{abJWr0v*aDIO9j>t;mFK=2W#9xV7)BhQ;q!*>(CAHJdTjb1nhsewl4f#edsd8rd zcaimP$tpcD>PI1JnW8~vur#5x{g27i(1T=%nz^yKDJ)PDDLWz76rm*F=*FQsZm<_# zGQ$EJU!rm09)BiY(R0W$)Xk>|6ML;`dt~&d=8<(~g(ft6r&J4lM}x-x1;n6q-;4mg zUB}>22*t)0)T0Rc?P=g}Xs?zu&GQDwBmUEo+ohS~O`_+nN*sBgz~@KTjC|AIo*l1t z=8AQ8Rb4|pJP8js9iFCaK!b|;)?_P6B6IU$YxqsiV!>r5l<RN%_Yr}eSFU8CU11{c zI0au=X*DcAhz7A%oPeq}DFe%AG1V0{{n~He^%KV|K?DK<?4h4zF!GOsnp&&I-X~@% zNfq+lkY7l<B;_A-3+Q6r*Wk-GdZi%6D{MZUPFaTy{rxU-`Qf~vkEufm+r#WaASI+2 zHa|TOny<DOeb;XvDp{u+WfvUcajGHp9eZS=t3V<<f9%C<>YrCmQ`%Bb1MSnOEYZa( zTsv0pGBmB@*970rr|WXyRM>R95pfKRGd}!~A%bpWkVSMA$ZFR@a#ir*nfR|&loX@J zm-nv|nu@wV2D$y9whvX-v@N63{IYO&y#lKvYxcNs*ph~}$3gIeCj22jZakrW>5yuM z_w>aafz3^}L)R}B(<1YMXUq<6h*QiY4O_dSzWZEH!DrLqitV=_GQg7p59_a-V&10Z zUk)YcyGBGii-fYP@i-`Z-=a7&RrvOgpLKO44ewB1@iGpri)#3gLNXd`E4>*WH(-M2 zg3R;R88@WcoNsERIGF5wGDG&{%f>@rCYZcE;=w&s)7;S;FJUk;RyLssY-Ygo`b3-} z{j}}rX_ZJ=!bPo7G|stq^&-L9%c(y27mVAfkNx6+lbEWmx~mu=So#<RV;D{0q$yc^ z`aW%u-v(1pkzb74Z)0NfkJ(8bLt$MS^#rK-gvHInYCNIY_4<w;if19d$)71MXTzev zbpxj53}T$MGqd4!!W%E);NOZ4#3OWkqqvFfs`j(W{$V9VQ*8_B_(<XlRT*thj-mLc zjhf<$MELa7*0q-f!?x9=+c}<>ZPx{jQxO@jLh!z4BzC#8%0)T+AWr*2HV5NC%#H&! zfLsoHczYlT`d*FzYXPA&QF$NEKE%G+2tVLa%r=k_Tf-HoH|*DDZj3(cCWA#QrBM13 zFK|PX_fr?@HC7BlzY*VoKeKu<(5g=6hl}S)=VM~cV+~JK&uoD-mJQ;n1&yV3EhBS@ zxcEOpyis-rJ^qyrK*10gPAUU9AG6{~s6j2S`g80gEOeeO`&>ToMM#Hx=vz2=$bmQ* zEEE<BwhHU0cT)L#6AD&d0J@ye!mM#-M9}BJV)Dd?wxP-fmWMbI5Ea}3UMvNaeqB=h zFp~+j6K?BaO^P*?6ipodCm`m1P0))|O$x9M7Le}SD4~R=z!-2t)t*;gB}jxr71Ce6 zK!H3Z#eleymb_y`VbV2DMu~LLBf~t<C+>e>2MQ)HIe=uZn-%-K=UZy}uL!7n6<#?x z^pFYzqDUPM#v%xN%1)(@(r+z_8}>r15+{K?3Xn?2g00R=Y<;5ToKW_!UC)vqi4#VK z868gC4;zC3V#(xCv9&>L*!(nXMG2!H0Gc^g6o>{B2Beay>uE9vUp00UCkA-vycn$f zSp2yK1AG%4HH6*YrGpz5psPakRFe-sjpd>b4U&MP3P}K~3Xy4B0!*G(0MmF0Sb4eR z^Db6?_{aizFRw{D0vww@6ZRR3AJzv0js=E3*x&+>E52u9=C?8=u@%IVvr}hfn5LFX zFph)#Bzcxd5-GL;6k0aPFo!ol`r$^KPl&hAbcl<u<guULVifFjUQ#O)7hmH!rmD?b z#etQtDd)s@51TimO4IKJAPHCr5S-K`Eoed|$vjvDNR3~_F>q~hKoVLK|IL37Up{6u zEgG@47rxxlcni(*e%g3ce9|ZE_)js|rH1f<{9nNWrQb9JBBe|XG#D(VFpEj>Ez+L@ zBE?Dg#!`V!2TPRx<7j}Q=pVV|6y^(kfZ~@9Gy#W<Pwfv?FE5roFfaKKsUckIUfwOc zDa>sg0*iE*9zfzk%BNNbkWAX5^oM5wBwawG$`&B`0SJlLgC>-b^QnyieDY2}Xw?7| z%RqzPX$sT&ErB^6l_NQ3h7$?!R(PQJvw+E~W==B~#@T|3FEEGcB5S_-tvR8|0Gcpr zupGSI4V0j60Fpg`r0WYR4Bzt_pefx1vU4~&=5k!>WWt1KFw}etB@*W&ke43-4T`ON zf}ud#Uf#MU1bDNl5fvvF4P@&F<mKl;W`DYe66u>0CJd(W0_^F~2U+*(OUsWO0a<z- z8i=`%@DL(=j1rkq3y{coClGnSIPg6}tDOF{5l1e=dc#1)7(gmc4`lYIXDE?W{b7r8 z!d?-OG_M`tO4NS{kk=Rf%j?yE27#14nnyWSUBtsr+_0`+BbYB@fL=anAdSDtr`t~W zM=x!U);NMye{74`V9INa<SXNcmv~3<*#Wu5DUnsXGia3axpPxrR}62O8H@ty!O?H% z{SGu*O=PvP!n>;hc24e_N$xkaH3nU55L#tWLC11PfvpN6eKx*64pWr?-^$epq)LQm z<?0LaR0KB6A9!~+)@*ozz0cDb#<2qsQo95?c0i46ltLKRnt(h%Ci0vm#3e(=fbIFr z9<ZrmfQuO*3=g$IqcB%yXSCZcA-Gs7l(Sg^F6Ivy0|SlP^ryFm)?ay@9P*|a(IaQ5 z5DSSLGrYSs1XibBh=r${)xb`Q5}C~wUc=Ds1@hbjvM@1dRkWKfX!Ik2)rJK^KXGG< zH;v{Ql7Ah?<W)d7!mz^)<S9YY5_;JY-k^5IyK7qDxdcml`eyj%k)hh;FY#`*kyFb6 zNtzislD3S~y5Fd2$5N9=;G1JlzPX=K`sCGQa@EgO;o+M-EBVOqhExN5dguWGbWEK9 zZ`qw=ktPqv6X}DqtXxNUVRN@VCgx2YYw||Bj8|;yCmFNomT5+a{CH|5^2dUe8fKG8 zpH!Ph&KH>LNAqMU_g~v=fxfm1EH&o7<X!lAw=Y!jY%?&4BU!39kz%5@-R`NL-1efb ze?BEK(4ddhq~NzVXu6hK4^@Yr`=sEDNhJvQh>zW-Br(_!kGy83^4QIPP-l`q#7fCx zr8-Y7U&z7s6gJ?0kqNnVV3MC;Pw6SMR;G(+d^CqWZDe66^AQ}otxjU#p&r@P=C|IL zHG&=B-i~MnwM~UMdTi6;YWK6p<Y4+esC9;qywEIXca^F&RDO(1Vi3bH&)lGI3AekU zq_%&1G#X)XgNr<lD`Ge}C3s3{v>dV<8?s}&<ZJRvw_UPYxka#e*AF6eu)EA;OLp&P z;6nhLHbh#84Z%r!yEvfTV-irQvf$jVaT@37KJCZmaNoUtKfuNRIs>+Omn=KHxy)44 zeZ;HxYKV@+fK+rVoQvPkTkKyaXZ0szAP>adZ;!$@Q9cRwvO^t{04<Ex5dKHW3B^+; znt6%A>*j_kB~vP`FFU#ZY6%t)gKE8tYhi9<IB7!qAGZlkmeQhYwu>u=bPH4Ur;Whg zCGZ$q{+{a#=UU)eiI-MkypwoGHk8LA!r1?`0ULe!#}g`NXJTf0RC&MC`fem9RD+>A zHGG`;OY;3|dm8DM=hb^~kiGMbA*z%Q4z!6?-;CRAK^Xgj9jHG5C);+lLV3#)Hslbt z)SMz`3$I+lc-<g|JW>JW4Ulvl+1JwN)XtH8jmZ_y!hM_i{n~RF;|LJhPyEiO*DYpo zR#*DgP_covdSr7)_|GUgKZSHb<@KNq>Zn%wz<nz@CK7hjh*uG~dcXlCcal<NZ6UWR z>cDGjxe}NffUu<iNHuY`CRKs1p0VDv6qUeB@>&F3E28p;{t3Xr+^a1#s~Z=jm?SMP zSB=n12Ult8tySt^b0fUoRN7WnPR9dZB#%|@<fUjFy5y}fxP3UO>uP%HT6%ZW>;;WE zfV5+~f6@wx@!vo)ch&TT?(7%CRZe00ZMezZ<drcd@9_G5%HvzvCRG+hS*Y0<wBhQk z{_EFg5G~*bF7k}7jG=?`dA|A1KDKQDgy1{}IwjOnPEP<bzu#0u%C7a@rE+e|s0~1P z0EAjmPr!VB-yk|%W%PX(yYj3dz$3&8ATzJ@1T^ONMIypg<a}WOq+;|B;zVcB{iv=d zq_20tSWU{lXA3Cm`wwA9@25N|6|({y;Hy$jRuoaiyJKHa+4jzWp$(g~yfSmTQZbJ* zR})7M&j1=aFQ*4wZzSg~w-c+USEQR7B%)US&;an{IRiYYoPY&>rg{R}^HWFkrK2z| z(})94JiWmhf80KWbbP~kxTeq@iuL=$=K_7~Y80k`Y2=ilr0l;NDn0a4gF4mAO@{zs zA|8OS`8HyF8ET<%HUpu%5^6fvA+>s39S9}hwBHn=HV5KCn&?aJdP2_G6~+qIUF@#w z2H0uxf2acwUsnDWFzRSSg*n1d776q|5fzMXiaX<<SGHZrG8kUprg{7cQ<@=DODnee zr6br@t!5^bZu*O6sOrZ;ScFBp^Qo|mIP>^#J|m6kWU?v9;bBPWNJzjrFu@D=czrYd zv=}M6GQHd3BkHx>^!eP2EZ<plYtMnW>FfgH=FS3`QjdnJ%Ew9-7>pL9P9rKV$AWy< zkp~DJX<clM^0kY~%Dl=M`m5ffAE;I=h7s)t|6D{w8Omft-#BZacMzYw-SIj47`;ln ziOm%l+H!=&fl<uyB@l4n8}w|QcV_Xa7J3Wx8~_$rOw4&-M!9@YQ|T4?#7HP8rGd11 zWE?C3V6ec?|3H@IR~77mm_7ItPZ~+9J@yiwnFFTiW2ae54QcS`l_ZlYmo28yNc2@6 zpUNW%>tamkdC*lGU9HQ?Dra7iSUb=!<LKLn{EtlwJ1u7__4ra#Pn;@@)9g9Gb;%aM zNMtZr^O_=bhumyP!$+^g6L`9ss1ydzu}Bp`mHC7H4<!$^SsNMF5CKquV7$HY2ONE0 z8$rVNdTV~=#GG{?4eyRh_8dcqKEVq>=o(66KQJR@fX5G@)@8AkGdRzRbF{2k3{wwP zy#b~>H3ma-zLet>fGHZH&n0OEIBceS=%4+0T<D`z28u9m@hbM5J%yE5IsD$Cdgdbk ziQL2OYZOdB_R`i9?|~nOzp`f0ozFP_353V3Ts^!O+&HVHcYx3P^P2*|Q$c7Q1sKmm z1`G{tSOZJ1L|{_6EIuK}LT`oPpN|6@h&hMvl5@WKP;Trd1KyMS0-R6z*%9$+hXb}a z>10y0Bhx&G#dmY7@GRi$^|zzO2)B%@UnkWJU*^^#c+g%2L~<jQCjEawPlEywPjA*P zqywmLQKYc~u+MUZH$0_dIIh0F4ZMF*i%ay~^bY@H?<BM*5Y^<KcXz;-tf#^szvt%- z?(S1RTF<zEXFZTx=Ahv#uCe>`XAh0A3bvq{dD<posgR1KTm%pNOY9p)sW{&t<L`?y zla?x}d%Rd`CTcbpXk(NYPfE>iNo+-Lacm{-sBDSue9_h<84hJ1=P}#zjL0hZk2T0~ z^di;A3v1c?DfFN~2R_Bs=SNPXTAtBgm~E39f>Yw%Jk4YT<b<oQ6&S~x1pmO`ZK`Qb zBE7WgKV0=9y~LlhjlQF{_(=9P9>LHb%c^gqT=ty0ivL9z$#2J;tj2&mPD-KPaIGIf zgJ`}c189}_dz&}~0l~*{KOjaLg1zdoKLSTyFO{=x)CEejj?!!1C6H<dXddMy?+~%k zUUS3}Rq;E&CvV|gbP3*Djg4o8(TRGz3D7<0<tZEd>g9WQx)~Gi^q552L!LL~-8p>D z^6oZ)6Q**|JHhuW;ab4w!so{<Zd7;j4*}{o?4x<}54oH$jW?Zd(q!ra!qoGB;NeC+ zr2L2#Zo!+#rzGN_)%ZmjU(WA*L=w*)r2L8POYtQ`PyTzgRA_A1P2)^R4J_~n$4V4% z<<NiuIbmng;Fhr+oD-&g(EIrI*Sm3<GX(vB$mjLI#s~EX`BR2pZ(68<7ZKl@bOh+9 z_0){UV*S+$_=*%p<oZm%{M8#II<5N&Ys5pqsD=7l(DP~2U;RE|g%Jp-Rmpp~gMNpb zAFpbftcU3bbt>^CG89x#_7-3^FtW{NKEecIgMdloTkLj>fmszS{^J-s>vnUrH5G1S zHoB!h%*IZ+?OTtWxq3E3ON?LY0wd2oSMqR;T#H5$yp=xB-{2YrnWr-R{&*9@-NQI4 zGbf(Q*^~cg`S~bMCBJniiOfv4=3~RPT^8rxm-kI(X|T#aA?K68ThWbRu4Q5zHn)3s zD`t%kcr`sHX|Rf`-$UnhpJn`QZhM*^rHvk&Tbkdb!HTYkhR)rZ^S;{L`mDTW{cHZl zUoP!${=3?dbNXf(v$98{hhb#8yqv`^JDPip8Isr@R$6U^=$;kPAaFtQO%}WSF6XbL znuUad#)n1(!+6$vg{@I**sY#X?Iuc-_J^L;rLu$^jR*Myd4$-n*LsoiBm+((BFY9V z=8G-)4?KDkwbzfFk1p@6Z)H^Ig*86>)v{U2<=U7M*Rj5Z*@#UOw+i91V3HHOmH)yz z{luA{;so+RXn+9khdS}LP`!bc*OXAbiJljF0YrZ7f<^p*dTE7S9OfjvM8&BxE(DbI z^t`5p>Q!~TgoHS<d!k*9gV*H?JKugymLoDlV?jpeD!OEm`8lArP)4Uz48JO9tq|jg zkVa0Bvt7>5)uSn0&OULjB>59J&8H2rfPYLy$a3{UG%ORKS<DfA#=+_@3&)7ZQW3;D z0&j5Tn^8Jb26&5vVbJr<0e9kjRSJ~?+Hk*_31hal4ovO&7?KW5{<N6H4or{K7%k)A zS;_(Xtt$mKIN!%KpTJjei~?_Y$t!vIES+qr1fTh$?42}UAGTcy@3g6>9&Ykylv~t6 zYvMz}fqwJ>GMBGZJP{R&>CYn^6w4FjjPcxKBHxeBy$7!Hg1K<@V$$=iWB;k^d0}fW zkpOx<Hb*C`BFQ(}CZLDg1Yvdj;QMuumKa<}-fvFfDMa%EMt<Q%K>HoMdqgcts>sQ! zsG8QrNkP3S{t8Nh&6@%-tabut<O~H*)ia#FcuL-Z+44G;DY8Zl7pP1$p|@Da+GG+m ze*dr&*<p&y0fS307T3?z$E6_HYcR2}KFpWerjjk}QxC;FUvNa7*@}J#9%}2mrLpY( zf~kQ=O!FBfZ!p?N)_#uoH5zd)bFe4Mfa2Cw%yr!d^*d_OJ~?O10#|uSZR0K{c$z9S z_6w%)c1%sFRB~~>I$nL0p|gndXkE{9?*uJ2UFzmpZP=0n?X#dQ@`lm5YIk$;PJ%u* zwqrg-Xi!~Dw{b9$umS~ejoBkrT}&YOg~iN7fZp-qlNSsWSf5eYU;~g7!fZSSLI>d` zN^Zh1Fb!7p?jQ;A_WLlhiy!3_V2*ClQj8%P&W}!xL(ZHUpdy3P2eWKJb9%!opSL5} zOjUomHDFGt!U?egE6<cIxIDl^Oeh{vu}zr-Nn){{bGhb!iT9eRUz|`-envScA5`Fy zjdXka3NJy=#8h}OI~hUlIqu75DkQXy`5<pP`^0*L;*1J9-V6J1w42$i-3E2^R{mak zO`vTSYf_4d&QhD@u8YfL9Ne{2D23fCtcC05hze^&jV1)kemYK*Q{w9V#a&2>&8w=d zy<hG0&5&NJ5<^s5-fP-1LDAT2UXh`vWxw=9mfvmK3@<_3<Z|i>?Kr2Oqp&Dc|B|21 zH1~I=vV*X4GMAqd>Qn1jY2)A~ftPROr{4BE73RJDoJ@A-v<a5)2Ibd<SOpBo)?oS* z4T53B+iQ`5DVFuMA#pK<YIyF1NuWXuQ7w6VA)&47F6PJ(upKY0$csp)BKQ1g)<q$A z_b~T3iR2PqInrJuLCazi;aAX<27#^ZBg@as!KCT~psiH~Hn<QSZ6ZQczW(m`$g+## z8yN;)Up-e6;w4wF1D0iyH`W9WaWW)$xeca`s{=%HJ-C#*$fSgK93;gS@tHyN{l&Xq zX(Sz`k4ziFr(-DyFEccwo<V$Ki=WTZ5Nce#rRscm=!Vc%WvDPV@pMwf->05l1kNwX zJ)2P^t_CGtu)NP>Z;{gu+J-0yGlE|<ZyGf5eg;+;7GvD#>u@eS2{2;eHx91rcnOQ3 z__iw7FhD$(gwW>do#Y+h=jx4T8t~{BHo)b;?~`P!7CasMgkYDim&L6b7b3s-%e0Wr z99*xD=l&ts5KE4B!JMsn@yT%qC9pJDFhBUfSD4t|XQIq-M#mWkGolSJbM+=Zv23ES zkm!DIK-z2ju3=C!J`tfWFJ+FqItCLWEv{e3#w)4sUov_Tp~C1)``fKy_Wio=kDftp zuHF!J%U1oc0DQ~%U-_TNu0yA=b90LmFi<fEKlcBnxc^LDj8AzFVGZ3^{vJ#LMr{)< z#~8ZcB6L7K5033O4xan|;{yeFwN11VLs^siBULDA|6j(BVJNCA+hec+oD(4!Ey{BZ zM^t`^SRL??5}PPOE*lfo^~WgI7lw&ouo6%4FEX(}f)_u@?3z)Q{|<;Tt|cIRt;)XU ziwt0>qYUf*TNH;n{}zRyF0d%z2?%m7S#RUF^Thbncad$Fq4+$`Qj}*@K4~3pAC8QJ z(P9S(i1V9TUVSg60Mlo-!AdaP?mmcw>#XXxW>aaiqN3i}-7bl|^e47-FG@jV0YR+$ z5~~I?x>!Mp_#4Sw`%UD2@jSRWXTN$LY}LxD(5%SL(7a#Br1qDy!x3lliex>ZC{aa( zp~DGhRw|axn6!yMHg{Ki=qsn615UC>Y)S))%p)amu7d4Efj;37CanGHOyNz!p^)~$ z4+WWor*XP+zSH!@g^GutCHZ9ULs@*ILyQrO5d&bxzJ_Q^UgckkhbZ(~rA(5*5wz*d z_t*&_#}%xyiw{s^gmtuKTLYF6zCwEg$^lD6mDpQ@9#Fv%Z$Tl*LGXJvA>YW&lVRNf z`+gid&kuY^@$3x-7?PSo)b=G@Ak&>V8sv5ej!#avs137H7<joQ^boG7_T->ekv$?m z-C6MaOCml6)$}j&YC;rXxAb(t1bl_!=EeUb>8b;we4f663MgGiOLy1NB5*WHH*z3x zbW1--#{trvN2j!cbV+j@NQ;2r(IF-I-uL(Z_3X{;?99&dd1jtxcW>8cBF0B;Zq1ix z-3fui))uuLK{63QBy{SPF{wQ!O#bT`g=O0Di=ZY(8wVWVA^Vmc4zR$wMWt~$kd{#f zl*}}n2U=RnD7$|H&&e4Vl;}pLq>zU&E4`_eRE||&nHJ>W5uN7zBxpR6`?TV<T4pAq zxT$|;{0Xcg?MR8hl2RFux&lSs@4g}<MXFytMNHSlpl^>u@RP8rDNN}mX0{X`|B%q( zRS3}|TdSyM<6bMVeu<iBHj$V!<K9pMpdYiJfvq*>vB4^XVNV(c3yBRtPCVjML}Aa3 zz(!_{qF%0f(LQsZyQA4GpZ~*|*5_IK$6}d{#2h`{@2&GJ@=Fx$mIOFMQP&>l!$nl? zOOcSaWbMV0z#fFgfOAc>Ep@bX8~)@NiGOn#+l!cL*y9{I_VY~9ZjSesU1>)OfSg;m zVK55bFUQmqU9|qG{@IXzbl*!6yW)6jQYH1+UkcK0Gs>>&8DJakZt;ZmFKPUL62T9( z%?w5S)V7P$_#ak)t%cWwIo%cw`4(78gkJW1iD>+*Ffng3>+nH6M=|Z$tV?_BVbFS} zO+t%*n@YRw((TDmLeO@Z_nzDtEztN5rTRna1pMRIVfo{^07?hfF7*P4S*S_wBawGH zB1aBeuf`3mHJF-FiaC$p><VjB+xPqOtU>79<kY@cDVxp^AE*$gH-)w5^;`3-b#l55 z*!7<Cy3Hzo)=@KktTLgr9LD=oh$wvj&w5+5sG}5xm^!VaIE9#82oj5WbO7MtS^MF! zcGLCqrzHQxZX3x;kM2vifEFWJr-tE!LGL6lZjGT|;%@1*eon^qHI5Cqecy?lgc4bl zQq+Dftvox|4CzR=IAospKcwBu#quY^(YACs%rn6rdm!W?!~OS;;W*oWM7lL^X<{f+ zy^<C^^cP*8kRxNAH;y1-a+U>dz;wAh(`%2W2DEoxJw)3l;u8fslW+fefIgT8b3gDi z<hVMP^^?e?p?lEbXzuBXb?U7RW`1x5?(capHOELx_28Cf-2w;g@}D4QB-rlJQ}8v| z^wCp^YcTtxr$)tntQMcgWAVv@8OXN@i9z-y=wC#jt-X!UM4*F{Vc;PXe{YFfIX1kB z%JUUAyg@k<-fdSU_iU^i#1<}ZZBacc@@%*pY)ce5^r~5HqZ<S|<X%6b$oR#*{(v&W zP6U1QGUNB<WjzyXd%%f@hI+j+@C{dovf{3MRqpp`MDYRJm9?Cv>f)(x(Bq_J;yI41 zC#ssN>SgE)K5-7M6=3(2NlSg>#x?&JTX}|aVo-4mWv%0-HnokQ_O0ToY9BWwf1SMF zu0`=mhGEN4_4Z6@Y?j=E<G`SNknvX0Xsl5g@Bv}g+N_ogK2jP^cvC;M8J-_)nK)h+ z6o&aTUWX&^m-kClLRJZ#f+@+CBf9TShE>+IwyiyQ*w_Z(Zot*LzGe)i+L>Ne4yjJn zmAkHH46WKfV-wAo3duks9=3s*)=u~I<lbgn58q#_H<}xyypJ+WFvA_y^jldF??)7P zV;wPjSiF=Ov4jgaru_V3h7Bb1ei>YH3pkF9W3+jDSS^HG(;AqX0%J9UdswKvGkH7i zHOwak__iMesPRk_)Yp%-Fx>W2j$+EK^}Qv>$#&IEm?IGfIG5Va9&1;()R=VT^;1Fe zgBGO7oy&<Zr!#%K;Q0HA+Mz}vkb!Ks37$SKkEG#64W2F)=aAOcy~$MiVOY1>;rJ7? z+jZu7)jPV);*6MCgFP>zLDQHDyxSjal08kFfw9tnWY0`Qr(%At#m<}6UlW@ABWD_C z7$YI4vnQC#tF!%W%w_rXY<~}H<1PA9ef}3`B9jmlQS~5xI81{#4j}*)V#-qmZxOc` z79#|qT>t%GC2JAqpozm>G9y_B>&`<H<6nj6^2S-cfu^TcFsVaZ6(ydMog3mwJOU`} zb4lYn!aK;f%T4BO6XRRMZ+YXGoYsO<D>h(j1&R{u<oHH-5<fxsk{mR!7fRgI0)wtI zjI?GihD@o3$oky4bd(7CaAG|J1adGC_7ur+*9O;8X_^!>RTfS#(yHOvno=og^%-&L z45{{s$9f8j<g`+Ga*La~YJ+2F0AAzbjiI$9MG1Er-ge=5%1AE#()bsVRpi_AZeL18 zzB%U6ZF$l-7v&4q@4M!1`^XpXdWXwc7U#IozI!N^vq`nm1d}kw+A-_AjA3;axS}=x zA<*WO*cl&_z%!k0aU899wZn4&mgzi-ZI4f=quj{b*ccuEa(H>EDnbXA0Xo0OMPlfM zYl#@Ti_hNW<p^z_VKLv;T7}}3A48tFOy^9LYNy^nKtL)nEzdLs7&*)s=tPPM8tHS$ z0Y=)qhh~(=f|(m01=*by1J;A(?TQiy&-@IFnSu(+)HZx*(F0NbkCpCTxx{)_6P?zl zNQ_`#85dh+6yz&P_yIUqm|jbVaB?Xs3G_UO^;{->(Uc-#iHnIG8}$~S8$UADTSTdC zfI0t*98uh$E%$K&3;t!Je&jp;UPfAzr%btcG<r`Fn41ySV~1%ay$6);5T6iQKfxFe z4|OBo&H$Hti@FNaz(2rfo;@R}CZ*kfN|LJ{9~r6w_XH;23oodwfnQ}<CdNMqtH8Kj zHe+iVyhBiGk}1(bV1ohGy+!G4ffYS8t??s*4%#xxHYC|gQ<lp_3$0b#04bHbiMlc~ zjd_T=Rx^!#5OpnP<Hp?DK@#$|0C!I|Zg){vQ#NjI7!49fEeDUL6-NzY@B+>#raXr` z3SiJ@7fwdLZK!VuON_S+*W-=bFn~6vRv>htaf%Z0WIv4YBw{FjVDQ}ae3xfBvHf!o zBW>ezYBMUO-CkA<s($y{#Cp!~I@VKpjtM$?X@iX^TQJT`fnsjNYfA4g!tojrxfoEr ziZCPJ23gNLiJ-Ba<~NF69Ytr1fnr1hv`$fij{5woaD0EnEv8~?#AEX9C`T|RxcYd4 zi~pI6zFG7b3^;ey?Tm<{s@+mA-AD|;LNLL73X70h5bKe=M0mUau05Mh;Rg9E9O~J7 z9B1u0U8<L0<vCKAYegzC9@WYuQrQS=PTe4ru+p;TgV^Rq$iXL!bOEs}q;G6xDSz89 zchPjDV(d=3VB7D@15I6YgPKY6+d?kUxib@xnRS2mK}Q<9=eUt49O)IyX~1iMW{N#E zsB`OMymj(i_Jn!-;LtssrW0x_L5{Mf$Z6(gY$o6re@eDYZ@>A(AUVMM&?`8ShR)mh zooIr%yAS+%O@8w4V!)6hi!VT|`ZZO6cHoATWDjrF<%qv`h?&iC?lmUlT<oabFW?O7 z{HVjn3`~nnOwu)w?Agbxn!RTOCA^cx8r9?vFM78DLp8h9P}q3$jJrg*;|^52ojq@* zp=-=S9%j1xjKvsrm5-<hq*kxKz{Eka#-N}1HAA%-Ucn!80Mf<~<RmNg4_v%m%Ueew z$)4Ko%N4tLky6Xk*l9;{ouMm52k(#?t01lHT#Ma|{8JmY`P)Z9+Bt|7P9%pBx3~3J zLT)38y2_3ruXux_&<_l))C?VrNd_&At^wIJMlI+6q^;#+oC8V+(CKO79Nv+cOf$cW zGbDZpymJS%_c~uF<qWITUt2A3NVv45x;5p}&?P(2?qc%OL99n{Ato>7N$6|E)3p<% z=N9>@kIo#YyKAdQ?|e90;PC!FO>pg@?fBKY3{7JwP2=%0awv^jN&35rz-DP5FGh{= zlpDP}rh?miMGg(f-%~w?hEAtQf*qn6!y!fsq%yG$;G=0MnArx>sJpx;CO-0tWqg>z zi%a)){OC>?PD5@|Kr_I=Hb9yk&y<*KnN9ty;k!z`i64y$MiN8Z#1LEY#WR#OV|ZwS zFq2|bPhU$eAOBs<Ar)G#3EYeM<r}jSxBi9y%ec;7*}}m4QvpPjc`f^Cw4#J69&i9w zjXRJ~jgJcTk597N`qhb;L4QR2zLfGovx|oi(21+Y(MhVtlZUazCvD)YRbbeeh~M*y z<-a2()rXOBQ;9@6_A|q!+sdlwJ$CUQ#BG=c+b|98hB?P4G07ITBWB7Y5x?1W(A(_d z_+);V2K_J%`i9HICm}3>!a58;AMqR86n)4p4xy-|DS<quVHtYd_eyD)sE_}<mc8)2 zmb<6m3%3-St{3vOC6GQ0TeZ(sX&5=f--}^SYq|dxg}bNFEa4$AY%2^qvClBJGR?)P zA}t%9vTN8Fg4uj;pIeY>vK+qj9DxIGdOTuRr0C@U0yNwMc0n2~ux2U^%&SupnGPnH z2g^Q+g~5HIyYqno&)Cx;YXQtdW@I$x3A@oU9Ba@%{bMb##Bf)@v&C;IW`_8h_6C?I z7tv$|rpf<u=if!~ZY>Gl{xjwNtPFrDp7WI4>GF{;2;U}}b9+b0PR6pNX#<2HHOhLm z@qFNRKrp*hRv5mi`53SSadA)6Qw*xARmj@HF_BRAJ$#mYdy?5IPVwsTu;K3X<=;WW zKc~l$N%cP$y7O%YpQbz9u?vYxaNLO7I5wnQJ)WB1I~mRo8YGWBRm!iQ)!vy@x60N) z(Ps>LM_!_)=b;>Dt=09(S{W3faBqxFK%C>AH`V3t{Jd4-9j~Z8k9FWsDDJ-3*MnZW z{A9rlWDuJ*JL2&~1Q8tHSXVX)$xsI6M)XY-MZ@F4)TR6qBT;X@lnP4NaO~O$N*tUB z#v*abTtpGcynN3obKPNTpj?|`V~25Lh?nNI1jIH+Q4*Bf9H7@und>2rLVp9sjc&~$ z6x@|E{pcdp2RM=?b|*ooZI4@G03DO;n}*bj<(w31+j1|(M7^Cl!K?3DKP^1_wo&L( z)Q4oPjt&2AG&z%uM!<J;OUcYTqoE=4?Zc`K61L>7pYf8s`8O-x%U-aXS+C_rs?&=l zx7F<pzQK);qig^d9YOBXJ3v*6PW<|^{nTeq_=sZrxF7b*1-VgCQk~t4&TLHR@=s8` zHB41{3ZbRs7|HU5O9-sjTRcQeh}PH>A$Zg3ym1h<<a#`|GvnI&wN^7LB~I^W`igdV z;C{xsjk#KU=`G1xE@dua2pRI4m7(%FRM00a*|Ifk#9dm$6&S$@l&z5>9%{<dB0i=y zY|$X(M4k2(A)&NwgLYAk=%<K6xDVF`D*`H7b3l$*)9hn|Lkx94q$8EpjIP6w?AiKX zTjrjM+>4Lk!3V#Xy1W<uvCx`|1gGyh!7H7N_q`z-PR3{W5Rx1`NlFb*!4E=Nzu6rq zHM|!u1hUrO(^&w*v&Xbh;qe966r^XiejptAB3u7M2vXg4l>^e4(}{xHwCBtUWNqw8 z_Sw~r#zI8kK6x@jc6l0AvXge5$?hMKkE#l{h!NrBdgeAw-;IiqmY5S9!;!@4yO<GJ ziA*s{9uM&<+oomMmjSzQwe~){lF?>J70sp@qzYpi5D0PLt_&Ent3h3)ASECpi*2^> zA4^SHBR_GSKEs-6DV>^NXSpCJcWnuw#XVt9tEPdik~T?14SCIek6ret*Bi(Z?K>BU zB)4@9L^2mI5VY?ea0}XRDQ}5E(xs<=gxk|@x<dSOBNE}~;==LBpdqn5<U`xl_mF3_ zo1Oq9GDjv3UugZS)l*DH|KeirIWwFyjLuNhYXs4Lz(6z%Q4RG>B5KWh0@pOs?f8U* z#qNkydiWZRpyA04IY^^edwoHPKksWTJ%5(!)!BIVY&!N?g!2lnQ|D8exd&u}%)A4# zDb3tcOeisF{DRb*zisQJ0_g<_AaP~`5DAXPv%C;7Q<)D!Z7}N}2T)xXjw%Pr70Z5; z>TAjs?<;)4tNEg~KDdmVU;=-e70UjNK%0a0j~r{yN$nqI?>$$G7DwT9hPr{_NO_ED ze($wrMIZ@0#{S7jl63t^bOovaDO44>{}_@g@hY?^V6Ysa*i0MAJ~Le61qEg@iPCN9 zA!d%ow{Ib4ly2MjRx{(Jvv{^ME5~t`Gkv8sxxM9ODE?F}zM(QLm)Ocbf;(0vGvih> zbFg>c%jucUzv~O`98Uj;(=8t<8rg?GHRNcf!fPt`dxnsUe}m{DpFMyI_ivV@A>XEZ z2P64ySLGmmxt$0&1bnT@4^VCIq3lvNtE2|(nozD;NKkf5OFYsr-8&BnX8X&Cuvatk zh(`J-`GzC0Y!e|Ql=Xjna*@nRzM;tX>AOqtQo~HI4<L<ZSn~x)qY-v?L8<ZKUqH8A zgrXh+<#<zo7u7fhI`P_eEvTt*Bu!ZdHR9`k&?z4*s?39L0pcS+;aesgvw<KUi+&+% zG7OMs2`rkvZ~Cz#1~mIHvm2(hkwV7yTARv{QSQoFJ8`DYO|b|hZnnNq8d5dA(G9L| zq+5lwFM~cph{U@SBbwvgB@kYsbF)IAnhybuAg9kMUQ|v^<u;-S%mJ%ZkZj6hfu%<f z6VpXThzVe}s0~@l@s4W|T0eHAM9}JAFK)uUjdj~&ki2R}U0FzHt`ff?yYTb*rmPjX z{eQA_5Pv7*8pw4{iZSFm*ZWR_7axoXVPC*@TAS98NYja0L5V%~Iw6VmX=g!+oxS#P zbcXx|h_*Ea<C0v9{+d4mh-A^4I~;_LCLq5F3fDBzU5(btEveoeM#I&1`_R>+UStRl zay>i@rc3ako;};tBj}<=s4zl}cWwg%Xl+*hHcO5wHleni6Y)5tNNlAof15LhgN@MD z_tKggsApfHBHY<{WTXVXL+K`KJcv%ys50q6x1c^}A&2m5s`Sw$>FIIs0|1O?0$TBc zErC`%ME5K(V7@Yj5O7;>K7<hDrpUwTj7BQ^;B-q(5^;Hky8bChow79$!dA4#9HB~^ zqP)4ujEILDUc(@{pn5UC2rb)eegAkZictfvL@k3@dp@BZ8;&`1>zNfTb1O_>mWcC{ z*q@_3Ybd{ZiukFoss~78BJ=DDbY@Qb$Exkjq>68Uc~?nwz*zanraIywc}?JmT><I{ zj(nLe6!*0Kn<rJ>6k%D%b4*~KCpjFn%g``c3PrkNmUd<%vCHcC5Q%9GrLqXE_=Xsy zUHY52LGb=#P+W7lJt4wvqLTq$$x}%?Z1=4Z`T~KYsVag1qSG485h}d48<ZL?<u;56 z4$VGhh>qEWaMsp|U@FqHEKmYL3HM2GBu8Xwj?h3FG4Dr>H7QqL;agvtp1`+^F(FAS zPSJrvAnQ*PpN;Tp=`5_Nd*O2!@->?W8A1_Xul8s8maLl5N+OatTYokashzFAkct$9 zNNt>1Gy%&n^srsHqQf=6@wU6!fL-cl71ejU=8|gqUc0yr>JhsdMXx?kO=Gz?H{y&p ze**(&s+~chMGV#yAlW%%!*Ef_OdXJu+t<7iyQ)SPDuk21>b@gnDHqQJwC^hTM{sfb z{SW@dfv7p`zm)qwU@Thi*c<AHD*e02V#dJ0m&=JVPs~1Q7c=kfzQ<1+jNV<wp{rc( zZz!BruQaZIx9`h3&b9QlyWCc;RN=PV{>p8Y3D_N1sMc6IciK?FWslT(ML)_P$fzo( z8^L@^mL;RXpiYi-_;-wpyJ7V9waf1^_7D~Kf5TX*Meo?n&%+r{on-AUbY$rCq+pzV z!0YH3pWdt+lS{+O*A7wE=+^pbg`(FeHo;SlnJ^h0NX(sq(`wLRA3@V+UFs{^CfJF` zH@rZq`;8BycY)`V^-VuC!st76&+8gdy1NBH+&i&<(s|DE_fP4C|49=$$={QA*`N5E zT5qqe<u4!72K~5WqwlORF^Attciz&E0C#4h9in`i`8~TD4b*dFAVm7n>N}2Wgyj>x z+R=)fV85<n(~TCfZ*ZpRN+BuO6+t;kqa6x$+CEQ541zBDh~Lr;MlI%ZbTHp4jS)xB zv1Apk4w(^1W2P2^*G~5ON49056-~h})ZlkQHtE>h{2dH(_fLe>?ppTn)b3D#_hIw( zubK}_cfbF*44LcQH^s58XP&gU-0#&~{B*c*jub;VnQJlQe((t&UcEC~dUtcOg1_H6 zcTj`hbyKiXwf}XXW9cBb<uLo(4Z~nSi`l|z2Wagk`))1r{^sWrgsh6AY|?Vcmc$w` z?zcq6cq;Z6or*8xJ@*}RrB_1%f3#RA4>Z*D`gZA|YRctI&QDWFZv||^StQgGY71n0 zd@E>6Q5>}QWa)}=Z&6&5ox#cOS3X&Hqy{XfEjqeW6k0rmt^ZU|Tws=>IS*AS#k%!~ z;y1f=iR#*<RhzWzssdwNL_c2jo+5<@jumuACy>e(9sQ4V9^$B04utaqYBn!p2ROo& zk`mR&RjZj)VQ^A0DQN_I<7mC+h!s_&pmZol(TJ$b+Z;|;h3dW|=I#5}^ojVW2STST zIiKQ3N{P}?`D@PQ+obt+@<9AW_FbN%{JTNEth?If#JXS7Zu+xWCwe*Q88&7!!hv`l zET^TadTszMbswO0E}{nH+lB%6LtQt+lC-)B$c^jkuA8YBN2~W&)T>up1euq!bKBJ5 zMO8zW7HY-qY&4ng!x0md1NeukrPs9RolBvK;rxTK--!h-RtV3G&nq$z;A33Th-;rJ z7k5isb!cArs?)u>WSYWi&s^iJGKy)tYt119Iso@1J2m6x69DKM$XC}iHkwcuM}MY! z`Q>Gr2kjD}rJ=0oYcSQFwo!wxU#)R!s(}PM*?oVeU$S&eev5x(rp~DZ<O=uiVE*61 zMjzB^ItcapC-d!tjhLgYQIDjcC@n=Ft4Uugao;<lL5j8U^gmb~A9onP{W~p1*YOu( zyU6&HoURJAVFNCmQf25mffj6+Q*jqzrNdPV<A*;D$z24M4)43+<R`3XjWN#NrMD9m zG#x;!IL~j5x70JFzj$=@Ij$ttIeN3}f>1N$Oc=cm1~^y#>YTmVe3LN0=gH5H$SV=d zw;-X|qb;sK!X0r77};sb43n_dLcU@TpCzc=b6*|clw{0>!6hUpCLF^?P4d@0a4Edf z(Duhn@|u(fp-u@h%!6CsW~)!jS{Csgrr6rFziSQ5zm;tFQ%AOEXlF@3%QngmitoB? zwa)hoWt$~f>bgz1YP~qhE}eMiOYKKbn{}55lke(|)DR1-z5#lvHGDZ2r=DF}CrdN$ zuLAw$kMA0eH8X}`PXeR#>l^MDzad?$$Nvsm6}+?j=mlyxuRt4I?y|~q8C=f!==_QU z(KBjv^#qqL`P7S^PF`kXIKb8Y;HsZT*ZS$bg*-aeI-jriZ>f2kEgG;)c-NUo982+Y z@B5+(N7;RNUKihZr_qx^G_Ya#T|Pn(pc70fx~KSXr=y+0(Acl8RYLHrSDUqwfdUaP zGlrx1q_gOFoMdJ=GH)$EtvOK6`>)IRo&-yIBf-XfBeRta3w0T<jH!VdN(upq|JS1B zbq2LDEa>Ns)^+pxyO#L{K3=Mm)ppHqQZ$g_#w{-$0Ad!w%qv%v5#%b6!E){Z(AmkF z>m|l!hgwGJ@8dCy@*RO0_u4oAj%K8qhd-H^pB+O7)as-Te~mX9p1g-&U42$ntreV^ zjGTSszG9NBwR70#C*TXO448lUQjASZi|SXOBIY-^I{!1j#%?_FC4DW9k!Pb0cW5A( z^S!_lo&eQ)AM7J-mg$1POe7$r?XG9t7XapZIoI(jk>H|GAJe)}Z>02Vk8wkCM54?d zvE7uvyJ{ahJ$}qELfANNsP{!?%?v2+7&@w!r0JNGz#ONCcn_0La|Z>@p66Zw{|YnZ ztelo0upEK}CgOh*^7fh)=TL2}f2=~>z&ENeRhE<S7L6p<OMbb2z(RC6Nf;R!&G>YK zsRWip^@Vw(XyvT3U|8lf`sG}Sj#EVG<q^r+yvv0QLU=Z^wy<<s+sQ7AK-MX%V7YZ$ z=H{}*ltRt7Oenx^DMjw<d!ay|>kl4*8vd-}qmlI3)vf+@o5D+x8-)h3?a?-3vWgx7 zhB}P;gl~|h^tH;QK!%%)rihFqSK`!eqM-cW01)-bul!=;-}%h2PO4A$1#!#wTt#f7 z_iCs|dey*@|5pcmA?X3TLIPRGMPgkNqu=t^r8xFa8s{ScR~#GP_X+dqmq&W2c`6qP zR#*kWyF}fp1;p5tAic9nTV`%9ano|7KvBFS)$1(%%g=f<fUD=|8<Z;j!4nqT<$$jv zkzXxWH3?4Y*=x?BG2dH-UXV2nM#9$kQdzQEvM;NaUrF2)bvVD=xo>g#V0Uf4bpEaH z&c3Ry>zK!Qx35rNmWck66<6%5l!m1IZp!t)NaF0ArO)QRYTCdozqMVc{o4ApXq<>D zb)X@y-uuD7V8H1Fs;}~sqgtySdPVAV4$TcSjh&n#rzcNU9_P1m&uZaRdOc$YfA>~% zDWIH`A`J%PSUl)+g+Vj_fgzG9kF}@YFV{aOS={b>r_$iG^^<h}1e*8$?dRB0@cwzB z(&c2{A(ger(elLc-wVm6*4$8n>>vgIfO({<vGQP%Ffd#B5A)Z;2o8DwKs0w<r^1u{ zziTb!H0mNLs33ap$gr=1frt=%R|PMI1Q-*g1iFtun&pT1$P@#;Uo4GstFw4@smj-< zB78K*g;olNvFD!B5T(?2T$H=}BP?=50hi3|dFq0m%aOcERKn)Uf|_gr8Jt-)o+KP) z$@X^Xmw=Wx9%Y%1%xUWH@0u=d68rLTUtF3GkAn19D|O5bxju?-UA+#Oxa5B;*uIo% z8#2M!TBBRg-Bg8@`xk0g@wqv>l&s{h40l>e{eJ7Fr(82JOiVb`KZ5t_vuw`3{Q~lD z62a=2CVv%o+g_i;0*(!K8JA3e{HJ$~>$>6YnDbweMcN;7_p^5HleEQZYIAC<65q_A z)#KMs6Gsw@uT3JbR^B=n`jiT-$f}A3f~hAYZI|>aDNTG+jq4&nS^{4Oy+<SMwFHc= zmSj%3ZeK6Zi@wQErN5ewe3oe0)KVBwWV(1`h2Wr&0Yxk3=fj!N@mV^tHiM$bcA4ck zQZ7JQe)Ng&WMrA*u*T2Kn@)nsm@Io6D!*TSeab&;=Pc}f2aU3dBkey;$g&C_y&s`g z{gY^qWX#hI%^MoAWj8MJ4m?x9V@Se59b~bhD^3=_S+mPc2B0}$`3Id!EV9-_Q`8qi za<u;*Y6ygmD{JOn;^@|kLI=g1Npk<CCcOl>N_{xX)o@y3TONB3D^xh(ct_oOpw6{t z;vO$xbB^Z~6<0_qwG#2q6P1McxxQtFwWt%Q>b!|xV?eI&)KJKZ5;v77Al8b+WWN$0 z=?d>C1YY{42bZmNi^>K56EHw-JoEUHSQx~T!7}eB@;u?i8DEd~uuSDjsoGmI*>Yk) zn5)%aAQ*GjCwiq~;Z=|)>-SG)>AF)d<E0s){H*CH3w%lzN3Bvy&MseUrDI~d`grf8 z2zNVCoY3P?5@EQN^{xCuLUz>`n-*?P<ImQG-0QDP*I3Y}zW?G)iS!me>?4GWu%5}V zt@?8?b{NU~%-O%kX$vqn?T!X*PdPjSp6)^i?1YDMe7|ms41(e~dR+6%Sc`LZ>S<y$ zidNy4lvG1R@G#@O*)38%k0{b;a-rvnFsUJpME;Cu77Y^cd|Ft~m>Ff(cL6u77w5q( zA*^o7uO5c3oT;GR$4@g`e5SG#4wt9H1?}b8i)(CVz#culX6A#Sz@}lrJ#AY6W!41I z8|D|a(&N7#uugA52kV8$e}mY4*$Nz48&RJMjc6nl%D*1~#de~Rpv3It0&yB^#cm5V zu%?GNR^$}vhxdAjQpt*BtD!sa_=ZpXNe+vhOyuL})Z1ulyCD1@63bhYk3`ryW;*w3 zw!m4GLJ0H0u|acNI63DW`r<c$g0pGRj;*fh$+IL(#i~vn%Z}(Zzh~qZGKQ0<7ySKm z-?>-!ylTYbasK`HAG2@E24Tw6#3H_=1}~dSkSQ>3<>_f+nw#aWoDeV%S5M(2nHN)Y z$1s@3z+=)jYzD`;5E3<cqqty@jc{iDD;^i~#&PU9wy{x&6&=>V39d1q7qUTzwMp|p zgt(~<i%l9^b&IU26st@Mn{$%O&IxD2hcE@DO@(7zW<x^|;%=^p!CNPmS3XQ{?^aE| zX0z@dj|F_Fs6DYc07()}`&C4t^3SBZl-Tryk>k%zTVnCJ{mB_42N3O|<<Ui<BL3ky zwaTJ#$gVl_ri<p%3>IJ>@SXod5OI@P&F(v5Z6#QwaefzbmR9b4v(DGBd?L3mlA7%^ zBRZvhJcQm=DsqEswY>)%a&znY+3j99l{|7EIJ{EM(+ZT_>^&S9G_O^slvHyIZduS= zjWfBP^z1zfYq`9QVx)*r7DHwoOHn3E&vGnONjB{;h?ch}X&n&F_CSDWIeNy{XZ-Tz zQ~w}|;i=ke=nUiMoy@25`<!f=L*i|<DZ`}pIhw9VjvxQpztKf`CRvF+U$Ji-CMnTj zDL)hQxBPKh2}wqwQ7rR(;sQd}6o@wBy)(7go;_m4?H5XQfrvf-0r$%Z6PNV#p=tPd zdt5}T!lsc6A8?wtw?I60?Wb0}<sV*DlBZ)<rl|e5V-u-8S*52Qi=lokDlva6pJH1P z{&U){f<o=+mjh2?)?o=e&94G$&X`$bHCvYFtiheo1XJV^;W<;qIS;6rUkj#m$h_f< z6iYsJZ{_iivwExs8e{wtQtMHUWKHK0azZ1BZ#n?iFZ|Dc<F@t4iFFyL!SdMJziWt1 za29}9xO?2+_4y=o6};`z&<eyMZ~Y{$(mF%BPVz$EW(8EXWDn&N4;Se3dJ<?Fl9kk} z`1f1fZqcywAGfPwEUtj?CsK!g#;?3DVo|xo)!Z*%Iw(v%YETLXukiF#lBc<39dOVW zgpc6>ZSO)#o;2jmZmWlm4PS*4b2}7EyS&rCZ{0sSZ@<qFNs!j%y3{{0J7bjOT4>+F z@zV_n>|(Q1wwdEpO!%k6`qO5ZRC*13_L|jyI<c_qCXsv%+v3|_W@)Y-=<pMg_GjtE zs<KaGQZvj%cF;;d^sbeQ?m$H{eQJ@`%x_M4AounQ8xP-TN)d06X;9E%$=ZQi5uVo> zBKO-vvagsSx8U`e@dUMdMdJ{ODz}}3p{*p=(4aQFv!ji}tSmwodFfl9GFZqudUI%! zS#g(l`|RAx+HmUUcycED+3`k5SH)J0=*(OK;Fwf!W3}^Vs9l4^(y6?QUe?93IZ1aD z7&6J{yS^<wBXMb>S9yGcKHj%!)jN^IqFoHS%x)<i5HqEsL=Ron1(ogXnqyUTeP#JJ z+1Iv`V51d!V|X@8pAt9}%RYE>UuXEs<nY^Hxpw?L{jbY-=T?hi@4}QD#U>deF9puB zLm-w3r$xdu35iK`&h!Jtunc-z#TN%Hz>2G^sLG_;9;JBP(T3(*3C;|sf9!FmR;}L| zJREAZg2sphKzL-riwVX=p#n^*RySbg?T`bD!0Mfbq~~jGcqOnL`=2bmMIuhja<f5W z?1}k26q#24T3MBF_X^@KHZtEgh@@dWM9-zFAW3n6GoT<lsNDLzNO(A5XMJW17;(;F zy%tcxJhU7^>b#mxKk)})m06J`GdNjWLoYE8cj>jj7jW|eF&y<mHlk~0kAKNg=X;lq z6e@va;DW~sp_iGnsZ_R}DeSMTl~uFo;AXEwrj@lZl(If!^M+T|;}*@f#4ALtEKqrj z_~7=>Mpi)c?+sc%XE8-BXNwD1{ZI9iAkUP|6(@Y&(+#;}V?oJ4E#u!qRs>3zv+0K@ zs&M*33vT@H)o#H1*7?%+$RFC9+dhdY_saH}Ckh?PBLHjja)Lwtuw+{H$jrqbs+9rL z!mEhiyrzslT?;)+=us@KeS3YIST!eBx=7ovgOU~m@0;xhlBBGs@c{2?E%Vr@vZ9Z+ zHS|Iq$IP%?_b)L&d73ZQ=C~+|(N8R|A?~5{7fj=wja-+@A06^Vuj-pqBgKjpL*kBH z#?`-bEdCxUTIK6R*8Le(h!Cw}FmvVo<(<-c>1L`<S5gy1L-J|2@@?iq=`#D8N|dDG z;=xc5L#!n3LLuadTq&@u+lWe#c=*+=NvLTwfqFpcgGZ_Wr2RI7jjZ;hVJKnO@&q({ zb(|QyY!<ar^;8^c)TYxG7*tJS$Ipk^<YYA=?vcBg3;o+bh&ml2G{`YIscMYo#Hu}b z`JZXzY=gXUy_UqfQrxRA+2ILS^@gtwOslNyZ;z5(FKUL{t2Th3J+dyCFyeid=B2>v z2Ihu14o$XOA5OEyOgBk=&9WQNr+Wca%^%Bgr>LN1(!*6s4UWpcR{3_m4&G0-Jt26) zj87YRk_#K{OPGEh3*K^Q{<#5jHV>p3!zZo<^cyH`cUcuFZqO_%jKbM&lx^rC7L~`J zFJ1|G4kwHkJrV-aeMYw%vdk1;*ktAvas}-XSr7XRa<uIXRlaQ$8(NRsvhcqcH|HQ) z3G`^(7HOusi_!gf7OaT7{K9u7LFgS3cdG_VKblD-MAbWCf6zI_x!gG$pcrbxz12k| z#(L}U0L-<efl0IoKiRfOYSyf8s(G^e%F{fu&gmlX&MqKmx33jApSYF{Y?fbYUA^D* zr*9FGOo))sXub70*)N*$zK)R5S9|%ed00AdeTeB{GsoMujiJ8v8PSj@D|%{T51T1@ z(0M!jt9Ph|N%GPb$j^%nb2m$ejmNSFLG4CLt-o%HXjdYGW?JmMIb+3~3v5hz%9KVF z1N2n$N{0aZVAA9=bTqw^xzmpln1VCzEWA|9Z)a%DAluAUY*JfpGG%EeoJl41Aq}bF z+F(um!x`Kf{v|+bhqRjxnkTIG#0%fzqH6p~Ebi}ne7N8YOE9hWj{6Q(w>O7ZR@;-$ z8mhTP2M--njqKx7i)NUDdFn*r1_NNua@z;l()<8(g~5aD7!wOWn+U&WpXHXR1ZUWS zZ?)GpXT*ZfEHM|p;6Uv)*baTSME#Ml-jmM;oKlL99~p908G3Y5m3(|yoj9AkRbC+X zu=>3FQ*qD3>ZHx#wsT?TV6y=y(MWbmDynW=4li-M=N4a6BsBUS8?u&YBBLIpjc^AB z@sa@D#70p2cw8#7ZcBm}Dpa)HMeF1lUxnqZ9vQwY;Vr9wIF_zm1~Yx|w|Iu562AbV zpJsDRSaSSuER`${qZa4k*fB<J^usY;=Z_0E!&Ai%Y&sYB9;Xh*JM|stC4PT@V<OaU zpz_l&ho(D2U5nnhno|;+iYtS-yXK?_w;D)x7IRnBY!kPboYJ-KF<9mGZ~PqchukNq zpckV4ykIE(e$x}3l|lFFf{%iyoE-g&bpqE0YifUVye(7XLWkOnaoTxn?14At?mjPm zS-0>gv*f8YhX*1U7UA3CUSo{okxT956z|?(Ova<VbiU^nR=y7#GHdSmI+9LU_X^nW z03|+Zb<ij2X0CtK9?hfu>m2(}1%yH0VlqepcX_b?<^eB-%MbmJ(i9XN{I<)K#sxQX zd}7xM^(?m$fP+o-jQqlDk<g%jf2{ZKo`_@~zoP%gt&KXo=UY6*ZUqO24^&k^>DY-$ zr5r9*>O)u_s@ELxmyu~rRZCY&f35^dMy%^TEouL*@>^i4sLisrSHBYSP39_knZU7G z4fB1FaHsAZ(^~bR+CuRGQzx@nNmPhb>3c(zYP0IKc4Ol!lvzA$V|V2Pd@<SQ!qyYH z?fTDL3uXbXYlzOzLEDeMkOIiN9{bs=Iy;RAL$Q<W>mCEuxJa^2eIAwRajHeYv(4eu zQjxk%v*y~w7j3C1m|iC6<zi0XUD7m_&r^o?aO{&`7i<yK?aAR4Bl?72V{1RVFO}U% zp9yo6%Nz|^6H71$9Vn?uBzSm$hrb=5TqN&Sz~Ie^z#;^LH_1mJJ`CO_9#mReZ|Cvt z<67iY+X*W@*~ho2eip&J4`>|(x;-D;){U@#3}V3muHzv*1p~NzD$bG_jz#)zS0ke= zl1z45%<j1V6Qq4%QH~L$#kEkz$OV0fz<<WCtZJsI^a#XWqKQI2c&c2X<H;S&iIJ;f z(vqNi1sEai;&CO2UddtrE<yQf6$9|x;}^NO1+6J<K#u0KDK*vH(zXYFsu)2Ck2S{7 z7W8Cgcty3{9{#O(bLkNV;C~-_@;HB7O6(wfWsB*Z*^7c?Oz+Ykp)pF4n-4fKLio6~ zSVwzgTF7~ikgA7LXBa7mhf=;J3qud;A<nX91iz-Z4pVQ7IgA=RL&~0yF0AP<x&u4` zq}?GY%j2iT2VZTqd-8_k9&DBT<njOW?zN77Kb5|>P;getGA(xz_mcFSTISV*w&JV~ zoQ3L;M_Po=Ph79b2$D|TNq5k8^YA5|tWMm3gZ(gtq1;R6;B3rCMi+rUTQK#1g#kaY z;Dm3=BlO!F<`3rnOWS2PK@WgA3<+4ekb7W&A;GTWn5AF*8sQo@w|3UozGJ;(4<5yQ z9=WU~%<c?g-U9G$R31=BV~?zM=0A1q!4Sr;jUN2@kI=>y3jQPa_HJ-RAgb{{k)_-a z@CJrZx*{GWK@f7%y2mS*6I8M=5P&j^T^<OSdwEXQH4i}RJXp$22-S+TPrzOTmh~A@ z5a|#@X4VAl$(Q61#h^r}idNlAa-TJ2?ZcN_&l}#8W4Y~+D8ymv1%_sDVd{0g+){{b zi;MUca`jBW#ID-RQTK_!=yRozr&t0y7Qx5I8^Zii+n6+thijRYA*C7`n!(9V*B$^O z?k6n->b-CIg`<Ye!NkJcQ7Vrf!<XpF*5uR)lZWgS2sZ|PYf(`uG#B{n#aQSPZiEdk zvh<)|4*IPrPi4FuBo*uKw4I!qe+h{m9)+0_G7bEORpMKQWk@M*go5Z&%~stFQdqGB z)b(FQl!UN86_|Ohl*IZ(K*1usy+l|%O3v~GaL*#{e<k3XRr*xmm3m$gOimXkcE$`p zi%tQ6K3rIm6AD59!K5FdP&C))-j(xAG6fd_U-5DLqVx<Y4UZ5s2kRT;@=HJL*qN2f z3Y?<$&%t}C`Mz?Ve|r;)|H*lh8Lsi(a$^@YW_-&be~MI5&ns1w^Teb)J2%4AUPdo~ z0!YI;R&V)eWyom<BYe~0$_z6ie1p|`zPysZDJMmooQ{flNf$GWY7&fkW_dzdgS*~& z#91wAW<=XBjj?beRYY$245O@BZkfn%4d3v`ljC}fvLDafOfbsu<d%ss%B%>_Fv=pi zvqQdcaKH2)X%LU1HU}p)u>esCSo&W)(rV;PNieEfUe^AnN;--QqiP>}z2UR+3a+&+ zVS3n;_XH;1Pu!3g4|+dyld}kybY4J^&sd(gSL%)0U>tZ}Ie-C=;`I!%p<%HzUeh}s z@9-z@=j5J+fHyG?d=?7k_}rV@MuWS4S+&Y@ZKHFt*LS)FyzY?#Dxi~cXjj6ju1hg% zV1XFAdbM`3=xulLrpxi-XXHNFo5&Vg%%p3d_W}F1GGSHcC6J@hm{ov~tG=;p%%&I! z-!6Z>HDVtV|D?WX^Xt`-SS6>fhTWl<;!vpJT}T+qwgTa(`KQlVxi2hXqv2nB#d3o+ zpVa@3UFEw5yw$=)NG75*t16D4^S#b<qVcj{zj@tQpLjC55hwW5tFeCHeLZBFQsnkl zMNMG~4_DQ8R(YN=adP8+6M|LZAxCq{Ey%^XmMy;aYCC|?#F$yoh5Kz=0hM<wrZ$!; zMQFwXW1=r2c)H}}pbxnb`zuR0FPH4i8*{E}4?ad9JB$^<WuJL9w90!OL&0$<9H#~` zn0<YmkS#syu+^J!;n1hjR+_d7FzfxgU35*V<)Uc0G+?NHbjW9we5yt0F+lFqHJe{d zJ<>zUb#&(gRuK!GXVL$4YvYx)6AFG=`}k0-D%q1OY9Qa`+}vuzDn`odlSkdLH=%T= z&Z#g^_oe#BsT<+SyRhQ9?c|qjflBu8oJqddA8Hbs4SeN%StYW9S2P;?=~QCnc~Rqh z=dxy&)#~j_iD(bKSNaZqgbG6x4BK9QAcYbP4=Oy=sbn8w$1<+>(5PnHjquI?npGiu zlhtLg3@={Rk{aqPlanI<QyGj=%N?Zz@V%bi)urr}uMhmn^gce2R^I33#DBsSI@lNg z30HVvU-X}E;!la6d*Q6rf(@R$Z!WE6SoS4KGB0yx2w-ff``&SJz!1Rw|6)qmt^X6V z#cs`Nt;@t|=~nMMKf^8T{AcQ$Je%bg>H1eu*)R7h%vIj;-N#HIVt<#qS83gp?9*)4 zRk-Wz|Co`k8vijP_N#E$D*s>QLk83Tsr<-bO1@-ZQ3}0&8t$r+Q}w9981;*gw-Z~X z4)uwUH)@}YAN4s<ULMT%KYL(?*niA1-GKRE+YX$QWx(b;i5PN-{?~tD!LT;qKkreI z^}^}{pV&~fxOifh_5aW7aX4$g|MPkr-kL&{jo4(&vqzIFx0~%>HfCs!7gy76%UMov zogXwF*^4-RI|p)%8pnrD2CIfQnr*mRzkC~9>gIL`$&xb8Yu(GWNE6f2VBk~E<84>5 zXY`djIW5^8wikqb{k8}2K-0t&H8|=v<4#gNrlIF-cJ|oqEcB0BPPMYgdo{)7zlD@W zewLs36orBlw)k5_A;$<?R6>atnf`&saiLX8rQmUVXsnb&J@YsY)aotqf`(8r%o&^d z_bEpu4m5B|Cv^yBj=ie@$<R5%8Yh6(Jc|JHU_;}+IF8c>GXp#?pv|Ugj2f}84L3hB zD8|YgZu6Mbzu>`vetpJ6)+8KO^0TQQ(Zn6bQsg)Hg3_3-*HS!CyapSZ_$3#lW@nB4 zT-uPXM2}%CU8uYe>V^IH_X~SFLz35V&murIc+lF`k+<@FZG>As?_*F`gf^oN%o^0M zGkDA1AO{IceXD>TuoEt{vi!@~CLGHN9~y(P&It$F@TGigHig*<51M2eyR*IYIs=U{ zZb?4l7mrD0*&%k}VrUxfB4w|ki?QyTRkB`?R)<5xi+y}(Q%+~jc^JRyvL>8Gm%8`6 zMW0t>fYg>QtGxg0uZ>A+w2(=-^zF|wVXRJV{hv~5Ku@D0EwMFb8`J=vjk(%i9|=V% ze(`yhSDn$nfY$wP{IF%gEf@Lv3*T)^>{nWvvOb0vxY(W<q4`*}TDz4=E-8T(zE^vK z$Qbud#_!&=dJb>$`SYENB}*X^T`%(|tfXpbUIBLve4g0VE5AubBJH6o+ikLxy`7J4 z!cQGq?}3z);gj@4j=cZPDp&pK@pw~Z&Q$|kG?ns(`D@d80GukeufOPN&$k4KJ@;J5 z!z*l2UiiH+ce`$i-5wCW^y{w!w#0^bzQcRUKk{IMUsd5oAHa_CV@YxpDSz0vb4oWb z3v2C9pWxO^kv(!cEg{q-A3pL3%3X7$bZe`o0qj*G#_f_yxfo7Aor0|_a%wAyQ(Kr@ z=`b-*Gzc^26sXl!Oa3`2!JkT!6OABjyMFQ)o|a!q&5&aUmEMl`*TMVrPtw_AfUwL@ zM2mD{JweuPZjHjGomI1SCaGQdeprle`|g8D&Fet-d?r=;NPg3UVs`rLWWtDHO5KgG z07>s#f)@g9)V+i*&Y$d^FJ6Q85;-(4W?yhzgioKo4C53JjG>E|TFpKrEmLARB_T`y zT9aKc#Bh4nO<2apa7sjx`n4*jxQyX6%|Xj*tdY>q*Fss#8YJCet@dx8whw(EhxtUs zMO2CL*qiRqKq&r)6fYbEW^rpyTLgeq`41{HJn(or?gZ@%{=6ssFDlC}us14l<jzj> zpi;-(ga~t}6uwX8i&>O^<nebVot&$%<G1V2sUw8X@HX;tWE5|Ezfd3PhPv(057KJ6 zWv-lK>4hIhW1x(Oy<z31B~IaL0czdEK$V_!kcZ#}2NeyZqt&q@Plg$QQ`0r+PEGOG z`lE{cu0HeEM(>yjy|?oL8El?z3NymF*1avc3lpKH=N7&xkZ?DxBn}T-0S!do7Y?^) z<BzIy2E*KxU%Qx#57{|_{7ViHzHOPsZqQR)($j1>zD9nbJ!WWPbXMvNj`R^>CG{Ss zs!&E@%?_~WXKU9KL<5yQs<ak=bp;{Gy<jFJ)Sgizxev@<DAvxZl|?-0sxmso%JolY zHiw4rU@RjG0ki*<lu{`wE|1cS{B&@ekyJtnHBACJ;Z}s$M7X`G?B#8>4X;;gAQW(E z<+Aez^)c7Xc5-HtKxBPIitU_1(!Wp1bP+dX;(;k!6`AJRK+#scQ_NPC?|=zvVk(4R z=46nhEF3x$y`-H*9`5$q7`Y`a#Dl+)A#AXv&=HarR{v%hoMO@>3@=<?d>aSLbVn5X z3R)TEq(vUTG7nWTE@bt^={S(D95je<)6HUQJRP5^<Ud#Q%#cYhowesrPIrL+2oq2+ zt*xZ{!Rev{B+=_Y1dPi99tw0+ww^(AC{S#~O$=}pv7gQ}l||4Xk&4UIW@e!VbT5&# zjN=Y>u{vUy7<wqMLFa^ns4Fuv@HI4<T~s!A5Lhf-4ve17l2Ker)!}g}x5=m|pdHak zDW5vsY|{B>mE<RMMpI@6%}I`$Bh%7s@0;iOx6=;PhPp4346?iVRw^nufdX-Ja*kLH zJC!{ANE}^2LoEJrHh9E{z)A|T1<%p4H-{~U224!~`6gj>Z#%w)UtyNtQEf9{EemdZ za{r5)lSR7n%{n(yFE$A+EcqTsf_Sj|5!*{6$z}2OCBO8eaw-+(EA{MXR6W0++G0jU zsJjGEy9`kwK%Q2{VRnd#X+mY4S->?p4UF2#T^+vbj66#`$ykt)FPr6NrG#ksztPUk zGGaMpwbX)7gb66UK0H^Ss62ja9~ym;RqO$+PI|#{PCWIG|A*RB?)K7-0%Mmun#{2y zU;Tl<1R|_xOVI4}@L=`$b`ljfGi3gnFuf1R$m`M&`CTc6`c%aQg{K=9q4WQm;#rvn z<vFS?+nhD?KfQjQKsotlnA<8@V8ahYQ5;FMRV*S$A1<KtlP}p*{>)#6QlFVRa7ze| zGkxM};&*1x!n^%=i(E6rIb1+3r4GVY-rARuA^Q$bDihKYKr_g!l8m}7&5_X(YGnpY zG9elVnxN#rwpCy4;Yc%)_sUmgE7{-5Oc{N*2hl+w50;vKvm!r2NL+^bzhQ#D47O5( zDwGm*EPX25ttf<!OV(7|!Ov42nap3R8Z(q@E3G#wzRiiscs1E;$X>y(VXm1<#Lday zK_Q_q$E!1h?M(qz;P#xTSP9i6ngRZWkuOS*Oc0rJ{_?WUUFymVw5ikcj<&E(^tgBA z<GeNxrz2{IxRwSlgr5{hFF6zK9SIjz&Z=Kvr;(OdRwqi?lFmu^D|~*p9{p85Cyz+V z2`cVz*kXXS6cCbDUL~T~!35@|&0Q|E&&PgB#*q{-QNf$kNklWTdIgt|#sS*pnsTlb z`HNmvklG)$^_?dCN+~8Ei||gWWCSywneVY|KP0Lil@)v62>KwR`B+aj1+MPA3cSUl zU_Na-X4Me}hcqXBoDJK6BME2cSx#2u?xwIKl$BIb-7rI})Ff_7k|bfN5Rs(BS-LrR z)UO!K+Pfkc6=jbG>{`s95zwL{>j4d$f9}xXmk7C=j(|dPnd71@n)~g|$|<R+<=ET` zD>s+EVC$<izF)L|qNn6)rriKhdJ>ml5zhSPU~xDMJA%wDvyqR@hHw82OF&CQJIUGk zB%@S?sG9VT)>+pu(Tnt!4}J1Fj3AB*m6b1o!XhEaX*{tF9veGjEMT;x8AfyRLL;Fd zJ7ox6Q9wz=ot#mmlq@_Bx5(;OLp{;cY-9wn@R&%e55Zcfe)dxd3M-}7FyH&>6z6O~ zrnIKVo4;=AlJvY*x#!nWkJ(am)s;BOkRdMlXa75~$w0_w&`u6Zjpfw1v*;bD#}HP< z&r=aXt~jNqU3uOy4Xk5}D(hu<L}2kmTOd+~z#NpuRC3Kt;tRV}ql}lu-yy3WU4!0m zR;H;FY~HO4r-s?AacX0t9#XeHEr*R%BFChu?1$wc`ilJK#>86+srpKv)s~%Sz-_D{ zIPO>O#?(CuI32^9AwM{hOYpjNZIXT{O{o?2O&Lr@VCO}}0(#=C)Jz%Ni7sfJCKWx8 zp#Nj-t>dD4{{K+}MM76fKuSW9?k<s1x<eWyl}4I9Z$Lm=P*{)#>F#b8q+3E@>28o( zcK059f9m`FeedJm`}p2Jc4y9<nRz{*^NO9BJwc&(T2WJ=Ua6-<9V41h)I)3+vaoz* zE*}sn-DnD!6+Cu-8jwq^p<byJu|>#ZwtAnry1<kLsQ#8GzWR9o(}LgE?%L-#GkJ|y zSE3O|+9RK93~hgWi7z>h&7LEeWmBBrsCMu_%^eNBvYECz{sLew1Kb>%j)#(fd@VNS zn3`&Y4Mqd1oMI1N+@oCtoYV9D+=(zzXK?7QKB1baUia%N-_f8dafFJWPw4MguMe5S zty{Jx2->O`D3aObvB%?CtW;gP+wOJAd>5$gFM#1wsZZnLR8IX^bP_J~3Otw171~xB zjlO3eW^epW3TWk}idcxl4kD%sOPklP{(9I=U%LoS8Io1)8wA+$=~QGLm0X*&c;0Sk zVqO0vyS^zJ?VL1?c&bU-W&w$l+YJ8}x{7amSFk{P(m6;vX;8*-{<c*3pq%5NzD1{* zE3JP8b;6P>mp{+Z<JV(Z_mq1#HC%=KiRNuNm38O@0d{6~7aBTA9eTkvKU(F<uPSWp z%oPq_zHbvyM!!=NLuKB3;BE41B;wu!&%U^=O#ynr1rXhYT<K8aqlqyGnNl6i{cp5V zHT1c$W3aJqf*k_A#nV#>wdCOr!zsZzFa^=<<tuOO&TzJfgNNYsWv@W_xsa9szKvHJ zAe;`)Um#kHU+o`F-O85BCkhSiE7Tx5(f#leg74&&A)H>D8@~Pddrm%4Z`Hvz(FBed z=l9%l;^0P$Fyqv(OMii@gz-FLWTVaEBxBYTOTPQS=XCb0Ycv{@>N^BAMB8<4EO2{p zsPep-+<M8+f4>Irn*5W1%NgL4T8aAmV{P)Q%kRYcV%+dN6{hMR;3C0Sp-fUaH3OQZ zyI*v7dAx;qTN-ZRh9T0_3az3hTjEV4e%C3pTAYv13b(C$1oc%9)uJX-=dC4XY5D`q z?0WgaTS=_Y^aq$O-5+3!S-Pw_961D8x(xP=U4)PIfY3m2-Zz@7e!YH45w9l(*Dv`w zQA{$|>z4>NxPe1#y4Pi`djH`M;+!q@{<z6k-@0IC_28fp$#V_p-|w<9W6G9yjc<L6 z^G!39$@x-Hi5>pdCZ(TNFDCn|S&S~WgftUe8l1&u$C~-)h#scPG3BocGh53tb;*CI z1?qgVza<{;OY*@=$Of3<COxkMvQ@@*)K6fReWEl!hLhA?zZ}J{zKL0jThc}sHLrPO zXljHO#2Bj8(52{vR;0Lg67seX=P)onaLcPtBks;(p8e)%%Je|ttbafZypbfd_ts=F z-rVL$4c#LaMkOz2lfeM%*G!l4xG(p?C&7)4s=Kl}wttJ2DtaaYyYw;FV;Ur__1D-C z4sX6&X&^KnL-a<2fTZ%}h0ka&kDLU~8@<q*==KqQ+!Tf}=GDnhJb8}~ZCAM;)jrhN z7>sOAE|(?O`>uLym^<PQ{Ta7paufZM>#6IQeoo^g-POg?+{RUj&U{|w<~NE5m6A$; z-uzaTKYyHA(%bi3cqr!?%}}qN&5*n<K|AffJ0U(Up8PWU@*_E~s@7Tf4ej(}1K67) zEtT^!DvOAd#bOg5_g5F>)xHqN<Kr-F5*hEUdvW|@OGU`{_1Q&omY~{-{F~)_Obzl} zjRyo_d3WuMLfv2)shFBRItfi)iOY3kpb~tp)Q&L$W^}6ofpIHvXnd6O!bY!j;;f}O zyDg@?{4;vno7fpZe{K&wM@9<fLNSZ(6R8M#L{1-cd+t;ME!*IZn23=mifKNqqPbdd zh#~&;^-lBe0h&fx=<i@O>!m}5DAg(q%4o2*KGl(`ZG-S#mg8dP0Xp->ucC$0(k|#< z(;mx(wy#C;UTE64oOKxk1xfNd#^jf5PiH?q%>Hy4jkzN5-NM{fRk)2seB;KAdpBwf zVqgl%I3I2&7{t_cB;$x+-M%imnSm2^zuF*1;Yk+GV`UoL8~orM%Q!56oy%-jO!b8? z^o|rwm$-2x#l~4kL`3P+!|;^YRi0`ew`auj58iyY6PJtQWw3}cH^(5|v@?uxKadi> zSPV=kwe)!({l2tVnsz&1F%5Z~xcw`YeGgL$)LwjW_jLh~$H+MqoihOk_m(TPqYI`q zan};#>~tuEnsN|uKdS*~=8acKE5fFY9~k?39{(C>SlY)lUM!zM+$UR%d_~b`4NJd( z3)s*;O)<6j9HtMx-hu$1=QG=wqa!+t_Bx*Hm?)v)IMS<b{&5uc-X+?C^!PX2Y%%iU zaIa&?U8luJ^rCy$L1&UC#lQzj*8K&gpbZS9UcphbzaTAWFW?xxxYVPQYL5^z*lEE` zZySpnUX`fqbDYu-YGe3^Fm}z2=a<v(F0~4VQqpu#=iP8C%<(c>qGS`9it##j{4GHW z<1i9WHQWgX+>z+@Fh=k;h{ef74)OVU(b-ww!|(%fpYP^AWi*?ZW>2Ie2EH0*h46K{ zSalyt-8%)0z0VI0y1NsP5Ew7u>=Ye_grx4x&(H3cb3hx>?IL)_v(S^4he>g$8id3Z z-PN!$fL#4{%QYw_;xA`R-^AR&Z_?B~hduP=;@&z(qbZsnvD)y#NfZ6%;`6=(6tlG0 z(LGl}Q+!jc`0K`c;+cTE&c2%j>hh85K&-l<G0<dKQZccIUS>;sBdVVNE2^P}X3u`F z<$U#WZf|Zk%6KPdCdVDwLdv)qCN{XYxVMEu{Yw1hd-s;LLXkC%L{me{#pT)-dTj3g z!W)~_di23&x4VF`@8xDrV#E5R1buatc6AMncoPD0f{Ypxzd}?0WjF5MggiJ+9kK@+ zfTkeQX>E$h3Ct|}ET*x+w|082rQvc{5W;~z;!u8RG>`Uh|8Uj~=a{TPI?bWIkflSM z-fH#tNW#8uuI+N{OEe-6j%i3!TQDcvQ3CEm_RxCY7GPxFGYN0EYHe+<A*`jzs|zC& zPYQUWw=QZpQjR1~2|surBjL!zV0WPA400uKrcsj80=-yjn1rkB0()_m(<(X+4(tz% zXIQ3#8-l}H41J)!-Zc;&)Qm$jfI8bZKIX`gfCIHaa>NFWO6sX#MoSIZNZ-D3i5KOl zfR+?9bPc|96>QY#FY#sKJfX2`sQ=wne@533D+}});Z}|@6uAqqdEuV|>pJf4I>@l4 zy*1QCi2$ieOP$BjNut0pkcc{1;UEob85bnUqThiG@BCIV*8I47z=Qd{G!Zs=G!)x) zv;&8KvEn$ewxwEV2xCM{czLdz7>XlLJ);=+CAL1+n2iV1c(P;qc`oFdi_W88`J5&& z>~o0+yp0i@F%X$qw8{r!-ckZWcdj~yz84c5a-Jrjv;w&ZGfG-8Lw!}zWWG9w^d#qr zn@h&xNPA(H_hIKdM6Z6D`@~quy`AD{zJvmn-P9iNT8PAv7JNv0D#iEg3?9VX+te1z zdfJ!c-5SQYXY6pqw!Pz{EjOqjcNrSBg8uzX|2Vo_DVe@5xgQwgXmOGI3^X=!r0X;w ze9t)wSEDn1QK-I2MAP}N0<RWiA|Q%6Jz5pOxJS^SkgyVC3C!t)&Jd_Dbmd@K80k3r zLY)#l$k>Y79>@^98TN)T&F4Ex?J@Nt5>>i=_gTC@htUYW&mlAa&BNbX;{AR5E$FrB zV^s9H!~p}4>z<2}jOjXxmCC?G7~=eXP$3~(ahtN_J8Hk=tm6app`jy(k?+}+g;P%* z2Kt5cFrTM?-T0^O(V2u+2Ojuk?m3Im<dU$x+R&-Gd!|*?Ho^VZjFlNCv!umWZ++bs zz5*|(r@g2jgm~WR_yK9UYFVLS9;!j{hGk{G!3I3s4p*iVecG^pzy=_yotroqq>|Hj zVQk?-_7BSTn=t6HJ38xXUw{Rjwf(rIGHK9|KLca;2=370jk0J;^|~lO#dni1I+mZs zypNSihURz4$VzB!k4|GuBHmeHG(K>oprU@(dYwvWeYhaTETUJ@>!tAYT`7lh!G%Zb zz-C|mW79(^Eyibr=?>H#_KOq_kL92nGA^~G(v$SR@F074gq%5dgs-%$;Zcpn=h632 zDJTcA_8fm1S=7>mgfQialPKR^O}s);+spMSRTQdYPGas%V<vY(KzH}*XYs@QBgo_@ z-3wmC(Cq%KAqwj5@&SeYX@CiO@~r^^&^YW-qifHHU?pgmvm<!Hx`=J&F~|AE+8&+$ zdWDSJqu*n|MT_GGq6X$~3M@vo7&bLDQSY72-9JIfd;O*%RY5N+#gQ~rQtrkb-avc0 z5v}aE_;|P<FXtdJ>!+8P-`J;^-KfipU<6`c?(}1GM~#(#2Z|q$EQ5piGaC2+Q0=*0 z*t@qhG+a1brlxK>AkU%o)4S#G-49m=c7j`)dw}!pO~zBedl|YE?901B<4w@h`04Ev zx&+ebejjN|BWcSgbUYk%JYxhs{-0Ro|9tn$b+*{XJ)uKuRq)afNK_QRkiP1#=cb9S zBaP<!^kX*c$Bd@*gTP|I;dHuV?(M2I@fT^VrCko4eW1B6n}M9?(~=yNlhD7Fto<QY zuL&!bAKgdVdz5Xe_tJ>YY5!8cF2MpzSX*`P`D;nwOP20X;7OMD2h-mB>4~C!jt8-j zksx#_bvnAuCGb@AIh`L{5?y&B+;x%o%Uu6k&0Bsq)Nt_IeE`i{IbiO4yQ^lJ<=2c` zVjVu3w~oP*@#_rvO*NeNonS@+%wWMaHS?c7ovptUmtj1aU$nxC<xFBOLHqtA?eIh5 zAn=W^fwTt?h=X2;DhA#Rl@-Dt<^@YQ@xT%`Fr$hC)_DqM9I?R?YSxc!0!;2KK-cey zj@U3Mard<q-$NyFMk9}a=Ev5r5(jSB@&6j*7pxLj;_S>ee<}6YU0R4tP5kr|H)qEC z&jhgobe^9Tt&xip*vC&|Rkem4{XUy5mGHRc-QhaaN$5YFdqzxTELr`8p&58TAlK<W zcK_2Sj%<oCf`s6@?>@H&Mf;yt@3#W!@n4-^U+}YqzGi|=HLHY~sn0CKyw!=nj6OiP zX@r_BS$zRt_(;NaIQH5S$v!0$uhJVfNY~F;twRMiN&O1@lS~=8KYy0xqu!hsWv1TC zSiQF;#l^Jx<)|o?_=~!DneTp1<)o7#sZEV}+OGeSdKE&bE6cXqoR=LS@lFB%Uv?9d z%~UVj7n02~TQ}!q9-Z$Fl$k-1MJP<5*U4b{RG&v`HFy0Yo$_Yxkb{Nd8(?Ab=eF8c z-n68oHUe*X*|m`A<_7t0(z46yRgA)5?>c`9Gp-9|?=Gohb?z(LQ8gnHW*$ti91o2< zG;Bjssb_)QpU8o&&64r%pnbHKP+>RmC9W!NOK^RvO}*)&sJSYTLa_bhn3X>xjj@3` zpAk<^dWHy#iK50u$sD&`+Waw(G6iwYK&{hDB2fzWpt1ON7lA#>p%KcV?&M|O>?g{+ z*^<kyzQ|+y7Tno=`?+0%oR<71r-B!{yYrLOzRmzKs)~=Lq$nU|q@t`a_Y&PPt*fmx zXal3yi<2v-e8&)W^y-m$#9Jfk9-qSKmDT7K^N7yhVS{op5qyO1F54Hc9<O$=%asp3 z_Y}%pp^vc8nind(Yb4c_r2e?ixv`A3*IX}LAkNd1)z$dumAUEu5qF=p`Qo=Qn7%9p z9-<l8v4EL&JNt-qG$bOU*rz>>ZQ^#RqfxP;)ZOlC3?1TJ^)1Z_q*+yUd!sW1%!09d zEVe4EbEuBJQ=f&a!IE>*s^%w=4h)y4a}wSd3(44c>XzdMHdB`e;-BB-$QlG5d>Z7z z4@KA>in!<zd|kLXQIYocQGohZMQa*XRKXjVKrs&FW;sl*7)KCbR^cN5%B<4!&O_dw z>$z5n^F8_4oOpcji_%|xm1)hN&J*{J%n|Vy$-JYlQmXl)@VDUC>O-*2pN2wMS-l#S z*sJGxJT0*j3|Y9XG}Z^dR*l{H4)*aRma}2T?(zEI+|NxQaXqohy8?D5u)k-Mf$}+q zV$P7sK!eWW?VRjz?sI4g>S6Q8HN(T0mK38S%Y?xFbbj9c#~rE?Om{1~IQ!B~uEH?u ziN@|{5FK#3>fW*o>;^Z??ZSx;-~!X-we>nx-RGZ*_XvNZ_D;nZg}0JuFtQE!=h-4} zp5rxE<h?S~79bj0o3TyGbK}ns|Ct!ZXk|VF828Nt7^j#?pPAMS-AE9*vu^x^W+-$> zWpHGjad}bf+03o6B2#yr$w~LU&b=z+-Wt89l~dI0ruTTaxR1l99#WMVEtZE7B0jHI zae4V4h-u#T&~}xwdQZ?>{m{A!N}1<3_)<uybN*qGtxwdZe@J$4V-mHq$Yy#YVXShY zJ`mE?3|-p%%YhcpSUvhduRy~6`$VLp&lL?`VFw=O;70vSWe#}2SYA57{HS``9K0Th zl)s+J#2^X0K~y+_<x#a{j*2ILaEQazc~=e|0#4EV-K_rW{xQ|#XDR0yoQItP&%-6O zJSx$e<gS7vojRhXw}^~4%RU-mlcn_ZCd>kYhk<9Y4<a<8&ojQ%(H-6w_U)|@QuJBw z77#Z)mkd34N-~ypl?xvRyCMw?VQiK(y~=CP{GHRA))DfoxsmQLHYH`_!(WRDg-JaT zM8+O2m|;PZ5DpL<AtG%oM>MgcQvm9-JQkR00W#z=>{V$18LIfG#d|DK5O)Eo%%X3A ze0<>~>!m~;hUSaEZsQNVT~)MCI&Wtz4C=~z<ulS_5Pg0(S$uVx@>%oYGDRx;m7vpk zOz5UMbiu<K>?W+elL(Q<iPl^kxzw;2UX&(6WY8V5-K8|~c*bJoyxd7Dz9!78EVC4* zycXIiuyMjPw8nWDL4!DVT?E`+@BM+bf5FMCD0(Pkx)kD|N(ST~Sflc|HykrU9Q2~k z<8D9T6#Wv*Q|GjVhj?dVofO(hn%qwk;xN3akuqSqL?O|9xcPE_0J{T3w@sT#5m`w0 zKXs)QeXjkVT@Bj-|8rmEA%Wod^GnGJQ0jrWuL*K;jvyPkb$W#`x5WIuBlB>$N{U&I zw!A)#5v1yCk;*SOK5q702M6Di&#OptWg?m*uF<D##f=?&tgB`}+-_$UzP{x4S%Wk< zgmL$|qNoi7mq^JRNDSqzCU^YHbb~oZd71Z7v&$veg$=8)H}mLJ+886{8~AijhQNBa ziVA=Kz=c!Nc@|Z7i1DwErG+r>M-An2%@4qPQkJ(L`*dCozaSxX^V!ohnC?(caeS|3 z_1w$b8BYHA<aEW+S_|ezoU=4aO^j_kGeV(Fvpbi6QtG=5D0p8{^c1k~Zp`M@S7IlN zE>7hSs-E1P4dvn8xL><CoX@`dTp6Av_WVI}WoFG=@fjpn*pMuav4)rv^>G$evWOP! zH0=u`rTzqY=)Bn*r=Yt{a9+wc6IoR7yxK}^cpJ>^B)db-yPMV**K!$@mgx7N(ttnW zp7mafQ~LVf#Z8FKcyDb402IbE%ZR-<A8h2-l_#>qHdb)DwLb)9)Zl0*rAs;x;RkDj zr<|DCcO{(;vZ$Jzc1Gs;WK%!PpN~>wf(G*yM1<Wz9+HeIg4Ovv#7zXnOZfM_=nVub z^8p2sPz@&=NN51Z?naSX)M@kcOOKT<*y(u<tG40HNS($98;G!Hdzn^p`bLoviIw%R zep7q8mf?(AQmBHrDy5H&-2R|vWz8BfjRr+?w%3yA+J6`KD;E^!Qlmx*j(_Bmrol|W z(t?7BS9fn_lOd3GuDNr-H$k+{1?uI$NTU)2rR|6~g0%kDfU<{8$1g3}=3C5+ipL6< zYKVYGsXSV+le90-DD~mwy_q%hokObPr^y0`3c`^@E#Kw3^F&1=N>Z=X5L+Y?k|dKu z8&;oe1MgWI;Z%0MIPSjjRT4;BttNaP@S<;*{ziUnP=@;xEdwcY#9x}xiy49><o55A zdMn06JH)m`=9d_AnL8qUgYvlzD0AsLA|8NsmR?-fNeg}V+>2ft&c}P|t4l)89;y+& z*wqEf9^jeHY(C_G`sZ`cPFaD?;eO2Avm0O|_UqPNck=;I=2_++nUUK6T_%!d`TtHP zS=x~mMblhV7TM+dn#3=@DL}ONu2ss2#FZn`V6|g67!rTw6c33Pg+kZnUZkehdDr?P zhvtC+)6tKCz&Yoy&yey!DSrsCh<|ZIS&-ozys8!Q;G>kkfEdKH!$pw6qhjr|fNc<1 zkZ|8KKo2Z%>HjRy1=dhP(NbJy(H^o@X3^gFiFz;iol}clPAT^7mGvw7?B1vHv3+V9 ztAts-tGRj3A?mBn<C=BSdCo$%`34!9b(DNs>}vT2k;%-Pdo1znz?^_A&11#HJ&H`o z{cM%9h>_sCW4Xw#4XJ?5abNS3^tQ^aX0FR&6R!^lGGA-XfqI8<ao%|Q>t-|j{FS>K zQhgbHlKelso@nP=H{GgYzGStZn8-h(P<b@*9dXuyJX{Jn)6qy3hUR`lDIf1*^#VYJ z<!A)$C?XHb#|@C(Ea_d}%RBLO0gexv*(G)KmQJ=6Tz;TX13^*^S|roUfDih#16{RG zv!{ZMpxH$)Zh^Z&*E=k3hZhbt0^ru%mFppTZE<cFB`)mXFyEBPQM=#VfI8gU+Jjso z!A+coDEFF{dGT4an+pckk_0(-?FU4C-#96r%>iBAqDgr`7K1Woc3<NRK#T!uu$A@1 z?YX_U&JU>r7<tUW8B+}@0^`@LLJ$?XvwBvdGa=QHvkosHEm2wbt~%d=?Y^(Z0B9); zl~_d?PNS~<&%V`*0`-igxW=v49ghnBx+&I=t+jUWlMK2cvdie#U6xE(UAw2*q$Hj) zUBgj|-25!Goc2WGO}RgKf}n5d%9yHitPXqn+R{^*?)-?w%^dN(T&+Q%Co`0Mf&#F< z+;Ea7T8vJ!lpDwQvT;k=n1+3>$C0C^4v>=2!2OKNQS|X&b=Ks@DZOl<NgEe`>ahM# zbq1qyT{g0gm!(rxekf$B2_;`yn|vp7;DgE6<2(Vn%v=sebxq!T+wd|Ug>&s^<xG7p zzc>`~*yIvC;mNv@cQ7jdiWBEy4le=qP1|a@WGXdMKbWON5~8#`{u4}FHc$m>@uxrj zl`Jj4vhA!`wP>qw8;+vT`<^yhCOcj>7Md&M<}(TfW>Q9PNXQzD-jI`ccEz8nOYnbx z&k6PUq0qE2JoNR}$wrgrznP`j>*>Da5SuG4Px=g#&2$#N7-@aQIByFhsl#~!E3U;M zkX0$8wK!e|;hQ^dSjpd1U(AaAY(n@%*@jM(aD0pnk7Xk(heBJM=xEehQ<+iXG1+FP ze#AhVg1KMWZq^8>jIKslml-Uj9*2f^lL-U^^?6{lg>c`*eiaDQe(C@EFTt#kgIB65 zHLQi{uoQb;z5h=Gm<J%TXr7Q5y}`kGc5~_Lv-XYLth4^Xjes77{kY`A^+-8#_EcYF z<9$Dggo+_(5?@N=v`^jK`$2?m4FQ|4zZFiLM5>G8PlsmT!<xCVbq?b_Bj1bP$75lw zFX-hq*xn!kU##0eItPZlsyDaJl^{Ipd@!|)$cz+f+e_=VXRd(`H<a0N=8Rhs0lJhw z8J^ei1W##^zRji-x$K`BeR57@N+KP0_p#7Rhmnj6th+Cb(LA=XYEti*v6Y9u2^;OS z^ZkA(!uD><qYQ6yTxzGXFmD;oZlD1v8!OL#K+_;oo6TMc6z1^`{~+)Rdo;kv`S9{6 zRjnZW@f!^xN3Wmbhi0V3dBIaQdK$q|bKL!Q3T$-||4m$UZc)2X^<&@2-<|aj^d`0S z1ZcOrn78@g&gENKq%|ZKY)iP+AXj-F93LSkJ%#(1DvXcTI1}Nb4qfFEA|$NzKuY|C zS#r@NU6=s&+<IKSkjD;;s^#R~r3KgcfMuBx@B5D_s!<mGL|f+;{ZQ4YhCVRY&<Ezq zJzr88^CKn2goki`9}25H-cs{a&W+OX9950#a(U_7*!3mIi#Ua7YndeFTW-J0L2{$N z#lFa~YutcUOFw^v>9>0-H(h`;>p&}UeTQ|rz6;8$!@V^${oGAi*{$p}WN%{l-bOzA zimSUZ;(Z+8>6rj{*4C8VIk#+xwt9=zxZ#-Or{m6ly3pr(;MsiUf^**Bz;3*c_Ql{C z`}%sVqJmSY-S)-Bd>ZSU`Z72o;LLrbzkDj}C<-&OoE{Z!X?H<)!hjPKivkpD4?9O@ zrE^-`$fPX276;!)zl`t{5^~getZymvZ9d!i+z%ImNr>Y4Iio)0<REv)d$&4F#WW@n zZ4+4?KV-W%fLGa_IJcdiq|)86T@cLT6;*8R=5FlCL&4nuyaxF9P6jp*5pDVg!RrfQ zpMAiaLQ*7***VVofSKcUq|KE@*k)pp<*(n3diR%;e5uMyu+2E)s`ZqCl=Y_A47v@^ zGS%et^?)MHifJ?JS-VdSv5q3bW5#<qr(=CNussA4^DZ)lzi(ZJ;w;=(JQ3GBesVqF z>1o+Co|A+X>A)OVFu!KzRngvzKOO9q=Hhy)^+S9-Gb9tJ2<GRZ>9v%#f=r%WX#PBt zsqJFRF7IM%pX)Qro$K4!F-<X6d^!}op+O5Ft!?{RGw@yto-I{oW_{%7nb^Bkjq^j` zcC<rorH4?1D~9?s$?CzJ{x9xs@u?a9H>Sle&Q3Y+G}MM{=Kki^H!vD}WS->cAj6Rh zf7(R9m7_umR18>h-Kv7k;%=pn{_@EBaclm|*)5~Wvq<HOAhb`FjnfCl6z7&(edAG? zSS<W_*r~M8i|S9Yf>OfuqOu04gn5nyZWJ+d?Hw`IznI1y@w`et=?|-<h3XFnP%=$A ziM%0iP7=B#$o0E5AajS?Ww5EqS5m@n&R24OcOUPv4RAZp9J_r#<>mc`H0(Hfow6#c zhC8~8kG|+FJ~9c^|HP6+;`Mop@yn)U1`X>_lunO@+vhdLFRM*v>=i2M-@Ks^-!}0L zr4xE>7xFoklYaJRmywUoxbo{1cO5L^-^}*9N~2CT#|A8qE8%&{S*OPo8j#op*}^sM zMu#$diLU@WQf**0_-*Sd%8E~bkcCs~8F<Y2@yeE6f}S@KA7u4f(<NaZu?@03tyhFN zf8Vra*SLM>n=~J66U8PzreYd3bb@}Cx{3X3HA)%6>p?hSkc}N@UjJ=7S7E|HPAtTh zeJp^)9xRrFsH5I;i@iDtSiK-9sEeffegX8n^=$dJJ(Z(6Fc30_=w0m;pHjAOv}Mmk zhNMixr4b`b;<9|OJX8_BMwos=WG-n~4suNF{dLh**SOTvTCgMheSOvrh((#XrVG`F zJWwu;9G`%ElG`_hr+RlEskq3w`1H%nj=<#0BcX&(^|G<I&l%*)755!<696bKg?2V} z$e`=%xIDjZ#SDhN6SR2pW=^S^l^2jRcoJYYA(w;AE)o*MI(EB{%P{7{cvehdW{ULd zxXm_Pfe<i{od@Mkpk}yiJG%PxRImHN=+)cuM&p<dI~vb>2CqpCg!q;w&w4!7lRYC6 zs|X8e&V>7h$FOQ3^~r(kM>X7rYWo<_)TEL<@=+&U!&0j?1T5wF`wAebry!}fASt?Q zQZm|&Ep8;fHoUqvJl{3@S9L>pzGwEC_pc&GV4-y&H#j)*cR54M*vF9ihS{m@+OSQ@ zNM6H!3tN*HU!JdVH??$FT{68t1ogShgEC3H8UZ%_?y6+O_novlE0SK1Ui@}0qgua( zS82OaM*Q}MWPYk5I6Tl&Q3*4y$+7@mi3HYtup*K;v<}bgti~D7jOIO~fDspL1SLJR zW0tXR?L?Cq-#3od4$6ZUDe8=Okh+l1s5sz@X+kN=;`<JoJGrk^2}NYNCe=XDkjTp+ zGkXSYlOV-waSE`jb{uX1TB?NJIC&B92Z@PLc353xy=MQ1@oNrZx{J@$`ahgJnNWE) z79cI5n?h3-zda@SEmbk@46Nvj3!i;jhSaZnS_Y~9LQxXmw*k$ta^o6tudIy%nb`s? z9pg*d+4Ngn^Q?aVqZI-FFr!#a7kw-iyP&W6b(AblL9VWz;dN+{OLe}<5tWQ@eJ3w& zg3e;$1(fuiXiRN$i~WesE~rzyPi%ed0%tYniV}fh;$z4}pKO}<FbQF?;e~5oqSlSv zv@OnL*K(oy%>X(oM7tI&rV@Mg`%BNslMzVVIv`iLCj7Ubqil=KQ(yAA%j}@F?PYcX zc2~Lz*0}3aHxdjLPpH`TK$B0HLG*G~)yC?5*U*T5$5rrrJ4A+ESSWh(^bwl`hjV3+ zd^o+fANhQZaS%-}v^)2f$d)?Elp03wPGl!;|L515epJ4BxH}t+YIiKX(jI)ty#<@; zz<CNlw5iCFsjUwf@>>-ltlh3)8(4m8q!*!<Nj5giELa>#{r$4%t=H!b?7m}A1eM6E z<1al&P?D)Km2}+3XKnyX&9l=sH|J$7zyA`PTK^fGSV!~V%#IG7P<!*G^q60tVhw`M z?#C?#b5C(G1J^q5`7kceTxa)&%0}b6X78#=h{pHKZS#IOXz=pa2A>5Q{5FO`z7BL| z*96d)4YRRdkGe9!^87H08DBwPMyG(j+y?q`@DS+BhoCQ0i-xGP|MBHs(3jPVL0@Kq z{qbcm|8HLgu0CZM{L`6xubp|-{uAiTcAx$@bC$t>I<q?awKHG)a+s@a*;f{wx+RkG z`Ha<dnaH22Ax@p#oE^y1z5}W0eKHFZIYZ{#BH+Wb7tY^hj>sp{WpQ(2D<pw$$)GV0 z4anq(^`k;D^tJ+ukXchg3zO_KfgaG1l(@$N7;NhC`^Q_8pQ+uo^lIU6J<)jnfV0V% z%H*=G_cr)50!fi3kKgoLjc30N>X>{=O(g@r|HLFPQJQ>eBtTOlK@mcTGVz3Va+jK8 z+d#SX<~eSQoHBj&tLfZxSgrm$^m23l9eRPkV=sC9KVz?qFrQZ4hL~4or*kYzSaWra z^@V42CZDDmWf^||K{E<;>r)X~P%5mqHS&!DxggX@CNq{_M&82YL7bqBaJ_gJF}9pB z$o=y5SHA@6+76H<sJ9qUZ;5aJ=nWL+C!%x>8fpjpwV9(zY2wu)+<Foai!J*{aon7L z6nEEcJd3*&R2)e6ItYXLe=F|llZDAY^>)_{)Z1;M`j#*BM{TE0%OrkOwGJndKF`wu zv`?MEURkO{DmXJ57$H)r2g*cF)7ei66cRrg<sTBr*2fScgfB-^=vE6#!oFHJQz^x8 z>0srcpq@i|nD@*(i!q!bGb!e00^(rIK~i^9TguiITLsP`ehZ#5?RZf(v}`-=1i<*a zai{^V@h&UZQ_dld?3i__lf2Ktc(W$kzdmV+(LrD}d4=}S*HJ$hRY&@)KJFFSU4&0z z>;K|E0eH@Uj-MyEt`2rAQ*gLl^n+BR`JTIlA4%Gdj?4%O+qjoKF4EJ^oXsUUEW3+^ z8(=DVH6o%_ItY^uoN)nMw~}0))}gx@G$p`}VXOB<@+~9QeOKN&oU4L-idLlm-9Ab2 zap%wHU5sp&ueN?K?mi5)Rx*iF9S)e_OzpRg2NP1Aj>zJ#ZrSm#c4~y;J#c*^{i#2- z5(`t^5!1!b8Qy-r(Uvb5=$(M)RobhRKB+Mrpk<Fcm*P)d=&Z4EMtowVRG3DS&kXPj zi`DJ~*Kf&{-1E1Qm@1?xxiz?BGOA)a*g#iXQd^8G9={l=gJbDx>>O|SHAzO;2Rn9Q zF<@2yCiXjA?bZ{h*IO?x2{+Bh6q$rOxv2YT0V(b1sA-fuXU8?cGQ$1?^crjtKHwlX z=KE2eQz~H9q*VT3OiBHz$B#2{fGK0Ok6Z5DbA4(Fk-sJ!31h(!R**g|UrNue<xh3U zz}%(?kv92L9Jcu9NE`lI(?0>^@g*0FM@)D1nHrAeHOUM@&^Xn?HyJfZZo^QjI;i+q z(Au(5CKb?5dC;xCKyh8f8QqMXT+C?t9lHy#c<MQXfPQv)@bxxEdQap3cbr<~9q*Jj zQg#|s+}8#*_Wza>@c#oTsPazp(qM=$X9raYVgCmD_u$Ubot9U@DkWGBnH;+;+ED0% z?)|tYvMsN!@Y_zzLN{```DJOR)R0IC0GrJoQ(rG!-q*L*Xrm#DoySSTOd6?+wD15r zx(}9uN;1jCU+%)v+ipG4;Su?^WK)HBg!jUh|DYz2vXJRL9;gVw`EU$hOc%UV47I)S z(k3S;q!apk=%z~gdH0UVVyg5aY;z<pb+8Vbnlq%GW9&9<{B=<qt^{}lfD=$CVW2Vi zyLH8Y+oHJNbmj@p!UZI(yd0+?A10#oPTh7)W+43Aa;)AWZk(Groa>~Wdy`&|I@#mA z9&PUIMLdCpJ!&jsp$ZT4kTKz5{Dm0iL=p4zg7M2b9{k;T42Ef5FihXSKZaEAJINT| zV%z4fY{}(0F)6s*0CHxHe<nb=w}pS4Tu+hehpdc!`AWRLtk1`zf*+B2JyS~*T7F@7 z_ua1um8fTpg3ANLx2vL4b<18tz}Hsq);*Y-Rppj*-x-D`qs303b7e@lO*i|`Y!P9E z`E0;aJZk2vIdvg_ReCx8WR|QDqIFK@!~Q2!t7!Y~^9NLd3_Gg04NPbst*ZthFXULW z>#hAVj^q}FoiNu^0(p&VP79H+!hW2`Y!C~c<uPO*#aP(3zMQz!yI-wYOhKm#8u(&? zqr~6|;W0>6F*wiXZi?R!fju3<VZB8m4>Re<p#ntABsil~zd3KTng**+l{7Dvk1wXK zZS1NbdvO}Oo1M|@WgMf^a?h2x*q2EBM1-f!ix(C5krZPpZHV}J9kOgvsxJH{4jx5` zuLI%W1$PdL9K{Y)7x+bXw_(|2GaO1>C&cm^iu)YfiW1}X;=*G87|f_LLvza(UI0F< z0roAXn)v!_c09F`hf`N#I<_rO$mrKh>;t-nQv>Yx_RkX%BXqwx??7#O%k<fmgur&I z@i`#qoqrA4OPk*G+rd=wrjV?>j=%BGT;q59f4~p?ZwdS_@iYHZ=l>$m-T2OS8Ef7g zAqc>xNH=%rzh;w>9)`A3!QKskss@+cT{&kkfo#&rnn<6ZpVfY#ns>pW_QK6#%07dA z$fmJ1q)Da*L+^+?%x(~e6%hE^KOoDhB;*DT+Y}oto3g_An{z)n>_Tn}dl~iaolq0% zbl%lrzm5l4rNR2yUC)*5l3TrZ^w;+Zk#xzvA4iFo>5K?!Grx$fJsv!1Zs9@^Uqx*C z2AX<}nD!4NxjRmzru)xN&_`V-OP4>RhlXeP9c@C>QKQ6CD(Sog@Dp_T;E7bxcIH@s zA_utEg_RG0u!3Uhraxsdb?<?Q8dgWYnu7)#=?SvvelTmOc@{YZ=?A$}3Oi1v6T9_e zUnaG9Zw$_3(_v!J#Hl?~dlle=wOmUzXk0U!by;CZ3!myKE4NE`my^yfd&b+pt2e32 zqCARj2N&cxhPk>j!}sz?)n@mb>wKD!XDAMD;P>xMtuq>^*Hjbo<lu1Y)Sk-MMkV^F zA|q^ERnJLr`a|*dzEkqHvT4}%RL4_O@u_c|{0%KF;G}YDUig%gXAs_R4Ne?(1l^n} z%)!iw;AuL)SY|~q9WRai$NzJ0Bx1-cH)7~tdn3SqZjQ*zN+p~BV{>FpU^T=iH|}c9 z8OZ0b0{3Y;QjRaehnuSG#Od9f?DW4^K!6H8zd!D;eEMmuG40H)@D2*Ay6{d@jTPGl zW2p_>hS(RD4aPKQu%wpcWa;RqT5t>@vQ!|EWO%+_`vs(`JOTiEo7mog0ac9UA8N@) z-V-n(?l+=s{wwA6xaFXrY9iC@d+p&&9F9Q`o|6}LE6Q0UUN<<(-wl0eT?>j4+ER>i ztst-PhBH}`7HCi<?-SVbn_HH!!uzErvSb^l!>9ck!nWoKkba)$6slxYf?z}wS<-hM z;9)$V2E5m<49!w=DjZI&n(n;cKJG7UuIJuS-SVnUK5%?z>T*8LvkQha70LPd`7_dN z(mP<Bao`5yOfMK`8t})$xXpv+IaIltbknw8ty=5R)G~JuqrVLfOR;TbraFJ#giE)) zvZ6^xbk>>}rb@pK-Sh*O#|-cj>*BC4bNGN*>9^NkzsFPSDv6U9+{`f&J*Fz!GACZ4 z<Plt4y7)PWzw+U^dvb*CN7o&wBzT45ow~@uGcuW1-8-a9l*g)pwOnDJBdOkNbtcQp z?O?AFgnqW~Sc-1g?R~MZEcUx+0jli2Vy3)*59K(>A)q2~OfZa6m`jIz$cqx4Q&@Tf z4C#|@Zfrt)yNqWvzF)})g^d&Ak}Er*BAPU2nRd3Ryld$c7t>WN&jfyhb5(CIaIV_L zBzn@bgEs0egH%nDC(j|aLE|ei4-_L#|8r*XQamtNoT>pcoMWEaE|uC(z2}{MFw9sH z><Pt%_zIss^krUIyd^Mo$v+QBrACSx%x9O^U)&L+qsdPZSdvP`qdSYMz7q|pE|1V2 zHg|L|HFtJ0-OjbfoNSs^PgmC6ragS?ts}?-`49qbYq@MdpAMz7<gKuQa|tH(lueiK z&rB{O=So(DPMI{1;>8SbvsHV{-AZD*cZhOVxV+=ZSLKc5@D^d?4b1?h-}J9}&SR`o zf&M)^$9UgTs==w9^cza){@pu^_2PlJ;541ZBhs*VfoaGIx*C}PzaJTUOtp5#q`61w z{YjkU$kCMIy&*-3^ZJht3p?h5Z#s1@D@3`oY$3DyqjA#g#nx2OQ95=1qG8PiT%K%N zR(Q_b5;}Yf{EJ5&$YXUd9ivy*5q*T8O3!z*!+i%V0T;y1AQW}Zz+S2f?^qGb)EBFf z(;fZRb<W-W^QS=xjHNB6ZVmw*;0oWd%Mx<O8W*etnf!eXu)(;_Whaisy`R$FF<Z6H z{FJi=>~4MP?}fxKlWkzeBUVI?n*E)I-b7<>GCkP2z0AsdOf{Xelg#!fpT=IQ0B<~s zFJXB4a$!QW5ac73@Eya2aM5uRaihh-bY!4NaPfCXQs6phVO^tc15qztqjm&Q%X0>{ zgQx?qQ7`_D+7U#(ca6FUL=6BSY`cHJ25VizCccJU3&O?&VedI)tJeMv+wnhO&v*p> z3w8T7>P35{Yt+yFfx7)aP+#1G^l?|X2l5=N!p=dq!FxJ;l$Xo7v%f!X+W^AL$}h&K z8jPVX;Bv18G>)MhRVZjIS6w?qe~#x_VTkzYUzwnd@H6%A?W%(|V%H1W2wX88taNQ7 zM~UWVeGZXx&KD609frNcy$=1<pn-fv{1*ecTKlJgKtTg}-eUI&G!TIQpB4fIEu^D; zMaoWhBlE+C`G;@xj4AIOxU4Llxb{Om`A?es!*`zY$x<^HMCdrZbyb-lH`M$cIe5Bw z9GOU;GvL_LyA@9(7pv7M;Y<zg^J%`W=-?Q3{@yt~G7`wO<h&2Q&8`UC5Autf?jQkh z@4T@*!>#NW2mEfaRRkxDIQzvVNFfr!n$1^zyhS`LQ(GUUOgD*%RzRvlXcEPU=b4Ce z62x2|*9jJhcC)qf*>T14*%1`m;5jMrv{PrF<-I-Qtb@e;>Sh@hKH{uWd0OFp=Z&1W z->u!aA5FV6aX+ws6-8*LSvYdk@zll7ioEHUdp^uvQ3IHoS~_)%5**)i==jlZq;0;I zK04z;@<#T|Cw3(GXo7&bGIF|PSa?l>rBYCRaVP#|;LkE7$(wG_GeO`AXkz9{P$`xP zzPB3mEFnCZtGH}D!R<WPXT9~Wc~FBql&*P%{mnzu`kF@{*u{>HH5G7t-2rv6jYjS; z_;cE%^0Xni$G3D=+$iv8ba0+8bHZE!$OuQ%dfy&8Kj3DyauO?0LBrg`0ses}nk<Uk z>0bL@U=dfcUL<+O$R~nGdQ`dB7yH8)z@);q`TMy(k88+Ei5tfay~>BJ$-Q=N{*e-` z$x#^<vmzRn6s-y5#DInD^;QfM<w&yE2NoIo%soR2p;k|Ld>PhdzVO6swfC4aw1p^W z&G)wdmf&H&q1SnXI}lg36sxDmL@jn6H_l|2RacWOrJqoU4R=Vsc8mCVeq6HuQ(br5 zM_PE03M>{#=EfVZa>vb{aCR}hx0^l0>|*_dYl4;|u`4ivDI7-pBk)`VyO<|<js(Uw zg~J6)oWg-)cbvD5E{n&&tfp~TNF=ApWF|)|9>7wnMzXqnAKuaRn(c<=OyjWLWPpuN z<8%NL;1jr?3tU>t3boh_UL;mhd(2I;q|+d5G8LYcl3Vs@Z7Q}AGHm(FXyseR9lLjk zN##KmX1iqx?eU#6MFNsy85Qw&MC%D}bCj8yE%0gUn3=?Ja&d+gcP{9*cP^;9J`FmE zc;TGsfE9C0q5Lt#58?Py$84d7{~popmMTA>xU-ej)Xe0OVMtrq$B?%44SD><U%V@_ zh-}*Rn%nn=9=A~aRXrBO@eF!kFbVHuZEJ2c8L8rNXI-b^a0*gKkrPElF!+2D7r1=r zI|zA)T~(h%^HW=^kVW?;()5wxX$PxmyX7N8oL^;FMR#SR_3tOjNfU}MB~kcx3a!i8 z-0kRWjT+s8QO)8|0yp>sE^pWlLWF}t{AgUqW69#5D&qHNuyAoI#FNF#=$JWzP#vGw z6H1+XnAo2jwkFX?;St@I2+IC<mH(6dV$tkIhrW;m1M#!F&{wbiJwX4RIDo&H$yYZb z_s$vmkzNu1>R#}_2ggsZ9ULf7^1;ZE)ir{6((vp%0?78RmPDWWOLQc|j;1i7VT8OK z;VBbUNHKpFJr0pSeKb*fCOr<dj|rg`M0{Z=Zscr)J6a`9?YxaUdMH-yyo~!?!{Wp@ zw_nufz!b1QX$uyTIDcp$=K?*DoCwq`#X|b~%S<$<nc$q>xf7P}d$R)TaqIK)yP_Gs zZP!h%TX?P{Y}B;}XW+#hn3+8?BqgfAIzyAN=G&vq<685{WvaKjzml~#n((qom;1MW zUwGx8{J9;HW**bpkRT275Q!NkrVM$H8x;vKDB49zE89gyU>QHVERHEPNjq4+L7#dz z_n_m(q1DYT<nz`8+Pj%UoYLahs*Bw>WX12OE(YJ=e(gxY6;j^!DTtC4gDU}Uar>{P zzSd;JoNJK(_I+-?!b461WiVeM0+T27Xz<x+G~Hz4`EO5NXZ^6^V!nFb^@9;io&d7X zaEj@kskxDld=6MOUc{E?eqDOS6OzA518`?IL%PC!*t6TC;Nd>Z+3gT`XKF~^K0Q|l zHerN#6xjuM%{xsn`2{bS1obeqX>~I6veQ3yF1=#8QdN0|69+s~tGZfNVVpQy{2o2@ zOzV8)d$bCew0%E!dHvg$)#e<SDjUg(q)&aFe?b45KJ``p!R^-x47H*0($f~wQV-&Q zK$^l{hPI<2hWt3-3a9K+{0aZjMJcWXHUH5jm{2|f_zRn(g6<;>S~tA$q{T_K7rWbK z#YwamgTaJIdr_`^N#*0jnO|x2P=MCCQ)#pcn3$HHSD5_vC4LW_yOGEuis+7nz|QXS zA2ERm7XJ}BnA~~<+$wB_{1xGYE@+PeB780j+93cu6_vl1X}oX0C-ErtNSAY1ClumQ zNQt?=3u*=*VQi}%HUzEfx4KwGV=UpRj}(TSn`f%;NH7Eud3;cx3RvG<@ZM7*KKaN0 z=l~|n2>c@*{-1xOXXdM~RFyrk=<{jnnNfs*kNsUYff##^r{WvD6aL3v<PFm_a?`27 zGT6@xhM);{?7?E21*>jlZ}@TG=yC0jX5$raC@dsS!D|y%Duh+!riWigl>f-#y&Tmg zD<3dRu~KS3@EF?gDFdc|Om5QB9xh!X_d3`U2izLM_v;!woUbkuQImkjmqR7@*q*!w zmsEl5!SajuLSGo2u^P@R({F%Zv;|Xejf0zRhs2F`JEHz<Uh+ErZs!$iz<sJadnI=y z7tr5`Z^!0{hpp7~yFvTtF4!uP5#_I-eec(6=-rrFsLHU10Eesf>0z}O7i>Jqh$HZv z-Fl5^48r->1zXfg&8!<##0+-yi@-bl>U7811n6^u_9?B`crvsMfEE91@^_crKO8Dw zQ!(Nmzaq~6^@5{e>zQbjdYIM^PX~)1uUf$est7bak^Xu6SD)ltzS1VLhtfZ9{Zf#8 z`;^R%_DNq8r7o;v0p|q(NtxIfsxYmX;kt`XL6O~d>T7-#b#o3<&8RX}J+@9JZA1|@ z?JV@Ga;BzaLB6!Sk+ASkzLdY|za^CEsMv*jV(0Rl?Zsd(z*E7l7d`T&;m!Qi6+c<p z9v5T1>tkuVTY^O~_FobJJS3Pg#)%x((8b~BEU;s>s$v_o)gRNd3#*v<>8kx}sj!+a zc_MNcsx9&o1S^}1pf+DX?sDA~zKWx^k8Hda0Bs0lY5RE~|9Kfn<UDr9_>fl@=ibbo z{EK0er%$XKSlV!A^l_Aj14^(ukZkZL{kFv5)P$`=Z{Q?u35VtmZTFD!DvV(X=h-c0 z0hr<v4jC|>7FC~WwlG1b!u9Z7^qlDPsz~m>4?k#;J2@U~j*a;UMyJ0#e)lwX_V<~_ z6WLDKQD-ZJl5*bZ8ya23dyk7ZmR;JKUCL>P`}cw4$5W-ndt$Xr;i?5jt%cR4NfU8` z1#C)IRZj<P6+p5f6*Hr*AVZ|y?maONZGa*@zv82wosCezqSdDJ*w82eZ?A23Y8Jiq z*9k=l1$Y0`iW!fg(TL9z3ofSDZ0<{t#w9}8!_(BXc~Ayql9`2;mvyB?m8lc5-t2|1 zYy&L$&clfUTkW+wh6Go$Q=RDk^t3EJ{JbCm+O>(bYgKia;`F#}Vu44Q82HoU^4COY zSID0p!-@X%NS^a|k6kwSIsrr5s`vUWtAwCNR`!>kRQ`AMBGPs(`Tmhs5mmw_l!u9a z*b)bD7O36Zv8=+k;u{;<e)xyUB<&yhB`$w6*(N@73n#q2Pd%)G-|77VAl>9jTOVP{ zl&gb#UmdYt&Up0C%rDCw-eU1vwMtq$C(o(dv`V`7xCrYHl}f_+KO9Q1mc^DeS?^|c zwt_ar^-#xuWdDTvNa?lm;t};-!N7NE!8ZxpV<8uARm2>n)^DI6?ZvypvNz>6?mcGv zmQ9mRZ(V8q4f+w-!`kKers4H*kN7q)qIq&}&SzMQNSs<`vAh9yp*wRen<k3Rx)L`Y zDjJ$L!fbMRm`haIf*XG3LCkg&Mgsp1HM>#%Z56C|ewbUg*`0Y0d=t!Al-wUJ(nJYM zBK7PVyED&KW{)q!{r0Q7$?#XInMfjO5!C)mceHN;eNkUza4EAVZ!}`X8{Is(Wg?7O zK9O)eO*I^}oVIY=L^ok1IBqGaU9lR8gt&inHfRq5&iu`>uH=1pU!u6hZXM6cnD8f- zEUzp%$GM@K1P<~rXtCrJ3`a}SF2=|6B9<mF`#H;}@)%WN;b;o8DNIPpj}79KqR9*A z<d@Ar(8{|IpJ?S2bo$Kzs3;vf?_;+zKB&QayGti7|GUDn!*0IeX?~vxDh=sHB)#R` z{Hc3;9#Cssk(d8+4fR`h&LR86Jvk65Y83cz@-H6(UprAFyUD*a8byyq;0l^8hs#wV z&DBF5p2Z%au;*cOoh)r!M;?X95S{1b#Ow`mfFBLQAQ9^GB`w+_{$I&4)~<e+b(BMl z=`aSL^7iKmR*B}iwlnMZiik|f;U@(Im2-u%|47~ZPs?X;ybcQauk`Jb%ocW&mAM|C zDTkeqeV-gY;y=zVLa6t*$Nu%w(*0@cSrypD<jY~}r<}gVc#@g1K<kMw8>2PwlnmJ% zM_XW>Lw3&6Hhfmq$wG3(%=wmam6)R>)Gc)Kg;wQPEf)%3J6@yf%it*3L7Qs6ZykWp z@-n|P1n<NLjS8XlEo~&j20T<5MrR1kH^`5LdJ65FZh~QQM6}B!O@_ymfb2w)F_cvI z4r>eEnPr3yaC2<GU1`{wgcHR+ixr`Ledz0ds^d2@ADD>IoK=~!!oSj5APex*_AANg zUt<0|lvxtdRq$Ke<UZ-mK8H8WZSLuIiikkjVQT_TU(&~tnKG>>hSne@0<wMfN&;b~ zbM{Km)nrVfsRJGeGX>aVFC@Pt=5AoQ*{or04qPhJ`pdHTZHff`@v(hk&}3QK;@xo# zA2d-3cKy@4?5l4x6|{anqfcF0bytr(R3CmF;$CzaLaNKhh6t&K(=e3sp(Jl%-Pcy~ zuWqm<<kjWFnc2(t^gq=eAUYW?Si48V@Pg}J#pCP1)aM9>F0gV$`8Qw_Lipr5Kmeax zab|{W&7q%LS6jVrhTi;P8;2kU!obhFOQl1cYqxR;RrwRdG_L**P8wHS2KSra30?P@ zxD3WY!=^v(x4$j(r=C^Gb-lZQLy43&3mV@O`-<tV(cCF<fZta2;hPMD;QkDtB%-^( zjw<Hb7yI^~Rl-#|?Uf`56#i&LnpHAWtM!Da3>-}ovi*=L0_~eu(mPCdakc$u5mdo{ z8mV;H!#qIs@%~+Ttn`l-RXS4AP+BJ8Ii_JX@KuT1-8bIy^+mDRA1;ULk43Q%UWY~+ z9R_RQI?5M&{E3ZGEDtbo2+#uU^)XIx883X{TDiJ`Mw{K5gJ>Dtgt^!o66Ljgs2_Bo z-L{_4hk=4_jYYA7f?`cp<Q@eV{a?hrbwE|yx;HGSAV>%(9STZ=beGbNgrsyR-3=2( zL8L`kG$P%NfP_f5(jXxci|)>EEO6hw&wlqg_rCkw^L~HKIp&CG#P7*57mR8DLlPgj zG4&VL*`#!#j9B}t_ii#5UeoY4whN@t9E<ff4uAiRQvWbq{DnlI&jM)t6*{G4nV<?R zMb^RkMCKDZi7?-s$NS@h+tV%x{w%btU0Ae9@Wqu}uFYwehHNJ9<5hrRKUdoGp`YVC zqXaxZrj2hhm*jjN@9Ozv$@P83^b@=3;bz<k402^ZO2$3h9C7LCO9p>#+o{E}h8j)7 zYKAi}mwH-GvIudlv4klkh-b3*^}D^~<CYf;RqByfxlh@Dy|2IH+D<RW4*kO#Hu;(m zr2=^s8_Ir?zW(pmb_O||u4ow!E~mIPN;>oj#Y!qTF(+CK^y5%;$sau>-}p9G8anqs z$v~x{%~2KsnkAv#R6=~*D=AyAL%Ts2Vx405`vmH!w5YXWOy^#|3s6Xp;r(FzA^e$X z$hDYxqu3ZKKI+kEP!$xwoF2v7P_x)+U%(f*oxQMvBW_;y_+fu*)9cavKpf6d%Nu1C z-<9NpZ@$YEM^%##z6;XpAmw;Bxy(Dj#)<pr1HQZ}C#Nz<pMdl&NUcEH3sNst&R;7n zRF_}Vu^N>$D2UB$a>$E?F)g9pg)uFoVZyLh&`_0-nOH4$9}VYp%k5<c@cm5j^B52# zdma_d*|YXKD&R4gKBdWr2rzxxn5V{I`VXlO5z`L|;6hXxeF)4l>9#B2`#6@9-c$WX zgar0x8I4+CHgvs2E?5lA7!S-?6{G|pH3lgONF6~+p(cMm%n|LfS|2<-7BL5E^9`Mx zblB1|nuv@;Uyb>Pkp0XlUCu|6@9&v*ZSj9F`49;vA{8@_n1~EDF#_VS03ig#MjwJf zRcrLTp?M6vLR}EdCsERU0MQGqauMzBRWT;_OmkSr5*i(vyLFYie1Eu#73_E!jRq#L z1UCOWV~zkIDk1X<P=)g}Ky&Lj<Y~-P6EKi6H6LQ2w*eA}6&rsD1q01TKSl78jk+pY z%XBO||6A7MPkfTZ1L;To;ap`4%ucD&R#lQ@&mP6CDoGOeryuo&@0KpCI;QekRdJI& zlaE`KlO*m-Kk5xHFI~vzu!dxY=A{{^{g|Hhku6AxjyekS^@ebb2ZwN^$nSli%6r<E zFfT<l>PW%Y>&G?zDug3he(yaM)6+h(d8x~zj%P$4lPnyQZs9e|l`Kp+r0!T&Z3U6^ za2|HQ2`GA@$;HB`A9Zql31)KiWz%G&rqErJ>pr8dX$^yK&0ME#0dIo_NbL(^qMy6q zlxVI|nii(rHZAc$H$*9x?liTEh<<L3TcSC6*YtLLqvaq^01+(>Yn+pUAxbZ(&I8rX zpn45dCpKD+2vEsT;#kxaus48CVGs5J#9?b_S7Bd~(Ql##Sw<7?#InQAkkRQ(a$WND z3*6LFhH}e(e*9817dflT&UzUPUos)ysW1DW({%4<BZhHAbk`^~>*evgrX{t~ogzE| zBe{0C>`DrTTubqd7^$FIi-z^`3aFj{)dB${#cmSs#3&zICT0#*7sEcGqe~&F!#I!7 zxGYL>3k(X}tSW}8n``FE{yf~z#E0zcVe*FfRx0f5UqR{x(i;kf_`x6r<KZWOGz_G9 zh<cFLAnFwj@w-4O5%v039L*wU0n=V=08x@DtnLJj0;Z3GP6E5Jjdl%Yb%6F2Mtp>J z9i6pUEO%JoQGuHgEMpIi2LpdM_M@Lim-d*&gcfXR4^0he@K3P8CQi_<K@(c5oOtY9 zil1ss4VznWi+;ug-;(2CX=>E?EOw?W7qlZ=dLLwJ#r8mEK$ZiMsTNyPmWl6CD&S2T zS>eQEo#(_W9?>l^!m8<D)!t!lA+@F>Evd-(+DY1;ZiHC3LuOov=C*uSXR<Ay={Fuu zcK`N;XmNOdCn=pwh7n@r4w(s{g#u{d3R(zovKtu(XcW1<&}F|9x5CM9>KO|=J3=FZ zogAT&Aa1BPFQEBt=;pa+<wMIb;UhFg%u-yl!l8JgRZg>tp?KKh9-0c)+^~Q{$6SDW zS0iJhSr_cvHX0@D2Riyqc|y$#md0!TEf{)b;u~}wtid?bAb}Mhps8V0A|znCFFp{i z$qoy@f=(A{gN}*z8z<rCG6R+3_5hgygaR!!bLSL)6w&_`nd$i@Gv}P0i_zxlA&oP$ z9sx2E6Ai1_0e@}r0ZbGWw{9}xFmNgMTO?}#O%SSmYUW-|>24wK#S%n$bTwjB2S#_f z6R`R+U1bgQK=0}l;R?_!1^gG`4mg(spl_NSQh?neyaAeyh?EaBK&1Sj0U{Lu4HUaN zf8$Se;g|(Akb;$6pa*do<6!1STb)dCW+7edA7SykXr!>nJv1C7gA3`$-Hn9->6aa| z(ETO-y$I>Qjn*?(>~_9vnE5`?q<l>D2FhQO0gMa+8YYCk;gy(d1u^#E78!1d0TTkV zJ^(6ZF>IkrvdURt2bBGs)z>UK5L%Nl5^0A{h%iOiq+m+F$i+Zaxn*Mb>@NwcE{7$p zq4B^PP|%}pv42F^5xLH&F7{#p*~T|rcssG&zgZI-AQ=|Gnl#nS<^4yjiOrv_$t`RI zE)r2@2%Y<&yEO>=v4h5iuKcs+xsUFau1F^={2F>Do<m5N0}!AG1tUOg6d4UZ_|n0= z4$$ZfiIzFd0Ne<b`=7J_|APDRnlQ2rG^rag2sn(k;{RcE1Kwp$I2fIGl@tD-86Ecg z6iphb@<Iw6hAk3-6!ZWoxKQ|O4u6t@JHH!a>kDy2-i;*yGrSJOQRBiGfB8#etgG>N z74T)vTpCb7+`U-X-^YOTUnwB03LRY<3HF=$YIs~SrNC~@p}opJ%~dkX%x=x5y~;X` zUowluZq1^-dVhMicy^V|npu05X?n1DwwukGQG1nPy1aNcpUskfXr69qR-DPCgUn=1 zseDYadBwP6+;~i`d`z}E&$uJOcucB%OtRU^xZ{cOm{|FkXfu;>2buAhNcots-wHHM zJZ@A|o#|#-=@O*f62;$AXs456lM5qQj57HCqS$1(?7cY=7Za_PpWt=#D1(j{Z%qb@ z21)uEb#2TY18?E^I<o@5Lcl+#R7zT+)@R>2bz0A=J^p}a?(2QB614`$&SWD#)10V< zXwe?W&d2h7tp526(RrikMp#~btlAK#LJKKhhEchBLJ04+Ld$u4Vi--x5so%uNiYJe zzh!yoa&(bf?8;q!Q_DnCkG2qCwMMLqjS!A1>7t7EqN@G8D*vLYU^$w#*ue0s7PWCn zjY&&TSD&TJ2&<8CL!(ALBMBisOULP}oet7sFN1^PWnGOL#yA4}`y~@)uYj!p{Kwym z{Rm@NMN`0D@c4Oyb&>P`P<0Zh)h_^q2^N*W{0s}6+fynM>SlD)k<W!bn!ERN^`cg; zcfd^czAT!IR2RBx?&NnN1M3t>Tw|JcOPCU+#`GcAcMIpvWEWo3oQc`!2Bud=<y9HB zoynE}vT^{~IDibm<o^XgMx0@U6$v170*C=$Uv5qXAnON^4e*mW&?bib9#_2|l4~{f z=n6rEIZH=qOb9oRbu;{)VbdI%d)G@#;NQ;?<>ZIC9-uK}$O3aryvlhWDB`mVb1eI3 zMMP#Th9&Kxwf!C|UIab5f7jbr`+*1#;7q(1JM{PUjO<_OS@}h5IrLxN07SD!z#G)p z%mx2vyaD51#+FFI7xqqj*kS^}Yy)7nxPV#v&oKXP?<^4ZjtipOaQ1WW`W^$^G>-4D z5N_`4C!lI;K-HqYTm%IOdHKc#g25^f3{HYz5FNyV!H8HeKIA+oNOlAb_&|eE&|nQT zcmo>z9v1&s?3XcO30#uV76(EshXuM|vp{@q{$l`%P{OVdSkWFDlc61oM_0_0t^+X1 z=N{#MJ@hh*+C-B=b}&Vt!EI=V<<V6Mh6@47e}seZi{XAb8`9laggbN?1M%F2vl;tK zXY>C!+WWJ+|CdToc%cLYzbV0e5E4BDO3(=0+<yeZ`+p9JE;d+5X%|Ys0BjA2ZVGG* z+)OT%fZ?Ajfysr_C*F-60(`IlPX8AlM*orzx_>+Behy3mFh(eQvE2V5V^nm(hyNc# z(_ehZL-2tU%9m911m}LOHe~n@te&hk<*ezhncm{LUkBC9UozVnxgWUWP9}c18rL!O z37R}BR@Y0?bvm2M@E`xAh)q#oy;cnVVQMP+KI~|c&TpCriHC9T)Aw;pm)D&Ued?2) z-n6w^yhv4n!?UL40m{ODuTRQ9P5AqLaQf82oVcz>2gx1P$*{Kf8I^)VAq3iKo6Ty$ zkB!7hh@X5{zLcc2&4@pxQB2+NEe)<M|9;!#l(hXcUi7vA+~GCVHk_32y7FpIvQ8VR zmYCjmN!M=p4N0jc(l_^Cj-xyS6$eQU*IvRsvFu&~BC`it`wmZ&jj_5?3RbAjdq_2e zu*$*~A3xAKba;9ICq<s(O%f$d7KVS-410V^sznzgx-qnileop(h@;Hv|M=UGGf67v zRXSmbr}8-M6p-uB-+JO`<1Nh)Xol;#*a$BhNj&M|7Cxppo;;&@H3LBvUXX*=u7&W~ z{?zL8hwIx-blux~;Jb-vCl1i>2O8QymF{O-U1is+xli9+Ua1?O(TH)$%{_TfkKJ#p zROymi{p-<TO=D}<H(Nl-_kl^hszxcvJJ<JqzMC0eihngYRn;h?P@R2FjMbimzI5Ky zQ|YWplP5kUk}kc^w~SE7Bzs|7p9w`Bim~St)CjCsOHWk59<;SJL|;5Fk9qT}I29Bd z+D2w&_4$gU?s1<fK^{98XJa2vd!C&1tgN;m&SP7JnS2_(Ulmw0zg*XIinl;LuH^i+ zORiTQUUqsTf7hs@>eLtIxj&}fwnrdA8_tV@!<EJH6{nKPo0yQrPL9?wH60e>l-{M# zqbH3e1O!`k@8DS#Gdp&j^J4_q+Lf1f?K*cw^K`I|cubFyA2Ww|k(P`rHKi4Y8kJL+ z&wd$cVf~I>W>2&-9lheORhbEz^NDu(HoW02Ja1uwwR~O^bNQl!xz9o&Bi5OQpcq0> z{6o2SW+Z}akjNaL^l|5NFw}_>gM&Uri=}XXJL&NGP;97R2xrk-e^m@7dQR1?WL9s` z^hbi<YJTgFTa^_Rx>(v@jgumJ@(IcWr>-oXjEz7^CuUhes~IxF^4$yCYYVzC@V9cO z;G~t%m9r)J4I{^`j;WUHj<v~mm1&bfG1$q+SYo}xhQXzi4_+?5%$OeDz7cJmQk=<c zgC#s@U^ySA?E0_-r$Gf0GDpS9#!Cz_>J!zk3T`i+sOCM^@GnXhm6<|gz%+Dimt491 z(CJH`ou&IVxsQ)D2jaN>DVA8c9Hr<43}*E(6b~}V#4;6J`9kEepGya$^W0T@lS@i^ z_)XadOIV96<?>{o&FI~JtZo6JMeiSOUJ@=XE=<k%1W-~C_|0?+8VzEiHdhRCqA~9& zDWxcN-ztH(jbLllJR8}HxAb=&T|au2)jP++pHHu;9@mgP$(YCFirt_2b?}etQXVC3 zMvf@t%{}Z=cC}^8{l{4?aM7oA88EV-ozuTUE#QcpqN6DDy*7ZR2(=U~++i7)NGs(H zx=L-}ps^E|w*JGUnoVFf#rZ}u-_!yRm*5p@PWm5V0(4m2UKm&>Pw7O+tgzC)Z8y7y ztq})hoiYWdb2t0G8q$}$W%rE3i)An&Q?RGk`|Dy?Pa=((@Y9`ilOBg+GA(Zb<5jZ! zQr^zc9GQuMxxz(mSqtd;#N~t#si}?7(bKoVp(Ve7{PUD)8rs+2g^vEyHLuI45G8It z;(x7_u<l42M~>WQr79EGprMb;<*!z!iH@z?%+R3nM_wzZAY-*f{Dgjtwfy)R8ink* z|80>lVDw@wo?tCIHqSU}SjicyQH-)*%cd;&7Oje{xJB*yKDP1R72rL30KUiMkr=V^ zRe`(gkXsMN<-awZ&9-KK4q@5VwdV?wsq6caR#01WizbZ#SxdVAXGfAqz)Sq-mt6(} zHc?-EBMcyuKT8;$6_(L+>0#2xC#G})u4!5SnwR&df9K^nE3+OU`Oiq(*{*^EY$Roo z_>P}C1&OUhN%WCSKd7}}Nk9C^5j#PMjj!v=s@9nH4ce~PaQUz+Ad6U!ut#G2$@_u0 zD8Zh#xu+u`%#jUuPFkM8GsL-%rj89>i4(+$E&!&<R-kT%N=@OAPyT~$@O~$Kd^LS` zP6;7}UyNz}GCcdSC{=%7dr6mpn!c7bR@x-vD;k|=jew=lKk(GPoHrL;uA?h?8%W{H zjtatm;AsT$EnBI?n=@m&=Sy4EchE2cEPCrXWGuI_#+R}X9L`uxZS>~#5Rp8^Khvlv zGVF!AdZlL$uGI#+UByt&IV%S7t5NyL!oN&Yl%3oop)mVhitu;lx~5wAf-uRH(Xkc$ z{)G0Q6(=(N9~CG4d*(YX|Dw-#EwOUEOKy@^2dV!fDp0D#RnoUKP4$(b^~n@WS2I(( zlFu2m`NWrXpzd7D3fF;_Q8EXoV>egfmBBSffq6I>*_v5K&6_A)c2g)9?FjYPar0-e z!U7^#y!d}3f)J3w|3d^d2mfH?{z?RM+_&b|jmVn)u;^Z){#zml0U7*fBIx`ygJ10g z`x~tlmLfJo?x#_NPCT=!_qctQuRSIDfbXAb`~RFz&@T%I7?r!&71t2zL`cGy`WKA) z6QAbSQwCUZ%e0B*ZGun_^6R4)Cwrf|8wqH*Vm7Pdz$E%mjm85;w-{FdkB*n!>=9-| zYgd3K?!$T5u(-N-c-T!AJO&;93^;4z4x~usoNnr+pe6gUdbl`c4zqfuVNosTqn9Xf zjDe|Fp`_bs)X)RxIL=*NFPWKwKi&V*bR*QeVG7>Xkd!iY9j})1&@E-EC&Ir@q(Sdm zL#Slk$T~q=gWiX!`>&>2Qj$1{Pubk|890t3S&m(|<1nE}fn!jn1rd4+9F|Bl=+R(9 zzJ7H$eiWhJB~vzU!B+ydaPB`@C9z^<^MB-VU|*Mmce5g>(xz0xZb+2s-S%uy2r`Ug zFL;oaxrpnbwBG1Et*x8G<kR4vkLM+57dlAEt};8VlH@(Y4}R1O6W~MF*&XB7N?{~P zNxcs_-mJJ%1-jDYge0W(&=+WJc^v_1v31bfTE^Z-KYHhDzY&}C5vIl6v*!*hc)MLQ zC=%>8|Iz8y%_mF?9#-M8_u00;S3P`_AG=*sF4aXqa%lDBj<~wwp{{nSI7zB?)Yp^e zA985D3Ye6)n*6=B)up}-ysI6;&pMzKtIK0ZozIq;v<U3?WQ9IV4&G9BbV^$*6F0A= z{bsX-PFL05yEjV7$skPbt<5c^*`yp-re|)}6<*w*7Ud2{>R_v6)+rGBLA;mMfPKq= zZs^r?g9}V%Wr{|t9(Ujs49&@aYhkoOk;CU+_VxR-Rkoh{*1TDQ$BhHt0gGQcURJTJ zF<W2@+nMNns9J}V^RKt~uf5CJUB^@3KoH<9e$lZ*G{5Bmn#)!)lTvv5rX!zh&P@4t zY_cUYcdE65-gN?C)u;7o9bPG%e%dcBj4CDv(9m_7$GAs7aA?0CFIe=u>;AZSFeB|i zv#pAk$=1zz@@ZhN9Lre2;>#=f{eZF3v6X&DkXl06XYub|DNNGrE4Fpnc(@hbpKMC+ zX>w6Z>oo($(78-LmF<<2xS(4+r*jK9LXcgZI42Xg17vTlf{edMrN-n{=&41#)blz& zJ^cjTWzD+jMv@S=zw2(tp3n<ax@u;dBQ`^MSGtvX6<spkdl0AI%H+MF?SXJJhnMO- z-^JZ0gnEyA%fp4LtDdA{7g!T4G~|HNZ_K?q46?4zzDrRAI_BxtSW0$`WDm%yuXs;a zjdyUZ=#~dpFB7@nGMhT-ShtW-6pOD*ujYwA*&BfpaZlI$Vc&v3cj29`N!CgP9Bmg% zXwHKhtbYMA37(r<FbSp}{^L!aTElLTM82?3E{ngudwl*p%70a}ZuFp~ptfyZ!f|iF zoB{c+r^c5a%Kb~To{RyEO(_-kqKL64Yg>=)cq>00dF?$PjIHE%o_Ul`5Qrki+3=&l z;+BDJ!4V?#-?1z@T3<-U#TkQSV)?#~I;?Sg<zovp#jmSqyJvss<s|7Ql+%4(qA8-P z<$hgErEF?*L*+(-FTedvk<6uOOqxiuV7D<?ge&FH>(A4B^xEtCq%kO+>_Uttg~K7z zKGMc*J<7vG7*J&_%99^vk`tx%Z5A0H2z&6iD(+twC>j2`zpYfdWX5Cz$pt8F{gj@@ zy$Nah&@*u)Jm?}3w$Ko|R2#J4fvg(Lersd_O(_f37ca=?W3Pw*9&r}3Qki-e;mvNG zV-uw8=9o9j*;h0B#aQo848F%un@+&^sf2N}l0f3p=Fl9zNYmD?$$R3^;g;tLW?5={ zNk`WmuhZsYos;hLV?p!-6mzb@KksLV9^}YB$?WGef8NIn@e<L8zAMg7#?M;td@dhf z$0*V^q)P7QLW)GM)<VFuwE?@DNo<x)rfR<1Am<cj9-qM_mL<XyHzYmB+5L#*eZOi5 zyIX=7b5inS^zhhb?i;OMYM0*jC^6iII4!QIjtSp<tveUJzl02_I&!zRSZlfS1kuLO zNljf<NgyT96S~WK@9z4~YxQNSECZFXSJKn(MK-*C8-q+oAN@A>b?CTiD>jvk>6_ba zVv&&_a_zD*2^HuSH5d)wmzv{He9C%5A@Q#BW{k_itrW_6`5Xp+gR~c~#HmnZ3yAMM zPwNkULnX$Xv)%p(X%JEk#cGg2x&B7-s)qdzEhb~Kw<_tKx7_AxVfX_n7CJ(F7<5GR zl=#O^Nc4P|*E5|N1_eeKzhrA5MOH`9e;!Rcc=uDo?xnu4n$Nwp%-+wq&T36Kl*}4A zV)%kaS5^Czdkv)8l)}Y%Ys8vcY;+X(Fc@xG;z)0R{utfMyFWv*kM$4}2uyW7t-ACW z9#nQkR$(jr<?tgR%a4>aW(`to%d`aLzB1h}!^NWCp(-k^a8U8$p@>ua$>g)8iKO+% z>`x@IXs*laJG~Y6q*w?Uub@^zVA=n`G&{w2BUTPw<qhU0&KKD?F^f7X$STu(Dy$!j z)0LQ_>7<$Hp~+4KLzyCUp@&zbsk8IhIV-YyNfhX@Gue6HH}XaBI~yt9zrd2LKZa*M zxP!H+P`O?tAz<x=d;xo!&%J?|{cGVJ*}i;uzMQ+Mh8k`9Q`9f(7cCzy#ur8D)5YBo zdU)67?qCdIb`irZQ5nBCuf+`$0+L7bG^CxVg&sl`d4`$WUblS9WVg->D#{ECw`<gJ zHQHC-gx;{LZ{))h<;-V4CuM90lNj5G8;W@Y82X$q;_}k_?neFdNxiM7q^tGiQ^D1+ zj}5)s7_w>b=@u_9iNsq2$;v`9ReS27yI)cTTwj=H5Vggy<g)W-Zu6-it3omf0h60| z<nVbP-5ZP<D<T;(euHz5{S{xUoRcGqZiKXfOwj|Ohpy7BDhU=c`sO-j^awbzud?6E z5}&2Pl#-)+Trc?=2;zWK`f$TEuF`*xUE6afC2+Q-Y&QD_4nzr4Lv^A?u~?RFDtuf2 zMgE%az{tyfUL&S@Wv)A(FQq1=a@ko`jnwJ=-eKIr2}HnArd{-QQO@(Ft#*^r!Vx`+ z1#B+jJa5sYzu=K`xA5KgEs8roUj#T&`ynQbpwxWFpUGZ1&0WBLt3l@KWhZLX!3PNe z^~4a&=#9ZWUvXtmRHyX2g)<x!(yz&88=M*J?YV;PHiXz4@Mq4*5lX+5c3ZzPpuql? z-9W?4UTMK8{YvILKD;_<^Jqr!%|KArVyzQFhrnj>Hv7Q0dRKYsS9DD%2iK<VHOV>U z(>>3!OUNR8#HNyo-wD1C>ABoIs0+jtqI+={Pi-j1aE*%=DQ(jS@Kh$3z0!z#ciT{` zO)lJR2u#y=qJmTfC=Nz{45<c~=gq=YK0Jy$_L=}k!@}l7RSX6q#<7<y8VT}J3G9Qo zj3#(rBwx=2pUaMOnjz3YoT76aKiy);>TU#I7+1rHtSvAsbcUV@T@*aVh^qZ4gDgAp zWCQ4Lw1$~sX0uZ|f;3#b(idog_ZCo`TvZk&Gkbj?hJ?9xyy=9G*);Apxd3h=|4+2m z55OL&YbN6(lf}N#g8r(_@ByR>ECEApsN>&*?>F=)6z}{!^q_wazJD7%=<mXZYUkf( zD6I-)+-13$GX^0n$yjVEWMn}@#>&ykmSLecbd@_*;jy^U#K2x4blSXLPTIV_#oBVE z3HTC9DYi#W2@u_`Tl)X4(*7^%EM)sPl=k1!*}gYemlow1K3u37l;3s}cv5tyu$aYp z{c7s1vyxrsPa!K(Z|8D24$&U=p4O~_vvd1RDD9L)2E>ss%iSS~UUfS{%U~^cZv0Rg zVe0*eJ)=iD<yhPCtwcom3=6OI4JsYj&1mOA)q2Nx_O3UU={aSWB21WAc8e^MZjH!Y z$)av|NdGt;k2YH&00qWByA;FQM|d=x(euWVy4MAh7mxhVj+DK_z8;^q?~+Ap3@HnP z*_Ug^nw&Fom-u(3OBhL(-O-SSyYCsBHH)#pabMuH)*8&o`S9(^l-wnGLwKFt4Ede2 zp(Zb$M1^k7rP;}xOX*fykGo&u<f}9I9JnxC@2q@caOLOKu6Q&^sfD+XvCWUt-(Iwv zqgl8o##EDen9__`AI#%($aJ)36sAP^zF&MBa>+-x;yYX`{-XhwYktP;mZR4>X+3D} zk|dX53ng>J8LYh=ihm|@z#D-yJ0rKo`kB(q9h;urjM#|6jID$+ZowS2zSIcSje7cz z5JCS6qrD4^{*w?v{{xKvLl99QAc79B{kItXD~Lk>O^BfX9Wnab5JCT1jFJ!-9b)`j z5cT{kh@k&xn)cTaLI1X<CF_Z?)f-c@4ZSL=_qEqaw18tKCUkeWg)|Vpo8HW|GmjN0 z<H2H*V507zEWSp_UR06)LY|bNfbg<T!nYsL2&=>aGk4<dMglo!j8b5xVTGV@*4O0R zctearT?tIOCLcZ_<3|zKopuT?o43?jcfLcJjUHnC>>fHSL&IgdxF04i&)h#yfkoR< zfjrL>FCxhNb)XdMJz1a3^Td+7R`9_cFO0%+EN$3c@J{N3%2NY74+<rIvwoC*JKE>5 zkX$Bg$2kPD5=}tG&1`$6#cy}Kgq*Fb0(WulpLv+)A_TJLM(G^1E_>SSE4QsWBOcBm z=ecYpba@(u+`R3=ptm-|PvRyh`u3IW#|?|^8zSDDw=WY_Hk?Re*kY2e3cq;9;P(FG zgCH+r!Q<>!(<;W)w$hS!z1<D_890pvP_|`$hkL9JKLfp$NMMJCs>78RYAR_9?LGCJ zw_$Ma%1@WCoe9zWTp(x`s(E_efzCCWzV6_{VHyRdg92c(i}$7`3FMjt0_1ilT<R)5 zv>6|LsN^Y?Ke2XA;YA+l-&ng|7~G|JwZvtIe`EmUSon<aWWM*V?M8XGL5<XXD7XnZ z0jW5ZB17}IV!F<};idB9(#-H{#<A7Qy}p}fZ{k(8M`mSp6VOd4)P@uv%V#E}WIwH& zem|=&&2^JEr&?qe{OD9x^CiFper+aq6y0>8YO{X1WHGi&fn_Q85XG5T<(R$$<<r<Q zO|;j?z0b=>f|`bd=y6iG;ShLzg}Tb2Z-@EKXL*n+;bWg`6Peg$do62Y<v^)tjmD;_ zrLQ%(!%W4w`kW+D`?^CE2zrMp@THfyU?s^$FPH3*hq~R{_XPbrG*t<o>kp{9r8*u5 zBxr_oIMhkVoP#G*9w1Iz8)z&pLpWvL51c@Mpw~|x?-<|<jiEJms(b!z6G!;rxY3Mw z8)H=65io?$;o9+&0zSuOM?CdPVZxJy?%|ic<&F%>U#`7;b~&JXK&<z*&U^7xj@O-1 zXi}r;)}Zs}auGyyno6f~;SudY?-*&1GPT5Hd`5Y*-|X^-zB4_?ikR}A&ohr#x9AM6 z*gxhJlY#J~y&f}xLQS&)?!sy9bw+@b?lMxjL&;<eD^6$aWW+twyuyIHI3pH;jz1JG z;}6}yY_%5bb>k0KAA7)YJ7abg*eK;H)NmrY;G$6Z!(1R^tMmG!Bi|Mt5+5}Xo&m=W zgzPVY5)OghDTQ>Dyncrgc1|w1f*>b$Vp$>FF-+nK(F3^SjU07KwHXxe)dDD}JH!O` zUT@8qM49-8%h%jdF8;jy#0!TG$<j<cgPkk6lxh6UI~HVvQIQW0oZ%dl1W$43Fe%xU zk>8eQX@}!V-0m}$kimZ^tTL0zMs@FcJ0z41kbmzSlKtVNn<`E7Ev9&Pwx1N5{-~}2 z0@8Rj%<#8YO_S6DLD`_@4(9SczCcHDeLjJQ&&t@ci>cg|lC?eK08Iu<N@=m(QA)Ck zKA$E|vCGBUp^g&@)3;mzT+b?jE`Hh_qk0WKuyt2R(rDR8n4h6ICf^DbN>8Xwx&CY! z+vwOxk2R;bGJhO3KLNa~0|K@70I1?@c<(fi@<U$co`g$NlW?&%F4ktD5PF0>4)ncv z*3yT6)VMIjh0sh&kIBc!%AFCd#%o@IGgsyKt(}e5__66sHMs~!`aiyf{e>1n=W5tR z|9R$iaHvT{$4&Kdu@TpnRUIy!kxGmbCq|EN#s#s<@)5y$Rf4c!3T9qd@LI9}Oq%{U z?Q^A^CuiQrIR#tB2-Q)GXI8mRMT3o6*cMFj&g&fycM8sq_0A%lZOg{b(hqlgCQn(% zR|vjs53ha%|HepaI&B^@^ae_2{lXcG(yzcFkLiy(E7nK&e0V?asr!Hjrc!yRo>-sH z=*EWlQ9{PY^U&@fPb2oB;K{06D=%Qe>+s1pX$W1nq^f(JhGa@~V56U*7rc`LBE-$S zV7$)LQ3>qM{h!Ue$W!)(EX5TT6(Ytxh2=qN&pwIlnm&l??}pycKcvBI)s;1-TggqO zwCnYW=e+XW8<St}#kI3qk)QJ1%|fT|dJs~1sL8UjuUX5^BNvj;bZw{$OOF2&nTt18 zM9H|p%bd8YeIap7?rS`}aX_!tJ+7pad8o3G`S&71zp7y0XJ!-`at$K-$J5wNoyC@g zOU_t@2`BN8^-ZB@@NPe!m;sVqo!w{R1x|}mXjagtTxhnY@A)YHQ?Gs!s<4<*_X4|& z%i=6hb1P90r028JIlOTs2x8$N(#HM0tHa$v0s6boI;lJBwV2MVC<$K6^>+(wboe6~ z_uMFw#k5}Fad-e+6X!mO2!G|I;C=ba_R4jvpP?OOVIODa^;eQZKJnL&34JO?P}n^@ zC&dMsZ`gVA`xQjr!E7yZcST)Uyshg$^xf`;XGrsK%bdYuWA-OKlq_!k;SDqK5`<Ik zq|cwh1%BqiS$I)#B`Ol7^fyCNS$8+CdLvqX6ku`lm>@jbM;1oNJ%KCB0fB1}<k<ry zn2hKTt&r;TAMuXUda^+3G&-D*y`MvZwVzW+VP<yEDMT;{TyJJtJs-SE4sbB9_^hpe z8Whf6F@=m>IPI|t3>FotUBlH0<Pt?AE(5GV^8*{aN)h7^&T|2JKQM25_`QB&ZY$d| zB-%fX{N(=n<<?K1NkqD@dSlque8#4^y@qUaiI-rX^^#o)rs?i}ZJ@i(?VtP37<#Ot z#9>A|>jr~4zOE#&VQzGDq!pMb54u0fWTY-x;}PsD4=7rL@$;hlLFBbR7Zz^|`Tg%B z^iKdf<M|^%(0>l0{}v#t4s}%I4PMw92Y>?()8Yhh5F9p<nIEAy)jd9|BII%fHr`>S zVr5CC+rcyc17K^gO5cpc@vwiWmR!`Uoe^iDW3k}yP)HcDB^>I~UthLFadc_eZtN3s zM$2q_>8?{C)3CEV_AWD&J(;{)@EIaD+aJ2x-z-N)kq^SAD!x@D6JBeAcDr#SpB-|j zXs6GPgx$%r^#e7*qPZ$+f5@XWrAvI>bdN%>J91`Ue%g|${2tCI{k?MM$lS=M%<1XA z6X)gj9qd58+a$lDv(%v2{|e4d!rQGL)>M2y=ok%{)+12bi9MX=o^(mp;Xf^&I9M;Z zo*5j|`+jWPrmNJqlvNQz55HbI(dELm70j#bGnVIB6R~DFvx6ax|7E<nVD0_YuiZyx zAS=@BVTOIYyJvz89bcnf^Sw-X#NocL7T)D(HxtoTJcA!G)dIgpASx8&OnLwUpjPAI z4c;%anF(@(nt?@%n27i*y(5u3Cie-pQBqa49xy#HD;qXw#nST~9ak;yX;$BnS`?<U z-7H>b`7C*~K_~p$%baGJt@b2e3lZj?9pB5BHidauuJ@OVz<n<}Twzl=MMV`jw=&C+ zt^SLITI_XTPJxlp-Z=P68}scWFX?YemhrJc698NZ)n9%JM^_Z)`EiMez!k%`@;tBS z>WJA|@!9CoPg9c4<pn2~!D(cimXAjJZ-{z*NON2Qk*w7%97%L-T8Qm{>3EjEmji64 zJ3cThEI++~Ar{g#18#4J2f44?tr1(pzl}GH<;#v3$cC$KC$`SSO9MMZ3z}b3rFUQ3 zuF24Yi3_09;ZU4K4B%@lZzkqge^^d$8<vDY0_ddB$2+g&l*h{^ssanrcLtv<<$W5| zcFFs=s%3*Hw5V|!a+i%O_aD^8&ihzO{!L44=|<jz($lY_zD_Nh`Df?uZHFMFqb!>* z9a8Z+g4>)$C-w$PxshjZ*~m0Wc?G7#@2e7+x=C*|Iv{;YfAO)4vLrJA*PFF!jq z^kPK4L;NmgVM5MqcMw@!3?Fc5ttYr=wU@r1hhE0T3b{p_d+^~YHKa;`R3w+fP=&ti z(kHh+ZAne9Y?DE2pDz{jholl?CO6xy2Dt0Ix9Au0asIFynN;{ZKGO@sHh2{Et3to7 z*fZiVys}Uvsrmje-e;Ai5j?NF!=0fJ9aA%v_fQ{~gphXxIYRS7NCf!FU|XalcYbZ1 zj^zY}lqJE|=}WH_KcDxdC+hXoAttlUmxc<R*Y`D7Tt{16?)4i#6wFuuJmr2&@*ZpU zIG7wo<382Jwk2c*+uS}m-_JwDif}ans0ithAd1Es>Yl5{ys?o$4W!;*T^qSbs($ zhzitisP5b4{%)c&B&XvAE70$gGYK1!11N=CLim)VMl<4ine$Hs?c%=s^H`nCoo@J9 zFw9d3T?eY+*7+RGI5cMP*|oBP@Swl13+x30GcNapWXSGu3#{}p9OkyYteV5R+}=Zm zK}+NE`O=cf3>?dcPf*(o?BMRXTzZ$l&!Z%<>Vq`s@iK}3(&Bbd0%xTXK?XW)M>g_2 zhfDNMg$T&KC(HS@wNpT}9jxymBc+9ggmoNHMONY;ktk(UHvB$R^Lz^;i`du+yIksD zxaBo?zO55>vHjg%{3~;Dzp~*{u>&euYb%+qDAYx!>)YBv*4zpPVQiVLEG2byc4vn3 zVt0|5f*6rFBVF17b$4MCcK85&iWdLSVs#x~Om~$<Lg=DF+U)0&J_p{OXR9n7c5Z__ zobs{!g>@fSMbJgBKug^5`!3MgMkuadH~7NXLg)?SX(ADcW0gBpX1r&2=8`F&U8@_y z8lX_`zdtgWpADT2)t$9K#})!JFz}i6v(u#l;ngL*pU|f_P~2^A+3!kkP3n^GW|GLT zQIW_P8kyhQLMM^w&4ryUV7nwY37i&r9c$Utoko-`D_oWxgmfvtCzUUdd=GJIC#dW; z<;k$IcwVx?lj*7QZ3N@;j#Zg`d4dDensjlRP2mBm_A*U;S5->1W%I3Bi?E}l${!J! zXIc6k9?GUiMpXDCr=3r0i&kbhwu8f1`FIn#hJ!Nac&|x*zum$AcK9V`F$znq<e_#d zhUse9M~dr^X9<MK#-5~l%ZZjv+6kr_IC*_siOGA#NVIG}zZ-MlXB-!oYG7T&h!T^K zd{MI2SY=W+K{|2G139r|%I}`Zlq9t3$zGwdFPAGMTDt@L&HH8E(UV@^s`!%3mv5UH z!k}}EzL~#R@ujI}(mE5r4py22$~Q!LNwS-`8P>8x7$6<bv{gUz4Kle;+0*C*dbK6V zTE}H`=QK$RR+;!o6k}>+>J|GxhW*ULpCU+f#9|cHR_C6XOPTOcTuGoN;a{k<w6uX2 z7s2B@nk8(RNpiMyxS~2MEw?u+Ejb7xX4_Vlq^yV9;nzLKZ`Piz)t+p9gcw(e&+g(F zKsvnMC)_)8;jx9=)H^xFld1HRo2UE-xg>>MX1Xunv>iNFo0@J~i+(Qqonn|NxkfbW zZj})oaB4+|cP85$2f531HBrm!F$;a9_v*jDXLy|Swy(2_??)8Q%^$fK?XMhEXn8_+ z+H5U&h>CM;Lbw?$rnEm&?^jPa9*oU3(!%m((NUpSH~2kQ+gK#-&@}vDS44^E5h7w~ zKQz9S<icib_Z2J2gH8Y46&v4t4X@t00MPj$O@q&t0t#NYAkk{h9?fd=5#ClN6~(EP zqw5VgpH66~Q^j@i4qF0aw$TPx&P{2YQ|XigmbMHnda|R!M;{vQ#@CVKj~*-;Y<BC} z%y&b2*nPIg_WK^g)9RuHq;<qXR&Bb$Yj@{w4I1fygYSk6RzsXz>E$HwY6B#(He~i% z^d4C)o$iaKd6A8LlO;Q-c#>tbqP)=Pwm-ebzU$Otqo9z$vj%xaL)v>S@Yy{l_?FMC zOSeDMUOaaBRCPfz|C+^ocM^@`&#jw>#8qTlwSKFh6c7GnekmCblx(@RPL|U;KGefb z_zGK4K)(ZR2+XYAnJwPRZ{G7H<>oYQ5yTwXNO>ve@fMDWIbtU)>O9sR_N>oqqEpm) zq9cq<QRJ}J^bWs`$#Foh9RsLs4<i$nZY`y8n*dKA*^FEAFk-mI;duha@H`Bn7@y;s zU5`_B<({E^^xFRtk`E={>G?jneItTu4ji5&*4mQg4i+(oie@tQa6WZ>JVUGlgA?m; zC$?8IgMv}^jbWoKM@wD;zA7(pLF#<Pw6bL1Md%au1s2G47lx_9#jFc}$~baOP9bqi z<4;0m)K+sj)!8IX`---7r{@v<_<_I-uS^=h9=2PE@<R8JXkv;gAHLnhtDP`7t&ab+ z)IfqTLG+6)_=}?>n1MVx6~N{;gk#d8crVn-e&j%_)hO<vv%qCHOO@7;p$FvOZx&Ch zg|%?K0-w*^x(6Oq{Q~`H`MvMDjYf2ItBWM=f(B@<eTfg9tC2TLGs4IUd<0hLj7J@l z3Vb+LrYP=wHtnYNF_qnF@BC4Lr5@-&#yDJr<=Vo=XiM3VQqlrFb96pBtvf<&cZ*(k zpAv;S?Zi}hG{D1K_KnkKDYD}tPpH)n1}R=&N!kmLg01bn%HGTq4#f~!+iErPEOuF0 zuS}98PYLUx!WP=vYNbv(aWf7oJ{4fwukN7=-AL=9>S@>7$F0V!GTaHzv-i)xY<=Q3 zg9P^?A9;Cn!iX*G-=LS5ujnV)&=%%ZF9J)DMJJLPXh7&q(4*Eimi^9%`k4|%l%QfE zqR;RIj1idi@_HrBWeMqZuK70uG**e3IhU=y*f4n3j9I3}B9Qdt3WbPti52{o1E0W7 zL2t+m&M+c5bQ0v{r!X!#baIT<n4PxuQCl`+z063Mz8q*_RSxrzLnlVQ=KzDrp_4(M zhXV5y{XY6d+&Q9bAhmfs_~oOu{JLAs!e(Vxz@tH$%lp-!N~VoWXwR51B`m^VJ%+}& z%`6Fn(8P<)r-z@Y`2OD5lmnRc+aFe6Z{;YC3OrIr9Xm88w4G?v|58cQaJ1;5IFYa> z_&}gpsN=I!g+RiRZE51t`i~x`{ccD;efmid{EJX-y^|}moTRWan_j3V#)sZ-!irOT z{cfl36+=mN<Z~y-J3sJy^srCtPu+)oyY;ZWoKM|FCG|pW#9R||dn&McW_3`7(mj&- zwmEq_8OTm9=_RKm{m5}NHoNb%Ol2Gy(&dqc2Y>DzRM1=0v!TXkH?cr-fKG;cLaT?6 z?&T!7=df_k!42L-n3)<nC8iKIk9zlktwPe)9Tr|#r2_h0^dBDG2R~4I4s6F^6$<G0 z&^IUF{XzF{Lu4`j$36V3TRr?zC4zc048;E>ZP$kKCxbRuJ=tZ*yKSG47lSJbT<*4H zTsY~|cQna$K~5Lsq|>LTFuq)GR$mzY$>`{)!VIo_M@z)To(arQYMgzV(XR^+o?zYn zD#W@ya@CXkhI=MdwFQ6cfA5uo2DY09&`9_lY+0bC?yU`PWn5~>Hw#mH1GboR{RJ4O z_~MPSSC1=a?#L$N4T_Q%t0F9!Xw-SLu(aNU0)GMHEXSYK*O%^KYgS;L$^l)53B=Pm zegVD3$hZh$yYZ+Xbe_B$knV)}vqvh#-*Pb!oSDKHnwm&n>`uDAY6S1On*14|`jik^ z9O~&E<gvgJ0b8<FY`K;Onrd<nW*z?_{-OFIAP=VrONELzo{;AYDl~9zeNs_cJY?@$ z!%TWcJ{=Jm3$fTd-i=e8)rK;0Jts2gpVUqgRJMOSm175*#}T^G*8Q;o?w#%y^iZfz zV8646BhGWzES9w$^zma06g5LIa0f0;_FR!9J-e}<01;*Hy4-yvSAw?p36sbFNW&}r zpy5D{y_O|-<Z6CLoqNL4`Yb!V>e%W=e#a!r6rbF7CG*)&zFKn@Y~wx#r^Z25zGBpS z#m5X44C8JwqAt4BRm;_K^W7btwU`Xyvuj>zRa<QStJR&yOi+M~i|*Xl1Xv7BQzR%! z=D*L5y-xp&jO+6j8={0=JOJZp#VzK5+ZLbiF|{I6v$F@eebCC94p(`K!^kjsw~0|@ zVn_2Pc+ux91Pk8fg9R^`vRUKV(I@!}iAoCJ{o=%<E1t0K&O>v4Ul&6>SBfP-AbD|~ zkv+fPMBInR#mT$`$(iR2n1<2}Rwna{ZIWj?uH74Ihd$A7yqLxf=nBv=bV<E?WitG2 zgoEgzWVXZId)PcML&ZpPywqN!7pm@Z!<}g@SF*OFZEs42qA4%1KA;z*j(_m0?oR4? z-R($-94|!SqSEs9MP-;wC>l-ecJyt~*YS&s6w&9S@Qc2?ex+cHb@dApTeIIu%az>a z5M87zU!-8@`Yw=H-i*#a)7~nOo{M-=`)upmy5An5o;xJ!$_b~RJS5{@ceAOi^j!6@ zE|J6&TEkpp6>8ge-uKo6$Kbm)c&x|RxB}TBnQ$nh@th!;09OCi%KAwyc9tjF1j>`5 z!`7lg(IKRd-VGj~-9S^@I!QXX?S4}Zu(BhzY(X1o2@Zc{L7@nPp!+NFCB;}m_G}nJ zTgEI0%MnN^xr!+3ZZr*qdVEBtCQQGENbSONa{3HxG7@kB)bYSKsOwQ+V8R~;F<Zsa z=J;BTM`D`2Xqn@hB{Uv?=i6l=6PlMsGvxoIL6aawRuZXwQU7Sha$5M7rJLfSWgQeG zYD5M3yEYJ67md*D6B>K@aK}%wRylg6<YIA!ls|}aNp-9W{y_YBpM1e4oGrRibYg>f zeF=T#)M%M%y6%>3DFth-{iWmVT;H|Y(tAG|Acp;UBA<=Ot9v=c__edKah`+OB?q0a z{2xv5OzbO?lerP-`>yWwBquZ&EEEt>VA<I~8^IfCAe3JEK^2Hi^Wx+FOy8<HSn*O5 zs*ueF;Kxsrlw5+z&s+GDKlO!@9SA19HZu74K5b?2ONEa3gP-t5z7$y}hRq1p%ec^B z@q9B-;eiC@whxv9px7%wibuoPwaf}yoUZAHI607aJGmyptG4@;2h8#p{J9y(9>n=S zr18YNGV$ZKO#zJ0uwIsIq+SL@JFjeB_=N2HD7Oe%>Va^Fpcc(={v{{_7PW9fZT!HY z{=oKB=f+YZ%s~oa#(EkAT0)L27en9%;6kd}s!gn#hVwf8+!9z@%EC*6yXh&8+vzEi zRSw<d+fUpQ@&#sA1jJ2#3iIsq-A5Qzh@$GA_jpMeU(LQI>=8M_y`oMZJj~*Un7;xe z3&P6(YQw+AKHmtZ+eWlOAzNZ6hK_q2f&>-w8)_Z%MUz;^ulIF%kZ#@4L9LT@gg&)1 zPp+3e7SfwyXgT;T%#nJ*Jefct9d<f?0FKFD+KjteHv&&+baJDydom&In07Nkb^hg$ zEPr8dLY;1S>n*-m4ZA0aAf4K2uD)L2?`=>Z>5@Bge|e_@Ys(wTWx(al@4(~DZ*VZ+ zt1bCiSqRshfBIGlae<=<vcO3Ws(j_v3<*5g{orX%i5|q)9MZIo9MYMNAh>q&==MK3 zjK@anaMKfYnHT~qRy<!KCs-opI4Gp){`7T7lxCaLv1Lo?u5sk5EBTw3xd14q@Gv!q zZ^JF|{E2VJjU4d+CL0FKEc`8v@hgS-5`jB82s~+wBNaRoA12MBX{G@X_XmIHLs)10 zIp!$iZb=VZCd|GD^r&2LhOgJm4cAdv=0){=_oTh=JE)thm=vuf(-8~p!kZinNAmQW z(D)E2@!Yq$O3_uoA`~-+DRgw%jnL%exxl&`MXSTX81_u?qe0Ndbpmx$DMfDY$k`st ze@#NGPq6vt_xkC;`$t?|h#;$8GS*?;ijzjq)5z1FVDv{xoP+mAqc0z~TyU!efqM%z z313T?4nCXth668ruy+*WiREuI25FtP4V;)T)+W?d{tUDUQp8ZW*r_Eg|M<>3vhdTR z*3Xfzb5V<Mh=i8Vg-dDl15?l{^_wC1Ykufk8#rMwMK;iOL#KOc-OGAOe1Ej?+D6}N z^oiWuS2i`*>f!glEX)3IAsiQf{b(@oM$jpxBN2E8)Dx7X2ukRj)ap4P9R#EvLH|HX zgXR3sNzW;tyjv1~t!KG~KSijg^|Az5j~&V@Np;ssDAtD!90~47Xx@Lwav1p41U%~| zQMm`&1~D^zX>#E8Z^)1XGrBfOxxn<$Q$_?fqK<y|=KgY!9Gv-~=Uvm9Ya6e;CMX*v ziDB>6(ZQ!M4_h5qDRsQmd^Y5f4qD2x7|%+%BB!j#s8f1KlT1w4cIMa)A64r}2nVRQ z4wD?e3aTDZYb&LZo=m%MJcF4dlio#gLi>c-;~VvlSET%r%lpzljW%0CuPm+XhyM`e zY{`p<sI$TT%>FbW^I^LDXEz2og$2FwXmtW&%Z8hWF|rZS2p0*VK<oCImSi)A!`5}c z?vQQw?t)(3XZ=F;6gJB_urnd0>=1t8CoFLsc+dm4INp3Sd1f|wdhlI%&UEW===BZ- zRqse!7j@*UX+(SBH<pp>;7h_vt;5;vCXU>l;9@kkWW{GIC&6d4LY}wQXdMPusawh? z17>jD>2rU(>ZclIWAO?sU~Z5Lf+ZCAjE&+KPu~jD{{Aq%>-zzEYo67ezPT08t22Y; zVC&ho{W9OUMG+TuU?bw?9e!OTutmLO+`@1P&|He)vVk*PVzZj)R=*^W&4q->Y~FP! zaTDf<o6{2rYAQMP^}&(zfUs|*L}Y|zl+!M8r?r-!R`2M4Kd9iugvRB$_8JC_!gkE^ zP``Ie=52j?+r8_t^u}`OBQDDKZf!I-#kWt)e7hi;siY0F#&=zZ16UC&d-7^Vp9&_k zFu0C0UVwK@F<mDESQy2}16YNcb)&2ju!&Einq-!wR=$jDQud41VVpi2g1wWJCM*vA zp11cjn9EST%Z$wOzCqrN`;9xPj&=0l?S?n4{^ke;`ezH;z*Ti8rl1b&t8x-YqDWjR z2gyDMhopA!yBUwDBxr=(e7gnODPHSFO&k=RMYwq4glya**hTn0C*Kz~84tF^d31es z=78{{G|_elFUAE8>g|-V#6qLodP!8P8Va@7aCTcA)8UPf-dz6Bk6qkUCO!$+WBm?f zQeVbkqG3ie)8d#x3}40?@a$k(T)AqAQ7p6r0Lg;wrP^c1nm85aaYxjbm&D8nW|cTC z-&;CjIQ8^uQGpB6l~nGjV-#1{z38f{3;A|0E})oim}eA4b0WN*6I=WbNHiwBfbJ_= z9Vb*dnTLLh9EYL?>dP%93pG!k7RO))JcuGg<I1@wUmlgHlQ^nhqOihRReaO~dW*{7 z8qQVuZp^N@!rFS+Pi{GpwNg}>!G)svTRVGmM7v+3PWk;YmiYOJ3eeXMf8i@@nTOg} zg*z7Ywacl7bG<o6I{g}NcZ6Jt8+c2tEvv|^XjQ10u}k=5<irecvqOc%axlxPI0h6M zdzoW%t@ZB?kExLOGXB<%w+zwlg6p%kqK`23JwvxYN)dIA-Ptf<2lSIKjS@zU98LC; z0Dw&2aV(+7!m*a7qK086k}tZ<r6WMx1Up}xM^OIqX-O_t`D_*@*HS;%NT-SiU_lvb zoQt`K<!V;&g9{KqyZBMi?pNGoUy+>ohgX$rfj053+_iSdHj-gI?Y2alVMat4BSjcw zc8nyGPU0q|kX?9Mm?E<+m|_uz9(S|x@${{bpyjkzL8tO^%qb9_N^2{6j`6aMAv)30 z+lLbI%J+;Ag+QYryoiqZ$;O*(c{C%FjkZKl3ma7R-A>0+VG-mAlcR`RJpI^jxgzpT zAN7xFaGzVLj`Uv!PyE&<tszNwLqet{uf6Eo-VeVmzyrJU1pVIi=;>)mWPg`qsUMlJ zM^DhHF}ja|bsMxhmRgUjg{|p;fCm_?z}6Slqu`BllM89Mu3K$$f#c7kv*qu?&{2!P zM1^O|H;)rC$!+HZy@)JEFI`V(TX`W}a<}M*Ccj<7gV90Gy5#9fn&2WTx1{zkC>FTY zX5yI91FrkVmML_`#ygMMz^Rx)D~jOS2Csm)tNP$<RZt%RSnz^^qKO;*+m3E+UPW<q zU|Bm=!XJV+Wp4D!ts&=S2*Z>YpFw$U=dz@j`E6zeZqWf$*boGE4Dc)|fWvti!bICA zz5(x&Xks!bD*-}Vs;LOWcTRB8NyT~Dvn^+6;$o1byNtU4@0}@tkFxi#cXT1zL<r2~ z;(WFc_m5kTB@~hou0T{G(U{z340{@LO?#)%H7cpH5j#mUsge|76untYqITkxjkUd_ zuUQUe1juo1O^Xp4AGlE#YEsa$jd)MGij~irG1r@Vfv>;?DL5x!a&580K#LgJLeL2Z zXrankzGKFW8n+iC8`^c!c@IU?dk)uf(2TidzTJ%Zcdhu7Eo=<M0ZMkP{NbM%02-3v z+z2b63SlL=DCIk=!oyJY_@t483xrWTc2aM;avDf6DPX`3@?XAjwQdfiTfU{uMzX9t z!e+79+xy%(e&(<daHKemx3|A4<lK_R=2@^@#0Z^5wr}p~Wnr{zgD==~v>TUBs!zVC zV4xzoq&{^(QKzws*{$>cvGyPERQ~VdIR4Tw?lPjto*AhWM>a(v86icI5VH3kry`>= zD%_bTGNM9KHYH_cb?k9uXCC|5e$V^Rdc9w-_xt;Q{6CL}&VBCdc|D)c>v`?#y3aX9 zmT|_{h8iA0*B*yi%XBwV)sIQ}zD7&CHkeil1>od-Urz{^>$L9+Gu$g2H9V9v!1OvJ z%nCI0KYwaimerZpK58O#UGK0?$XTD4OfTkiMWZ@iTfT%%T5hhHw0!>t{-v9=JTXm8 z+A#VuyKD|m>&#UOdms6(8xVG#rFPnt@OrP^QMTG}I+ogO{LP%X%O9zds=uROlpJTI zVl=z<d~cY4_4mo$^|z`vTy=cBUJRI)ww%VPt>(QrD8$&=!VrkzukI2APgB^LRU8jm z^H=w23vT8wC-7HCq^h`UnO=H&(QLQM&r9}Q!8bcngavhzYF?g7{{lt)ynOTDLbR{d zG~12-H3OMXdM5e+f27_AmkY$}ZLOb|C8@2YqZEIF03w3WZoV}_;wLP4;V^O2?Mr?< zKk;^Y=Evr5K82`w$X__DLEd&^h~jj*G@6q?lFwiN>8$@#9*ys_atj;kwzAlkuA`U@ z{jVm@LpEJ47w=ow5~|*tm7D`X4F9OEbkMpL8Kcd&&0a6Pih8%8|JAR~>wKq)Q}3e$ ztJpVscIU#Hs?FYhSX_<b&T+`4%<+Ev@D<wcC5iQN)cjv>aE}(>`-)vGy1u#6fZkj% z6iHkmJ+QfmUpiOF^nLS6#L0k=ueRvPIqw&yu<7@WUi4<!<}$ln4lP_)an1J4=SfA+ z)}@7r$6A*)==w2XPG$93ZBps8=*@At&2_mAxz{xUvgxzSo<%wvV?9kPn+@NyF))T5 zy`HzZK|o7y_Vo5{%n;Z&tBPDZ(6okvP?@sI6hFE&U(f4hp`2A#D~ntfrsZ*0{ld_z z6}$W9=r;*IF-@Dx{brMG=4cU&Pt2mBAueOH)P2!yyd&-08b-*r)z@dyZLT9NdIOon ze&2jE8%)aEp0s%!OzI|1GM$+)d})qJ9Ygk8T|jq~J!z1w8_5!~YuPMxU(9NKqw!`= z&anIC`pSf19YCCJgY(AF`qHan;~iy_a;swaj<TZ(>#@rdn(M7^!jM5j=~aXfiKT5q z>KVM{Ui5k{&djQ;Z?5#pRW9u_#4Rjru33b<z)!9`8yiv1W0$Kt=1U%jt|*IMG`oop zSTwrnl74zM^?a|(XyCE+h!nSVirxi2@3yYdOgHTMS-5met0Z>HQ><sfws5>>zOhK) zM#e>aY9LOHx+Ip(Q_QF3Je5JFcbind0X~R&UBKtN#;Ag!6Z=ZcY9nSkaKm42L6nf5 zRiNQh=QCzCrHxP3D2bItFW9a$7mb3uhK$$^@*GS}R{HXK7zOds7>}#llV;{)k?sn^ z<7MmXBOoC4+AT@cuh>Ly&dBM-8`aK8%2gGKNL^X1uMb_cy~!T1_^GjUtdrVc<y>+u zMVVN5M?fFF9y5+T#AZp6!z~vd(E0>sj(tKo*`w>~X427v4l#8zuRUg0(IIcfZG724 ztlxxSGsdcHg3f1N{n(@XmzxPmcgSvgG5Yr(b^oG%_t&gq+|lN*L32(=YZbefZ`87* zgO@6;UM@}<Oj;I9T3*(;dHMcv`=dS4aBqC;a`CqX7<&glm|<@EY~ewssag@I=t-M* z=61><@r~`|AwHtvHhvzfN0|uOtG2-gWul!6?yPS~6kfPqzu7{+_f@A~qE0N06PHzs z_6%>wg89y98|BHBS1^?sbcjS0MwvnUBsQ>t8N@=O278)8th<Z&T-R}MoEgNtr|3o- zhVsTc&bQ+PxEz^)gTEsa2=G30=m2_QrPg9JXWXqPvod*1%LHrYwHVOcP7xB)*iP1Q z@=0EAk^^-S{$cxq(ldjwHcjT^xt3%Bmux;XX2=}8@|NNRU(O|&1MH6s&?f<xn=|E@ zCOt2{$o$%vV_Nu3bolJM@tLb3rhHusjZC%bug4qcg_$3A64$Z}SwH=59Gxgif6KZ} z!Rp9g9hsHhOnXitNR}2AcMUcz&tNm<TD=IFu5Y_t-@f3>ePNKF@XX`Z0goRnqM zT+ZB4QK8C6w$p5A{kmCBA;zL99_n><@LA^bA&-MuRhhL+io{&KOx~D#`QUu-w|KPs zR7WPyC>mc?ey|$kz<R=#8~xd|BLDvP%lk%ob7a4SdWGQ>n6fxOAFasuKj=m?02z0m zdax(RVY4xl-Ac;2+h=~Z$(?XKlkIce$9(sL1ge2fqi)Fu=L20wbeSz*uhNT!rtYcq zypM~>Y;8+*Ua&uzvPa5En6uTuuV`A9F4N1t=gv6QRk3e{o2`?1Q>BL}=Sr+w-$WHT z;Cq(zR^jjfhyiVaPj$zece$5T7P}3QO+35kpc4>rw3aemmNSD8%9oKP(&80((a`)3 z!S`fno6i?7G2aYXl@_<12pI42<F!(HOhyPSG~n#q+u|kW6S46Qmn4?*V&ScTflQp* zXnB2{YS2JQ*)<<gb~W58uNVG_s$M$24!+_59iXu5_Nr_%6Z60mX`(g3tIgmV-pzQ1 zdEl2ir+M+LaJ&P=NhVb)UQ+F)1n;wfI7qXm=86eju+3eFL1$%OY!*ewvVR@BT+dt2 z-LoXIXRi3-IU`#Q1yxgq#M*4X1kK%kn&qpjri``uu2xF<Y4%a%ibXY5?Ui(l{0e6> z=JW))ikIzcpSZW(Qe~7Sgr0zY__!-Xj}ECSa%A>=7t-c6adIB##(YoflMbWSyCY)B zEB?>(65W$lB(?1nI9uAH?U_9h4*ft|=s8tFc^9x~;pBd;l986K3tyh$=E`lpcZjq0 zxRp@)xM19vJq_)eV!yVmbVg*d)u+zOXxgd<IWey=4SF)K#L(bRo>8vp4sR`MC{GbH zxZ>L&3p2HeEnAJ3sU^0NeJ|HxM)iEa4N2)9vD8b)G))9$My_<EvHPr4S5lScj?l-p z>ec#8OSLQYvL1myScB6vZFuv$WfR3cb)DVEEt_BGY9yy=t)oJQMvy1jeMH_1RP<Ut z@e}}Uz`dvP2U;&>vy`s#Lc(SIb<P&AYbAc45Q%qxNC?3;`Ls#3UnY3CWrr=$mk1cV z%2FF0>$6s2a2>uOA1Bq`_9AQeS+y6s3J3cKL(Jsed;}cE2PcJ)bdYNGVlm;3O)<^{ zxB8~R3vLL8xOQ$#%)y&CA-)|2IJhhj&|SJYBg%<eWl#P>X|eus{Avc8^wnD5LI|S+ zE_i7yu*DzM6CgX(b>WB*U_{hJ_RGi>fn;|16~>Ctr+^V%m72AmRv!$WbjGi$Kc6{# zrHwMZ)m0&5p(orjL@fErNL}@Xl$d=KhvqnQ7fRm(VGo|%U()8x%#>pJ!cn;cozopY zM(1cEqIDj>B1vbbppcREX0EF-@AFJySa(3uYK*PIvx`1rG{^{{*qxaPNc>Y*;}fNl zkp?@3UZ%8XtH<&Z%?WxyWA3*62a_IjHO{qMo@*K?84#UUHx0QWxKI*pQ}<S@cW(Zu zM(@nN_5gUXJ4vtM%GBo)w_Z2-5ZK-lqK7m5puI;4K77r;i*c-EAb4ziA``LPXS-X! z=B{4Ceal~cLYM+)kFtO`REkEV68jHa(w|Rtep`An<wf8d0fYAF#}p35gl$>%-oUdy z7Wf+#zld1&y9XzXubvo!&j&(``UXF(wbbweTgtr`!pYWpw#o|>nk8=1nm+M83ofp0 zZPDLKFd+jj>~lCDxmfA{<w6K+mmG`BEny#fi1|>_M2U&8X-cWsn^y&w#=H_rheoB? zx~9J-=%dE<YGv}l(M$)4v`{Miq6MP>$2mZZG(~S*qFj5eS+a;cpd3VafG!#d3>)|t z9&o@K-{kmijL<FRVTCpR3Zc%GBlGCH1s;Fg8r_w8>*|W$hD*8MxpDSK!<|aYFy+M+ z&2^6*urCf8Rpc&qMwJWN`OjePDL%dB!hK=%Sw!gtfr2}D<yw4a|JRJWCwb>uVvniP zGUl!acoYZtzR#I^duc4{kwndvbbZmD^ijo-<y@e&<`a6gCDT>kQiOzqh~h>&j|-{X zn6_rlA|wT#!@Ha%)y&90tWXm*6mStkBPtw(Sg)usd3tI6ly!Vcj~H|1xNKZ|?bP_a zy-7ejFL3*XkI7^yk|G8ive%exApxD8piyLZQeBB)!0iV-DwiMp4<=moZ5+`~^k9kM zf7gphFMOLUo;8ch)j;{vyFKacZkz|0g_4a&jD#<yyHAuH#;taoyoNu4U+)|#`QM?Y zY|#VHuhUC8wPO3!6CW-GhzA$s)h_q_l^ECFnrruZ+!k<#JtH3Yw*MrY1;4&9_G0<w zEG`R<cY|1s7|PxU9q=42D8(FO|5qXfeh}G1zz+RyA_?ox%iBcSx4};X!N(vB*x;v* z5F5ykF8Dyq6fcKx1wca+C$==SsJ{ebGqAvh*g*vB5D4)oQ=5iqfq1ci1CrUuBovR8 zAZ}!=q-lqhMW>ITmw+n>s)V%*uD~LG5BK<BM-%y9r^F+tWTRMP{B*uyVg1xBF`81F z;D56Tc4fpSc&y1DDWz|5bxa1`w!Ntd&<F<LjU6Nl@na=kz8n(QjNYELQ@K2DrCsPL z9{crfyhJojr5Czn#Zoq=m=O41&GHkzcptupu(KK#a)lUZ!b&tgdC7Hs9+w#|S@q@c zfI06%T<1#JmDy6pif;cnF*x^{0g_g1d#**TiP9H@spZ>)|KvA+9UX%lotaAMD8YT> zW-msD@O@PR2fO<~?C8~2pmO{0tR-!OB}(a?87$k;I|%26IHzEomoo5AQzd1hAbMAL zDG@|H@R}K=%wQbEJBAVh&^UxeiG;NR!bU3+y@8LJdQ<H7H)#-)uK<E?Dd3JL7!AOs zztps1|2MbQgSag~B;rD;ekDAmm;qwC!;Zec$=%a71ndNuZiyQbdw;wlnFMv~oCTro z^XPXXe<W}Reg08Yl#a`KcSihm<<7qcsYL1H8)$`<<txf!Y%gX^$Q#ZX$h4#i)~S_# z?H(jvDoi3HS2U9_JS(U7m)Ac8ZpiCB@UZATGhdy2${eM)(+IWhNWnT{)V>^3YAErC z&(A{5oLO*5y=EZ~+)<y(9O8KGjfIM`##QJLQG2FPq~qqsB6*WN8(v(FL1HBP%Mt8U zEHRT1RBOm$sT6$q?z`HkrH_ruWomQn!fX*fy8f#crKAPdEJ}GRZWMhD7j{dgHc%<m z-WYr>c{wKQ^szGhqW4QF@LE$-yv~miY-!alPib))`l>N&&d#T8y!N%zDgKpN@@SL? zY#067rdp-v?r}OT!3C^=T=&A8c-BdahNJI>-b8s#>znoBJ>U=a5Q7oQoq8y08F9Jo zN5L1rij~s)GxiGTM=@*p$T#boAqte9_a%I6Ts7ZR7WmuJ(ZI_+Z7#JB5PL2$4M6h0 zC`Kgz(>MDmwO7Zqj0pyI(K2Nttca)BFW^+W26Dby=@l8f8n;yk{kDQc(;()r4FvhZ znXB<u!)-4!*{rbf!<=w+5X4Si9olWN;%n~?PX|E<sogcFP{eGxXA8&>3<@t6SSA?a zfseaG^n2r^>bB#0SrvTmCd5i6NC3`9oG8tMR9-qiGO!{%Ss;>u@$C4}heS#Kq7kyd ze~prD1iDh*8zbwxR*c|F?$9GNyJWX-L@y@N>hgWd%SE1&MV)sIJ09WocE{fK60x_F zv|Tf}b&na%__W`5z4~sdjsrWX?S$arV|rsnR}Qp26}?V*iLpiZ-RBHZ`d6i9wd~m% zDTghWcP^%1Jmb@*+3V8m#=2mjcodx=5Sj6Os6iw}Yv0?olWP|<lA?M8Fe+%#=AzP_ zffvtErUx-;?bGSKt&ov4CS|XD_F@m}?!~h$N41f+$C0;_TFPgeXXnnPUp#wM4ilkr zw)ryaf{v0;i>9IJ#h#-GN)JH!@s@V^!x(GIRMcl`j(g7w^|{KZ^Pe^Md|fJG+^6Gq zesDzhWW+!hx<s^jW&y`Ew&ZI^8R@;c5US;No>NEDC2zklf;LdZcLpr@Fw3l6bIjIa z?OaSyM$w^J27M`&o}(?8VfB>5852>TEB7H-GIArF=gwY?zev_1q4UM9N7n!1F3NOe z<Xm~w$fBz>T9gY4y^rJ%Cj}6XoPoAG2L=|73M6x7_}<==elNrD8yGDee~y<ND+*mX z_6%W$&P5+Gs`Q`?{CfnMRXmw#EDga%SSFgxHKwLiGWuMMb%FQU7(;$|#`Bfk$H02F zw-8>^i-WgQQS#ueEwam>Z_)n@{tqal<@%_`2z8`yh{L*6%40e0esqA2nqumg5iadv z?S}#-(e@ijV{Hf9ga&1oWv7(%24;Hi`y6Qfi=fi?87=T_$?#)`eHNuXe!uM+!-1(~ z4`q2+*)AtSZhrm)w@)6M0DXUd@X2+D#I?M;=yddzC3pqCE64u)aKvV#!iE&}a)Wfc zp~sDockQpjRwndAH_g*Z(7Ee6FvbgFMBDkuS8uciy0Uq#j^q<kKk6-9!?GU?)p{!` zk!-DlWVQP?tL%x918pXrydt@kUe++NyY)I(znHRG(f>#cA7~qK;NkA0?Y1rs;=`Kw zWwP;QkdL<szFs|sc3GMzjhB;3zFhEIY(xL7oF1@zlc+jG?yg>?PJ7BEG(_l>My{dW z#_K4V1j^C5$uXzV%TLcGGh;3MDH={6vnY3xbnExeH842EeZif|@!bA|TbGg>NpAW> z4U^YDwK<W6wl#)PxjHth^c*>nby3iTF3F3g=gx7mM~mJ4^Ws(o=8nFj1r0k(YwZ!Y z!azp7e(~7HK}xvQ8+vkVee?qes!fC!Is-bnnao<RCIc>x7jd>Q*(txRkA0k|^43Hs zVxsxktI7@Ip?vtG6Ld-mgic}sR6@u@!qk0&uPbJ#<e%KhiDH6NcrCML14V}i_dU|p zmtVjMHB^F}2RaVoVQycj8ojv@du|kSSEg+EHWIv?QkIzpXHUN!G3i-;%Cx(Cshblx zeEF0HIdapl47@!{x!f&L7%A0c{9Wf~bPqJ<Wne<azL;ZqS+heYUC-;K$FdLmSf<z> zcGgJLhlcq<DG^6%ew475>36=NWWm68K&K)TB(ScW2Ud?I8xO#;t`IreXDHUO;E>@% zhi<P4$JJK1f{@?40dN<w8z7WN>;@G0Al(3LKvrSaxFa($@D)%Zfp6#}68PY*zXiV9 zNl-$=U3veR#>Ul7wsEw97kdARtG1(3<}bg{of?T*UU0wctp?v0gRKG}Hgc)5tHlI^ zW7}<uh6T4F#~EVW8tN~>JMR0vDidPZiqOZ15gMR@2;IJoh6LyWaw45vL^|&ybl$D~ zM9d033zo)Y@s2a4e>8WzlDePJj5yASRxN-RPTbHP#fSn<A7A#xf?RKG$oUsx9H0XO z`WoAnI_|$!>Uy=G7!<?1F7z@?ZdJMBC@%II&^7^C-0Lmy?@a&a7ECkYtk*NR&jRAy zC1aS&Kn$|yw;L;xD@ih*1=me&l`(SuXOfv4J{Q2=bzjDsP(9~m2ffar3STxi9CfvB zQCXL64BNSmx*mAC)9L<Q?^eedQ>MXdUkUN%#FQ3&^h27XkO#3hM1=lcER2=#Bs?#z zZ}vI|vP<6X^9e}lTLjPhjC3H?0uc_HDTj#76u5%eOaVwpGsWv%gImI<e@cP6&w~^Q zcOHql*UC51T)e`IXQMSn_dFIZ2YnGzYWNaEI_QbmDj51*-9(L9DY|Z;?p-6)R2Gta zU;ET>`D4~nOr{rR)<tNMS}(neWSG=4#rMlt+DqT69Fdcg1)nzDn;m<74ObcxR@a(b zdziD5TtJJ&2DC_eLC3^hA;Se1w^t`a;?)J=XJ@bLhpiu3cECRzzczmj>tYv?@v7!W zFUh@!Sp#$p`(5mi<l47qwN?BY0U$aX5)tvF#E}GsEH7fiq=$L#Ibm(t1+R}Z5Qhp0 z6SCulTVgxz(Bt)IM*UwB>e7Yn9wMlEe)cs9ueSS2J4zSehyD;hY<&}w+7<7G_kth# zLCkxn?h$+uDSq+lU4_~2LOdj1aE~8oTd%_2_7KbeZa?_W|JpY3M?019?8mmg^T@V* zSAAdR{YO^ojt99b+ITuHJYMcZetc8`cVyY4QRXOubydk=ldF(N72(lMXVBHspJ>mB zT=96yPPSt4p2`no=6jZ34)qfxt4oscd?WBNThPtDa))8)+APuRiO@gNRj+fzbd~Uk zn6ARoNPEClXmquD8HrcV5x7hi?z}uGJw1hjJ(|6)<pU(py2>OxO2EX0UDmbvty$@8 zWUkIz0ZfO|u>@vWAM~!tJ4`jVtFhp!w+!xpA$F+$EKGh2Vk4^&V(=+rmP0BB2J}_5 z&M^jJvTAT_#aGNX;&5h7c#dfSXA9q%lB*STppS~UpVE4N#N!lCnZWl;dqdc_+~<FB zOW&7!mVS$(KZ9so?WZ59Zw*e07Y?}zG48p#7RPbvd&<a)Z!3%kp^m2iHQ}eWxb-$% zfpr`q9`u{me<{6?UO3V+DRFCPxbly+3L@3a558cy*Ed{fprcW^;&I?WvB0#b=nHeK z^9hf~LcC3*Ia+f0?FBl53p-ECQO^(DiYEmn$fa4(_L;kXu6KuqF|h_g&+o$5d>SPB zKLFM+4*T8zF+AQ1I=sZ7bHanzf;Rq2c-&4TUI@TJxAuuYQivGS0xK)F2S^C@LcB6^ z!K_)?oxk_#w_LgLEO6z<j`NS(*BTftm7}G;j+s+0MGh|xXx<b{c;S?`9MjTbVpE7M zu62vi<pJlIYkzoIaN*4vIhFax{;WLU94BwTiMnsNo5wAtl>HssKX+Sh^2tU>ZPk%P zH28!YbZAH5D_GYJ)FADWurKImz&or!Q-eXSNwL_iH%tuS2_t;U3W9b`t<DU?>Q)ff zu2tV+517gwe7Iz0_@C4OGz!3p*8QKc+YQ@6;h?D(e|>X&CUYs~7z1W@h^tWN(pVt1 zl$T{nY5KTVVQI=6RvF+9Eu}3B+-ESX2XNpSFQIIs3%$82m$|u&m)o3cc=36i-D7-x zIiaI$6NBDZ$U4#4@DPorUzuI)U;4Tp!uff8Gvg)Y>_Y`v=c?D7%;D(V!kx>H6iUB` z3-J!JzxaCFyN=V)rOM$j7_#P|8q}v+PE{z44o6S8Pz`!fEoUl(vVOg_W}@%PA?H{{ z%{u$he{DeJ+bQ4SaE#<&8pU$u(5G8#9=97f@Nze*Fx-Kw-r6*rn-Az&s`RNmu$)G( zU8>|@-usaEK@7KkIGVJU>IV4eYvDk2Vm~!wPW8;%k-WnD5XTM@OkP(AL`Q<=-pD#t ze4^su8U`(c@{%1J<5D%7;WLs5j<Z)yQg0Uv47TVwH!9vY{Zi8CvFW;C-FH_mN%f^^ z^4PU8LyJ>+j~g7y`!2bZ^S)WR98*8(?-XaRs}rAHoxTyN=p<Fm!p9=3!)Kk7j!r4) zRzFfn5qPeJB32qq<<EOu?NE;Hy8!0;fw@(ayBy=}+qJ>m_>IWV4pJ7~?7eC$b+<MT zzEe9i0S#=Zya69I2&F53QsZxvz`Lj4)lpnMh((>(dJd%r<<XS`LM*0)4jaX$RdeOX z?+T^kx{Wpdta+KiXv|`4DTI#8_c2A6v>JWs<PEzxnvPi2_F)Tj&Y%sS`+z?=?ne1Z zjmMvCrP7)C&W!QWz4M4U;?xz~EzZd$7M)#(y>Yus9j8cPj!UI={bb|O`Ht=#vY#zG zvfnw_r~pdGFCL?}u{v&WX9!Am#-${gulMw?PB8XNvZIAAvs2!w?8kmr)KqiM+mBD3 z`^0kaR-UXpS!vNOqk<xPI4&F#KCm1jKwisC$A{9o-rRDw%1-sPF763z9}dyWIKH6w zPq{qcAE2jTVBp`Kx!Y*8O+3-4{laBBqenX`+tR-$d_H%3`>^|;(BY(!^CZ7N{oIR* z4_0{yu|O{SQWH|uZ%ZUTg!epzMA4R9s#@Q=)FD-h`27c6evJ0n(5MI;NA!TiMYh&8 zFMe^<C=0W2&AZ9<YZ_UJZ@pL3*)9PL+w2M>{u8|opSS1QXd1>X(N1dh>oX0x<>FfJ zh62JH^dsw48@{eoxftO$HnaLz2@bI2V5K}w)W{CLiVqxgi|Dw$CurrE?qo|di*r(u zMQ4vV2R&Sxq46JDeox_Ws>)x6@63aWupo{j4dm)U3i7-Y5{Ha=e5v=A&$3lfJhZ9x zmm$1A7|iZ}S~tNzjz%d_wcUySv+~+;fPfnG7pF2Ue>Zo$`dzPUj*Uu?^(tr2PQV_{ zGBQ#l59&exfy->q=@z?pnj|-4n>$YO`KLWZwBwNtS>lvMxQ$$Lku<^t8MSyDCm`rt zIhwP8@#$&lZ*0`Xr9;$#@;tJZB6rd7v)1PWDtPW4tAAnI>36T-#77Xv;q6`Zd97>S z{n&yfVK{T;YpV6?h*|g`3NIx=x!y;|2S0?NlklfZ=r}!)h+_;PJ}!V(Qi2lUR{}5j zc@6k@G;{=}egbjsD0hR)k|1V*zat`KV(sO*(@q<(`pdy8kFeeogD5e@@9@KD=nMqt zcP?kspyPIcKSV=}q-y@>)aPEq=Mx}3_**2jPeP8UErTecwtz*p5FTSM$n$|a;<25W z)Nc-=p1<&$Hs?MhQ8%KluCSR|3pd6=0`RLRkO14SO*H8IQ_}kUKVrZ8%OnhsD1*%k zwl=em2Yx+G3E^GbuoFD<7&^eY(@0k-0oy$w)}o@Ju$f~ujB>ke-`a9kS*DKThzup4 zKWrHVoq{c*AP(-nX_TbV{j}hRQ_a|2M)BAS<p-}8lQa|-lBcIJ5;v`a*<gtI<nl!z zOv(leQXot-^=IOEy)8Eo7eL2F6!gzAZeZ$63D~{zJ=ibsmneu?hzYUx={R2UaUh8L zIK@K~)fS(Xf#d-Tg5nexQq&~sj@DV&BJ!&}MwFivJRJv7Abj9pi6O^#W4m1)nN$u| zzVob9Ld+EqJ8B``R|lWYgivrpBqYMmTh5bK0KU5((O7M9;U{r8(!{azv5qlbG1zW5 z#~5aAXZYz8ND8jWf*9aU@WMR>wmszR$b>iu88w=ygaA4!jc16z@&axSoDU}hU(JH} z;HQtFV@DdRz~aC|AgeK{d`AR$|M&vRMg1d1NF1q)3ytj8xZ&52v5jRDj(^(;9!Q2b z_PG<e(XFkaJ%WmxK0%%WKaGG?;9F@BMA8nI#6t|MkA_h~Tf7)Vxs6u*e&CPX@VgjD z3myD0KaHaND^O8ny-MsFi$Jx-HH1gvPBE7sW(xq3{=gVS2_r7mF@~dArtXUc;+LH? zi24mQjLi=5w*_elsr7)L_os@tJsvoBIkpOk3)L2PE)Y;6+t!TTg(v`Q84Gdo4x93& zCHjk`X;J_N9~9W)!b7a|MPM3pF~g`V;vw?NVj<QYJGTXUawqT$u<T>#0h3dkjN0BQ z8o+3tGzy?C;zScgEDx4V0MfCJhA7#%>nvo!u~uM%IWK=SzdvAixL3@U9)pEAFpvza z8v)UviJBbt+p)dFvQ^s$EPO*Fz%Mi-GLDl7ao(x;OM5sSiK5_<P@@@3FdP1pOE?|G z-4hKsCK{_;&%EW&0h9iDn%bY-tur8?PUI^P?UEPxG!dumz3M7~ol}^rKchW4ggT+M zrD0rY9-0(h(skxBSnnzD>kC5gsU+wk;=*WPH4H>XPdo(X?}`eaiUEEMQA@Zq5~4s) z6{ZD$VBMnoH{>UtF_OT0D60DvGLa9Qn2Q@8S+hZ$8E`*uGLOlRS&$;el$Zd1c;J`a zd#a0!k8vd@m=P^vOrq{3;JjzdX<bhy_2(o1H1Wi?$M|z5(U#m}4hD~)PV0^U>#VXc z12SmF?k)ccPbLD|a}%LcheY?uYH7?h0*$bxk?s89X&%IxwYHpgKkpRNg}6ufb~I#l z;5Q@ZB!EQ$LGE*KMgsWn;IktjN%;5^i1tYE@oJ(&*|u!JgTN^ZV|!7eLnolp3h)S@ zi_Fxy_a(tiu@Dm)cp~138Po~d?KS_8?&XwJ9Aj307y6G4egr+>7=ePIe`v_IHr9w8 zLfr6{pRjk2iIoogX^d4M`uxyNra|Drf0#F=BU3!^wOcApDzOz*iR=5tDH4OKx>Ikp z1wT=T5g(yNbVJDURGw@=Bg0T>9w5+dX`mHJB}|b8vD40>)Fjj%L~Q9%*lGS{Etup9 zqy;;~fI$!xiC*xBS$ey}YjMEC+9iP$2@wO)EfE7J9W0a$k#QuXS=3k<BWZ#Jh(>7- zy#=-t1FU^H!@BKlnCc01mZTQWih~&Tv;m5Gsx7`7x=O&APk@YVo&u|&hzE*e7zt64 zBEGnI3ceiyu?fm(U?Z><+mVGBTz~lHz_g|*`d_Zv@Rv)cBt-&;M-S2UqOsp*1=dE3 zY8kzayv0mNm2}kHy*GUOBe9h;$9)c8>zZ&-D17|dp}0@Uw3tn5<#JTE=q_vFYheuQ zg7@2)zKSwtRR(QDnp#OUG1;kq3<%ALna-!^scxCt-!T33Sq884)G0T4(NOb)jhZ@i zY<U=|V5Ulu?vdvi6k%Qs@#0591{hnNF1Gl={uWYtOrI2@Qhltxfl(o+qb}i}XC4Th zWziAsGtEp<ZxV-9pMzgy`fhlTLvD5dXrbzP+Jwm)=FPbrQre@1(&uU8CI`*pY~AT2 zGi!5r5<Aq*K92^NYK|7NoTq&<IcOSZD~=3h^B8rgUjjo$nxma-Dx{ZR_wdIKd@58g z;@R09{MTp&=Z^h+nF4>U3D$19_RD#;*DYzRbVLC1uPI*sFoRBaTFI$Ry>ToBC9uCv z<x-)vikYT!pmEA!1JXltd?_-Cx1U5lcP@DRCGI<}LPfjq<+)*16%%>$Iu)%#?{jA7 zoi7`w@E=VbTpz&>;n$1N6UEC4Ar!bZ5B@*`;&Rw}{j#OYBsgUs@zA|v`DVgnd6X>C z_Si_}OVe_Cv;<l)iPw}S>f~KIDohgHJMqX9v<rv*vYiFvXxQIGQRwfjJs5l?>a=bQ zy}J0-`|9oe`k$4X3xMw_vX}S%a)sCWwGdeHI;oL`kvONU*-^T8`A@(SC%_WIanm2U ztxt%6adR+!b9>x_X`}uEO{*QHX8Ce!IC^&Hec(jPfimN%9OR3o0F?k^qY(+V-hRqR zF|~Fy3FLt>%WO@7Dj*^(6`k?Ro=2@2WcOJ_7&^wAx{#zsrTV4??W8)-z4M&})5f)? zzmBj{lCgv;l3I(P)+UokbeWi_#P^2mZ67vYl2Y86JukvC{c(r&8xi!H+A|W}PDU#6 zi3ecRY$;ZdM7OL+o@8d~9@IX!SaVQ7RAhnq2#fs_#)V|Ohu<MZ75I9=j!_9Y`lr@; zUG&!rH)@8IMvH6DG>on4?KJepY%X~fEZ)qNiNe*YB{H8CZ8|iHA5mX9zZ^Hu0HWY* z-68VYy1R5tqe8%J(Su?s97pU_;u@9F#A-lbw~>c-zrW(jec-*8)j<6fOUsA)YKWv) zSt_S>uSJR{KHNwGamV*ER6d(oK@qaGY^@ee6nseiW^x%4<MrQi94TSqLw#XF(stLQ z<mbyiQ^?7bpR9~ZF2hKT3auAMJqF95iL4(L+TH?*b?Zahy93{uR<=zTQnJny?$VBp z3e}A5P(7*^zu|aFX8o+5!L9UX=~zRm=V@j9FZLW4MY*ihk}S+KAX4#7RYyxaP1s0! zdF*|2ee<Xg*;?*jUEQjWHhia&%l0EjMqgsMZfQ0)5AWPwdHJyPSYG7`A-*7+G;hx; z*jze=S*cjH&9x$p&)eg@cA-OQt+UODmuE4YCY8b*SOkXh)07N7W;G@8T)nQ-DI?cB zCNv8*?q?1)uew(YPlhd3N6l_1p)GyQI&0F8BT>1s929Z8)q_;^(Y)3YjGvX~Zyxj? z>UdA_(4yA=Xxhhv!EDsPY}my)g>9m<hx`w6H*p)&M49j6JCh1jJdW0c$8$G}|ImSO zIxZ}*lBrt&KtokN%LD2>$h17_js1f&)E_}17!XHO2?{}1wpmpv@^RHz+Ipc--ynaP z=*5G<*LIQ_p*<)E{oOIwc&!V_LXmJ{-Wf-epoplwG?on%aM6Y=uhw1-0#ioP<kUI} z!#mTw4IpCmB`zX1veti-SRP#TLb5Plhg_tK;QmquiHT+6z(B!5ZQBb0(mj~itf`UG zLMSu}sYnDh-uY##zZ2*K>qKVrb+U1%B&3GElStgC`}ii4svLxmV2rhZ45bf47gAd> zBUzO!T=oQ_qKF}X9LMWB^=ux-M?<VICIVvI$C98}r2llwph0x<Uig_<Fv5IsAo8p} zhA1KZ7;G^pHMZ+P0a)rWbR4b&dB={qcSvD&futNRO@ajYh;`q)f2sRq>MU@eidb<o zfKS9h`{6HH&;g_-p@Ll_!A|Z;5a)q2Pqqr%KPr@;i656Bp6zGw4|$CJMK}s6X#SYI z0EcEnikunbJ#oC5*!F$u%@!-ghq=66#!)NGmPYC(8#&`zX&zi~-&>G-k`+PwV1d`r zL3DB0V6(-%Qhs^d=LgeTX%gk+_s397)%6zpjh=vI79}Y|vyY@XjG^v@kD%bc-_;ba z7H4odJH_Oyn<%x9queQL9huV9pOJg0JEdd4k^j#-w*Q7Y7XvT9gIIPK7r4aa!Ba)h z85mOvSZ9yCBosjV=-M5b*o@TF=VTvgr+L&iTYMomhqH6R=RPG1ASU=&8N>@SmqCIj z@(Q*{nTtxtKG|1~@a8Ak;+korbBBYbCqKNv7R%fiLy-xV2g8|fAX!?@EbP@`odb!{ z*j)0Dai2rO+oE!z11vX(QAf*<r@iL3mY@vF=1FT(c8you_t}L>WNRWld^Hz5L!rxs zlny14PfCVxJs3xs`O~??)c)GGY8(Z7=$vu4A4diLdA39RN#ya8b)4F1C%EI%vGX1} zXK#+6?j8SPF+oo4v@dE1r4F{6jWbJ)Dg&|v2c(0!-a@ppVrjwSC|CbLdQKT?AVsA- zb*C?YCx)&DW2gq6a@nj5^2s>GeE||7tVRtM_Wq(0A&NOpOlJ=cgIOi;^jpXPjpvqd zi7`o4H&Jv?$Ff}aKkLF2a^uJD`syFKkJMVkffEf%)TOBV{dp&hN4D5jK%hC-KIX)I zPRH`gCt$CRp~TDoAMRxS9hF4{Vet9*07c!4faSL8bZvkkUhx45foEM}9_yz`n8F#k zkPn%9v}6clpDKJI52B~9v*?%HKCY=mh<2K$zjRu3IxJWQ`Y?cV$M<OZtH%#V5Y+;H z_!gq$37T$tg_SlV>Or)m8s@T&zbnvaME=t#2B2-v9!|ij#SjZjUJM=nsgb+M4&Q4` z2NPktV(9q(#W>QT6rXE4`wAu0t^!r@9>jr4qy(riO+K`nlqg{n_*xmnK>dQNoG(v( z4xyoJA|@UFmJ6}%jKWUChWU`z-n088U1HKy<3B&JPlX$S`oWfkz|Wm8gDB{LJc`dE z1a30}Gt32-<v|kgTmeLT_HUlRbsW_III~=vc`eQ3%)Z6n)d~^p%W4bMqj)U;FU{r! zY=)oafX@Q|O$YuN^#_--hfvbxF>%8_JZb84K!KkCFH*O!C!%`<n9?5`{B$fOzblqn z7M4Y`B)8$kVfk&1Mwh71sr;#H|Nq_9Ic;lr<CeSI){-o2aTB?fV+;cj$D?g=Aod3j z=0Xw_L~AGV#3%<k3NIByv~X)KMEy%3L}x<<Z#x^rwTWoMPv1iHWW?q6)e|+0GHANx z6}EU=!{GP1kQ)3v2P_ty0~V{#g-G}8PXzv~?%(_wi|n+^v0v5%Y<#8o9+&eNDr-yg z<5Pd*9q>A`S@+0$yCv)N!J#*DtcYr)1^ebed)WU?@E+{d>DXdZM3*h#@V5{v)OFRL zXh0sd@X=E6oYItgZDs^5wYgljvEse)DRvZZm#{=4xjBVi8{d?c>&A>M%AHpqX6`}0 z0qE{4&T42_k6t4Pm95QUF}^bFIL75XjIYP0kgrVMEw5Y+E$g-p^F~78N9s*yl65TU z%7#FsR;--LxWK{(<x8>}+)q}o*cQsm`CYY@@9XSFJNLEPJL!H=YiL72<tW^6YRb&n zZWab7tjKx;2OQh@S9>>Vr@gyC-|)o`b=aO6jK-AjoHHCda!;;9I*HkB%BtWsfjJQT zoY3n6Mx0%DLDtY`oE?j8`TFN!Gxsuwk<ut;uQG>x3IcO%Y~T9lcrOw$J3=K|?8c(& z9NHBV1GAS>yD^I_G;4d+HCBzuYE)p>v}~#T<36%T1<HF;y;y!tFbTxi%PlUC?(Sgn zRav}Y?(L=Fx<o;^fx%p>f7O?E!_f6}9>EkK83LqF-<W*q!B>9RmdwLzsfD=3e6I); z1Ys&at<77`5kc|*#90`GNkaqJl?5Wq2*4PNf-oZhq(UHqZi)F`gO)w?zG!4UMw1q- zP0xA^q(wH~U-B>jpd2Eo-)msxRX8T^(;AKEaJvAH`B5?T_)1>B%sG}LuAj%Hr@Gr5 z75wSiJgjX4w(q3RUL66v%ACu0LL1gn&d@o;R}P!y*ALYD92N7A31V~;I)+NR@1k&n zF5~l1YNP+LR?62;ov3mfZyj62RQT2xG|0~tw0qHxON>bG2^lf&J!d@<H}0K2VD@^1 zC(5bbfu5=Ulkem9I*GjHOO<MO+oXF~*GIqQzE*dO_K@T$)HTDky}T&Py=aCmiF!Qu z(Qczpq+)1rjV9Z8EakPa_ep{W?T6yav&MM~*2evr3F%R|rWfJo&&f5g`syAJWc=i8 zc9;j7S{lLphNsW|qabZbtzJ^}Jx_nBwR|%wkr<0F5jPdJ4QoP13s`OC8*Hpn8K-FL zE-u-)EjiS&n4_>y1ezWYr=#in?CDy$tn1=xP}-V-_JQneUn~?wLZWHwZjPNVIAMjN z5;F?<+^Q<r7;!T-Np^rrE;G84u?}UXf_j!NH1zqUfke0eTS0x1SN1x9gu+OKgaotS zwn!ZTJIq_x<=(j#Y<76eV`_RJ#v`Fg)g<+dNDO*K%l6Baw2x}K<GG?(DE?4P`g5nk zk6~8BroU719#BEq4ygDG22^Z}BUH5gPDO|mpuz!Aar|0R^jjAPHD_HByI+i;4BvZM zHTrt%*zPJ*#iORidM^*GI?2R78AWF;%(BxL&>4QI&AuKgBI8&8z(K!h#NrI#9%cLW z)H35EO<y`?6zN!7zkE4{jTUJ7GV4P+zc;mP3K>Ya%`5pbIy&LBIXKJ<FVaQxEGn^L z^TsmISK_(nOFdi=eyTP+714e;_!h8>R5?H}^+D8IPZGyy&GQ{rxoBO%>t20D=Q{** zUF}HpYroWMy&KBJT|OoF$c?L(>7mtX_)|&=?A;?)@|jbo@6hw$PeqAxv@NoqUUf)a z)ot~@+T*qBl@iY5h?R8aq|}{v1FCJWUykM%j*wpoEgsNqwZGbBw`=lqw5^HW8wZ!H zeYs2?X|G>4<-cnn?|dL)fc~7rJ21w^ee=XDm5H98gAICLE`xdDebc&6F}VKP^_j@M zdRIIO8fvxs3ChDhetvStXUs|-(XG0PofMeC+p1ntM7s^BdkYAeVXFELP0)1|v(&h! zf2Wi97<*PF5YASym=o4bb>=ILV0gnZGEIAF(E6IXY4OG8!MMJ<{>SK#=XHH@JQ$D2 z0U6ZX*SqQ9P9b>oq|mG97s}6{ef5bEcjT~mvA@plSolNE9jTd=;wPk?eTSSIPYMZv zRoriyyJL??7W>;a4VyL|D@4|?c))T^-u%X#@Xe6!V4<Pijpcd*+@=D2i*C+<WH)DC z!Dqcq$ZmUR&aa_gJ*hkY_&kNs{e>OUr`BX5>T16cE=*Oxv&d~-Sl#)#zn07IBOCv9 zMO;wFYwF6YztZhfBBDRNl_a_JQ0kNLv;0=R9kEpg{n`iktYo;dgSt7R7%Sdx(6lQ| zQ5j~4>y1<179Fh5{&FyL;qH5S$IH5A5H?|YJPR$eIe}JN++3G#6EZAYTN-FvJR*8v zs=hU_XRTloHMYLFx<@HP|0Id*ms?>X-{?qs*FNA;_Z+()h7Z_Xub2@#-oM0r(7}6- zuM*2&<DeuTT6TM1r5M^%Iwa{KZjE!AXKXmkiceDvoh=z$xXj%sJ2tLi=(}e3VfING zTH4}9UiSqwH-0mKNpl|js|;Y$8=w@;`OIv2BSfsZifPlwJ7jY@PYAuTT*;~2K!?h^ z{n%}1NL-s#a~*rFdJ?H~kpks+*FdJmNh;B&U96U;@}!z?*}6R^>6yB)v#=+TT={_M zE#n6HJ6f-(o`ydSRlcCa^PHQuc^}1C(5|~t<Nmu`(DHZWYfhD)Dm;~QD&thr!KR9( z(_-#%i+bzp#<!`dsYmAZ+^AzBurfEb76?zz$>98Wbv&qJnuh@(5dh)=pv4maCRlGS zJ}n{}vaDhAAUwUQ*6|U~7V$#BYcI~vQPh;W!s|k2>V$wBHPqt#O)BXroyJ`z`u&!= z2QEA}I-MA>d+ToNhT0pxRS7<N(pU+R3S7iK#0T9`mp)*r`wysKp6o2E=7mh&LU<F* zL0?egE9HhHXD<HdvRivBRk)owT@dp<l%M}HUC+MVLzYQ^oU3ZiO8X;T1WyIskr#c7 zp%dZgIk&7>L(nV@nKg*8OY){3(&z6zy-ey^zc?tnbM$j9!Ju?<)&RCE^lli^Ki2E8 z98gQ>a$<XjCNL@!Mx$+*1j+Z1kCWAsy&$_EXfbk1L+t>M1YFt&v8uEA${SOD_^6@$ zlGA;OB3N`6wH)W`fNy<#JZ&_)%K`j|>`RU#9530Au)kzG!j>9u?j1l;&BMV%_cB~n zMqYTCyn3FShb}x^^|ZV&1w2{>zK^q)j@F8t?X0{oWy!Y^4OqPnV&1v98xJ3^28=YU zg~)b!e)7V=*|iWe>7*cT)xQFk!$M4C6xFEt0D>&M-Uudii50_|wV(_<(gZ>LbhH-A zut-ix7U#0aLtAFr(RZBxaJi%mcY(PS#+0uCW^@1*Y}W{}?U4Bf+ton__xe{b-SNVN zt17Id)4-Xvpd#_*@P}ENz>NM94dqnMb^ajOr~$y`c9#=LB9EWO@$q0Axnb36h;29f z47h_o)IdzT0eT`I915U2Wc&WUd<=%T3~X5kzIBm+Ou~l4@4rBzu-zAkY|l?J{=mET z3s35p^A?V>0-sM^SVBkmTC{Q=Ww3Ks_&;0(dEmZA=m6E$sb2@E>nhmevG8;)Bm=p< z-#YN`JCTIK557WYC@AngKt!WfzVIsG(oV1u!V4zItKvWBpc9CUpuw$7*9VHp7UTPD zsPUfe*pFUpxY_AYH#2mC=DVQ7R8x=LV=Ibya6B_?!FkHX3jLI{_%QQD^K^B0{rGmB zbld0F&n=(dd~WvqM!4~{Yke5w{o(QVDTB{o!<D~L2JdPMS9Sz<;NnUd><=!Ul);|@ z@4lA^tqK@ysOUFIyP;q_^V%tg^Yv2uxsGsM?}?Yz%FvB8Qvf#FZA4<Uetr4+!u6Ts zlf_Ni+L&r5IJ^t|HnH>?BK$y1xURzVG*LZ&=zS(9<d49;bx-S_(v{Pd)s@keR+h@L zL~~J~cpjZn;QDZqM@vJ2YZ=A!6<kO}d9*Gla8Zczw9-g@WTLeSiZ`MR7Okky4ya%< zHrG`EVi0)=Y^Ytc8K{~iI>#KUh9m00Hv}`*;oCK!*gf6^kwRYOL@pP$hHj}`Tc;5m zUJH6^@2eqF+HIxDd~4FM|B>9|QJ~qHb<lyGEpJ`n6JMbNG>EAZO2PN`5Tm*G(`YXL zW;77pBa82pK*+P^FbA^lw<c&8i5)CZ4RKI?ZH&!)tI%MryrG)h6#(D<0x@tMcr@vQ z9A&W-If|Ddaui@k;3&8@z&LBX6)f2Vo!(1E+%?&1vR&jZtWgb&)#Vi{ViwF~K?GS~ zck0`A_umbJ?U%yK?&aHBGi$ly$C?YG;M1dEO$x?;)6)<Csi$PWS&=MS3@mB^9>jqk z6Yl#^T5?ix*8ECg$ipaGljM}HgBGJF75}_F#g-i~e_B*go^sSg_kll?<aJ8c>;NiV z1+tfkJ6jv~St+xp8uK)V%O0*!exAsUK)wfw0$Y_dri=$uZ?hv)2aX_9jVR;M*@!Lj zy-cKQp^*(wNMCF=e|9QW+C`Z^d~_<hW-2;kD*E13wB=N^%GBM%N(K;gqejywdPlV5 zwda5Sr8{06NI8|tqBFuDE-(^#_>aGk!%7E)k*PL*U@GqU&p)&y?x|yF?lm3`t<YP_ z5<9qt$b%xd|Gd$rdPPf76`^2tW{2=lBlPf=szk0V4+j8K5y1)wxF#F{A0vVxB3K>) z-y?$Ah+tA8_%s4mLBL@bC04{sSau5!eTUWeS4GSzNB;45Ci0mfvnGdjs4t)VZB+@4 zi@*QDjV+J~{JsUE=1`X4yP`l(BcOCDnT6z`<E}F``~J9r*;*iDSgHj&&VReyBQbR? z($;ZDKOa{*6qJ0J<59*f<%}J_->i(!!Pi^CuaY42ib<?b+NQE}@>`)3;{fk&K2rru z?&PNn7YICAv+G7pVo1(nTB*RVTVax4SlHeWQzAy2!@poyq0OTHd0AofdYyc7+@g}C z0F6M}y2n}Q?*}99*@+QP{yRn6B%p=vBSdx@?gl#bC(T5R$=B;1M}bx(hWt+R*2(7c zu5dqbyh&NGewGPA5xs#Ni&76P1egtWZVm6kxF*6vE#PrBn(r17V5smE0nqantm~2d z%PbcqQJ^`Td9nEdh+yc^wwJGHBg(|N2hp)AL_L^tfO@D?E)#R!;?1&&4Ie^Q+4@jg z*~V~NU?BJnh`G7tTK3I(_Oj*4&5gN+vbFhvk`tRlp2C!~3$<Z#zBX@8WYrRkq7t~8 zS2qa^q26dGv-g3F(+;HGT-V22?q7%)2=!+{@8k{@M3Ug%_dzCB@V#HqS2m*ZP0Fu& z+LiS5Ux(!^8nK(&fREMbe1=5OS#s+u@FItxND<je7c95FHqhu)y12i8U1DeD0x6Tk zpxg7?Ph6jqyvASHY22GgT2ZP%8RQelM6*gI%CQL2-U*QQw!87~5Z*i$8iwS%!khe| zVaVm+)`fiQvJ?`=#W9yPw8YQDpS+i6Z}J|VJ;@N7=iWZGaO}+Z(x|w*J>f!WhXVo6 z`>AT83FNgmhS*Tck9z!ghtSk9-V{@(A7_=z+?>6ePo`E%Pk34l4}kG|-G0V57SEKD z#k(JFGg`b=N;V|<g{=jo!GRqLt85YD$H9O6hM;hifsw&0{aXDh{qp^i{la$+JIbQ< zLmtjwJg`4Q`2xS!>BJqo@6ztyZ+YPYzx?S$X!l*={So8gf_D-!KoT4|9uBUKtY5Wt zE@UcrW<WJA${ISSPdp+*9gjK)I2>}|bYOL0Jbgd{Ghe9;P#_&1{)m#N7jEB?r+^?) ze*XQ5PcPiPBhLsXR~<O5p@Tlnm$0=?0o7>wVg5Ew8J*ojlF4ZqPY<30s~1oeMCC{1 zKgti!56yq@^j;iB>eK(z!vBx!>it-FI<cnVqHppnT_pSSHR+*B0Xem0qnZXS--!Ol z5$wrpEJKz2a%Y!0YZ@;2`p?ouus>Z}tEl9YlUeQyZcz7j?te_j9=Ft8QOPSKN<H5! z{SCf10%?)PF`ts>kcO%HAWhQBf_45~<=gPs-rwL0!dvhQH^N<U1U6Sos7k#q;7q55 za`Jq@nH($S<f4Ex<=|2raONwxlmt}tf0VzTW~MM}4zeTdH%NArPRx#)tw9FwYI%}p zcMcJpeJA@?wr{p~wr95c6IZGqlyU6v=Z5Wjz*DAAT@dqbB~MGX891~H(%Q}EX_+vM zyyd5tGM@RMtqs<5w}gT}k!h%bZKfSzhY@H$GDEf>ISap#TSfnWVhYeC#K`|WMU!sQ zo}+-(ap1wywJwNsADHQvmI`vQ>E`{k_o;>WV2<z5{#~hQ!y+(cAEdqOZbFO@9QGYT zk+{K~IFOMG%7_|n5lU`1-|YPn%!WOxz;g_zUT8nr7NKr%XfH%h3Rnqa;^CVE&{-C~ zZJrqamM^k=PxpBL$9%qD2mMF#Sw_=6D*k_(5eN1t|6|79-}it|;=spT0V@up=gw%< z@p)T9)w$d}+J)h&uJXb?6xIHGJlYB2s-E(~lN3l^{1=eHvdHVDZ|MR&^z722cYkBx zqaA%j=p`C@npWy4>Pyu0)L1GR)J$}6n5wsN|4up#yp&&&c1ZTfj9ze<il<h;A1&tb z(vylb9$7Tc3}bMZvbTKyPFhUZyn96&w=BzOhjN&rr|?(5hY9!Rb^X)&YXzn0ci$K> zR^Vzv@zA=1R9Teg(N%B<E(Qu*ec*Bp$;&yjxpRngwhIuIGLEQ}S|<U`Qi9Bnc63-E z;NKH)NLA`T<;nFsul_@wI3|l_%i*zZNbk)51@quR9Q2ImzwQWXjIY{r2YxCrkeZ@K zo!I}m)V|ht?UWYXeFNAx*CdKZ%Ts}?LzL(17KgR2DR7N~JA~1afYZRr5smn}`2$Xi zBAh0Ah2J@?Dn$m2ooL}&|7iDG<YB<F{?zmT#g{(~`>?^Bsz#mp|2bc<^?QK%Z;?)( z1&I9ghiUyUB0+&TNBL8D{Ad%4kw5c~)y)r6y>6Q;U536Z<D|<gSdbhnz`bjW=*{I3 z0Gq*mFY_u)fUl$71J{Rq<fJ#fWxFw0ndUYc7m-(e*Go6%hblK+{XFtTUVWagj%~wJ zVT|CkklUpjleHM%ji%4u1jgA(3H;&wumTD+`bqA|6wR;w-|@GSzT<`Iv+C9OpY2*> zO*bPzEO?D^`nM&G>jVmXmHAGOwYB0}RD5N;tltI=jjx6+JW}B}zWLGUU37Q()_fz` z1QR1RITKo~{L@1z_8+@Ay3j!>K1!CsJ?m_HNV?jbm?(KJ)y0=^?+XgciJGfN_tD=) zkLVPgDi?dTi6>?BGdE&cjkn?LY|9!!F_B+cIm6)=ff2W!D!;RYf4RP!Hd99OD=lAV zJU?wA__;3QvOo&44rW!~qT-Lvi_!{}di|&(?)oKE(M~A1)Q=*#bWWJ>hP_{nP=lzA zUS<P&eK~Bi&(S;9VtvhSL~gSUZHV^4RDE1tE83jN+MHXnN6Ta6N2^BWgpR$`5RlmH z^<5u@g*KP5b{no6Gd|ykhuP}OmILwV_Ko{^cHgBy^gP$v`T|O*ip(5S=-CNc?bKlW zMRWrD($xm~XJTQ4bh6!AUZ<KpmEN~t&CEO%CP}oqMq(1*t%ptfhoSSD+4jAyWO-Xj zVHpPAbH4S-WVBpRmhHnHeam-dQb1|a;!Y>>UfBj?6>vr7k;c+YRHxFJNt4h{Fo)eP z-N(OqvB2zk3-_`=#x@=NR*3A-bDx-}i=$DUzfD?>>NGzy=@i;ILScyklLEFTp}{P} z`jL+<{)WEd>{b4TaV*Qj9@=kYaP^B-=CO&F!&u^`%hoo;@SZ+PBZ2H2LxirujT5)6 z3IG&zh0&`Rx%Clt-<1qLnY96zTbp58it)5%r5c-?Sh>#VnM(BLY;D>4{IfU8lcRnQ zebY`+2NIOam?`Fq=tta)o}lwCnY~cS-L-O~xHj)Vy1q*0=<)835RX#ZQ>?i9;Wmce z*5RUFmdp{j?~Un1?_G=kkG8jfihAq*#ziHExGG%(A|N6yT`DS4BBBCHNP~1p!xscW zq`N@`L6q(qQig6Nhm!8@`kxuR_dd_>x$F0T-gm8cEx32iIs5GInX}{b*&Afa73Ae0 z1s!u)e3SQi)SpFlvb8Emd0I-UVPQ)zbsl?_c$zL16AtJIUPCUtu=rjXu=z=Ezk*w; zSn735I$6&^+?FjA98B@;PSyH`NQWQ9JF;50)i?9`a{vpM6xZeHhJ{m$%1ZyuPq(%! zxCIf@*w_xDO%KfFXgRE<G&4JC1T?d?mPol;c&cGn<U~^=bJZ9F5Yj=rxcH8FRigtA zWtv||xwrzNDB9#&3*|MvN10muwyj!_t=mm(nwm6RIi8gt&spFOHo0y$5jo~TRfs_c z;egGJJ_kpdDSg;{JNU$I@$y91M2f}UPXSSjq#_Ni$?282iJSCCsrGZvKK+Q+B3=y@ zt!Zaoq*HKK@-OjR!m|sTjgAvqr|WQb_99U+U(xp4i0gE*e{!07T5_B-dfK}ib^0^# z$3QGLiCBq2z+qw6&v!FF-O7zMA*S)%0wUZtrE0E|r@`=E$W68KoI&@sy4O4W-!Pr` zoTMSxt`bzLD0>J$u|(vJ>klL@+^pXLf5dwQZZNEy<5*I;kdR|>nfWrrr!2!W&}z<l z=*#r@7_V{Zxb6M!8flsHlgM=mtM_7!4PnF0ZolwIRqA-03;2E|{4{`C9Fo4Yi!~O- zpjV74?XD0zng?{f-vPh6S=g@nd^Gj=)_v!v2W1IMtbs^5sfl2O40SjC^GI*I8112v zji(QtpQ@E(7TFcSq6c+%2Z4%yp(1H^d|cQ@Aqhos9iy`i|0nTGKO!^U=Lz+xBOKZ9 z3Z>uVVIBxonv)X`F1%)3)*du73ZqIJ$r=Hx`Xy(_xk*7h*kZ@HocUGd!Qs7Uon@Oh z6%Q?ca?$2L!<HJV|1{CXq8kCp4~?|wf`i<u#2m!$131VW<pzEKHJ{=b?O(@r22C!i zVU-ChtcggI$cbRHfs5P8YIH-dkr_~u?YB+4Hy$s|iprio`;sbF0&NIy)-lW4may9$ z&MoR&B)N}h_zYW_w@US=@t3US96YkN8yTZ8zV9)|`0T2amirolW@w}7{FY!4XU&#h zI=1Ti%IDYjS7DFUInKknU=M7u(qIo(VYwgmwt{a^BQ~|v1<oJswp^Nq%B{Dcj?=e8 z-BMqjwmKlIW4yI7xrgDW^Ot)>PhlS(l4S6L7Y*;O)j&DSN+;<iGOI^iUs%Lb7r+Y& zmGE<?h%fi{lQ?f6Hmg5o0_``^+Ft<e3zvcR@-3kJphxO!9a?z+{@Pfpe8010;^{nZ zFVIh*K$7tU0<RhxSil1W!2=c*&<_w2pL+es`c*P6{g6i!fjWVrE&dElkyl%;k74nx z6pdIRESX=ZE$hy^OPb@JB>U7qR%Y$U_`lQ(Z=a{HA{nc03@H+<>Tlwz*Os|67tD@F z_v>v}`!r=qP`07&yBjt?W2Dp*gpafgbnj$LLBZ@1w7;G-clqhK*l^!PzAbNHR4Ebq z{>CqPH`U!A`@WY{_Iu0set1$jGFVhF+GEDqIg-2-xRZi^_C6jJY-yX(Foz@$LGPqM z(4;#4hD12W`8{H#%jC&z<oQX*CaO*;Q!yXSD=Cwrcai5Q93Ga}NY!BzBd%lHX3E?x zP=k!3y@?Swux)QP-3@ZID6BxG)X~s&vZ>KmlROjN3LP40K9Z%48c5OYpr`w`tVG1f zUKnHZu<^Ce)4NUQ!))7PQgw@DZcONUD2mLu^yCe(My%!4+@Q00KCfyPA-ktK9vLWH zVw-6v$Lw;GTfQ+~TjV)S+GPoHhcw*|KDuwx;>!4Nm}xJMVO-$P;ta1K?2h?p+eeMo zvrp@qVoCYqQoLmrx<r-h@!FI~R6Oa6eKkfzElYn*a@$OM?$>Pk(6^_%!e!Q@qOoDO zDLD3bY+NSoDN9B+hX*yeqPop&Ue5bOMKSIWT!HEXPFK>ed0rgyvtJ|UniAi|_N>3e z=_RCVuK!2SZZ4kn?q&$@C~LM$S5Z}OWxbOh-_&!nbt4iJ4i?=NGa}t#|L!b8<4)Jo zRm*#=A|1gml^RpTZ_X1g^Ws1|L))v{0YxydoCB7>NrUC(rWEl^S`hU0g^KF?Yf$L1 z{Mf{M@{D-KlFJd&&Z-}IscbAvD2Mg@H7y=GuPnOwFuj1;c%yD;(=Th&nHq)h>=pEz z|7!7xT9V!;mM)x{AuJ<9i4E0T4|lQf$sc}?AmJLcSf>j#((k0Q{<i2?=<`fGjAysl zJ5K>Q7wS_uD^Y7N^a=Z(VUxyw*QA0tCq1zlACBkFLc97tL+jJ=XB3Z<HoyK(1d?q^ zk`^iyr9b1?;j|}mEjEX&Nqk)DpY9pvs6s0K@Rk6xH#_z?D|OXh$3!YtqNpyw*r4>c zZ!cAE)Y9F0cB@5w|JBBf=1=b83xitbUPp>5fqM}oD<3l!E>&%4$3JwY12^k%<2vIy zI~;XKS^t{Lv=sB{6%`3FvLB&ml1@)%T5!VzcfWe)9XFm_W|@M@zkJVevBXs%i(HE! znY=f&C}cHVuYBi?uh#xJaqL%!dx9N)V?U{MV<O{Y+nH!YEU$`0bY+<ezyGr2j>>(; z)81_sw`osNqwE<VL7Cp8*&M?TpQ@ErUkl}LDj)B{Az8j_L_}<3@>tu8Vs9M0|4RLH zDiWoN66u<^ou)mDc96T&`}*TxDkV`l=R9IVo0*3FY0S5dsQNvEBFO0xewgNdZgeo& zP@C{Ir|J3^cgdquM<Pfh;0-KjkCrgDpZ4sO&QnB($+IDi{qapD)3eyHQ<2znA+7if z0)jH_Y>PK~OXBY>hUhJ3zqiDp!zq3TZ1H+3ntu5~U+R}ZTK;SDPkcsWs2{uvbgHD@ zDpgoo81<MWgExXV*@l}axn(55Q79J`u}*LYx?PgAO;cT8daBecFuXX;TW)5~e!MoM z8C_AcIMOkJr*b`>rxWg6-FP^MgbvB78V*Oe9)ET0bgdn?^nx*VM9&8CzHf9^y;yd* z-^Adt^NSAA07EYWmtW)nE??9DT)rsU--8{V`IEOif^oTwWEVK7S|gP;`vT-p=)+7G zW{37r4ey@BLroA5&x1fb426Jr5T+i|BRp9uT1=X!F`)p<{}C=1R3uct>2Enn4cTbT ziqdw!hz22wziC9W_=;s29inlBpT)sXjO#CPlnG0jV+#iD<e~%$n<<Y5O$4o`FVvgt zL~+1ioKY8XVQ?sWIv^vd<QojN&>lfTe9Amsb{y6jL&2%^sX!&O+7d}JjVu;96un?S zq4h3KW7ik>t&%eHr?v=^831<37w*Y)7CKmIT9ufU&X^Y%N0)JC3>v-b4dd3}{slb7 zGws6zU<vurnh27<{C|xM2!w_b+TkIz!xI_31+aJdESMhDsYv)=G0vF;A>N5ybPeOa zUlYU2HfpDcK%8;J9W%=}o!Tm$#lel6`q?hr`}R4nDTg7Jy`*f;vlt^|aha|c3fU2P z1k#WCV}rBv$SRzj2Rb_t*}Egf{y0nkmc=SBwBXucAAO@n7Ju|*j^+}>DBA8sQF{qE z7*XVx+4gOWXX#hskUenlAgKc9d5L2=?Rhw5vw)onjALTqk`tnZgFf%;Y=(O|E~?E) zf@g`!KdC@i+!Y`Xmqk0gp4aElWD2NZZ|0SkKlU3xwBJ){kLb*avoYx2xugxEMe%{^ zJ<2mko3qDk33Qv|=P93I(z}`4U%?J{;l1lDz7<OvoL95BlI^nAjQ1Dll~ruivNGLD zl*;k#UZ-Q!^?;#3x+u6*QIkyZ**%b7#z+80)Y}CmtAG)<+8nSxJ=1s#?r!sJ_|186 zE4%|}&|5>>+h*te@6Z-Yr@ag!pMw!5-?P$!n-ruI_O!0?d{QBJ+HA_e8SE{Clk8tF zmIYX6DTh9##D|e50N)6E&$iT~w{)Iufd=@{P$}?xj{<tnqeHOg?$-omd?u48KTHFu zSu&(n<%yWMrNuRfoQ7EJ-mKQle+cSD`{0F6xYMm9@}bWbUNM5i1ByWww7Gd}RUV2L z%wbN;>XMi8oAX{_+41H_y>iwm#VbLeGAvq304heB=^Z^^k%L}lfv_)WPsSJ3Gi+;z zJOA*Z36eRk{d}?#MF{)S$=^*En@eGj6oZ7Uf)2WT#*W`=$JY;A$yPBzO2NAxjtj|) z_TFNUgImyk*Ls|Pf*2CHLo<x$-8NgrU~lwk8{4oct9+aX-bXG!Q(H0#i|%w4UFo{V zP6ZMLUA-<Ok*PztEFkc%WHQ`^|0MMIH7eP+Pab5055A2qs$4u&7smWv!VHGzg7;Co zzlaqIMJ5T$ej13))VKIIi|sve!P+e2YM_fXqH6;1ciyqhhyP>p2r_NWE<V)ayH@_# zd(m6nAHqP2u-J$u`KG|4JHBXXQu}D;?K^NpVhEhEhy!4wert+Ewn+>sY_*OeIO&KJ zmHeTvJDzbk7k@`OYiZfD;O1zc^5*^0fh55KSmjcC!o`lu!J_ymfR8yEGmqXjhD0d3 zZZ38>%Lp6V-*NAJut$%|%FfXPTu+%lvMpzO^?4sfe%{Bqyu<lQ;MjYVPD)R-j={&x zYAX2*90!iDGG*Q;M6hD(-Mmh;<o0wW>{2AIw`SORUh>lI5w1#C-n)$wTd}EC2XAH( zb+=dD%$LJ1#m*)7qxoT?-R`hql7Ck}F@gms$c?FhUp>_GdTukvFXOrn4)tzx`1Hnr zA(I^>#U+bHXA;_FN=}`YER_=l5y5bwu@)zP_pz380CsvZ5W5M7=ZJn=&c)NROwrdJ z^xa9VoGg0p1Z;?XPju|gd|$fYZ(Q50Py>OGg!bxhg@^N*0OX#b5$om9u~Q$23J$b~ zb1%EyUId62jfSrnyXxfa{p&mOz}<5dDxjq90~gX^G$9m9Kjt!a{Rih~kale}9v}G_ zH2htn3RkjnbKSC`k?EKo<vLzA-|hOsD5~m`-LA9c>3m1hxh;=z-L+RWwA(4imE+0y z2Uif4W!T(WUU+^d7sp2!OYC2>)1#R6hc6LCYVWcPL#?^uJnG(^W1i}gJF)gDW_F@Y z%l5~}!(ReDK_tuX%3vtF3U{Wb$#_Vy5`^S0anzoqE5VbNL+uZ{dx!793LZXkNk8~K z;Ex;glOaz=52N<NclYFu+?k$O;CqXeyq3G?tZG6vWZ+*sxy(?Kw5dEa*|~Nh@Sz$j z<pv7+AaZG0TgK2RiYo2c>ch?+4SJ(Ef%j5T3aCM?ZxuEZ_Kr%{q!%{A$8l*xu0G?| z<5Id`=!5+=SpjJ@G)ioJNGADN{NzAl{QZx*w~WrrH$kcCiD8|)ihFF!>*>2#(y3GA zgo7}1@Tf9Ok%@rp6^(<cD@Y)rs}YD-O`83FMkL>yq~iR$SE}fyb|zhAosYU*Yc8=d z;7Dn<_*`1GTDLTcqhdMPn3m9&SZ{Exxsve|N2*x+$`2odH%8y6(oeXhk1I7a#-H0K z4dWg~h0pFNN*HRE-t%3tYcPkqB9?Y=FO*E8B7F#>mN}0WzZqD=qc!cJy?2n%g7D^$ ze$^WMU#du4=;<GUv0usb-JWt6u7_aHVKbGV>&0f;5%sq0dfE*>roua!uIc3Bq+R^* zSta@1P2>`>Q^(UFUdaT?A$PpfAmt;)sNjJd{yM-mQ`>U*y?5Ysf7X#pxK}4WW;i{8 zW^k`c9d<H}S5rh>KkdEGyb~7=9-z&(85f>>?6WrZO%9Z!3zrY6<b{mB)`jm=wRN3H z?XBvwq+F!mkkl~HsjkGwRRE;3x{_)LTI%#PZ!F6?D<zj2B_=+iF||=bO7ssk54j0n z&RUlV-{ZRLv`<e#{b=Or1KBYa;`o&Df8nl!xvZ@iDs=M_o@BDQY=BxaG>PBQ#3Fuj zU;kCLF@dyrx+eBUT-s05%*XoKB2sQ$h0y`npF>-7Vsv=xFa_k2EkMUFFV)>zNW(7m zad?lSQwZrL&T8Ec&3J!TkkiugJXFbNC8Xq84LMDLp8BhZ<dW0^JN^Px$Nl9e@(+yu zrHtHL_L1YE$%D~G-9929g;q{3zWUxYo^*~d$>mXV=n?*H3#z3RJvZh7Fa-|oB?E%* z6#MRTBfi<-rlfP~is;gD|B5@$pA30^gY}%Q#0OV2SZ4N2E1pBTkiJB-;?mEpN$33c zum7$eqa?8?|4JpC(0T5elmR{ngQFVY5N*<VX6XE5bFc&S{X;GRK&no3P>3PP^<;2G zK2R%=h(UARE|@f8Fe>y)QoMAd7N@QiCmze@N0>!Rf)C4_qKl$L$+;Q7KeX2)NRqr! zqZy08YFVEY(<Q&6va^8l5ro56>h()ULM<d41ykLI#j6vRx2>s01WF>@OfQY%YOdN5 zOPAiA@OL~JI20fKuPad_uy@AMXPX>A>Cmrk`o0(PNYpT3XH+RKsQ^Q^1Oz(r4HVDV z3BOMV$ZjfMVJn*K?#^XtfRfVL6_k|X)&Qh`1cJJ;r5jT>_L44_b#4Jx9?}9>xe_QF zv2XlUHg0<t&Q^7RvayI8JoEAlcxJ7)0F|et^D;}e3+6n=KFoq(Z5*sc4top4XhTDw zwEMjjAr6+ZXF-Q-`|PL!R4H=w;HVeTweKGZjP}z~LA#|6LWS*=ghSs1ZKkD2HY9iz zj|slT{%^Ht`VA@H%LxdbV~sr2de?J9$Z#BFk(YNIjefO2xb{D6W{T<oY34KLnyP)- zWce2@S1!5=3eD;B@_+(AfBf&190ho;-A7iN!Mi8v?9xXqxb|I7xAC<0xgVt>0uf$% znYw^u<6}6sQ%86RC_y8RBo)>rHRR5i^nwhANuwa3X9s>L7ae>$|LHe{SN#_XZ-M=j z`HaHXdF8l#nSww~U-Fjs${E>z{deVjI-vD$bR0-%$ICi18)mx%<FZ@T{$m5mB0I_u z4|WMcCyIZlQM=gT<bZrU&Bw6fn-PSF>&Qofm_`$tllteH^K2yo8vXHo?wQ0@LJX6z z+&W{~(vn-Tlp33tc*zGAF+~0EG;v1EHSOp40dI#q)={9c4ZB3$ncV+<ZZ8lpbL^EI zm$kw78slAlPi{BHUb_|d&f9pd>l+xny}1QypP);a>LFh66DS^9U)i&6ve$xwACodb z@gUzsU^eq#(r)O#<=y{hv|E$tpz_a>x)YNvP&dQ&3%fX7nc6C?YTTT_8zAzEA`*fw z%zR-T3l}9(=t|m4bTP+jqhPH2#SWJsDnlsuH+U+hnu^}7<y{)pbEYb0^=`GSz#4Ju zvv_!XBF#K(niJJJS6r60mC`5lQ^sDXj*P&tZL@K7bzP!-ea!8h#k$)rEd2GTjTW~M z?Zn;pd^7tggumA5$?vSVN$@`Wh;WdmwOGFk*4IE;{BNBrP}rChMcvr|zx>shI)cd3 zHjPRKA>I!{{3nxP)28%aZ7OsZOWg6_D(_A>^9_(zZtL^zEb#~wH&Y@;gLhE<=x!7_ z=te!O16f5RTBgGJW&Hq)6li{hgHC{)IUxA8?VvYS0%!<YtAhHzWt$u12Nv0#!J<Yd zXcH{N{Mja$0&N1tHIR_7x~Z&HB(@xa{Crr}Pe46?NT@HdKaHrrlJ!*NZ?eI2-L`La zJWEUdjBr?FyIAgVv2`vd#eXFPjq9Bcp#5;ONG$HNnEk{UJwb~U=rV!U4TPrapuWXA zpwZ>1=?WCgJJ-uK3QFviFSGJl=(z7lMFdM*FxF#7b5fp_(Dze7sl{S}zQn=AHhtKB zQa0_`%1_M7>B=)AU7D_or@hR-t8{-5;*_jCnvI%rynQ^Ng%t_9PL{ahh6}gP(nX^9 z=znGlOb%E@ch64c*CaJG&JxTG`Mnk&tE<azrc@-}pUy`~?-9Hdn0@_t3mj)Tz=ffj z5S_Gs*epEzs3MVxE;u?f76?I=%18r~WyZwspUfa?aE~AW>TqO2H?#;l)3`cvE~4|# zcKl@)uz?4(bsZo5zwTb~{zLof@9tGO^_G6zz)!@5ban|%1JKccm#VQuHEa{ygNhT} z4%Mm|w-4bFrUeL1&XnPq;+~+Pr6&otK1}M#mY_&oI;LNpKw50LSvpo9GRyF<mT^(i z23j(Hr`kMH!dZ79@nf);gi~ExvkM;P+6(x%zSV5dYd_F{GU1^Tb@UG~<9krTn<~CL zf$k$M3Q3vYhcMWx+e4h~@+D1t7XcQs=s|<2hzSG-nxj)5jQ}DZQ<VpPRPz_MZX79n z+At!4J!R4Dq}SC2+<K7+gh&F20u$|y;MpMAXz);6K4Zwr7(S$TeYq@X0|Y^HJ3!@9 zfXdUwfZ~s@g7ZQa>u0^?|Fa01YVmnJ6Q(sPD%LIgnNN>K;Lh<EHH53>hq~cA60VjO zng3k`z4(Z|>oNZ(De5u0Yo_q?1G*P0KN@KBlAD*DSKWR7tOvXm&qj^zLph2%Rt7BJ z-ie|Ct=9;qGvIT=^seCQ`?>D_v(4ow+F{1gtl9{`^TluQRK$csAG<7$h3;X{zxKME zpbpYVbc6S(y7Y)-S@H_#Ge@0uj|r}z`^-(~pH#^|z!w9N_wOBHbWc)WG^Ujt&~^(< ze9gRmlmVKgWDF`2H&x&6*I@t|&CF}R5LLN4M79oFNj&@LU*zBau?~-GtyWM)!r@x$ zIgoCW;=kSL2KtHwH$0AbF`c5$3>6R1DhdrC``Q*2hzHArax232BYq=t9q5kT{9Q={ zsmNe#mfs!Tv!9)ub$FM;K#BY+;;bqBoBp0Ph0W1TVej8tw9ypT@b{J&^p?1@Eq~V0 zpr6M06Y9wD3gKsaEg(%4_9NLo&HGYt3Vr9o#4@hy2uXQa`lKi`iAs3o3LDktqgv$( z8-hg2!?d`ay>|Qd#zn%N3p2%7K|`oI&R2iXTdUMY&!!E(&wpIVqVL1j@(XGF2mOXt zZS;ui{qT3At*M!=n|^G5)%!$sOvD_ZtUXfut_sa{$Ri&q`!{IK<4jy4p&%TjQ~$0y zHzto9+AWLD+p8F25c{?9ma(GIj}k_g*sOYZnVgRt!l&_)c6r6EXYX-IHyQU9mC0C* z4)T|Uis#yUWkT;I2_BuBw!SR7Txi8{Krt5;p`-aDUY(m;AuPH%V0O$0%$86QLW2kc z@Vr|J$f2lY@I1c`@H}ZxMlSPPpKk7aVlg~^`AGi7FPb)nd%x5?(e0i-mM5ppC`e*o zEBJ8Lt%T;W;6cU6TJx-b8(;M>ze;BFk!?cUH2Ko<7yMD&w0_vhr~obhv=v`<3BRw2 zY~yzY7~RPu0ov_&tMZt!W+?VMUHbdDo${sKtcj0o)p7f--<n_AR7RH=H@3P(Q4_~n zBVxur$5!%>`8mezAeMGhf!J!?zIaCS%Tv1R(`G}*`C}g5o@um}Gxi@@ERO9zhL;$V zw7FGN5yx)S#*A5jy{!I@adve}_E8fbXZ>k9j#hf6mW$R6jv^VMDYGGxf-yOuK+I}p zHxww?4Fw8R+T3O-iDPY$8Dqk+Z5nQ~4>RI+kxRS26CV!@11s={Eu$y1>x6EPS(Z|7 znMuwSNlB=O>=v_Hpx~m4;?TnpN1deriz?~Tb!29AGD+<d_GvGlggm~P89(usyN+?; z{BLwytbf@-b@hn@Ao)(b2h)<KPwe79-JJDFSo=a_=Z&h&ZB(7}Qb4jeRV%8oE_q42 z=JHL=ycI{@B{Ag{=st99aeBonw&0$?D0sTaLtd^GmghUVs@!)hGNH7(NLVJU6_nSt z8|$yI<(8CR)sG)ku4&lRzUk!uF0fzE^waKP_#3G5k;9Kw5h-)?e%+f}<t)1Gi#kYa zO&}|x0eFv3=QbrwBfEKEm{eCdYSBwtJezN-W5OxC;iPZMG;R0W&0>a4t(q5{n@JCk zNo+FPSx;fsr*We>Qgrjj<%*BWhUHiL)$=rwcgR#+y(B6$uOPGl6hD}c8O@PVR~BJ` z%wNo%%^~LvoT^!@8rgoOAvjl$%RSSM%+q#FHo*H7Clk^fJ$!?!P&NwGO__b#b-uBN zsN7uy7On!CnuqVL?miuNb6oAGyEX03htLWu0T+=`b76BdN7SeV*AQs7xPll{_P8<w z4$u`&)3N2IFbeJ!N<S~z=3Ko3{DKuTH=5=UXV-wy4U7+TqvTgr$}D_MRO>YQ_~i$@ z>uK%DkXQ%alRrORt`%4)x-X%bx0_u^=Q>F|=)7VOj4(u=?7hnBzen|uVm9@8E9{S9 zU5l<wpAL<_yAg4j{2{u1*3`S_zmE>kY#nJs0bttDG~X^>hhx?saV~>r2Z?gYlY3$0 zr_jSfUE8VlatZsPMxNk|!3T|=yNafv0^KmT4ksP1+pm2QJc80oq2$y$VTHbSYc_p< zIAcALTnZ=~)Q&3bB8p2@u=PqRFdu*g$7)-&wZke>-#s6=X7-8gD88sp)BOj&v#vSx zV1SuptCq$1itEH|CdtnERDl5+8=G2A<79jR850)Dut>gIAbY`CcR|uX)sHS$CXQaH zb~w3?B9no09>(Hdo62`R7l%E*R>5yTH)!kqvEi(kWtyBR=gTnBZ|xG#OUHc$@+3_= z@C~UOSnL(nqbnS16>UEpYj?6q#?M1!aVWa<(m4C?m1;{tu{I4cc6l-*_bT@C>L@nd z@+4n(&=`s!S>9Zsn`|VoZ+nS6`6BESZ%iHcL*Z5coL!7}mHIGc#>G0#!t{w?x1d|5 zWlN<MT2X6EA6n5OP{g%4idMvDSl$Q}jQ~acZNrT~(QLdi#DLZm3}>(8TV*&*S*UKZ z5?ubs<LpDNnCD$pm>$hd<|BL{Yl>}4mcFP&a;TK!y0x7!bVJ<qD4@b<wJgqOCy`3j zXw#xupsb+0(a*ph?toC&bRUp(_B3A^)aM8<Ykr|DY{0>4&2@Vz9&x7=UIk`t+gVH+ z+4`)rp+Q)%lL4>}53r8gAh1r*QRsFnxN#0(9nC>z4%-&Aai*d&m4R_227z&!fpMe- zWa8$Ss`bS4DHz@~jYh{w+R4C1@??hY!S|UL1A!w60!NAmjx>jMq=P0dHLc%{G~){# zNgC})(r(Q{BEXXte1Inj0#9<%2A(9bA=d{3p2P|~X|tBoBo8KFyvRwp8EIRq8H{#> zVk^Gy3Q>|&Fh;DeQOY#1`;fv`NYV`y&ngqJ1+8^j5ZV^fZeQ1$DuaM6Hk)H4@_{Yj z`x$j?n-clJ7955LO~4keQ|ScNZHG<37M=0)Ou!UqMe2MQMe#O&E9$@~+Um>zibmz( zYQ|Op>8es%L(1~eAL?l#SLWjLr5|=L>9g#n(C?<0OB^Ir#Kl#TG>SvIoFY!<yItLU zy0~VebfeR5?W*R8z?|3-*YRR?CHE#tX-~Ud+I;dBlwQ`i_<V9dF@isYXN|gSHrp9Z zl1&8EL?7jmSIk_${VOJgr?Z{M2V<t?TlZfqMWvkceHn;c(u9PsVaznbrre{GPK*7} z#rl?keKRA*OpEj}q2$g}KtXT{PlY5g#!N5l8^a3+=%leOThIm!CRjbhn5mpAEw!Oa zh#<K1mr>h_uN1~ieRMp`9CqK8+`p4Z>)Hv@o>^(-9h-3;Md0~skA$c<p<kX-eL57T zJ$6>L;U!+Mz_-`vg{TVx;uy{ADCI>w&zt1dQ7s)bU2;xwo!T3m4M*|0>e&p&qTo4- z?@(V6;wIj^9{cw_U8fud;;(dUg($4V{^GIUeCvamfn#O@l~L`YI(^cCpzok=g*J_S z>?iY4iUJ91NDLjOXp6Sf=`PHS@GLSl{^<z)V!UbQqf9^w2(g|Cu>qk3UW^b5<1PJ1 zXd$jMA-*#qeo4)WT(LKs*)iK8lS(!n!oAW0878At%7Uv2YH(qC{`n+jSG};xm5)4- zGd6K7T57xVn(LLcU)<7KzFF?szbwTUj3pO}f~u;>jx2{Z1y?>HW)mf^t%~#6b<K!R zDXe6!tfvi*;DX<Qp<vkQ9Q_#QY^Mg(H_z{T8mQLli-P?jYG~2bE?ANIE_+aKS*YPf z2R{gm&ZPjy6U#Obg<1kKq6#6A(B?k`Y{@?cto}a*EXO|uti?YDZ0kS8EA;X|0yb`* ziM=*!4V(SF+`(IXUCU^u>>BD!OB_L0y&&-(>7As6%^DDuWu}NZPl6&YGERq2K}#gg zkJu!@HJ6!uXhgNc+|W~ToAp4hS;OW#NZitxSe*@LM|;G=Bjq3MhdQIuJ5h;bFhcbw z6M>HKK{)?xFz~Lha&#VfCq3h;2mOdw#K@zw5ZR-c-H>A?8*_{~(JAcdo<2|^-R?Le z4<Af52l?;GMC_TO_`eiI?b5)QA=(^iS5%PGa!OGDk@(6!jeYm1jUdS~+MKv1wGOp& zTOa?`nzJOIf#rAw)l6<QW=`K*!G51mAUHP@2N1X4G#`1`1RysSWJ<#w^p9^10A_N< zr6#03Y~9xfIWZFC#2k<lMfAgNjY^Xn3#UUMfx6}k&dh{@1R7)-3N&2hm<<6Mq(H7c zLg(5*g&qgETIw_^kAh(v3DPnjNXxEyGSsU~eueQ|AcJQEc-{OCe1}OuX3|wJB+}+P zNcJQk*_)%0xQ@lRGU#THwI)Dq5rEnWBz9_k8o4sV_uyp^b17NXD{LznEpBZ>mV`SV z{Hha#<_Asm&Z$95lA^O7n5YJ~ob%h9aW-`r+bN69m}{<G0j3zRX(2&}mwhAK$_yPQ zVJ9kQ3!y_xR6$8_)$i=$127lg$cB#PzmqzI$?94Ek=2X-BdhoSM^@Kj|BtMmwf4Vf zb#ziM|IoYrMG=F#^RdF!>Eh5N=aBQtOfq0u#6y+uT|T|~@n1l06hsN*g-jk%g+<!5 zfa_)j*UbsAb*l-3tpXK?HCR0#|J91%7R~H-Jual)u>deAtP7lj0uZXLkm0433%CzG z|J97Zi%Wpt5eMTO=K}BW2HwGifmic_3KCidqThCe&I~CJ2W>nFYxh#-Vg?L<(he-e zBxuxDi1^M!_#kB0aRJz9CLcU)?1uW<JrGm^vtDSERHQkyjZ}`a9yFcg3Iq1U6o=xM z_Af)TCjhigkIH_bV`$~p{gFzpv&a*~L|&{Y@<%&Vp^FJL;VF!e@rQE9pfl`E`V)J{ zZp8Cq?0uG&(IEb9t@RAV+x`UctUCTP5EsTIY5?LT1gjWDGN<tiOmS1~TOkqT{SF`a zw?2zEcx4E+b*t#GyMKcm6tnaIKufGjkGO12k|eEQL!+C5GoMms?MUb_Ir;t+;+3sy z%}n2-?GJFzCDR|y-#ZbeF07ahE_yt}Vxlk|U8Fh%P+J(F_836za)Yp<QR%eIhHPPi zV22r_HXEBZ0J~>-nf!1Xpl&2UT@`@32~fVwi&dt7Kxh+ss<8h=XpE>m0P{*K0Om-b zl}kWo(N$0O#gZQYes%!-i9!JPzq1%4g5*EoU;R&z1}95x&;)ocG-1@{2ayn;dBEo& zc}Ka@@#_zNd8F_Rms0&=@xNn_6Mg0d{|M8~9<~k+U8Ji_o8NF~n%8rM+2f6`#ZB=y z+ic7*lZc{cq~qto%=RN*7K>5Rg6C+or8Ioe%wpe8ZL>0i;8|pb8o@8-oe1^H36wd< zPnwTjEigb!u^7ezDMSp~jao9}XffQ`JimEllh%IIJEkZMfNz>`?<0Y-#brI<eHI4C zQw~4k?K`%@6jq!h_lN3;Ed?!-)T96;8z%D+>4#N}K1QP2D~$S-0;Ls1=ATLr>aF%D zA)zg@Xyd*ofzm%E!;TaN{OYek%s3Yg{OY&AaDfOH-)^Uy;Br3SNLRFr+Vq(N5pF(I z8D5E>7-6r7rfb{Qj#xVE%S7c=#!*F&XMs1&L>I+3A<pIb?BI;h7Ph{aOH$}#acW7= zbOnia22kaK4v=WA&{UZ%DIcl=T)P}_?M)v;kd^5QWQHb5jfLw$R$m5L-5?Ug?KgDX zDof}m09w7>4Dz=ekQ4`wv)L3~7=ItA#X+lW1Zsr^WSnC_{tp28pYM0kHunK=ZS(=y zfMg`d^nB<{Pj_|zC?8#lIiZvK6_C_jK~k4jRKqua$EA8ly@x`c(zBk{3cK&sJHFC+ zHs_^~#Qf8xc-)Sy@OJ%0eYiCP9sLl-1Hb`ye8khQCC#(_=>n4IsdnLC@`{7kEYi|l zzHm2GrUT~9hm1)CmXufAe>@Qo<-r8I3ZWyM4bXHD;pwK<g1yQTPbm#Xl>q?9%z*7v zEBb*Ij$>`kEr64+#)C(o+PA_<$aZ3O!vSoQi}WfBl*P@n!EdPFLhE5ku>G2DnlJcM z*)2)mBW6ZiA9{Ms*F5Hh5R%_e(c%7BEu<NAP!8W7QXWH5oRq7vTB4MkJ4cQRciRRO z;F)B=G?K4DrtNQ?#(tkwC^)w-wZLhT+@a7H+s0!e9O8_nLjr6rY!p@0B^j5guoVt3 z*a3!&H3UVI2q>D6=%Ok8C_3r`1MCK*+s6hNS`x_FWqKfIGof=f*g+0<Q0svm^kB!# zz_qLxUHKOsG(gl4i0<nEQL?yHgh0>Zk_8@<55T0%z@&ueI@||i(jYZK;3o)>-#hRt zG8-fYQq9uRj|NyA;KH|2mtO{NVP8Rh6ul62l^I3@{?NPxGmHva5}tm~C$1k>IZ5ld znHG(?K%~&07sy`8gT6paNP;^(3(3tybaljH=+weu&nG^u`%W2sqd($b0yj`tF>q>W zB&a{LP4Az!2_maHv(2b5TD7woDi8T1uTEkU!sxpDw=O@7uGFwc+u;g3X-+Mxn_S$z zXg~Q1vFyj?QuxT6$P(ggQGh~sY$rw2c_FT%er)Grpy+E*=O%$Vx59Wcf5SI%BkV88 zl?7GqgCTv6AE3$&`?JbTMpwDSL-hI_Tb*ZR?mrnU%9jDguBQA?_DahCpY}pqID8uk zpqmOncNJhtwhb)C?PLY!Kb8APff?n18L7}_BnD;#l-wo?#t8cGwubJ$>}TBx#X-=W z2tVsiq?>Yr*xP@q?aAuY0-%%~eVM=0>A%KOj-$?_(MmSVz1g%-Vy-e>7@bc4e@&;1 zHXZbDwoCsH+bRCL?Lb^5-B{wo#OF<0bbRg#G>%mMS9U|@RzE(cRpqw7-k8%aDtWZI zNiqyU{5m0mPel_;PAQY>aQ%8GW#Xx!<O6$YSYNnlyd>6wNPp|6?1IIMU#m^)m6viS zgzX0QuEl+7WF34p8D^ThE+b$lBGHUPlceVvpqM}J;1WtCa5mn2@mm1BA%p5npcctG zh^pVaMq_#=2t}p^DdwYR(dzcs{$7c62>fe7&qh!A9-mk~UtT>j35hqKyPlq>{1v?J zBX(cxk)yWL&~L9%HF~J#PhxkFUmul#Senyk<q~xmENczauv^HNib^P3YSL%r6tx_5 zYz=&AH<Vu=nNYO!1$v|SVzVw^*SYp)FLjOohQ|dHuGYQY0~Oo)T$ZEt^zF`0Z*7I| zlZuODW<>R|42?;Bk`C0~{8j;yS+(9tcCTf6)_O%ukBm8F-f~aIg^!89$Q6)gALLO& zu<#O_*wFeEeb=X*VX+p-G-IC3EoSwvA8HjRHnEr&%0!q?=0ZE8{`G%+eR+AYG*3Hw zIA7cRhFLvI{--zNgXq8*|CkRk?_<1Uyu`7`3Mnp@|M>NjIk&v~^nmIoocS?D-ntlk z9>FisqZ}1fIoW4-T6L)M%{aMgZwJmgH0IV@xALacSod5(#|xU7v;Zt{O7kJg8f4PH z44~hmJ!7}OmxRog4&%t;h)fh|e2NmxX!~|9dxow`q}at(ZBqqQ1USWizpb0dnL9f% z6%rM6ir@3H{^Div-Yd7y`zFpO+{3@-lxFYG96T2@svUXzlcAIJ3-kh=DQ2M(v%m;G z^2P9%bPvS(iwJ8K*Fm(V0&A3TP1C*PeC3t#fOD%C9B67P&W&DNqjxWH7Zt5R|6AJE zRCipbDvlfXUTPM&c5Q?$l2BjylGq2XRN@w~NnEo~<}%)7?#_##`k@M8ViT?baL3@% zS+=cIdl!WWlU+J>>nrQKJser%FKh;8;2D_mL&W|v`4o4N+VY`@TRg<Np-T;Kttjtq zzo-@RmkBj{DSuU-QJz5_E>E8*auoW#Jx3XH%ZsXgtJ1}%LhF2&P6JA`SDc2p?eCaH zS9}s^(|AHNla)cVIi^8_9363UP*W_>Ui+dqtS0&H=0LNLT6pyIov2G!rVXP7+L(JF z@3MPC+))o{W~xvEZLVcz(TCQ<+`H4o+AFQV5u%2@8A)H77WbKE49XU~Ggxek9DJ#N zs#>)_F^Rbmy4K}%-(l#{(fRdJmc8wCt<zsOI)cV`N_?9C5V4}ZeP~f3HnY`9vb<{n zKTTf^O0TWZS@Cx{dC=3IyZ(&Z0P0_~)=@R%qUj%_UbMLc{ugJZ4%r~Bjz_2(w@VCZ z`^S>BQOvm1jc<xxj*Z>JN3K!iQXu>#bLJaD)y~nJa@<iK-0O{PLTW4Mf~(H6QdJ({ z&V-rL_HDm8b{@ag5!#PHICJG##UBPl5!py?<ZG{}XbQ9)x3zkgjX6St+^bStG<|PC zuq>LHX&Dq-saZ@G5?l4%Vxo<oH6BpYuzW7eQ>T_=;#Dx3gonE?rX-Q2d#z3l_ie!9 zd`oGEh`Gy%=wILQoq?V%^jW%$b+vDV>d;@5QExiU8|xQK*VjbM#VP}7qSP7#S~Dk+ z`hAwS4Inz)eypa<$#D_?*37u%KV?XA(Cb!{yo;q)G5oZ_YK^sT&hah^l@f!gQAe~I zv<T2@74rsF+9qPIZa{>q*Jou(=iVkloLyhq=9HoTUx-0%PWqTr{qO2>+c@8$Ce}Dh z|NPdD(Xg!Ntr+*#x7%Ot0?U}ARg7caN56h!`k>Wh?^3B%nJvol&ca*p=dn+(>#1|n z335gSdM=)u>H#OsEZJ#>-oEjCo%NQ;#9NoP?{brssvI6t+sqU0y-m^QzJEMD*GXYY zG8o(3PcV3VxS~~GmA8_GI9TO!)pb)a>yjk2G&t=-mK{sc%m|z}iyp=+)HY}8@-7Rw zjh;}59z#z^buKC55{c8y3T&B14|f%yXS~b6#Eifegr4Ekb~~FXSf#nObv2&99S?Wb zSOC0wV=gVUSmbBfcX(xjA<00Bt4{6E$%`*g8FyB2>ndum{E!HNCs?)O23GD!v{woP zvvY6G;NkXbtM{QN1AH)orEN#vnqD+fF=JhRmS`J+p*wmAf$`+TK3WSWUv)CY1X6zn zXr@)iWk3(d&xR-m2aK}THjBCYz~pFowBLXs_V1w}eIi=-B=07T(<R^yXnC~HfFaiJ z;Xj=P`s*-}YF%J|{RB4pEH?{2g0pz*lY{G^Rrj%q0kh}+JYo7g`n_A;xnVSiEyO^9 zKJ~cBxw1VVVu&c3S-r)%{bbQfAiF=}rrL0+=5===ISzqL8svIo8Ic@KUqJodB<evr z(IWoT-Xw&=$sk(v{SKy(U-2twuh$3J(Bu<mT0HEd(X;D0jC&>C;iW-uxTNbgCUnrd zJgi(?wZ4s0e7HMO?d>|5(k&?Qt7?6k9LwaC$0~|F9cgv4^Lp~&v+|_Sz-r~1j;~~$ znl+(i<etKSJ{2LEbgA}p&ToPzrQS4<y4Du>XXX5;y~!g@WA}m=&H`;_9s}GZsUYuN z{!C;e_eh|PgK>bn|8gw1WJ8Izc?<Yg_a|etJlZE(Bzf9qp&->0_}9mV`>?GS00%U1 z6liPjGmVaWGsrFI%&W}}E02dqn&Dffjew&Kmt@-T*QuQ^u&Qbgu$=5%6r>1{%$WrE z*RRRY8wlL2y})Y4-T}+hC30CD4!!UM2yaF*sb~VoMv;d8kuj0LNntS+8utP3u=80@ z=O0+>J?IlWDkP?XV&h)oa4(Vynoa7Dc?#J;#a6CkG*F_}Nj~O<rsB`Wr2buTlO!U` zG<czv+ejO7{|z6_EJRUb8n4xyZ{;S_g4}oO|BikCD$T5lb6d{w;epx);j8BY2K7T< zgCw&!4umqZ_JuMz_J!Jtcvv#I_JrCvO706uX^y!*SpI4o^dMqIOETr4U?{@#Se*Oy zIjS$+8BMnXN*J+<USFg7lIK(2)yiWOUylFfnSS$a{Q4*xQiW0o{@JLG?gI;oMCaCo z8+i)8{q<N&$>x9rMyv#fuMzfpU5Nz2g0tuE`}HQjPfPUth!ODlgugq5t57P{?IjA7 z7;2p9NH(eyACbDU^7z&S@%<|+taP!KK+^tt%o=ko^OdUG&0bt!$*m`3<n%ny9`eO8 z0P0@!T|xg1FKyi|y;~0yD|r_Ba7|T3Z#Qb2!<xOz%$vN+ijn{G+iQP>ie_f;3hs=Z zAxIzzdD`;KRr^K%@?U;!^f+6#?IcyJFjw20ufw~nT?k~HNg(fEplvqdt?dRTq5b=? zf?WzyU#56wQkvPsVMg;KN4F56x&X;euFKLwCiR6@=JsvgWoDc-GdthFW(UC0aKXv4 z7_Q9h+5&fTiMc<WSfHi|dlgxJKAIWGxy6&#{ARQk9cPTzTvN9m)ql$!aAZJs=gkR# zpP`vDBx4UF_|2rtX*AEB@#vlr%?p4vqe=3L0X;@nec0A1=c{uxfm!uHHQ#l>xUY#? z9aTF5LdV+tC|)ib`KmtVu8*2`jdz*W%R%nl0yc1xQ@wf=NsMXq;r&n|6N_E$w$lgT zM!5n9?^hiK$eAoc`Bqihd6@5$el~%QQ;`PbzE=8x(CH$$4k$rq(&^ZZlHy3L4#|%= zr*4rHIO#;uJ9KOsAJ;O(Em#K9d%0mf>LR!ywh$tlFw!eCr(QMQj;#5ojxAUA#XfVD zqDiXo1^E2uMTwr)WY^TFfrE!!!?qzB=kW%gLa+3$Xs#|gr3|3qCC5oi(rted{A~}n z6C0XI*Q!-Tb7*vHt&>D@>C|FO@@VZuYb91|eM}I+XM1><X78P_cbMiXB_Pqi_uywj z#?j0z6l*1)Y=y(F=Ix1w3WM9nsSH@pZn_=bpF_CUX?ewBmBBZhu;_BM&QS)7l<l5} z2t>;aZm&?>HNV~Oj72!tjW>4*_eaM$(yr}&oC{98=bh@Xic;{gZOx8e-R^vFyHn_+ zoz5rs>?|U}xdyyBY}_Bj)}&oU4W3vCYAnPr_ZMy+=gW~b?xX(hqn5XiUus_NT5G>( z|6m^CI?=qh4i6NRa)O5;pN8Fx9;~}p?hb9fqfwF3&A;q@p_9@n!t1-OjpF%$<`ybq zG2=NhT2f`1KFi!5ut?+d2EXBHs1R*t+eD4BkLLbeo`@-8Ty{sHwWVa0>BsWS^YyhY zaAGl!IWj4*4aX|?$@>ePj86Plz_xp|nKysc^x~b;V+p2(iv2R0z9gL}y=PAgl>#-F zq}hXnHpJ?xrE3E+t_2CbaQq%Jd+9`jNK>BK_<Of3Q=nKtsV#qicLc=~8FH(_{P#?q zS5fp|D3oM~tqQHdh!M4u2}U?pg}h+Ifa=HtqZ7-*4IidXI4Z3ejCL#w^TCK7<yHYk zE0%@UU_=L@6tODb%kuh!MF__`%#6Ez9>4!IRM6<-;idJ;JCm{S#}1bw^oU3_3l@%Y zvF{H*^Tz2Q8S}X+q!!Lkq&h|~6h0qxKXUHlDWd66`<*Oz{Q~|q*tZ9S&o^(_Bxg`X z9ySERpDSGWc1pSKrmB6_*n8UTF{#(HP+1ApfXv<8I!LdFu=RM94e#5vB$wPFwN>qR zRG+~%;pcxmmwB@Pioa;Io}gEj@8+&a9z$km+eYncGlUP>Or(M8N~7r=Rp$9=&!6K? zB<%9s?YSt6<+r)A)@``o+PCSSN9)IGmnEIY(T=zlOJYzUH_Ow>=TrfE@I1muC<b}& zt5x*-%<E8pF16MNe?yuR+&VWs{`MT)g5%Fk4s{by9qqm6;Z~{&-1m_-#HUP)4Edi@ z>tDZP<4v^NOY*=CA)BUqE+rqb-d2D5SR82D&K=VE;Li#_N#DAocYo05C#|xc*y)<& z4#`z^+1r`qF;^$q-k;id0X?+eUxy>4$xB+=nxG@N{6(OP;duqnMf?3!M*0smC1M@^ zc2cU&J4f(@y%4g`49^$kJ=RITpFZ=4t198>@OP0?cHTxME$>;8O~aqlC|Io@)gNnw zz*UrXwE25UDLN%kaEX1eNdH_8ETZa<^&`RJj5dECSQJAg1)>+}o;xc*R_m4Z$7XS0 zwNIOWaCGv+u&IIiO3><2=?Ck;umqcUhmPdDcCGMzD-Zw4V-MHhU93mPhT2)(JImFl znhEt9H)H6Bx1(pP4*D;+b@n4T4%v?SmRPvgK8$*GQyj7oR<)T$_|%`Xm{On=kE_Jj zy&7~++nr*K#XnJW)T<UMKt|po_Tf3MN^rI~?4S`G>aU{PU3qDrxMC#f?YO;sk6^UY zB5O&pR=&!WA$osz_2q>_W$v(520Vikj-$Tf6VkS+IJ~#otH1iIt4UHi+73<jHkSE+ zK#n6}GF2X94~8|XETkG%N7}_df)5ATn>{@+f#wN$msa1Pm^LaPlOF=>NQ1Z|(um>K zgG(-ZiK8S{h}8O8K~mxqZmOfk)?+ZKIYu&yaBC(U6%VW*4+^V=OmX0~K8H2O<HIc6 zw3W{9eQL*!TxTZ6ox2U;E~<-VaF^9vBSCe<C#Qz18qUKaq1oN5t2uuhO<d!v;p-Yr zy=HA`d2VzGj|q}1zJ_b@YKl=W&Nskn>2~oIy|+iZ1>c;;oK@_%Z#-dw-3nGsE8WTd zsyR}0&~bfWf3!%jxuYT^xp=c1D_MJXMDIr~MgFG$+k{oRuc1qoG!m~x;dK1ecBAbo zM9z$&onVV@%BH!0#<Gj8k@0|INx#VeY|!yRZATJiygh`_FS%J{4WDC+>Rgb)Q6N$C z$4`fhrRCu}Gb5dgSC1MONfvuIzbzJVGj&PYm3X<{7=2pF=|xC>Ueuh;GjXWPwz;8H z7!g7)c>kPNSHo3$zqtDu@$xvNt1`|@x85NddY!g7=*ebRE&0ZqDk4AiaN+2m-lCK@ ztY(W9rw)ZqmFS5NS0Cc-!Iyd-91G@Wq&qi5VJp~2Bs*_YPF|*RO;q$m9SO>4)ttU+ z6ke#le|)*>n;Q^0z&^4UB~&`)I3K;HfhB(ucaeL%dN}C)fkk(X*Xrw68)K;+Sf?W^ z_kTcsge)#9IANzORD^r0J0hP>F36UW-@e97EF%m3NV+!vzBu^CTh&v(uMC_{k21*A zX5)O#Pb>HJH7YkZqd@0LAV(6ad~;$beg7PeThwJ=EFmAg@l7MsgHOtXqF-=SaW(O8 z`qDZOz&?9)PFl;@UcWx<Nz9xhP3b_uo&=^2q-`I*`BYoO?tvYAK~bBHZ_QD?%d2sV z(I*s|eTkD;Hw4s`-n}H(<V*TJ+5C`D<erW$n3?*6&id;GtLu)V`r~Yw^f(ehCV1V9 ztW4*8LMa4^#k_vj)XZ8bFdR&hyIeTAmSarofVX;!W>2iDQ+~_v?Lk;&q}4cMWrOMX z<{l1TH@u{jW{uU6XtGAi=%&p;@?HmTHxon+Z%`i!eDue=w|CfTo@lendf0~%9o@}~ zlDESrhrR43s0=V2r}&_tmoJyAKJeki__6MV>c>m;VH$PV_0QEqFG{%#M=xEzNfk;~ zpI%?jj~E#7yp70`=^k8g8!ElDYWOAu)v(}3x~#!*{H2i7crJkXt5Snj^{<CkO}BB$ zAsk}cSK335aLHL#ZxC$DN~(2k$;S1_<`nAUGcP@`n0gv;S8kZGwkNyhv9ZdlFNN%u zFN|5gAvBGZk;@TwH~YOu<^ryKQ!+9Zy{0-urh46sai;l0A%#uoMMQMt_uB(R^F48t zvVmpej`^0$j*nWBXC3AFyj<r3WE+<hpJzbghcYZ%OF{TvxyJ~l>FDF;&_Y8=A|@~u zz~Hr<lscG{De1h^n|r78WZ?asffM7{<7S-#AaVsQ@`u-Qr&I3+53Z&0zUX8t|D_Q{ zM&stD?82!a2IG192UsDgpD9RYNfISS*hO`k2ZP>!M!Lz|#NV0BXhP(Nog_fV4-YJs zqI#-dNhUr<v5RK>7z|47LAtR;5bR9iHvpMG5{@6<HC<9+y=QnPg5NzDbnQFR?af`= z|3;*Kf9mJ*OmEK5N{3mgYjNDUVe)%nMx66pJVuFRL(q%vm+W2cLQF8Mr8oj)Kx5>> zrlx4pRSz4fXUjF~tlc$4UNzz$?tc7vts`oySG@vRqMXYo5$WNHl$&OyBvCoHi?lm= zgKX@v=yJ$9utKIMwGHuCCAcK4=b>nBX|lV@PEJ^H0-+fobQvwQ!WQ83Cf!+AbXjCl z7g7^6s(ii`j;#OGi^xl`0o%gCwr>uyliCgQqXUmhJN8toh^TWSL_e?RqHHZp?InTC zs3B0)2NV^hIWsB9*arkDJira;CrIdVP&FzS+&Hr7KbUK?>2i6~SY0?4tB0GP$<dxR zJ-D?g>%4R5D<G0iTP(@(Gc5^{9V!^>Eb7Le$~`t76gXb$PCRxi59lEGSyq%QooDsm zS=!|@w|y;S3ccu1kd!VotWL5`nf3Mt%N6r-x<H$wpp%Hvz)4|ST-D`bu<Vp#=#E~l znulqD<v~HG9z(Ev6j#+zWFncgI9Md<lxWy>BF1r=#s!%y53bIR&1EELANFoo9Ng#w z&p!!BY^MU}JEiXBO8P#?Hx}-;S-54=R^>8k(CMPQ)83n(!?VAU7c0K0&@2d^ghaKb z8}76TPLGOl>{2Up!9&rToHh%8n6y>8kfS%vwD<Dn@T_lyM1f7dU{fWsvX%8EWCuQ> zz=-M=6dr9KFmq@u=wzusa8y|6XE>5PPj-^aBcUBx6Q?<$_^IHcR4@CAnr|;V@%M72 z_gZ65xy`F>1`d1KkJv5?;n<yTOuBcWKQ6^)E5k0updsbEa3o&n4w3G|AuB(|V<!2+ zhopGUj{fHrAAf}My)O%4d)QK7;XwCNWLf8RZ-)!pUKYa<yX$e?W-ix~@I9|jV1>84 zZQ?DQdog@>|NioPA!5lAboqVc>BWF{^jFriD}~P$y1qODU)?YG@0<K2&3dLB{!KYt z_+P(z&)FOx&a*16lkq(YDi-B`6ofpubq7~fIY_o#>}}gCJz}Qgl!?F3IDQfP(H(|) zCBpX)BDSuBLr6K1UZ76~=S-F6VQ8W4N%<rAulY}HjN%`#lx99g632&pxfo?|561tB zL|IgPD3Qj_>6QRLt*!g^ms{ercMQ0BeL|Qhea~xi1u2c=6U2Y4VZ*xyHg|ssrEhS* zZu2lmr-q3Xy!}6wpZ{zAuV?@0@!<Ow5!EFHeq*L1m%d@QNLYMGb7^+V$TUl<3#%`s z$Eg~R*E2-epSJd|OaH%pLny{i7e7raf_MKZ5B)B?U))E(R$|7yj&i>Tqan2zvvev% zIM>g256lF7ypSpVnTR&(#a-A#MY_^n1^j!hN$7W@i@w57MIX?wQ)K^sU50u6`wD}> zEnv;yIgySAEp8b(i)Qzx<c*X9Lj}o-S~Eq_+=EGDi&!>hUyc%$f(ek;eCSPC-h38M zA3&FL8GXsN-({n)Zo?i%wM)VNKjPjxE{<kv7fk{|f&~dK!69gHCqaWt65QS0t#J(y zER)~^A&?-0y99R&1RvbpU2c<i@BQxY`|kPU+<Sg!|IzfSRZp#2Rn@(E=2<ljKOXK; zD!DDiXK-e=>+AnG#(4xGmOu+Ipa|GLwzxtx$(1%nevV9LApC+X@c-2EsQ$_(gm}G1 z;|9(Z(Q>7y)wTG9iR*@`)*o!CY^X*3pIVyx3&Y|f;r_1;c-g!vSBtxs-^u(-1{l=u z3x8j;gzP^_U&ppU62S|mCUqM1*ZRuLYl4}G^Iy<gY>QBFXHtO{C_B#CF`-oY&o0yt zsabDCNGQZxN>)Y=UefeYicnC9lQ+NlEbb#A5*p0k7Pc(@MuZjwkS;nO{sA!F7XqVK zTEU{cB%%l_Sbn&OaP*Q!r~ACi2OYKZ6IOrcM+e#^V^G(pEyDMwb@qLMQbdSCJd}^7 zOMS~#5#fyAc0r7O1JfTuFNa13(DbU`H(p`7@AvSTxN3elr6FdCPy0vqFpWmBH=kgH zIIB<ZLC)cSK!_y0Ago2g;3AT8;lVx7f!6q5o+$m7Y&d%_-y1Lj2gR)a(j;6w(1&~W zHt}Ly)b#W!gJYw|A0_b|T@1o3her5+&xzJUZcaV?q>Cy^)6=aij*ZZOdoLhNa^R+g z|En{>l5_!)Gd-Qo;n)xh{7=jTxEy+tNf+)arl-Am92>X)DJN!ik}lNMO;79cIW}s8 z{!=sIl61kVYkHbr#IfNP{2y{+HY(|Yz}WOOrG#UHBji8igkE;i#hHcaX;eAK#_oU0 ziP_qut17#dQ|&6JdndSBq5J?Q*@7}*gtr$uwUWUyqLiWvO}F|}FmNq1g$MIX96poy z6F+J6UxV>39!41dFMSIs)x{GkL(Jxmc%R2{?AUJ_1K;qyx(DiX|B);ImOoeh)4+2u z=z$#&Eq;8i9}W5f+!kur+>90K)Ou4sq7?~Jrs6`Ol?u9dN%lj>$2JRenLj6#FRdk} zU^FzuxsQyaFO1$;J-ZLV{|uuj-S@$h)aV}ak0@(kta%?MQNS=sL;Cz4SY+}?*8W@W z1O62LEfAwSzWE620B7iKtZ>F}ON|xY@H7A?<23^|aWGEyYlaVP!n9JxZ;ZG?Y5tso zP5u?5|BB21dwvQ2uN?cIepzTV60^a3Zse+*u5z?%Tuvb6ifA-IN-K@gNhIZWm9~$g z4EK+P0=@f*QG-2=|NZCTv-xLYK*;@Cm3o2C4{VJFBXF(mfjZrP<jTM0&sG04aQ}eH zS4SsW94hwWo~=o`atE&+LGapH1ux)rbg_uR=ZPp(7-EJryNuYx;yBQkG=EN%G+-<6 z68dNNkRW+9J@gf|UHzJ*Y1m9pP84KE9_<B|vSKt<=vOK1`*j1|g9Iv}y+!XRoN01o zs+y}#k~@PeD4_{JniSAf(9ysJX#p2xL5)=xLaK<y1wcvvcn3Z{|Jlz!?EL>5W>wPF zneEEyRi)F$*uM?)e~c1<{`X;ut7hLn;#b(iobDIluj>EeU0}57{W4G$gNxwce?Rfn z@g?`Njpn~(cKdtz?^Pt^XoDJ_Sk>Dxox!GicE)X2e&wKHGYmt}RM2=3!Ok=w%nE4o zShm{Kca?>4H{jv2CWZ)URzbrDM!}odSZ?!~1bDNaJfVs;051$x7<dmVV>(+PI)~ra z^Gp1zUgi0B@ZQG_xK|_mQ73))+r(e8=ilZGG2^@*$5CQp<f|{4g58&b-GhhAiWs7- z3lg-=98#}{#*BGC&OZ~fjIug}0{JYDCJj7mvfdh5n^s3gj@65~^*lFTYn7_IW!bUZ z8hFL(d&t2P<L$-J9%SmEg0wfL8&DKz#>dqOr6zqs?Wh!MxD0;M``N`9NkfEAwJ;Hn zjugip_68}ai<%I;8cf*l$HYM>{x3b)J5A7i{F=~+Z+?zL_oy2%c#4Yr2gyX#4a%LL z?pysOAN`T<E!@u<*aJ1`VIsSnrVj>-_4oigtM&K<L(%pF>+x-dc`=j}qT-r|f*b~p zdCk+tq+WsI^n5N)#AVvEl!aQp8mll2(5aR(9HWFS+EBfW5_9B<OMMLeY7YFVC}+Sk z$F<bLi!4K}f|#&8X(xK!f*-P|LS-2xb|4_V2+G)Wo`2*=1R;y0bP<0nbV)+_Qr@g) z4H2e<hOjoyd<wDKMTO7MxiJ2YVht|-@-T&@m>K~;jJRI70}8qmD4xq+UY~;>xQNZV z_dO(&FU12GCqxl$0nK<U5u&tDN{A5z#}D0`?IE$IWEl8%IG}}c?^RWOCD`l(16xr@ zrbl8=K3J)@*3%1~$QKSTLCko~;UjI7NayPM77%Fjg01A<evh>crNsw@R<Ww9m9fD> z3Is9tWmI^a!n&&LQ(Y88P6S|#WwiMpPP*p1K3_-0blq}&o~K<L^s0BI<|$sRL(MCK zdxMa5u_0>G$g=M`IcTpPd6KqVuq|qo|Ek&)Q%+S_G1C3ZRUH@zkV<571D(rp>Ssl4 z-_ohE0+HCP<2z!?^#e&v?%>!KoOW0df%eIFMYDfgJ*j)j)$z>`n$j5V4!(uD`gQ{{ zPE=Sc>~YMI@=IWLY%Q&#Dl(}aE?rwu5ASSOKO%QU5GS8?a=~Pz%ihJo5M>aCm41K8 zYNsMS7_nZ8IgH9WVM0%*M(^>naZSRdOV5QWEcH#(=bAM{_YN;bi8|vf+!+95E2(b$ z8q;x?9@2Nbq?d}vHSUTyw$}1e+$Wn9rZ<9^^eXNjzc0nz$aOtSozKVdR&3jqO-$np zO?{*9Fy<U+-?zb!UB@Bq7O37wD;Ov8Wx_cSOL31MTaaJZ&FB&TutKFju8*JDV8q13 z<Wk(XU8wmenJ-D^qL`D*BzXY~bOtz<_W-&4)Nlby-NPJP=dpp%UvBvQ)1@TJi#%&P zHVlsD!+rNvcFwB<p{nkoYrE*Ov#T>{7_@ungR^!gGCxRGx6?3kz|tI-UFvQqKVUA7 zD%8r!7j2kZXE-oFsr+PcpHy*aW5e#Vvj<ON8>djz$b?@lNhLEN;--61FqdS{`z}KF z;^39KU%`5hxvEaJi}xZg>8BFStYI*ZN}rYW$|bOuXA;$V;ZpaaB#VhnU;$C<2LVrj z)RI4fqQv8X?O+mCIhPi?!48DK0D{en%)e1n_(-r^Ryre#>bHYaph_U1|8GDG^KSq( zh5c`YHeM?DoA^)B$hC*YlInVHfs&d(aCuaC$k%&fpXqu|qE=zO97au{$u{9lEL{Q> z7|fO_a6<Vhk1@A+=HD1{V*@fm7=1;{WDk>Qy4T};Wu#)G<TxDpQeA)Y@vMv<^>Ed- zC?3l~(!%kJ))BY-O(1n@Xlw{2R~?XPHE;)gG3Fb$7AnMvh;$lb`mA_)mq6E7rMUQ% zKNXK@hy=lWW)`lU!MEw%&a~pL2X0yP-4hXcG`MNthOY058j}9>-8z*~t2V>YPHwcr zf3NC-nK}-f-F)YEJJOjtxyqDxW?MFkWVIfiq3dWT!CAE(nxZS?(wbTWT$M2mU<kQG z%9tX+rE&+{+G_&L_wKD>IG^qg7V+`!U+WGO(bO6a%-u{rTSH23?ww4M;O!%-7p+^I z`RVMzUl+d~${%)SuAWNbfzM_R_FQawurQfKnxPAoj@N|$v@la|tF8`6PAt|iSD!#t zdIl;a=x5j!e&7OQEhlw{$&=59Bkub|OL=v44+(ni06L^NvHV38oIb*3|9f{^=mxGQ zpSiU>N4g&kE|2BvU{NtH65~8L*tB9)PQWcBjUDA7FX#`rq!(pO+rh7qszGPzZL<0@ zbPegzsebks(FhnOm>`rfu}&}W*QEmY(~w?<oWPS_@n>BA1-kX=v2_$B<V#0u`GW%A z6|>uu&6C6mJe74qPR<VMuRL!Kde@dt!cI0WexKBCB@;H|sMRM2J3VE@tPw06wlzi) zn~Nk>PSP%M8ntYHAxkpslFP0tkNm=+*CqE*>zg!fx<&2CGsbTSNtLER?T8!8NOnt6 z=TL`BE}g2p5-cy}EbQHDrMy6VQTq3Ni&sTr_@ZCcB@RFGj&circ&vVv(2h|)X)w2v zf}~}iDDP)o2GjhUgZYZdM4gTCV}B@o8Nx&2Mr*<PI)#(!>w{k9>%xA`N8R?en22w} z#=ON6poj>*wx<rc;?_a5fQ4ujcgR~3=8G5NTe?*nLta}p9Oe92)MQ%YsV)q=*3LuX z99-aU<GRc^D-g-#hE@>~y7jQ9Y)A<*2kYMSIPf;j)q6VkdNS?lg^pg<oMdNsYRoFH zM)VNBJZf|HM`@2!$V`ALWaca;Ir~4<;?(5O2=2IG;IUKSOhAuT2RyK+QU&8N3qPt_ z5yUA7vNpUc*e@*0e!z^`&O)bAtz!!MKphYd?N`CZd1phoq^TAvh4BeG+(#{zlAZkv zTHSoW%p{h=8TSkNl033YDw4jboL`BKOpAp1wYTp)K6UcQMtq5aIX%%->LAst)>?z$ zjYs5y&vl=YaXvj_28hU3L(!w%MocV15^=)A!NDJ^z7(&*e2VvkF}NK%JSG;}q4CUL zD#ZzV>P`Fd(@yU)a6S^FhCF&1#J89~ZZnbR<YnT=MQ1AdSvr$zI(=MBv&Wty-AlS2 zqeK1L#I3a=5kNft5^Tg3(ZVEBO)s8}jXz?ug*Rbh<MR;^3zbTt!=7^4p~*{y8t?QT zv6e!hof@I5lukWE-^G^-$Ec<xB8H?IWLTn6qQ^yuh<64epYiHlNm%G;MkxmdAazRf zNmyi?#AC$BS=Yoxj(ZYWTc~B{P>W~xhoDD?g43;SLPShkf*#GYN>#41v@t|@v-Bq7 zhKgf0J_;CGEgyEVNNjdWk0xdo$FPr%*AMP^UxE%U$eFzS(1Bk(ift{~#t_4qsYQ9j zQ|Lj7JT`GsyWvZx;0SEJjyFa$wQeeXJB1?UqZ;889!;vjbb}~wf9k>QL~gXwoqmW{ zTz~i_7Tl2n5D{lfJ|Hf91kQv|_mIW2r_j)xr@%n~J<*ftX$Il%DtOR?U|R8PXHBD` zC^RD?V$&v+Xmc_mEy_B%v}axCiDBsN*?1WTxVSbtGEqZ$%Q$iU8&}<I(fQm&#I{rt z7WFCMm|4DmPI<<O!okx+M?@T*fD(Q7-o&EZK$4+Z*`l226ZDy?RfwdCvjcD_mt7|K z5U?ot6_Paj^4qZo44JWE9Vs?_S#^_6C9i!Pt!L;>riqCVchu0i*J~nTvekI#IY)vA zuYNGkyF_&Sw`oQ@k`G8&G_gtaktoHMtclu|p@h^4B`RXwLkv)`R|`oCAEJ-oRK^?N z#vRaVac~I`(TXh*y$Y3jobvf$R-i&EP#PW^9<Ce+9eTpZ{f&x)m0i-pB~6A;A>F_- z-W^vp`GXxLUdrLO4__T3hR#ijP@;KPjPRAY>^OL)s=--Yq7!#C&t^#s|8VAE!mw*q zUq&OgRA$%k?t7w_QDF3-e#DSRr(&qovw(?ETxRs>s)g<@j}!*6C4Ole#q2Mvz)Y%Q z@oM53_giOTcpo#5%>lkts1%bwdUPc_71vZtcvm%2JT$Zq8>4ulg`wkC(nd%ja_F22 ziHMj*y(EoNqZsLNCOgNhM);6L^j=j|&#JzS!cvNbgoTeM3_Ut$#i5l)!EVA&yXoS5 z#hH=A^2*|Nu$3YOOHe`Ahr)6Vu&jrcALT8l<uus#{@TH8$mCj}5x3}97>ky}C~%u~ z%O1k;wR-Bw`*wV1)K;N^Ww3a<VMhbIDuGwd9saF-O1J8*x-G9=M)1sTRoIFg7Ake> zIpeF(?<}9Pe&p(6AmdOBBh3+y8KKl+ul?4YYYZbGff^qoowJg8vel1&1_~1@+t1S1 zc`de%`wtuF6a=z)2<3aSWfZiqF^scUc`Q%UczJA!k7O{k7wStaods1c2S`$QyFH<+ zHLJEP>F+r{II-xw=;3zy&M-cZlcn<(66sW^3o1N7#cD(zgJwc<Ltw!{=$`#u?n%gE zKotc5pYgk0nqNFT?glQMPCR@?ylVx{Pr)xm?i79^9`ByoU*E#l`Y%VWQs#JK1!C=? zcYBi;ce)vA>9Da_r9rjj-)sGYA-<C`eiI*)?iTNSue^?KZ<=#OMSN$kOa00&j(69v zwleHQZ1rGsx3`{05e(kdem4hl2cM@2npj0|Zw+;Yx|&7q4uGxI`R+|0SI=4oit8Qw zJ)R~Cbe{~7wY>~j(V!x%$ogoqWje!7&mPk%mai6hZgysN_F84!%y0d2sX6;F48osg z^lo(4M`v`Nch8O&Cfam$zA(Soeo{uze*{1Jv44G49I?J<FWNX)e|fk8%r#t;kyJM2 zDKN;hEuMz^0n}1gymn+gDCP+XmUIXy8L8eGW3a~S<F|^5mMMT2t2P^ck+<u-?#k~q zUfA57_ZnON6Pxz$Ztv;T;c|Q87hI!0Hzhy)&ac0Fdcb8#h4}Ra)ZQ{Fo1~rXiTJwx z4iuT6YXr_VPghT|F3+ZU_r{uL8?RR2Ywk_9A~!qs;<iD8gF5Egz~)($<ouUw?d318 z<w|n>oLHjsaTd!U>Vq8#@A3U^*(uDTCVwwFoqTP7a*!{#ayFnR)Syu$pufv=3lT^{ z>jLWU%CS>0#e{qv$G%6c<<FzZQjbYuu)cVei&N)CCZV4uqRaDnQFkfg+?P>(ZE5@b zEAIMOY+B>5ySH2olAQ8HS1Yl1u&awZH23Hi8-m)Y=@iQ&P23p#H<iCXxfhU!noFIx zA&HhW$J*{wlcX-g9*UGUJCmd$etGC;5x55MOil1vdsju5@U%>wTV>}*7fO{T4@v!) zdLmSn<YC9;v<oGf?+~j>^0H$(C1rCTFJo73^m(ugTs#nZw1O~C*jF>#JY!ysfuT++ z)p`;->`-CI)kh-L`qfd=OpIj1h>1fdhQGRS$bo-#zQd?2iG;P25Oa%BihhfcTrLw> zh<$|qJV7O?qMr~+uUl)-KdRv5!?KfhfmwqmKE~H7_BRNc-^6=T6CzV`1-X>mE#hMQ zyVT~3*aqWYZ!EYO8+8}wMuaDqBUAHb5NPmm<7x1*fd?aaP~&OT2k;XvVDvUK)V#JV zK7aG8WeBQI`T=9+r?)wp__&SXIsjooPb&?9N>{SGbmE6LPP7bRYZHdj6NHv@2PT9b zwtFj}1qJI79v`(lPbWZHsb}w64SCJUh92k{kiQ~X`_As=FJ&<#G2(NJ%<N`$1R@rl zHYgHZ48}kV#%v76ZVbk44ElTfM4dB_p7M*^grk-Hvmfq>fuyT;5n;XMZ4jekv|U6t zTg*bp$!9cQU@vWK82mUyor^YQ`dyZQv-QDLpK>^-IOPC(2p=cjB057GKE7R_S?H($ z=hv|>lobQ$-(AfJlhPI}JwtO>h|)iqduXNqDU1=NYngjkr7e7S4b2_<Vi@daMwp&K z)Uj$FiutoIbIc5hh=a51<CoW=eHdR%V#Y7{_11tjkF<;>SHHhX|0vigqc{hNeI~(c znaO;*iWI`m%Me`inzHYJSyXB8@HQ`6FWh}_Fz)1haa%t^ts<j<`<t(W^3PB22bZc@ z`h$|bjY{7P@%x6|30*rTIdSNp`9Ggb=*{1Hr@lTM0e-UaTgFA+F!_Es$A}3eJ$1{! z=HJw5UpEg880|T(_n!DRYbiodT*~K0ZqRE~9Z|@lC^B4J`Wf>mpE-tXalDk!Zo)e1 zceK=;QLR}W2pe(hHR4Mf#qS)<!e#ze$Hx?9JnmS@9GakE>c}I>@=Y-31*nm&U=Dq2 zZhL@O@ww<Mk2wauKU_+v2uxJ8!^`HucDTo2yRh{t=1@~H+k=-@2VYGOBy3-bJl58p z5tbHdUbO68{64#AqOz<xBism<3(}4`e8*bVea3i}G+_y+$DUaFW?IweYYhcy=N!JP zt>cwrJgr~YtaBUXGp_a@S5)*n?DkG|vyGUG`aH8Y<xIUUbpuX&k2kRN`E|aan>Q7t z-E#QewyHC!)3t7}S+6z8XFND~_A9B|;kI`Qm3_qgm5=Io4kAT=O+f^+OT6$w{&#e( zMC|tFKXo!59yD+CnD9MZ-9h}kh5KBDPVWo)T2uYV!N{7Z4?`o^3h)%XS-Ct88+`DN zuCq*kk#YHYeiH%-LL&#}RDMC1gFNOx9x83=H-Qm~f4Uy3s*)jI6gBj^t}k@9N@SG0 zAi8OvH5RjJgmd^pHyhrVZ#Z<zeDISq=#!C>ZAB9JZEz3=D^;vsRIdKY7e1b7+8`=- zeFQ?noIiQw!wVnx)ESidoi1JyTDmI@Drw(R#5Q?qj|M!u0i=VWO$oXrN@dygBk$i= zyAht++MKZn(#?HxdJMTHil(1*G%tU?t3>X$*0nppu$Gl2dL-y*=>lQRDZ_NMyx!M& zai#0N@Jr|;bJfN#nTZ@&q2=e9I6-%b>J74*vMINX^3G4EXRd_v`|CMDt<({in6aDu zd_qmj^ZPonK|t#fD<<Y(0N<}E3*)7N9XL<N6pHmyesmE}C2_Zv7|C}lCI_Fu_GVkj z7$qUT>XISb9J`62pAPjiXJ@X7;}3rRe~X9TZ+ywFt^~Az>c50QFqCcYZM|t;q?Q5o z@x@4ri^8Q~9ixVi_vCG33{62mLbciK+&DD!zr~+L5-!k{wvu}0?-#eXjhJL2H9nvM zwC3*|64WZWHt*2Q&!@9QN?PQAX_t*LyWzaQtX3hXMPj=@3uFY5%-K=1%wo7WkXRlJ zWCl&YiE>9JiaC2iHuFYHyJiV4j$9Rozegib9bN9BKHO~kwO#N7rX6)*beUAoRAhgL z28p4qPsN3;aeiC@U3v5mkEUPEw&_a^a>!K|F=sEygle*O_qNu>f2|FI>ky27Pz(-m zQ~y+9dTu-p2o9N^0*5KfFgpmAp}~v33t&-3LmWePuj;b(?9w<8988x+^;7UfW4O>^ z2<V65TV-YkL?dx%RfmhS$aCQ_iwHF}!KhKuAba2hu;6`b2T#Id$|BWb6LaI(L6_M) zUX-`ov*QnEoZ|cprPqc8CqIoi&c&Ys-Mo~>=fhUUR(E3*W0MPpLtk6{W#H5a!l$&U z`xypy_*~=DY4IjSmAW$Qyb~`mlBb2S-Me&bagz;p87%C=(H)&DCaIcd9EWC9?tEod zt#FM4YouR0H`uCzg~!QLGYJk3;$v=DbQHyEg#yQ8p~fUxVlz`aou_;jws}B$d*Sa@ zpN0zh*Vf|E8Z%mj)x+9?0fjs1>%s<wwP!h1Zp7t0ZNb$QS`9xc-Ei>Hpj!A<Zd*o% zIAc$s_{?@YV-+nl%+JKe-0a^Imbz`J8sexup`>dogx9Km4tCbeQwZ0tJJI1mT`N^i zc?!0yvB4QIg-*D^sPEyxKZ+fNa4*)Bd;BNK!uK%AacvS1^~b*RBv)#$*x2bVFENMv zR<{kYq8a52hCa9#PfBQ+X5M?{&vhp*JQ%rU%4M1C?pqf&!~^D(&UNkx7@Bn_K0Fv} zWlsqf$LxeN6k0Es-wppu9F1%*gack8DWON2T~p`l@UbJXr$I4!(BUMXG0V^=QLT(D zT=mQ!7pNW#&mE2{oTvzj_e0px$xGk*ZoEh4j!Y)9s(Qd#r>j`_Whw6qRQB=b?~Qqd zaxhSyLImYUupohmWTGG9f#DcmzBgi(1SNm&!|UJ?Gy7qmyq)=tjuQx>-23=tQ&31d zZ+bsfXWjJ?OC44i^OG>!60fl;D?WFw0Fg}uRk#L42kC{^Zn^i-L2v$9$^|6DZ)&D! z_PSnkEvyrhQO}qcJ;1Igf6cmXyeoiUJUTXaC-T?zyM`jOj$M5<+r*1#s%;58_|)ZL z=W5X5=3!mw*9S92qP2hl!6&XepAFV0@23v-T0^Uvnr_bhjy8L9?|jcd^2!$&#zo^G zKoZHC3;%i>{aCT{88(AwrBRrgej&vTzQ)GGf$)^+=@ik;{faRMyx;pOYZ+{vcguOI zFkQ<r;U_jL3%_YOmp6Z9zgaJ8_g?ODgZ=z4oW0tf(x0Ez?$ZDmvYJ?T#+-(+l~Ig` zU52;Nsf^s9!6BCyOFOw#3ht%tF|!ln&jtMqpPlgXX4sEaH9=Th)g*3A`nPwk7fnCi zXe`IVk_H>_*Q-vp^!-eM4Xc$)eYPVC{nery=*vkMyw|NY*>!qy+jRB%EA$XHFj(ta z3-_7wxy?Lz-T?d<6`i@zt*ondt$b%Nyp>t$BE4|D@1Uh?B_kU3?rE=SVunfBB3!vb zKW2jH<XcOOsaxlv{j6DcOlm4AIk_v+cA#G|9qx@seZ9`)O;@8Q?Ht#Oi@4ZW#pSwM zFTccmU@w<qq}$Q_C&RpSjnlc_r6X4|xoc<`qG)o!i8r7vJ?dWQ=A#Ldj_a^7IWs@( zpBB0?s<YuBUvUgWJdk@-K<}G&jN^M_f4Fbr#I=b|^sQRf+{@#|onfu4RoR-=?JHff zi=r6vynN%i-v{HnR^}gTNo~noax9FT>zG1x64~A~)_V9f+!lEZUyL9fY%D2djPEu1 z+@7q$clZEd^aX3_1{^kIm8kTWv_sOn8Ir)~TO#I-!Vu}k1|Nb2RC-uT?`^X@V^!(p znu1GTev|v;O*(`U`yCS0357dQd5Kk4ACb}An5DX4nrBou)-WaPp&f<Zqn|rTB#1&= zexeIqFHXifDWk+huzW?A4~NbW$i3&(4+KBNpOXPnGS`^dG=5<!!hYinCMlKxDTDGU zIhkuSW^0>8n4wX1EBnh?Lk+HZR<Ehgyg@7_&yZ$c2gO~kDx8hmt5zWv^@MzQ!s@Vz z5{TcSZM*C$78WpgRlR^uxf@4q*#&DhxPm=tgD&AB#v=?PyB#M!*m&GP)G+F+|F$@2 z1=j*t5X^zHzP5iM!`kkb`c+`4H1F&fF@LiHwNzLy>D?!H@L$;uJcrspOGQlFAuqsa z4m_FtQC=qsVVz!X=NK4FgP9!?F#JH{vkg#%B`)Q^GfDAwe8)wbRz<5-E5Ohvc)hj| zo8R%Hsa+-Q8I_g(yJ&V{!QqJf-kzxZUOe7QV2jX1x?V(qW*o~;RQWY>6U7^Q8&9Le zP_vf&Y7B+YTnVT3$r4RB{;3<C+&qN9hY^%75*!Y~U$FPnGcH&tw_3NFjC*s_eS0Fn z2EOBdJnNy)8PsX?hN)d9%m5i4tFl&Kp0oKC{Rd&wE~Aj$`&KG@yqFQIf`Kr`TX+AJ z4}jDbt})lwMhF4Md-Nt2-Y^&HOg($i?b4J<i@I$(9%V?5pYen#qjD>2tPN`&QA}m8 zT_A$1=H^kT?x#oG+ofKyJ;l9t-?DcOyOv8w^|9)hA6reBy2g4<nDQ<SyG<&1bg_m- zLv+Y_^cqeqyUsr(b8B6XUOcrO<A7=QW&!<Lz>*|$2*zW|vLu65ZUxP9%T4!Z>}3hP zMP=_`3<6r<4_b>Ms!luRj?J!Wx01bu<GkZYnM<#ov`0$5$QBwqmk)V)D?Lw1_&!!L zsc%SBR#QcSQOZA1*2cnlqrkB7)++6N=rzGzZ0-}|I<xAs8yDxJjozJ(v{q>b$4=nG zo$Do^W0m}fgYw+M(q*o`p1Gu)Zly=Rx#aX73)(G=Wr8ABs<Yhv)dL<;S6`h{^<LO) z?0I$MFW$KJf#Pafb<*K{m`GMh`sVo7WW#iO;$~R&R0Fl`?qm#AN`j<!oe1elLc+;b z6)%N|%`EWZiQ~=Ds`!EZI2}ZXObLkWlM}|JP{BJFm2DFxZtFex`lg@y5;jBh3hl6| z(cji}Exxv-&i$$ZCwr{t1P(>$p`6{0nw8`$ovj}mn9~S(MaX~=GrB2Xr?djMRZFK3 z^73nP6Pdi;7*tddTlaHnIu)I)ztM6lo2=iCd3e(KzG?B-vD(WGp*QyHn-oA`-K1N| z(Fei{zoUh<L3;wOCQS{^Ca5!ByMQPu?_T6_1oB6gR=+!sD|<)RLcBUh9szzlNQ2wV z!s^%KRh!>a4<@*+75KLy3J1+~cQ&&oAz!33gMySe1btGKVg$r*4Z~o!=live6{2*% zQdgk+c7!&r7A|}}n1TqBL6|^8w%yDX712<&D$kRrw|YBaq#2|Ni&0}&a;g$@<ZPB3 znZJ20NLoCS$yU;wvygNTa0DtS&3QBQn_MwOu_Q5We&Cs%4WDHDa3r@e5<3c`ou><X ztig}lhxXol7Ij#IlWq;|>6s1hnCRCX*;Tr(yR(%Ii@VSE*J0l5n&Ja+r_%~S0MFre z9V!TrI^3Q^1(n_swKjQwsnLu^-oB=pzg~t4iem7I`mPTk`vCJrYY!b$L|q(Hke`SE z`?M5Kt1iR|s)P)AuLYBnz32bJAV=OnAzqo%M?->K0k?hPdjp7GoLCK<xa++_$3^m) z^k4-?fM1_FFc-~uQ_DP4-vArIkk0lI!p<cTDIa6CUtv!w&4d@hE5E;#Cu%e7D`TZu z2u=(@?OkpqSrl<?8fnMU_y{tcBKT(Jlx5TT4-(KVgrOCje*35<$W}i4JgnwEp7YD| z`AZ#4k<Z)x&TZ28bZOpHtt9enZw!6GX5C49+mhppW+7W$o-2n6aeL1QNytUxf8>V_ z8OQ}UXNb1+UA?f<UG&tS72EcYxP`op-WGjrX*P2Ai`4+jbAb#A@qBnL2zHeHot_dc zWLCV%E;2#_8PcAB!)8WBtJjAlTmKm=(c>jG!-0{a@?v{IW?Pi!>j(nQARM+;B-&Xd zh-)H_y>C-oV`-x5qOHTybhK-yhU*9JP7TKoCxFxso>?N|4~;YD@jp(Sw>oFZZzdEC z`EOiG$g=Ui4?7W0mkLB%PHGT}o<ZyCv`?))`m7m#a?|k59;c)6Fl(kzQoJ(#XdHn( zv*YP@Ej)-ONg5h}eHM_gpRR5mE~Mmm$6t3Rw0S#^P1(;AWXRUYG6?fX_v7nw#;+dC zjs)EH37<CTb|d=>txaC9O{h?K)h&kk9B<eDh<z>3c(bd5RLUzZJ<^^EHP00&Icg;( zFrRgdGR=B|u@;$W=Z5?K3l7_vY&q6b*R<1zS*5>3UX7|vA%}0uUOiCJx1@Off_Vo) zB09&{Kd-bL>!Zu3%ZF0+Gp~n5e%b^ibvsc2l2;F?XD|J%B}>Pst+`9bsH|ya3&kNR z{-525ejvQf`x)jt3Og<zWk4O2`H%u6_X+siqJOs)Q0_nujfKjfR^M$GtLPAlFxV)U zXNG#O-CR;}UnJ$7^gp?B`sg0Ag4y%&3arH`(tt=1urf9;EXeK}=QIY^iTqIlN;04} zWf9a~f?5L6tIRjmQy6U@TWcL;79V1%JH>LHJgYaDLY8bv@)6iGsHQ1I3}f12W}T6# zwur01iVW^$X{rpBix2gv7Er(0rO_#8p9aAzpKP>SX&DWLbm#@K2%=N(?HXlofmT~6 zu++~mlXZim@cpj<P(Aa@!MggZA0~OxfYo9esE)n|&p0`OT01iGqUC%%Rs97;i3625 zk0kRP4FtLv*+uL+1jAUyD;AlnLhCD=v#In!y#tk!a9e43)Y5=Qn;YBS#ZP>SXHgSw zh>d(hG14|?PcoD||AH(<aiTf2xxo%DOaMZPXJ`{{?;80M9wFPDy~t2X05Sfy(rZzi z(hfh}U`H2-#D5@(jeKvBK!|LHQsG~attif*d&tSfSCT)F(MCSpCm_TsBQ_j#);}q% z6LfZ9rsd&u!^E1gsn0uRgK6xmMR{)0Vz{-hNMwgJ(Eg~BtdMP>uNB0M=2ks;I2|)O zSjBphkR-x(zG!}3#VWl+C206o9@~8=qOoec`SL9Eo5vjggs(y;9hZ7d+cm7G_UHpb zZ5Pc;JOnJ+K}%aEud^M=Txn$V`Ck62MH#13OYPyh1x^8HeuD>dH8sza;>%u5Kd~yB zO8@X2P%7Q=h@TOvDqM$m5~b~tu>0@*o{6OI-Z2}G4A|nwIylW94`cwB!65=RJtJka z%?mr-+xei+C}e^JZ>^yP{C|DZ(blKe$mu!lB)heetv_lj?GucR`s8&d<@$TkR^|($ znv8oBwcSOy-P<u?DOPz=v+nkZvdp3?_}~ceq%?{}uJp>Vo}u%V3eWOx&EwJWblkKh zAtrjG3b*}A-3ipp_)kjD<8;{;w|t&FV}4kdBby-+<>Fzi)`a$SE3wYmSL9bbe^X=q zS{TNTiYx{MaIh~gcfD=D9I61s7p<ahJ?UMgxHAWuG$2){_6$V!!=kxp)3?!;i~0pX znAR0<VrtcThWo17JGRa1C<U_jx27ZT%QdnBBHf{!BQ~Cqw^zKAg~05_m*Hm`0(VBZ zoD~3l-N~RJ6=$u9?3%s}dCuvcN7b$8nt{|f-v(&Hd-QgA#YOOVP;i^0mO%!De9Aht zxA<uub$g-C)~=4dA@re$>WA)31rSU$#IB9=QtMg5jz;_WI79pR27~VClP@-%VjWG) zjE%)o8(C_-CW2!ew@GgflGtSlDxAzZtvZ^{7#dw9H=t@m+Zu!C5R&%sWCr0;*<>;% z;ya}5^Tnq*VOlC>#tKXNZ}If|p~uQh)@}t>*LC5Gy=;l(Rw4Z{uF=4jBH+^yJkYxC z717g5)=PY6m3ICJ8EmOpX8e9>E(2FTF7sHq(8_Ha%4U@%hXOW~>>uM+SVKBH^v^mK z-i>xYC>c8~^T?}krt~QgQ7>|1CSGKgR!-M{nr<A9hL!K;6Y+cIHA(11#Cg0B+t5LX zm1QSHgN&@)Fgz@r?O+24Xu8Q5Bfw0)!e?bw$|zt{j~!nt%gtYnLE>55SX~`~Oe-Af zV!+Y1FW7D==BTmj-lyhUY^k32-RF${EoIbq_OAK`{6@rgU`|vY%ung*j<1%5REfgt zXGurN9Sy+WC)YGITx7Fu{z&t9cRO#U6!~a?F$ie#bg%Qgxqx?KArr6_Wi(i%@1?7U ztj&cf2m!#=!OiM#h|=BFZhr>6_(rmv@vmIbeX1y(nwdIPLGjx=Y(#^j1ZS9V-5kf= zu}-BPv(DM(&pH8Q_Puk{_W`c4RU-|@B8f`k{o@p9+hKzl$zkIxt<4EXrt@m}w}9=s z>Zec5Y39EqNwxj{YwE|%4i!72q27xpy`XgeDC~k=yRQfzl26Z~7Ot=RqRIVqaiiVo z_k~l{hCQHp(MK>&0Ljn)eR4Uu?Nw82x7l{lo(xcO_*JfM{(k-`7m^=xeN!Vs<-9VE z!=lm0$_DTWk3R;AuMdB3%3u7UQ+>UP*fw*^@&WFbgi4K`f?hM%*x3gzt~c=Z!^Q2z zZmg}96{DM*jrR9PpG9GQd`-8zK<V|o(!ewTipQIKzzwaaqWN|^XM^!$T9`UyO?M7c z8;~$g-^kLz+pQ%LzJ#2=yR0>Tdl7)*<yfJ`mI3JM;B)z&l)T~OrNC^w+4wf3ZZz^b zJeO@i!1ciAna^v>w%WXA$;a#OjMQO4>-i(Oy-08Ct%b0g*j6@uQpqx09>Fh5sK54- z)G`>W^SY2p*{%P0w`b$%<@fOn*+$#evvbq1n%6rGWe@8cUCR}9QpEl(y8%_bXvZf| zWq?oHa+NI}vG2#rgFU;)4<gbkxIFA2lxb+hOwQU7Yn4=M<h2j&m|e8Ld!sGkEO^w^ zrH2F@t{^zv^&-z>Gf+I}G#EI+gd7H=@$w&NJi-9*3?6*>@T0)CgMD9i%nM1!q|lrO zGHt}YwX-w)%4?d9>#2SGOH4**#TRzzyF)^7$~~k`$R`+D<D(HYh*~k)B_i9-<AVF# z4FI^;jIheRul-DfG-MVkmP+)*(Tt((3-qb^E6U1Z2V<UpOW3?A<ZR8`Mpx?P6k-|N zFBfVZIs8+o7}y^bXnnhdu2je=1m<?Ak2zcSulv|}L^)j^m?2g0{3Bt5&S7HLMdMsQ zFnAy!+PQU23OjO!L<OK>0RL}^8jL4~G3FPZUZ2jSZI8w3z5QYeItk40WXpftm=wO; z2?}~tS>Q$9X=_Pdz?CDinOo~%mgJPD^*{171$!$DcWH~tsD@QN8QBBxKY$sfH-++p z;HwJqnZH5jdW?1eREI(IEjp{H1SJ@#Yn^=&Rk2nt%qgEdj-O8w-PrEZW@PHPZPWg# z-9g|xOH76Yy@q_}D|P(N0l-cZoxY@Jk@>>wsK-cPtOm^Gq&tJT9M>^?`Z+c|P5Dl) zdz|?_ZhLBR8Lg2iYGY!hXK})_mnF*Zi?VjA576pn`jRYLN0U@gw5EhGBM?j%H7?ec zevXkWVvb1*n<?ef#PbC0b!uw%o$<b-leV|Bn6w%2YKW>+wb}hD>^s{E`2r?tJY(%O z@@&V<MSN_nZ8=jf&U=EV%zSrS2}Z%nn%KQp_m%%l+?=ZhO_}&!wyI+SV6J8|)}Ap> zVGK;PR5+P(q~4xS1%S1W+SK2Gl^3yl*kI+qayLFNU)h$5JYICh1oJvb%L%tk3EAKW z33(p#S9}i-hi@vnFA>9IBmT4;T!j5G`&a(Pr`iu}d9%RzAgTLc8{&b)FIp`C(Jlvn zN!A0QCzBNkN5*7?*$dBW8~$GVxJBhM#99VD8zyV74XQXGm|YoOaQC7UXtFM!cIQT) z%Ah6pFdI_c{$5zgvA=zzxft*^{LDPUy$N=eTv`B1z-$KXt-}D0eMMcxD^Ka5z%9$n z3t?|ZVX3Lvk)shc^wK5!SrNbbM5aJs`Im)IcZ^_zRfwEQY|IWhS!{Q9C(~u@kB2vD z+wec%8!<g*>V!7oaqv2of!W~<&r<a_U!r#>@{dc!>WM|)z+!es^F5#Y+KuEV<f-dx z3-Z+n#_ooDj?^7lRP1nAVF~WQ4%vS$1SlYfArq02lJ7e^cx-kzFIYZD4nxW{Atg;G zhxk^Yk(10s1x-hiW`K;lF?3oMUMw5{hJ`)YA%i^%q_P5y-zZ<`RNb@O;p)#BvBW`d zLVqPLuw6PHRMQw@q_%mRfOV?H=L2Oo@5yB}5a=EI>zjJSF}U}KUr7hS{z^Gu$hV!@ z?ZOVjjXm2{k8VJufRED!r~s`&R4UOT0R5HWu&;v|px-D&i-u?Fo}*3MDV0q<V2Yxu z0_-7Cxjv%`w2Rt))dCjdi$jSMXYEhI_D<+gKz~1$I8cJ--n6ttx8A<MVi|oUjX;87 zQtp5qruEr^LBq$x4@i>6!DpQV`_^KRj{;9#1yCP{kYcb8q!Zx&-oLi4??I@3Kz+j+ zJK*V2%96X(NZZirD0aF(^80j@wMev}thF`seb~eNb%QX&{1=#XIuAb@IO3O2OlQYi zl~e}E6!T4pV`#~T4NI_$@#Lc7#|GkuX+TRgQiO1r$&p#ePv#(2B|PuxG<@*DMtn(D zC2AGlSwv9706r7;G%H+AjY6qoq%dmI#o(U#9qDsE3x~X^@91MM9yK)6zYY>-N$D{t zyc{MG3c&a_GGK(1?``1~lnYiQDiakXRagbl8@|T=;qoG=ij{%RP#D{4>>ZN5u9AF< z6BTG5g3s*%zHJgm4VO|j4}G>a`ExL*ZeEfyQT+)Z&x>katIP!D@Ywodhcn;;MH$gd zRtc&~-=JHNCx|TWnJ+Z2mEju+d6Cv(aAM`w&<v{om=_*VX#K)!!cH+yQsJ@n-Huq% zl~Qq?dDg2aCDd6rFKtM({=|eAgT78#99rhFHEBmY;6@p>@oqA&S$oiJ#Gw)`PRtb8 zA1i}%rS6EGD4PCLR7kn+w9b-mIs{z}<B6T{v_k77s|0FNvv#-JP38Au!PFzMpb3tb ztdAI)6h^h=vz}Wy<T)lt!^M%jwIr<BT<Nk;L#KH9^k7)|6%Zs!u=Il5490u<y#jJh zP{I3dkKJ2%%6ca!MiUsFRSr4&oL4%&g=Mvq^H4aUfgJb!sn=4tmiKoe?#Zo}DyFb; zudVF{3?+)tS%#y^E1#}Bhim+NbKlm`5oO$iY>@~1CccD6D_b=gd;Ohkuvz<6eZNaf z=Q^*WBeS%TWm3v^ri)O@Ar_yLZKpF?p?#djgM)Hk42z?;C$-qgq;-I1MVWcUH{2U_ zHh6m$BpeF<KMkT^FLe-4Z`P}@WwG|F;eR#?h3#E5XRqDoEY?*9-(6gK<NfSO$d@x~ z`JE#jG6WAf>x$daV<gIcO;n*I*?RILuL8z9Ba6p!ywIGz`)_HBqXRU!=zZFPz6U>z z&YB)pkX3B5$=u|%Is<NU5&?$1J_6*GmtlfURn7#wHnqo}lUiu@&&vqLhjc%Kul&4E zDi|HenL0W-d3cQ0FtD#b$$dH;+u7Tj1drWLQ9pbf98Ym^zAn+2o4Y1mbGYYs`7({v z-EIT(T&0M(9^ywD{Y@G-grYx_Ks~|nYx;-nTlq%Mlw5tpB!!M_9cL`#K>!*qdROQL zt5;Kfvy|BHB{P%5GyMCG?wJyubhcZqj8jtOvA5TXVQunN(JI#H@3_6q^?+J|Q(ZD( zr^rppHzqsU#_r7Qx_{bMKUp@&G_)7t#&($OXmd~3t!b@d8RbQgGJi1f>pVw-9Jatj zY8`x?F<TO=Bq5t4_Mf%{GQflC8`2>ESr4n0?iZV60^;}~KIE5vT`SN%WTDeW*rGcj z?O$nuKUo2<>mrpdyF9-=k^gl@z&#YnXheQCP9yHcTK{Re{x>@;op!XzXQ|}5<w7>; zqH;T~kN(OCe52e25{<39Dw;onDS=?IjkkCV3-!-`7>N?Lyt1A_{VdRR`zoK*QuG0p zwzk^I+(!c~;SieX<@e7`4J&imT#-<-g!vR%r-i$w3^u&%-%r6a)Z%RqjlM53a-Ha4 zRZ-v(@9t-WiL$0R+_1!KqW|i}yogdu+|DGR@hbfxq?zOK3rH(V6h4TQ8b2Od0y9R6 zenVXIM|h&?WfX(iejerO-Dohzca~r5d%0Z(u>|1n-aqwV-|*!33$CT^PA?WzeM$_z zd2M&dfB4Ah1B$D3ys@GC*XLZjE*(RzyF-~nM^2>o+K9ijd01K&GthvHwH1xnZN&-p z?z9e|cwi>3qd33!heBPgye0bO(O#+CY}YFZRxtVSksC}t429k&AN*LXw(GFof1PPk z&02X%`tr<}%gRtst{*C$v7mwFnOY6zGprarxrzjL`hbJGcfAD~(L(SUpG&7YM|vJa zh{lCJ@+Wl+v;^<sKr<m?vJoTlhZEPMCqVWHWL{<Ca!$X&{><)+#t(`!zsXPi&<sD% zhX2ZvFBT$*+Kb>(;Mv0a*HTWT%~pp^8U|#ZoPUH&F2vq3P~KTQXN#p1ZS0di&WIwk zkGs7TtNi`gXcvCi`?b8tdq+4@YozuBk++}PL)fDs%E9EOISvjqm5K(}=b!x+MI;E{ zF?u-;CJc3(=6udCj;0^G#-lN9@w%u1FlPb(A71Jb@6n%Yhu+*CoX#yCfBlR2{=l~V z++E{q;%w@0`${WjVT4?hO(fRrjrkEBQy=qhrP9BN@3isaR&~I~171C)p45Nn8RFh* z0OX5jx2m{J5P1=~<cU6_KfF1J|HdO0Oi^i%Hgd6K>6Tc<bXd%!fU8w2@q2rF^=PY# zrI7D9|NKYxUGkRng$Y*##Hj6rdEWbUKF!@47V3A#5yjeaIhE#~U-DO>_r9=Ic3*Ht zaKQrKPi16%PkFEZDuls-i){ZRX)O4~lK)XBcuMd;q6behKQFq)-TjCi{4R&mu+DFP z>JB0-@&N0LJXm->xASr$&+U%FSnWI=JkQ;^BCmh`g~7tR5@$Pk6}t*`w|I2(C2Teg z_1KKu%bacq;<>K-<&_dxVe;taGpv#1?WL<`wWqf}kV_IHb>Ts!$m?Ul5<Asn1_LSX zCoBI(aT_9!|4h|89Eh)0g73K;AmG!GC>=S6Olo#*kKr_MA!sRC*dh}8Jemw3rkLR# z(K@B67qF|(LQ&lgJG*V)pn6@)l3QM{95(R5!P2oDhm}Q2ttF?u3FNpX)KNu&()*o> zxFkMCK}xm`xB^v<JiLwYj>(x0&FNm-y~_6l+O{R{@wIhJ-Y1nOJJq1Br`Q!)W;f8P zbs<dnTRe&uZIR0enm;Ab60P#vUL8Njx8BRobB(x)yWtLcNP^s$X7%k1B_~ILK)!B< zV>ztq2HW9l)1d5{^xOAh_)o}yaK95g=Lk&JEqYo#c`1+|e+u&Bv>-pO3i9LSAU_@f z^5btoew+>&1YpV5W3b9G(t<27wXZ36PTTZ+M-|CdOvxspbxg@3;a2oJ+j<4pdy4$W zABtQtYi7sH<5sUzOLBnpl#TDh&`r$eT;rC#S=;8dJ<mp<-aHHO{XRQ8&bfD$^WF5A z-KB~B)ciYGqV_?G`Z0`EuGBu!F2l~=_bBq#)IsKS$EM5&6hp-C#WQbE#88wug|{l~ zM{(Mxc-1E*y@t2ZK4GQR+jX#@crMEWQrg2`ef=3yK;Anf0pz`1K;9cq3FN&GWv(6o zDz8A|TZZ8T;RE=w80zak`G_fDWjE{;q`psX#v)A$rN^vH3MI#66ScxvdHtlnBGsV( z4q#Mebdrc{5*4k?X?jyrFF7YI<#w9;)#7deyNoaUDlc3{<>7PJ(ppnCZvCXngPlkZ zae}G6+UJ_}S9uz3Mn?JsFTXeNNHA*xe)LU2b+{rg@Jlq##0g$9iV{+NnxTB-hwSbE zQm{rPm~pH|s#07M%r#N**O<Fb7x(RTxpdoTF#M&Nw+bSr71}Hui(?}t`wB@arQ}tG zL&IHny(dAfLdm_Bg%{NR@(c}6+ZHh@$D5RHr&?8G)TX9m)D~|O5E*COrZXxJ{G-O_ z1=W_Gpc>7{9rvZy`F6GuwzG9sA$EcnTWB~f7Cq>!UvzMR&`~RKnax$HXqD;@w8@76 z+tFir7cKcU!*5CKlSQ<B{-Klc;ln>Z+jPF@5S<edS^4Us!emlPhDLOUcXf^!_e-+y zQ@2}z>2=xW(g^=QX+qJDA|e-AAp2+GR$zYpc5Ue`tt?hWyjf>thp3i_NXb1hXysO5 zd406BH1ZUzDmCl;(IHAB0*F|G)E|?LTY>fU($JFlwE$MO_;pg4;3w|eFKY)6J^#rP zQrM7Fh^Twzsc{RQ4iRt<H{0DakH+I%5$afFH7mA_-C^-=Y@EwY=YWra)pjVqTTP=V zTZ$s7e7(EJ{ME7NW_v5V?rnax=nKFhdpLG?P&jvT*#wX+C-CoA8fX}3^FR0|drzrV zdgfYfgOr*K1UpR6i=rnNYPRk+NWa$m^Pu&5dT67S1>8aX71zA#dQ^8tTq`pW+%~vF z8pb5z?RjD&q-naahIM3E`B|5RRb5G|;AvCsJHNf{_K~QSA4e;@+h$k2J?4$ojnz83 zg+=3ofZ2HOZ`Zf<18ig0XmJN+-0Co-5}^himgT*{6YMWcO`j~9jodshS~qG~{Z}9` zHS?amt;TQ6TO-cCDxzt+H~A6G9^fvI;ic7B!sy)mtv%LH1m*-vej03S1Y3JbY(>eP z*PbV>7bos_2`k8e)9mfliF>X(aJR*F;V57Xuz~-3fQiAgp;l<`(rx0Bn)pbbU?t08 z9KJr>)Ry~eTsWl%OJXQ0|F_r0_F`$~Mt-bha?ORq{*DrFNF&bw*8Xpa`p_B{Sa0?^ zqp`a5Fojf177X##!9O^(pIZOm&`3Umgqb49mr;v?99pp~$f23VfhrW5maB#x36CbG z6qLO)T-=2lMGa!1Du{mt8Zll?VVkpdoHG{u0WW@S;b=pMRD=WMp%I!jV7l>9h-^_| zs*TcgK&HKage*B%a9Q^~j`S-NCWmo|d3WZqL*2^~lZg=t35BU3?9@Rt=2YS*%&7$6 zfd?MgPnc(al783^T`@?U$>h@Tp|LbvA7aDoK(d=}C<}pFSF#`X;)g0uwhU2g6V@7| ziR**Av%^`?TNBV)CjgUX8S#t7hHoLS#n{j<Jq@1@q6InW5t<yeWPTw)YOeqJ`;bca zMHQhX10@0_h7Z@!@O*y22Yl8=Wdz(#B=b%r`%WbHP9#7&^arnOk++cPl+Rsvjl*~O zlNi;HturhK4qrZbRO`qI=~U8!TO}=>&Nh#`J)P9^y-HFfI#bAh;9wExM1-Lgwb3IN zwTLcJ%2mgi^Uc;cJMf)jVnS3a(ZYa+`r7n|AImsx{31)bBy=C9=8CK4!cpQy0JTh2 z`k^N%TtRB{iEk|mGqgo9S#Djc#_QUfzBnE1wG1sW38p%XXe};S=`AK~U6k#fHR&^# zKg`7tsi7;73u4tLULO9H8T|>#u$(I|E9W&1i=%LPTl)|WghNiJlldUIlIxh~=u`Ej z=Hf5&2wt?_V|-`;@#pZf5J+gu{;wf4J>uG*a^iRHmg^0y7`o%ML-)MG_$Gp}C8Wm$ ztq*t$?=H5I!dN1B<bs6~FI-b!tLPd+p0*z3z1Vq~9(2Do`@iqZK9<{Kin3g<0$DnI zy}veQ52!?Kgx7(5+@u>z)Iw-Or3T#MnB^Pw7P#Ts{5QDadPK(7@z9hhsokZ(4rBp^ z;2;Z#lh3ROG#%H26kT^ANYTYkgZr@4D%!gVFxBu!N~)6DOOEWc@mo>rD)}yIn?Po; zqY~`X&$ib{gKiX`UcXWuWCnk!fy`jGEptb%fpt^@tQO=Rjm^Lv+-tp+%!>Gu>7cp( z_xMMi=Skhe3H#bSDP?+>X@eF0Y2~^94{vWBR#nuldy6O`i<XcM0VSoIMWZy*Eh62y z=qaIe39^(9k?xf4PATc`?uIkL_uczF`|NLD`#Rsg&L3QBj(dzb)>{4nKKK2b&**nQ z1K5TpjCpqV1CPskFM9k7tn#crvy+pH=uhVMd!G4BfRm^D-w(jaE&qarJj>5f<m5Q| zak&b>S=T&>SzqpF?Se+#m4AU+zU=2Aa`HtzaPs5XlRF0ccK_EFI0*?*pjV}BMvcJK z0$sceVUNBPwr71GJ?!0#?17`6qnOSW2nwCB(34s&O_1|u-M_zeeC2*$%+@;wJRFnZ z?pCM=QZgHF;x$e`#VWEoojV=5)VLsDEcieVf`3E-Q2UGNy^hD;4m6Hib5Kt<3WJ8c z1<^lxETg0Te}lNCxP3M-M%(mV;8IWgXV<^4<q;dfPT-*?Xzail{@K>6ft$qIN;2xu z-Su-b29)uAZX0r_UKgjxff+~QhxP>PvZjnmnRaJ*So_lNMZ9Y%JntKMXB&auumgDl zfgL?E*lx$p%nOs#wSIwdrXRzSux&LrGfL}F@}JsLu+33{2=R*b(Kl0h1$mtDQz<eq z@w#==s?rJPmt{bE(h1BB#IsQ{e4EokK|K2k#Iuo?=k`1ze48EEK{`9?zoxTs+V1IW z`G3;c8TWKH%q?2|@qdHP2L7|hRkE%V$S(N>wJQI<{-v{z{?gg}g8!tm6Uab18*So7 zKK!3__WJ)xXUFlJ!rVYQ+wqRhmdCuOvte#qyGQ?^vw{B#&;EZvX9IV5_R(KF8|HS8 zXY&jG#k1EV@9FFWvcGip`d>Ob0rW-xjm}Q<1HaupoegvQOJ`^N=XCbaUpiYJ^PbL@ z$NVRqy$<|4p1qDR<rnFdCAIoa;4hwiL&;0&d$w=xYfe5!9<)k^)XN9?)+)shiBm0l zHP7|&QB+BC=M3}L4^d@SvOb-S^5z2{graH9i_720y>flZ!7C0)=$wFjd3V5LdXRZr z2d+b9Z(K?PZ#u~W2Mcmm2f!Pi4$9zjm$+}O=BlX`JS2-)Opf?A(6)AwU@<2JlOi?Z zHPF-4A~BzH#JBhqF|&Yb$x063muO6D4`~aaYmvPF)b~EGO;uX}H8@zYcSy6w$&MA( zB3N<rCuk5(yvK>b2lszemf5Ne$B!y3Mkx|C*&qGd`W*E8tUmPt3wf(GZ+KQeO*~0x z)$VtVvh71_{iZM;qE&Mo&yBiTqZs0ZZzH%W*Tl=Ba;SozGgi6DgaOL;+w<BG^|?|8 zPb1VTMO7po{ha?nkoxKOQl3ez8PDb?EwuDbZ3Xus+X*yI0%>r;>CgEZ0=Dm%38CQb zHQeZ{wO9ZP_-zGu<(getRL=kHa=2+7^gL%kHe0Xb{dB<m=pmVIGHxMH%iV|Ubl1aG z)gY4xQVez;@G?Iv^lnqK;E?gl>`gIde#JpvJU&LN74-}LjFWe`&ztsgT05^(KoK0N zpo&CYW53Polx@xIOwmG#-5Nsx@;axif@4Xt0il<ww3pWs;MxNdwsE@jt`9(mk_8)g zK5dle+ickrZKs6Jih0-e0S3gUJ9Q6==}DSeH#BF9=dXqzU1wqn>u8d<#e<Oc*?$6Q zbBxQp-q)%(Kyi%H7i5{PRl}_5u<;PE(x(9??lJB5J52kDR^B?>#}OGAZ`MKHt&nBq z{^ex&E_Xql$Hgqn=4MOlkU+4ALe`*<`Xcmo{H#X2BZR=)6MB*7_Zv~bn!BQc8yKE< z_u5-K@7{;<x(z$1*4>ajE<9M;`10M>X<doZ@pfL&{zLWH+cr~0$!+C9+gf_Se0vkU z0!ISZ(`7I7a=v@3{WwJ^weZcb$CW7To7auYW#Dg#@<VksB@H&G7=1wpYwjejB&ez2 zYfSCy=7ibnU%}dZx^6x6MmR1v<G~hdqP;uUJm=gt>_u1UO+3830s<SEKa*MF#l)dx zEG+8I&|(|C&I&?lucgH_-19IXDUp0RyYX_p-O+yP*9JdGNiXdErKIJadT}7WKdnm4 z+_m32cX+yQ@=rkeZSG${+D}~mJQv=kYmW;8()RlxAZ<<;|6F}t;DJJsfULU1?8p^p zU>@j_3M#6%*X1l0Opo+6^xFwoEjh#5W^1NTT5|x7cgB@&1G6gAtOYkSMyKw2%3;ZD zC||`gvHgf(N*7eGc^?=z8cmm{jCMA2VIoc$M?LyD31LH73(ca9?@pbWW&fbmv6`~$ zuLF9S4Tigy^dQZQQ{Vg|aMmEYW%pHf`!3O^t@I7p9-`6ipc28>E7=fdLfXZp!5 z&k_LQPHIM|E6kCCYkDam6EDkQCSGCHY6HJfwKl?_@w)?v03lrrjp_B95uVkA6I+um z=<zmv_8^8--DV3j*32-WMElH3DG^*ZQXs`H$#)DJoFMBgYg|%BJey?8xea<Wx$*1y z_=^Jkm%-_Rky-4o2X-0}9L9G<7LbC++;f26J2zKfETafyNR?AG*WBi=lOyc!pPw6V z*|f1!F{#u$>Co>>{efIdF&L$~+)3XWwX>DJ6{ybD<s6)twASHZ?`QldDTC)Ap464h zsfD^u+Sny8MS93Xo4M58Yhb+~+ovH-y1(1|`7r658^7sDpMgqtX_&ucSR&k40?6e5 z!iZK){W!%ggFQCi!C%rp5soMdsydK+RmxLGY!M^$fglUA=LcBR=QleXpR-hrjQ)%m zjb!TT{^UTq&T<*2vGJowjRh^tjyT_0Oce2Q0aodHe9n_;fBLsO`Y#9VQNq4mvJ#cO zDo9@$Nb9%F^%s!Wu^!QH0HOux#}d3(z%n!&Pn}UJ(b`(b^Vf9l`J+#B<_x!+4bnLV zM)sKaLm^UWhFW9P58{%lyd0}=1q5_&FLO?}XYvSTju&SN=8AcbhFA*5{L?(_i@mN- zR+{~LFBK#z>}tV>n^Vq`O*gHDW^<uz?BPGbew=R`{A+rO$#0cJftx{LJu*4K<9qlT zlz??c<NElMWsXJbRP{3ajhXK0g!|L7pH7>o*0BnE7kh35^Bot>)YafYi!WT&cXI%g ze7wols{FC~t17Sh^S#5>3bYLx0<}?_HO5E!CZ#la|GSgPk^T=SvtaKZPA1;q@#=rH zGUI*ViJ@SFPKI^78Fb$~j8F`z@p+C7MF1kdbh$_)XXl3kwVcIYIc^`?dSRo!WS|~P zTk05?JwD#MI%cD-Ww)ljzbtjjITn7<X^O+r8nCI^aJtpVzl(2xejOJ52N5>I)J=3T z-OX4fv}pkjhVKSF=mUc9R;PdGrOej$q*_$g!TG|_B7nLsA7`29$)9bnjIjJ!`>P`S z5_Aw9+&YP6|Am9??Z-1lu0Su1SxbL5;3jIp&@hMFfiZkGH}ie8m~MW=ZV^u+;yttm z`IS5Y>TfIF^yN={j>dj6!D(M@%~*>;r|?F#DV?TD`YaON%hEUL&m3qIn)}8L`~pHt ze(i5_^{sIAP3T#>SL9XwF%Qlw{<9I3m;GZTx?4_o*3m(Zc$=w6l&k?s9M|*;TknG8 zQAPa#_-w5qcYRxhmb<=0p3D?{tKb>r56|AV3VW^83nr_ocN5Dol+YUVh>z6Qo@#v1 z*le6)#WmrhoQ!WWj<%Z6m;3M8-Yx*GexNb<5;O**d~jHPJ_e0J2<RLVfzBZ>=p4E! zg6OQK^vnIxQ{r`o9MCO90zFL)Scq!7hC>KmyN1mV^F^y{!=vRkb=A9a&>3gh8rFPx z$~Q`HzfuS^8u;zNS_DXOy-uw$g@FFUWkFn;Odo&m$cEYKgv;4-z<G$yeF!PTEqy9z zwk8$m*Zks{=6LDozIkUEhJlu$N!&7cX+t9oURKY7m%Fag#k*wIKKk*llf#R7xsVN7 zW+mH~`}Y1~ApBYkdVoQ+pi?-0=M=_+PGS0;Q|JOZh0%9T;X{y+eIuGh{;s9l6LT98 z=)u?c&Ip5+V!m3)+pSQ0ZXsB#Ms2}Vtd=^==lE-%pNg}?C=)|AQaY0Q?bY>p5!1Cq z*D}+E5L<Bxlt$tbGyGfYvuP;_*`Oy6vZ6+q+_TQJOG9ncTjG2LG`DE$eOs$R=Z=x~ z#0fiU!a}U&%QEbMzmk6*bmM}ZppMu;)PXPM`%Y4yC73O8J80<PZ(^-e(He<^ORHaW z?#?m8@9rO!7?P0v{lKNAxG%PHT08_F`ObWtsxq)>c|Kwops~6F2i4K1_o}@is3w>M z{OR1GmXwL~1ieS=uf?42zZ7$d=Q`vySyM?ee7jfeO28vczr=8h=ax`7b7QA{>IdCR z)(YAQBG#<a_W>FQw)O}7Q;lRojQGU|J(w0fE&JSJ13RK8zEyxs6L8rDtwvn#M-DtI zG#w~UyKc2p180S=4iTOUF%p$-cK~*l<5;Eq1GgTwftbNaR{Vkw>;y<LCrFPToYmlx z<A;K$vtK+|c>0j6gntcjkM$*ZTgmp(!xl|k0b|$z+T4(;hX#l7<T`Qyu7pd^PBM9e ze=+!GJ>^5VSgUP&-=;+rKK9%18w`H4%3z_8M_yp9_N{x6<h#)Po|Pf|by1sad}E8D zGqNs%kWj0?gkgC?{zo3O;Px#Hw}&1ZL72fnn<c*>f?Xm}_&BNKqczUg;MUk36|>l{ zcaTdfa$+oEw8%O2+Ds%DS8u!biDoiAexde=BXgtHuf9fB*KqDRPHI5vV4u)6i87o? z6%(|YKIdTCV|w@OVwCRP-sOkTW$cRi3u-y6%faYwYEGS-K=Z*s{RE(hs~#jXU#<Z7 z*N0(>LGnW&0$SD&f}=9L6>H>WmAbd@L$g41R2$?(OP@M|FsVPKM20Ykm$pIaZ;RKh zuMgz~g5)*8+d@@`Z{(aelVG_@j&4dI^r@3_vikw#LQM!H=n(Jjcwl}T1_KueD>-h+ zuj=R4>s?C0gSQ5tyHA1CHSsv`eP*k`kHgRoewzN4aD`wH6qOGiVcyYdf|!0|3M9-6 z0zKaMSBKk+%JQJcD@r?A6tS;R6s~~vQg_%Kq(-&cpgBT932C4?Y~q}2e1*8a7{vnm zx<1D3Zf#H}AtBW}H`vTM*YqlFc@dWwoJuurk8J~>P$41of8#{O78awBz_}*l_Nq20 zhM-VO!Qm^u7Jb)BL(Rn`S2FSl(DMDt$*;zF)3~xf?~v|qu3BP<>8XTEx~1lpiO1<I z7v*yNDo%T5CC=l8*(saYP4I0y^3OKwZ-#Jff=6V$-&}V08cx>_hlBmAVHs+gb^u6a zN~V!dC%Ma)baqCYvVebr<J}Vi5f<gX?u>ZDtPbTz{&Z(QjAEw7_y<uru$`#T2lrm| zKd(p`^4CU!CBC8Svp&zNuJkVze$3RGIKhjd4$txcnSk-$6ZqGD4?9o0UsYPV1xda6 z@SM$`^6~n)=LA%XCKma6=<i+Kera{gCT&u)se3UE`m}Bx9QY48=sxIX(a-CPo*na) z(;UrMy-4-qtG-aj_1#~Jt4M3_C1)j4P#>GsWn}4NPP{7A(_<c;P14ih3_m~I@ITz# zy`k&IyaASKu1`02<qK|Z#`F&s9ozH-hWOPK58RwwXO6cEkEJ+PzB6}8%;#4RrU8Kp z=>_gwU&#Z&j6di2V7%2xWJsMnn8V?4ehzGb^2C#di`38MVj)+VpkKX_AX+jvm`F$E zqdblCF<(F(pJ$cjtJdl^-2Kb9`F-u<`++X#4vN}(aH&D%w*0VEXb6dfKI+2w!qFAQ zF6PIwiG#3_W?LJsSJ*S;@zQ>$QeHUo*9Kq3U4R}cP{Mlccj`U~5|Zk7ge2V^AzAqU zO-P3R*MubSUlNktzbRe$TH;Cr|D`!cM|Jk||GD-Y0O$b!KW@+AF#q=Z25CBl|1a%@ zd44v~7ybqM!j>{1%Jq9`XJkF<a4BEy_&s-E7`G}78FS^mEv$f{^9g7Zi?OBdx2<si zAFbabC4nC%fR?bEtCJuig^9_ZtEYyMfmIKA6#Re4S7Sf-yvY?#mrusSGDXU3c$qP9 zmtB%*U2R$yz=8qx<%QW#DedacJo7)xTAD{{TIj|OO{RB&dJR)Vd14QHISn|HZXHKQ zTDk}^6MB=WnWBV@?{lpMH`Bo26dGoun94BC1x_ooHDHy=?zp5Sy&uO0KW3dt6p`~4 z_AVL=I_Z3R3PBBrqc{BX&F=33cU_{Ye3|6g4b0hs5#+Yt|6>sA%l|gT3itL#Blw?T zSECF@LWtT}9<7mKJ$JWvbxPQHBFHz0eA*IzEQq{ZuS`NuxutgQF#IcMupfe$^>uw6 zKBJC5G+<){*ljV^fQ~e-wsw3P{tz|{w4O`0weXwK-K~nM2G_J@rAAdjn>pJ;6Os`H z0;t_;^ug;I({})B6=--rSJRC5T6YEc(<p6_KP9g)VvXkm-Dno@WW1k-Hg|6HG}wm( z*BLayodD1T53vGG@T-ZK)<W4#5K{##3qTV*{mulJn$!qUXau=eeWyEjyXVf`hFfXI zGX@EO`^Dxkt{m=xpeWsZg(a6s?Gb;HMI67GLelA;(ND0g$jl<{rbXQGcTD|BumOpQ zkdRMZx#qw199d*v1}Dcc^;@;aA#_lo=XIdre6#np82|~?lSSoOi>TvsO#M8t2MO32 zs_m~G{kQGNqQf{i`3x&bC0q70E(Lk%oz3li7B2>3t_bH#M_o&6-`AO<dY@s*fAo0j z2I8p*3x*4K4NDeFdhfR-4=T7f$kp!d1kNDBM)m@iG>Ia8nECP)C-OpJ#qN$Ax2?B` z7?k?AZVuWlm1lF)0GCa1YAugEoFpFY9grr{=!9=R*{`P)9@%z!bXAJyw{;d*5l+ss z97}P|L8MT;C|{v9uRN7*SS{0TXurN6bdwe&3_b<IooFoOnt?_JV+pT@eSq0Sm$)C9 zByQoqHBYN{W~eR2s%XO;%1f(uvLJh%I_bFid}nlQlt_MOAf2CT_6S2)%c?qh0$5Z4 zU0{f<i{DV={GcSVztjx~oBG<@x(IbN&i{Ib?7#Yk#LTL+$Zqt+n%L0FuWWxrQk_^k z^aE!~s7e_gj}YqOTsc)c9UaM(##;GX?k(kaJbF9eo?ST)-|etZeg4dVkv8wvY+5<- zif9Er&ER(>6?;mkV-emn=5~@PK+Uw0#KG3EXuJy@ZV2%|ewr3_JPi8Hpe@Zb_4ic- z4BkKk{l~lg$RD75$E@y?je~Pf8S5Tf0U1&_qYZC#*mrl26vh5STDC724}O#d4ZW&i z4*<yU$4Jtt0|jumhF?vM^QjJiZRHZ!HpC7CL!Ae?Vi0Nfh}XqFXM!d#l*?tezcJM2 zt}_VIeP<ApfxFHi)qaq6_fHts&-3!8_IFb5n~C6%PDyIj<gCqc{azrI1e=MBwrNru zS+yD)=7tt>)lFQL7md7D!<~>+t<hhf<Lcj^N%x)fXutf1kqGE0f%ftd<@%us__;(s zyZiya;W5kQ&+XkK5RSFl1`TzZr@O1*P*HThZtvcSPeDpQ)xMs0zgB7jZ~DNf69B$^ zDYRWItRE6X;~W@lko?=a37?ke2|jt^?ChP--3<f(0q~y;y$4^&EMAc7P=Xdc5Fm1` zL|lt?OSF6Rz`E&m{cxJYt3=E0^-7yJw-NCXB3CYDB4y#=nQ~iuuS`b`8W@Ep4F2Q> zeL}53c>XQ^vD}Gs*7LYrD?=Pv4ClALw1+7iN>OEU=02UE1x@*WO?K2c;!#w)NR-s| zDNS6i+&5HO^2c&Fx8s)+<P}y+xA4{qG%>WkP36YutVZJR2QT5r-I!Fs%ML=KIn$6V zZ>)s<_+AY-w3#rfgp+UaaR*`X6Cy+N5E)|ue_yoB)j`5jGM%3JKM#qfO+(znnO1B~ z5m-m@h85dt{qY@mia+N1v{jyVyvMi{FwIS7-2pqw86+Wx;&HUqUe@q#9a4~P(#O46 zobj9?IdP2$GYfG)b#?foyDB0W-tw)cJ)w{A#C2dz(r~J}U%NpF^S$MhtJG+Bm>b%k zbrEBcA5+z1+6{V`L`(so=#KVhTcr7Mr2Vd!4Z@{hKru64Fx$@bF4Mbu<nhO$cDr5* zq+cJt`V2qfhGdKB>Xv<Xy3Ej8AL9B*-R10udg$19=6-rU8K+RaTyeS!!_0v}cgrJ# zrk?(G&(-JlbNZrR#%x8N(=O0q!=}v<j*|xueheu9KGgV2l~3O`U|Jq`-CEDy9ONj~ z@P*c0WblRGaB<bX?N$D*AxNc-B$?Df=9xO#(UJ8tA}KyzHOcdj=eTEWhBTHnbi}_l ziJiYCuuwwh%W6zR-X*_@4J#2-7b4w=Nx@5(Nh6XZN$TD2vR`%D3e(uC{67URHMRCb z#T%RAfy6LL<;@m(MSRjcmt~j8Jav2C)K!}+I`5060J<UNTV72j-k^kvaOJR84}9F^ z7tY&4(!4(xTOH*i(h^m?hOhlR$joIDUsCmJF!x`b`}17A`(-Nhti4h=aX|6$SYE@x zqX|9DTWM{91ci1vDWd1u*;(@~4gN39w}ObsGtn{))ra@JgR;K_##D$SLpl(EhS&pC zwYgE*-&_)04y8Jgp5Grc7Aq)CQ-vE*#dS{n>@d!cVJK!{@R2xqnXUc7!hk{N1H0Me zQ&&cuarj^()#cL>CN|!&4g7K0nY%42ydn(_gd(duwb7bWmZ&*%v#v=-;Zp`o_Mgj8 zNJl3w<5>wt)BRqL`b4sGNUQ+^!(p#nOMNH%W`O95gb9Za+oDg*_&2SqwztsbOef0@ z__J{=U-}@E#Fd-#$8k+K=jqM}Of=G(45{U(lzBqZrrlKiq=>nHHT14;Z_hMtvYe37 zU&C*b^oW~IGBzEzM|O6)EBq5)&iqiEsa@Y$-q>vLTsQJHuIm2KcQOKh@&qCWENq{w zPJ7-+koC@Z)-r@Radqh?bfM~WSUtTx>VJ69Ph`(eyj*9Sd&6+@EN8n`LBXiDj`UsP zHlV>dC0GTce^{mlOH&)oAH7WAb*Kuk?5*m?_JtOYjOQyP>O%8jXrhy)f}-WL2T5i1 zt~xe^9X~wzY(hGgO6h1Oa6J`(O2LFs0uIzBci<So!|+2u1%LD#uZii~t7EZjwP^d8 z5!_t$@QO(KP-P*s&K|x9^!N3iUT5Jj<s=1Il&J?_)2SGP-O<OluN~^lYd#nbO=L%2 z!*y?3+i$mLBzSwDDL3@-2R=@0J<Q@nMn|hTzrL|zGUsP6n4PA5J%%0wJmDY<O&ffO zx0WVtBec9DENAnSB^)+!ndY`^YR{M8ej2G(T&6x&TU^^QY)qGBnM+z1CBYGzXO=Fn zL=v9=IpVOozy_)kXnv}27K{RXUmJ1}N?gPb3Lrx$mO^H!`7XbEvCSnq+wHQ^6vtVg zKdaK@KGzgC0$y3C?WfSA*^DAodod6gV`!Ycq_{d7_>_du)Q_QirYw9mBu3zcfdYj( z&J%px3x<dJW0D}(hKyXtX%)bexUtv(K7zyfB4%#c_Wch#y4IC8TPfS=S7L($E6dHa zsu1NDMZ^w9Vh_#v9COu?>dnKtKj<4S@)JIaQnv`_-9|~Bo+2R-Pqy=Klc`I9DGF3B z(ea$8CTg#y*&X=NqRjW_Bl*MyW4;fMuOsL%U3-mkTH7t*cR3SG)#SNLh&^8iRComJ zWkH0Z8WoK8enILY8YR{=j7y64*4g4hjkp-xE4Rjrcc?a*iu<TG8H)39MwLhTDe8(m z<#4wx$rq=DafL}=c%ij>I{U;Ca0qb=P2AwIR4wUr84+ltbWA5eY_}@;qJKJ|Q4R4u zPP5+nyksjK_v(>ZJ-&QY+73MyT$m1`4#;aFhwAN4WoGaH+8?x!*3>ko%SoWTk*>d% zFP;@C3-0kOb=L6@K<=aZGv+eQL3BE3y?A;e&E$PSULFIl>x*27;^KG}w1Y&oz)t^B z7d}FhFV<2&x}cx708<MS?%AzQO>A^>X$`O-HPK7+HZn0nVauBf!WwhaypB~r2fSs` z3eE;n%d*VtKXKGv|E$&jmjA^lfN^6qg$<(Dz$^%p?wMaqA^+e`X!Ak5<&qt71HGmQ z?-*%j?Xw%AjfYsRH+NIYOat+vqRm{WeJ1x_8}Bf&3pMEmx<?VlITF}S?oINHaF4Kg z=Z%238zQCNg@+mVpboVe_m>kYGq}|Y2r|H`La~^_NJ#l`DVp0!#`&G9kV;2pwsYm{ zAAh6*f}L%`27Z%GOHf7+JZOMpj9cvz*L9usEPs0KfD@j_k1-g*r{k>jt*vk`_9yGC z3)6sxZ4=fNgtW8zg{+~*lETopekckDeth>MsMv0f6Sy+gPy*h=5qd6=Ds0$jrUvY> zA35s~d@^IKBs9xt^I(0TZx~tTYDE~DrCY=NWa!)Y9K*;rmJMvv9==>VQ0=Y1R|yzF zwGY>{CsBz|+|azgFt~}sxExo8b0ygFF;NM<1|*HOY~+uG0TFw3L^{!7>%qg&@nd!5 z!EX~OTdA)?zxQ^(4LvU=0KD6L7&?)m%_?)Y2H(1Z7D(PExUSAVlAe_M(;sr_{^aU1 z{3=aQ{05ulh$YB7;Oi)`rvP=AP3F)$(tnDL&5(C{2AvSQDqskye5GP`{o%pPxnte$ zlL&;hrsvF%5ltxXM;NMiL5bkfgQ~<pG%=@91^hW(&iPGCOwEtXzQ970V#0A0vI{}b z8Q-KBS#nMWxR1&8hlev|taW`S5vXga4EOu|`UvBVxZCGp)w@8nW=FMQw#bd1YM;)r zak94vx~napQusFM@b;J|4xGyUWrg8Vb_SV!7oCr4HitbDwkfd{!{^s>lf)@@px7vV zh({PNJj3fZfTwSW&DKK;OD$6njcn?$w#W(zscKbiJ#uDN3&Lj_mRN`<^9}OD5>Qkm z+C!VJwWB09-?2G<D)ap))LKaJ#-~;uR9=WXE|-8x{GH+{67`9wF!oD`<`?nn*Ej;A z+?u5r%;M@#_)*pnQQRY+yG)g8I>sCFEQFKi0oZ=F0v7(SFLauO9I2tH#hwk6UMJ=R z=uYnp6b@c#Ppas@nEJ4ovlsI@jQ`Lgy?Uq34S8$Ke4;X~T7eHdJpD@BahjiEqT&<! zs4F(d*8*LYgq|BA*-Nf+(}$NbrKEfc=n~G6W1s(g2^y@>V$<NHlzCQ2HtOp4LLEsG zpa*u6A%zo_CZ65qYL81NRXlyUOkwTj{+k-KR_4tfYT8Rvi3__HDI4~|^{Jt9QJCFs z4Chq~$z;n8*@CI_L~=rmVtGrO2XTU3CZd%;Jr?zrnu|N7>zJVvX&&;^k{qRJo&I?b zz8**f8!S5nv8QU6n;sbCaDMeT1jqERfK=jKP+(yA>XFghwHmD{ULiKP)T|~#e{Kwp zhu?~Gv)qjt>CcDHjS;(6pUR3?5Zx&R^ygJ8#%|I5f_2EVgyK<H(}XI4JdP+s*v&^z zTNKm2na;hSwQnYL970zTH>bcwC+Vm}-kH<>5J2_T@Vn`pMw_4-@+>eQ`ZSWL4?@yg zX|g&usStqk7BSX)@!9D|5|0uTB~kO&?6%^Ii9WtI+Z58T(an*<%%;+;_d*H9QL_ws z8<axb6VdCed|ps;7Cz(2w^Oig<mMfCF3!yxje|ilTG?JQr;P*d*&OSgMsx}Rk0nM> z617)hFZ8ok^kqK9r2&2*an`nToUqPGn2~P2+S3y9C?BbcOSCHM$7{cEe3{>y-ECV& zblr_sNBpKV%|zYGwUBH|F0ETu^WYH+)}Mn+j7h%J1R4dnQt?tF0ou*UEfGQdFy0l* zm<db8=1B0i#DTf0`t=y2-u6zNhx?z$>bDgN;$y)2?Rpeyp&^j%<Zl(vMn?Q+Y!;YK zsL|2Q9W^ntGxR+0UY|QT-f;K4Zrxb9vQ#Et%YP<RQ}15QpY{BAtl;_1&iwq&Y4)#f zrA5vC#@zHJIT8jyFNpv+zi0#AT}4RENF5G++CV3JcikCa!ld~myqY^4DbeWb5$TzM zk?*+bgej&cWLrx)Ze_Bc_vB_1ez7t#V0&;th1hpKP%ql<!GWTEsv^aI<S|D6`)Osy z%~uD7h?l$hqrY%x+)d(gIz8|jPj}1xpUZKryB!xB;LXUeFF36h=<6CYY`%A2vw<6) zqaCW4zpUCHeDX7xJt?}Kx!;3`(nRR1fz6jh-T0R6^~p93>!O{{{1)Thdnd!@6g7f2 z&roa>o**?%vRi|aqZ%lwKSi-wE%DxSz92-gF|jb%(||wo!X(BGH)0~|j@2<)dstaG zXq2~O8HEP#ii9Y_-%(;el6OEgzo15Dk7&&NVkPL`xced0y)Z7<E_}{eM*YoG471u` z5pN!uFrFWKyeXANmBQV&-tp`Rc8|LM*iZFd2oOHaw`Qlqhq&VfWQLr4fcMv85kBqw z1}%&a@kNd%>P~ti{TS8LjSU-K(TphxCq0EQLG;-23INx6-nt?WN%-z479NGx)O37p z4T^kO7jm40<Bg)~+&H@W{f{r6`a;1G9Mb^v1$<->%@)V0_SYN2h(m{&i|96c{0;j# zHn{T{COIul;nWo|HuvpMpOAvrJmX>(-X^fahvZZJ1zVfIYvt^w5i}uI3ApeVOb&!k za9R(@bHszV9eBz$S|6a*L;s^8R+plKrPIv;mp-V<DH*a7mXxE+7X<jDs0f#8w3?_? z{sxg`+$@@7p0mBeFGaBzOwW`z8+1`m<Wxd@bN+k{QLU}`!dmuw^6R_7n)k9~91|g` zjjdl;)y#?-zirFYx4F>pVH&DFt@;q6>TCVVn7`<Y-BXVbuALeHo-6Y+!;u8ykn#MI z_aq+gTsk%ItnQ>(P{ODNB^?+j8Qe*5P%;p0<vA<d`cg!nt;5!q{c<eiT&56jaV{-e zrY-xmeJ5c~*wi}`lBc{OzL>umpKT72NO!IAVxafEFCf^jO<X=%^9rx;EnVn2alfef z6a=tOAMT%)tHqBeDv&2D+Wou~y7@d2#6Eetj*Cs-=eXNG+p2AE%n$W!5s~=5b92V; zFVkhYo6*mh`C{mr9H_8=^L_i~i1HDhQ5E9SZUpnolItWP)#Oy!(~nmY>0j6;5jG4T z(swx$bm%+0cv&KtoPVkRR+8a{`$e!I#Uui-A$oIvYkwd-di+K~eWl=jU;4TqJ<dSY zu-E;YGCZI$n!0>EN-@UG%;1g;Pb-y?+N?jiC6wr>sGkvB;_ItFJFB0renHOCCL+<v zzaK)iJn{_XB1FTU)IRpJe5}>zv4E2rYhEGOt@kA(Y28@tT4+f=vb!3ul}NkRLW7{l z&zfqkHtD$;a3qYkV8~>5={8~1QZ6)#bL*B%<Hb|fLcC~=m+YYu<ujSdbDi!0zhsA~ zK91q**nCi-%mF!k_=eTr5Y5yvjde$`{9AL%;}#8dMX_h6WLiD*9S=drVsVB#7<3zw zi$FI5mc;GvNyeczKzO=yxKh~f>zJEknfljwSQUZuKY|E7&SGbM&;?H-ha4f&C#u8e zEg-mn<)DCc>5Ym|esS15xmw-R(uwTm2Wk~7wr>}dZ`rf07Ar85pxZMZmj?j(?6pD3 zx$-nC_qX^y_T%6&+nXF;+~7)rKQU>7(pWTeo7?xl9;H3U==$?*=x%>cD1T?z-w%K7 zo&G$otlY16g7xv*RkPId*Y)jh-y18MZp#2dwzZw^+mi`oGzXM!jt4zA2V56s{HYev za&OSd>oeJ9>%F|rQm0y7_M~F8I!f0+D9qTCIqN&??`Gln<>Xxyo|ZuUdD4d}+cQIC zKVBx)*BOvznk9wfLT|BL!OpmZ85wDV!+cg)(Gr(f1tcuR$I{GFM^~Y@PsNf*_CEk_ z8_>lJ8mS_SJ-e==Z~eJ1@FUXx*qyyt{uV|s#48f!Cc33`ihH0L#^m}lK)~>qz~L(S z!k$=B>iGwf#fPpWzYa{8xUJ*k)JN-o<14HV*$vG<3e4U>;KA-T2qLOZZ9}Ht_=D%< zk(?^8qeQ2mt75TW^0_)xgSl_%+`kSJp3Vm$2L|IPems!Po~-Ij35u%bg(3EI(;_@L zWP44Q%$Y%?2>*2Dr9eRadL@68GO{`!`MGmG-8gs1!N2^NkHbDK)X0p;?|GNQdLn;X z60VGJK@PKtKf4~IH!FT3-d1w5?#Fo7EhjI*^rN5GLTGfTgW6}^4U5%w8AZo^#oA}* zpbYL+gGK^C)Pp&;Vf5I9Uzol|j%>+SUN~)MH`o-RYi&zCvdtO&a`hu#PuwzQMU*x) zg3cM4uIIXjADzHbZ#7GNX8V{1N+$*9kTJ>=Rma_>bA7>mF}9YGJ32ON8_lV^3-6fj z6Xn1>{wVxLE&X{8)|;&nnfmAQlUVIcPPf7$`Clod%_a8u#3L-2IymVA49|YNYJP_P zhP4;gDp|dW%b-v5Qly_=mjL&Tj-AImCS#ZaY8lU>t=(|XpcAWR3tl@xOyj~?v|_MW zn6o)tZx8J=kl<QDK4rD6*Z0S4g!H?BPcKK*zRS;(W0#M|blMmU2?IkzBlSr&mf_az z8kr>FUdV9S<kR|^>9Dr_E62-|=IQI}oguh@)s!_bGrg+6WH>!NR=sUv^}R&kAXF7R zt`4ls6>b?RZgVLsO4c$aU2<>O!6Vz%pn_kItJHe{fyvufN!l5LC)j3rWYby*6<P|m z_AdA4#}IWhv{>+~!0=%<=A2#;<%v`6_43$u#`JZ+KJ*8@OrNHjLLrxr4fXLui*v^3 z*=xdF0oNzz^UxV1>7*Un!81p{-95#HHH!3z6&+GwhG{@;{5MPWRdD$2WNom0tl`g( z2@EhCG=bn%Wd_$zk7usDZp-n@NL5Gq*HM2v`KCV%m0C0fqh<5{M%V3Y3HY2KgxIqF z^5R5{T5anBb=K<$9w-#Ly2v{d6tIR(;qJKC6ep*lTR$ziW}TbCTr(asay)6}x6|(i z`W2yCy7gIvR}G)IwJWN;szV0^brf{}8#V<g@~^4uxL64xcrrH@>;GX>ScY#brx&EA z^x`l7i%l`Jw4pJC=GFKJ-dWey2784<TQIt6Kk;sUp$)(G)x%JJ4!y@~HNFdtG?;aV z1Ak7ITsh<u2Od#gjqg5KSXuD>L!DvcPKi#6I$zg2C|+s9&W61+c_rg_iB`UbGq3#2 zgVr~x3;qTQc@EIzX5_+zJq#~nX(z_tgQs}We&kcU!VkOLdc@6Q>S66sdd+Z?UFPr- zt~=-6xsxdJ(Xf3ag(E>5>-*?SLZ@n)=l+KepNmDDxT|sSZsb2?J$jC{y|aEy!%+$K zqFSi!3kW#ndqg#}i4)*bpiHq)YwZmRNT5Ij3J!Rnvf~{9Z@f-<ip}C#H{fwS_!JvF z-yA{@`1EhZV8%-p7VKY`G48oL?#^v)l4uH<i6NxTSyQB*Nu93Tz(On5ebdc+#L}ti zg7l%Oo_(5F^>#eaiR$BH>Itd#$NR)vM&}pU+sc7HXXqy<?$-e)yb2;$Wb-n6>%R_T z`GmSIM9LQrkaWarp!3O~^-!#2Glw1vS`TpKJqRg4AR1{y(Q@g4xq;pS`4O|F0L|pa zT1fAQJ3lvresQKYWBKBoIv`X!^DFT6V>YrbA8ehM8R9{gUP33*z>fVf!v!;rYYDVP zqMBRE)GT}_6-(Om!JJI-R{1HqzLDkPf#?t3KwsbKIg_=yX|T9&_VJ8u^H?kcy@Yw# z9y{R3HxCx~2J6UjhC;U#pc^3yx)BL;m3MB0s5t0GP(K0Ph*Z#x$j||O1j3lqL5`JR zgfpVxTtT~LQ&}sFJ2#`J&LFGJLn&O?aI}*JRJUK=tImd?YL_T%n9*z@Yb9~7F&lwe zWFEM(=t;9FAiMqUUS2T<<)Ru;{`|*)<0qHUogZSXP^w~Bw<VEWG`GGqXY#Fyj2WV= zsdOlo&AxjTCv0|!a{1j3Q1AwBBcZjl^}=Q~1NE7#RBBqcjX<gY9CShAR>BIW==VkV zZJJ=qZIvx(Cwv`MK$=TXSY@!neD*QF!tMmE3;`#SxHB0|TpT;ANS4Evad9-e9nN!x zmH>DP&v_WUn{n{x&sU%ICljztO3>Q42FsToNvONPYP=*^v9P&g7T$blDZxkbqf?EQ zLhRo-lvUjVE0%K%>d#~sgcBKDa%+zLaDr!wUK+PgRLQNe%6GEp`%XN@DElaTl_->F zbo1;h|FaSW_>VP;Q;93<eqw<Q^H@&rhOztCsSi1ERSG&f*2kE7!3yP7?jr$PmbKE? zV=U`Lnm8<IA0lWskHbv}I3D8@*r=_xY?{HbCoi^qW`+yC^wwLD%C7W7L-dD%nhBS( zs`DGRYJqx>^F=Q0j7jo7X6|Fa>gLati{0ThH1%u=bGzc!>+pJfWf!<vuO|)NTE^2Y zoO*7fwuz=)uCy2q@4=Jnc3rf#+Rg%8ce9ycJb3ypA_d$zR?bep`g)IgSEqWXrjtBQ zZ<xaiYQ+3SYur4ok6VNB&OZ9IaP2m_A{X)%t&dT-Ih@evu%4IDI_#<)3+a<8q>g8u z60R7#=tifilT|ZHKt-ho;RtqrD>Br=e^g{S-eCE)qym;-hqz!xmh-4-(g7co{DdiL z60DG$CbKNT3p%h+djsdiz+yop4t)rhGXSfvY78uLDpj0MVhgqbC8oY&Pm*whv_GSj zLI^r|vmZ$nhA_2H=l1);vqi8<9uXvp8Nm%iv4}`}$Gd~)RB}8DHN{skRUao#+ur{O zY1q-+NW;6{LtX%60@)Li4D7^SCnj@E8Qmc<Nk~MMJ*MZK$=-JZ9CIEh1BY$OVAn)K z650LCo7DRm`uhQD8aPlhE)k9T${E-5-Ux}IME&~|n*|<G4hj>ys+XSG99!r4c)EA@ zht<_@NMut=V{vhQ2)xkMJOwjG6Oh$FW^SfT>9&4?Q|A?vCQ2}1k7DtdsT~_WK$JvG zUd?qIJG9vgZ|Fhue2CTt*LaC#{wU_5LNUC~144*&B~<@Z90lIr0l^3A;QF84U16i2 z$pR*i9j^9W+-`P-)q44rJ2}vh5p>j;0_~WOLjzT^-$IwaLvq|kv7lHD%vekC54FEg z@g%1C6p<X-`$u?@TkFLh(Nk#Ug8r|L*`T^l;P4l>vy@XBL(XGuwl?#5PQnuZKS6dq zM!C{cUqgx}oYC91yla7fRB@eEU=^2>|F?>3^v{b9QPrFVC4~x5YLRZ62Ql&x)$dcF zM1CjnF|;)zW5k50j!%NpZaF9wf>Qf6SR+2Wlj=c<lol)-F((<G<rJ6F80L~$^?s{= zq|J&Uw2}WIx2q>6r04=DMsI5riEQRMOaAqxDBu6CW}4^b39i*U``(Xd(!D)+O$Nl7 zwSM0|b!@!|`j;vWo?O5)<Vg;QS%H(6j&kSV<mEnWKPG;>Pk|s1EU%`?fmK_uY`b<G zISpc)zTJm0fVJ0-0^AOqB&7iS9p8Khwr?GEPrzBk1A?#8U6#n1XnE?<>&y}LD~fN8 z-Gy~|Yk!1{%GxO6y9<{i4yO+=bU7k-B;m5$)qnX`dP+Ypp*JcXcg3M&(E+&6^}hN8 z>8{FCv|N#V8y&S13}z`ac-ScD@vsI(*Z{Te9ZvDU{ytC*Qxeh(@qxqHA@2~UBn>Mr z*delr|Fg9f37|mg+3?~2Uygeh?`9r?+4IgRe&MXIBoA2NUC%r`amO;82r>8@|8~%W zf%Lw6AJ$iP|7miLUUb5Cng^SNBtX^=r+|<4pFi`yGbdZvYNJ)@;_DWFDYi%wRU9|- z_T^@vbTr#A>i6jBXK(3^tb$$`WfHKxtoHmUt(jp34sL%*zDeC$30CQHTTu`n=aj}V zf^|hoYhvb8b>%C4c~PCl7kYqLvx6dt^UB~7fl;mS$<T;uoMr`({Z+^ck%uhRQtI0) zh1HOHSW<oMd8xLS`>oe$q1)-9HF1`>0z8HSQiTu&SE7WxM56T}AILL+UyPvf0;I?2 z9ZFj~9?|x)?ZNBu6jFkeCz+S1=Zx(!0?OivMLLP+hnRL}DH?+wY+-iZ0%1DmB{9U@ zeZ35u`ewlyhwGK=wm#yj#}DEImS0JP>MAWF;yG;v@d+s^(dB1GWiV%R?z1;fOEMCe z99)UbA{S(X53&vV?#PVb*KSmmiFqclM>{BDqv4e(8seM3Pg|42di#KO#A<p;v9)tL zz8Yc0DC)sS*JnR)2V#}cGrL8->NA3|pF4~lM|9|pA>#0lMZrZ9Ax|IAq?^;7!AZE# zi2)Pj!e!cwRQ%to@towFW=q(Oj)DP%mG=394%{NJ^NG&*D(^8Xozu0CGDZ;mFL}!A zJ82JedB&M=*OWi!NW`A-e?@_G#&mU<JJac0S2?TBRs2E9JE4A9scP}Y>#aNs6_<<y zWt3zGZnZD&iq}lSXEd&YLlvsslZ!7&QE7loY<jU;N>1Qult47`wZ)OL+UBMWPgiXI zsmzkK31zImn{e{;oVQ<81D>C3r0e5kSOqM`Q~}8pW71e<R4$}c9cB1SM0hQ%9&f+R zhkX9=ZKe8s+VpYY*Mcw6$tGX(g9D%E^VIDj<F{w{X_45wC<dn)hvf%%Qh{nA_>1;l zw%|&j4T~kMVy5-0TsUiz&>#W-RM>Sa6Peo$Lk65!*B1$pX;*MFXpQrzlme_qmy^pi z{UJ!(=`QuJ`ydE7oG?Aiv);Z<?*~fkn8UvdT*I$j^v%1j7{s-aQaFu;Qnh@yC%8%Y zR)+F6nFA3kw9B|(J?`%sXBYr3SgxVJ!x%`zY9^*V&rb>`CDXo(xWcr5!P%X)oTO;O z-?8_85J=4O6uh%(W16Muj*c9Ucj;Ir(<WK*>D$w08)<OYu32m<((DAF({<OjFrfbO zh(>|9VloMKdQNbz0XsdmzSPuSVVtox#CuX1F==`*1V!tBT6AnPg8#GNBFniAX*&uY zxy!$I@YwrLpgpUjX5pUVS~*e`Y7Kd#xbY;3%v9PXJ4YRR;g$+Cn8!66h?VM=0iL=x zz`4_<8SM6O3^S|ii^E-{9XdDpy7rHb5LzJpzen2Ne`i#`;SeI7=NE|P;d}k^2d{d2 z5)7>rP%S^|X1-lmymj79wfZsRYmEBGm9D6v#Kl_SSHnXauX;c`cp{8`GvVmbdR60F z@3u5M4z1*eWvg>FCpT2eG9(d=u)|FQUGM<SpLQkGu;90tDW6_v$0f{qdS0erGdN%S z*+4J4#gp0&_epFd#E(!S1o>R%duemQkeb?&mU~p0V8*h0<1WZPH4${0@0HBEn_(A$ zH8jQLX@f=LqooFTFAbzfj#Q+SSo^36g=1&H1uyv!BM2ETaJD0BI2pA%$m1%C>$hi8 zj~MWeSb6&shvRd<OD-u~ux9H3Yqmocux3k@@dNYZ?+`C~xIz%*Ip){G#Nru*{m^ZT zSW}Z=Ja}Xy8Xj<#GuoG~<LtdlQ|*p~5Jsx*|J%`8<bzhmyW#Da2$DXu2#CnUuIAuU zX7!Vnfhgh9>Wne?z}(GQAXte}gOwN-Sc%Dig_t~8npHgp1wv4;0ENCg0hvk<>D2$N zc+Jtd=;5)HvUm-I*`um(7o|F^a216=46e5eK?2?;NEX1XRYuOH&P;i`3}!!%jcHn< z3YBOJ*%CF@wQXh;HS7-7`W6&8d^rDs{y4;^+B;x@d^+xOmUHGOX3S4ijITjw^j>%6 znTg0%+x(U3?&w&!DLE-Yi%lVXc~h!Xf#%5q_n*mKu&!Gbc)aiK$I_Uw+GnwG_F@xx z95<|+*cN;L#?Kebkvk;89N9D#?nRAG4(Ovu)Olm=_6ve_8+(LSG4vWtkOSaC`V$}< z_j)4qNA2s0K+($qw^dpyybhNaXp*++@i;GcF3<lkT>t7GDOjt1z0`YyMX)_Xl9Dc1 z6)gH91>qx0XYu3=x5w9_&~CKImFE~3&b~=0zA!NMg`l075gmq(Jq&&9Kik+346OTs zN$%%#axfyk@&(H}RFOS)N1w&Jsty$!tm?vFfK^=(4p`OM|GKN{f+UB&u^`P^FczP* zpo5t&?|tTb`5DZ7B?KRaYSPu;CBYf@>SX9$672dgGy$W4wG40kJ{^v}TUidSY^R5$ z0jy=d_i|j^-BK_#)+B!K4~E8P_o1=i;C*NeX2uy&zDWj8+$&$n8cFnGX7$w^+B{6( zrrt7XyB2(Wb1ii<NJa(dz6L{C=}o$m)4q}?(dGVg`UDhUDEs>Y^|scb8(dF$6Yhd4 zJgk6(b)58YixP1FIF{ET%r5b=f3S=5P~XJ81Htk8Q-aSMxk-!-)#goL)LZ;OSGV;( z>c!k+e!34vy#m4nM*oa@Ee!9XUfh8)oxj^4?AlY@ZS&ZX6x?P#_eCVt$amP|C@@F< z@vzDx=su68pVKW}2J`6eAM=5#zj-vO%UISqm`773lQj=&LIZ`T04l6_WDb3GrV~ca zy6p58b;<pUTQ5(i`plXc1ct#mnWm)DpN*t3__|;N{EvXdBtDnMD!M~vOkSQ%^V8V} z_}Mld%_?4#8m!RwdX+c1_yM|B7n9T~y4Dh$j`MI@a;_D1kn^=_-BJ?D@WZK+m5IV6 zP6D&ma{H4PNkn#5=Y@^Y;Hnt411GD;+u$NXoT^9CQJ8VJFP4Mx!K_q8K$L*d?7+YQ z?{B!;MU>JV%yC}m`boNO2n<`z2~vW+!7=Yg>ALa41K!6$AgLPbp;4#TnSlY8vqBk9 zuz{)pNigHh1K(F~c}+>Ef>x-GQ8Efk7e7awgwJn1z`*Uv`%ERUtbV#UTG0-V2g6iE zFiaJ0%X=Trf`u*dqm6B_p-6TKiG9r;1I%4{*ljr$@qe@v=>IcWt=JH#QxT1hAo>j< z8LUiS-v5N2>NlKhJol|Fj~obR5yPVS@tG4*iqksM#bfZ}Ka<t<tw$4%ESyH*cG~ zn~5q`c!ekxz+FZmBqNoddiU2IQvH@Pjo+b8CnF_n(cWdXORREpgUzwlcCj|LN^dV; ziQOSy%-@0%US&vFlVAlT@K4#*UI-n{8C-4+zuoN*!Zfa^o<mba1}JwpGGDmTB`W=> z$)>uMFMIL}gI7+Bu9s`mEs+m9T1_MFw!t$7W7b0Ms4cMAS1_kM8B8_i67PzCVnZ6+ zU2v5AWWOk;(o=Ao?Y%a+MIazJiUW<;S_rkPc%mqj{ie~11EFp9aEu$bZ_eG>Zd6f} z!~JHyHMnOM*8^Y+r8_h=P9J&n-8RzLKGGPM=6CSd3k9b~$FM?|dy48Q2?0x6Yg|_= zal4klvB@(={EU&dOMHf!GM@Kx7|OZpUaeXW<x3Tc<n4-R?Tb$Mi26=n{7ww9DUym* z^Q?I5aI^06)BizV;yj1#iDjC1iOCHlz81-7ZtIu=5!s}mmypLj0sbxmi=0H?kJVN) z>X3jAqVs31SdE}2BYFPH8Jf7RM1lv$#KRKgUbhn%O7rGVyn97#DZXynmkq~a1Zcsx zkit@X7j+e~1zw@V+&-BAXDD(TXwO*>_hsQqgy>HRTU^1L+BfoKj*r{f1x?T9P6Y2a zf*0Ung#trq=&1ToaBBo?yFze2n|yLO%*5@H!MNe}zAPqHbkZtm{}F}g`LDhEt&@>I zt>*0<3D!n~V@znwbO3mhPvKVMyvt8yJo;Bs-keWDl->t>WVYZuM(<8a1iuHipHamw zxSBrN3Ljj(rXg~<NA0)xos4i8KcOrIc|if3Y<ojE@9(DZY5z*6j}|_Nk426!1mDNU z5>-oTlKxD%dB8<zXs;q_0@);FLnB(eaS}j$CgvI5_Kk>m;8~JSND4w2c#SgntbaVj z>6|@;l~+5BUGHNEWll2d&$h}BZ4Nw@AKl16inXzoB#bE;F3SxOHp`+qePH;y{hu^# za-!6G*_lOo69|ag-lbvFt4!~bkXgANdnt9*^4C7zI-)3{c)K&b$@H!OITT;KtF{^x zPm<?-UjKsCSA4uC6W1h2ka+fw!fNX-F1wfE6u;1hfSZDd8N=%;%G{`{Y$vlz4Z#%= zuc-0Vaj)Zy1G}$IklVt8^kkB~!fQ+MsYHRCTUU!P2AYGj{><{2Ss{-b@NB#7?psff zQ~%yw1*i5YKYO44VHQ2*ivkRFMx`3zqz?>C0~?)PgJib>ID1SB|H#Nfb*X;sLrZR4 z0seFNXm-~~U@2MTdl^)23oFXFGDE6?!0_<b5nh-JEj?+)!LVxXbDm@83@b{Q^aNk5 z{){BlO3E>`_+=KtA%T<m=2$<bezb4=&U~cRDRd7}yY`@dvZDUV{AmFOX~9ia7-@Z| zT!G4AG>2MvM~wF%U9nWs8W)>=_RA$YfX-yZg#4e8+N8p<!R~4gh8>k9Hhk$jvhC(g z-?(;&Wbi@5o8xhdCo|5^+A{__+-a3*;H+DjAv*^18veg0{>knBUtqhy|2?-mx+NWu znf>!KE+YBQ%pwMJFl7tVRcZ$#w-!97gJFp>S_PBJ$$;gBr4++ie}bGhCXM?E)&>uQ z^_-?B>iV8_@n!~mf(ubWAQY+58|BvanF~wSebWyPo75gO)F_Uin&!zo8s?&^ryhgn zlA==!?^2i3F@O9o6E>N0c~w3zVcY!q?+M#!;7QN)qiH4zIBXYeJwBn8yZYO7Oj$ce zdA$M4I_ujM<4$yay_L?_bEX=Roa=xG)*}~rNzp@_0lj~w1ca%V0*@PMh@rdkV#sl0 zuJn;G_vNAf&Ow^qx5%^S^VW~3x$XZ*hN;OE$R89s9u*8K<Ww=;eoo8%YG1DsRvt(V zzpL)zoL+VslTt~$19D?5AyI@BeZ_}v4OjdH$X7nbWSQyjpQ|VQ7*M;G3m7$5Ii<y_ z$JeIy{)N9O;@xx;8~3OE@~z@w0Tv~?D4MBIY7;Lt*~^e^N8fw{?B~{hG=!#>d~&P^ zM*kOaZy8l(+pYZzB1ngXbSMfaARy8Wf*>U+t#pTUT_6ZZBe7H(RJyxMQW22u?(T;7 zT%dP7&)#Fddpz&||6z^gn&-*2xE=Qg=WiazF=5nO>a{OziZ_GgNUIk{x{#mvjuW_4 znq!8F{Im#bziqCd$A&HbjbIS=y!Jv7y`_2wwyp@e#(J?w;l<Kn%`{<d#N?O4>hOE` zb^&I0ETd_n%hYRH9yrCfjLu}enUvcKBkAjL$6A(J%O&J$Q1iwzU3L5M9)0<l@NLt& z7UGXIwnir6?}7N)+;Cl>ZCGZNE8m{_O<}AZ$Ef|D&z-_mwL@|x)MEU)tHILhMX}PP z<`odwT}82~6JB6^ufKDM->1u>ilII^C|YA;ZfDPaePWl$1v~Og>RdJl^TlzuUHP7g z!~X1Wg9T}j3}#ye$7q#?8+j>nm09OghY#NetB+vjUt<HC*^2HCT+I|1w0X~x_WpYM zW8qVHoB3F(&}qv?hkmL%a;2;4E)IjQ!#tEppun5Ycg#vXe!gYTL(;t6y*iBTu)kj+ zt6kh>TXE{-5D$fk7dU9Iqv^&5y;4cBK_s#vm4=QC5e^M8%Rosx_56RYx`zHc+4cWa zb)BpHzfxV(cwrE-=5EWp^fcUbHE9=W_}!%b_`d8=^0ztVQR>fV*)krvZc?^d*lG<r z6*NNjsa(vOx12=y$9i@3sT^(ksK6vM&53@J?DU~yusR)$Gg1o$dF6%ychz#q@O3xl z=`^6C2C)-hK*_-#SH5Aen*$DvTo>x2?P}qT>W+DE3eyQbj=S?heaDqaLtmy!Nz)4G zUjHp@)dMc7latOj+E?nCu{0e8_n@mpe8{hd4?4YuZyFsOOsG>_x0n{ew%BD=-nTTV zTB?16q9<V0<!K<Wqm07(QAF0r!*#B+o0uw^Yex^cMIVbV5`p!Dh^(Fm?_6sN%Nvbl zjqkqA<s%Qso5RZ-$|kGxe2ZaQ*kU5lFzjeWmZyndExt!$qNXl(=4lb1q;sh=g}Vg> zt?S@C)ym?VE4<}r|LT<nj%O1&3G<=-n9%0LyZDKj0B4KXkfzofAE~?rDoCzdF!B5G z*&Jz6B`4mZ9@%Fi!d-J=_6K@xT~VOd-lDEgVLf<?ED#AJwZXUzt60OpkSK5qe05d& zie171Bia5Ss)bf3yoo(lsa$vmAA9P&p>c3vt<9TWlKc3B(eLRpeqebX>AMDc^Lm_5 zr)r$i!Q~l(7<{OrusswUMM$6gp>x%%<n*TbCh>3b2Ks5Snf5*h_fGeCTHR54nOOI` zhOEhHC^!Rjw5RR5jYh;Pg2spM6(KD_18Q1F+~WSSL!GsB<)nUo9jRCBHI-qdSh(kE z0Z@?t_;W5qmYQ{cXXO4#f?L^kF-}3qQL&NKErqY|32Q9t@0x0XY)V$f$|;e6zAx#g zgg<xoqW9dvPSPmq{8V+{wg-*~6+0vJEWRPW?xCwMD#LJb*E=xaDr;q+vQ8!iV(d*! zAjZD#3!3OaxEQ+)F2<gRi?MCNwMOn4((9XNw}JAS<!T!3@ix*Drnlqb_Gj`ZLm{6= zC58e%#X@w2*jWx1O>&-rzWF-d*FzP?dKd>il@8^olhcPLX~JGoQZo%zaS2Pg*b=>w z6TW&yn_I7>%JP6=nhi!o)Bc3Fc#Tt3WXTHNv^Lb6{vL*+ih!>9_By<44ior23uU@- zf>D*z2X2}M6KJw_Kb+f!{6OQK^1QC2bJ$uF|4ZJ)%TKYO@4ez0B-S@q1SXgEo8|Dy zrB@D^T&9XAuq@{`!RMLh7gg<CQ00_O$m^bD|FVYxpK-QJW?ek_LWMoAgA7_{lZ)0_ zvjw!yFP%J_GGjB~BUQPJIVm&;pPvo}fJQ%Z26WU6Ru>($HP(OCQExW<qoY<q`$tFJ zPw*J@zJ>0rSJqv*wT)d`uFz<q;p~QA6+OL+0B@-IZv5F$Lzf*j@;^Ij71@7w)M6_C z?5OMT;2m|U{$D%lAD0U6KRarskpH%!h8D=>jwqmB`gf0h{v>^2JSdFPHs(q_z^B6^ z>YOogpj_3VO<CpHs{N=~vq6KL2RGF}nDotY$(?|{I=uxnQxpU@{};^fkX&LxMc!|r z%@9;L;g#dpchK2&*lCFiZofSOnrm;1d?RuVzifPaoK6&+J(?#QrN#vd5NEU1S4si3 zTh}KY`>?`x%Dmkc9=nU`AA5+Ltn@OGEY;S>&AccsPOFwHZEaGSWW*$QnCq8x7!BIR z8p!D_v=(=Wx8+5&I4D^tcMvSJL?_MMrZHmBu1MwnaO(CRY@+W%+JhPK*v66guh8UR z=Ft))TNdf%ng~a+>hNW5L)YcAF#^vNM}&xVimW@1qIxsYj7rjukj*>Sf_t(0^PUd% z_#9>yx0LZUj`s`q&Jsdmq|tXMqjmuXXcXcDUB8QH13T#Aebid}XE|IVI=ro<51Xo5 zeD%X4T{Ybc#Sdf3gN%&C!?D#77%o8pzM*J|8C*hb$^aeC!O4M+D2FP%pv-V6;~UvQ zU*Koqn*?;*uNAl2eIh&3KKof{!9S}iZl!IvBS&=5zxT6XhgX;sx9r;6cj)3>T(PTJ z{O(Yfv!vo#FW`K+<+Didm-v{at5!bH1s}V5(T9$PJ@hRymfpx!hYd#7%jrA>#`6>z zUY17G{t}pm$iW6Q95F&A@c;oJKfKz^wuZoUV1NtoK&ZilUlZRol<^@U(DV0Tkad@e zk4})X{2{I8C3PJ}0&;B~HY<(kxRKYEHVIGsENtj#$vA=N*08SR_HV4PMtuJO8Sxh^ zfB-GfT)PXW1<oPHE4sA-Ke_u}Occ2um}S^;@C}>9-wWPde5Mht1e-KrKY|gta=bGV z@5mn7(%~zOehmANKZB}8l{+g>UTn9<BNfM#tk>$rOn9<-&d$V{cJDwtyF<&OKZ9sE zV5T=c`zYu6FA$HOeP$W&mwgWXJnz1HL#J7B8EcqR-_=Y<8#=dh*s`(9Q;YxH7_{4p z^2KYoKVyA&mnb88yFl74p~B!`<7{np?bAC6)4iFB3SZxf@r*ep@gT!Sl!B;t9M+j9 zPHtK!v&*FCo|_^RPL%DkMClxGf!D3LB0YNEwJ}~2$0((r4imnSm<EuFaWdZyOQEd! zM@xbEf#V$QrDzqAhSqNZ7@YGW=V;{HT~ubH3goMu+nPY_I}vxJ^5a6!sWva;xE^bs zeP>$<X}o5b;@!i+Ps_dEe3TrS+x_}uYuxQVWMu}dCf5A-3J2&vEOGe1RX9NZVTr?U zg~R8CCh>dknSQuFqp0BBHujD=RP?EO;%sk?SCV2$s$14rPP&$<k5W%RtdIdz4VC&p z=s*wT<sGHh>W90ZgOY|k&^L4deZ!(I_(Rqk*jO3{(^Y9E9GH(2hAhv*grj5!ZR%nO z*>^$YWXa-PGUS?Y_O&{T{3gEF!;G4=@|>S`nUJqd-RGJLt)KUvikd`ZY`A9g1L-SF z`xq=FJ7|JYo}iyoE=AVbY+H(soJ6CsR?|%CVP^r|Lp!>wl|W5?&N($~{T}86c3$Rb zaRFk1oz{Z}VM2bI9yw3ityhyzL$4dYzR!8%Q|$+;^LC^`(>oHHY>&s5WX3I9Ez2`t z&*^cD{{spHW0s6iL{ocDs+!x&?b4}qOMRZK?|Iy_Wftkq$Z6ydx?U2*%TG!MRO3DU z6xB05(a<2-WU^GE_cZIO1ML8ZJPTI#OBGh--7(3g9!X1;*J9iL5Q|f%5u5TgBB>_T z&yC2s#XM;@rz0151C`_#fQ|sO{8E4*zY#V|tE)6q2BhT<Kw4h$!{2(ta}tya;U!bJ z+I$6+tVcn~8(z`|C0|q^8E?o3lJQiyWc-T;+Z=P9a-dg8IumgQO)C&3a6>j;)>d*e z53u+p3iL8%fp|N4p7SAGf$(+TIchlhnD6XSHxP=iFK!b8p?F90_^_I_feXKzhj8PE zwc`U)lY2kR##3ZPQ#re<C?O)t)(@*EJGdx7?R`AGP6mYHRq^}<aG^MKGTKI~Uwqu{ zi=!B^h!t8RQvT`t-c#sE(etkYgb-99IoG6u+7rR4NBJ7`ggEP9JmLPH2V9+S2-FE! zp#pcco*5hqXnv@<cy8JO5TKtfK0Ai#vtc}7j7B1$UH{7FAE9DMwZQhW)vm>ugxk3I zdH;6L^TxvZp%av~0^0*E(<F``<rI-77#s-nkx3Z3B%gydMZP+lBu~1A(5$u|5W3(S z_d48TpN|iQ9X!QQMwo`lN@MWBHpFp|bU#o4JV#Or$Q*bVyg$rL*0FQFZp-l91rfaV zsI{X7{K;GAFed>(!Rz&CX74E3C;=W6xwc0K&|fB^Zo~i$B}1<0nN$pTgjMEPxuQ12 z+wJ9pZ83iFSlAk{cEHHKqgzXZ3qzqBy9J<*gNxz<5a&^z^eP-_M)CDgTtG*su}cPt z4!#6@owJ-H{Qv%LDOB)zLw7L9k+=KS^=}kp!G^F0PjEnNDus}${W1921mQsg0871s z2@0_VggN6DJ%vV}lR8tK;dkul#CYSnr}`@0?C6*^gE>9Hp+dlfeSCr=jBsh*SN>0% z_k|<bP;QFAK9r)rh8e7&_d)Gw^q=-sYb>rW->xyy9O73FaS9#RD>kB_Rf8BPRh~C* zVBgb5Q#0><aV10j6({{>+BtD=@#ZktfN5`8?RHobvVN93c#^jEp7g2A{D-4PQ_l0= zy0>B@=w{!#C};uu+qK`{u-bR|T)iA_*k2M38Ly^&k32XK|5W?zT|1<vUT?7a9?|w} z@)q8wab0>AHoC$+TFTO~nX0{2nGn1~96oL*1IUT=w2-`uz3s~<pAhXH;xF0)0<Q9F zFCQJ;l48^wvdzD1$%S3PT#>V{h+5yP>^5*b7%vj@(|ANz@$sEnAS)iv#p)^SXnq?H z`Bkp2n+A4wcNv+)KDtiVmgMHA5==DcObff^eAWc{C&~spvhwZ5Z+5>+9$-J<oK^j9 z*e5?;!rq6ziva!qH{idR??Znd@R$8R8t|Ltk2W#jTTz#Et)xJNp-^Aw@Zbzw;tl;x z<NcqIcq<_#x)S0k*;0{ybw57Ypj>DD{L8cM;7Dg}8wxz@*}($qghm-=i!e>-56?R4 zpPu!|%KG2v<bOEd&tnhhp_k<ICZtKTuy^0zUG;sOIk0=9`gDQ$h8syo^Q%e%+^$=K zdkYh9IHGeen8~QBtfpguFk=Rsri{k#_PpUBErOqXM;b*yw=A}>JGCcGbSVX71(?zo z6VU~(ddHKOZ=`r^r1&zOkm0zyFVr(%nIwWSA>)vv*pwY}um`xpJXf$;AUmeH23<{y z_rcSxBNZc7;{z1E-=wqw{9tU8PQUp@?j^@un-5ZDYj55cqLbICe_<aTvxRl_jX{jg zFOWzaj4IJOolqLRq$pe+zT~a8ZX3n$CZY--6pSN$ZEr-$6PFp^6DHxtt9Oe|erq*u zphq)OatOP=k8a*Y`>*gru%OZZ-@=a*CDZw5Ock~J??(B&t~3W{h!}I1P_bqyJXo@q zlE9k3CgDT1x}RwTQ^3T~fX&+g%83*|$VkZ<1h~d-x9Y_H!dP8@KUco%Panj`b)$l! zn;~oMr|Tq^-6&GsNWPL{t^_siqQ@}>RPNJO?u8D^V8kC1j1ETp-?qSrKLrmi-Co@X z((PAquMha@VH4f2=GpCBBX+&anrAUf{T!isA%H0Kk^!Q42t?ZuW;9?F$T9$Bh};Dz zqwWSk85?f_%D5s{<;m<#GcM@2KTpv^>PIZWI2i9P2Xx(1@yx3dKizMyKK`k7dsQ^i z&8X_tjW9Gl-iT|rN}tKR#os@5U5Pv!nO#!Z(Y(E70R7WIu6Jo5A849=0|5s)3Q7ea z4|NsriT|}@F!66P1*7$bb)fFHt%n1RpV$CsoCyKJv^UiOF?XFc+-mOa_k|^19N*|Z zrx>cck4%{c{uh?}V#&teiLP$26FzsD@&V9+>;gKtH2~<4L;;{fxHi11a8X@t0##^= z*Rx5+xBvZ+|0SPj14SBja8;K8ezoqR+TQ+)TC6Z+djWa4>jkxlGx`lJx?Afy9=zex zxcMbNY0g*CaO{x7^XDG!9^3R;9OC)et#c#nuo|Y@fB}5oy>aX^|NNTdu*gOZdT1#G zcZ++UkCo|zAHX?ZuBT<fP*M{h_Hf{j%_-#5yHY-O$r7+8G=(R?be>D_s}y3Ad%j2N z`9mGx9na4Gr*%9a|DV=zHKu=B$Fcn3*6|35Fz7GdasEsE@uzoOMe{fQ__yBik2x8H zE>R@fz0aoZB|Dx%HPz+XidyR)kwzP|ld@{v6IfCK*)Ay3wKYlRa3bKtrTDF{ujGZl z<rVZ^j_MZ-HRhSndrB5&4M-XNYxbklRorx@k|dJy4fxQ18$R@Z35Na(!l;^GKDYV? zb%0J@oy#RcHmJ1NbwCFU{Yj<ZmtMm!VW4Uvf=lgC#HGiYfg%w-3XJ*7{3WXo!I)n^ zsB>2Aqw7GWFzxsv_*@jw*(mtY6&fHDq#ZX?J&ZvVTlh&1eB9bOGYY-|7~qj_u4@`4 zxBAZZf$z<+0nNSo4N7go<R^Yi0ZKGj<n^{+AMjmc>7lzr!s&0lJUlHW1G;%nAPS(G zR?cfC^83SKouw4QJdY#x$%pN#IGcr#v+L#?FwajQ=8Ss_jd&nyGEjrg+ZZ|6XyoVC zK|M0)-{sh>o=*3LG{dNWxAr9xywyg6pz9yNAnPxk?cAhy|LDDd57AH7z6es^CW{Y+ zx9_IN!6qa1a|b(IfBLIs>~8>$z`phV&c?zE=@QO%j#9cbxA%$g*#!~i(?*&1-839n z*U5<A@0Sc7pzEPP@^bQ9GQ7zWPD)~Du_gq6H8|4H{mtNbLmwC%{}=v7Cx7g~n)w{d zJ27?pD^r<?-bQ;T4FN<SYVIEBkvx!{>-sL4fyz2b(>_Dfen;{X(Y@XHrRz)UI-7R_ zj7Ze!IhnKq12`fBID(5DmpWKs9{4!;Xh<<e@h@YiUh)-{U?O15AVP|hCLSyg%i-Bg z>fa|j5npEBOHB-P!3OC~dI-<qNl9UiAdab1RS2HD6y3m&O>z&2Y2nFC^FrKxN{{6K zNN?)?ZK8Chc+27x!V}8^T$WuyaF=C?ha1J$0sI3o`u(*X!rX4J@9-gWPKgI*6~#j} z98ldO%pLZEk@cVtL1)lj^<IfN+2Q%=dd~HuFD@vq*imN9d)48zC8Qc3QF!=ta94<p zmo5C4?*tT9HIBVJ-;bAAdYi-<gF+vDlc}@MdMAvZjm*YC!h{nIb=&pF!Lil(>gfFF z)^Kx3g>SEKyu+|WI|0ML{=;>~v5S}J-#9z_fwL3#*c*cun)phycCC2UC<IRe^}xBp z$+7gX>e={gSHQRB)_pY>hF4<zXQ=eK5qbrEQMu1~zFdig4!n^T_f^|MiSgBr-(hR4 zZ)qL7r-;9G^`juK%B`skb{`7fAkkNN*3itngwdU|4`$L8?=jC>o}qtu6uUC0{-a^- z+uAa@GGh5qI)okKzBiAPVoS1rGcpX->b$!|CG#r#>bCV1tR$@D)Gdf5ItXMy6$;4_ zu8LIJRJQfqD<kXr=5VHf;b!wSeDOQfBr6sCvVWpGLYGCLIQR&8hR&Xh|H(xPR4_<^ z!g2X|isTlhD-~xfc%dZzHvHb5PWfSc;B>Aa1tgw*^88y6>HJ*eZcbt2pewfpH`%+? zbPA6fc`GUKX>w)C#WdOFa+*8>rpfNX4Hmbe0@$3KhWG0-OzNLn?IavOD-z2ow=mb9 z$CxEk{3_gdzvum%j{|hBH{{T2Kb2=ilcjT(MZe;xtuzz9@*XC{jgZBqB&<pJVCR@m zGmz>-MYgZ#S~*4NKpBPp_=7gXKVRwk;{^WOOiDa;C_`f`;xP9TY$C{>s&HgRu?KC3 z%doxKnZ#N2fhIN$a(0Yq$B1;NX0<(Qip7LJX+Iyg_h(jQ^wz#K+kJTtrkIr|)E)YU zUK*L>SV<%wJt=)7_KF+X?wS=08|r3W%E!1!7QJfkz{oiuwEd?>%U9g3uWJ+DW$_Lv zlds#Cc?nu8s;Xz^XBHql!?aAL1(T^$I_%lU<00;CCT^#Uf%#vSPw~ozpNGyRQV2%q zDM{uhNxaO_I-<26LgunaJHBzPO!0LpL7kL86-+JkTLM+^WO6-*;X~2JhvNjKt4tx8 zt^}Wv_%dvtKfP_;xcNpPmy@5iXDV=UD)mG1x0m^T_kx-4do^y-Ly3gVAEle$CL8!N z1)x#`#jzFcr4HG)%twuk{VI6E(Ltl<+rzJEZ=YvK+<X~r#|74qN7N-$;ja_5exSZC z+8*0~)xBWbnM$zd`&+dm_Vv8@HA(3Y@pMD1*Rxo*SyI^tvfUaIb@@lj*WSG^9Zj$D ze9L>!Fh_9td<POpC0F4lb1JAf+**B667(3|Yiq68hS_kXa4Gm{T&=;!R!7&&zk5qN zI<$)KmgojDG>2a(i>H~_;mYE8^YQVqk<nFtsI|KZ9;>B!CdS`4>RL58X8Mgc&Y~m_ z?V3i5-NWNW%4;)}>@!D>N8NT1uQC(_R~C2tRatBu;wJnTWibWwzgHHo!<EHO4*T2v z!;1Q?T;G;Z`yOwYf0ERV=s*)CAa6m=8(*V5o7ViYvuJ@AWSG2xg-sib^$g8ergw9G z6wy4A@f6DcR&%gZGwMs1Ld<e)r7~P~V%m|v=$(q{MEknKx~crIyna<U1+^xJDnqB^ z!ymVr5(HPdICH9M+IsL%^fpFMoK^ed?<X0Lth9_K^@tczzgsd$;m{r*fAq33Tl-B3 z<>}?%mcpXZ18z7i+`lxORs_GU$cwAs+%QmA?qAPNo;b^#2lms{-rb7jmZzPoc^d}H zmj@Kt^B2S0^7VSktM!!Y6&q}V2ZJz;UJQCDPGjvX_M_O?t4V~xeGS`$!TqXU&p?Cm z8zfZmgZs9~Ee3mh9}sLlxXJ2!JOyQ9st~6=<EPa9@aU|xKcllu|LRHY#kpuq>V0r7 zJgK*8bnv1sJ*iaTD$B1fqO%5)#BX($iik`rdHmp^qtMuzs9*~+myHwmZN2r;qdxu= zOJ_jC6~|>}$DH{qd@5VQMS{9-{`fIyQJ^rZaYD`8t_)t3-zL~#cH8K*Qk~9i&M03w zigS#UVi}cVNR1GMP)MrZO!M>9wWGt0QRe3)?!7~05#NFjwDKzqaV7?=*&{(V)@_iD z)nHNLJ!7Tip?CeKdj}fqKovt1CcFbw(g7PEMz=h>ukW#I36P_m#EtMAfN5(~$B<kt zT`B2Jxs!00SLYQ?!#jG$A9d0dTU0hdL(;)@Ym>D5aB?gwhq8;GJNQp6GR>deiNs0V z1aOn4ZGUuj{N0^|g6_l<-kmhSyOS3e-AOLII~jm?CoAyoWDj&Fs4k#8c?0iGgfF|3 zf#2PU&cAjiJ%4eTQvY(9x-b0hPV^?)-Tgtl6-5GEq>LtqhJ2;^_BRWc@eQS>M@%`8 z$3t-zt^ryB5tMZ%hEI8Nxgp)}Eh`C)0?FLN;}g44i3onG(w){Cf1jsBBDr-JX~u8? zsafpJLqbVEE0S#|QO~9pdbC4g?pYgo>Yz8z9>)BRwz_Kp53#BP4`nH^R842m?zz?Z z-frvL0}=%ne@#URU4x1|MP2A(tU9<sqM#mjQH14#QEQ8G0*ftyX?0T%mI3$$-Vctz zQ?R0ljJ^-y@B}vXG#Or0Z!m(Y3$9ThZ|qZdANrNadSQlpChih;bFt73x>c5XcY~2} zg^6)!hLTTsCI|g(X>P*LqqnD3+nI=;`Gn!^33O5VB~#`TKFL9cT~u1HRBkW2f=kE= z%dwyW@}&?erLMci@(E)leEW1+L9EQU1FsO`Z2t5}jpwCW62NuzzLY5ofv_teE^a#D zcJY2H*l%O~0$xFPgk3!Z6%E0DA?p{^u>=t9vrpXH**aTxd)L!EnBu4EU;hzqwRar| zm4QwfUb(T6t*{O5y!CL#5H~!%jc9%T_6iraQFXT3%5xJ}B`IPpV$A;Y{pE~fvDsZL z)wjsncxRdHm}|L(U|`vabaHQ~I9D&0<9ni7adGu$`f7yxisJ`9X%L81^*Ql#nmp2C z#HkqCDM3*JLgk`~dS;pG&iC4_{Y3-?V6uoOS*NcS<C?fx_AbZto?*yV8g73S^TYR3 zGw4B|;Z2JW7)a9l;A<d)jd~yd6e^=Jse&u*N?^PQA2*ufYiNB17gSPbqA~BqD^$=y zr8oV;nIEFawL0)-y2^MaP5dO6sh0bTR9W6C5%aD#T}k%LvU6mz_~FRMgGrE}>|Ljy zC;2r|<bCkXFDX>Wq>Z9i#aS%ejyK-jcqVFgnF6J>(ts{1ft&Z+9gNL9PbK#CSC4YE zF@^q27%~%Nv*Mjrj>Tq<q@w-m^YE(pL+8z8+7|*W(pE2$Bdjje%9L6@f|7ohy$8+a zq}bI@-UGD;6Qxazz7%m&%};K|Ofg>!AWh?Z@6Lqby}XElD#>+nJR+l~=1wP873N4q zm9f7?`xV}%_&Dz5eo>E^lVXyDOehUf&%4hTUZ*AAm@91AMer>6;OW#szE-N`Cd=nI zz|T|Ea~v?`^&!Z8)Ak2f&|Ja4buRaIHnbsclRw7b+o6XK`8pTlaynQOPIAu}72QgO z2Fg>?Ifu73eK~y}ihDkFawuw>bOvKRCg|^Tz2%F!UTA-8wcD#W_*}2IeXg;^rMik+ zJLgeG#;TZ2WLEYPzqNgJZ_S39Yku!&#$&y^%SuZ%?r-~B@SKRlgg$2W3&h1t;;U*@ zK98lzY1Z^HTMlP)Rj7IL=seTz!H1ek@|yH>$D&OGyWIvS$ll%g?5NqiSf=Ehn`^wM z<WP5edaxJ!oTyP8GO9V<&!Cif1f6fop08Tzbue$dp1tE#q!vGzA4CFG>M>cV{UCKP zHI+S`smdrHnN)P0yjC@uSv=Ty$j#C1uwNEkR>pI*HZ4t|?c|H~#&LVEXDPt&-Uh<8 zx8`GB+gLhwur)eN0{LdPb(Zh#8|8FjIlT7I{oV2D#I%vWK5@3O$1k~COY&=shm1G5 z+DcCYoXR5JB`HdN8+$R=aL3|ssOpCYL9V`x{@V@vI0^5P@CPI?9xNP2U{&^m9TH&3 zNrq3;^T86n2-rNh`s8t>*)kV~`(4u!uThW{6$+o>;gaKZQ~yYkzWMBcjKSgwk<k;l zhxvA(4zYT0g<AYOAzQm>E*91mOk5Z>111et_+OHt9$q9v>A;NfFmV`Ws#9Q3mzTBU zov<S5<K>ZN%!U~^Rvv+uJ$Mx}Zp`c{1yn?b_Z!0Gzhd7+NQ9Yu#r`1e{3`MRRZ2?b zE`8AK{hrxcT5@4cdj*`Mqvyd%ObN3%eQ0XIB20<wAb`@|{ER)NKf1jJ7fcfwS`PfZ z!}$sc9ql=oH)h=3Uud7_z~maSUm~M7rI*~7hiTB`82s0x!Jk!?Jf>dQUssQ~&K!C9 zPVa^(ZU0bZZLb%)0#lNjdH_3Wl3-9ui{E<cqr_)=lm8EMa*}_Ng>*#S$YwS7e8Rzp zU&BGK`ba`I>h}_iY2DaW%)}XK<L|6f3AMafT>P?bHfuDcf7NW|R2&9IWWHP#D6q@x zadaNTa}`#s-gHwi^b7ZAIn0d&p->s>pww-C5i!Mb+PMu%;qX#3D1p%+%VBLDD7nB( z74Tatpj27|O1kh;4k&@qpzhWS7cec1D%K-Q7gxU=4YrDp0t@qpLGWvVSa&b570Bjh zIQGYT#LLbHw6i+2C&-3*{mJo%8rH=l6l3d~B_BYhl)J1-W)9~xA0=dI3f#ztwy40W zJhs2d(E|>vW&0z*;UBX>+pq(F*0Iw~2Q1)59+OpZ%b}Y-{hcsnOAM^aV+dOg65tRz zlkL|Br+ie9d>Y7!@+j|g79(CqJT%1ub3~M$$Zn~&x{r&PZ7;D~z#%^T@XFz<DR6k+ zUDSTB&GEcB-XB<%N8_24wd9*B1kTU|pKz_5`mwj{27PNAo3V+oUo<+6Kl7FMc0FEh z7s;MJ36MP>cJtekcamX%B*)$w;?w7(w?J}Pud_vhyF29z+RNioWRMyO`sM^SSfqRf zO#bv;i2TiW0X_lWMIzjHvBs*WPH6&s7d?36@xOf+X;12S^AVfIL5B_ZUD(+FloPf+ z+1l&Pfcq}swhNtw0m%$p);D6@Q31BR@-$UyX*KD$QqNnbqzSto7C+m_b+lHkOw*{e z&TLeGqV)1OvmUvd<t=12;+p2izm%p_$)ueSJ;paBBwQ{F^4S*0UknSh-1MW#I<ojY zchWrxtLa2nzQ);|%*g;_GQj51<BnOh1|L0nF5WNv6O&J|(V_&xZ8^KWya@(w3)}){ zLUmi(Lh$!rW6d8sg8y!;>C47fuUci7R()pm1qDmiV!Yu4g)bX?tQiPRJ`H)~UF~kq z*?pB}N(bA}S+T}U;o_0`%|qax1f4(!_~_{khWp^-?aTy}u5D$9BMNQF1FJ8-l;14A z!prwvtT@4~H)!AGb%K74RP#iI8n#mA!81d1SN8be$NBA3;8&oyXGH1lZN=Gr3kk9_ zG~e(%cm?L3)_BOLa@ZLU;^M!xero@uc^if1lV!*q#n#d);8DeuFh%P8`I)@jI8s@H z6VpSG3z443GA)!|xA`Wb{(~pi=$Dfo3bhSTM+!P&JoCZ0Do(sCbUorO)vWcglU|#u z?~?@HYxF!hvSIYPUK|f+i8J;5@|Y}PAKzn>L2RKc4{IlH>RzSho#u+pV}b}@2L9;V z2qdD?ZDFtq%wu8txJib^lo02uJK|z>nLF0-*W595)-}Gu_YGm!^awbcs>BK5&`kGQ zu=P5><wXj5?e7%yMOBqS3=mL$5sAKdv-u*K405CB*_I3Jav9kSgeRq2fM*<6UIR(# zO<!s)Lv7Y&ED?D4TJDENxa@-z^kt#sYwv8<Ei4f#_>4*gfwkiz?p(f4%+L!EI}nM! zE@bZsFy6R&tvmLTEBtA|@v)zPiv_;#!rJlmCWr38<#|3D88oeR62~Sw`~Af}iu9|i zP1abo+{ohhjmc?{xI`Mr9<XCu+w0!AuOF+A{M$4C5_sm@sPt2B0GmA9C)Ktge6NT^ zt(H$KdkElO@ilsp(++k?e0>h>uh06}Ah=CFiLOE+ShdaBia_2z2v2N~yw^H{a>7o0 zAq?_B9mdwld#&_7n`!8K`KdzIjxlL1u0GFy0rkqz2$2{0`f^C?xm$D8V8tQ5x)d`U zTw3sac7e!{j7;4F-$>?bvmU;yRk+qJJ4t7oLu4xcGn?`9=8QF?W6)UD@!srsJpajy z?PHMG25}_DOrY<Q=Fp$2_}+`6(@K!dk0dnOH3Rq$0{D;6dV9la8%(B~n8HR5v{ePP zWUKqtl*+i@_1$=8Mn7qg#i&<c{}hRPa}yQy=eke~^>*sYRKuDkzf9G*v3g7FyW-$E zSbvx7Fs@dznWRbWiCFB=(eBw~fKBMOxT794e2RTitDJMPzIV!8L(-Trotr_~>p9RL zwisz*LeRW~I%w0Mdt>p;*ll~IV(AY|IH*WTaq2iojrE$bK8#l!(PDIbQ7WLzXwux> zQay0``NJ)W8pYO^E1V2hom8p%4hxC}H@^-0-T!X(h(tQ8flKJQt<F^Bw31P=R_m;v zR`oXJ(PH?mxTl6^xAN3%@T1M*zmNqSS_eiX4<sDlzRY|R4t72}c(Q+Erz-_yz9Bd@ z**MKHTk0MW+rTpj8W5e51gBH7Zgz5-<YYph8Gp=y%r~cZqDr%DF;)&RPi_n%NY<Im zo-|J*ruEx!bqBrj_P5vJ+@mB&7m)-1OdQyd7EFWv&3s}(h@dkTyM$C2MRi?2ursH@ zj^(u;K!kW@%g%x?=ba<m8tCHxe5FRYsnGq%@Lg?1OIRg;^_89!@^LD{Y^YrFd7<Uj zkeg5+w3o|WVu&J~Q6Pg*E*SqRN+K&IQ5>mzm+Ixj;~T3VDp1QS_+q}Nkva}G*@a-e zNDHhJ&bMP2b;D0UzgwY?=+<UrninU~pc!JGCG+{JNa^R7wgsgH$7jXXN^>O}@N9zX zBk7;j1n5)xsiX7CAlWP8EjO0EGT7kZ1X%EJg4^qRG$|mQKq}@lR&{H`==K{6y`i`u zU|9)<TUJgNQj|ucAoS!+F>APGB@9?r1mr{8%K?4<;`dXldKZ2AHZp?Ypc9|Y864jU zvE4OEyV<IAm%dO566sP4S{hrQ?0@;~ws3Z%GlU~Qp#AE`v8@W4iF?v@V;nWXZ5Fy1 zwyDJW0U3@35<=lApP<<WX{OSl7cbnNgpDI|2c+IgeB|0ymW&yJRdcWS)i6o!7uOcF zk&jQ{!T!PUVE+P-%V7Ts$}nJ6DUuFr*I-o9(^f_bSW!?cY3Zvc)clqA5B(+Zzx<|r zNsGZ&F@64riroN=@cp+V(qn2jzq|`++Z;K1$CG)u?{lEkHMUG)^I~-#_f5(E(oB8E zDOX=Gx&KDrXyL}*TztYt783n!S7U@?e7)@=;|%MvB13x73IeTdBVSBd>8<jr=J%C& zI_nc%=Znw|gBWC_P$)w-wqgN~zBNxa(vus*>;ifIrc(wB`9f^wE*}bTmzjr8xrjqT zdLJvoqo2OL;C5i4mB*w#nm4>OsO;Hzzl)r|HyAz~BNN$B@qEOlP1Y>A^l3{-P31U< z#&a!pgIr!YlpLSvleWWy5gZPQoHXpVcfUM<`lhE>A1QaGp_c{E37jT-oFw~bP*R@T zl85w;zr2w%q;Yhj*tZ;IyKQ#fkUqKAYCdz<Bx~())`@%;FHb><BktfwySq&&kX}ZC zCPh`Ls~YLhpt*_cHesF5>1+Y}$o!E#qLNI1hZ5u9)24W1Hg5DYPSgdrs-r8_u@AmR zLr86S0_$t;XU@^-k%2M#yGYBcedeFi1*1C9W?uZkIY*z)b&uXA>3BTpRw_xx=Z*g} zCYyxWcA&7>gzQ`&25l}v71;7JuYTZPcvC{A4|^o%UU+iUj92A|na@woX=;D69o0z_ zobKWzVSJ6~_N?{${0Ks7D-=kt16b=aWB8!$+Gv4lYqwVGZre740;%cOEi3zQ8Srl^ z3#_N3Nu1g0n4}l<Q#s9OKc_x=?3}eLWz&@xTU}oe9;-0qGTEN~?1FdlT<HL^i|QW0 zJLwLTYhMwKWj?e!UE^jom2tUW`TW(=(Wo81>#K<}bO9KdH3l(S<;RMJGtDxiie?y( zH3sQ*qV#eoMfuYnOv@TW2&&L?p=XzSSm@N1^@QD<K4KuKESK8*2!Y%*Vy6FN1M6V_ zzyTJr-j+!fO9}{Sh#nr7xDZyz4P6K;-0|*c_h2o%+P>#aLZv$yjJ#WanW?v~PfG?D zR;*)EPgXlvg<6dt-<K#|55oJ+FNs~5yqNW1F5Bpo(BCMg?!Tv)OdHc6;a@z{XL?Nl znbTfF`t#xlVr!vHU9NfwHCGHP2?%b;p_=|%aKi)$Za{qtf*Wpw;D%5T+#m>o8xY=t z;092*2@0m5@B;w?6_nc-$qf>}lN%)dk=&3weo!8yAS{^N&%=>!0?+y*(^rl{XfZLo z>3%%wzC5s=Fm$b*|9M3w{gh_`oSbry2Oe9MY`VC7CQLf>%_9Ar^Xk(<CMITJQiUhN zCUNf<rf<R+F#JvCBIp1nw8;~29|^uR;AI_cRcZa_U^ZqiurvVo+QTfXO>e?1X{FA9 zB;DiBb~O()?NSkeM@2#ZW+lUO=cOJ@bZlPtP`(Hk5uDp&l&^I`Cf`fIPknF!KfQ_y z_-P^%;HNr>fS+>0Icq{K;H=MdEGN(zIzc|)X&1z~hx`)xnbaQ2%m6U0{G6BKc~?mJ zq#~@4=m`&Q5!|C<q;=s@@skTsDEOJ>j?3^qtU^QcN`n~kl7XBCu)bblT<y|S^mz8* z%Em0Y{qV6*4lDmFr)!_M{G0p8eT^i@VdbfzO~TgqWpt}I)e=~25{0+ec4QRcC>2U= zx+QZF*>{r*MlB#>sbdGYDSNX&(+BHcIMtZ&kl1-VBLK}fP~%0uf^PLqkDR=gA=*a( zeA++10H06(SQX%D311*kd_h?eQ~+g-x!Za3$P5FZ(>aZcyCv|u`2<Ga83<Scn>c&o zv@XhP@G_jJ#ubr(y#n`g!S`i8Pb`))00bBAQ<>SCm@$0+jEL#}r~D)|A6UCB#!aZu z2OXUuD}G>{r-MOm=oZp>`EB2e%EmZP$$d}(X?+ow6^vS*_#Y}mw}8L{(GMLRBs-AR zR(RL;58eU`0<118zp@@~=^>PlT~>H&E9Aby?;zkZ<NAS6|D-boPw^HcTe}-Xggxxf z1%7P@5C=&Q`Zz>{KcCA#6a}$@Xm_*?LqgFOUehq$Pc3kNhOnN*2(O?naNmY~u)`pO zu2fx>9G}kNCh2^v<}7lbQQatMrJciaRAHO$=kVvM-hl00dP3^MZ%xH()}LgosM3?B zAKRYy>2+~mXD+QD5)!18?hm-Fm(vX@<4mPngF=GwobZZvr(Pm*=9k;LQMcs<-i3-U z0EddPZCzwN7UGd&nbvLUkmyGN!BE5E<CD!~_SaNDM$ELbuuGDcK1q9g##BJA{rTq1 zKO1#HkFkcZ99=Neq1OG&7fep><IGd`((RF?<uQcMyU$efe*`2Xjht)KkDbMRaU)fK z=y|H2OU@l4iLsJzAt0K7-D}iq@L*XziUGf~&+F(;s<(x%nXgCU%6I&|Qk7vSTVr`^ z_%5Kkvp*6Fnp=t5K7m|)SJRL!uFprWsjmR&+NS`VCDw3f$+Zh-2~nFIxN>3zu88fw zo<syhI9-@tyYQwme@ecV6)6rVpaE@(E6|o~In_z?a2^U5>_)m4z%wXju9XZ-0Q8%w zvPF&!P%i}j7O9c%Y8w$&zLO=FU{7$BDXN&*BLGmDcv-SK+qDLu-2xRb`Le=8=7?7O zQ+_gVDL?6uN41<0^!LNmOY*!*yk8ixQH=h{Z$K4jQ=+UpL{Tl@!4Kiqk`XW2dUcXe zyqA{&|F+>9$Vi_c?}1BiN&W3g%`5cOk5Y*_zjTpaQN!E2(Tha1FNKN%ge46U^-`M> zsCl04wbnr{D~}x~$?m?enydsIIJb#U{Z{4opa>k&izo^dBX6S5VR%W&A3bSpy*^Ih zu|5+vlk0YQs!Q3g(Ib|JWu85Y-chXP&|Q$Vch~_X@@x8=7A%=4XFWcTs9b-Hx4JOc z+vn7G->IA=j8@jMY}xWW$GT2lGyBBVZOgert7<5Y)>7H-?W^{w_O&^%rii?(r_61p z;7s;B`CG2<kI7!-G0w+MFE6bmJI;2+YVn^MgX}&ybW^V^_wFAZ74-HlwtnHyQ)6>I zfE>5o9TcB@Vjt$Os9e!!1{oNu)Rt4Khc!onE88V1XE*YEdAoT&b`btyBDsHuw`2Ii zL{ejWVIqNjs{f6bi5CD~o{+nGs=n~l3;D%zTzaruhXeUk;U3vF*4fcshWzy^hvKsL z-&Js3&n;Xee!?=aG0`9qEr+AMcBkTerefc-|F>lM-+^Vh|1?>Kb<<%!c-G7&)fE(? z{6Le;Dw)^bskWWYs#cnK@6KZDp!`VOnpxm1;j%?(!JZVr#x!p?_IN1)HWs}Fb`L0u zI=USB0y{_+&gAM_behUoegK)xf?&08ac;xfj?mGr7PHd8UbUgiJ(>B_2=Y#zcGwxq zYrK_YUx(xQG{p>j*MO++S6jaVQ6e9FeqVp}pV!oWUbDdxM8Bol6g8dQm!gNGIcgvi zh~Xjvi~5Xn3v&kHa}!Y1KNAv;r;vuZIby$nsWW3>W3rU3FVc1&9rYW=6)eFcGx2Zn zmbvv#t<BBs5_9!3P?`~T9f~M<8ggh{yzbu1{;ItIV{yX%fIFr^i_x-3Z|Nqf%Y|;o zW%UGB_5z#Y&OO`Nx$)~|ha3cYu447A6l&<a712bFHUc%dIp-=LUlL?%ZM<cHu}oml z!O+{$G42QcPpl_hz<Tl@M9eyY1fQus{Mun42Es2t(s`9lyV<3SZL*0aASN|3@T0uB z$9N?CAWZF9NGl3wWMFTPW$R6Kj#c4Y%cPfNuZS~V`_N9y(KaCK?$v6sJjRAd%$w2$ zUjXL(Ar}};zzTy&Nn47hbUzzV;w!uKp5Pu1EC6)uufd9?By#CJVL9xa10@@HiR03H z!gAO$14?S}(!ERXiSCwXBixQs3IH?zFYiff^EHs9DL)AS^G7Q$=oesSgRd~amTaqg zg-sIEsKq?x!uM@;@{jDxokJu7!^-*Tb@MFF0={^DtAwe*eYX?LA_BwW_3pdZ6=OEX zLTUm^X1?3IA4z!pl`r=n{EaVno&Cv|!5RW+@^5@O)BUe}*=`H)WwgWJe0js|uY5Uj z<!^jhTKoT&FH152ybQtl@(+wo!}O%((CLS^J!S>j^J&{w)^Caqv0cCaoD5OBQDq&s zrPGnlxF9D*R(iK3!lA!O6?Nnod`cB|O{KNa4ynKx?DJKd(#9_Ox}Or|s5_E{7$Ix& z?13!5=jQ&-YOgojL0nAi!w4Mmno74_hV6Z%_@~pYyxhe<wN1RAz6cARr6HG%kjPP9 z$^i;LDF0Wk5AS^~GD1rRB1<yU&7OGL=l5kYf+*GRJDXa6{kW2%+TBcGiptr=-}v!O zqq9Zw<O!~r^X+K`-&VdTiHvIXG_G@7PM8Q8FwXpdeI&!+HIfca!7_9v`>|s*aru5F z&#VUESg+T!9k<DU>`=Y9@XdfTx!e<#PVgR@ix@rA{2hSgPB~9L0C}l0YH!jOxA>yn z4?D-32N3yzvZZEvjsSqX4dKK4R$rO{uZD#Q;S#|ZVA!u9XF#(t6LaFh(>?q+2LLke zWghOic=Af#9$><FZ5`X-BUR#w2H?slsP`6xb}D;gtD9kX{4tA@&H1F8@G>yYfLl6= zh_CQflP`Y-$@}u1xhIQ<7Z|$24d7;-M6&`ojc!I8@};B(|DD$%xRu70>I|5JfR#o_ zpr?T$aDLu~)tJqgsMYiO;&3vm605~}ahDO&PZESeTVr1fwa)~2GFPeOf(6@ts59ub z4Y2qK5<h(=)K*R>9m;AiK`Te$6+zulE8padgE)&S;WXk-@qxAP1s<KOP#ajT34US% zQ`!mn9`Vb}Z?LceY;p)g4+GPRvuQx^dWYi=gUoO7Z*C?Ru=8GS*d)_63k>+RVRQ1& z4VzYf-LU!kPr)W&%fAGhaMJrrun8{zDcFRw;7j#p(<Oa|ts7wzKnTaYetGm8q+pL` zw|cD%HY@t=*|91Rr0U#h<Ozzy>a`ZwBusGDd_j^U?79Z2enqW@YEJylU*)C*zrn@M zO(SoB!Y>gtOH=#Jy~}*oOvL6=qMCUOd9GIjr$XH$9@a5ebA#(}At^QA>q0*|AD}#K z7l|1iK$3cH_(7<xle78L%9j#=hm%+(h?l&dwyj~%N98|i1dRD2iuH;Vh+-9LlRJL} zXUFYCc@ewc<NfkYBk0=JB5p<HQ)q}qXeNuw+l$+g&7wGt;yT~fso%S*nyEwZB_rTb zfyKGvJ+~obD@b%OSh$`yp6!f(1Ok6SB`15E(`zqwC+9~WJzGXY`yX)Stm35y9=%0e zG5Ci)=Yb}}2djH$+iRmG%;#r2li1Pi@k_yFbu+eFspF2A^EKR@d44XQ=3GO`NY4<f z#~=#|3Jdp~9tWqLsV(QNs=l~3jj#|%80|joU}h1mSzj={;5;Qiwa^h?6ssQco4)Fq ztNj&~{ng`(@-&o5;^NFJ%sI*N#kVRS4@UHCYzA;Q>@1%)5X~|Xf=!V<!e6BCy6i=5 z=N{)DJNrg@WEU?rB*&j}eWj0W;(M&Ghxlydd}ozlSy1}eHRfQ}e8wEo*8Ohq*Cil1 zmrFplVt*|G;oko3ae4?mP9a&z)d*bv<i4`cf)^cGiju5DU}D@D@nQ>1MW;xu%lLb! ze#=k!)ah}fZXPuzucbH*+G$}IiS_RaDsc8Eb5jIv+40_-U3PrX*1xHvm@%zH_d`l+ z^#e-6YOMQfn06enfn<{WWG_|AuCnH!c4!@HjVkwqnQJ&Sqf6<VA-v0a<^78C+CqJ+ z(FehJRt?6f!tpdwox%b|ALRm`)}{WU48$52qfQfn*R@${0$brW8ie`JKL)@!h2tE_ zV{Y$nuRYYrb&5@)aVqJLIEf+CoVp)bw51_kOgTF_yl~tl`y9&3sd*#chhL>l<A9F- zleg!p-@8o7C(8IwRtPS(aZrJ698eM!3<ScWIX=Oid4_I7j1K}~BTyV3izt?EXy%oz zZMC$?%S(2$J%KgO;Hr>m>mdY8D+n|Gc+V94k+J;#9Y2u!N<(p(`<h8?8^dYxcp?8i z(v<&n0Q6PI+Ep^OQ3z&~+9+SZ4{H<+(I$KUUN+|A`yv}}>f+}xuOS0zVvM10>+WGO z&pie42AudNI6zhrqG)?e>Syh3isQxXo`}P@NsL~dBy5yke~W{CtDZR&9o52Sy_XK} z5WI%;KR1MNR75k6Q`isF`C~wB6%B1wF?ki~JgiQLq(*n9H}i(RO(XQhn{j2@3d=rG z5fE@0j2mHMNhgza?~i(#@GsEwR~jsUo*)f&d)L4vhjH7n_|h!a-!+v2;fF#!q07^Q zvi+N?r|e)Kh=PCs*asr;9eBkcun(k}Zx8kNJ`fSG52O;l4E1s!h!oGoK9I9q>OGsP zbFdF&esr`$+fpHtcK&|GUDfCsu;4USf&U)PrCF>30t-%!FVbM+g6b={f2F}HiN}F7 z*k56=-RJg{NilN~^g8pgUlCa;o43<`nZ;bRFT!9)x3B*h28;A-ABfTyWo#<1^|}5A zHP{j#2ARn0FI(8Q)jed<kSl}9RUqlL@&Y}Mc<zpX#UFX)?hfSF_VxH2CfnygAgrdi z!E1|<Hyp#jA_hM&hdtrP2w-t0z%(zW<4E&)@CL7fh3Jv@#-4KXN3B$!4+V?&?q5dQ z2wraVz!j~?6AOVcZv%lMR=7A=xFvABTb^5XK(*JhIIQI-A}(BN`RXCw+HhbX2!}nV znXG0kmpD*F7Ko}dWIfP8)^3@gvAtg~sCr=gp}mPUI+9Og&+NHF*e>C7!?0a~=PHT2 z5xZD^mS)=BqCD!EoE)7<udyDw{%z4H`w3yeD|!e<z8R_Q&_M-~ZcG@Y=Lsdg*rEB1 zTA;R0R$gfZNjGr>GQX2<DqNi1P_foR;sI`C6P~KVg7Zftz#s8JK^^eNV0Zx;6v#lq zMQ}>r3Zi=hcUwKwh9188`VFM*qaO$7E?;*E&Yiw~9F#N62{HE??>NstRto9}3W-W4 z+cS4`i)|#FWUH9Hnu5DxTItS>f52Dfu(4|wd_TS@(L>&f<3Yl~-Cs*UT7N#QQ%noZ znF67)@#c@GIKFH*RH?%^f@qMv9oJ$02Cs{X{!WlJ<Ow_As{@`gg?aYf4S0eq1W%Bq z1_`oP0aI-80Zh@T9x%m6K)Z<<14oZ=>82N4x;Y7#ZeBrixvG8r&1JM~?(b;X+JB9f zP5q0fOyrlR?4<K|v}{Xl^eH}wmgN<M;DI;<3HTUST%SaZ?p04_1e$ATAs7e0w8@on z_rV&2t0oh5C{r%BlHTMxxdT>y+_;c(9(i7FC4DS&y``fQH5d@S`w=*kAPonE;e;vR zZ?W07%_a$xpno>v1d%mYs+(v`Wk-NMGurDyia8EXy@B9iIJR7t04T=2ydZ}bzc!MZ zJ*sT#QP#VteTLWIkda&R1pt!d-!Fh<*>eCS?=yG4FQT>w)u4`x>RmNZ-J|Ke>BRlv z1Vdq<;msRG<(`w!rSClFcjSh2y-&ZF)}++doVN%#d$&N^M0h|u`G>2_#rrZ`7G61X znUA_A>EeB$O~iC@Cmg|XzWWeVFa-N~E)|zo9bq_d4JMf&?8#;2nxl#|ymDVqMdh+` zxs=r9-zhh#psCM@@&aXoJrL{0^)ioauc>-r?t7A-fXGy{Zf1D}7px=&wz6wr-RWf* z&I&Fof~MVN<<5j=${e_Zhp>Ay_{Y%`q^YwT2sRX*u9`&y1w9ApQLL4$B-!Wd{VMwb zZOi1jc$n3<PoA5SjJz=JvRh9;Jy0ox%wM!}TfP@5GBbCRQgFShnp(Yysc>kni+PB< zUB6qUMrB{LXo;O(KH_gu_eLAwG?p5;M$-ULJKBiX-wFYgn|?*B-LL$EVpic+Hlw!D z1EA3)`HQcNz7)wHXfV&^+lUz9Aux{k8o6+lW(H7cVncx4_0g49!d03%a;+Ss0RGv_ zTe08(l!uk@Zr$<1SXTF$2Yj<@6+nATtN`s1BuxmD0;Fi6+1kI$ARe++#=hC`?IkCw z*SD9Eej>gP$XTAs3Sm6*e~TRaa^z@llJ+iOd4hoDg<59}_!9Yr(!9Lf-3etWQEL4V z`i=Hp?@Trl0rjPa!GvQ|7B{69gOH@(Z)e%$8%%KYD`EC8n$4YhVX1oesgDy$LcmOB z9i)!M$J-bZ{(!SlTawdCb-IriTebygGcVI<Uu<vea6J>Yy<FZ2-H2Iv488({%wld{ zy31f15k36h<<3*iyRIpS-2HAGMv8*;7<rD*p>*1Lmv8r1%q$$SJvwUa=9+&9s9M_! zU+qyiZim`UoH#{e3QXRN4mKqb?0cl&9d5Ghbf$Fj7a0quxK#6h^Vn)QDv{fryr61R zIA(CHM)va;R`Xqk#bRUL#Q6(IQ{jjvx&@ONfd>kjZni2r<dB5LW~!u}AJJ;vlA6&M zMK;dYI(M}3QP8mlqD%iu3J2o+EZH9$(x-O@PYOW3(%F{%N&4AzZ&P${FZTT4;o{*k zWyv7-=-Kj&tHStPNPCE(iol6BKILiR>E3vbJLllZX@|gRNkbT4O)Yv<^h~dbo58n7 zCeX>|yRuqiF3XXuEWX|F{p=+hUxm##L_78cLk2|i%Og+6N9Qf(=GGP}LO7gUXUVzo zr`ka<3UgdmEeihaVkhh$JRZGorE8G8qQg0!2ernte{jj!KU{LeBk!nee!$VNoP=Ms zHK0#_B%grqMvQa!lCWJdy(DZ*1tdUzc{c?pGrwa4#HLIQUnT<(o3IC1=s7Iv$P-Ch zKkP&Uw3_us|K)bihcqx|CLr1b4fT%3>yKwGbr`pamGZOZ9~{JHT4URT^c5kC65B{o zTZyN>oB@wsNKC#;Ev3~=pw*<BPkr~`wZ0kGnu(hva#yeU+}D;|4pEr=CJqheQwli~ zkd;eMaC>L53zm3{E{-4x!~TLpFA{zncd^pbZH`Ovi|b?D&;s+4+JfOi@G1hY;@a?G z6~;9S8Ljv*g{-YQ1Kd~vMFL0rhRav}l;p`9ST-|QTod#E>EarhwbbQyrFxH;xUIgF zhfxJ`w6Lo%+v|3N(n1T%hFt#T9#JBy_l&r(%DnqPblyPGVSkL4<s(>>E`}>Q)6I;r ze9^K1(FdNeL1Elm2nMiI@P_fd^X}`#L(vBu@z?QSOA{DRpeOrVYnhn*I3>&T2h*E7 zJMp4mgN^PV8*CahmPl`<DSmcuV<T4H&3thnc%?!xRQuiToIhAZ$}SH!*c>m#cug7l z3tkfqP;$jWQ&HP_qeD~lNk(9&S}*g9yHr!6nj=_PLtT6U@$eh~#51q>8^qIt3rBx% zumSm5l1=HE7NGQdgUyae2Z(`r3NQWMU^8<S1(a6ILFxAfo0;h|@PmQy((es6t-h;3 z5&C=x0K4BCZ0=CnffO5k1Mq7dfdw|uOhU_xr~F1>tIhHxEW#^tgA_2l5cj!Pje|BW zjy28W1|Tv980+$?0k(5JsmcP_ZoZp#;oc9&@#b+kb#Tb9sQ^E8KhdnDH7wrl7MlWi zZNGV(O9QN-5zySNN(%flKt_KA9HO+0Q)^zNz(6qg=^uMYg_jBRE750ixFRA3DdbdS znCVeWzx>)my7X)T9A2IIwT86+(Ip)GwT4vH2@t-s1F(j4Hh2HluQjCM-{glrC+|W> zl#g+@R&zM8%YwI=`A;qPsoTV7-z!E`-niRA_e@JE>^wp3nx-#VN@B7>v>l&p>~;Tp zneEVoZWI>O1NM;K(1#5gU_83UAiDW~xO>m2sM1AUR}dr>83oBvqM%65K?IR3Q8I`i zIcF#`f|9{f5fBiG5+z580+N)RbA}>k@P1WjuU>ntv(LW!o_o$1XN(TiSF<McpYEOy z&-=c3;8_6%$wz~p<@j;*EP2=AA5KmBOx~{dIjiu}_Brw>k9cMpxJTVSglnACsP&mM z*YyTXBhoZOL7HZ-5T=>kL0(x-+OO47N{(>vRp^6Kn=@ChUmk~>V=yT6WGl355m9_> z<S4||Z&wqtYe*HM-CWyHM!9hpl@-O%jvpT%%3rUwS}o$~;30Z!V5MyK>06sP9s287 z>%mbXKC3p84<$G$(X{8(tT(v>rK}8h{MYm+t)}36EU8frp2koQDo}bd^QZLWINcCV zQ^#U=_lVevX?RkWLhZEE+)fi&d*Y^zwJiTxOyndrS(>u`xwpCc{o4&2%Fro;BD{_- z_zhv8I(c&Ou(Snfh`qojkvSzTp!^3BaF#;Mf(q@@%pzJ(ZX8__0frht1a1dk5`iR! z`7HD1WJi8Un!aHobE0T-4wyOEE&W8}dA*ByPJko2t&L*onTPw_Xif1&!Y6@5<u+_Z zH)$e`S~wi-9?Yl=C}OOsb03CYEc{R~m^|=F%X#;Z_&vvR-94MW+<e`!AwdQ~jO#@z zcX4>1rQYJho<fU1;mo#OyA{eNzqvS$dj}p%aAnafN=d@1I6=h~{rp7fpXWhk|27SZ zm<hd{2W1=R-bBoU5@uRM|7jmI0GofbX?6S^Ip$|c!Tn-X{cas>OEHKj^_xhHZPcOe z1l`R~OPt2SI*<9ciX+yj$s46^S_R)<Q?EQ_k+{Cm{5ouRrBQ=k{xFFR?SbhdV+$tX zl0sjZYV0j?t3dTN6f~28)!3BSpFYuwKlDaGxHJg5K21^u(xqJiHkawrSwy;&$SfmI zW5rSs&Js0^2$wz?SUu4tGrNsSgx0w4<l>b^36sYw&3AnmGpE++a5KK??v40It|9pG zzkcNp$Gdtw&2VL5TE=?QY0@1G5~J#VATgSH!(4$>R<T&`#x5I}45fo0Cqoqk25asq zQS!z(>U>YK2Z>Y7%f#sicGCXYtoGPW6W?YoJcaMfN{SGwz=USLHAjW9Jk~%-FUc{k z*f>QDsqfuuD26{hL<y9i!({Csv`_{{Tdq5>;_~`Ti`0l<&EoTS4&^>+fh<egbQuJ0 zj-`hWVlwyF_pN{gj#2vd(WAp>Zo9|^VVNRH4}M3mPQ&Lgps33q@O)s^+}x_su*}Vz zo0=xq=00+!=Jrn_vmS#;=JJ~nh#)EDE#l>W3X-xSlBBBXBR$pCXG`8^^~atiwfx2n zCe;xd?(_6J76&xwvoyT3p2bHn=MNBdsKt$e9_%2JzicS|`9G=80|KVVNEJltb7UEj z`eZ%-N9a>0NbD(!Fr4wmkwCKYXJ_gg?z^WGr%(D_<#gb^vT{SXud#WkBhvfmSya4k z^;p3RxJxBaZ+i3BQ}dxKAhajfd0W-@Xb)VVB|j4j;C2)!c0&b?Ua*Vb-cz~BU)~d5 zK7t;6{j7)Zo-%XS+=f)`orZw-w7!D?4o^RE9BR9lz%SppI_yO1i;XEh;WiV6G81*E ze{_@f%z7#~<!WOOc!5rPW-<kuc&D8DOld134n-?qWQhcLQ03chzmyc|;gwr{>t38f zz3Zows}c`N;8?iPJ?maVSD)THP5yqR@_St-x5TXyco-zQP2`m4Q?ymm%i}F|7{#YK zckV+@{&C(i`Td;^?Y`b;nND$*n2%YCD4dL?G2#9$c`Gqf%2=IG4Nd2S$)ekH_bcD? z9j!{5{-lqPn1kX)kYWqLKe*es2f`<LTva@&scuDCpkhN0D1wZgwk6kNewNP=g+>P1 zPq{iUj+cv4fKX^CA{6>MW-A-w%MsY~bgL3l7yVUcS^HT@(bfugVuTqVyH~~fNbx59 z+U??Q`Zf0Ae5p0oH7bIs;kr*@u4UQ|wjZ$2s4R|dK>t2rYIOvpHZ@M+S>3Mg*OGo| zUUw6op5n>9bGZnfu*7;eQzP9Toqj%g6A+FR(B(TKU2W${1qcUzGT;}?fN)G+2|GNw z3V;S7I8X+J!vGMD6NGYg6CBtf2?ymL!U0f6=QQ+R5eJ;i5)h6M^-IF>z2gwOWb|f; zA>)^+Z#%+Sn<MF*IoHwNS03kSpkY8=Z$=+b@u1Y#YX%VV3Ro+m`Xn)+OW{v6_#G+d z$A5K;*g^fBR2@YFeL11&F-H+AXZ9`ERzZ(WBEvS6BF|F-%<05DVDt@4xS9p>s|?}I za4b!9Ipw6o0~{{2t^rTUvbCKMJ-Hh31%yBK1;IKJ|C5+1e-J<-r1w)1i>M{|>%SLK zB>?{T4~wYumNd{OaKEETu%{CO_H^Fe-RwN}A3Ao5%AM+Q^WV}vC&Ad5q_~waQHc|P zg`Na;!yd79o9fkQ<>kDs{W|>(<&qjrYLhD|iHa6WM_YC$zsl}_3|@71RIg4D4t5rM zyz_Mb!Y;PAOH9d?RR(9Zxd7Bv>;(w{m*e-ehWhg#gHE8BNv4$_{Hml_Zz}1FrSxcO zJ>Br5xUX-?TnKK^xlZ^xr;~JcYru?(;NFX>{!L@>y<j6+92zjLw7Fa>zKW{;NK@q5 zOT?MqLT;y!hcSUmwtfdE%LmR9s7?;2VNJIdtaQNzoF;W4PnQs<o{d_h9?+^cCzy}R znq<)TG)VTM*}e-`w+;<6pdHkFtIO=II~*1x7b_b=m(l}R=luTR<niOW))0KSiv}S8 zE!yHJb#9Gs3W{bJy!FGIK@4|L`4v2g&fLoSh|Cbi<)BIzyidH~rG++(O(E7X#H0*v zV|?CxJb9g<tT7~N*X1pgg!H<aws=gPTTAHY!Wjl1{U<Fl!6bvqd2sz16L#w(Z9|yp z<$X51wDu79869#_&{LN%mI)@#ZmeOCwhqRnSzjAv1~jM8H^2uT&aP|R)OJ#35dIRI zH7`Ybs=D#J=I~Z(ohP=m@p;gR13Xns@P}R9<dY;0Rj7{!&P{_pNQ#Jr7HJ_m2#r^_ z+&c<TUgLW&^IX@_@hXo(`V&tOQ7x6as{KWJF45?bGrZr1!uDGNna_A`uz^-$%6?ZB z-)FUfQWtqs{m>9!KptWc%!A(yVJbxjSmguean%Jd58Lm6c?1>!=3#_j9$KJF2kPpC zDAVa?smKWfNMq&?^w1&E3qe4S6a@4D%;OD9g)M@4B>iR{Co1--_#lURS}-q64H!tX zioF>gc&!7<bTR`GWjeAf74ac)T=BYK-lsOAFWuW#<8*73RH<=#REuf0mrg+z^7AnP zG%&&>%|D&2T*}AY1&fuQ@3JaaXm4hL_Ai|&w<~nW4j_=GxBlrj%RhR%e?<qz5~5DW zVXZY(OAfeA((n8k$jb%1-5*~4Ei*Z=H-rw+g14I9`KObYfA@0lLwHVwHwE$?aNdQQ zAA>B8`7soTPIy-1ISVt-Jpe2zd^VlcdRvh?Syop5MWp4m*S)xw0mM^4fs%|6`9zmY znYVHybUU^)e6)>l_1B(w)%^42R|2;keVV7X#~W(euDR(I)MX}9Skw4`g<ToM!fw60 z5Jtj--4Us`wHVq^?pYlT#vpUWcgTfZm3}BPsG7v|S5Wo%JtC;;hX|^QBZI1J_XiO{ z)rY@>s@S8*pz8l5r<&3IU$lv!|IMK4ztf5SJ2nyJe->0l>O_b%s$>Tujd}}_MpXc5 z)LZ}O)2P<}pVFuXq@4f1rBP*j|L1AcM{WNr)2M#`uhXan|GhNo{J*AAY5z5i8vn0p z)HnZ{Miu<mG%CiwNuw(NOB!|k|ME1dqiiuP=wZ0*wAGm3r%PHEnis&O;vLId^H{Z3 z)ibuaxJm;$ZPN$u5A~4apXQAQ;FUJ}dj)_Tz0IqHhFV|-`Li_xN9bU`qBGjYCyVJ~ zU*(ZE)G~~}b%IUe_~R|~uc_xqRp<br3OWB#h1}3m<dCXRG1M|hPBJWVfe5HV_mQg5 zHJ}PPBPYPnL^$**<89eswv<*Y2vvwpDKUaZhs{&h;p4mY4E3L~36@wDJz^Q}&@()3 z=cdoL89f<0bw!fz=hls732rzGbVy$@SGFb>@QUqg7$Nq()@|U)ZGXTW?Oy_W3f90x zOkTlM+wrL=3u?(K^4gEBh^}#3JL}})7%2DJ`&QFL?yx9f!jdT=0!Yh9)`K!~2UteP zzh7EL9ha6-<jx<<NY(>bM*KbCnm?9Ny2!t=j5Zf%Sb${&*9Mjm<-(<96yG+(^3Rr0 z$E9Tyxr4NfR4*+f#GMhAQT(N4B<pc$8O8t2GSVUtqg=SOjKDF%GLrT9_m+_=2)hEy z2=O+sjKELw+cJvW`G;i`wPQr-Cn?_9loR^fGP>U8f3#ekeymP^X&DuhqvWX@huUgp z;1We%p}i9+(b_G{rrtV?64F5ST}84rhfFd0uB!mk9qK@^2PSd1RR|w-4(ppcu#6J! z4r&mQDND9?zkCcnk%imkel3@bJ}(D48ibjiPAFom-4w0(0rRk6#x(j)|AtCX5g|(Q z7K9NJVa?Jwyo{mj-hC@CCn*>+{T`3V47wZ{Hx`Mpwf4(fBB58Yvwo&x9#X4(pK(Ve zA5;nQieg^07eFD@-2V{}<b@Aj@Swa!3%7^&HB%lq_p<oYk5^|VHYMYcGpRs>ybhh6 zhJQ+uYnJi^{`KMk;pW88E@Nd37a@r8o~^5hF}S3O9NZ(>7R5{GMIq-j#nbWXT-}Cz zykacMxk;Z1)B~4Z0fg98qTA4oN6vx}n_O{*$0T2AXpGR#OxA)cT%%RNKjY@cjl%N4 z6Dn{w;MSmc30qi5gYP$X+sF=s@PQ)s!Q}-Cs4^9#c0<&Oj+2EwfwzjzLmcovjNc{f z)J8{_Z0wz0OmPXt6fVMiT_Are&^xs#qHU<%xX62e@o6+y|F*k#Ql&D7p&3-NPNjZs z+vPiTrxw#Q@FLWSgRo_&9Rpk$l>CCPz%-1du3LoubpiPU8E>jb_qSah*ilYlxE~XE zrcK#yoR-LaJ$a->YGX2Cn>Bd!1=mQfm@4>n5acHG=Ep<1I?%36QfKKhB1RPDfjNaJ zsk`>&LR~DMJ936bvu>nhG$;Hb*UG7oW#@Oh^2YhnM75pa#raAT4PT=80F_f<`$~;U z{Wf_w)P>B1@DO#(@k<-#M>Ox+2@#R;GlOjS&T`Ab{=C;`(S*13G{1sKwB?I+tRCSH z=TSZO>*n;93fS}d`1BhrsTcfEHnQ%B5w)2)QkRS6q0>+<wu_r*VWmXY@O0d4kTv7a z4V{2Z3<>9<IcI2sEUHQmUE~x`-95In8`B4wb_MiD7ZccJ{}C%bMw3+i0^RP|X45U0 zcf8Lvb*#+1*G{PX>E16ovD<>-HvY<wBU{dfg`kZT(dol#NB@YPK3HdUBi<v7xb1N3 zAJNlPt>4j8?-~c&*P;CR$ocE=l4Iv_XMRT2fzu16J0&S;t@&Bo$>Bn-GF0&FEMl$x zb|=z-cSJ&Xo)OxdUGobzQ_MLzZ+X792W$%eyv(9PlkUa-ef}B|Muo>Bm(bEKm(Vz| zP>c)?meIc4(#0~<>Mejf|5w7OY8&g+7G7<X4jmwaYSVP5pvw4-*3=!2Ec<lPyzbB0 z{=MY!kGa5B^TPX#4~tE`bN(yt1YGtTdX)>do|{Bval9^9xyC9sQ|UP3i!|lFC?!-! zp{n;OS-%xX;nZVFbDqkUGU6#C3DGRRhqI>jCHG1}5uaQswFIr^XU=0*!3m5J#;ycK zN;>6lcuJ|D^K6u3xUBneOwAa(lCM%Er>bVhlZ5=&yHN1&G+Si)^m9JKh@z*Jq}3DG zDrfF+$eveOvxJcda+XrUBn2r=B`cqrA4welf`=;t;HPOBsuqy!SIM!c2Q()s^h?dD z)!Ct+vD55sLF4r{(#D3_qj;5<rd`5w&8oz#u$FNwU`bh^BP}UBq5kX^)i^>XSj*Xq zztpG606Lg#D8vm)P7&|M0P_+wCDxkw;==Go^RusO*{w4(FE^yB^k5#LDvfa8Ry|l> zaCc1X%W@Ps?lR`~7rWT;MQn$S9Gq`-O-yX=l9qO!Ae!Zz#4e@~&2npad{j1|SuRTN zKJ~?Uy2M%f13hI~as4*a{h{SY^&#hHB!g?Wpgf3Vrgse9W|6X-)jruohh)EF(7o$^ zq%og$%wx@tn9G>cLOl)kQO2L~HNP%AtUs$duc<ygUbD#&vFk4O3@H8TO+nSOW{xfu zj`uWh*zOSj*EXl)i8Fs#Yl*76*9#(9S(ad)%vI|>TmQ0-c;Ar)L+_KRP3icbdUw#* zp?`Yiet7)VD@SKmD^2sKS1$6wOVBI#Rs`8Ahl+*ll^Z6xqjUdf#YjxGi~|wbK$ntT zU0uBmT8(}^HeCPrT(!t}I0~Z9+5#TTFI<5%lFA(ss#5YLZ0yoUz{XA%1XPT9TRd($ z(^Xf1#E`f~J^Dwiv}ev!!Q$6~#3$Wm%>A}XU@p}VR!q^-@pEC`uo_sm7DNilHqgm# z3M#9Ux)pQikb7OhxO6obgGHZYeZ`sn)opqn^WcL+Xe$nSlADT1#r#({J91$b(WpPF z6K>-l)yX~#sXA2)0M#iuBIvYCnqj6+vGYHuPSF1au2WhW81<ss{`}0mlUAay_Kke8 z4+dkG(62_@(x8v_lc`U?_4#f<m}MuFX+x6NQz!UqBhfGpF_h(MhKTRdZ5!D6HG7?- z5W)8`!3i_%wm|{n02LfSzyUw)Hg|=1q!i#`r0)vE<6+`D;MetT5MHneCjDm<Y{4s7 zX9Lp2MKo&n^q5Nha9x#j02{S?+<TBMAW*y-B6kV~ho=nLzrG-+EW71j*ByhJ8ft4K zamK9GF<t$@1ErWp@s0-DQ=0(Lj@{HJch>6cTVZk)P{6jXm?K<G(GQ?lTaJOK{%Z$H zKhU0_gfg>-Xt}5#GrPS`gGmDxEo*7VTs)*X87EU5L1q$n6yY~1BBGTDzbO^rH%%j| z>5hVc2IYs)primA#7RR&D@QPXCo7q0{|CuR32!@4ppB57y2uU}&KjU=XO(RO@TF>r zqtCwKfv#}exF4J2=wE{Zy6c65t;?(Jmf7aWVK+EBRTUzg0OK>RMle2-g}MF(imc;R z`p}7f*=3GKboBn~@<R5jJ09qDRo`^3>=O!QJ4m9q1Uu5cuku!kF5q-jlky4bF49wx z&`*trWOAnTnCG$=LtPti(>P0shrtcZ4lo}LEZ{gCtrp|GxCwCJ;Ux}aN8rG|?Ezj2 zJ$=izA|xV|L7X-0445U=+yRJ?(+r6N>k&B6U@5nAbVmrBc0WU&?jlY_XL4VP=qvaH zv5w88p`Nf|d&*s3OwxzWS*;VIdKo;OdTcS}Wle)iGq#3GhfueC)4bqktcIpdp@&sD zFA&Mhlq*xh#@~mAw+QPvWoCi2wZ`0f*d1NM%jf;7pc7V+72S9ewo}WFihy$qYq6Jb zZq^%)jfsGB$5jfKa87QjdbSC`xtKc6&ron5>^0`W!+iUq>wMmM1)W8g_c^F#_e9)h zV@>E5C)<a@w8k)X<H_rC03qTZxNHz33F22k5EB4FH1*Nv`imeMKLZ3&#ZWXjwa{1U zyy8$Lg>r@8(C)L+>#Vw*U`T;Qh%)tuT%6&6r-pMA(Ak^bUo%}Oy3@Yg7OX@e|D<|b zRCnsflT;Lr(bR3lLZ&LW)iPZEdHGjjn*&k>xm`Uc&-4>DvsWFh($Hb{4m-gQE<kc{ zA|9+`kw{kIMfCqC-0$CDKPW<W`rpO<{tfnnf{CpEZQSqQU_a=8gZs_BsL%HIh{C<B zr{f<MJNfdDdOAF|zt_{{$YJ)p<B7VKJg}6Sl?q0v7KI;?c`iS;NEzix-4!%Up)!*| zF+SJL^0f~vbWzs0SGH7*=MZZViTZYD)ABlOl^K^DN>?E4bGj&^t3x{WD5>jZ%%QiJ zOPzs@rWP35V$;<h@R?or&V|mD9zD&i){6M1G??2DJtr!NVcOxQ59HA)fQkq<u@+Xi zmk7xiAd@`I=0~4=<E$@q){MBJ9>TsFzqT#sx>i?1ch}GM&i13mtdneixj5Ek+wHb3 zw(*qY(p+$bM_u&#p2D@n-&<c?ETvqG&3sEXoJQ|d+_5YbD>0+sy>M^WoBr~ie{*m! z`J^4TJr1EjnSkL=K<=nUnokDCC(gL2Dj0aI7r!}UQBkOayolSIUuUsZ_Tz1JeCfhJ zu7k_iI2QL9m`aNv45*w7!hnJx3@Az`5ma7c15$w<AQdPQgK5WX%me#%8^Q%sFow7h z$|T<TxFx7Q9?RJq%Ngx7EC=g1hSXlI;nai0n?TN)ts+10CqfzK7pK&tx36O{ds%=I zI9r41_VcsGx(mbS;Tjd5UzuBB&&eP>sN5$od_n>S3>jFYhMi6t?EDTS75dT3!)@>y z&5N;pr?YK$&l6?(+{L7#r|*ITuW;tRZZ_uJkBCvvQ4?Q4!Ois?yyH#l_pbrEZpnWW zpv$B&Fyz*JTn|*6+ciM7A<(@WS@}vMtQv?gW%{78&8UDZ9RnJ{XR1-04+3r)Lq^|S znL(*qJ3Gj{X_kYd%rS6e!en|Pxd@JKA&#ODM@ir+)<AG{fT&(`LmUl*qq|UW)QUJV z1V?zpY_0Q72SCT+K<GG8WzW7F27Cr(Y*p+xbGb{vkL5FR%@pbgwwrFH@Mv)l?r(v( zn*0%Nw@HDl_(<ifb){&{)STTt5Scqq&et|{X!R1im>dLKPP;2admv8-pR>yXZ~CO< zvm)LUzu=sVy*GBeLca|hnZL%H@=ri@+Nt=3?E-RSiF*?%(7>a?veS7=KC~0O>m_lK zruOjd#ub;;eGsoh-x;Em@36+tV$TFN5bsZl_!jDD@FwdXGJChw{HVkFSEf~>R{Zuw zl=yW+wP)4h`gt{JuiT(#*ZpE0hffKuqSq*33AODDEE_-iPQ=gg(=m?^h7v>d+Kx$6 zF3L{{79!`7?hVVOdovX3{PzG|iOk;vbo$~}BI~dlPzWyr1zBwqd}S;b%Y0o_Q26;W zt&p$B>8i#e98)Z&HO_<jkWi+)2nNYQgU-E`^o*Kc3n^5Z$<>5yFl@gG-BOGs?J|{E zQ(l;3n<Kk0UPu%boHq6Xi)GZcS<o6j*$8@%Gjny_PP_8M6gN0nA3Ds$hYp`zsJnev zWMMv%I&Za-|25zr#uQGv9A}+@{zOz4<a201K1XK!@-exfXn=wLf^;y7ZYU03s;R*l z`EfspL|48-#|md8kBZn|$qM<X{NmX+m;?&$4d_qTpdpsTSn@kiRpxx(TIf+4eU_}d z$=ETX*(vj~*=bcaS9&BjB|j59QG9xo!zxFa$^7(NLoe}&w6Zf5NX*V}mBf{-7XGE` z3_kX8-@9rOD$r4iDZld+qrwF$JAeB@x{Qa~$CGtlbh~O~%TscWI<(ykBFskbrOh{J zTwG?2ta<80N<49VlB&)h5j9VLTAos-f44jZ=7W|eU2|m1Q&9pssw2smZOTW=pW7xv zvfosD$i)|I1H@XtFm*H1_GokVoGsWWh?8-?Q*L$8rd3wYnqAdYurRSk^gOZRA&Z_O zK+zNL-S=C+i=J#eDfh^W^xlcsuAlZB^Nw){`276LZuCErc*y1cN#fBZys{Xa1tcEH z0F`%`=i$2l6^Tb_IJxu+q7VLku`$fQ9G@6!Wl8%*X{|pV$63(4^2_m^J$EA^>SmmA z!?bZneo?o?(Es#ldQ?7-xzB!xVJzqILc_8RE7=4~w@7`xv0ku8M73nj{uR_B%a%dq z_7&T2x2!jBGyr+$Xqr9(xH}PnNOuQXT|2mPDenZr_w3y~Q^($vIi(Nu=BA5~Q~8LW zJlEh~m}+FPdOpsTkZwKxCc{=a-n4`heI$=H_REm{d9lEXM1!oW0X`XYY2Qz}7nHKI zwb~EJVA~8#seI8)uj2PPDjhC<5&gJ``aa3Q(0HTRL_)y36c#D+pc}p3Pzd(YE4Qra z(w|qWLV}|!j7^U%;&AfIbhHiC=F9zBURlpkfNrO|zq_4WZ9%uwq6ebe>Fo&Ub}~V9 zJEdH9JIxm<JiRA9Mlzz%>nL-uAXWHHOvdHqD<y#{8NPd<@d+_b?m>AuPM+S04aUiz zStmm26S4lWPTpd~b_|9&EOA2jncTErzBj&&4c5s;yXZ&<GlJv>17hy+$b3!BrZU>G zh#Tu3wU6gd8a3-5cfJ3-a<SIAN#_(6urb)NR)}55NE^j_!+gN<#*$HbsjE|oK%8RN zY@SF!tujY*<vt$fOQ+%P7s9<`P_L@?N4F0QSb<n1%XKxFFLjM%<FX`Sg0DUfxJ4hT zVYvlii9Kunb4|a@;kwW<zSrgT_0ZrLt`)%s*88g_E8gjHOuUhEFXu^EUR|IutFBIe zbe;K_Y4P#`&gBLxUvM;kW-d2$gXBX5{Wjgw+oXxNwf2jPYhC9DbI=|*!+y6+QK^9s zEb6@bc%XiRar3E2a<=qWgt)Z$=DFrb$x>0D2wzV!2A{Zh-S_12M<}TxHOyw8{bs|c zdSNl&Wh*x<D0`yH;dXYLo31JKS#AryqZ13i_!x|hb03#7W-MOiq)#F7)}^icOgQwD z#qEXZzM(^oHr1k!FBE%iU*-z3O6u!od#xB@R%u9RDf7Bz;FJzW=HsVUgCikiXJMa{ zT@iuA=e2SKqaQbBib?~1rJZ;E^h}ayJ*cdqq&I!e_23KXQL=-TP#cE@r18{uAH`en z=?hZ9cp2ZxiSxaEJ`IY8mGJ|_d0MZ^`>dac<Gg+ELQ4?<J$L=JWe6t}OWC~DcjO*K z<E!bsXRlT$sLwl@S=2I`V|>1(f6<aD4iZ>;-*3ht5?Dcqq4Uq8O+m;qsq8Z5rG4R1 zG}j3u*3@ka%7_~*smhkmb_Lz8S^6_bDTRq84ZXb8_OtSE*g_`1HhXj7fbFq);>U6A zB@gD8Pz!Q?*=$?3NNFw8Z!29xhvfr|rKikirGfPkCp^hfOBG@C{S1DfNq@de$aBbU zi16e_s}r*w-zgaFitIh)P8Rkk*%;a%bK#kE+j(}iaIJg|)5mSDGEOTladRr4p@goq zZQlIK@km{q__c2|aN%P?3Ew*SJn5C=mby3$+6uVfJ{ed&_rbR{{V+3EYv*yPSPgh- zPt<2m<iOVM5po-Sc6x`e>{4wk6v^xacWu(2Be3Bbp$-q><D%k|Y55%kW26n1ZVNHn z%3Tm(J|(A^qLVD#vfRB(Djd*kOZ^Im2mwl}YXK;6v=2_1ZX|6V6QYU0QML#mIEEc! z;2KM#s9=OoH9DjuO)sJ&6rwU{ixY<+%rOH;L2mxN;<fwETzJ<3%}9H*fA-Sz6NSml z$9u+G7WELNIn_gAIjNp?&;lF?Cd!}Uk=IUE(rL^&&807}lyHAl(iE0H)9^hF)~^p- zH4t4TDh=>s=T9jOpPnu-5HUWolHpJZZ2C0)V@Qw#({uS6{oc*D)tsGQ2w-%3T)gLx z;(g5rLr)rf`Cr<F$D_e!j;T3avfRU3Lz3Q7EjywwIal<b&cbTeah)r-YH*>qEBQ1= z%c2L%r{WGvRyz1XVpI?DnC`moRPOaZ#Mgox_cmscK0U><^OkNto$~@)UW7zo%Zn@+ zY<a~?0VJV>m?h6f%#v$>$*%|OVDfA3`YNtBA<pqNsT%SA%t;9j|NhwXKCyl9dhhs0 zB;$tc4_n3!nIB3dqr#zs!nAMrs5=4((<GEE)%X{##eS5QiC|j`!3lD52@<e4oGers zO{%8VrtLp=>lqr|*rcfHnErkpXA#DNjVpo@1k0#{a6%h-T#ltE>#EkrUrA>@q8f0K zd{OnC&LF$Wn~=&-zb!EL5fvPH_SF!ap>P=LYvzPW_Gd(*Rny+rUo0$C*ROL=D&So6 zUZGu8YkQ$jkjClMpq;}xwHH*7Kg|J8jk+Vqtw)kpK<^a-9?S=L>sqPl3YTOKM1v1% z+n@)ZOJ^l{TCq1@icpzE>{Cz50*)XQ917OQ29^Eb`gazAE`({gbZ!q;#!kYvYuV?m zBXDWv*7WE&u^(%TtJb;6`hh3=bnhg?W*K9E2)Yk9{R#mhcwgWYTfw4We189Al8Odl z6z#cC6O#sCT0c!K04x;M33mI;rriM^(uSAYZ-3~aH27%?>62c7pO)%3Mo1$>e$HsB zdaeWcIVC07=m>-gy8o5QC#pWzHa+4?|AS$IvR?o3#3jLr*but)&+1dU`}98gaMU@& zvQn2Q28|6mmFrae*oV&*S)XJy^ltRwp*T-87`#CfPW(jjc{Xsl+br}-6{QiELO`v= zV{BeM-nXxG6w-X(pyBr*K7!hJUI#wXUJpJJl~_hXl>ogei|lD4z)o{j`H^ttv^S!L z<!SXtT7!)*k02WKh0J2F1~u!-a-21*Iu?gspQ}9?uQKgh9Ng&D6c4lZiN>Qlr6->L zQs5JDIDo#_qA_Z77O}F*QE^k3Nd0{9LM)FCjD^P@z}z1zktym>xV^lQUSB4sjYa*4 zNV{Nz12p;F`nvqFg-ShIpK7+G&Wtw?b@X#ion+#dQ}px$k}HYvi3(hYtKZ&_8H%kX zy3|?`=Wy~Tu#b-N_zqK6%?@4H+yB<nKJlW}4;;jo&kilT@|riFZM;?Qz;#x5)3T+Y zQ}7U6(fFeECAeZxwVmq;q_gK?=?m)RwCyISt0$Z9uJN(pF-ae>Hn^Zwp#`M8e3gFO z-}^=qK~ol`ww;LE+0*{&MY039zD$(OH%D*8@9h0v=yEC}xwthfZFZ=1`X_^UIT^lh z_={EoQ5khrN}-^Y7sj60CRwUrKa6DV#^BeU6#`bZt8Xo)C0cK%1k&WuseNqa?t?ZI zz0zgG5XX}Kc)1ilE-!G@cb#6^64})M8Z7C(Be<z{=|6qK^%V&E_IoHi*lO!1<^7O; zq|@|SDUha~miyy1zN>A#wp2IHYS1F+JPp#GA_8AGbid*YfSX*JQfb(}^#0$XDF;CK z1lJPU%YX)M^5N6ro7S8aea6w}ng{tKGghCwyjC(abMFfbraa1deP5q1mv?!}aBE!l z18HrZrNdWG+f0c5VEYVF4VRIFYHdH~MEA@OvrtOTqk{(V3&Xj9bfK%ij!)+IU28o{ zMITM(t>&FSd4Wi1*Nd$OlpKyRb?qCTK&xQuc%Ue0xS{UiqH}Y3f7QBF?BPp_wGQ-8 zk1hwo&2w{q#wqH@=s!Bz+Fm^0%rg0SeT>t<Fp?ONI6Gvto7VQ&s+vAM9%F~L9MV}0 z%I?kuuVNzp$E4S4bCbW>d?1$}U>UQFd8#90v%rz(qZar+*c*=%7f(+qy$<&u`RuY- zJ{9ovd5z*Cv{#_=HZr7PP*mf2NHYAy=N;-{f4$=-mV8Hbt_FW2j8X<dhWnsqb8jPY z*V;_q8vh(d$b!p>`T-5*H4f2HY)m%n4f|c%KmH&>+`yRA;!kORr<ayXCBwf@h$a4; zgqRd8-v~m<_>M|99F!8GuB1JS17WbPPlReE*{?h=nF`l1U#sJzRTyy##0sSc*6;vw zA7(^Hcmp=l0-+(my4{2&$a`*&);a7rK5)VVYp5lNg)vDIQeo+S+TSne5gEZjbRLnx z=%yg=VGssF>U*`7@?_CJA5UJyw>t8zI!<#_5>;({qMbQ47i4q3dONhxwbzl-0q65} z<#y59R)HsJp$M#>9oP5jl%p0bY8p}o&9Iz&%cgM8fq=F%r-cmsN8+w(JllY>j{URi zvFcq)1oP&_lX}5ws^xg|Mw{-20}Ej9S}M!W;z^5nyx#LZ(tzN8wLO7~YdWk&Pfl(# zeR}3sP4GT3ixIEeKubO^wl;z2@otSiCSMnOSbN|DU6TZpqIj~-&%@D(&Y`evsDd+f zm<IW>nhD1*Cux&1Vs@s;fX+l1QSO)SjAm`IrI2RpA)SYmdWJRe+8NI|nOb-5@iT&2 zd->UE)TxYSwhc$tyRYpk>Fx?EfNz>#s4t)Qg9S!pdK_mJcC1^N(EODt|52F6lX>tR zXdI<yr$2m)5vZUxXD!N~QVD+r)wH$v#&O_DIJKr@8?||O)TY4x7Ex=jo%Qq+pe7@O z^3M>7C1SR>D|J!O4`s1$H%^^#gXEu89nPi9-ZpzCEUw@Gf#~h##SwGpqZmZ5J!{te zPXL=dUr>7rHm}Vv*kZtNHB_pK<n0FNT=kcKT7@xh$Cf}}LiK@D2BH$C;O2iO8VWAP z=zn|hD5j6{7jDcYH(9*^7hjz(2!qiB<kH<@7={?r&NxEexP6~JW@R&~{;Eni%=-rJ zt*f%!c(9BcxV$J2VMcQhcBqWrfZ$njUF|#j*2%b%xDdRwk<Kx#0&b}6mMS@7<?o#a zF-z*JXO0g_!c6uoGcF!aNSsrBw=I70sfZV)ZKQ>zI{W>Ez~Fj+irF1@gxB*{!(G=w zTk#-vU{6)&Hp<}$bFOLpfD;AAQdHY=42Rve`zuYKa#g316_pNY>MQ142(Kpwcs)44 z>zPD&J$%6HDMxrcqA=xld>TslrPbs0AFLjE50dMsFv1%UVaZT6YVZf{cxrrGYCOgZ zv(sQ{)+hoFC=>V|44CYN4=-M=gRjs!p}UB`BTjt3d$TM^hG4I&8bzY!!`^&{#K4Mo z2&kc{@v;;|bx>E91r#jMJ)a?xLo=2}CGUY|JYu>5?oQZ|vIJfdq@Go7folmgdjlJn zK5y=biUxw$$nJUY&1n(c^YJ?$q|g^x3Lp62mA8(;PtZ>LV0_%U3w~7Oy0*NB3c}U_ zSDB)3G({2MWr*C#f>v8f<2R?6vf@8LdA0QfsJbHc9L#ZLjGyNPqD4+NpL(!`k5$|7 zko&7eT*+293AN3Zr+~1a5YRfH$*<R>6S3WO@xzKHd!HIWl>3w?tGR!MM`HFa%iX8f z8N;%>86;-0Shg$9YgEFr^SLEvSy_s9XVt+~SrHuDck@i-phgJ;*NERxM)abG@Ipq= z9!_8Iid;nxsiJylWO-kL^oWdvjC@26^>I2)RfAJm1KZfmTX#G{9+0}DU_;)bfs3>s z-EhalAW_L=z#8|GxEnf$WucAuQsi!E6PBD&0vGP}ibH!7JXzxChtX)8qVL`yMIAZR z!_Ae<F~-1FYDFTCXa>^%Yv&T)lT$f4zu9`^(u0Z<znN?(7fz9b79$e_;6F0DT8_ z4XrM#xwg6reSMWS@x#OM>H^({Iy`b_3!=l#^&5pHf!DC#HP?Doqpxq!hG}T(s89cq zcEAsEspmlVg%RH!amk0&2u2|AAp9LUqaSHTmtTHk1V8EQ#;ECIPJS>kDPvu4{QfG7 zo5Dx=yZ}^nBMbZ8f+}fLVC`ra@V>pj5Zua_T+>bYnyuhT5O^g-t!!Yv5yw_gEUpf^ zc(&(Vz9+L4ynB<nBSqC+bU(I6r`tOkT-!_BzNSt8(7>E3h7IBE><GP<iygXI`+P^N zVJx(l_}tZMDusz8uin|E??&Dj?f`j884Bdq7-8$easLunoLsB!sa`0c5#OZNr12fK zNgrv3{iMK^l)c?$h-?Cy1wsyJ0)h%biqL?NBQk1=7IT^V`&;a<d@%XlTOy>8!~Itg zP$^CdK@98@4=z3Qb}YG=ujamN{UjJPzwp>%9+WSvjp74^#XXaG)UJlEhVU#%W5N>v z4AYXO<Nt2qXa{p|pb7va_-*X0u1r9TI^vV&b;;=P11<w<M6|D)!SlG=FsZi<RKG`^ z%XmK#2{-juj%za!E0K4<DiY2RtQ-q%bFO*QjU}>ry(n{B@f#DluJbL-l%n?tC`f6r z#|EI_U8Qexa}iD@A~g;+2KmrTR_dP2xlh$tX*rXJZUJx4Kay9g&xvLTxn@Tm#;-PK z2zhL(e`ZR(xyoxIn26|tXV1w=;E^<wJWP82sAjdQ6m-G=rQh)1urx_uRwRg>Vj8fS zh))fVtv1`d_QvfY>hGjq5ijiq_VIoaXBcg_{*ZKhbv_1}@K78~G8x1Pdyv7tm`~RK zl<QLorr1z*vAvCJ<fWoi$MLaKP*<`mG^Xw9&u!YuhFYhE*VQdf<%LOq<@)T^TA$Ea zCb7BEpl79t7Maa@SJ@}X{qV`e_U{{3BhzW`X3I0|%SWwg9VN)w_q*~nXM(9-PU#Rz z$M?|5JnmLB^HU61@44e|-zLnl-0l4tw_p{c4y%<`)o8bH2SY_)y{ve?rOWwMS)D{b zlpu?9y$P}Cwv5@-g8@!ycRfpL7z=)zwjAfkO)<HPUx~9SqYE*-r3|c+Tn&0RtT^?A z>QqoZfu<qF&~*hXA<LMBVr?z0nIGH1ckWQ75LpThR^5w;d?HuLF{o7G?45dDp)E7$ z9iE_*q@wKY*LpYB7<`2ajl_gXjRt*jWD2{gD1Y*;MT2?B)Q!yf@1J4_z%pdRkh1}P z^W!Hwu$(mrE!Q1eyF0M)v8hl*u+J3{?2Gb=MFjiasG%x5mi9bA=UN*%9YXHX8*_HS z3VwnIU%qmMdmYK5QOs^+x8vL5#3^qv>}@X{j`ay7)7br4epJo$gCaOrciXST0{$_t ziLalwT`6+6zRAp^w3egKj*&ZvMnG#K+g8QXxz5QCb{}gOg!X<fImh3X5&F_5M)!HZ z)Y%Fzt&HJ)#uXu%vS&#$uV+$*4PH<~S3L$Ww%&{o$Tm*?I_o^x-Q3J9D9_%hK@zO1 zi(?iyhI*tBc8+u*V)b!t;xhjiC400@AOq|i^u)&+6t#)Hns0yfRD-P==(^bb$~UIB zzIF?TVfD-&_hUaaZcLnlPY$V%(V6*3r8MMIa4;dmf2d6T2GI81(bHT7n`nt^Q@0KA zLs1b^zWl<D{MXG<)2l|1oGQYve2w{R{m0aUKU4Fogbkqcd}k3&3&E*8<JT(V_|2=3 zoT^E`C#gnoVE}Ndr>{8<-_!^mBEAlyzT&kxpLykYr7kW7W#0T$>4J<FS_3DVU%GO9 zTo-3}WuEj@iF&$jsUJp|NN^pK3q<}t-H17aZ7vdUL%W}gi41IntOz83uN}j6i%3_i zt>M<>`69S7PIA48M1H+hZ#dfTutDfxFJMaft01x#9V;MM>(r@o*r;r-HMQjq3Sw|x z1q{wJVQ9H;O(eEr$@io?%wQ=J(2JkqN{wIcI#N}9MC>}c>AlB1r0TiV=+l-OW{)$K zYDN!+9YKoE6fx|0S4I}mKZN=LkEH&e#vH@zvo`cP5;(U$KU?}nOPl89kQKclOwf|I zWZ0knng9p<U&OVj11obLY8P>TM%YjdL<>go5^^0%0FCMOW$1)|sM3n6y8oGWx}(ed zGrho3$xpv8@DJ!)r<dGnk+JtLZk3|P7HCJlf*vr!^EEZ^MI{zU%e_oTZ|BE@MZLBL z-c0qlUbJ_h89vC%aXu$uoHAOu0xq$-kKTTWR`QoYGl(!~#1RS&{iOK92Sj!bEYSa_ z1N8hsX^8Ax3?e&cP6JlxnP3GBxMI-MFT!q;g%Vl2@MNj7u?c?X1cwOr8{ZdL@FJb0 zkr}w4ES#4+&sTSF`@LPzLnl(BdP^`#!C|6v?_~xKy$4l_CY70&6H}Fx4<jwRa|!Ku z6+1aTNKC4yNDn|IYxxI{VLeMF1J`w!r?08Pgs|2F2wdLYYgBWAA_-O=>fOMsM@iJK z2!d5<@;8E&B!E2AdHRQ7Ed&FCrNoLPSZBq52-deXK(Lq-kpycIWZ*6d*1~MB?3*uC zoY;L@F}>0`ci^k!hNe*j!@KmS$x^42rl%H1&|w2+Oa~;N+N@p6^agxtaxIUZ6Z<DJ z1NZeZ1D6CcaK=a#CjYkz^AmQ-r;aD7P!N1-$ED+vPYFy_Uu^`O$)Qdu?h;R}c)58a z;%z^MvNiNbJ{9_!_`vZ<kx@1wD(K6XjUW{F1wPSd3X~QL!=<|psxKPDA<SyQ9&WTF z;B&)6mCDG^fe2jWWdu&hFdX@@Jov=Fm@wi3$OxPU!i0G^EBwxV!Qb8mMK&5#Sjmd? zk$OKVpRtx7`yNQ~!I*qt5Jo==;egJCMx0%*&;J=Oy5kf}o9dMhaEyfh_L@+{2!lB9 z(db#Be?luhg%-Q7ex{(;{L;sJRjryL7H5U%QL&(>`*liO3Fbt|$L*#;=1Zm%7S`({ z4G@iB>a3dxhdA>oK~<mZTxiz?yKf0VKCB_VNrK?*VuS6+1CrOEAfQetRsI9qu+pWa zV9n+g^>L-n#&#_ixwJDpH%-79^Cw}`9!axG`WuUBmewwnC^#qKAEwhK9R+M{R<+9> zpDw;A_!Qth$VeN1hDhCv)KfWJi))eQ<2BnycvvxXydQ50q&dVj&FPXO_{+2DH_+Cu zD^YOCKcI%cOSta21o)KowMeV+pv5CL@d&!A4nuBAfjozj*K?gaf8dXzVq`%&@y*!u zcm6l4Byp>@6v3xJgO8%og3)bT)mQuipR@EMReojs%6yz`!aK{eP}Mx;Y-}`H{FQ1b z7cRYaZ7f6iQ7w#O4?-dplAItTrET>CG+}F|$fy<}OqSfg@@pzS-9zR#qb0O|VK3m@ zVp>l;UU;=}oG-0T)TR32V@>rFESp-`NE3wNuBQ2}$*ky<K~jMh=-D}-uk6s&a!C;o zo?4};xshV+a+pIn((ajXQegOlx0uo31`BK(A6JLrUEva`vq|McgU^HJeC4WhQCe?s z-o4&!ofsW`{A*`YLx1xdtcC@b6*U-O_X&vTo!Bah&Gl>78pmEf_TM__P^PV^%_G9J zE6_n}9K%5cN7ljwlgS<6g572bcP}DXeHZ~3e&7Rt5cNoW8{9Del49!^_I(1Pc1vEj zz<5g-6ilz*vKnWonE0VV6f8Y!|Dxo1pfq+0aky>>@ski@7&aSjGD`*aD^-)1-dPPq z%&5i0C}UxMoA(>sIF>!<rt31xFGmRxF|gM=fUcwv;l6|Yk|d;t#kE1W%6r*yg;Aht zyl{o<pWX^!Mw<<oyy{e&dcz{0u$sxyTbQGTyB%=FHGoz;Zhw(2KD^3>76a6}<N&n< zP9TMT|0kPR`n>$hIs%T5uhT+F3%HmxAJqf2!C4d13~F6s1s3J=)W#EtOe;6YiApdI z<V|)y^u5;3$YW<}bsXSj1lxb|v(V`db^tp*+XC33Tuyg)EG&U2l$0CX>$&5ju%fdH z+^~`Sl30O?4kOkgc`sf0R}0lPazHKt{KM=*9uSr>z(1fi(k8BNQ~*seF90+p<2Ilv zeS<{1<wopbfjAQhM7caA;3xAP32FW+8N=XUpHZo1l7wGRaueDWG!?D0zTe0$ti`SS zcTi%USMYaG!n(}<cTl2q{C7~2#8~%tP-5;=@OM!1d>rtMe}EE$gTH|i*$+xz4E_m} z`~;xn{mLIu!nXHMpu`4T`!`V1@z0=yT9zAI9<lJp$XZbRZH+!@USyu<ccv<*j0M(k z1DE`gq1@L`=Slva{+2iQmWtHPuK8Ebh+CEi<j*s!{7;16Ogtr2G_^vFEP1Kw`dF~q zA#WsGywgbYJs*WQckWi%Y~j0grreeE;wPw^CCZv@EV*It2ht-0ciT+&<X)G%i#&i; zc0wkYP_!-)!gX!>UlU!@toW3<${YhjH1Zz3TVFT4SQZ>u7QVtH<RCX-W>XMtnBD{d zwW12h9brw03&-#Hp*a)+>M#&x(+40!03vs!(h-Qc0NRZ+L|&*|=p7-c$g<=NSkXI9 zPL>3(o1)D4XAywowWt84ya(*=Ljq#x!ZDI<fT&T1NR~>O4tS%tDBxg578Bf_tY5!0 z6=SxiC>|sI$^-Y?`0O;vhOgru>c(n1Qp!>LxD|_BZ`qjOBae{Ce&DChRwP}no`HKU z{t9Na04kH~q$*az8=(d?IY_sPWS9mwxw^@!Jvr?*Sv*-Wc5Jiy5+N;g8!aA$jSRAy z)wOQYZj;0hdpNMEn^^Wgczr~sd6%)U!!i&{A@ad8Y7=>gbpWINFY;u6ROyLG#yh<9 zA8@=O*dT4h=%3w(uRkrQYm~47A$}twosLs;1wBL|09=&EgmW1;iUDBa!<(jUG@d-f zm&tFMX3!W5p&hUg>~SxFyP@e=NDe@)_#Ge!u#|&95QvabIshh<q7xv66dj_$sR#hW z?-vg*@kVB#5S5J4&U4qQhQiFi5MCtdKnJA5-v!lBeRfiR0@c+eTCtaLb7JVWks(F| zF;AG68%P<ANM1!(gwFov70qn99BjYY#5WcMI{BSA0^c1OL+b5)KvdyoSMFC!#dznr zYT@OVPZ2-pw(CX_D~#g6qnEyH>P|OGyznhGCQV5cwmUo($66C~{z=+F07)pM?MRtY z09t|fC_(GC6nI4y1!XQMIe3_$HA2J2)em>y5qhSapr!a-#UmAO2}vu&<iNGdVe>8= zF^mNzk>C|>I=u(gBgXc%SCc#*G}9Z>%VECNrSKji>_Nk-Dhr&!jS$NS<*_T*;x;hn zcDz@2eJXzKPb^LB3`Nnn;pgIuZ<O_MaLd^}1UaN!D7Il~wKc5S^u|o$_ZwXYxrkxC zzv_<I(m~x3$qgp4{rdD#KHuuvUo~EbYp`FZdo#+q@9O&zIiwbkR9}!o`sGY!g0+cW z<^gg@^jGU9|GEFz?z1TGJtnaWEY`xOh#V3!hGa=9Mu$QV)1}0v$Jb@#^*+m6jk;8- z3SfOf5E5uQH>Q^5{!HIXPdruMpGVzUFP`{~rPS4iPoKK64|Dnv*VjbUbiu7&&dv2% zSZWZWWn283T|nlIMABgJvjHq0k=gdWVZ-LkmUID7W8}fHcrQ1Izad=!q>Vfj+Fq`_ zZ%8*F^y-)h(n~8+#F)AFdKb(QM>M@OklAfl5@^Np_$zGGxew=QnLBp2RwS3s$~inw zGI}PS-O4%OuACjIpMxMC4%te{!Wvc&EkZ!nsQcN@P8mEr$C61=MW@%te3S@wxB{VK zHp>E;qPNv3i~6xw{5(d|iF=<|<H}V_`TAr&qJQBuv`?^*zlPEZ6JCWpg358)!x3qq z?eW{z=7asXHP&-gq1WDnG|-DDnH>|dC^;5Rv$Nh3vSEx9ZaD;G5x0Y564vt?Pp)sU zHCW~0rar;PB*+`p-+4LjOk)zq<Hq+K)kDhF9&3W@ck56Bi<?(lI~Ulbi%lqY&B)!T zNf!yAIQQC{%h4QyirdGRVv$K?*-%cr>fgBPp~psTX}G~1)RwX65Vm9gDtJCNX(n*~ zS3N6UhCX#nt+Jvl`aG=V9S#i9JG26lKR<7V{#1R*0c)wk24_M3$g`rsr0354QXOVR zu8kRUIG>G##F+Y>zrDq=6tXOuFV?2n{^^gt+n3FVUUHzz5@0j9@TN>B6mo3?*G8Pl zoG&8i4OqXc`?TvxoBRX(u7(DemfPRKE3k5Y@<QxBjt$3`xQ(G#Uh5?r%%YLS=Zpb2 z(URvaQzEbp21^bL5WiCU*rfLkcD4rLg4(`)7BA{Wt-N{X2}=|+R6yau@>~zRJl#P= ze6p^-wz7K6=OV4!?bny;&R<DUzks=3c32gt*fwU9*T_KXAbP$%hPrJNQIQ-80L4D^ zf#C`7`ch`00e<RR^HZ9|m(CVZK2lF|+m;6@@7u@<JVJ2}x9$>p$<ZWUR7)V*58chd zpT1i{7a3Ih>llWpI93J~#|s`#tf1l;<9m6Zv#K}_7OPM+?pI&Xa9rRP_cOElA&(gK zOq(<b6;&#UwUUO-o~@LwnY=iB2h^k$oe}^t`QjmBtgW%cU99xe?wy$~xEtImXCt~; zELGVEv=b4LN454@_!C(cK<7O+&NV*q$$^67*9D={D2RA*B2{H#_&tBsiS@n3wbQW& z4P*PnzOE~F>0%K}bAprMnERO1)ElB6P64?HOGdO~f4n{Mp1yv)zU=Z${*uz<d(cg^ zA;kUYwU@%=`%}c%QuuzNZaa_tKys+P=gLlr4>J@J4e~=oJ5GLQ-c#*dS9V_dFyq@# zIjzDZ9l#Si>~%Ht@yl;sm(arh+0>yX#xtH%u~d}Bd#7SaD9^xO-=ZvXpNb`*JOh7? zL0P=p<4gW{>&X%iP4cR1lQX6A;^an1b*pN&fx%67^3nIsw&CH%*i5dboYry;UPe@2 zo?qda)9=@<ti40gzJj^IO1*VVqG|_=y9$2ftyJV(B+iqP?O(4`dmnys2>7vUq313| z9P|xFJqR(n|K&>7)fT&%iXJ8V-GJ7mR@t%oSuRUqgk$5KJ0i%9`C{3Ck_}V`-M_nb zOuC=N)ZFM18v7Md9Tb5`3}K0ugu=4R2sEH~`<M5-akI%&C}?iWzD<B%e^z?0`LC*< zfpkt)cFYRLU7cJ^yo+@n+6`d~l(UN`ggE`vg@RanE_gTr)K&hN=ghE<>BI+~{ziO? z_AKlswur>ftcB!GMAJe#69ocN@RI^aF>)0^ibv#tV`vNcflJ6At4i*Oe$BhGlD#|W zI}`k&^a<BDSN+N8moE;L1%Wej%?3C#O}Btr<4+9Kn%*#=)@<DeYK=Fb6ciE&n2`!D zLsy*#<7pQo82i^uuwZ;!5K%I1mW^(G0uF-Jv+B&~4c9|leKWneaCE0~(P2mv3PtQu z<ShdaT0Q*+LdH{aIHB?$ddi@UK;jR+UD)#-$UW$hF#{AlV)B?poxNw2TTW(=py5-| zI;&DLJ@}@KTgNAEZIrRy)H)DuIP|=m`dDz~Rj<AxcvStGJ`Y-J7nbHZs#<TbfG5@c zuyC+Q<*Q^u?>rUVim$7bbwq^^bOo^>+r7Rc<C0(DQ`X?^a5`u6$X5KsJgqZzmuM84 zV?mFg-p@SXj<(<d+mj+)*4I|k%Nzc(m0;pDhXuAZ3Ibc<{g)NByS;N`lJ~>=FUO}! zy5>&#!7KY`(-y6YtWkCq;EDC>JO8<x{B|OB(un8W=hq@F#^<+=US9*xxrpT{hkFfk zUIc$VukJ?Lkt05o=s!o!pDI|_p=|mhe+LFZRJG(S92JXzkLBGI6v%OS95=VNd_QD9 z>zPrAtx6!LH}OriKynWSVr@a~m%1c#xJvAnFou5U%CD99Ozj8(#Y)v#e0EsV7=#{W z8J5S4%SM9yZGQJ~GF<3BQy(Qd;y3vTushKZa_HZFk8kI~$8~FRcVpn#vs6dlhATGL z+C}3qtYr7|#n=DPGON$<Xx7Z1=wrL*c~kpMMgNwT!~xcA&r!4HGBLq){{6(KyD3jF z;*LAVwsTLSv?%N}wWgBHmok?Mpu^ABoKMf&k3ZFQr6ke_b?4WeYJr;B?DGThf;!=m zv)zLFv+{H-FJDRK-!-#Nh_pp;<%d5lvs`fffrUr~Savgn6$KM!wGR=ymt8&6ZEFOc zdj+n8=iW2$+}p_po_pWPfFoK0u(niob!H>mnzlw%83OuZjg5bI!O}#T-KOq*r`OYq zZf~64`D8-Sf&_D^y!x#Urb1l>N(v0x0#Rej__9xatsb<%ezh%b6%81>t<R(?z=#(r zkjN(h;uxtFW&){Z0(6<fn6PF-+;=p7f32!G(9;SN7A9dXE1`L}1?2PNZF+fj!W45M zlngZEb(T(UPCF+Y?l~G_!`5lLp*g5MwiU=fY4R$Fps6rBY(id`X&!+b%>Iagib&rs zpXl2BUVOQDM$!9-D#{87i~@&{nnu1iv8kSLd&`dP&GvO-rGwrl(<2i#4H$>JIHxD@ zpD^4794=VoD*_%A7nr6VffcOW8zKblwC957kM>@55QavIQ!6X~B4*Lp?N&E_7Z!L$ zNAq@T1;>6wSekmaZwD%fdJGV7VHz=G?%dO{%a_W|(#3GR<5p9!N=fnyk4{~J=kx46 z`TQ@-IA5hKSv}piPt;6G_Ylv^jaG8ssZH3!O!J%BTJ*tl_E<h0BI?0-3yM!}6Q<Eo z1)iX_^ns%woDD>S8Z{sqtiA@KfineBNs>0JgFD@>nn}W~a-cUvjRC#k|6%Vf!>a7s zc2NNlR7wyKC6opU0qJf8X^`%e?uLngbc0N!5s?-tkxuFE?(Uv6drW+u=Y7|^zHk58 zd#zvJaX9rJ*Btklw|anH=XC}bXsYwj;sBGO95mInlYq$(papvG6?pGm1n<2^*~pCp z?t>QH@dUK!>JxB^f+al@<RL%>e)VaAPM!t+WDuyO1R>#LPHYsFgqIW}XM3RaeS3=j z8Kfmu7u!>mET61-ML@br0W|^U&~gqs?$yOq%K}4VcfMqw+UU<a7pEv@fYnedyBZ5` z*7>h#W)zHp)#{st+r}7T_X|)Ht{g^SpozX&Ont{73UnFCEj6H7zjH?Q6#{4t*Rrcd zpj!uek8cVz%##QkBAcJu9Pry_?WskL-35|4YaSDHf1bfo+d>$}kjoMRd$|R!6I{UF zoAs#`u=l1_Cz$c};QZ=a6oC6qX4@~=!!E(^)|$pA7&7ATv%*Kf_XeiuNNY%iYnx*d zh<uHh4xlE1dA16^Y3JS#C0|y?bJhA9lN6Bj?Ju*{VZ2P}Wd|Ao0yQ-E0!<VW3&b3+ zON@u_N>XQhPU^S#@}x#R=SGQL4uVpWNcZKvg>=2G6H|v3tqFTLEBCPpL09mg@uIjf z?#w&FcQG}Fte#5mr0P9S+BcpU9Z$71oGmil8kP`eD9>6BX*zMm9$*1JLn81gF??-v z;*$#a3@L!mfNnUqMNfc)Xkrbu`GNNQnLFb>26MaX6u%D-pJ|P3_>AJbPMX~TowVpj z==MA&kHIaUK^)2Xw!cTvANQKSWijZy0iS@{_`o8XpA2;Os0|0RJn&!P6%rNz3Ph$O zx<8K3_}5o%)XmNc;4Xv@Q^jp;g5KTqbnb*V_5g4Xpa`c}R8aa>Gzo}HXTGv&K51!} z(%GRT5|nARmjPX~C7HkV_1;{#8})L(zu#^upgsuraEt*MV59Y?=`EGvL=^xKf_?|9 zu3#xb4PgMxibsC9M`?Smc~u^=z0bk=*2M%4GQeF-;Ml<j&IkGOx}n$_OTrX!)TXM} z2awBKv|+jyoT5ZMNe8yAsR~}~k@*3<18oET#l63(+TkmIhpaj-;1gyqV`uwU`Zq5* zF~}ooxz$pF7f!66g^8spkqOX#8cWy69k_=idFQSK;RkH)-E2R}w?w*#<o8Un)0$}s z&;d(=13l6OO%hEF`Hg<=A!?)x1W}U5il0$QKQ|98(&YglQ*hwYGO?PGNqL~<J;7Er zDr>k)DNY7R2k63Vg4n+x=)D3T(yhd?<DWk2L*~1O>@UU^T|cxIz_6D@|D(gn|Nh&Q z^nPS*Z$x2AL9l5g8abs20eNU0wCjIcnZPe)yXI{Wu~nBLQo_hPyh-s*{daHs^a#oo z01^C)43Q=e01e<yg5l03(L@WS1pWVCi+|9E<Z#kgXRo=nbZ@;(ZjlH?fJD@DePE=u z-TiKbP{q|o2`58r;{7P}ZMhG~5Zr+2u$A_~htd}E7u7-klQJIeU)X`+WBA#(CMQ?n zf0H3hO#z*ws$8Rf1_L|3lzQyXB{Q70;Zk)n7Tbw_!DISp()!8joem<L9PGTbJGf7t zPF?2hMApmD2?4}_Qr;D~nNSt71Y~yP;k^K>@YWdZQMHS&9eG=rpYS)Fd0dG~vO4l8 zjkBxaekK4-U?Bl-;vI?nVM3^hgO$I0RCPE-^b+thNvOu$J9%*=Qa3#TGr5nv$*84O zioEG0T-K6hdB?Zi$<OvvFuEY*ak)6-21GkiAwz`onme~(xqR}Xx5~NB>#R<e<hpeE zLTZ|cJzcXNe*?de=1LZY5)YTLuhk;+enAR-#L1etVSRaEVn9IR?8{@;aJQwFo5u6i z<E2^kJTnHF$D8+Qej5Ix#mZ&ndqH}I*WcWgQ5=F<<$T6*>;=iPd;xni!Ihw+EzWNx z?hsZis(`f8o4c?9_c5t}B=I)lO!h$LP=0bP-~8N#T#NFn56^tRcI3U;=VH@Rpcfo4 zbQAJNL*tF&JrWs>n$N)tNG0mcZQ|Ri-Kw3DC-Bpd1qj5$W(@gTaaKEl&E~b_tvE}K zM#1w0lHgOW)v|B<`rw^%t_wj^cyJr>N((KexqS%mSZ>e^j}XtuQGSo}^$Qs;V}#1M z8^!9Q8jsb&x%EZhgwlE~q(V>pyMH{Z-GC`o&1eUK_;=AHRl5O~_S@CF#K*ZsJCrzI zQ$v?MRv4`p_ax2W4k$Dq-)i__@j+DTs78#4k3~Mxez*GVN7-_saK$aX@4`pKK5dGn z{0XP&J_H8szr@0Y#7Ud1J%83YzGQ1Ks~j+FR+4u9Em5T&yRg#AQfD=EiBPFo=r`R^ z{KtebYBwCeB>_oC4fcP43eP+MRJ_Q=>6?f|m5>(k`d#qvKt<0n`Gvnc-@m=+FTTqq zjozQk!xNa~UXf5_gOahK<3l{vsj>(MmXo#zR+`%2Rwt2~b7$DM<ckPbj+lC@IiqKz zysziJhL#BpaVhWcJ&;3=!g+8suP&L7-)}mK^S3rDeu}z>3$D%b1lp_@z*rf<N16L4 zNJ!cdvp8RQkB}gcf<-d*b-#1^{i5z9mn=oc^vvcFLMJp937rCx3e;B<eHm6HZ9sh$ zWHIvM?S%U;FNPk6JNr=pRcM(75ldL5OCSEFzVb6I5OX9s-!m^VdK3@TSC1u5pttHP zk?uMniKl*)rhH$PlJ>(NS39{0jQ&6kea03S!NzIp*wuK>>{o|O_s;OyENa<&NIQ{b zB*fuV+SYDz#Jj8qC%?Wx?X#r<+rtvgj@q-kZ^yH;tvU<{j7o$izgpm#R>XSRzlblE zm7k6+=+3w4FwXq>#+B~NR$^;ItY`4Xx3@Achor~z$Jl5Rku~^@R9#4xt>z25=j7(e zSo3qp2uGk(dh~YwWvE0W6DA2vf23ECTkX|a-w?(tSACT7WXCybYc9u*Y}YO7ugeLr z0d$jO9ihnr*1`<hdVMUO5mn~AcO`~m&k7t95-IF|<ft*fjL=QRX)?5`L28U9C@-nU zS=(lrZqT4VQKPwnUZ>97Ys5(3q?t&Z?uP1+fS@TZHtJmj2bkTl<J|nA8)WX(=J0sM z>jJPqqTE)$jHwsacD8!0UT(}fVLLMu_YS4&V*a=`wC{SIw>5q^W=foB(u<Tx!lz>_ zyOZfHM?4c#{7zuM8Dp(eVkKkB>2>&O>m;n4-PyUSS7%6aRu&jP&l;1{SvV$OMieDr z{tDb2kC?>^v^H-(eoex&2q`)*<WSDXIOOC1czjOk?fUaZZ&(+$q@9X7q~6ptf#X!x zuuB&=NK?$ZX(5bu-TY1#ZrFZSs!fh+IdHN!rrrSQzg~G?BlFzgLQ@+fs;Cs7Y~rCu z?=RM51H9Mw<~{4q@6-}9H-`{*kn->Dc%8c?=7xRM?AW+J^0H;p6vCC4`tfbgKY$Jl zHC5I*#?*tS5tIKVmm3GoSkm{WyMtr;mcu`;4;gM221%+g<r$2bg3u_(T&bAm)p%0X z&3pgBblP37!r4A(v8zg&@;nvKK$cvKNf`I&N&cWd7f4z^mpZg58nd`Q<!(_*Iy$2S zvxB&Q$|;dnu*yHIzIBqbT$1@epqWZVT*|tT@wyk8y3KuN;C;DY8>3=>4wq5Um0(u2 z{w<pNAI;SNXr}%f%@hrU!Tz}lut*MUQh_Q<*aG9^j7mUTfpRv#{A0Y_DPzRxS2?t= z4Hzz+gqNTGa$w0!cp{>;A@Sp((9m!f-=t{#w?F2~TDW?sjyVR+w`b@A4;E`+zN}&s z2Lr?tLV{c33GcrXPaywdo{VXmEdCKj{fl_=nD{@5C$OgfAfDKN{7>SE*B^n?zlbM2 zZ~l{b;`;V4;tAv*<_Rq5KZqw(=(ofZ2hx8do&<^gC-H>y&u#w=@njSQdc=GEy)uk# zK;fC5@R$&aops8pgVa<1ALR<3*25u?BgdMDp^)*B5#Dg6vH$^Phbii*EY?iZPl&?h z;@o%p9Fg4hu(vD{6iS0Jzi>l|5ZCOyYhK+yL6oK$vKcmH2H&#I^{{z(*ugCiL|_+O zcl#)A+(5-@Lcu`^gK)n!Vgyx)I&ebpjSAwHF#Exm$n9t!m$E?yCZ(YI6t2@(>KbPg zxdUqJw23+zCsOZiH^W5}HOhrGuE>jz1-bmTLf(20)}FA=sNnbEtGqAYXaAO0GG}b@ z28z%CkU90x>j_dl3KzOJx-~ybu&5L7X2jq*%LzI;2KJuE4gYvxTAq7;&vIY~KXgLz zj)j61bInv9nY|U{EZ=(M_`7s=<&@HQQ}e-7J^Qs_9Tl5lGJYy&vZqJ`r+SJr!8%Zu zov75Hhl!UhHG56Q*|>EKt5aadL$argV26e{grd%r3Giu#N!`*yS}-Tqb56Sh04S+g z-Qr{nK*_Mr!L$26E|b0ntwqrQjFBXBf&Cev=MX&NT@+aiuyj|tRH`UO0I()zzwjHt z@?jADU1;8r1wcq9;>*X6fOIK1`+wux(C7Q64g%HGJ!VLM{fAHuJ`{dcpqdIZ`trem zpj-mO3)^2J>S+%eVfU|3HhUQ_0o-_-n?>*Jo67ov`K$kHB=Of)($6k!Q2&M{ACAst zaR#F0=yli2hiN3=<M$H$n{dNLcj|iosqj&?NW0D4j~4o$J|s3kV09asrDG+Edntk# z^@1~(AU^%sP?|U@I7Co-mnlqnuu7tIFn>#{q-j(=5jG%I@Gi(EpC773A5>}a&b+U# zZmuFifYD8Dc){m*N`{~^Kc<PoMW=q@i(8IXWpYzyCkCe`v<3tH8Kex$+KFAq%X19b zWVc)@VYBY&#=!_7zo&HPOBnOK0n)|!1!GmlXkN+iIXS&m{!9FL21}j7+R@R}g6}f2 zY>uK%^z%)viLk1S;HY;NRW6>KGS$?4@zXKY9}JcoHhp$i0a}PV8<4L?(j9@HOXlKz zOeI`cQ8kN|s$4k3BlgrK?o+h$93TK0XT8thJ)$X<wM^LEwcnZ^WjyZAi<)0MR=YQ} z-d87N#Pt+W1E!Qo;8wc{d;efc@us7KikfEk`@3mW=(aO(Vcf4AEVu3{`Q%u{d&K^K z9ck_1{Qm=KC6>3Ksod{>@HHesy2Aj<nkXjG{f&7;dLX7G<5!LD<daT%I260%2yoZ< zrvQpo0;Ho(Zve$U)c_@LI68}g+&DQn26#v-Pk{5y2=uxdkda<7VD(r#vy@=Pd)OlJ z-9V#V(S)H#!&rD^Q@+!NVeWU!H7X_5cTeP=7mPAeW0}M}3V6&%^|&03suYb1difhI z1<FkN=s6UEjb3?6Gb#r(BQpd@Y_6+G?yK%*L2tS|JOj<R^A-{LTqS;^hR@0p3as3M zk*V!&_PCOw(_E48?002o#;G%EI^vi2xT*4XnW8$VcnVVei*rv6Gn>uU%VXmc5O#!Y ztiD8ZaJa*f#FKZDZ@UkjUW~m4h~_Pz8T=dIjph1(0L>N%OTR1myZ32uHc>BN6UpSq zay($L)&?ji7hF!21W-;b6AD`!&wYS$eyac|XYUk1Ie);ZLmqIwRwo?gTn413SLp!0 zY;*!2nxP5cOWE%fE7lF~uu-7D7yw@>3Veb`8+wqhFRA##oLf?6bETIs4KqZ&BK<|l z*rupQp-BaA<?JE}3qUYIbd+8?KrqivO*8<4nIa2)3v^i*eAgc)fG%rykQEE)vSuP6 z!R4`^w=W&!eF1`bv6xB-5KNFAl?m5n-JE890s!WYER+c7vH&P~e;vrDZU!Ci0$mny zWL=I@aF>&JCFGR=1@koW0gO;G3WP_QoHL4w*<Z4Y;JFL@L>kJuxaB6*QECTwoj|zo zAz<&#K6K&sV5(7oc2@CUV+hbN?_43;!yRls*Z2;~Pvm64XS|{0OOrZQ#CAlhz*Nxy zq(^zql2g;TQl&(Ft<H5z%WI52j2wjg9RdIJcWLv)B?_PM#-4b0(DzhU;uEzpWFosD zB-mYw<>@k}cZe{)C2W*pEE2n*$<aXuvY*E<pr@n44EtB@6f;kp^X@Fis6U?S@t*&a z0RNY*bGP<Pt=p$FZl8z&7v>1#k^BUK`$n&0SQ4ZkXQ;e97D?T>x3R11bo+nt`y4$C zV&l@wTP;P#S=V&FYPJ&=mu>ltlfg}9#nAk35goUt&T2#%`6-he91tF{2t(J9Rs*Oz zKKcxq4epbSX1p`G4-^Np(>$>U^+o5+3Z7R0qeKUz1b_erC_uv&FrPqwz|d4!2&_Ms zJqT0wi~CFq(SAS2ymC37`JwaI;cEOn;wgE6XHG=!U6lZsPy!1DV3G1yV|dV=D>P4t z5$ESpiuV+)AC~%^sX7(qr)DfO`cY%e?n-20b2~^WPv{EV_r4QECa1qj^a)6!kluPX z&!OSQs#0VKQhdY6Lcop?40@6G{LNcOVgzhifuPpy)sK>I9Y2AEU{ErCmJr1^F-yas z2t8b3#JqgA`1&PNKZaNNdTo>d+AD<26Ho)MW1yBIL#(WCO{?!~69Y)#RUdLn3G%z~ zo>w2*SzcGxKa|sjvxyX&)QjuwInunjhyZkl6wFB|E70^5TrLK263Pf5=6SpaTBraV zdyWKez*hRl?HFK@&a&(QyhN`h;WDOMSL64p%L5|FkiS>)e<O$4gYtJNQ;oA8qPcV3 z%Cf4mH0o0@uvMw{L@9Qrhv{`yP{!{{FZ^wHEEx04*`(Y%ndr3~oRf6xVEiV(xZ}&; zl%r7igWPJQvS$HP>dy{z%RjIo1ehZu#^53U1t9_$^e?~T3cXYmdi@LZMM8lJ15#yu z+{bB%!oG=+VIu6YAkplD+;(zW#@9cAJZj~KlIt)jSOLT111|GaCD%_^KrD3?PE}}d z0^Vvy18||uEeg2e3)Vg<656fUZw|ON1C?AM!>?$gN8z%no;B$h7{UynU&>m!#>F*V zy6#nGUdT_~zb%hnjfcNt=*{Ar4Y<&m1z~HDt5aLpkMq4jt7p<#_txp*VQb3sc}~#n zXqPThkC^c<YX9ki912{J6;)$Thi=0Ej$fykF4Vc+9Sq>Ne1EOr+=Whwzxwg~w>ihn zpk5%wFZ{8UeqypfG^kY{+B3}PcH;tiy%MLl2)SCPeu2=1H8e6v6bMr<$>l#kzMc;W zHoOPXFSt8-VJd6}Ios;q(1RJlj>9{iYvv3G09cb#<V<>zJA>2=!3uJI60A%;`2U%6 z1o?+_G&pVOa}@Kpw2I<ZT9qkb5Q5dXy1M~uPKY5Uu}(@{o)0rW{1>n%jir2CTZhxN zMKwH@`E-|NxJb&eI!lR)VYuBs%Z&bhcb_0zT*>F^>g}p8x$19ll<*v8KmTn0SDYH> zKY41wGk0H{X(>#PNE75zS7@wQdAmZ%@BFbj#?wF=_#UY<yB>|YFy+WAQnBgg4c#Ak z_ynSybMnX3`2GKCYK*4*tDjhTb!6bqPn6}QPNB-A65Jt=C$2oNh%locPI0SPRcP=y zKb-u0*XfU^F#?rQKJJ&196Ol2H*H{&TpH#ob=21j8C=ASqRdyt(aFlHbziiuwGAv$ zhus9cb%%GNb;z?I7g&kK6(w(Q+Hi_}rPjPExGnK4G*)v&DkiyQygn0ZMd!zsz0|T? zC0c$owKkhUqEc3Q{UIY?y8ez(=}s;{{T3DW<u1&iFL)SOBcx&j4Cu(ATWBQYH#gF@ z)Y}Jb2@_GVY52MfA*}ceL^|1b20?b(Hx`Vn3_LZ45Jy}HD53)47$_PPa1X9!e~j(a z-}aMg#aC}|0AcRyku3N_4nz9wMn!xJSx668G87*gZ<ov6oy_lKvMj*>VeZc+yd--p zp5A8yIqn|PtH%O7nG~2q1B|W_R}Ly>9hWafH14Id8uNYmSD3pw;^N6Y`}UeEPe>r% zgsLgkWFY};jEXbRjyQsbVvk(q!a`}UIHd+sa5Hd2Mzk`pHM3<^dwKq2QmlU}ts13b zs*@n#*T%PkXAPxh$JVQ+jk8WpAXcq+h>7$TZ0mfT0l+pFyMTTnSDv#bJ!T;ih*up^ z*y7p#KOV}C+UHr*l3Lfhf5obe5?OFfTN&UIR|IY@lGM>-&5i_at{=5-y5wK8oDUT0 z@dJd-4YeC2y}#1=D(t?6Goa2ZR=)DwjJr|oL7F1;$#Zmah?E*{1D9@X*tf-VH3^CS z4;H8=lUGfUn#3f&Y3VQf`>6cHU)I)8Gj;8A%`%*ECTJDUU7>$XDDmwZ$^XH`23_O# zR$x^y)?tvsmfA=4WAmQW{V?%G(^7%^Whw{NC)c!!&&VSioI6LG(!JYB6I!~%r=%gj zKi6klIk_DkUs;mPZJ*!guR1E1Pi;BeC$tvzbGvI3agtKa+3-hWwd}UD)cqNFB!4G6 zvKa;DK{Bq6S58EqDLQ#6t$RG-*XbzrDcol?0$!E7|1V}i|H8fS{=+OtsuP$6i7wrm z1t9^mASl)j8iB~aoPp+ee}wba%)u0GWKLMwYK47t=ZCK~8Q9{tf8Fwy{)e{oe~h*S z1+=BO@&s~pI)tYQ<h2<=D#WNN_%?SzUX^<@j_0jy?YB~>Eb^l#5MWY^Z%-jc0yE1P z9bPf<Ecq^+m%d?V|0P}H7ii_%bbw$-8VGi%?qgT3N-hSV55YA(@w^8hnyMS~nd<w? zAGT!+qmBtB;$AXbWDY7ZfVQ+peI^<LBsrWReU=gcG4TAdz)k`XgLu?wb|C19!=d$R zZ91?o#?*os3_`$$6P;UImN!g(J6(Y16muM$nv22woS!NJtMZc=(D)Ppm5{Z;QCn}f zS;Veq|C2B7I=H{nh2z7Ye9L<7|Ma&Ak~ou<znh115C9F@M37LJt%%(`xC6neQv|7% z+3KgO$IU;00?qP1I{vLG3&e(JaV4zPSpszYFS_d=g(VUa&kn$?S6Go+Ut8UC@xb%} z7A+%agu}ny&+JPhfxC2BY%~`sU$?59y+`X;zK))+*9%K5Bh-nb`U_C7GPCm_O8&=` zrSGFPxJ!;K;yvO^6m#1U{pyDIqT6<UaQ!J$^1<W0M_DxNl9yp<T^elg5N=P?Y2B)$ z_c<{9-zX(duNKxH18dsWhejv2E-28INwk-|9}3)alZtp}9Rnr5a6X8Qbyj(qUI3dJ zU(sjQ5#770+J5mSM!HhF#!B@gY#`KSdVP|8b-bf;Y-Ynk`z<sj8x0TNcXT19U`Lyk zlv}M(;pSMXQY@~y6OjT+-;Ab4hI4Z1BSXjDo;Q5nwZdk0x&OdkaL{h%mxhM>hR#e? zZYR-asNgXg4FpR+5jIziq?$Z-WR6wC=^8T}f2kHz0dq?&45#Yf8q<)dAkfBdU;5O_ z5YrwJX?S2Hko1+xMBLULA)hHuL&3QzdAc5S(6+Q#GumhO{mP-ri1XX5A)qAq97ugE zQ5q)|$H6KE-eNa4&J}f87=pmWpI!P!_g<DjF>0_#F#D+go0gm8-&*c3HWGvwwrrL* zAo5zsw$nxk{D4NBpd~{eJpk=?8!dVj-ha)4C?`HevqgZ&<*p>>G`_|`K`72w54Ba> zc!ndIqi_|A{Pf*%?h9?K`v2Vwh4a5ML)lw6{?md#>HIhv8PD7N4!cz|NIAF)-fjc_ z&`G`ao43TTC$RHk9YM?dy%G?EoE6?c2sK?Z0W)YA&J0=y%pmR}g@rw#6(IYOhRc3P z;Ibb_&_=5e(1AAE8s0{igGL>r3Uu3DI-uJ&fRlv^f$Rqu$OidtZUH?{X({*wz6o{x zYdj0kyQhO^_+<PO^zMw4ksKV<c7VwA8rZZP&O=Ed8K3u_F^AM>yBMuX8h38@UlHHL zzo%fC++7bn{?z5r+sZ$w-rjZM!G-Ke!7>fo#~gac3@l`OXmr}&g7{{|>dY>S)vTCi z71F_JlA9$PK>fK`&)>bJ{_q!Qf-W1v73K~aXM_V;i9d!Wop7Cw_oZ|u=*T6IhJr>w zm(37aw<-s^Y;P`mHyY*ymYNsEV@SnBX)+(-0wC{8*8SV|y`mfJy^g4RtaE#?nkzW? zYTx;eWEAx61sWCmm$a`-$u45i2ecv83<Ga^gk=W%<V(6MQ?krL2C&T!NlxQ)nn+lw zD!oQr#!QCs9NH)_rf_1Rc%8sG#T^Z;4y1`tCKbV!w!WtOnw}h-4Ci&tKJxg#LN6lx z@A*alLofOtdeNVxr2nB8{fk}%`9Di9a^*Wd<D0rU-#N58+iZ=f3K$MZb(%DN`s`~t zxemLZ@<&MFgw{`~1*VWaY2y%Mg1&RbvtJz?{t(qO<6g5o=JUeu(t7d>iZ|T3mW%%@ zD=G5~&Ps~cOoFqL<}YOfUc}95>n4i3hdyVX+uUB6pI?DVdP2n+849UxMkW+l6c-j# zBN3%26U%=3bx$xMXFJW~kV5180H7eM(A3(S`&VufGw@i=#AdeS94}!{`V*Q&>uXb{ zOhQ~^v5JMv7Umop{Oj(otM$p3?`YmuHA`O}upO|~71ICV2~lBv{lXgvZOyZ&i9QU} zu00$jIoc1T8Z>}>PQi;<n42A3DvIyTC!M|%vCuacv&2Ni2>E7@7~$3}<s5T=K(@t> z?+{vU>q3-m%16)|%N$BCgqm8HH;a=e=~n&gz?h!IN;46<G5bEa^>F>yx|L6MF8g`1 zjnRKCWa>BZ$0#mJx(!WYRUB6ToaU5v@vf9Y+i`lLwuhjdGdu8OFtnW&9gC_%PPBcR z*%_&8k`&?2A(uE+T3OQ5NY#(v|2t3-tkv(EFFLeFkwW9x(4`=N_p|fMu5)^!B5|mP z{Kqqw@fJr+bPgJW0<5w>5l4bceu$nN{~>BB0ivb@*i&=i>=7iyyLl%Om!zE20b{Y< zHBx}_6G@~=4g-vzlD`>0iU*xbYte?8`JSt0MOW}B^&8@=co3xyK?yI8Tf6d`!g(pO zDTj$_SWNplUnqX;S)3Oo_}A(lvEzjRF*G<}0;w8p-ZsZo^9v7Q2^BJakAOt5VJ!_t zpBAxNj8ZiAk-~scky|s+FS-5EFSX1&4B7P?6$!y>Eq`kHxDHQ=%E%qm@)2#<Pklt< z!)1l;vl7|GeM|^fKdGR5=XU9!+u-3~){vh}7Ob1-$ob83<OK*=Kb)3rSHl<ZSq1^? zh2tP#oxrResq9E<#(;nN6BIJ`5FZj^JBN^W5|ueu#^FAw29)dKTn3pLQGfXd{**6$ zMZJ4Q3$tq(&)unCx=)z;Ikc6<F}f8gpMRVu|FfTV%=hc7tqR@>7X5Udl*`Zb1nCj{ zb+h3u`<E^k*C#7GR<N@WF1*XZ9fliczM*M&a*}yd(u#ewswg7E0>tfl@h@|h<SQ4V zh+(++X?qPWe(LqV6+hW;{AJFf@p9^&J8I8mvJ5;q$;c2SC#gi-CMWScV{7L84&%Qm zh9@VjgXARRwp(u&``JlTuH4j*ejuCOU$<_W|8lg7Wqoj({3%Jq9t%-$csNAv0b5qC zp(}fO0dr=mGu)?s%rnrAiR@qIED05je|rlHcbw1h{5wx3yXJDG45spVV%Qt4R-=~K z>3}LklMIdtWD*NLl*~;`p7HR6Ork!n@@m8+ys{k1+V|jT)p(Rnj4+i^LuDPVsCC=$ zuNDI5V0)}TN~kEf5-LLtu7omnw2Ej?Y*N2fMdcK%%6L79Ox@u=BM|WVxw{mBaL@_} zG8mDmz(^Qbz^iC?31P=w25QR(Cf5UYFl)0%uD$I@Q?R6S;8m^VKFRj;NB{1s%9Asj zXuL5-@~G&XFkhs-FJHwxL&))|eJmP+K5eJHC**h&vh(HUYPl<tboHlkw|cC>v-=aO zQD>38CC1(PtoS{5eqWDC5v}#}oM52$rMhlS6Qr>pj&ZXH=&Teu8+oU68SFyZ?p(#A z%!a*ppf~?q4s)#3OL#lb#XY@ORL*7=39C-<dDj_pp(GsN5@^*`ff3~5+R2oKf2Ht7 zHO4C}-1$`?CF9Df*@Qu$leMQd;fEO_H){lr-RCZH?Y@j%M<YD0@OXD>pC{K#qt}f) zz>t+b%i8h<2Cs3W=gW*Jn^}mYAqaUtz`qYG=oM|u;yDdP;a_TCA@=C;E$PEa>6M9> zT|L|KTP>*$I85ozW2l~J%%iKWY|NvzWi_fmK6u7Eas>4CFFVl5Kj_{;pKW}QDr0lw zGqXA)&Rgq0`~4?FW^srI?zlQLs$3~!IK}%Jgf}Id5Iv~65N$p_$$bb{7kj=9SEU;w z)6o%eSLHf7Ima)4SCH)<g&G7C1KIA+Fe6>8ML@PY6UcTK1KIA+@Ik>46p9dnRvWHd zA7l4=@(p@k<$w!cmeihn?ylUXe9o@mrhN8VGlLo#s{YP`WQAis7@ye(ySl=L&dt|9 z1LN06P{LoVK}KB`9jG^}oEc|3H|gj}zGisS{CM`{)n$#l$&K1B@3|?fr%gWXlGN!m zSr<;{ms5)UOB?H9=ZIIm-XRO+<)ceg;_<6!qWhDR?z>=%Z{nBJ>88=yPJ>P4W1E+o zDC-|O6K!7XUt@rLrkPlD5Z^Qak8iRB@lA;wde)FR>0}V|L@5hmo(ADDPxl|6+^c>3 zz!QmbW)@aI<K6AO*?zqPZhp%HJDUn_E)btGYc3F-l6<TY5T{W^R*aN`n%RJr9$U;A zd}Zb}XLQZA&{2M9`TSA_^49w&2z82{pSlM^of>9G8wNV3M;*#s!=xXMkcR)5wI}F+ zluqA6?!xUjuw6M|9dfW2&6+NXh^#?<_V|@b_TUE=C?joOH}3SqKu^D|p|LdY3L($u zNfjP~kyhW=WZrC%8Ip9u@85`??^+HyGqevYJGORbf~ule_#&<-y!5MBImqO2uzzn- zx%FF9fBOgegLtU|+LeS(Xn%kA#~CZx&lU_tGh^V4%y*>r-OEzC>nj5oQez<%%}<TM zLqOGQx>%u%I$4QQ8he%a_Cb!_Y}C38WecCp8FXNN;3?)8#>BIwxh<6HwY!~&p<!z1 zPaxZZ7D{dUO@^?UeZ|V(C#f@?yrQ=i^3xdv19)Y=Gfd*PvUEE0$gUVv7CXD8Kt;F7 z@N9+vp}65Bb-5E=_O`MFDp>H!YNxcqpFQU@1SIgvdS{sOpFN<$0IzIzc5|uz*>o{O zzzZ*JcWS)_&!^jcO{wYYYVy4O-Xx@$(V4GE{)I1k%$B=}CcN^U(OIeps;!Pr1gX=T z$bY@zIUI!6Yw8c%ge7)fS?$|B>>}~qJ@$(9zc(ss=CI8zfq3m|s%25y?LPA((Q>3V zjKu?UEWQ?o-hcY7&>y7biJyygZBL9GLB;bcwxs)fN`7*9rnh)y^_F?t33!ft7jJm~ zE~!>-vU+e;-J3IwuYYFaT*#6}2BMQqGrew4KSwB+S_YA~A;rmJeBIK&@vIk?*E{i* zn&U8V5dUfP*m~y)SO-yaD8tu+W9!^gg?#2!>2p)WGCJ8!b%X4aU@e<IXGjRvklI12 zaHR1JKJ&%2xh(=v;jS56bwe-^@H}BVnO|o}YMgTzm074&ggg<)V3EW2?bdH`@6q3) zUvI*Zln5FVF|J+}X_;~1<3aL^4eT}oZyIfFFE=T&fj1qDd&fg-0z6#8k#n#T`?fe! zi`auPWrLsN>MJJjn!G--+M8EH`zmCiP_mlTGIPMov+b=EC~ba{G(2`u8L)ak@<CBh zcgo>Yca%fOUOk_To{esu@~_p>mYHunJlGL`?{$v~2<VA=(682=f;;g>+9v-Q__(1x z`-HX(AByRfqv<tIk>f*@Wy~|^)Z%Lg>ZI7I<aH=9Z9FVVxAl18V$9d)xI{*yivK-d zcK;d`Y}IhU)`<h(Y8SyNHI~Ox-`n-qSBTr|z5Exlg6O>Y^si>;8~TKIh0h$m-zRE2 zTPQ_zLW`^W$cDD_Xk>?mEr{s!`vnJAso00N@12L8ejLwKsV8TR>!Z!iZezNBF6>xd z5bb?g4Sm<P8O|uvll#%Bh_7nA?ulz}$nm&ck$OJ+>RF|FeifIk+s~-FJvWcqsNp<_ zu#$ME=z3jky~bAoZM^ohE@-DtgpBPy3kGfa@Tk#62I08lewx~B*@NNUWb}cpb;kpc zsZ-X{u75SC=IG>cvM?%LM#o-9m|Rdfd0BIQ>~J+$*AnAfXEb$fdo|d<(F(cVpT!VA z*&<}jcWOV@cg;0_b_`;ik{0td@pm9%<te97*!Kmy5XHlf_zp$t7P*HdM%&-4tu|iS zpY6|$S9NmL9k3B0+S=LX5C0xSKK1r*{`S4W5xI}HD0lR^o1=q*WBj?=`<0p*{5-04 z7pFB|&WcoR_Nvy9!08=g{s&vcvy{!Qt`2Umm{987EGt?j(94aH$mUn(l*p$bgl9p1 ziA}UoHuhbl*j5;*B8}ZMPUKTt9b%2$Ui#p|?{@<gPx<^A-R?L~#4;ycua4_Z$Kktg zCB=PjRhDs2{Ztlkw4*wSxsZD39JF&Z9)^+5AK9t!KJ@QoXHVO-wh|~8utzFJf1tM8 zR?9fg^SWX|;&sIgcuh#W9*0<yCv3gWZBsS<R<#!#ni5XKQP{V(9`C~v5~gBx<nXq! z{VY~Qd{xnoP7n<;q>IS>bhvoC<<;7!d}mC%sN<bST2{-XB(1`f`&i}!lxXkuW$3;K z;wv>jESAB)PbSgxZgHe@(NwOwVmMy)^%gmHk^O|PA7QUK9p~#IRXa_b?4qSKIfM2` z=`91#;(h&Qeh`vFt03qOkZ))eG{V-6*=}zfrehSpO&>GL@QOE-_L^eb74NdS*nI}C z3h)|dJ6$`#jj77Zz|ZQ}DmZB{!%m)2Vs)`=xP6_PHkF;)a~i&}TB1mrsZg{j-p5TM zeEB#@)8T;(11B=oC<ii?3J3CP1$xb3)?9x<?oKIBc{&Vo{W$5&A!Tr&>cfK`dv!Mx zxdvAmsa<SIjvAHhxwl!%pSWw>v*&oSGM%w;lU7TQzJKvKOp2S)Q?f}JJn)EHFRs>K zbfxRnbG_~u?Edrj#iNo5dx@Krl{8ZYb~(9`C^lrg+^{R_xgWV`FmV~%tU$S8ruzsp zDA@@I5_x)7@)Vc*!#iOK7E@LX&W|G(Qx|LMmw7!&Dj|^8w%>IW8LguZ9PQHPzEmoQ z_eivQdyh9EG*bBuzaR49gsQz1)7EAh00g*a%Aw8K`i7#oWE8n;XL6#l{<Lniiv5T2 z!P<kgV*G_)O!#qmk8{?#g>Yv{9pwC}1}++LAsJ+vOl}Rh84op?&g*b9VCqbj-*MAt z%`j)J`>K8im($75lbWEVEr$wq5qykidB9}ySYL*^nK*7LemS%+fknu?2ep8ctaK$* zemsdd&fZP6XI+moD`5F{VcNZ(&YBf4l0>X-?`GOfKz77w;KFAT+PxZzA<)w{VuvpF zG@4}~GAYXcaj1j>bUzhHO^	UNQUmM7?+%ik7Zxh>A=mO#OsFsG$w(fm<x&LPj;O znXhc~@s<7MnTzYCJ$c?WNH9BphJv7p=fCg@y3-;-TUFpS!9svHovn8&aL3d4d0q6f z8PQA8DJ})y%Ej)TB;S=;CZDH)vBMr1!REZ@NlcLw3K2k|Y((ep1<`0pui0}8ZI|8( z-}mcKwXhv!#@MzTHF#?^<{a7|=HISrp$0E0gAxW6cT0ajL22mkpOy5BeO{HkElbZw zn8W$2s*UJ=wp$VeoV0E;Q3mu7Ew1?@YqaQ3PR7}q)=d%{*wxQ4h4YOUf^9|cZG~W4 z)_rgqM0~Rh99IfIu9V2&{P9+}?a{asy+Kj8MJGpTokfJLgMuNrSM$3O9jwWk2F1B? z*Vb6P=CmovIjQVNK!p2|(Kqg%rC(Ie`Lnhy;(X^5BSa>4OEDUKyBv!KB%XrX;Vhgd z?Yzs*N&Ec~wZY}fZ!x^=Vor&SJWewTA%s>mF&U{-$DZN@BsXh$pENp__NdBjXXRT^ z1kN9<$1!tWw|kB|$L>Fh<jWaSphOX<=syo<=DRzvN~qqkgi7r!10D+Yc30k5T)^&5 ztVa4S^&--9&$rc?Z^I#W)`#G8E;BHHm&dlx%UZpw%?QPW91(cO*>RkU?g%nKtfzLi zh-!#3YaL53U)+#d2-TiBxN1!Px?Z@t`KhwVrD-Cc7|p%!C*9#()ut1qAh;_WUeYI6 z*QMEuL*=u;Hu8;skD(l+ZjiU%ovLGJTP+#Ib5@G*7gbxV)2Oz_{i%x!?S*=V=2;l_ zXkn|CKi%f8dl2NT7J9lkE>%4W+1bI4h3U)L3@$16tiVq7lNBarG6pkJ&|6BfMtf6L zu7l@dV!w{Nd^^s46zvmi>>*mBMD13KbrWLt+)FG^YISj4WYarfSlVafn}=h&NG=W} z*i<=|S!zdB*+WfUDKkQ0_((<>SKyRTVOURYSlAq;q)O32JcYfETQnqHzcZVE>*$Ia z^Ij6qkDR$BK`~@1%u^Z;*Nry~?SldjWKyE#Z4QnC?bK5y_dMcY7AdU<gmJ5dJkHCm zF9+4sOuywFJV@e$eVMB!&FXza%~8_ln%U#RE7-Wcd<~$K6~8-BS|M~UvmsapPc6xE zf0HMF$Kr)N@$)!Be6W7xn;Ah4N@Nc@_ujZgY=L)}RL>JlW+M&)8<{<#Q&=GU9Z2X# zr({h;1nLAmZTq5JvGYMk&g^yI=9Ugzsp(^|w|+jO69(=Dm(QW8!ssE8bMSk@!RCjY zfL{XxKVEsow(dYF%XY<GMfBP&x53jy%DM6Hf_o&WYZx!Vqf*ml-1`mF*q(R4#ApNu z?HROxJiV<~*q(aDqTqGdi2JiMCq*rWI8e9Z5FksDq}+JLia(Citc5><P`lMW_%NP0 zdA=hw$UHdtMfUAC50V9WVn3u;3%v(@CryX;oRZR%Eh`*YB(Bb{Q<Iy!Tf1FeBdUen zd8coH!k#yRLKc1}P?zT(fyQojGjj{ut4E;?#I$Xy7GgMtp_VdaPpF<7w7rxIEovb1 zv3<ZS)3y4Wxy8Q&wM8+q=y*Yi&cI^C-`E3!n)rm2&Z+GFBQX;)m&P9-U!)2=BA#hv ziF~EBE$3H6fRM8HLgI<pIJh3iOtBH8q;uka_(%-ax97L|T(6A+)Zf!5*xaLfESD+# zh?pn6ZO#MHY(&lvrI(7CBiS1@<Z8gj4@DL%61_DMMP2qRNPWqOh9uKaF^SiiAshpO z1$Tq@=Asxt)ljbpY8?5`;_-X~nbtjVuIvFl)C`r9#rsWB7`I19IM{>x{Fd+43byzL zRB{q-JWs7>BIX(Co>xUF!{KQ0MXBN>+)DFDY<1Z)We><8YF6E%eMZjXQkvM*k74%! zoSZRD815tosewQAMEATY3ace`qpvu)Z~J*;wqV1b4UtmdIkC69e&(#8xAK@`P3}H1 zxzk~VQxqzyfJKhRYIQB|9Qfl?i0)Z%k6|R^(9ix;bx&0~9VS$QrH9HqPj$?<a5_~^ zbs`y+c1=C#%Ucg{iAtX9WH+j%1TL5#qd<y0etQ##6fOr**N$+I#ub{1sd>=LitLxE zTNBP|xSV6TeVMgBzKD@%IC+V`O3CE>WP^^672z&LqP#?B6KCq~#>!?Q=HvqC?I$OH z4@1fmZMjq=cB4G3P}d*VPHz=^krVG@)jF{4k|0fZnvs;-hhOpMdzNJJOa{_lbwWy1 zHcaK0>><w_XZBZ*y92fp3sY|nuQ#ldd-)f_%Q}brQUYgPv{o@c2bvDm2&6`Td|d4E zgR5%<BgcCO0a{)Tg=}|?YD~y;U*K$~77jq9@`7vGqepm|E%I#2Vu_2@)fo_zdt4&? z(A-Up+jyP4Y|A_q%cZQ#2k<wZkV9%RZkF@*H@i`-<(Vn^1+E!nRIlvpFVvQ>o%cjo z#&*v>h-F?H9G<{r5Be8G4yWt1yS3{QvT14j32l>hqR8BpJIH=>e_q@w+IVa|aN-b7 z@~Ovb>&a%i;iXx=LvX_tN|ycTomne>>pG8S+CXb`Cz72@?^#d6-x`}W(^HTk^b@pS z$2Q`GJ>nUz?gLq;TaL)q7348Z`)%&DaXC__$L@RidKE}QlJcvW9G@d7bDX9;;}@2+ z6_mQ0eyz_UJ~qdEL6np4-nO)U%rQZRfeFO9PBV1WqAR=FM7?>-K7|ICM`1UF8mZxL zxOk69V8&~vc#*TRM28msjs+0o{j-`OCg~ML%eSo=8HwCc!{q2gF@A+q?ryw6{IWJl zneBJ&lL}u@r>$xXyDzObr_@HTSw7{Z7(P6byo&qo5-%O&#f(j%yj1coYtL3Pc}#&S zLdi^DN}4!lXecg$&mm`Gsex{+P3F{WW6OQ5q}=@53Y!v>Nnf0JvS2f$?$}Q?V?xhM zIk%eQs!V{V=djwOyYk~kn_DvOp{hI5BbcMq71v8uc{u}YGa(@ga-|KyGBKUsV}!dI zOd6S=ikXjaw<;f5?O1uc(X$|?%_KS?x-q<GFuU7@gN~_!YX8V|X;9GRbbW>SOIw!j z-rk^K>rs8LqeSzFefP8|<SQ!O!&k<W+-Zr}#oyL_8buO?vfF(^3@5XoCO=rxUREBv z*!(u%-Pe^)<MqO(rG0gYO!(_tbpRqxY=h%iO{@4&YJ4l^0^<?<r?u7$XUaYjyl}zq zo9S3fmBfJpBgfem$YN*3xKEZl-|5COYJ8BdwfI>4(2r9MA-3idgm^9#y{US{Ur*b< z=~ZEko@(D*hns4mxxsjP%lO0t4!PxgWvi=z^hqS;{pZ?q#k&0w4ud5stLhnXR&!D~ zt5#1Nzr>ac4J1*PlOV?<=Fp%X&7+a|N54FDGgRZ0#weXh_|X4RpMG=?Kh%=3&>kj1 zfEoDwr-tcjmZ37cA*3IM@4A5M+VZu&jLmCCh6JT^#Emw(yuz@%x4oF6Lkd<aFshvv z!LaxXxm-+ebbPuYMkNChp$#?B(!2JqU(aK`>iD5nnuYnC<S~s|znOZo!~oRt99fOn z&s_HRHko67TtVb8vsh3?ZC~N<r$rC$dI5f57zl+F;cVv_fJmmAWz^k_sY_nHY*Byk zg8m!dy#nJh^K9~Af^`cGvd6G4?Q+^On<CnG7tCUH_bk3WaG!luFyC22Z=Pl`#zt9* zTzWsy6v=8RR!^rmf$6K{$?-GYc0S<>3M!s<vyC5&YTbki6c^__KMYgTr=t6j(5>3d z9uzTh>b*HR9@T~1Zp~<2n2H`&(g!EJIg;{kzaXz_H_waJZ;ucyp?qg*rlXKpRy3R+ z=G&cc)}fYtv&h^Sui|5n_x>qLA|*@I*Zyz@ZckBLEhl~4qMzS6zHOCOwHFUUsZlz6 z)|&Lf(((eWY#b&|IyQ9233jLYO~tE{WHkKl83?*S0)OroGla3VE;B<rjg+9BbkNR5 zhS6a4hK%JD?eHdYf?focPK1&lNKT~n1Oe2K2%Wp=oFDJ%rHRU&Ct5v1TTSBhuorXa z{=ELVq=9gdCB!_@pyi<R^LkuKLp8G)LKBV2H`!p7w7v%d&`^1-leRXMyl&{H3>DdR z#;7(D$N^(T@yjEpGQwuAac!?_x2ow-Dzc9UwQ80+47K4Nd6o2&lJ9am+z1X6Yu+VL zw!f{O-vAhj0}<^<bN?3Spo~kH?=a<NYryuWTryK+Z4%`O7=K||CTDGs#}03?X5P|F zO&D=r(}iT}2y3N@wf~_=?Zwr$aqQdTrtZm2NM{5|ISF6Lw&ZoymFi8^PK=IMg@u00 z(T{L3eZwZP>V&#$e)NSKhd`CVCN52ig`1UQ@%@qcCYG@@>okLB$o85<urYVTvhUag z!V&sG*{n4IP>G-UthR0_vahL@Pc8*A%<6bt4i3C(^ocfwjotId6>E(OAog|Ir7JmF zkm0f$rcWtb3V}zGaYI(UzAK3r<=YK+%qB60cxRgRsXxIyaY7m#CWH$jB(nJO^m~-} z=6(*V;|D9Iv6K<-y3Fh}WsEfo{W=TSZoToUVEEk_qkgt?f8xX?(bjE@_vNeW1%Z>m zD_8rAMA&a`9oMgmOEzE8OI?@S{L<y^t08N+zB1-y%)e*LkMVbJ%4?pEzGnF%u$nyP zV-jPLE8W%PqXO~tn0&+9I$L>#{AgoJ_j{UQY^eBQS{iKnNw>QVEUH0!ThnEdEH&0P znplQqw<?*Ko=mmtYMhc%Jt>fQch`*skGA_rd*JnGk{b<QVe`O^iw{zDYI0BO;2Q`( zWo4#nGUp?$aK^gons@L03Ew(h(N>2wv&Zly8@|D_szw}W^*-6pz%PtT{pB_Kea)qL zVfZQM=&p8{?}Y`A!@gNr(x!7^OS=Jn;YfFd7tD-sDr7M|Bo%QXD?+RK3BKLU&af)| z1h@?oVLt#~qP4&IuJz_5@OLi)WdE?0fw=FwEmPKe$?0G$X7aw=UW~ZTfq)&i>sEV# z=BxSZWYwqpZNHHwNGcrC#z@?Aka!bXXVMYQSt4q<k&cXs-A(STb@H5iN9&7m-6A4L zBRQO_Vha0iM1OcvE5rUa=1qcY)-%47nXD&fNXnK85*d^89*OB@EP819tB{J`gi$t+ z3o26Lh-tYp&sY-!;hUH}ir<rBr8&`Nu*X?<F~8Y5vwt(uTdk7zn*OTc*ExRXr9c=m zN8d=7wEN_D5$X(S7wKA1ibm4rk3dghqL**Ju=73S&i#9E^zNgd)3>d<yN^WEoC9Wh z6nnT)iIooC$9vf0`5!|1^$r3_1LtSYC{0gBsysCaUTnl4b~}7z(Rm}fkDD#u)~PkQ z<Yda;9~kK~<0UE{O;B}zlbwnQ`*+Lqr{P_sqSy7wkKAAT*oMfEp}W3&MRlm;OMK;7 zp&|_V@t`|{DPDHp6m3P(^y!ioq$z3)rT@aOw&1JH8WYaW<nVo9r?DOB=Xc@sOsLWG z;_CUlBC$t{FUi3i0VdySgWB5tUK6UWtV0i{#zVE4CfD8-WqfVRd1X9pt$F27zY>b4 z5El{L^4Bv8oGGc<Bk%fn#_ZAOrv%|l)uq8IVDb@#^WDP*QaM|s&ZOnq`^eN2_^r{e zcfG%khtV16g!wC}LN1=*IK)>8FF0(O5f~5ZjpEJHb{;yFbX~Oet2a*b^*&D<H*{Hi zhOyJT4(3tbA`3Nw4}xI+G+;oL4GP&{gw+5qyaa_GpwK3?;A#VL3uv}a?RC(B_y;r} z&UIz6Y+{sbyfViqS$MS>Ryd)W*duvlXKvzF1L>`aP`{kH@juy+3}YruQ9LOsRHso6 z#rEW8sl(tnclZA|ZG?<5xHjsdn69fIRuxuv*H4S|p>7?@{kBayToS_qgen><T>-xN z%MZ})o==PhOLegaI`;rx{(|_%yp>v~#aif0f%1^icf86TUz>;Vh+L*sD!<)>U`k}5 z0@BTpqT<pd;X9g3f2I6(z0h#I&?j@Yn^6>aO6_26KX|$RATRCZ5lI6D!8ZQOb^N?E zo!iAOxjX-tuRK379^G#x<d=|rQ=Rh~dv{k--+E5ErHFm+TN4;{;pl@=7Y!2_iG6(n zMq=urU?j%W0!Cs_4Xo#+!u#2EAq!VEl3VCwXundnc2)JQ>*0TH1rk$k%>`mp>dgfr zMUJKwWmWOqk->6L(V~nLF@6-otkAbkXb+f+JoC`~*geDU_np+}DKIBZK984sb$U^m z=vHx&@SUx&=zW7a24#>_6K`z#TLDYCdd+kXToVQzVQF?12Snlv)XxtlA@Et(XO<q# z+H3((Re65$=s=krJ{GI{U~|9ab0_}Y^_AeA*k(SVT4ED0><Z?S>U__j(?ykNo?jzb z3`SKd8y4W`4I}RF6&^Gg)0%6Cx$P_1h4bU!=yUPrUw0R~mOIzhR=B3BDw^}$;d8BH z1(H$C+PiU943I0-e9w5P>K*vm8>wN-*r8w;2cLtvJ6kY<V^Al+F+-icn)YXvR1Ws( zD)Z6Z6tKDyc?5S>?nPVl6(X$+jV%mpcXeZaIWvA2EB+aX6{GV+iTsNY^q6V)R@rsD zNr)R``Rbp_oI6S=yyDLYI|3C5*tF;_-qsFE;fyeZ(%V_pvb)LMHZZG-V}xP+sjRx2 z2*4{Tj4+V40iS2ByPJ5xE8iGl)PE|Q?k2zBmG6u&)<2bPcatM{<tHQi-BNi3Userd zJM@+059H4*ZrW?7MEb-aAJN#}7Vjq8Qh-uL?UYNO7`*a*H(8GoRB~!v9yI7SeLat9 zP`p}uTUR&yy8*|w!(=y4#?VDfk(=W^8C&oM1}1u$Zh`g{9i^><@oaG5nO<wy!sIdE z!?KX~S>!{d9H>K*U92v^hY{T>_2b0nVnyuSLkz?+Z2NQwvHTkcsWFomxfVKagcS6@ zuaMCOS$#RD8>)>;j+TRr38uZ%x7rs_YJAUahUd8XLPlz^_G>wb*u!FisENpZflRvT zj|M~~M32NfqmIxNM+V+YxDC|`bteqXsO(dq6Mfw&4|W&NS;kX+KLoSwbRsd7xTk~C zw>II7s;Yzk3}SgmX>BSCnO0`Ly5QK{9Q%fP#OS+h6T1-?s7`Oq7p72w_GrRtu^><m zmpcKC#z!tAfg2Tns(@>-mI62b&PFC}?R~tQDznd==Gpi;C%jdU%&WI57YZ$lR*HLZ zQRCRa0B*2+aJ9ql-jInUIq};un{y%0(qulBB{24rge;t&M++W(tW@Im{4T+j#%C_I zr)w85h@Y@&dK|WTF>JDZL8SS?4-^vq8U#*p|1v}clfsxEgU-KnJV!8Q8d&+j#PP#T za_zX+vD%~q3jXrk(Z`l`=kw+_-R?ss+rNo4MSa2S@ZIdXeOM1#b}t_RbM+Q-)~jET zEh8%1S(;F?00Qcu24kj0UK6Ghnuz(26#q;D`8t`ZvG3A6O6&}UC3TKbvH3%-o>S-# ze&#h{IVlRAFT<1gIOb>Edlu?>qVHmRSK$R)=D59>0m+Xi+THNspV>*$;8+&5Ka>Pa z2?xQHko819X#N-x+|~>+?sX0Gq}FxuA<25h(J)IpWv_0KdWoMBB~IJ?jn%!!Y-{nV zQE^S;<66FP?}P2HlpL#J@H6lAzP}y|)OfvaTXoj4BgK1+5%JT^IVPiEqQEDkpg=SE zQ>Sev!4(c#?K9haGI140-t?zi-{$QUqf^!h5Vaz*e^jQ(c{)M{Z8$03$Q~IAVy0A7 zm#3nLxLO;#T8kabSWxeNVqZ{gJ(>w!su`D?d1>7|VBqr4JEWVRIg`p~F@yG}Kd)D^ z1h4kov(t1X@z+8tPnNHCVv8K?xUeZ*W8ltk*|K;Z@ClY~`iP~WpcUr%^DJd(<z#34 z%xc%)6mlbKvGvV8!x1G0v)}z9*ok;b#qU_ZnZc$g*pzQdrSx#ImNcL7|6%VvfTCLW ztZxAU11+LRj*<*y$r+I(0wPGJk(_CAYG4x-BqJa#O%xE6oO4EU&N*kAoHOsnbIzT6 zXU^QYckayhe)ZK?<*waN?`;=l754hAwf@hhDGCgDi+?ar32sJ&i9PW(%&0AiFnVG3 zc#%U`%??|kb=5Af^6YHifz*v^fk!rMWJ4U|SnPrhw@u*aY-ovPY>HcDtZVb~)OvSg zXZK)z@uZ7otV??y9bk!ChQU~b-f{!(<K{n8cB)}0mdQ&PoO3d;??+h&qFTrT7s@C{ z*p`&%94Zi_XpjG{qC{?1o)9&cG~mJ@|D?pt@z?>LqsSOEWv`Lkfg7h)Sv^s8zH_lS zS3A;ha+X;B!RtB`N9Ua-H|{l|(l`bJB71oOzKJG`!u$9Kz<JKaXga^MvhCJHRosAc z_gM7FY>2jyqvPa&opsnFE3b?*COZ%FkW2@8>(Rj)$MbKDp77SGHybzEzfHX5f71is zG_{X23}ABwil5CJR6Rl5c79|&hczklbl$#+kilr+dATaA_LPuj(>Yg2gIPIXe^EeN zCBS|tB0kPy9$=~HaH{(B`Ro5)7JGF5cd}UEk1+N>&tffw%vppf1mKQp*e0+(>bnet zP3l?#S{}H@Qs%29nkv^a@1?sy5>+G_-unKC|7<vkauc--3pVmaeuYz>u5U1le)9cl z*=U<^E?A|S8qqk!L+*C4cXGVjs(s%TK!$OUb`_|6z(dxB8M;d6D@pw_?fpU1zU|7i z{|UcVp)pCz2x~<kUL^}`r+$nSf6RG&v8~nW&%)%Nv1i?ioXpHXzmX``%7@eDVx0Mv zsG;<!wBx+%E`LM;QCY-ElHw(70yFJ_zjeJz_NDOt@nsW4d|{zyrS_*Lr}zL$(IjKS z%1qjsc3g7?|0XvASG=yHkQYpaXJo*)-rl>(-Q)sB#x<t2<75qi@1v8B5YwU%I)?ku zD2>fi@jB;kmX(<VH&YSiBr|#?uC^Fu7mHYSw>h|}#u7sJgAvtI5I!@yro9G^7Z7@? zBn751OJ+}Ki_0Muq1q-z&w?`Zj<vwg;rnP9xylzl)Uxe<4G*@x{GCJ%1ia?WIV~#% zg0UK+H9BO)>*XHe@uvz(HE&lmhKY#<(KUS_k-2k=Gm;F?l(Ev2S85s3CVCI?+8Sa@ zXwe!P&Avw;n1*;UBv@+qqx_=5WT7f6^+zxS?Rvx0gLQ<~3kVf@;yMC&0ntJmL;&%S z3;{Pien1FHaY@#a1{g6$T{JqnGq(+lhLX|uP4N25<g>-a2RJ9ER!ZM_AvH-MYn*Dm zYyFHONJPCcDjkvJ1>w4Wf7iLEc)|<9i*`3Hs(uESWDzT74#$I+M3NEV7Y0cOI`Mnq z8S$jg`C4GyESZ$)k^;>vu^oMJ+hy|Wa}JZ%gt&cXLsjvIYdZ%AJJ}QEQk9%H3QgjT zFI_)hXvFC}aq*H;uMXlfI^R86tUu@&>bi6W_KW2XDYx?!*K0Ya7-qSl@)u%;GDvoo z?t7z;G?^B*Gtv!gsh(~K)WRPD^WXZncfPg~9Tc>NA~wfQO;1y@+Ce+^8!A$R&-t=V zWo{@MZ!%H;rJ?cgC5DFgZ{F$n)b5pYO55QU<NO0zlWQ7OdFT#hBHZej803^gI-fKG z!ExDC^ecPaKx^RFB(-7(Vf*&{C<jyage0|H2NwHR;l~_e%gca|zWyCvNQ{2O*1o=c zHp6xkQBXcd)ccV8dj+`<rHGj_{8gcuQ+aE5@=bbS{fWu`+$EXsc6h$|_w_-3tgh`f zF>vO7qX#`sQyE#xGNsIPq5E3)B61V$az{43sY7CvpC9}15cxKYWY?PRISeuFqcT!r zyhy@d-@o^S`s*77@((OtUzqq`stvl`DX-}57=gUb#(r_Ff5(lXh6poYSQ_J8!Y2SP zdBk3j7CaJ$%P1D6SX-C=>e$*O_un}P81cK_I%y+`(9Xgm1x#KL?~T7-D-}proW-i* zu-@<|RQ~3Q)@!)FGVhN6?shW$7!eN*p9LC+I?|?~&_%D?T|?~|v((D@&AVjO@>n;6 zzaKmEw-IrC-B+T8*}6_#`G1|H2SRWIdBddJyq4^(k5&-a_Ib}v4wv`avKWCINd*d~ z)lX8fuSI#40I+0_fxNdl>WxyYMmT2=GdP}6)j11JA9SKTQ(m)&6!fN!ewYNznZ8{- z&|~@#N}x#Tt(@;q@tJ`~Lu+jCMuTAf5N9?4^I~ZMi{74Djp1JUA*7F_YOg5|tJS9q zNv8oieM0sHXweEeRr;JaBtEanO#GYC$AO>0q6YC%pgZlAQ_bsoZP_v^1+PF*M?xe3 z_B$EmxhxDBq$>*<2yJE<*QPtbPY^JReC$8rn4OZHskRR*YNwVBi&C|ox#|Z=EO2z} z5rtJ1dklaNWHf_3IVmWvbC;FHOVS;6v|gm${lQW(;re}dESkS}Q%fMJ5dYA8=2eCy zr1Uk7v4VVO5PVHA4_SV>`_4dh<TLPnR+*cU<<mM<p24R9O}j?PG`!6!SI+ygM`Fcg zBu^_U%E~PAVo#b<JLq|x5-(FaE0I&v3wbg%RNUKP(XJRapZ9!MYxz7VR4<0dUC-Y% z6?O%E!;LeZ>L+mLRG^lqxP9C`fSgl$7`ZxCLGrY4)?ffTbrAWy_VLH;d*!&mG+%{V zMpXW8U74qQwe*_-uIB93w8z!==nsW1j&ub-#BXv%3Q@+tii0vFB}z%CEi%2yb~8#k z*0CA(<>jnCKM&vOeG}Md2@7d3*~nzI_<CWu`@@nPm>SfsxSTpLtsqYAle0;fdS9a0 zr3p-qUo3Kl$y@V9>nmEkxK2<3oS^2$<kChm*Pu8e-)+51y}}gODNMX)<wQ5jC+kmT z-+lhr=|BHDe{W@f?RfV@cwf>r_^^{!Fvf7wfQH{<vA|blZ1-img-zing1zM3ZM$Ar z3&-Pg>nPDkZR0);w>18%%C0J9ZQG}_C+)@PnOK8NKPA0Wv7&;}O#^QNDo7+b#EYao z;X`~in;4O3^`$1Qg{14v$|uG_B|ch{<_srJ*cj$+-MZqkaGahE+@u$H%M)L@H8v`| zr$y;-H|`_`Rr6B*-tes~p@%Iqz<3HM?oOERN7E30LWEG0sg@V^In<)xn5tv1zE5Tr zw1s?vdh>+86+Jl=INW2tzy5}?@J>+j17R*q>tce4yZB$8g<A8aIrLVpmiR11?|-^e z{d~95TEF04aY%pe)Q5*VWy6W3h;;E*Ju^Yc5-_m}0UxD)LpM05Xpt3LO)pSyQW4o& zq0?=(cuP3>DL(~;NtnB#ZuWgYw)aB$8C?V)=7nuLaicZ-sey_*YnsVfT)h>^oB{j0 zp#f}I3U%szIvLA1vX-pwhMF;6t<!WE`)@G!fomCttF@<2M)i%XDsYW@gr#r~8m#je zZ@tU1M|2EHg1R$1dUriomOpWVc{uWmmes3P7_*2tSM{Lo7pt6SP3MAigs+yZi?{hb zELN6*I&Px=Z!C+f?VEG{+D%*w*#NPF2gf8@*~z=_50zkI-u_fRKCg+`$iu!-m+5MR z+uD!#kMP*~GpCq$z+3Y;VMNRt^?iD4y6hiupD&W@kEoM)8JMkA{OrBE9=}K~V4F=1 zY5dM4BQ`8dGlcf?5*9fq9x{T;583fOyIqI{uyP<iUnjtPxb^<6*WwYWO+)0+^HF9E z?DL1Vg7PmI7T;TKd5SSF-xA6|Je%mqM_Q-338wE-87sR{mX9^p2VhsDgcdIsRxG`z z-jWU+{#Er4=a1?i<Zr5flU|1`5|g{SZbh0I1Sp`xxhjhQmGxLyaI9I|DSF$L=Bb$P z_u$BYUck+>Sjw}4F-$ay1yM~AJBu@{S)oow^IHKUNzqjyem*^(pEP--50$Ki{rYlS zeNI33VkLu*UkJX9N>PuD)(-Aep0K!pp1YJ?tZ2`*)K0OVU6fp`81K&sUmn4HY2biE zFrjk!yi2aYKw*#zP@&&xt(<k+F-b%ItPQSWUE1*DxbAkDDihbh@kpLSA2&BQWf6iB zH?Fcg!@Hn(<?hGu0KH7+IOZB`4q7gINY+yiWxuzTSUu@U;c4~#T`%VlT(Z5}Yp<W# zZR%A|Owc_t6<ce$VGM+@3_kw0%}D@+w#Pw*0qC)rm;C*TAb&|k)m{;l+iF=QSPuE6 zGrfnJOBVbrWke=L0@jd=X3HJ0@w~9|$Oqc$_-ZS25uBA8YM6Il_(s?hMecX2*RS27 zoA|WbvH7}Jclpg~h$LRoh07ogEhs4;U-YwZySBgAlMmRg$!(f*njYQ-JOr%P(4;6z zc!f!Cax;j7Qt}np#*euOcpq(RX>GMP<6_CvWdxd=zgO05RpN@-V?Tc|EPIk&(<5o` z0(M3uDH~TkpWTjCSzX@!AZX=MnvN&*50wmIwD11GlHn`uS7LvZ3>WYHZOM>(&{2o# z;vBQ`E=o3uHQA3hx8x!8H6BZ|%QkHwB3B3UfPC9%q%3E?`}J(sV+WlU(XT(_pRd>T zk`kQ}&oP@Lx}HPq2$uV%wN!m3-z)5gEtfGMnC*w)^1~(wD_zJX?bH`7c@5Z8V!^7E zdTC2_Qh`z=_tCP8dzLn3E<D|-hhTgj>_&J(G|?F)5L=m`jucX!JzA1dj!+~&A)Pud zWmGW}v-$GMap@6rEe2V8<-2uR8>;7QlH7Y7{(bKxXhI`%gML&NdPggxJ}e#a`rK%p z#^YKnYQ5eHrg&cL!_t_K+hk3=B64L-uP<Cr#^J>ZX6N#H@mfx_wNW_-yvu`F)cMw% zB51sSN}%jyHxPlVLn9WraKJ^~xSVmli>Qcgo`9#Ze#{Ar-Di~|n2n3O=9$oSVlfCA z_!_V7S!XkNvk`dnBuU&hnLds)Cis4n__NOGdGs`Au(QEHNF1Xfl1Uo8JzXk}l}d0| zuhd&TbbI=TIF=DXsLC`EZk(FFRlE1-EwZ^<JphW;B=9}+s`jyH0KRL^=s1GI{>LIv zPr#|YZTbuLfB?SU9*LuX9?zoaua9Pf-Dwi|m^(VnE#<tG!0qczF;5`X>MdExOSYdd zh%W8CmBZ~@PtmVQ^%$4paM5bq`%#;$k#`_=2;7!uL)6_9{N(ZIdTRhT%@zEio4~(! zm_Tp`ESi*X`_57PB;wZP`E>*C4)}A5&c+SAut0B0^sXQGuq8^><BxmwkV)}9mC^So z44*~nP6tb`Z;bFL(bm`elF8<du-u3R5ra^6rJH^c>v(S%4OaZYOH8zHicr@g^VW(m zAB*jGIlRO-C<m%Peq)rpP>rxieW6g~OO_j9FxQ%B&QIr`H<32?XsT{ExSIxzaF9|& z7c)9XGbXxyeEY|<GHZ2e*;YgRd-t7x#_LZ|L=aXAR=N`)!A{%r*lkDqU9bXTvAsW0 zzP>)YT0PdmBm3abXyw#sjM^c7v}wI!j+r85D9cTFb7WzCeL=g^HcE0dw>|ok0O2{p zG91Fj&2>vf#(8<wbc8J5pI?59;+FGc`wG|Z>^_2-?DGo~J)>jX_6F|dpP99a$9r2& zwW~;~m6td-5jjQ>skai4W#hq$2^sP}eW8xKd}Y+cQDU7E9&vL4_H{$yf|YSUDfKuy zd-d-L$#wTNruCr;36#Ydvj<^n4!$ECE8M0EZw9CjC0Lzf-ZVI`_$b+{pUj098%98i z(U>ff1)+H)4^T34s$p)ApD~UYJu24U^T7b~X}c>i8+=SxKkpVcF<+0eeTaA&2@Wi< z-~2<#`WLo+QNpM^E#{2A=!oP_mS(^Ilu4jwBfK{y=35kjSnnZ0h6}h4bSAa9_QApG zPTMz*ZzPbuDl_Co<?c4KZjb4jphnF-jXE*rFE`Zt!%t@^;2{}{8@>Xn#LAI0X)yy6 zjWYWDA2U|C!(L51CLrHNzByD{NFPBVyRKAgemSUGKR*wbJ)i3{3?~!l0Th=+I$&Ei zBXsXVqn%o0tI$eco`~(gCa)pcXng#Udu#)v#L$!y6}_ekRhd1ErhR)sQ+<!dX%>-~ z0(l9@YQ2x07YPpd4-zu-@YZeU`Tn*A!@wH4T|miSC!kceL_?_0T~FCm>i1vvqJOXx zijzAj)C%w2G`hncn*rfJ{IS8h#7C=Jg&;J$l7=xE63xF!L*hX+&c^HaWIKYfL?J29 z_!pO8?M^ScH-!nM$9k}Qp>BB+p>@o#qWf+Y-e^Ta#_J}sq~mi5Q{+JpyUWF32VKtC zwcal&#@9ti5yO6v8erNy$J-<3EBT9?W{E>z79nywd^ei?hD0NB7GXgnW7FfA;N=hG zFQlE=hu-w=UqeO~9KO{Y!$Y3!js4Vg@iFJiG}*FBU>{0z&Y*bJ2#P1dILpk}U&T9X z+KjlvM|+ZFPE_-*eoZ>6d?o0cz|H2b$FT~-4)8zO7C>)9O-?n)_5#}X1!l<GXU?ZF zd}Hb$LK#Do;~n`K1K9OKSAr&3pK|kan;yoMpfR+aFMV<)Xn>vnAZVUfr3{vM9`@dH zj^&$DFIUAaNAw^eEI@Y*WAL+gw%exGffW>v#CaJ5#Nb9BaiBzjXPX+zXNNCMP-b(= z5uoBb)AHx?39BvB3`&m|mdyty7B>g7Q&I63oN;7lO!b=~sQ6%I;fvjnO9!63)j;#{ z!a|uW!Zt;%vG0dXGK*wJ3uRW^W&1y?D;ysnG-8k}6$t?YfUaU}Jd+u>o2~7x4*d7| z1Sxp*9Z#N8p25}G6?9h-F<r%>=`wWV&#D99E+c$=KlomqXK=VDx?CAzGfWQ(9OdSf zBVLcWyXMM04$5X5;Dx)KpE)mN-xQMFy4_A8t0VoaDp~Cbt)TYDOL6hL!buCY`K6Z5 zx_$K?K!s=ID~^t#?(Eod1HT}=O(kVl69s+0j{B5f8FNuf<gHIUsQ8i@bDtTD42qdS z8alr^(yau?$geTFsDu%SA>IJPfjKLU`G(UhEFp5+OBN+F%QR`AIuTl@;BnA%!6hrd zUyU6s=u(5hy~Oo!X7T;=E6Xe7eYDsTXomq6e0PF{1{)zu|JgtU&q*jFZj8$OvR=1< zmEqUjm*j4F@z`=Kj+}K(R>z<zcHRM5CNQ=XDH5edk&hhG#rV>zkonOMk^0fAfX8Xj zi||;92gJ8K><FQsdivNfmmZJ^k}Fyn=5DtJ0dc|7JiPH?%ge4WgI5AfK9al=kw{W6 zn$SeA4BH1w%6XH5iEWvh^K(ug0V7J5pX&E1eK^<{2vmOFelm-x7En}wBP@TQWPgW; z1RrL}$^8Jr@AqOY27KaJ%x#%F5W0)^B7~7-_%F|nLzqE3tu}sRrA~tT5qYFgm=ZhR z9>O~oV#e<~@WEhNJU$myC-IxFHd$Wmb{a@e&2Vbz&44fg4{#^|Vc{LZtex9zYQHzj zQI<X+q{?KNgaE`Kqd?<Y*N}@w%knlSxtvYk=yc0KMXltJ?TL{0thk01e_o_|Lv!0x z2^S?c8*qSt=xt!ecirR{4+JUGmRrC90)r<m6pB4&>J7_FZ}-+x6H|pARH}WS)p&oW zb?b$Tc8RXt`==5t;K}o;#AUJW9`vciP~pQpu&;XxafzqQwHQNtqB$RkRuH4`kvHkC ztpxQVI7V>u!*G2VX?_fzx~&8_67ZGN=>1>}+mq<NdK)?1HeNx-rM;Y(UyDp$!GguT zZe*XBnH+8=uikqhBb=_Kz}(}Q9<*lS5%o?fF`M7!2fXm4Zy|KDz~KSt(rpQ!*MBxY zAR4kG?ix`nX|d(U<05k~7f++6;P7G-=DM_$r<n<9(P5v6O)9z0EzDg!RK(rld85G` zKH2xElZnU^oB4|d-IxY{iAf>UDL7v5V}bzIV-47k7mAHVY<Lm1a!;hxEMMMF4zwtK z`U!Fq9Ze3w84mFW3V4h(c>d>oz8Idr_4$6;e7FCd&DV2ZlOdH#o-|z!ZAe}9L2iY5 zwZRCvq2hVWHqnn+h~?8S0&+j@Ypl+HdRhF^7}9|T_yuU>k&vTgl)d%bTUedr^|_A~ zLS5%)@oae|_C9_V!gvHUSHDI{>OZmhErBT~mJh2L#XGgBEBlnCS{^9P*<B83<gZMb zJBuM{pXK(}VXO%~wrEvDny}Hj!D`dMXKD_TyxB8=WiwZ6a;=9DU42cD*8cW24g3?| z(}BzQu;i$67VQq`kVVbE{4EXdK9vmvo?L#?zBz=aeKQ<R3ApCQ)pa?N%Ea@_(u4oq zD=5$=G42xl7^=$eIb-ErtB*lWU0g`hC46f>Eh=uBonKe}QuN&=|5#}#;V=CrSmR1b zI9anbp5tm1sw;YIszPGtvD{VJX&<Unn_ewcwVsUB^036?ejZEO4m^km4BIxQz}LSs z=Tf=jOt)xS0+m)z2)!yVRTr7PIXQ0^O$jg5SrQr`U)1z{(SEq28>Ic=I5K?TM(Kwm z<E?(GdcK6lFCvLQMtZ2e*V;9nodpqx+&DR3dT7EwI^CTvKX~KK@Ir?}Vu&Ma%^-HB zV5Uh3_2~JAF+Mow4Y=e3zZG`ES&t1hYmb*%onPSn++tu65-kaEFk|RT7~f)I%$2js z;H-Pfo&k1U9<>oF&0x%zwY_+HS0+=8#-!o!JN3q(9fznVFMyYtWSY8`7HsBJ^l~%) z_yH0Y`%urj#4S1aT<%#LN;hB;+R2G%8Z@{1&GP}|qa?VRKB(J%5ffu;WZS$M#CZ%c z%EEnKmNz%^iGF1X?SRoXkb2Mgv0ETN?PZj$L(6#RjhP3*q}wUML4I@Z(os}YsW#XO zbx4r5yuJO3|2dT+@-N5~Yzg{sXGFFu<TcREz?pGc9!t%#`?gfGd8nU+dkd;govpZ} zVMd73DF)bf;s{as3%Z4>u+ClkLRClE<CPJKi@LGEB~mqFz8Cfdnh_VM+ZE!s<_$jK zAj2E}W=OJ-ub$MgE!=hAcJpn=pG`>u|H+u--$rxFv7ds<i~i%B<i8%xQU0FIHOec= z|BY4Bp&ZfSqt=PwPlM>F`wo)$$BJ#f>?P-oA6DDTqGj@7{&tZ=tkV}{)KQ}i1^uC} zF{}C>NhRm$VYg91tF^{GP&Y8R<C4MmJg_Z0Ex-ZFn;)b~c>O7Fa{G3IMu(F<8JSx~ z5JJZaLIzN)QSf9p_cSFqPq#l``h4<UW$peyV`Th`J_c|#Em`akehY;52SgtW>4pA! zVv@kZ7mwB`-5RxE&GBe*+|=?3iNig=VP2*Sd|pr#ki0y*>B-E<`zYdQmr_gB1;bl- zqVGeH<!e4hH`*WicFS$v5i_KFlWHx?$j^c9;3?vBAO&~|{v24P+OqudbKv8dmM}Ih zwNu(8*OkhYuusnsfoG-qv|V&Gw#>vPwk^$3G7@!~z4>4hx7!tum`u@UIN~dT+nw~= zZQTJvdP5(Avfwr=ZyYNfVW-9DaS(JgiummPqOhJhGrpMll)~EZbZ767tff6StcHN1 zX$O78!+6jx5fVmtfXSX@<XV%kWM1Oh$H}Rq&Mt#eb<(hU{W5+@>zkJDmX_HTurLff z|B-`=RD~D~R&-oV*5a2%#ST_|rj=V{!cBKYi3QAS1-!ixwh0g#3?5UT;;00O0NSl< z+E!mkt?tad(?z_~!?On>18l>i_=W5x;*r<<BRU?@((il}ZYdLd#Nq-fT}BSD74U&? z?$4$+-biicx`R_2wAB+81@0LX+f>!y)J8nh$ko)wZg9EN7ijsxd4<uhnG4=74ssJo zq@~2ps~HC2<_5*zGeO`V3I$hrF-RTbrUmg6DPLYp>*K)H4Vv2=H{8I<43))Vjg?;e zHm2B$zZ%SV#<6zknOO@fjxW|0+Sqh1$8~fMTNLW7P?DWiEo_{G3ui~(K3cRy0VE6^ z0&a7{l3gly!|hy_g$)|Jdonc2<M|dxUbQ7%Bkw>K!++=@+f#|3OLEiF*fx(`@3sYD z;K(H}btwEuFR)P!`Kqqzrpu_R{jHM0y0niA11C&v8?4c*OMy*N4`5arwex>E=Af?P zMqdW3dc>4P#cnMm%V+xvJyFE5X1x=TukAgsyq=}8I;1Aci^)m<m7Z4V`SWjlx3sUb zX*fjWWt@^ZIDd=ICryCje!TX5;Z)9p?G{09IMzuJ4k3+HKFUQZ59K0~YcJSZtrOAp zSI5j0KmNixVgCJ_5tR$UJbLv2Yj&Z|m!Waib~6|e0Y^z5#o%IVI<<y(-$(Z4(wif; z&dRAjA+9E~;}2LeULK<-cZ~M6oIk1|kdiH8vy!kIMK><sSTHu*Z%jBZ$}`jq?LECu z#q&H4=N_5D?yf^5oAGnh52?w;qq6vL1DP1^HMfJ-xONFbn{oXJg<ck1U{&8}Z?gx5 z&{Dg&D`Aq<@BUT}_ZmB<^^_D^x<UH8!oW}OI*E?NRZR><-tW59tb@-dll`hsVe(DB z@OI_Dp96Rx_(a~SsH`Xx&mV4>Z3bo1Y_~PhZd@Mn=vY?SBu(LTT?sLOL_p#>Ws2-> z8@sI<Gh%Qhy>n|Zy&L#s1W{HS=H_D~Bj*%0V63X0M}m{|^59$S?}Gp+!EwY&K15Q1 zM3rAxTTYd`w@r<uT65BT^8CVqk)VN75x;yBDyyxLzdB_e^-H?V{*rD%ttQBoE9r)o z{!6+k?U}$noFiX8mS`y0L^kS7A!1A+Lcj~o*$G6pVK$0u>8plT&;uw`Yk~`6cIB5m z`xWy=j-Fw$Ahgi2O8pSy^Q58a$-+vwgJ^p-J57s=C<I@YTV!P1U~2*FMHTyho$~!# z$hYEh74iXK%J(9guIhg<<zv|WJ1O745BY#!DPM=T*Q8^m?_o5~K`ZgpQ=^qW)X47A zKCl{cM79|#?ZVSh(I(+A+HQiIU9|N1%h<dr4b!qTSUX9c3Nv|gGRN-CSlyjsa-!t; z#g_bDu8<dk=J@Rw7^XTTL*ruzmOO-=9J8P3eijEOKgo5@FQT`F0X-j9FZat*Kx#Dj z=$^~lZW3!qdBup_-u4}=;gf_0e<W84gA_nS=P$B(r*gz*iTpb56K5;OyB231e~7!y z22N)&Vdwg<`yR`N=~HAaA1hpOW@<(=V~cJRh#V0_9>-3rn!O)VQbW8MQ6QlDP?72w zWsK1MVQ);Ed6fJ3V|if$@IIj?#xt-_p)h>oZ4;-!R7Qy1(F5dW5KYqOUviHb|I<(d zK}V$~(;Es66mQ*nbeUU*d+YPNw(ko$eR-Qn^vHJOy1!>m{nrWKp9OqGf1dC;m?Eq# zAbB^U2vtd*w|Md*9$SLGB01Loy?6{v$AJHv@fetn0soK2V;w%jH@hz=v@;Z6&Y3Y% zMF~~{?iUq1L)YW!ok$;qq~s)f4xaYg!>KO_ABdg>Mq+1wvTnPt!Gb*xDQM0zsq&dT zYh;o!?{ZDeo0SQ8C}TczcEiB0YWFYpld$t|_S40}@AebS{dfCGz&~eyDBqCk@s<6Q z>Rvjt?9C3!NWg@Rg6F`A4AhYnp`ibCtp)U-j6nIAYz*dPeqiOQ4*>nAPA1TP((L+s z_S1K{U-nbIs^IVTQ&rACU_ZV2$NZ;%)P5R_i_|H`w1%M2fIdgq1C~**Xn~^sC;!rx z5Pxq+i0Foo6L((!*^Us(|6^N1{I_?6=xKUM2DZukZPLVmIeuZpf~keno$!?nvIaUQ z5ARaKjH@cyqs3*PI0<W#_8S6?jpL2|lcTk=>HBrIXJlg64YqEO+G-e}8<>;c7bRXx z_KH|Y;Sg;djx>PaVH)T?RYfl*FaX<TI9#53J+(TPHN1g+xroJdJO>DKr>x<|Q9tnn zPi81R;zl^Q&A2||A_qbM<Xe>gN!g5LskEJDU3IZTDlXt+9=p`(mNcqZVJQQEPPq<O z9iJQvZ)RT%u3XSS9nu6#7EfQCHKJO8W^-x9MNIYQq#x413E0^eng^>j#4<SHeyE7x zg9<4T*T*Rn@9b<CuY>=?vR6;ML}Y2;Sgy>UvuFotr|%*U5uM=>$+vPzSauv{lN;ZH zP1xg2Q-$Gnc4F-8zA>0`>@WXJ8@g2fwKk+bmtcCC#y3BCgFZwtjfZ6-G)+zha4kmj zfh3}_=1uBK$S5c2fVzbBN|!j){n90a5~Fi;=?b}3^Y7_K5bX88-jsmxOe1=HA?)ZT z?+|PS5MF>3AV|^~b(DpS@KbI+B*<)>%*SNRr4yL<@=FjGEM?iqJ#04JaBuehtYg5N z*s3;RQSqY4yBX<D)6yS1Ye&;D?sjZ?P-cliQ8qB}Wny7qAQK;HzDgO-72Q&M|D4<Y zPXC_>kpZ-f8Os<ir~Jq3LLO!*2f0-(!3*|uR|TR4;hprdTW#6zTPj0@t5oG@mdb;^ zb;Z&ntjxg2m)+}rGw(Jwrf0q5@bs02QsszinpyYe*h#?Q<rp-Gdu~4GA9sKL8|Dx2 zue(3qaI(GfB!%lPj>{bvyua=8S59s`cdJv<w}l2Qs|Y)9->lOr(BO~4TJVAC_}P2u zW#U0U>1e$)kOb7K5^hma4QbJ<d#aj}lSn_Ul7v_nrzG9H)qWhnL;jZEK*e6OKL4%D zWqWqFD+-^O^d~qayXJ^ggA!_R9NJ`+3KSW7*Z(dDIP!Rz`;qlzB>rr{&ho|?EifKi zx4XTc$#FwCq=j*FjH2{#zIMs1=#5h!cg5t(ECN0+Ze&}Hf8@3|e?^9^p@ogc`<ega zy}`g7HcGM>h{N5`X9eDmbY%BP`YLLrq2T3hKJfBcCL5e|4Ttwev)Zs|#Crx?COR$L z1QThvc0(cg*B1F|+4gTn%_)6IEL`j-wwcJL)mnWrM^a#BW$@Mm^?95MSBGam$OX%i zfe^Yj${m*eHMp@pI|q44&Hcrtj<|<5!GmVh7C?Zv`zNphUg6DKLFqajs#sF%yFVIT z?i^Wo@^0A3p5l7Pr?t6C8)x(BX~&5s^I!oLgROGYIr&MrO8PE`M&QhUX(9ptdoI#H zWFi6odoI%7nn<h_-@Fbw{l@*-F-NDsJo=@HYtWC*5ugvX)b$jQ-7@jges^GeO9r`3 z*YSpW<@os-%M-67VkX?U4*RzI(G*yqoX}4M<%IkCI4CCqo&Jy$aYvw>&=TH!t&DcP zhuXj|Rum)eO;rZJ#Xl5i0sl;*^}i5k0sl;*^$#lw%QrgzV5If%zs|G}j<FEO|3An2 ze{YV3Ls9fDu2wW5&_eIwBkx$H(7f>odMV<u$H@|}<wROT^`;-`(MVliz)v<O;}lB> zgnYq^NTo7B?f)=t9iE5C9^Xae0(b<(MpSy=H)e?RY%v7p#6sEnYrxm{sRiZ&5f_Sh zgz7kQ%n3+eu+C%iskRiezib997-{HRnWC{p@_)x9#*I_gw=zTnYf9VGQR07FHu|lC zbk#s=ZnQykP=S4<-xgPmpb8uWObO2ihu+GAg{K|D<7>^Di$Hq|nuBc(r7i8`@q9`0 zve(4_nHEme<K#nCVea>KVN;e+bz$WYr%-La=)<<`(|#e#C344Y)=G!<Q|B&baF*!O zCeDPfH<)80a6YO|-aRxRHMGE;)V}j%3HY1+T{eVg4N^zF&8p;o!Ybm<eA^>A44?^g z{kB+KzXk<f2uGaNw){_y2>^d@PT)`TLm4!|CPLI7yP-51gS(;7y2d1=2E9%7F#D@X zfgkRjPJv3>Sj7)sQKa_!G1>1(eWNex2V+E8iytduh&1~Fqr~*$Qb&k0+Vx4-pCpJ+ zz7RoR(yE3@Ehu=OF1?nmTK`Lhd|vd~BPk)9?T@8oG%i11#-l|D&uf3*r(u7<`<LAr zz82CPEWEd;(aLoo4mVA3yeu;8s~*|$;gB}e{q_NS*zL_|L_SBKuGGn-*W0cG;SA2? z<+e$vmV0SLN=$1H>w39LACYbEu@fPXYs`5N$MnvRX@;8c)pe%T7B}mVN=aqgLotdB zoTqJgZ#!2l=jigtUkvV%N~dh#%X9a(|88ncUzu7XTA-=*@@q@V#kNU))IZ$<y8U|% zC@nqb3;}lmYe3KBQvN?u18TXX+th&1^8_<p-uj9g>FUW@2Avon48UA8xt}8Cm&|<N z)y*X_FBQYt@YTpCtkUQ5bTFx$BFgz8&BO4%XCrxZci?=9vo9o+Vw&4qZ>cYHMmkpp zRNTQR`!MuK%4-l7G@!9Lnpy8*`GD)zy-VG!5Bh7@iU7yn7RfxgFKqk%>CbVO#tM7& zw&{!K1{-fNpod}BaU=pxwy<L?*%I_AEqM8NrZ_ER7o8(u2NuPuP7UdAci9MtL34#* z4*u<&>dRk8jtS&b#1_k-q~xA`r<1oEHS6}F<~G%(@n&k}xU*2RKq}Lqc^Ltf$x1HP zvO$(y0NGcmLRU68a(2Jp?PRq)PpHlKeB&JtAFqr3&Q1pWl?5r*R(fjt=Kf}JoSQS$ zAywOO^=xK80AZBf358YhySTX=xKw-=269*2(O`3u(agjWetoGdU2<E8A;%QFhVHV) zwFe!u0@#+-XRB+(yQdY|Y)xf=FSq<cv1B%I!RM@$e{tewEp&lVxxGGus;=O1+g@vk zzl7VK?C9@m%WGGV44k0`0Ab)9artRM{$k+s)TProA~pMBZtAje)v57dvw6|2_-4zH z5lehmE))Hwh+fs4b1Ct7$2fd_Dq-*d6)8K0o6wD$-N}_YRp~VHenoz0h?1E_NC-Gy zTnb2$s@ITwy1kCuWmtN27Fba;_)#{zRu;WItfUJJtOxpF@{&=D>fc<y^0DMEv;xU> zYf<4Fk6QgpE`?5K?0=LVlgPxjWlzP&$IreJ`Lc}t1&v-f`52jZt2fV_IyS!WvdFLd z;<1lp_F~ygp)ZBYwD>4{Zlzm;`x-C~Nf#vAhU&CP%3!1m3b2W)uK$QXyn*`iD3ML4 zkipyKonTS94%}whO|&d^+Dx9Hl10iWSJH&fB8A6pE_(gvR8LFa(%GR!2{^y>9esA( zcGQ#S@SO`)r=TC@M_X;dYd<>*Azw>M6R7aHjkZ6`F7}tc9*@b6^vl;an<D^l14eSp ze8tUGYkV5aR7-rs&EDl;Nn`t%4twNlhhhG#_YuG)wLO4Oc{mM!&!1Yu{<%Ll*|mlF z{!H1E;!@dj5<>&il#<NRITZ}V1zxGg^28SK!T3z<N9}r7OIDHxMEh{nLhas_yoW@^ z1@F)5c&DW5RXR=Qd<cq;3$_PlO@#)e1CEurQ>U24IO=Xm=k|AwS~H2p>)$6|rH)>{ z8#2~1^2r_<p?y7m4MRLbaNGTpMaQRB@-{T^k6Lum`MtDvX7kW$S9*f5@^bCydjW1w zBZ#~rF9~=N8D$(XTT^$J!`>2pxPNg<sg-v^igURh$E>yuF^CxGqKyTvbL=t5w|WeB zI~p;dWsXRTXgl2Nj)=W(r_X@4J}fQ50xmzsUVkMYcfDPfi;bAI>LhE0*5i5n^{u7w zYdfy@YGUNkjd!K5842B_YG-gMa($TE8vYT7=&OeZ&c`MXE1VjVyMffX-w7cff3jaQ z2X}}(r%*<iUxOa-J80zrv*V~D=AbEGqp{7bT)O$y;fdDtCcCHE6hoO6F6PBMv)dNj zYLzZ0OG~rLW{xxN&!R7s3qPyijw?e2tu&l-=NxvX>=h$dqg@%YU>h#hg}ZQT`^@Er z0kNTgbjd726(dcSEV&Y>0HgVytTqdCReGm47x;QYn}xz@KL<z{DE6#$Qte)it_ANg z-e=Nb$lAOG->yl3kUF}-hXZ^uGpnT68tT22r=A?l>WHSph~V2f36Ox1notO7QO-xm z?cp3JNIf}0re`e)EjdBjNmU*pu2|M4GklwM?SvwqkdR@uO(i=7|6y0$%3vy=TE}xO z8Yf1=Pt4_oz{8#We2JH39)lmhD|eIdF5VlRUup@->ZlIeJ$C2;|M9miNagl3?Vo1L z?PgZ+bnP$U6yc7BHGNut#SfWYNJZOQ=I|hm#k!l+cTL%jM8r>S-*s6@-bZd<X;G<5 zPi{ZTfuwsWc6FrdN8CxwD(rUZ4u9PvlDmE<&?AlnJU|Gt>?Mz(KHcYWambMX7lasV z$v<#Q7j&!EiQnyubEtVjD2aC8SQ5?K37_@jA18m&nC3K?p(NV3{YMD}6qpf%Ngll} z_^eOi$t-P>CwZ=aed={dX33O1`O68PRSdvq6$B4%fEd5~8X0CzVbV1c8+;M5V07bV zYY{r$!KE_<RXc<TA>0m{Zx}>%xmB%{ZRr()X7voSYcwPA=WmTaFTU7YsdXHVL}Wur zL(dxnk>W*8xWe2aLq)hPUva|7;6k;-RmJZ_tE_4To{R{M)>;fQ->RO=X1no<Uc2aB zmA9A<`ymAlYn1sL>F{zflL~rU*bnsZ+r9cvSe@u?pMI>F591hd8UO<8^et=gzi57< z*9ejKlU)qsE^2u?+7i()vCSnEFp}{e#<s5agwcth>2N!&;7hAuT!W@%O|g@>`m2d) zCjwi)yHm637S@huQC+$Y#f`;Ivg)tIF}rk`n+!BG`{un`1>zcdTEt~-Z?A4N=JW6s ze>X7|RU3~@SS;k>EBp?a7>a_o&n~workGLKXHTn<RW1(LbtG*(5C}*bsz7ErM}(9s za#a#YW;=6g?LMO1WekSynwBjpL^b7oscedcv1#poFDC^*f)ge?B+xp0;h~+~(i1R= zWOh+fWt9zv?ho1xByAA93Qs~*a%vrXAH(dMU$zHt7HpjdHoDca8+uTa$Sk;$<`($b zhl6$#Nns&I)_Y~eDJTki-#PF{+Q?iflT>SuW()l7dZL$+bwrubL?Txl;Za!M_zNo{ z7J3IwH3K_Iyb3PGVqRU-xV(`?dd={1<~}oa`*=m9d2ung@_U)xqIB<xY>|;vDZS&@ zWq>oP_4&6JhdWlX8>3v{wTlta7WR=1Lh$M{K$L#$Y87WQj~t)S^nO+39cGZ{rm_^} zDwfUv20{DW$sO(U+k)HjomJ16Y==^NRz5#Y@XS*?s=1-PJ)~9%SBN`uIyo-yE)y=h z%$eLi+&I~lr(_NhzC7Dq=ol&2W(K&`6b{0+3f(R72BOb=>{pJ>_9Iw!o+3~y<#bK) z4hYi3IU#1Qt}GU}N*J@HCG*9KYwC*b!OOmmM0o0!3b4i{&(?7=ri(K;HKh%doUQDx zUzWNYW|x*;T2eZgJ}k*SOh4de4Fgcay*R}91s-0G?{+5{j;?vOYrni$2HyR2)A+{H z>bvFcc&r%S4r3_~wcHrj3Y8aj4L>hY+Y(IUYGH(EUwHBRPH9d;C*96$hDhB@4!KR- z{T!hO#Wfk#q+%0GpeQk3u-qhpA&*ZO&L{*q?mDYwZfO|ekd3*S;ofg5gLXn0<fex= zoZ+E7X}VeiIAn7XubQX<L>xEqgBhpQ?5U-lAtD2NwfT#on_h79OM<Nt@}g|jyC|V1 z%eN}Khk-H)Me<e}4Yab~OCg-{_@+8yO!q#hkG^hex??|>#xkZH>(MuExNF6;Fa*wC zJ;{%qz2T%5b569@UNHk_S(?hXTp;=JitclI@~zKGyogy`&-vzHAlxz|l?$h$*CJmd z0T2+O>V{1@-Xh9>=M~N|EU#a}n8;dQB`@mW7B6D%7XM(X0N)>`eyf3->BDSvB>OBp zv{&F<m+RBdVU3w)TP`N7O4$HW$3ETese&&<GnI!WLYqm*9nR9g;Rk}nq6g}60}&;d z)!Yl?eoK-AP3Rvw0KUE!x5ssauU-{BbCR<=@hUOt2+gnLjPh=*%2U?-(w%ynO2GS? zH?2{B&O|30y_T`oXOm*y>R^jJ*OmYumMRwm7Q=jOwIU*ez`a9xlDzz*u7;4Q5WQo` z>VES`k|Z06Yqj|5mCvtbLpSbLxIFfJmqqmME<wkhhn0K$4LGoL=%=A$RN5^QkGxxU zQnIUb$?aF{;@7En9s<o)6YHA2*;$^oZiY4MHx}J)=248&%*UlWGV&T5$!=lroD|t> z+QDk`oiZ;r?+|1~{b;x|-svSveHi{uz4>EVPw1zl@z4O)=5zC5d>dinln;T%3=m@8 zR){lKAG7axmp>p&O(+_QXOKcoBUwtFk4t7Hwqewi5_;Ogh7-j_A?iR{y+y(@E}(1K zbhk^jL*-ie)o;>4h@H~>SX;nY7dIlBQQP?-cvxFyjWo(?FrYjD)zMX5)iEk-Jx1q0 zlg~X6GMIKUS;QM5o@?%?d98Lkl|qk_V{Cyf<=D#O6fm0$SSK4jDB#Y#EK}8T$k)y9 znq;Kg=pV!2&TnTw^t90b6l~QVbRQamey6>B4PyscPU&30%2?Ew5;NeiOO1ssg;*IH zJA++Ll{uf~l%f?}0`t(#r48oE%JPxCki`)BXxWST^kWzabHO=;(sJttQR6~)i7Ren zti!eyaH5J)gFINI4lTDh@*L;ir#s*sDXfs5O_x<T*zR-dPqsQ#K-oAQ8wporEu+d~ zIkm-@cCx1k1Lo#*6^5*&z5<AV{WMkChL|r?7bia$5*gNUMi)Br4<O_G@A5kaqB~OQ zz1=I#g-T8U#AcqXGCqwy?vQ~ovIKRAimnDk8K{3QuxF>M5z1h=?{|g3B)=OzWwl&1 zOt8J}i~fW_yhs&}7S&hE`lL&Kj5E6XyOM>{qaiiUc&51LQ3ufqk)MtFx)c?$qc#^G zdf89qsCz4Xk>^+Arslq@FF{0NsK(GubX%H_!<+aPM~orP7%ao}MteLIVNx_lu?D{m zv?8T<d@G#ezqIl*PA}H4xsQI4jk9O9kQB#y#qmlREtW)`zo0QZm7;y|K@8%6w2KJc z?|fDEQJ_`S4+r(t4=5EKPy1Ukl`~UOI&N>xVhu^fgpb}^&kc>1d-NA5AWb4Gl2Xiz zBfmYk(Qc1J9Nah2JF#M%4h)!1#_POa1o~3jN4wJqXOHsQIwlU2#dfKNV&vo0((5ix zHL{`p+W9f&owwJ=b>tw@F?y@Xi^!b0cDLv4uN^csm%|?8=BPx|1%Jtw?^r5v+p4zC zVvoYU&z4yC^^y6_5mk|cNqcfEJDD<t(Ce8vq^P>dw>rLP6E7|BX+>{WHQHo5<^n@H zBE{E8F{QitvU2@VTJ`os-I<LNqO;olg`ow9@j5Fuj@+EeECz?6QH|?v`YD}o7PjJK zHrThposw#+DEM&ABc~Q#c?iX;F|#U9{H#vLp($pk0Hc`2-L?tJ2|)GfZq-O@d%jXv zs7l5}^aPFV1c8gO!$Cv%N@!`;5FjBn9Z`Mg#fGr2B#a*S-dIu}41QlSL_+gXo%{G+ zSBzseeqh$VOvaYorlwz(Jr@I}eLN`BGrHK-tNDq7<$|HH6#^&<oiQJ@v(?AD@bmI) zBkefE><S`^4{$o4T^LEvABx@#hQ`NLQot6vw9U)3YsQXxQe=D-X7^Trw*32N=XzC= z!BN`x)pOiXJYAk+EY*DQ#xtQ!v-aGLsm%_><Mp2OYB`FzjB0o7n2<{mm@E9?goQ;; zTL8YjbU7JQB`|U})JK>t!FlPjKh>puxd7-NhXeKthr&>BR5|_f=&(AMFE01|G~ap3 z;`z@0NZXRFc|ePB_ZMK(32KSu`0NmR%Kg3Yyv(5Q0OQeKeR_V!iX#h@OXs9-f8Vz) zAVj|E_&D)#@77??OV`u-d^KhvVH%bkPTf9ztMF_2v>Us~2>1QHu|9>AQsu|m6`gJ7 zr8|_xtZ<5N{3$ll<3RlRR$2eJYtTX$`0doyC2vhn^=hxa;~Ef7*~?D$?@@LOL|N>I zS12p-3uS31K$7M73(2Zpk*r_BUr099=Xa7#`-5c3{~*~9DLkPd$?8x4B3Vc4E0WCy zN%q+|NV457R6wWTw=0yD0a14U4v4bNS15Y`qO4sRh_dxpDC;~&@OMcz;fiEq&iy&4 zD0r52k~-Z{ly`?h;KKEUcXu=}UCzJ**ZjeEH>>f5SiS@PZB`TeSrA|=K!7y?`lQq6 zQo@z7Wrg)cdp&3Fap}A84F>o^kXJzKSx>-a39kLpkChmh78NIA+{<x#Zak%Jc*Aej zRCwJjOxEtZuX&=FfF<~F<UwggcRbfsT>RXFmQ(Q}PRS>ZjM#RJ*!mXp2QuHiGC#r3 zccN6KHa6TXUNRnJzSg~=egTj!YUZu>TGavY1Q}&5e7U1dAG?-S80#4~P^RC&9igBs z9By~7yE|UsF@~<#RBY_^0z(EgHxOnOL4f)MF7+7DDnXc~ak$4S=zNcrd*r8n&CzOk zO8B)~Zue^9<<Xl#g0&U8nb5(27IRGN!5Y6jvf~%?ME)WByY~P(Iy)5wMjhKVGZ9vw zpNUWos(WqMatyAiAMmSd<)Jx^Y);v?_}gZWm2tRJ#=)!08zV#0^&CNn?E@iJvv_AU zccL|*sdz$ve|053K0qUL;=^f&`H9BBZxFj*W3kW>Jk|mX$*QHnc4AAaN>L31otq5< zg^7eJxPTT*7DXvkGuFDYgm1=WJ13`y+pV(XD2oqw6nyOnfOf*b6`x}L#-~_Ud^%A4 z9{ilAYYiCQT;}8Z>pCnH1O+GbxrDg4)!ov6!&;pytmR@f#1P{$AFg}I#rUp%mJ`QO zwEzptEYwc*`|4>cQ~;+Gs453<%t681p_(z@S+pyK-h32*r)b2l>T}+<51P)^d{MSG z=-)ilMe=wBU7aRTUDRy;i;Qu2JcDo<*X7I3wK4HAX_2O43!Z`E!ORN24iM`FhT;W> zg^Xn=6pEg$b9f-)vARKmwFC(^P!2=2$K)Cv-@xuyML}Y|0YEWIQ80vez-ZJ&B;GO2 zNI2DH)i$k~DW-MvMv}1z?J9hx&qD&F+1o-8V^4XElc_$BjeU*;d%?GRnFo94K5hr{ z@KLmMO)|_^3FMeKvkEm-6u+MyV_82;uZkl7gJ55)hoHYiDb!@FeX3%lTxr_@Pr&bI z$`u*Zr>X*qe>*AE;G8Se?42ppjGrlpU|8YT3|<ke!Zir*tpw^Ow9oUZ@w-hYF~T6v z<_ax^?DGN{UB`NEos=ls3my+@GHllObJu*V$ZGBegOF=|O^oNxyBkd>PXNa3or4TW z{Kxzd6970+`T7>A*qe$yrK16@a<LFOAbn;^FfuE6cS68sOo-N(UCIXk<htG|vp44P zJ*?d${ZnJ?Vzu%|XTjBtRIE|1Xx_)rJ0Duc;ej>!dD3*U8+X2KBik##-Fn3=75<}U zI;uUB3O!t=SATB**{$$WOvQIL;z)BrAv&%onaN>*KHHc<k-)KO?1<4Y|6Wx?LCB9B z5JIP#WO{X<9D;ybiZ(U-z=X}-drqyL?zwA#Ss{qVMj#qbkW9^Tny@_pLA8_&VPP#B ze*4L;6M-ZHA!i5EZ$vttnDPVDz}nFZIW)W6pO_Mbd3ATvvj7ll`zt|)+yjs*eVid> zDp%zBi)w{7<VN<?e}`b#_Iw_fj`S6zqya^n?g52kRl^k;TmC}hD;f?s#c;2rmt=7< zD_d63spa5x8PMo^8&alm#k~iEb|Xoagdq10f!ure2=oDrt~eTQR<@yFT?>Bnga*)O zAM#QNMD$+-+)p0-2lpDV!5Ue?8^G)Sx8fQUR^#jgMxCImGIF-}Yjr9zhOG@g_mGQk zjlP;#{P@GU)i635MA))d*)9EUawd5blQyy&^iL}36{Wv(jKondAjdx>4(UI+{h5A@ zw}!LSY^;J_@z@9(plj1F*9P&n;|w#(92;E0TR)lEINCzkNAA3gY&p=1HQIqxZb)f` z-IzJXR8sC?7T`VYENIt?mq=Af@xW>|oWY=RZO}H_-w=16om*Mje2%(UzNmL;nkq+i z3A>dDT%7H8A-Ih;-Al*y#V2LzhE7Vwm0&xhgWs02!Qa2C=4{QZ)J+5#FsfzwG5EA( zSfIRWZ@;Rvq_p%XbyqMoV8l$0J77bwUi&<EOrU`S1q)zP1pwfDW+%0)_;P7=qIz-h zqTFrxhu?JLWcKJ(jnqY^p3F1-*WKv1CIdR)@zWUB*#U*)ByYS!i_cY$D7D|h|6pC< ze+zcm{seaagmnq8V0Z1nV!DstTsxO)&@Ff(Eo*U;q?oQ2@&Fxx!vFhd^S5MU|BGxg zXg8F``dqgScfZaQ4;{Xzw2X_IcuX<eNNn<=Vf_2d{-f#fiZ&st?9$VC$=mgSu8M`f zhJ_HNu9b(KV<dCOn4#;y)Xyf|DMrA2gEwRXb*?$nQ0~aBQPg(^rd}=auAG;$^_4}{ zGxZP(wE7Y<62xY0Ngp$X9Hqsz_S<c+XjGS@tXBEDz{KFt2!lnkk(-|h<&>+dv2S#{ ztCy)v`y!>#D7AgC!I(tlu{9usbfam&Ac}cpYkR`EP|AA`NbkrU{9M()??c(#;is)j z^Hnx7I?cZDaqET8h+DtD4M<DYe??1E$*<?Nt62z|ni>+bbZW#dnF&#EbCLeMY}M!c zit$wp>-hUuwDjN*q@`3su4sALmZSZ=<LT8)t*SPFOYKuos9*=JSl>^rYVD~r2;WU= zW`wg=M~a_uP4>m{_WF&1wZf)UI-=$Ay00Go;-8oXp&}}JG6UD?$v?Mkeb<cCt`?3z z%QwGZ3F%DKe9Jj5^X%QTAup<;U2uyeZv1FdgkouDnyU*#v}lx^viL|iyGA(@$=B@4 zO^9a>kldsRCi-yvJ=xyd9z)dd{Z}0uJM^I3TbW|dzI+v_k7}tsAZ<%Q-%TAdK(2ph zsaj&lG%*I6qYwRlRMewe3bmVx>p4h73C3#NBWCSk9eF<8-#z-3Q|OSiIyS-%Itmle zyFq*Ke>i&!u&UZ_ZIo{56a?uI=@Mx{N*bg~LO^My7BERk>F$>9?vMsSP`Z(j6c(Ll zF7*9AzkT-J|9{SP>6&wlao=Oy&v+itHB)Iz=MyfuEz(i*!^V=-N3V5q7SwvtmJ+Ri zPy5Y?OPa<Fi_EOYAAsz{V~vvcN&4EYn~ClvE$p%i<hhCF{fs+IvnBd`7)a}t#aO6y zqTNhGuj`(mzct|PvzS{YcpjhmoJ2KS$r&P|C_R2P`(T~G+Fk60!S8Qfmobg|ve8T8 zHZKKT&8-;^HKPn#2;0o5qMmfLIRXJsdfDy63aT7q3r4pE9DSL&V;+!@G@1^;?^?^@ zOAqn$4Us&8eetqU;!vtKk@SD2GPOW!vJqL=`UGq91VKt1zdE;XO8LjU;t!6D*%bot zi<Tm_NqR)xFEH-3Cke*k`=)MI=dV`5cn<+`5WpYdpVM-S1u<}P2GmSuLv|>+#_L<c zhrYS))32ArJaZhn9vPY~t05UQ+!@Mc<ZxJ+2>OJA>a}ZmutZLbl`VR!$9Ls8y?Zty zdW7o5nUDg<!A^4;P?I)umaQ+wtEoYM!|~qfhJ)zh+IYy!;i{rZG=aF!);aG(X_gMD zyV>`Y4y?|lwy)@Q!hlmn)x0cI+Q;;=M^3O4lh&@y*Y9c?ni|erE>8}(>IRb)Ua|@` zQKsU<lT(c}W(ZZqy*q6rQm`{0CH-W(XgkxeH#mFV+<s+3rsyz~iaLK*yv3+L6kg(? zYB*6is}LRJ+_V9Ap`EcD4LKS87B?UI&0_HS0@-?qw(KIp0WfZr+MMs6<@<7R<4`e8 zSOR>a;uBjW)V{QBQ_Fw)^ZKBpb=M}l&0x`>qC_)54k)RsOP=<OVRtOCr<mm}U#vq+ zH1oLUIj#iR5dosjnz$&8)3JJ4p$rorrn1&hCLZt)EL-YTt@FoBWS!qzGYGAiplbbf zthaQzoUk~(4<KKCNf51Os2==cO>yPHk38?!+udt;e`}JDLiDEK=glq8P3!q=^6vgV zcML=xnwZ;QW+_s|(`G2YQFp@Go#xJabM?!~9b<20sKUY|iSi@lqrIa|s`E7JkCV-C z2fOBz!L{DjFz~=h*}P_*0<FvS_(u9uTN~h-@p3YJ0vO!YKkE@>PpCB5H-)0-Gy(6T zrSuuhv_&rk&x?(*hxMHsTAW#_CWlSHgEvi0(C+ghTYm5@JhyZ1`&%nr=9=UryL84U zKOUWy%~nodHTuIlBR+o`a-h|EP^(u0?QE-xT=h*k5wBDDcqraHpZ0oVBSflg(UEhJ zx&TFU6j%y|Ak^uk5^ij)wskCV3A%B=Jc?Uhssz78#&5`XdrmOHr;OY5?T_C(c5Tt* zUlQ7zvomycf9LMx^zC~5mW*QSfj~;{0|8q~X*cDpHeR!3{!iHIvc8RFpG0eQcSekU zwYu5gxIyFBeMZ_I(A$;)t+VcD?x#Ojw(^EDSOKi7ZnuU6uKTg%mvJNw6|{oFu6{N` znv{AMlT{~c)K6buK@W-D9`>x?GC2o%I{3YtAQ8+vixe!H_wWX;bFl?I1HZVj1|039 zyifSG_qrVtReovr6!A+YN~G1wt5c<gTWYGjLIaIoKdCTVr>*MLCTCwZLH(xRi~#$N zaw$OG>xvZ;6#}KFyGqN6w+jKEuzJT2+pg*p(obGUG){x>{4{aLrn1lGj#{?X3#L^) z<MP7WD>WSvIak#X?0ir;kd(7V5c_0w=y_5uMolg;$~SgN#uMxsdKB0m3b>d0geT)f zmw()M#%6~nLu9Uw(oekPZT@xM$WaCxV4XiGnOPhD^S<(BOUO_066^f;S;~`q-@s4n z97}{@Yn=o}IZQ{0GE`mqd!D~*Ry9YRrEwb5<Doy1Wa9OpvCg^wF(e_atw3O5Jl;v8 zqBA8NXC$T&Lp-C-tdeI+kSpm5L@7k$o5}LY%Ei!56EdrOFeS*5Jdacek202?MZ99O z{UAKl#W-X=2z9j65QCO2t|}Pb+%qAHky6CBAtg_()-|9?{j94W4n?qVx7zh}7_*_> z-K1Msm==JD$3gY8xY%Qr`5NPA$mN0N?jO;p&CZ$mal^N<VwY+$d16!mn>Y@_3{@o$ z@`c@{Jm+XKz(a30XHtFb)zrl_c2n0(;nY5Txu^x#GemxK;{`1(4#`S*5Z{e5y-S*u z<=%ejFJW}z9FIN}0C7b3o?g^t;Go(op+_>mbzeqW?*fm|drwnc^Ld}!F$zc+)k4X+ z6L6f}XpzjHHgWobPJkcuI^KD$VBV@bFCPqg9|qN-Mam1}l8TsT%U~WrZAN86%6pQ4 z<8rHn_9A*qc&SB{nnR)R)a&%xubro00dWCJfH0ophU3!q4?yG(;QS9@^$!350R(2j zz{93gVYL_>7sEdUVPTLE!|cO@t{ipMn<FY)NfmuX*YELSE1C|<8Ke}|+?ykeUXeDt zx07H$sd(zVo|Y;TAiO3Wwt6gJq-plD_-a4M$!GXp`RRM&X;;MB%iFK^&~%gLK_qYD zw5Cc#;nLdE4A+p|$meHQ)nvd@G!#O<jQ!Tq>o`rH*wRnwOGtEd_JJX%#R1yld;Yw) zoaXkY{mJVD6HM{T?U&DV!`6)w&BHSrxRXgzAbgOy^jhxZI#KHpbCS5}ZWE5C>LE5N z-|1L0r(@C~108dA(3sbqFgGuw^qsCTbGin-y5?n|H|~3Qy9F@2)oWa<F;ms6Ji}d7 z{W?6e`t?i85z1$lBgJEB2Xc^<k2eiVKcHXDi%MTNH&XVlJ1od@TGs}<^*q|Tte!61 zBrD6>A2%;bdEM;Ls+3%!lXhU`nv>=Vp0dAg3vv8ub4BK7qw^Z2<7q{ea~(<C@Iuw< z>Qr+(`?(A5h`=z={7qqFsQE|gXb>;t`z^PqtmZoFxpA%WmqOOp8X~W|(F?vmk=!~} zMKQ~U^f%5|>^Yg$ha&_=b<0s9GS>+FG|9*zrd`7uyS{I&qDo0SU-p%laZLqgMygUW z!pui4N~(ocm8^;b**W&C6Tcefz{}cPqj@0JQ>=c#lQP`Budk{w)|*-Cr9+1C6QFWS zl#ltg4ZjotFofrmva?+faJbeyPzM4k<Dml!AmEL`mm*iQ`tA#f)MPXEjo6l!`}zs7 zWlI{ZU}<8}b#%f@-kD+mx$I_l(xM7{E+~GzVfHYK)~~`P&yReV#vPCR?g0MCWyE_R zf`Yi&0fq?H67mVwN+7CmK_aT)dGzWWjpdc)%g={+>YvAo1L@0XdX{sO5<iZy2GEyL z^i*hd<;YhN$2IG~#7k%$Vl0E&ij4Y;d|=|e>C2E{qq~W1zJQ5e(L4-Z1OfM2r6KfX z;)~O|Kw@m;ipG`z@f#t%M6<?~*q_2WZznc`HM0lpLXJOYaSgufel!j$ebE*i4}e?N z38FHseNeE0X0uxhC^$<0K<)$_Qjt@4(F_bQM^t790>;+^Y!Am+7v&Ak7s|exBO4yF zoPn^AvqL<>gIw*;@!=VP?85*}t2>gEyXAsVT=?>yG4TO?SzvGGSW<s>u69R!GX`bv zt9$2$No$b)M~mQyis$7Gb{Dd{^Ey}|r%7&)s_e>K{2_p%L81l(EDsy=^dp0Q5Mkb8 zaIkd@jy2>sShM)lqC&esvstbMY{KR27U$g3kX#Em?rQ*?tnGhBROWK**7iJ^4?HpY zrpk1(ISMwuLVai<Y<!r`!;j`*Q#Q|A<^*ei`(*PW41i$({XH_5YqVe&>xI1SUb}R@ zklz;Z!37?n{oq%e#UC}=pC_AXC<CtF<TX!#{eZH#P=o;B`^08g7vF$Q0yXb~M<`A~ z&6uZ2n)NWvHIvPSFwIdd>#;kqnc3zoGuQ`b^h2?$lxa9|ax8f8;u|<@o&+;nGMlp2 z7j+wob<&O4&z%{Sz-^TI{_)OFjl@a0jO#b|!Qc0XkoyQ;C+sAN2p)Z9B<OI!Ok30| zj3er{7#cW>Tb*F$(SWO0!EhPZMa(etATx+A`;-8p+4IrhX4<muz&S8*(j7SK4$N`~ zW<l`M5s3D)Tzx^5F9lb{gKlJw*UqYdkf4pkAs-EAP7FUZM(36IcL@0JVj06EQGS*c z{yhCY-9%$K7{qEa(M(!G)Cx&P6@EOjKHYd@IcUVsWTGExT|Ja_i%5ntxJHdLH1zu% z(rGK(RAvat62~O7GATtNuQ6Z_M4O8Lk0Ib+G2_Y%8d+lX1lD|+C}biAOqM89asPgw zU@+tVZ73FGfyHwBeFi|5Wn~5zm@tVo|3wt?{}clLGsdGG0sGf3R`$4%uE4-dwS`5t zP%}}zOfG6mgW-EoRE}G$D{A3bGxC4>{u{Wqa|WR}n?hmTi>R%~|MLdedOS?N%QgJ8 zMD{pNR<$Mfzu5>s;#EUx;3`-E#nk=ZY_|JZhJ9YCwrE7D+k#{G_huw`noxWK97uGI zTaE1T7F_{gV6ECB2o5q?y-YD`>-*oae}&Ad6sv5K{sv4K<)Jx%%=ci0|L>bh-}gyJ z?Ky7SVEr_IE~1Dw>xI@uy=I8BqbsCoy2ZinVmbNJeb-3kS#y~|O54I!Zo?SVRyuNa z8+>>)mB^w;V|^p@Mj7jmGpm|HXyxd+)oEo{VwnrO5Nnsm_tx@4p)z|HAsOd2Hs>`F z=f_?1HLPu(fJ3zwE5mK@a=b=MVIkaEE~e*CUm!i8%XaiNcfClL=z_vNGSz+pzqgEc zi`EbMgH2QLT8Tv5A9O+F5zRX#o#Mo<I9!JJjr%xUPY1>pOcyTYXw62y{FrZ39e3Vm z+f~?TsppBRgErnuTOIDL<+k)U^T$6wJOL4+AOgJ12t;&(2wg{AXfDIPfyqoVFa!y( zq_a&|xP2n}R4s8~vM%-Ksm7EOeAdT<FGsJ;-Rza}_+!s<&YdHzZ$ELhuopcYZ#m|{ zS9`2c`;uF?=(6Jdd+efubcS_hVvQAt3IjH|Oy?PfqEt)Do0D~TCGN5+mPxp`8wt{0 zI4(ZgnB}SZl<*=;1!j-ioeW%&&HyjQ*c!N5vNgOV#5BbTgtr?}FeD8ODFs6^o8lXp z{PfzzNv@l`w@@q8e0~6&h~AbFPu#Y&UbvjwI857$=r7LIaNGzr=3j0@#}i$<P7S`a zIYYyTo4|_&hL3A0nf<s@)$^hTG*tcN3e{ybSPF9Gta76YHB^B&u`$n?G?CRyo8nk9 z95lq%3cUt2;A4vrSYS?!d_5xN!eth9c#V7nIU=&ccI9q=7FKnYObiTK1_n_j&v&r{ zwO^-V2Zq#Glp2$SlI(7_W`}pz7DWv`o0}TX${Xf++8Ud7cEr7DmA$iMG7ykk3RY?l zx;H+&oeO-M4#?XNr^-`fwk2a;Pxc4BbNAN0=)VA8KD^SexmWh~^{?5o(Pg^<O4J`; zNm%c-^m86;cwWtGT3jiS=s<a~`%DBgem%{&4DN;ZpK$+(7%YRmsp%ktdNlad6ZIE2 z-24NVGe|V;@_xL(%+<Ay%8RQ_k>0MwWC{XN57f<Hj(Y$c*`WIt_Aj2-1$L5N>i%6p zNydTiskUOio@UyR3Y=0ee4H|F?SZe@dzM-`w(qs~hzs>a9Nzjw7(Nk|UHzcBBR@s_ zb>?v5%ahs$$W_a=5(IO)*#kH@h#z!*Y^Hy8_Nhl0xDPB|?GB%u&Px(6>Q+%CCu3am z%3Ciz#0doI*(|dIor3uTLzBriCRTankSh~@J}S0nH^&Vbwg1#J+FW;-iXe`rKgR3I z-)4K+T-;!{SUD_WYZ%w6PrtHyJ3Qlrl(NL-9kimh8d4OFU*y?vRo?&}Lvg*_{<Jq@ zSY}(sr#UI=aYF{Vf;dL>>?R~WxqVLB<aj=%L!t&$3b@a;hP^%I+;ylrPv+mvf1H)O zR9zvBrJ@{8`pSlqlP7f*?-_w0xRVR+M8kGUV4kPo&in?RnrsJ|X?Vh+RpXRTbRQ+B z{@V^q&}OS$lB|?|L85ENGEJKo<rCcx3;ld0NkY?z_7yphP2}COcUG4XaFab+tM?@b zwv=|Zc6VP@G@SK*Utie`Xp7W%=P5jDSxwx9Yx2hHYtIB+6{4$x(U~3^H@s>v`qv26 zj!qu07#~X+xegw>T%-F@svP%ZnG-(b5XwE%k)!n+6lKnZt!len#$0uH|3{#S!K8O8 z%d8=`T&N^x>1Q|02!N5?U@Q%z{1piz;=+7oWMpoJgh#nCxQ=$N+c|Ng!=vX_AIYWa zk>4|P^>9b3v@gevC1P<mzvdd+OzY?Hg)nr5?t+f$*IYY&X?=ZC^|_7=*$rVd@9L$% z9kd5F9Vz`x5&^obuepLpmx%`wL-qW0>0pM6q&E#A8X;!}8oU$|6M~oUP4xLXGKA+- z{Nl|$KX|5i`=9s7;ECcxeuDSeTCIq&sWMA^QuR~JMN5^#6O8Ra4IV8Z%vAfw`M@7D zE_hU%MdEb<T52xMJD6d0mG`fG771zi*C02R=G{v$#4PcTao_OKKl_Av-@&9rnQD(D zKE3o)EI~`v!4s^Zd1nO-pE1E)VJlp3q&AC*GOJ$teF0<tX$&W@NCYr*3G>P^wPPjy zEO;&fZ(jdGX&w)Q1JTTlB~;g_QP=juzkei}YJ>dBhRv3JUosp%w24438AF<L74Mrf ziS6vC`=zte9Fjge0n}J8UMTHQJ|=bM1&(l#Pn3wU(yh{4)~yFQPOOt^hx{b9@|0vl zwd!$XBeaw;Bv`}5NsNC|Me|fJr3bEAzw*{{)2-LC;omP6HBg<140p+7T$HQBI{y|! z-KEIZMNms|Dcd>EwdZpf&~WYAcoGs77}Zha6oZRluZq@<&x}-bj~?j}Ha$`iHbC#k zg2Rl2jzNz^2L3%qq0fov7?g;K*pf?P>U{JpCW0aO*9<OwP7qDApd`9w7FrpOiUbB( zb3V+FA;3wp8<q5BAmypZKu0D-H;+vd8V>I(R<Z3EXAWD%L?I$Z{ki+jeiPQ3I*mHW zyHlrU4j!vI>jZ5j_4`4Kz<~tK;7mXeBI~M9h>$^`?eu)N0ttSM<$CmHFsIXzP-pv0 z&EhUGF)Oe5=c5jpE(oD;!(8OAJ?@)|7bpXXibfSg*J|AL9kxE3=-U*Mw=_7yXwrfg zVtp=E=vgf)$GruLBGYO0ABR!%l%H|58Aa3(1lX1wH<DA_6K|M}0TOv15vulH&%0~m z;vxm82z17f$PC5pKY*xqH|n4D&I@UGpP-1Dhq;RN9Wh$Hc-G?_hk|A|@C1s9qWuQN zg#p{{Cc<avVF2N0W-`YQSWmH(y`p8|S7IFeo*)dt&%JzM_4aL;z!!Uh*?w7Ok??f6 zgUiqY!t`6E+_MMm>cJX|h%a)_^ufJFRB$J?T^-{Xp*rTd4D4sRgY~<*9Fek*YhghQ zO9m3Rh8p-Ij=o-)r&{Qxdau$dRO4CkedF(NMLn9l&4pjTW0e}cw5Q1lLW@7xxkm}$ zD*Ex@dGn{KNhAff>y9u}D@ZO4Z-6sO^XtS>PKE%_1yK;3ShQy3RQxeXqy;}kbW0ip z+h?EdXjy6!g@MX_OD&ssYry6m$dGaog)wNFX<-b!g=mnGC9{g!{P?$>0JG6-6+Zc? zFN^~qAob3%uk^`}kjo|DH&^;MHurZ(4Xmc(=UfPiWE#^7;4gyhbk2SU)e<h>+rFzE z_g+p0d^rwNXVU~TwLjKE-EXSi9rd*Ij?pxE$GE;@M1<Bfegi@TH0K$?-@iP>;kAb$ z=XtAp<NU*)W`;{>@tYOB%imgmHTg;M<^}7PLa!Pt)5b&M-p)w0LHF?k>=3u{147J3 zA~DuVg<ih6&Y;{tZ{+#Rhj9zU!f|6kxuKvXKz(No1my;U*2r(mb0jLrj0_Qzg&Q{n zFu9FE8ypBSBSOS1^u(374WX3Z{jA#C?i0-Qd2BYTcWhFJU{DZ+*m8bxCaZVF4<9jz zP=*wnKY~f-v$9Wxz_~oJZ(I9&y+HbiHdDo$sO}|pJ6Ez-=s(yKquWe5&oK3lKWHJG z%dh`n*T%X*AX%l36T69e@|z}%0N~0$1o~61=tDXTmnM+^&LWNc8NbhvixKF5^^2tb zD<UlCwO&VgfM-DNDtoB@5gjozFg=4GXm(ktj2N<Vo$+CzAzZq^flW%-u4C@Cpz9KX zP?k7E`D#n$nWqb>@w)hzRkR7;E>@hC4F&aIL^zy7KY&M!S9@wW?j{tnO$kOU!lWAt zEIq<<NfRei@^%8UCRksXz($6Cl_fR#CB>|dWg4PbN$UGZuHe-}wRia4q(Ulk1c=&M z(R4jafvUlzeYmMeoG79(V_jlSn{)x3^_emEQMjIMe0~|~`k49gJ3CbPusL3`80iE| zpQpL-VI=^y6-B9Ansok#9h9#V@8yW2rtk>uH^=&Pie<E-jfSGM4ZN)<b1PwXe&3;U z8Y57&V~V{-X@Sly*S*3GS3fswDkQPLtaOFHEvopxt@Ne8Eh-jqkO#Of7j8&_Y0@3x z1!_O!+t+Wo;$^0FN!#LvmAe`Iu|9eKZK1~eZN1L>+v=_V+hQF2+X|Tf+uGQNS-?Lf zq!I971sk_q$-wfT2*KXmwZ`Ci{>HBkSwGt1wD?lDJ4;*fZ|gwyZ!1XiZ;M**Z>z!R zZ%f4tW&!^s{b~7k(A&n3wmhxLNK%X%3C}On!9I!wfzf-U8Ie@?**5{bl$_vp*(H-R zG-*=Cf<IP2+~1Zl@^4GlK&sEd#S@_uFJXgCQB`V*?w1UzA8lx~`fm&P6@&hl`D+RD zZ;KWCZ_5Q2W(kZ7Az)AkKE#qIVbp#O=0hTaGkFtuKOiKUmDUd5B_FdBuSYhHO|dc* z)cAxOrbD|?pq~pIfb`-+3gZ;hpDT2OXemf(J-&8;pM6yBws}pcU7Zgq5;cYQ>7zes zhiG8cSoKp($-JZ6O~5(lP^<)B6KIB{h@j*oA<!w%Kh`Z9hbMq8^cG_oZI$lJQJ|Lu z8Vy?4K)38mm^&P^ed>Nz&+-ag5m=29?}Hs!EH)&%m<E(-MFx}k5&(6=dMR#or?Wwz zAJ*vCP1G=*Yk!#h0e8&pyry8gNq@#Am`r_8CajyvA~2c7dMTzvpiD<_6@fCr`O*Mo zhO<e6GK08_X)<tq&^ncy1Y{q8pz#Orp|HgT&VV!krf3IOS7`J%m<d`~FaEZ)z_s@8 zSdQ}F)-Q17{W~V4ac7mTs7U8i{t5)wVYz4h?}BQtVX9RBl=roRSt}G_XiR<&0UwTH zu*EQ0RX+d7stf}=a?pL(ktTtDL9io?EVQtW?5@yl!+Lob3hPJ>*h|=y@A1HTIRUm3 zMpT*loA}A`KZvB@FA|VggjPzcF7EQ-3DAkBh5AQ;$@e>9et7WyxRSUZPJF0lCl_m_ zi%<7?({8h#9;EglD7|n@eJ3L0u}^GO_9hjl46z!bQia@i+G>u~r;_jLg=L<N7(*-8 za6cmZ6%>w)P#QH~%nO6}q4dvnc&_>|Y~>)hSSzux`(F@EBPbtaV88=~-@GWgQ&9Vi zL<Pm<kt_;Oe&lZniJ{yZgV&)Jdjv9FH{iL>BCwS|!hMG^`w>lXX&+?pB2-TxI6Pp4 zCBl60&`<iFbO-|iX&?h!7_kzCSm24N)y%3OGzygoWw#%9xBpvp@B1@)9A&JTXzH2h z0?Y7i!WWboq}2f9vuZ}@SlXO*GIaDE%=6npi6$ct8S<5U?*)>Zt5Ffg#wekZDi0n? zLb%v>y}hcddxdn=QPIAp4aq|uW`v!1jrYikaa!Q8J`)kxr2NDtf!CgapHnI}gFu*l zlW~|`Dl)S|kbROdwpt>D7Fzr3bN<u(9hV{i8zK9#Ag2{J%aes*-*yX3#ZWijfsb`F z4>@LD5oAwfsAiY)%-je*PZgi9scS$n(nIB`YL~PqaU2a@Uoar_R;kTeFPLEA@Phwg zfa2B8MCRpMU4wyT1lJG`|Byot#VF0T1Obs$Y|ji1Ei?)~AwaLF9S$V|LI#JBZ3?#z z$WHzTckVyAu6Nv0Gq_UU6m26K4lfE0uem`uTvB>O4yCr2-tbrtPr2aWdfw-7W4=H_ zQHDcNcKMU}$rLOx;Xk5CYhj}N{}B~<mw4F>_^qn<6-?E?xYPg175h(a)Ezey6qTKR zCklbXOJWdCZ$lL9j1e3%SSAj>9^2d8JE$E_4*c^N(JmEf7~Ui;<&IeZGFLP1nD_vP z*VrJOnCPk?K@u_p0wNPUB2x<%oVN-W9Q2_z5h=)KM-(%P!D<&$&_zbML*I=){2Ofa z8An`jNYDG>h8$}&jNtxFwfj%1@jp~J(#gm{Rt`>5xb_ua1i8Eu;3YA34uX2#p(+^< ziyVsyWj!b{|3z*tSE*VKC{Z~PLpgCk-VCf6%Nf1^*1W?aJ(o2bg4SsmiJfR}9ciw& zxuVr!U}bQ{#t(p#&SH}G`7X^`E*CiI0|~wMV0&5ATnn%evU9lB6X(FG8BjN1@ofi~ z3PN2u{^7!Lltw4Ps*=Ur>M+UCEsMo@4Y0PTqll}hl0j2!h)BiE;&fP61>IrIiq%G7 zsrsf$Hi`a?l>;w-2wyodH*TOlEE8-zhy$55>OJp?dBK&zQiW7knR{W7*PNJ$>H-r} zb)-r*n$Q3!=Kc!}*71hbVY0oe1Cs|+mv*O4o;?;GT>L`d6lZWTnYk;AUA!S+py&hJ z0}eVv1x9qaBfk8H*mFmG#2zbd6r<iFv<Xha9r$;LPGB7x0{+!o@TO>Zb#qwb9gFW8 zPgKud_Kn|sEp=K5yvF=*DqJay`Y$HDCX5O88ZLBO&w@AsO&vulkvJG%Rv_ZOa^eg) zd4%BPDIvpPc_*r5caxXh0WO%>0^pxPyW7DAZS{{>Iz3oL7#BA2N*H(C39#1(ldxXD zxR?K;1eD5Mekb)lVWN({S&UhakiZ>JN8U{Dlkr`vcr&<aV3QJk*XGf7i%h0SKSXUr zmMT!ms4>up-MenYi9>KVhTT8wg)#VUivH1R(hbw94f(TtrdMFgr}!V`UiL8M6aRF5 zpdPjm-~2NWt2;q8|Ex=Xuyri!GrC-Oq-MiBI1hlky5LZD`^N=&@an+@+LPti`TTHp zefM%0cI_Yd2;?09=_@O>24aEgvCxLZhuyZJRb$o-=LHr>J@XdB>eV(|=Sk-W<W&0E ztl4_T2Kna>0zM}{+@Px{-uu7Y9EEK8hew>_TdtRypQ!cl(`6g+lDIn`)DpGWlmX90 z=4=qQe|@+pW~^QBwRLZfyZ;{VL+I13k3V{!4&+3059Wnm--ByWK$FinJuyN*`ar?z zy&&aFKdNh3j^x&c5ogrepF?7Y%k>J0k#nl=<n%)=%{%7HgVN#gUB-7iWMxUe!mGxe zdRv05Aymt1v$5<UhtetIPSPJLtkYWo+QK^ha~~#P=c%<bPwU&+Py7B`)|#z^R@D#8 zGC@@OV6DdmTmFL6T1dw8*{|2_mQC3uX0sw5w`#UchigjNyPIErRL_6yuC(6YQ#t>3 zvgfZf&nP#$b}Do4>1;o7-0nPM^)5p|+9qNw8Mz@6si97fbGk*SGhMoLC*C1Ii7uVt zN=MN(XlF^bG&bO1c^cH4n>$F1Un;KlZ0V(7y>+R_j@DjSj-tpBAH%v!YTJdABjo&a zB%W%&luhJq48`I-%NV$5jM=K9ja3mjqS9>v(qL(y7kHnL5^269*xTWR`(>}-;6I-g z!LD#0TL?_dtVrw$Ei2(P`M|jZJP)+U8cHL?4KtI8>F<pWCEL({Mg)Hti%pAgVFrFQ zzoBSudLDpwPBv`#HQPiIzP?;2qHozjF5+Mhi^j@pUR=_j9}E55EfNp^`W5)G5KHsM z$$~GfPvy&uc!yI{T=7>$^up)KzVKP0Shlp?-WXiUsm|yu%EmH%n)5(t#Af_lXvDA5 zx`RFZRGjrAvO=^VmI22-!gxs{IO(21?u~+WKSWl;ARd%@!w)<tQ%xxSkG6AgQl3c( zrZI#w(WM~1IG=eT3Kte^AfR_x^U|dL!XNx-E-F5HtivObv*99@goU~AKx@tYeCF45 zbkA(at=^RjpWs=zX91uv8=2~=%aKiMTK2B`!e6X)5&ql&;m0L*->JdFli`cq<Bf3V zczzyeCX_6+G%>X<Iax9IC;<;Y+XH)s2cv)fYHRJwNST2g#r2li#!pT5mQv4txo~3Q zq`i$dV$pl<_xfr+d^mUExR;K}X}$Kbnf_ZqLSMbgXZK-R`41m~*EHE)Cauvy^u7!e z%w#;73jG;DrgA+~;3E<_o$|^}M|EeJ$r=LB4T$4H*9C(|ISto4qE!6C&aE)wLTTO& zkvuLpCCoHw<@2JaCE>(5dJP_LmBvF3eyIG}iw21hF9Qwk<SZOgJ>y}8L49JU=s#7# zNAya%Lp|^Tsv5NuK=%UlaDbjJ(1W8!ts%)|`VKt0vf>z703Oymduz%99?KD-O2n|t z3LKHigB^hhEit#?r7a@U8m_ncput_3B(4$4oX)LiN@(A{5lTQNMe*y&s^oJ#RQE3e zkt(0z|AZL5V4;M4##jAJEA8&H*z(Wu0Mzv+6D_V_0ggq#&M1}7-sc85;JC1d>eRt; z*&DSwdedhIB0}#Wu)??-%T=a4_76!oM^s<~?G++Qp$88$Lqp%(HG?2o8$7hkIYMO) z>%uYubWZ2aucr0ePiWR}0Ui{70DUNT=Qkk&w7DGcpebU%Vf&yGkZxykmpd}_F1Pz* zSdcI;af7P@*6K8_&#(z_HHYaJTu0|%C4(Iw?iJ$mgH6FmhtVDTJ188c4B;*{<KCTu zbSju^@F?*fi*e5|c$^qWQt=|=%!cFETVmsCgX30ST1Xbpm_6sX9)>;4p=us~mAi7+ zCS*sHL^Ld2#z}vOvfK0U`<doG8<u0&pzR#0`>?P^)%6P*21d5$7&^LU7a{Lae6BSY zuiVbJmE!r;s77i+dOkshj8-)F5m8Xo;~+cwtwR+YwMoqLRS`hr>#2?Ji^7eQdHnWz z)!sta`UL(^%}<xKGntK;)&V-Uq2!P}o0|$tn@AF0n;B8;XK92hVh$ERX$FvcNp$Ea z-pMm8e<nTKW5yz(So9^OqfqBy5;zw`NjX7B06ou;K+hK$f%jMfyL--{1H}a&o28a6 zMFVsg*aD!($rc|w3xO`h4Cb+bIV|w8X;|ne4hT}PAJ20RvkA1Ce3B6ew2Zd<JhCWQ z{Z5*<ap?>@jb=H6!OM@<Q(`tV_i0H#a<8)<0Xix#ImjG8#D2VcgU6r78c5RqfPpSc z;4>VTFNameGKwpqO$4<=1abvpy|*tQ5hIm}O16TkjVtaoD=#CdLMrirNrLz@-qJb= zz6xPf1a({l@>isK-T+BLe@4?lCR6bj@HP}Qcv6`dWGfijxY}N`Ng!=X;=?9LBU#FO z1kySqsCy!iRZ!~bLqQt%k3jAp;wkVpTC{jl%UDlVuv~Fpd(Hj?X@J19Fo9>3pUIZY zMKM$e2O?+(B2YmdK_mj9Kj)7?&L85T@HWvoa8lG*PiR1%u@^*uSxn_jBJ50p5-f+J zhM`Q@7(v?@f%+A>{xTM%J^m5+_=osgc$?u|kcRn$2GbQ(dHDgP)k}ESOW=JbTFNsA zX@#RKfCC~%7KZ-^mcYbL_IN7(y7W>$Tj2WJ_4)Sq-Q)GGi%%n>`)Qhso~ueH{Lk%f zjv3uk707YUXl&h8j8piYc%)(bBUy%ydUrXlHW6(sBL+cwK8@(wVgXPe@aFALZh^-N zOtIj94x?yB9LjSp9uTEfQ55^mT&P$>?->lp0E~w_aQ%Hwk3v=x&MIe4*f1;B7$*|6 z23LwXnH36@_wS#w#5p&;!QP&oZ5xcr<8M+*+<R@?N$MPO{+MK*Jx~{`QC4@s$C<vh ztk!-C@mPu)olqNm;+r)ky!r#e`I%Gf{IaL?-ZVw%8Tr?=UiUTe;H-709w-}5${|R- zBml%zbY<XpOKIR{YHOcnwA#cW1s5}TWjRH{S?Ni^Ra?6{i+Sj?{mDrO|E)e4&SDm0 z-|M2$5TB_MeDB1JJVL%b5&USa)B^nR`))dAQ(kz&np7m^RThK{>+NR5t?fA3j6N#_ zCM#+5j2;anvDr8`)Nhkg(PEL`kpSg-Y{iGXY_wuCkJtAz+(>@O7LVFtu+rQ|(t--& zS9ALi_C!Ri5$b&AW2$zG`euzlI*Rg@&f<wbu?jXuNgDHeawL>$aXoY$#Z0MYUx}hm z^7HH7@YcA-K5=Rmc;^|TTTj&LDvZR)KPP3t(ujd+R=!yaf@xG3EuH}W#9wj2Ji_nE z@xeS-cX{;uz&y(H=Kf%wpu0TOnWI~->UELEV4j?eH6)#SVK0fI4b^#ufCoDJJt+8( zt5YOGNYT9~n!}KGW8_`=g-0zSVfAFddTigV!@zp>%rM!U_+UBt_fHvNvM~Ud?ohBE zn5#AztQUV*j~1-gpkBA}3apoVr~6NyzW<u1iT5tgUuj;3{ns=jCco2wvORo$%Z`A_ z#=(CK{1@HM{-YMWac^9{T3>#4Y!Xa%4yl?qlx}(wQkB~0WM6wZ-6Zg)G{yH6Rk`R} z9)@F4@9gYw@9s;STI|aP`(Ie~&+JYO2<aj#aGjH%B#@(eVK|6-i6Ogngk-)7)p1bs zZUEr*66c0q<&)M*S=YU;0FW7{2S~O8v|bsqQ@5c!@lr(JDydmVAzwP%%VPS4MPy!h z?dAblQ{dfx7(8u2miG;bt^9laQ-tc>;jzX+rJJ$MriPn$u8yZ8jO>P@vscGEJ57v> za|`o&9#?Dqnzzl(*H$co=U-h-cHT&wRylJAxSGJ9L{rl!!>3rR3joUEA8Ipw(u+T2 zuuNe+X!fh~bHbBrjl`0Rlts^d$x@(0|J{rd$|oul`4hv>;jlKykH<GQI?>y&&smX% z`Y_f<MutGHfg#F4;bH83>6m~0VUf1LFZ`DCp~p3rA(cfz@G<WfU8|J3ZvwWt_Mhce z17p`)E5~xi0XYJ^M+$-^ykS~zC@LldUX|E?)>%Uv_Ao1v{aC}mI}!D5sjj>>qpZzd zPR1wj>!JWXQ>T*5!ynBrW8V7QBVv#>QxG8)jQM!PCO32X%rfWQBuy`_Tx1<Qlhgug z2B9mhm+FsHIM9IrIxs;8x0mXD>Rj+t8JC8bSs?&^4~LiH7g3g@%{lZRAYxI<=aP@r zDr_Fh(I^E^UrA=lvS^4i@ffRHbmouxsbr#OOAtKwX9&emkR(hBWa7nBv7*WPCMlVV zKjx>Bi=Hh<@I00wltqD5BZ;Gs$2jMpOO?P)USabwuS)ed$*-yeQu48<JSsVn+68_* z%Z<R8-?kh<>HTdhh5CskyAs}Ioj08|6Mk<>(7_WwQZ-g7JSysMvSb`HYZ!uMR$U$y z&zpUvLs3E|8=#k$dX1d)y}hVpDQmH+bh@-w=cyV4;EL~9Q?s}@d%fe4HK)h2Rzjd7 z;SuOEfu2dYX2>eFP9H-9MV5=)_LB)7B7F!*mJ!fU4;y_2?ZD;^TIxfTa6g{RJFmh+ zm{(>M%`Fud-I78ahE$Na^HD#$GX#GFgg^k=-`KGr14c=Sq<2}9=#ddUct?WN_+tV- zcfL!E7R5k6o&qr2eTmRDK;)Aj9r2Gr4=*t%7TouI>iKai?E^)x3*clUeNp{38=gFr z91(+~R=Gt)@!K)OB3kz<=lew_NIKZAh42^=>c-}vhs1&l@TuErdY70$Yb&J75S}s5 z*U+_+<@O~D#n5o$fs^mbB$Y=LLt}fS9Nere#(ek^CznS;4m?o`e0`Lz44yuH=ZgV) zSkzgR3+YtW0$HSZ$RyZ@!Okp=$yb3(9Pen16?Xo!g(n0&@hJ`qDM*g~XJ{Z87AmW} z3l$aLu~;5~EWaL{qq`lv78piF5{8LACFDBt0hqx!Ws4E$j{H;+X22mOk<^z>@**;V z;cydKjV>oh$gP7Ay`V>yK<dbc8DF(8Vv_Ua0~0nfo!*5PH-~gzqL$}tXZKz*&HAFI zyC0iRQ>luae@}kvghjm(>7sUU^3z4F;8xtVoKk_lW3L9UmPjei5id5pcBD#2xj7uD z^*Lu^;bmr8;WwI+s}1QUCfsl2^pfZnhR?-sqaS=(DQq<7v+@**E}m&)LP&UUS@D*B zmiF^wrdGsMT*9q1X>q(ZG8l{w1}lNVx<S}e7|f&{gk>k-5;_<Jb<%h~h$}ILjMe#f zJCEXjmQZ292su*#v}&VvjTeZC7dho{F}r^-v981$P0Q78yw}xo51<ogCG5y44_pMy z9$6yJq4z)p_$9MhFEnDZ!V6n#ccRC`2$M+P1CXrRkI!|_`?ci|6v(oV>Vi`&s=L!u zL~=(z=ikf{R9N%OTqu3nR<(GA>$Mv+$#?%T@<YZHW*$xfp#4Ph4f)47<OuF%Fcqs2 z<W<eb=YxoS%x)M8Sd`_$ku%S;%@BUf!22_x5KN^BSZ9BAfOk;wl_2oNe5({wJL-ZH z|G^@r#GDLfht__zXn<?LlFSV1K(1w!n6;?Z^U_PF871VbZ$J6`8mxpFf%zJ&q-O-l zs`~gG7)0)S&j*%bD;KVqexALC@B`r?Sc+sSt?%t!DYK~GrSyKMHkJFGn&o%uL2!sm z2(tvy);v0FVr*P$7O(8QcZ2$f@9!`7;eM=LxQmAWjt<^M5$wDrz^XL>f&!&4fJx|u zNeEJH<T7BHke3krL5WTDOgx<RV(|51nV=#*Y?wf0I5uV2;C%1K{txYO=3g|3!QV7< ziaQzto3a&1TMdAXBgP9e23Acf3r3?~0uXY+a*!M}9&NC~AE;}{U(h|4?BCEk<m~)i z1@wBc0#KNU(BBorBmSa^+CJ|H5=W5}Ly>z-mO<s0z4X}#k;n)!Htyc2(x!^tt5I)^ zQExdw9(7Y1m05uEObq!<EO!m@i2EZ_3aNVrf_ui;A^e55Jc0t2h%&s0vgJA4H5QXM z0}53>994d`yO$?ltQdhWj=C3)x{ipLD7Sn@PzNeYJ1Wa#s*LnCdQdbX(Gp^85{^aP z`<@I$q6}~!+k)Sz#|Tlr9VO0+Pt0c54^aK~5f}X{jv@l}MIzTjNfE(kp~_f3RY*v$ z3m61SUIwDbsqj0~Ns8uT_-&$|dEuU?;B!iNe)*7w=5}!SGo7D(<O}~uc&jiu6{Zyq z)!(-cPSZ-L9o$~;oZl`8K`yS|I^G;jYlDx8JB;t?DP|2`vzy!n9}so}9iAP;p-P*( zfu8IgP7XH>&K4Pp*m$<qx41XC-d@hHSwjZCWObZ4)mS+c@X`!Uwv5h;it3A;L#Lrt z@k&ayR&D3ITZgA7)|OE4on=moo}MQc)8m?DsN+w!!8|UIb5pT#*HhV3CJpEYcsY^{ z+v0-0KHz@15-_<4TyqSZEFO1&Z$hj{QvGu9=|-Xni_G9)0(&{j<A-IH%i;H<asH5# zpijeD!(qZYu}?|{_PPs0l9NLK>>iKXA4U7gkRHIP?kF$7l>Ap+H|b<sTicD@`S`}{ zt>f{Yp<~z0yhP*8wTa2J=B}+#-|a-|98VV<vT(%Ei!Y5#0LOlmHUY9FVwqlj(KD~q z2BX)qT{isv+S&TWYE-+#wY)QrcaEf=I(K}D4$!YRA_=EF@j3+$3dJeCcY)5U%|?S4 z_cy(+rJ^1<UoyB_wQ}UfwwWCq60xeY<GnpW)D`;T-SR+@!c<qZZmh9-UuV}o+VDoF ziPQbK67#DjJ5ZA0enDY)Vth||Hs>96{nAXC(=2!)pry$b>@vXl#qPTc#mvPIq)K1s zIH&it*^;NneW=?esZvUwb%IQEBwL4#sHIdMz#~U^UmW#oe#*ZuOf0F(#K_(_C*pdb z<(Q1!=XUv`0x$CYsf<0%vrWG_1yuMsg|>(vMrCt=Z;PBuIizo|W6%DR;FSES5jz3p z4rL{z95NS#?R42g+-tNE@(vZeu=B~3?py)3(>mpjO$Nb(KN!%lN4ZmLDD(c`N&F$@ zA%3V$0XtwK=R#loM%1PkugYbA>~6&%y2gq)@H3B$KU|}8aNbY+1bl@=_06lXy53h~ zr8{)eAM<uk6#}1M!Hs%I^U*U@a?eI_MymK*WK*JBB#IhiWRvBaNEB=O$fhTHks<+a zM(iD_m3+Ibsde{<ni^XcTDi-1U)HwWL|<=9PnKhex<gMIBd=YYpR(MV*QK8IgO8a# zff&sScTutLi|EZS90R%*vp9{5p7j?$*H_llcNZ7inr7S^8!nEw_Q3mZXGL%9t$yW0 z+Y}YiQs-^E1)Wz<1I!Z|OY-Uz>~5}WuYc}dhJ?52wQiqCh@KaceG;v?J!!Lb&aeS) zO)f7dR!1wrCwsA3jL&sJkIu&@S6wCz+YD1WGTo0?u8!BiTVP_-fTzK82Dk2Y=fBSP z#=E~OG<>LpZjwEFrCnP2{VjQYpTG5<(ws$Oc@z4JIhn>^S4V5w^_W#<fX+@oW}7~E zJLj~ml0#GGWv5M%>Y?Xm*bKvi3gLH8J(Q|rBTpo6?c^@fehIE{T#fEsP+yOVwx%>w z&1|H~iXP#JFzy2ZC$g4fIM=k}{g;^@JxNE;Zp+^vdRnA^VF0mtsW5CK4C}(UA8-<6 z2ST%#VbHh~5UT9T<=KZKYWl^TmptkF&R5=|M@*OgdQ%_9K7Y)^Y?4W;k9@bhdM~Gh zq!yj`$Rdus7Clxg<iiyQUr`@k0dLVL0rs<S6yZp%XcXb)_n(c=;ysA5$>R-)vDtBW zsjecPCVzLgcnOuBES`wJPk<eW%XyY*$5+%nT1*^XqFu5#A5Tb(?E_f7tQvmz^qnPd z(RBFu>z9MQ6U7U?+(nKgwV6Ej**`nkq8M!G^v_%nEw}xxCEg1sjo+K~lgpRNz8d8( zilJ4tdMT^)PWb-MAipG@F#-c=iVswc=COy*B!*em0!p=WuOgJI<jynSykr)o`&O*# zxtXLffP>Ck6!RO#^S|PPKvhct1JlLWO_|B$t0r$=?k^{PZ-|#61eH$|hs|cje=&dW zZvOs!GwD0T#r*wVCtnPM4Uhhm6xhWaOe))l)-B}<`I*vHbKvH)4$qH(3T>${nG$XP zG*UO7&7jXoJe%lcwAfuZ&#Hp2BDBB{4xXYu9I$fV|L%JHKf_j?He;)_AEayR3)h+- zi==6%HpNpCV0!}+!&c+v<g=OEuHj#Kc6yvP1-mJI51%cXD2K@u^ga~+s`6%x0Noz^ z_}xfYP(m05Y-Dc+*vN44^UQ5dCa{qv%AT8USYRWMNx??`A7Meqq|-w<Z-A!WK66j4 zPctSDMMH&A93-uTQI0-~m1HvFuTG5quK9ry@tnE>{4n0+jG)5b20#9Px(*Ym?mxHY zB|9I&yO*t4J?NDNkj`Rl27kN2+6nPCG%=*>DX(C^Diy$0!Wu?7l6*A}iW#*LQ=*%& z6;r~xhYGqLpn@(3n9C7#DZpI%Fqad|<qWz$!d$5^mmACl89I&P{Wi8Gyq?@&c`$z% zbYQ(1RB`H6DpPXW-Ws1shW(OLqD6WY*(6*$ZUhqEgW1DS_10a(MZ!J#NOBPpQ7Th# zn&I&k&t`@MofG`<)T9WErz6LH9M3|I{eUp&m=fCZTHE-P5<2{P`fPpU^BG^f3<b6k zK25~}Z93$Yd-%Rs{m1#&t0C_3$)wn(k3YMGzuF5rs3}HVW?N4qT^vjzUHp(n3c&#z z;f4b?!VcDm`rnO+FDJtmk6$3eMtl4X!t*%3;d02u*W*09=90Bvb1FJ*6M{2F#C%Ly z%`Vufa7jbmqj1@|AB=bqFG+^YAFob^O~6vI&^6^@-W<_<>{kJ@_@ko#kHs)>Nt;GO zNn-2LIGoby&+{C{;?qg7jUIh=!!bEcJV1a>5cKR-(JdM&y1m*F&UmD{EnLoy()rON zl61AF^;gr1m{2?=1vYsc1vV>iun7sTYxA6$^K87&$pcJ-4~KPc_JB+$xK#BAcL#2q zq}Msex7jS{FBn`O+C!n;yKA$<my7#sw);tYre9eq%@{X<w$^j<&!JxGu8C#WVouL4 z_EvUbr&QlJMVI#RB^SXS8aW@J5O9>&=lNEYu6K7Drwsbb{!yD}8{o5gvekXQJ#3hS zDSYb`Q{QrPZUbG0&VLFiN_Idui!2%d@2QWS)3Bamzd&)k(B3Wyn0;OXJ+>-Aojs0V zm_eQ6{m^L*p~c+mvJQ|~uDut5e7bxhlxa81*6VX=N1m))Z~e%m!=rv)o@uVuuwqGM zj+ma%W;RC4j>?7#pA(~QSBSM9r*0a}f3}u{crIX8#ShGf2Fph-vD`SChr#Ep(kG;i zk=TU7ujKqLe&GQ&JCNAKWSYk6|1NG`y@QwyLz@n~wS~l=H7YlPO)i!-+X1i8^gJd+ zk$x~w&y+=*Z7oZ+pNp9!QZ7!q!9tyjb;}6~4G1y3uT>Ynt8=w(6)k*jbz$sVdmZ6- zxf3v{AuvaCdvSe!er{Ev74vFpuu`iyro8F9CxKJl3}9HU1dz{Z`(7FtZ?H|Bdvw~M zTsD*KT>8WQ&vuFq`5x-zx|lt`IJ90~=gf_NLTPITwj;0O>KA+&3phA(BWM@u9DG2h zbut}nkDhaR!6u2yMvw!CKw3ky$fvEOw9C<XdUC4yLB-y_hwHITp6&-<*z;cuQ+S+b z-LBFB(rP>3IjncaUTk}q@1RO*7GGvWXMsJUcV=Ftd+0~5rz6^)trj<vSFVN`y<37; z*YG408i_<jH5jIbYSIxnbt%E(3)d#ly_LOJ<G2UjG&Z7;ard5Afht{RS69`0w|KrJ z0TuAAO={#z`@1&t*NzL<r$2sdZi;k-mn@+HX_M&B5!yx~soqq>gD<4@-1#8=tJz!t z|I8!I9d&{99Q%qo^h$u5!)Id{RT2i=Zzrc+^cMAtWPd<wNIB5wyz~+DQFZodhDDZP zoBeqDs|WPq82^Y5i~Nsx83k>dV9dYyWgwpl_$vYEXcPGh;EW~oW{$Sxpw=Xfea~dV z1ACfu?~-CSdp+H$dRBDbwOYSxm||aM=`>-Z)Vpmla?vF1br{*~j<We%2q=PTAk=z2 zp>EIx>Lev_cCmdL>>;nMPuyU7;4ZlhH`saMvYq${sNd(VJ=Gea2*GQv3G9736Gk5h z(f6KOwRmb{+I%^+`oOxkva6+3aL2y-K?rs;Z@}gKT~xcygrB9ZIdj`A-ITQ56*3*V z+4W^I=MoVc*&bz&2rkKY=hAY9a7@E014PJ=DK9)eUfeV$%%x?3K2Oj`b&Qyp%DVHj za0L)EFLK+gQrXX>YR{h)b_eoy;6oed2f4$?KO%XAXWN_}BA3O7|K2?kK0+jY-}H&y zMc9LG6GHL6sqQzg#iL_;msWgnHGkH_4d)u}`TX8Era?L-`UR!*{N5yF6P07@<ay_c z*a_ar=tj2Z!zMIL2!XNvLkNHd*@UWyMm+HI7v|Tb=$q5S@etWU<>J?za&+wW9vVKr z!#g_i`EPKZNjI&(A~=Pt?Oy8I7wjY^Zi$(jgX+ciGZ!cG_u1PXLCo_6BN7uSFWkT3 zn40HR+HMo=xBiyV+HpFHWAttJ9~P`?Vj?h*mRNudLVq_U@!wg0$N%>*8ET@*$Mfve zM0@4>ONyV@!$YjQ*Y<;m(^Qks((i$tXnpPhoVQe;_CCfj1%3~$Qq;zBq>~NDl(-hG z34k-<uRl{&J_v4iGe@Ma#mtR&gUA+|hqBG=PTmc*eCgQ<?<m>HG&txH#6P-TbH?%D z+Y~;!ynlSXb~=#etNWmj;!o31>nb;vUZQfLg4M$>yoW&Allt%A_D>V-!pMc*3{gGy zb)1{ncg#8MU9tio*rg&7PwFWz-i|^xPkFa42lr>ve2Z{Qu}goq#J6boN&SV``jXq- zL_Mkhe}z*cj=gvMcJ9PGNlcuqxDM+I|6Vfe*}t2vJ#_(&>-SV^PtDkjy)lTtn-*Z+ z=FjrTsOq`;`ekTJt?X0~+Sryz23d*a-@mYso=LN5nVg=u{`l^+b6)D!V7dRb?F>m} z)Y8c5>C8NMJ1fTU8)r!Q^`-xY5!K$)4~QM>*yXN;MwqBmG#cf(h;I{FrUu|^<%o91 z;GMwzSi~I`jq)1Ax2Y^sH2~s{phh`%agfA&V+E38Usm&isO}+g;wtt2SL+W$v<pqY z5_N;Y&vu2>JAq5;h*Mv8a%Q##bW$asrq1Pcxn{i;sOz^&V<KUD>#0R2hlANvN*pxt zy|c7PD(ihs`L<Q0h5qB6MjbjG&3{5^VyyV7qTr8T7tL2-x~tP%UMlNtLHV?5B$IBX zZF|rH@F-bKJqSN=fB{z{3n{B|wN8%L%Njw2UhW~we@4(HW0}13-`<5NoO5}+*>+uX z&9b0!@(=^d#Lechu{{4lNz3Kmo6KP<{9K$z<Ud(7^7+(s=m%n60)Mu@HxviS45KsV za__TV6x1fFrZTA&TIZ=V4#Zd`Gk*`_%AH9QD<Vi0E82Zoqgbt3Vy&YEK56DnQ}IN> zga)}~l9;t(0v7$1HT2|qePzBWd2n`C!I?ts;78HV0NX~yL=1eJW>nG3fCiHDJy$1A z_hsL7fbVJmxvf$3ta}1kN=5y9OQ$Vui`fA9BnC=0O@orHX4WG+-P*UFXY0#{X7_Et z`)ZHw?yJ3U5?H)C6TM8o)x_MsH8AvOcIR){;ZFzOiJ?OZP(GNrK0g2X=OOEt&j`4a zPZlR!fki2m)$zNpzO#OtXS*w18;=K1plEWH0y>pUI<J`eO_-QpF)^Dk6})27?oqA` z&ddqRG<~cRH5_AFh*kYAzMKb}4HcRgr5EcR7mvw<&5DX=X2hwcnje43fX#ky2kY&C zd67j{4&mzzwRlM$Y%chccq#^LF4QjtJF5S1Q1Hx_QU*$40ge|=D16Lsm8W&mgk$hM zPa<@typ{eR-rhSN?&td#C!(_wHENXTLG<2h2v!eKA`(4%Z>vUhf^4+tEP@E4h3JIn zgoqlwM(^Uz@~-#$ef%Dud%ySb`@=rwoH=u5=A7p|=dfP8)?t~!X+U&4fGUrDmt{4z zAjtif;^s4T0j&?;o)Ku$chex`iI1Qvc#K)=((p-U2$k?WFQaO8(IBRE+ya3vL%8od zrf^aX9BGa$sz3v|``0U9e!#N6nR|1XzKa1NpP&SdV2NMUejoNw2TmaXVWTv)(9CYi z2+`;4yQ7IK8E?tIPL(ktH_+UBM-yHz0HMHn$z!E<vs?y#9}0&FKv=Nlk`nqVSp*DX z;X*7B7GnCYoF^snWu}3{^xb#fDI}mhR3(NR3P7l+GDzK+_`g^<$+fj({<p5NU-EpJ zc^Qt6mjh2{fv{mBg`R&3QTUfV;16KDE8ru*4jNyrsrfXiQENq}u)n6_ea9hePW#B| zYk%eZn<nco+$}?|%rJ5oJMg4cqRCxer}BJFXnD)ta(C2No!q>J=d07RHw#_&x)V)b z1Et;z{SrLhg)Ne<d+Z6Od6A^g-m{p=le2XxX<*>+sx2;UKf_)0NO|&gR-g^`M#Czc zJG+(GOd*W5J(5r>lAJN`7O(OHZu5jUPr6t%?pw9Fl(j9$=Yhv+T?AGi4C^Cs{dCry zZBpoR!{zM)cyhGobP(-D&?vo3ic%SV<B(Nd9mJ+~2%7+ojxECQVF5;sCcaZ{Ih4OM z(K?oWgjTOmx<otZom0D}>*zyR;U<NOjueLuYA(fGq8fP2n_cJWJMFkkp7*-)3dP}( z1|;N_Y&_N@#d*5V^ons127<;Ebml@yn78cTl$m}ZAK*Ur+{s>hGkb7rVUoKgY3a>u z{HEC4K=|*if;L-Sms#&8=>@IjwX{RmPOoKBBm~KTcLFoMzP6H1;v5R(p)$!spTvG@ z(4Qs^8mypEEDIVc69$nX&fwGUAv~nJBZr3Y=+}fgBJ>>1F2UAM!>$7q!Ts+-$l#yu zLa@;1e6T<F!v}yZOnX>&NKS=ST``}~QAE?=`ifHFEHhyNz^xw;k5-hdX7d?xL(-!Y z{-uzA9qrupXk~CfGfP=9NB&JTH0o|#Mly@R)0LMMor5>6;&K@V=~Y12>u9BjyVP-{ z`d~31TvD~Bayh9v7z<tG41!m%M&MuBAxtpg1*88{S7$D^gyR{Xek-0nHMpK6S2(+b za=B<G4TGa3z`7QMVA1!%qW__ge|`V^gNTa(i6l}}CTac;RQ}dkmT}JrQ3v7oIUtmx ztm#%(^d@j+Xw*IUdm4R;$KsB|orBngaSKG+3@R)Lh1VKjo4FYj`f6ZwPN4Nueg35g z)%Dd6IB<S02rH>%5d{f``_ojLyV?w$Yz739)Rw>nyo?h<PKhsBq{RQ>UGi?{m*bKT zw*J<^`XT$BgQ#m^*>GQA0H@`GU|<&dlqi&U1i`a8Agsjtx+Kp0$;A{o6sEeS;vZZZ zy?!F#P)-P$EOKh}k*)H=FLLop)^3Ke*4Opr*Jkt4ikYJ+{B+~2kM!jWXDvtyUZm^f z{7a$mS_dO;*fy0Gj>`#Q$3V8T{?SHUwpm~=Qgo_P(j^Vg29>6br(8v<x9zc=7)A)^ z+w&MZquPI{?(V8GGu)`IBR(-pn5}IVv3}vrt#ZR?yRK#emX`XpK@bUY$JeSu&mM?G zwN(pxJC7J1&Y6SzNHF5|#qjSRu>9kdo&!<I%?8<YKWFRqgR|s%8!_)@lYSArI+*O_ z<2QR{=MgKR2P#A+E+!{&ZmmNhH0atNaC_b*7I^TKV`X3eoR6RPB6{N>F3#fK$p^ZV z4=e)~E~qHisD1l4p@O%VRI}~3WXXK9x%LJ+WVzW`i3jxBA|;lY(|#x}Cbukihc0(# z%T1?psF$p{1@WF_OlI2MdyuR!JrfG!JW()N&W-`!c#tG-0(q)zvPWVkZLudUS>x&v zyr|~^J}sbUBcFW|CEBpe-_a1y(NHdIPFOO{aC8IDf=adyxqiN=xh4W5s+flU4sHT; zZJr3T8_|ntf_I}c)93B%OC}2^3fa>J;61mVl2iHa+I+mhH0oN{6fQBi359{x^FQm} zlOglgQn%$9$2RYO1JAh;smvokzfeXWvlrL9z(9?7JRh}Q7Eb6n$NvZ`eyw(LCQ{7O z9YKi~-`<(x+)=>n64xP%!q68@-*~NOYYwVrF)w|4#ZW?bpE2@NoG@p$+*IoQ2bY`Q zx!ODhgMBY${@Z?-JPiSORK#zdJSswDCnLs%^LE4`j>1&uzODwnz1pqIm6Cg2g*hrt z(85bgeVINc^s%;#Yj=i;BgT#3uKkIRRm<0cL17}_z&mBwn%2y>Fow(Znl|}#566l5 zEr;}GYdK@5(b4<sH7eAvY26)L2<JI19tKXJ<H1y7>z<lovn|Z19DNF0Ww&O4G=%oC zBcg<km?TOw1b)uc0sZ*PpkH@)aDdfXG*TLBj}ox0(8$K8c>dK=7DN84{%&_ojzgi{ zy9RO{U;LlXiqW!AoPD@o)p)+FVR=Vw68Y4Q?jF1xPwYbN4ueW=TxZ(uuj}MBbE7cX zCwuPsuiqUMw{4PbG+V?~p35ELCM#3c`^(l)z9C_b@^9*r-QKA97>?<%k<ZcC79;U= zF}2;6s3p)EkEO|w{o%{&?)H;b(?v?1`sG~lxNg}Ur0XpS6pqftFL*_*_EdHvXIqD9 zSHn}cX1Y_GnAf4lO^VpBWR<aBX{cbsUJY1a^JISucT?EXC>N(adO+@Njg3X9(ao-q zsgc5+eW10wP!#i&iXzO8^WL^@>s`j=71FhXCOlpnzU6w$oI0Mwx*Xiu*RQ@A4SH&H z8EK20PCRpg=F~YQ)_p*=YL~HQwVLE-o8@>6-ORE}k#Hw}1|HS>>jNdA;H@MLHBs)_ zj}ni*F5Vz}4ADTeR7pO{x~@(bUXfAAHAE<joq9i(B|x=74txEGQTg#Hm&UcFYj>1q zTK(m)QyF7fq_r^A80YZw)xA|Q4B%dT5U%SE%*HP-OE=P+&uH!EGNGYNXmN!47&07L z^8ug+gl95A$Q9+tUnacgeb1UwoD~K>j#UdGn;ZZNG>lgUV_#%13p60QVQ4Ckkt=}$ zjTRJW|4>{Ocv(>h8Tdm`7NQF$%2PVQJ^3KKXl{JC@KR7WN@9zmr4S1s?#^B34f{VU z{F;Rd9%R9Xm>~%S!lkZ6$ZMwfMH*T~G7B8W0wIN~vO=)2HJEx>pPXjAV5&-Ef#{*4 zi>_5uC&E$LAZ#$|^n|szA!G@3^u{m-6h&KfH8jG{-pn#Mi92=OYr&lNns<3}<Z;=T zFpz~u`s^KxtUNhumy~IHm}?1%b0dfFqCcp!8Y|}C#0{o>R&1~w-uCTF@(afLfm=s( zQ#2F(RN0w(VPuRmJ)%rpg3N3&kG1`)s#auDN*?bmUO`oEbGzgx>l3LF1vwQ@YR7(l z(9i;(JR(Br%CvfS82vNbK}}?HtY#ZMob;Av;r0n!LI|Q&l_vxV35C(_J-tp-QT}*b z|K7&kH*Ob_-u6Fus}i4L`%RnB4Tq)`qLQ-nOuwbNOB%a}C=A)IGm5ez{)DaXHIe)L z2hX172!GV?Unz$nUmx)NoT_%DXW4AT|C(SM5qC(ngV874^ni`q(d3AQrqy>T+vCPz zWz0|M2|-CF%$x2p>^4q1Feh(ji6~WmQFvCfO@1+AYuuWh?bE?{I8JHLN1&=gs_fWT z9XEDAI|dRj_`y)vR-y41u0%PAZe?*d){8nOC5_NznzcPkCYt)z>_iV2!Wf0DUks!7 z=XC}qsh#S$h|xC>a|=&&H46);vp_@D05tMIBg&wVu5h{#w0e-LlH5X0%5TqzP^x}w z>ZDiJ+CH4wG%19mwm?Ym_^;J)dIf8vm{jZ*z`L6v4{)lRbq2ORP(D80h2L(0sADvL zzJW3J8-Ax10!7>6QiV4(LA0>-OupA~)&^*!z?+&NP#~6m=By3*Lp0Kp3~@Gc!7M;& zV9C0MqZ5#)iNIMOmeF}!L|S;wyAnz=_AaEb(T+nK{i97L+j|pS9V3Bv-Aq#2QkjK) z21WvRk)y=JYu^p$mRIwU!W(`L>KfMK^lNV}XL-Y^S|C~&IT19pB#m&gW(d?k-`P7@ z4&@Qi0E&-BM)sC`fKeA2x*Mwr@mQ{p#$))-zZEe?Am|K&ehpV~b{buZ5E<Tkn6JpY zz062c+?pNl!AIzOd*w@VT?bsW1EOg%a2SVgR4%)D;G;TYXTnnWiy<WIWJ8~&a5^0{ z@C`sC6ErxGjcm|RHDFmSQxPxmMOv?Z(2Oy{nb^RUr?&QbH<X>^u}2uA`*YyF7)4k^ zjO|LYI6SN!qK*Dkadd(f&JCK^4_taUu9WjqqZ4$OO7!Jl2fq$3*MkYx!(g=Q5ASP* zXu?cyd+v6Jy630U80RxAgmzw>cs{fDJKNsqAo#Vr8XRTjmik`sYc$bj;djU8G+t4) zYEknJZ1=`>p;;XXQ8f?7i;cb2C6h;L6ALH>uj)i(JYJPX-*`J!#1PDUu!=RyS`Sn_ zNL70gL$Z2?c9|hHoh^f&Vg*gV&+lZIy2<W$8wt;zrIO=e{`8vHL}Vv0p}c2xkPg0$ z?d^=$MNh>L3(DjK)-?w0K?WsnkScSa>O!i#kgAMr+8&)8;i_b<BH{96q9Wna<e@_0 zqU3lsiTu*OCv-G)Q$Oc!{<L{4CgX!1sra(*!Xx--Jq9$+J%V9JUy-fT7|9$W776MW z)Su<tRJ0Q0pyBL@;oAV2u9~fuP>NhQq2+$N7Nq^A6+X5v{j+HOgmyc`&G&|TaXswp zXm4kFI@P%0WM$eehWWwLT*Qi4RpRc&Tfg(8q0`X5+r|wSN1IJEXd)Nt%M+5B?iayP zr_8pKlBP?r-`L)V>O$49<`HPe3Q<YmoqYAJ-zbOYiw%<#?kT6{&eJxVk9vIbJ)c)p zN&1{^?|az$Q9fyaO8#!zBid1LI}834Pc2Dj|Exp3)BF22(T+J%m4Z}R)Tv{QOkJEX zk%Di38JTrxI8VQ*F<w03GCV(Cjrn}BFx#>Ki*+aXbz9<WXZi5r*AKzZVxRH~KYRGp zRd|d|Pm6!@Igc4i(bCf&gr?`&uQSC$N&PVL+H-GTTR~e1m7<V7p^H_E^~1^`$pI>K zph`xn?2syIqzZE-^zIh9iftRC+fPDD$1vaORsq8&WSnK#)Q1^ykz~FwtipNu+kxSp z1ECpS#(Q*#95P?5!d0Nc@dm2#w<odC_eN9s%A>HQ)jmDg1Dp#N<KHp9vCFgDizaF@ zD)blKKlUeSzTREiJDClwy4|NZ?}^coz36rq{hM^Bh^Qsam<Sf-(%11aekCgIk2KBS zQcP`Pb)VQ$V*O~xdjt#0Au{_RGxpJZj3OuJ)(=SYN8Xliw5Dxi2RA;}lYhimx!dmM z|DO8mdwwN1?vJ8aKhl7*h_>A=_&v3>En6skJBBn`T$Dx6Z2P2aV38s^ylXqGOxmJY zQ9Y&0GQ3RsN;myiOE=<AT@Pr%F1y*}ZkPpK*Neg7)N!M`awsT>xrDKQvmz?8k;;~G zSgxOj=bH1DEfiClvJ>lT(|hR+v<>hjo0wG?N&LK}i;qWW^UckNseCA&4ng5=jv4ss z_E>QRruwEI0{2!oz}Le09PYIIaR1fUZG);~G8~Z)`#pDFl@HrBnTPgC)Ni0gLfvnz zLS!c^{rpL+x1OrI>+o)#ti1ILCUM#e&bBag=t}GLB%n`kmFej`U5t4z(|{VME+)&n zqq?j3kZwA#lQ}Po{P^QB!@JmDQu9Qk1Cc(XXE${_4{3M8III*J?0;ji&tu*=Zmr^D zkmQ2}lkA8B0XD|R@Yx30r3j>B&?Nv1zUj2(2AS$v6Le1Z7WQDr{58K%S<~lB^(iXI zefvapVu=Pa)!ZcNagMR+e1Ty<Ju_M7w|h|I9#mzw)ob+G@pPi9>4$*FXvuVi@8<Uz z4$4nvqxNIEhigZO&C?++#%eA*Jt7Hrk7jov*x4Ra<bsd`oM3j<0X)h&`g`}sp}l`) zXv><7`t9ZsjnZ@9GrJtGjoGc8ag+M<qwdg9ckc3ymh3?vY3i2*T+_ZR^lzS89>?yI z^kVeX<eiJOgbFPE{IH-9$P68j4<$M~*(mUERDUg6FXiXA`}_IIwb_e?{oI{XcY@EK z&S1y01La(|#ZM+pXfCQcrX^2z++nve&ZQj;9}fI_>w66Em^O*dzL)#?`=^5+bCepB zm{22k1=s0os}fIYOJz>Z`v@d2wzf9UxQz}mQN~r%bYGe-Ivd+Co2;2-S58UjF&o(2 z9vzPu^{UWc-H?J-45}KgD0~_eO-Y>UeJT%QpKMRGYhxE5d$;Fym(yT{v&4njcxB2w zw@RJqrEYBu`(%V(ZMpu+Mhjh5BF#@_f}e?Y&vm^{eWERM-5qn?foKcFmkE}+9o2)` z9=Y{B%BKg3ZYv5S{1XMX-wJEg7bp|Y)SkIyTQ{hmZ|_=6u9P$9bE!$np*nsefazTn z%HKDnCgpD%k<=S$i%5R?PADh9--fRze}h6w2sVmh&HMo)!(5#erL#tCf63faB0coS z?lJs#t@p>YA?LR5p5pMLw?DYjr!<|v+<asI(ntRbD^>~p#A4kZ@#J0(rb{J}i99@A z!+(H(`g{IzAWd3UQNa*f?FyW=Vi^YhNu1cI|GkzAzx*S4!E=!iQls*~H(9u~?%^eQ zfkn%CCW!tl8(nHG7io6&fsq7flF2=G5(AeW<!9lX%WJH)$>SwiDSibq5s=#Z7%tNB zz=+rj7})@$erlJY4pB?VI62sNL&2VpkCvGh(glOlx^?U`xgIvRvoyfAg=NFbP&{@R z>)g7`1qb{~-H1qLY<!Yq+d_>A%YRrl>eWTzZ1GDxYn)B-vC#{#_hP_5vKG`tZD?P@ ze(2MaIY2zUr%SOlVwZc(CrTai{)qM_<(8^_9;(TPIa}lCQ6x$P!K*UV={+~3Q9jZL z4H(fOjS#3XlZ_X^O6bsHTUt|K26teL*o1?HXvQXWtNe(Tn{q2e>Unr6X({#=fA5aI zPi!jw(GxsD^oRHh*Rk@!|Fy`rGU64O-j$1t3%9zh*h8zylgHc7J!H>hN!KirmmU1T zBI9Y^v{XNRaN+~S`$@f0-48xnWyoXMBt;YQrUfL!lKirzB5vsNQZrhJpotHWV^cir zb4kcp!6WY3qr7Gi{61@i<^B-4W%7z3Y6X_5>X1fO9xs%Ci1~LVmZ{E=R$*RgLGtM% z|NX-($F)#K74`eC8<_OR_MPnw=f0JGV=(FIh&EYik6voA`%t+nIqF>5HC%GwFqaVR zui(pu2J>GlzlAca_84DkV^$Og#fj5wRfJp=gQ}~{tVBq(G^>nZ)F(188qJ`xle|&2 zuf}rqA!;au8q>krm)F1UBRN`iayKHN8-j+B|5^a8+9s6Szlc1NLda!a$X}}{8Fiwv z=2qj#CwY7-5l5=V0`wk~&2c@v8S9T(7!Yb92yAB9z#PT>IV*+(zoG_OXfGwEL;obV zf1Mi<@SKDQknM;<6I$*ie>&_kaSMEr*Ic`yliM0z?UrLmDH)YXwjE}6Eo!OXxKLxt zlvp-*z-$2bBo;wEt$9@+V%5~+v7BJzP#*=R#}K8Zzg}Iyx7mQ>2`qK$e*CSxs(zGG zm>X_!3tCIfO`1D~XrES8`5KER<<_<upV|du-XBG=_oBq#vaT8s5xe)Aa#s2$KbS=c z?bCQv1%%-1yn;up5B^pOZw;z3|Er>AG&H1}$7r9{r0o3ldgcnZ8u^fJ#!!BlcM8oE z5s(+543N*gyg|m9<HQ}ysW2`t3420yQ}bu8#FKXne6P6Pd(|Y}i$-xg4}{#p^ndSH zLynZtgL=t;6j+nQ1tk2SXr%O_h8!u81gRmV@R}q}AW;WFkWzFFIZ|Q_>LmrztC}PZ zAaMjmBc<0h<Qx!`{p_|V2R^}fw_&<$g4u13=lr?NC7*2!VdaoxuQR&fQZAKum=-1- zi1jsVA%S<hHrcmjUb-64wNI?ASr5AIlQT_oMY$%AQn<{Fj*PADkTXdJ-{|5i<-@8i zEy5mNV0hq%MVDY@Yy~wp(QC=+R(x}ILxyGO(;#hGL&>5HJm%gpT`)AyGZ!Y!?rRj~ z!Fk(sM;0sd!LD-9@^NkQH$#c$`Oq6ONsEaX`#Y}u9yLC}UkK=ed3xCKdFI!wUpO6O z#ov~}dX}t5(Gpj>=GeI)`_4`~ymIkxs?8OY`s{Nqa<2JZKy|K{?dO5y#NI@0;TxSO zHZ$E1g(Akb_WIZ)u&H{#<Jo5(!jF!u?k$SbR?bzEVd%za`AsPtdr~e|^}QgHn5*$M z>rs}A<CoN_R5@;&Cxhif$Fy{Wqs4V9=MvI8-WHBK_T(4Vlzvr~ixQU9;qN)-2)#p* zX!f*}JgRWlP{M7ft+yN-V{!3w>V=N;S`Dk{$G0i3M!q>m?ZMoVDC19Y^vQA^ChDpl z+IUyJXNo^1)F*2fF+2k@ndIlZsn&ovSJQV*Sv_US96#PoWly!XTq@>)LUsC5f&L0< z!PHx({Cw_Gp?ImV1d6DoOGVhFq7W#G*De*^NCm8E9cX%fU25>-kxn@K%9J;DFBR%Y z#ehR!Q#k(7yC)u71DM4jPOFv`UK_)rR`~}@>=yikS~%AY>b+J|hqX@UUYAp>#Gzj+ zHkNc%J1IC{>DG_hJG&O3Tbd!lj6U-F-r_q2uQvfwMWfKP_!rhN0cTwpgZOu;jFBQl z?|u17N$_h+9E~$=|EjC@Qcm`G`b6r8QlHoj%YEfSXHCrw0;gW<986lQ3NMZkVXJ~( zDV4T-x<$*PK3QVjg`C{+jvX-ncWf}f%6Gh-FSSAj1}ghr91C}H@e;Jv*$a$Ib5es7 z0-{%~s7KaZ6XGLzpfC;b;w-QFa3?|y42r^he(lmxs2X9Hlyt~13(bf7I9>Op$d~iq zep9bEmK6TNjJ7{Kv|2D)#LT??G$T!*!fhO7{0nALfD_I$mUmtY^LJ9AlP)69=RUbh zY@YwFsDJ$Y?cs~<n~wFV5xUF`Cewr@1b&o7Zl*6MTA}`-cDpFBkZP)H3F8aUU`=mg z&jvgXGEA0WWeC)otp+RiAV_QXSz`*H6rG%dza~+WJWD0!#8S8AbdYIZhhC!JX^e?+ z+Elu+<k{B2oF~62vt6I)_TuM@boj;8hubxMFY8{N`mav;3`{y5{@!cpxD8uBu9AgR z;KQ~bj6bNoVUqp^=A)r`Y(2_GX8Neya9H5*tnDohXWroAkmJphYu)n2q7QCoXgP8x zN~A|e^gn#aX}z(~HovjU25gpr&4ggLd{2;i`ZuJF8nEf8g!p>d4ri+vo$TFO8OkTx zm0OfLKMU{;+*;B5K6#7lV40Ul3Ql^D&a$tYTVoa0Z^7hG!|_<AKNW74HJsk}0EOD1 zF*t*tt3$3pG(8FBq}(4{+RQjStYj)#G-YZ+C)pX(ZRrb^v%=|qn#Lf4SrTK${aROA zE!rbjT#i>I^;8^fA14ER>q1EH<C;XjenRl8k!eaMA}5_oQKc-?{js8bru^UpJS(?U z@Ng;*R&$uRI2F`$?)I8b6qRQ~{P>tia-5x`9`lh`BHn!lljuk%hF?tz#*DIq4RD6+ zi3acYzItC``8F0hSp+v|Y{zwY?i72m^86Gkm?%tHR1Q%utXMtC0fPN)M_NmG#p)LV z;o|{gtC4+uof;i5v|<$uty(>)D4HlNf<09-*mruG@W|EISl4dk(wQ(%SFsoF$5l4+ zSGx7Y<fmRmQ$H$JeRFkwHR<}E&QC@j*gRse8jru7zblHGm{*UP;)cfY>HzF~;h-M# zhdU4y8i1hCfJx~Igx5&nbpzND5EdJVIo$Ub{VawiezEnO!yvYsRWY%BYW@#G)%~J} zVh)s5KBN6(@1M~qJwYH&jX@wz8_<5Z17Qd$3^kyoxB;Qa_+_M5_4D}WdRfo;zMK1% z78R?y<7yah4qBEN<;o1^kY#6$We;fADTn09b1BG|$z~_HCF0x<GL8&?0)r_KZLpEN zI`~9Pvd^sImBOrY(iP7re)RNyqU-%o<M2J@P>gh@0!_M={s;|?&HfppJ34H4sJ*z^ zx~M69taE@<S>UurHb^~OyCBwOIRtS7%aQ@zD83)@q9l&fvOj)Q>p0HX3Mp#PS@w5p zXxROu4g06?S6f9x1ICn7<;j#&Dn>LI)E7MAcj2aRvgID)+}@+Pr)R)_WUGY68kkp4 z#k!D(FTpS<6`tYCrKnuv8`Kxh7fWwB-yNrEH$TJ6fS-e9aH5$roKC&+{4Mngdtdb4 zOgTf<X9#z;NlpzjjEi`mp?<KlX-U3N8*BW~Nju?(;8CPfB91hOa=6nFMmjFoz2yjU z-6J#Qv^s3p@x55tR{LOk0^lccNz!ZUIHpVQwH&@z<}cR$#C)JwiD4rN#HgntS-`-T zIMv9N>Cb>?XH8@@F-wA3DE7cClzc}MiitQd=^*2m;i|sxs>{gP?byuP@P%~W`G~KK zH)0v=%S>yHYhy%GIy8=f{aJzim08(0YM6nY1GKTW9n_<SMuQDf96RL@QyybbNeY0i zuc*Xn-j=ho#fVGkqIE)p&=;AQ5%TSXfG_wgz@rzOq5Ys7f(({C2v!iR6RZ@3kpzSh zSZae=!QvkA3%z^?cHIvW@h?~GCd!2T%OMS66$O#E1!s=RfGcUFE9oHFj=%RDO#wXp zu}z`Je|ciJvuzJg>3Zmh7EQu#B2_DJFA%0%-!B*^kcc{mL3Izg`f+69#%B;^0mRY( z^a)O<7=P_Cfl^q=&z4rce-KWeEAet-3~VP+dLDyHPHoEn(R})^75hHe%KlN%g1w}l z(q4z8P@2E87G~%xj*2a#bFF?&yR{kr4<V!tr7#?Wt|)3M-H)*TX7>YiX_cDFprCG2 zgQKYiF^&!|XUTECL$lnP+hvOqo=M)@*R@l;t7fnfe1{C*CLUQ92pq~=u?qeN!h&T% z=z@U0CnFnX{LUBeiir8$C|?)VNtx-S!r;Zn=2I{koat|psH|-zkh~?1oGsOJqFBxK zmB-Ojpkol(5e;El1s(Xlo|>yMRCdp4geP>tPhbqy0Y0<8{pxXa@^_P;(FUH&4!9C7 zV=}nFA3DcE14P0NDU2b7UWkMTQW!@HQxFL+q%Z-`&n<!p9`@$dxC0Ij=&7HjEE`#T z`<bAw6S-BuO!yVrDW_Q#2`S!~0*4p|g+0C+23<Y%Xer)k93zVjEF24$;{sk=JDbG5 zK8as=l)~Iyl?Z-j;um}uL@QK##9?r^I3lRk=8V=N$Di&*k6Jx(FFnA33y6g8l#EJc z4VSV?O-+z`R#m^|^G)2r4+VmUQL4_<+R3LFwwsB+rUm#9&%`I>)ueK3>>C%Sr2ZW8 z9|%ejkHf9`6pDK^nxw6#x6&r8o%^Ocjpnbzw39`Jl%Hqjj!%%~=1xpBnOa{h47sjo zt-o^Z?vOElxkjb#lBo%IfgWEyt4-1OHF^zgKZYlEt31hsQNd&L|BTFkAW(6@_Di$I zeTXV|<h8fF5k}8u=uUF|?J`YBN_@_V&kn58a2i5j*Vw|Tsm^+l!gHixM0u8n6m*b6 z8pW9(QV{1-lRvk~6_{o#_9-AfSF?Kdl+LCP_dL&GAtGn_P^m~;X?*vIHt`SMlgWWg z%D+X@b^wd?GpPV1E{?nz#IvVw7HiU3^?lU-1gi3dmC0#!`yj8F(s{8|8MDp4sN==Y z=ecVQ7u~Zse)Wu6m1ewoIC?*}8V5hEdNQk*W;XVpnoP^RF#hc0D&%sy)%Wc8QevOs z#FS=YWP5{3R*9>j-*b!Mq3$-w{su#Z!Ek09>=nYMC-I$IgOha%1I*FjgWyxK3BT>q zv{2uplKT@-Bf)8n(_d(Gjjxp#3d9qYZ3K0U-ImIVIV(93xo*1cB@+fMCt?DlxgW9J zp1_{1SK{j$PY)2BZ1mkh#9_9{FqDQR?(A%y#ZLb|ilOkl!NRwbEWpb3YbNyU;BalY z6Xt$*hdS8jSLm65kPgFidFSDcowVCKKRh!ijsxWVIOKo81^E|VblTr`)?oN-7B?;3 znNKru<G8q9gF*5`XUvVAf&~G&=;YwYPjexLm~6t5>$)N(b7f}Ay&9e5E7eDpn;of= zLj1nDDaY5R7*bbF7C488dArHlgz)X^%sB4py`P9(P+nja(OO|k`bv@r-*{4Uxw*S~ zU~>qtIk#2h=9-<Jtt)pYj>7$BAzJXp8OZG?T~7E<FtnZg#)@4GJIp!~QnO=u2OU!v zl#l4T`F*bax;f4<>Z&SU?xPVoROe!StJ_tuCN&vb_5Wrv*nd9R%c0+nBK#E#tGCrT z^L1sMJp+3UYn7RN<?*`@-W%$H#4+9-^*5^0=-3xtA1)ea8e4j8(^yh&*m6R3cQm&G zd#7fBB6jWW=zB5DZdcalfj)LM7;uN55K*}8PY5j!b~k@GIrPi1Y1N=3bquwZQ?yL4 zQU~{I_BqA7qes}E6fHj*3i9uN-I3>3+pTPUSh4~)Sb${lu*;4blzfs>r?UvI|0_X4 zy-tklu?rLu0f$%{uh(kx-s(57v|FXC;hWW*!1Wg(73!&R(Q|k8nhEqvW{Esv`t52; zY*v#NBA%q$4T8TsjhZ_N^Aja^X}RPL>hL77vvK`sb274i1Xa;5i8QLw2;KKwA69uz zv>v?BHJ13Ay%fGR2Qh=EFF*+R5*8?0@euQ8?;ovHM|VWC3M<lC<je_mCvM5UwmAZD zsd!Yfmh5GIoOLVz2Qq<`X@>BrpO7rL&OGEMU#5s1yzAb<<IwRS?YAE=3o5?R%s;Ce z3sQNGYt8B%TgJ{+XzEL&H*yIdny2f^-m#<b$BO_y5-X6~a$Pid|2uqS!2u;9F(c^L z`?|Q3AntF>EqeDy8;v1yJKxckNy_I=#7YV;cXQeK4o<uTQHQfFL8#;t#2b<3{aT&m zroTMa-@I}rc0xpVm#RKlM?Dc4HUul|UxSAJy+D+Dh^t|GhmLE(^T4$Cm!13Y)+LA< z{QDAwTK)s(7%)fo!VzXz|0B(i5yxW^@MM{k$z)Qu)&Yu_>(YB$GH-{1=h7U{2r~5C zqt|w;3pp!Q-xFPRO2A!~fxob22$d4B_XhU=%73QF<{J>fnV0`p5mf$fB8cWX!SuQK z)kjRqJ&wH^F;fC3t6cG0uQyByN{~Su3qq~i%%T`wa?mjv5onUA!bEdR6TkHhzOVw( zg`a`6+*cb5()jAH+)GfG7`^s)9IMH_?}bd+5@>pM(c}yoc*g>wAh;xob?Nq!Ply-$ zo`yPbaG0=>nct8$PKP@zLS&Jt=2QS^$g}~vjQm*M=Be#5ZpI~+c_=k}`da50+TO-g zjTi`Dl{Z1RTNx&NoOD<dgs<+fS|X-sRrAMnQPa!LHF)eAgamH81`$TSP|H6fcn`+l z?h!jFjET~S=FL-uP!-PYP_Etb_HPIif#<KI?lG;Je&;-x(fko;&_yF<pG7me<fvn0 zo${FZs?!%PwGNz8tplgvg-RX_Isk(L-pcf1dH=y`NLbcU09aNrEg@k!l`Qs8SQdZz zCoDndJNU14@E;;ykxs$OB{q>`{&#HJ>zM&;ew)9<=A-z3VUyqUpV$PQ`|$J)uu|_0 z;1s-2!vH{m`d<Jo(eJ2_Nk18C;kr1PE&bJ3d%h>+5#;B5fFXFXa(6;K=22wRCkGew z-7Fmy2Uz#F7|G8f;!}I>p+iNV8_pKsq3KWd8)u7lG35;inSa!6Wj-TK3E#Jf8&&_I zQzL_Nx>}lVBKdpo_v~&c9QI4H!Mm{!T5;=oTxAg<-3MM~r}6VH5}%iNej&6U%yn<j zdppzT7grcIg?2uMVbR-6VbVF7sm)h#!P$>)kWUaxKkZSbld~%hThDW=zqINFR=s$1 zP8MqO!{?V)pJ;&9GM(IJPgv>;>;)VNIgB->8~VFZSg<%u;QqT@O&~#BQy8J#H(M5& z`846UAw>42FI#MSn-WsG9ZR)&K4Dh9Ejpf)r-5Gz?<*kIzxJqB;W)R{Q0jS`ZQ9rJ zAEiWd3#H|^tbFC@wO!XMnQ3L5a}-gJA4;lA{eG*=uqjNXebMEuL_V*eh|QKTwV8{E zdOmC@=}{WLP+D}ENLv2r%GaJ=ice}CZ_;{)He43nEb#5}&DPbHR@_CLTgov$4{^W9 z-*pj7t69(wnp+|781fc*J2kQWu4!v8a&|==yjyAK@omQ4tNqvBTfh9OLs6TIS7oC0 z`I9R>8@<eKqLXv6L-!c=Hy>DH;*Dr+4}Btn<u}8c9yuOe51g1Zs+LLa)6O)or42Oq zF=}3UdUI(1EAvzgE*{H?W2V8pR(~9P#(659H{C;vllyUBeg+3u)6yqy3yJMs!^cts zNw_2Q>48(9uBY$bW!nu4)j-D}HU<8)@dJgdu_cxQv=@ogb8zrj2n%E?JM(Gd4FdaM zo@|N7t!4$+(83=ULOFI=vGQKeIMb*;li0Q`Z1ks3VbhNA!5J)IJW5^CN>UfgV7r%r zg}^~h^b+ZlP6zlb06sMfFMZ|%pV9nE7<0$hFw^SgU*Rp2WhNXdH86ddV!Xe&7Xj@W zzY}EY8-UhR(E#Pttc4L~%RN}fR5mJpWo~K0LdI$orbmx)h?ZMocb~V8D*-p5q?P05 z?rDIA-sc?hS+Xv41-+l}m)yS_5k+Q$4|Z@Du4S`3K4|5GJsuw6wZb?FaS9kn^k8~| zki56Ln#|{XE>qLEtZYcc{p0p6*L~IGZNE&z$1aQwVYjv|6!2fb&KPmleTS57iMUsQ zL8WT4DXd^Zfp5bkSmPT%dE}W2u~2nh;=Qh9rl2pdq-!<`k~J3LpXnR%FY0&;CPVrc zXJIE{*Rm8lnn_zOqRkHxl)raJo=C=3EEf@~F-K7RW|qLG;Oq0xliUSCdxcStk}d~R z^P#9%x`uY(qYNA0z2-wWDZFjfpX!OhSD#c$dYr(wgno2q=Ur>QbZQ%K#{KdmYYlOw zpYMQj5UG3vlwUp^S;wj0e^UwEy-qyhd-=fwgYQ163CLjB2hy2%r4NMZ7}BJD{!AS| zi{vF3rN4n`gmr%o(YF62@6UPY0|0QR-N0W(oxV-kha&i)7+Nx31ak7=hqznc%P_t? zIqGxn|NiLCz!nT!*)wL|=GD5<E6bzL*K^;gez^5}mkROX!ly=QMLCj?|Ew>e)_@Vt zy9ddq(Sn&*EWNlmPa6I$W4XH}Nt*xSqU?R!)@SDLlak-yk>`-5oBiJ@887|n=Rf5> zTM~xCd(R+j@cu)HFYI}5q+Rvw#o~k2#ea>5$(H|E0aI3vso&u^DGVO^lJ(*uOg#vr zhc{!T54oQlX|6`*fpnsDjE$N`fAMti_b3n@cMxnoi?^t%_KV0s^mw95go&|lTivkO z#<Lu}+hCwg@Jh%ADEW{|a~RNm0NQyiLUWEr=D25!68Kqn!SGjYdJ#U#S;#EBLS`Wr znT4{;EW{$S5RJ@28OQ?bRTj#SSttWpphIS%<uVI&APbr;7k8J9s9#wh^}!<&O4{hR zX}*l!`CfMwXnk19Wki<Oqy7lC)@86=4vg>fotXq`e!HuWci{=qZh<uzX1Flgg;D&r zP%t{bbcY*R(#oaLa`6?M4Nd%uW8_f~VzNF;CwJX{P&g}T!g;Tjuul&Ef;YRQ<Uaq| zQaAE6B_n2G=EEa`1;~6h8Z0aO5b>X>M;hQ=;1Z9W<|U3@d8kw^oP}jhC<uU6fTICc zf&16dh?s@NT^)^ajJam~iz*AvDl(ar(2Fu5{<D}6ju#h?k|&Mfw@)D36t3_7%Z^-2 z><Y@ORN%^ckT@#NPFuU9&!X|QbvMSv&SKgMW?^@2xzDBt*6m#29}XZ}Y=7cyWGkKP zk}y^umj4m7jX~uJ&5rTh-VrX>gBMotM|%)|N{N>;z@ub*?dN}c99p-7mmNU1MgNOK zfUG1<y{a6F-@6Ofa@ieKsBPePUtEZxr`4Mpgqf^9Y#FW*$?KisDTdqbLF}pMly2D_ z!Gz+ys{b{EWdHi+)ta&XoC0}9*vJda@Z;OI((^OQs~!OMqP9sA(d!%jo{|Um*@Ku+ z8CB0ZwIKP${og@!=d1IEi|#^}VVB9&tOeu=l9^kgdznmmWxbz?Aeni?BOI5>lv+`q zKu#Q4d%}1btXoE5Cdj>M=yhD}4auEg_CNM!We{eu`fzBtrWWi?ptzO)3QP8XV(AEp zgj<!jenZJv-XE&6m#GeKN%F2*r)ala$kasH0&>WHX9Ms`#^T_h%5Iv#uL?+!9{pRS zpHrU;UKJ(?l32Xgr+)`&aGmDA36cs$UNmwz>}}xHT3p{fUp4gAvanCpg}>c{h{KYg zc6%`MJsI=enG3@=6sPGC26*T9HLDaSzov7L*1gQ(7EPzKp1S>#Z@KfXo2sOFggiat z`B;il78xczJ_UEoWUA7A$^)iXmQ4XlLBG47jJlY7e0WE5(6>J9&S0Tbj<j~$lhNxs zwq*$1JJN}QadJu|FZq-Z57(1@!Z}D`VF~kEQ*wmgwP^=w-#jU^dBTbuO{n5YbYD&r zcoYk7E4iON_9RZ}KE;CSoj5skdi*CWq;*WePmn92O8_2GkRH>4$MrA{(y)Z5>Qi!> zXs3uy@%kuhgFf8|!;<C+ht2kpsW+#T0|`@UD(y25@s{=X3XjELk2suaFd}4o78&4g znH2foSQN=~j?OqCGJWO;hn5gbJGPaL3lu&O{-_vjFA?eymbb;E2*aSE%=WD~W%bhR z<fihGYl${nBEx6mnky@A+AZ!M4KkeY?LsL&DYA*5M_aG<>f&mbH2rdkoGp7(RX!u? z++B{*AsaQ0mPi_8>PGXaW==n7Hq(3^_-o0gc)vR$@Zq>tG%<3z>{jva%*J^y1>)&? zblZda>r-#K)YsikcG=zpcwL+qe7^Tx1WFJR7U0EVeGmHUzM6ZC4w`GjLtDx~QXieB z1-!IHYN4tJxCG&-u%49)Q;gM=U+O8i@x^HS&^Nabp;;Jc`}jRp`4ouVwkJPsRLm6N za9X^)g;1p5#`tQcw`bA-Qqk`}8J2oDt#oI~4|l95jJU$YoqlOjkT6Wpgr+X*aHowv zE^u*8ZC=bOT<)hdQH)U>?{-@j&5i4-n{vI*c}jO^c(=z$V5~$YcL`ZvCQXE;=0Av2 z5_|fyjGc!GIl&E{8Y1DoH}r8Ht*vHycOLkZDdo^Uabk9QdrzKz+dr(Mq!WGw@-N*u z4`wj|vuqQBSva?mGkbhVQ+kr|mbfOrY5;He*gCFT=M81=Wc4_uGmL>8aq9f#7FAGy zNDR!F7rKN@?PJH60bV}`hvqFYR>5_*Kw${shN>HSolx~irInIa8wT~!VXTHJtLJBv zBX*Igbr5Nl64zK+apeQCMW)s`^cI4dO?)!iJfl|+nc9sTX!~-x`O}vx2<YNJq6SMs z?74^~2Z)$(Kx;s@b?}B65<$4Hc{q2JW3<TP4HG54eWAz;<<kD~5@YqZHjiJ&;|yaI z`(N(gq!`WI@i129x7xM+o>Y~Kr+xe?<$HQ=<!$=GHG>9+2xM>gaYjR~@J3ZIHbDw1 zm0SK$G&$l|gX9M1gO8A5S<@#OG~pC@!|r_flvSd*NR#uG3JZ+Y(_iYR|4zSn&x&pp z83sWzD)o|s2=$wQliAau+2*HfQsTr+7^|6xCaH5N=UT0*UQA#Es}W7x1U8e0`E~#H zBbq!^Z=KOg7V%uRKd_XoI+YHrA*_}1UX0NI>=>CnG&g3aDHubX$0^5SL1xW<KL9It zB&>Wq*Tbi}$s*)%l1oM7c5_$>!!T9F+~j*($s)X@Ztx+|kp$53wbcx!mvaRVb0j=? z06b!m@OX0xk4_{!%m6%YB0+fX5*{zVq;+~W6Nj~;G11h~oBRZnfsPsvX7K^Dbf|z? zYQZdWI6oQP>A^A5oPRr|a0L`SQ#&E|Icxi`=TT2HHv1UO96(B7VqTXhsWA*{L!v}Q zJ^m6U^l%#oVSp0Hl@;epl*~BcOI)EO?Jtz9T%lz2Pn3B4ffCU_QBrk<3O|5~r6P2; z(HVCD)vUpx?MtXiEl^Ow2)Lm!+90#IGd<LO6nH>X*Y={I>5;03K4+jnoN9eJq7Dl1 zLj6=ft&f%%ImvwsLDod6bsRR?yX_*vgSJ0+m_HZt<r`p(2YAD>8bJXkaf^Ey|0w~$ z{s#>3|AGKu{{sg2e?b7S{{aL1UlIUL)$YL@*X?Hd<~#Lku)#aNNA6^satwR3?@iq# z&Yai!&+Jjh)d@<?I5wyJZcF60>$c2cmX{w&oKN=ch|s9?_R^eu>aJN9nRx&qI*j|> z{>?{LG9OcJPtfq)GZ7jFmw5rv!GSSj`{l=G4{qLG%DyRMSJKg2y-k?zE{v)ed@+%t z_?yyuhvOoZFc!8wE$#E*h-?py{r9gMzny*fccyPWn%wF8f=PZ+fAcnGa7?Onln<Y| zdX<j@g;aY7#!oXR7~vjTInjGXH7T`{cB1|_4=3NEa55*R`E#@?)=AwHMHQXDKL5!^ z3^hu4bOU>4Q0F`L82NUY{84A-NT;INL)UIhvbe6JM&g_-7{kLfe|)EFPQ(0f{o7xz z9b9*4DqOz~{z;#hx@q<LUMKdgx7fG(q}Ezcs`62Io>MQ5b4EU9vR=%(LD{xg$fLj~ z;^f60F3}n86=I6(+4*D0Q^V+?l@dcVcU1M+X$qC}E2W79JRO)IYrZlQPZ}S2)^0&r z0GC_Uv*~odqa)Z{%=t>s$^j3vS~o{|TJzUQ!X&qLj=1EWI5!NLoc2DFmtrOid)#E4 zL({60Mh@O1sa6NAtcEiiVJi1KH^PQ;=x$2RU+3qk_V`(tGIP?3ZP%U0tY)fbuWlF) zwNp=JQV0WY7!*3bYa?=Jf3#}Imb%0NXNA-(XcC3VanivK3%JweIqms{nx2^*4yM`y z=TvLJc})*+{!|b+H{gF&@A1=ak{xNmBkqV}<LmuXbIRHKCts3!E5Uc0s5;KK)juL? zdvlu^r=60rQXX;gZ>!D|I(1QG8_w^1EGIG)aO3LvVy>RrY&8A0I)Qugt&nOj@wW5t zT3F|=VjMbJK>>37&nvP5-(_?pua#%VYaw2u*{Pc<7ucz1G8Kd`*GOe};Mi09zt&Mr zZu}4~a!%(}o2x@2DSx&e{84GWn3)MGctC^S7G-ghifQ_-f*294EUGVCW81a1a-o`A z*uzmAc40$^FZZg4u$ZqOiweaLA$qaK935fDd_3k2iTbLYjoi48j?SV|vCAp>%Tpt` zcptg`i1Jlyb6oSRaN%|EiT#YvCmgei5|CyB?=y=ZBIB!-@6BfVHPg$G$~0d}uiBd} zqW-vJW?{GU)`hM)f57#QyS9hBg7HjW3-KjIp-6{|#c66Sz~S&iwoS2S4cXrYu;F>J z!WIlSP7{e3)dK&VAr40x{_j}sG_&(`FlHMknvw5lq7z){icG$i&%W{9^iRqq4EXlT z!ic-5>pGKJ6Lz_$MYZD(uit#p;i9mId++Nb)XC>f2tJ8~{#Yv>IC|4mWBKs=hP)%^ z5!~P{w|E=Z#d*()5#nY^x#0T7`WlRFPLEakF1*3<)wi%6_vEDCD3#_Xo`^KE;rq!M z0V2HZsMbBVcA?%}2%?VS01@Xx)Kvw1*Ew|8CjtCW3v*7X;v`|3)o-|~t&HHdK2!5I z*cBBU2E)VR2Bp%-V}Uy<4+L_!MRtJ5&@!sEYW6Oaz!=K>(*(_x31)^ioE*83Ipryx zwrqw!oK%7bwW)m->a`ara`PRyMYh$4Z+O)cFVag`=4UgK7#i@PCVx@qBS+*Ni_tZY ztmWO%7HQ&#s_n)~Hjmik$NGu_NqzUO80gEtaT6&)cRPhZA0k#>7)TnsRDz%nal;-t z4yL(V%?mmUVy_`ZtzGSVptIn{ZKSF8E`k&E6~;bAN;=16F-#6cpWRzqHsTAPd{DT) zx##{$+u^>B&beyVwTYZUu^9?P;aRt|R<)PT2(!)t>Zp{?g2`c0^GH#lL;>4kCKncG zVPUi(i|=HCf$RzciSlh2dgk5>vm1L4_4>q&h;EIB?I;EhDoV5T$e^E-5Rg2-uE>@k zfg@u@%C=nWfv_YJ(#+h`xS?DQl{RUUZKFiFseBtflMk0<Pg_iTSd+Q{dcuO0tB=S? z|CyQPwyG5Jhp&2K0+LG2zkOwUAP`X^z!&Vw89|$@JjZYxPHs#fG&uwWKYTpj8Jj)< zLLpNM?}sKU;)V`pVsIp(^vp9S<7pG!RK~3Knhbt1h+d7+6^50IW?1hgBfE0V5R|RK zgQz9Ol9`z<s3ie`^fD_bdZn5D&{~vHDfye3F8)QM8kQ_Z{x%soFQFohQX@93d<mS5 zAoOx9)HAF5#1zV8GZZXrU~Y%~WE}ilTnBt@60l81uuU@jT+eC;7HaF*!a^G5U5EI> zo!2x3v@r9*Q2jf;=#~6&dDm9FXjH|8CC{2^4l92R+UtKhpPh>-?GU;E&z!Af?j41m zn5|r=UvzGFcHtL7h@VgSy;9rt)9R~NNpPBOB84V%wYw>ENj7%EFkHWkE>lVV+<6vw z<euVpcjR!d=hQ;Hn=4IXvu-qS2>uy=)#rq7S{U|BJ$<Igq#@tlPZH6`tehWn8dx>6 zx4`wFD!pOx#kZ{yTl8FKW|Q=w1eS#c8;%S<n0rmyU><dVuWW67=JDGGPaci*jRdvZ zha@&lE8Qk1E)u@UrLRBatM~W&s85So74#J$z0x4P{*wR0D?aeL%a<=f24mOg^364n zvicqFB$}%#dhL|n#aq@{%(t%NYqqfHj7348JX%y0SzFEH5uEyEyPE1FC5J&5#;zwd zPZ@?pG=48a7^RB!iD|66WT`R&9hF$PwRXWl?cIeoVG|LMM9Rp7CGFrtZ3f|?IBIYY z#A7@{<*&q6{s!?mV%iQLV6C#~m@1jA59-ko{KxIG==sV(jUZP3ngIA9UIYkPNE2mX z5^x>CkKGJR?gQ-`U}AnB)!H?oRR*0Isfh)eMJ1q#1e$y$pm_;2w|l}afvD*0QL&sY zT=q8-ht394v0!?oDgBDhI1cy;m$F`I+Lbu`hnRjP5)UJ-k#M{;*8W4x{$uPPV(uSf z{}A*47&~$`w&0JkND(};Y6-ywkD2j>hDJyv6WIV*0u2F~4_`ijyG{>Sx5IuRj_-dJ z$#it6$&^h@x{EVi6hj8nXcPRta=)n!s695quPwojT$4LykXcc?Jn1lSI4PyCtjse5 zr@}+S1p=aRaz!s`!k2^qMq-a5$1;h1NfQO&Xy>jXptVH7gLk$2)wiJ<q9YoaF3gv_ z00+FFTbTW)>)XKfbM3!e(*V~7h`(H)gWOlDFA;*1$ro(Qm6^#GLkh{2#Yb{*fpd^e zMl$jUkF3F%u8y$U<q@tVx;(-N=F1~|f_-^}iHR>M13%=FGT6c|DI@xdG8pAADMRIo zGLo+-BmasrG_EK^`-(EaH=ftVgKZh|B`nT1XSyJy3k$tideB-nCg7vA1h-+UHPDlj z`HwFK#YvP80hOJTcMjvfA&VTr{&e!8mp{NUjRgiGg$p8Oy?Px29Z=}k%5>pEhNb{l zNJ>6MN<Cz-o;JZeGY>L@auwD~;~i}XR6}LtPvbA@!cy`Z+J71|aV?+#eiesZ%^H&I zlYpi@tgx^B$5cB|FdFyo(UQo~Lp9eyOz<;DRfH4Vr2boiO^~%B_?uw6GAl6uCfEh& zINIL?>%_7FZX4L23@e;)@F&B9yNycO`)`8PhUAL>O|WdCgEUtJd-w`4e~PS?Yjj6T zi0le9NLyzgnjD&<od_n?xk{1F)ue@2DJs-tnVfD&n#2H?ngF=eqCUd-6Q-b<dkO%y zl4#go?~2lCYnkqq5G}-D<q9Hi7Mv4y8n$sP0d1E0n;?(F!{}5QS*nj^Lk21T1TzSF zeofIh*56<r|HCCzS`xr@WB3KmAI`j3Y1piD|8%C^uXqc9ow71hW+e)l!oVvy<C7@c z!!Z~L=wXrrPydAM|Bs0EV!+PTueN0Af&DYCxR(c^@aiBGULAx#ql`QVAf|&wt}me! z9yPr+EH{;LjZ0fDP;i#ZO8gQ}lN+nm5+vypNIxCC2>m0bAGKLHJmm2RNcMEav@5V} z3!nyDA|y1{>nJqPZ49a4!9dt(>K0U59eLG{yvE5zFTFmS8R!R&{CEKe378<gNf!O8 zP<X@!Tz?A$u312Yvw`b+l1ny`1p&sb%#~Rwz6|j1FfjN(E)-z@qe|gFEfnnkyF#G} zS%1K!bS~LMPv<W-0qj-8h9oa}osnqV+)^C{8<u*&j)0HNVJPUJEGy0X^e2Md|AAmP z5Rxl`eY6c7V0!<@n9RTi5KloA`h+PY8-u!-&AQ-ETi73LT=|!=F_Lc!s7aK6UB4{i zG(Zujuz`SjU6FMtAZt=<z|L1p|NlkDmnAXNCF9m!F>b<Dk+yMFq^*J?4F;+-zbmAn zU!7;!E6NSOI?vfxlzab*ay74lly^n(e-vrjmkiHOa>ekVNSnG3w#i8WYOz_U$S|#r zjyPcEz{}e2PnNjy3PKORM@fJO69?DcwA&-u6+qFyK<#>p#!^OU>&+41&i4mB*OMWs zVGLU=BP;4LpjdAtHAERTqOpx%KLByR>P8jI_=)e2m5ZeL5DM``Oi=%B6hOT$$y}5R zfk+$I%G@(X-k{m=9e)t#%L!p1(EKMX1fc%?yFYZiFa#Uibx?1%gsDru-&3c7YMi=o zN(T>0%P#M0;^d0=UtTyWOj5jz1M`4v`LE)n%9`L7V3U!087G^3V&yu(wdz;JtQJnL z5#U;u%e+vqg$!~!!0@%Nu0rZW87s1C4CN~Zc&(gSfoq7yf0Y;YT<AD@NkG<BUJ5i> zHm-qk;wIP*p>nx{7EAsABJC~1qUyf5VFBqFrMnc6kPztx5fP=k5eW$a={%$$se+8s z(j6ip9RgC)A>A<p3^l-z?*Y8;|NTDChxfyKU2x6pwf6e0z1LZ5?Y$R=bDaFI){DG+ z*w=>;p?nSy=$}+_4fIbc$x`(i61nv50MNS;az$T({Q$fy$mP<AM#>u}Ie4?(HT@Js zmbo-kGnnwh1p_g_gUOXc5@dN^o;kq$`#<)jb4y-jXUeC0;F{=~Cc7SVl?}k5kX2}4 zg9nyNw#}|Oxae{I%VuS`TqD&;|KW42eFb+aA)=SyP*pkz6M%kofEc+xKnPvC=9_C1 zBwss`#I?y)u3a<j+T{1HHy>(OCU^aNeqg^cx&HO}VffnO64#rL@N0`_|1alaE8gpE zkq6{n(R0&24W-V^&kr3GH{`uKmVj^N1dk<J|MPh8SdxDQtET1J5i&Ry^+O=80gPxc zMyH(!K-b^4CJl1>dN1G+hcDZcEWJWg4x^^<%0MxW_y&@ngIwCY@!Y2JCrxyplxA_? zydvlPtVB_|@Z28<$VS|3ei%VUkd3daX5y;AGUOikGNBERbqKRCH?Jlm9aQnK_XTFg zI=c3-+O*oA{ba^O%Q9B6<p9v^?wZ2BY&bc3h3=n!+%uK0AEx`HJc~OB;#+6p>8jmv z<(Bz5B=c<T6A85n#as&t+&e|ENlOHvTyRSQ{Fu>U{@^Y;t>3>UcuZREHm++^fsUHB ztWk??T1Hry=-db=`@?kZd4YcQM~~^YVI!moYS3-!HFoAqA`fIZ9$}u62jN4q59-); zURoj9!z^`JHQ`}-7{>MAWX)m3#u)bUOT%a-#ztR7TtR&e%z-d5Mb+K~`5+j&v2Y%d zz!P6BKqcR^&Q$$UWl2~rs1nZkF#l4e&LeG5C6X*b3%Z?t4Eq%_GPap7P$;QxhE%(y zT`m>*krsTm&YCsWqO2PFyHfB3T%cEIt=nrZpV<5XuOKF{G@&j0?TEPc_uI62|6#W! z-ngyJfN3TWK}J~MSqS7di~fbw9Vkuc2u~=uL-rqjZrT6A&i|L~swu8LtV;I}xPnk& z?iW$$+LL)rNP*sW8FA6(kXD##5V?xKmuxFIV~n#$!6TqKWSY%f(Mkf|GPl6|b%2ue zxzZZ{F=>U{W|!tychog%vQ5hhD+O&)z%E?KJtxqw@kkf61sNHwHpMozH3xGcX#RXW zq5^ymLKm{z+MxL@FhfT$>#!!maSA~5*92ba^OX-CQBc(FT?lElq35C12A#YiKXgQV zCbz@~yo{WeI8bSR2pcdv`)#{wXflSu&&%UXk~!IKVux`dh>Cmme0!(Exh&~8)wWTp z{unj3ANudjYM1w_-RQjg2JU5N6fF6tQK$d<Sq9HkFx?vbf=fI4j{bR&fZBO&sze=l z(9J!OTgI3MUvZx4_0o9Bb5O`d{P1}x91xc}UlQ*#Fq0_e*%e>cIWDT(c`NCjjNEU) z@qIz)3LOXUmOdjccx~aHviiD8QsmyQcv+@siaRJPu2c0*tj`&0l&VkanWAfUradDh z(|DX@5DjN=u|8(R>~OX+NsT8JNf~#L=xFFajQL_ke=t^4qNbP<@jGkjhpCnlu-jM* z4Ll+2&65sWr8#{RmJ^bG^LK@LlRim|_$cXww<)HC#Xay}(9vw4H|^+Zm?8qm_G7_w ztsG{d!0k5k=VtZT+WksziTMXeOGh<HVgxXe^t#PSl5wALq5c)wh8~6NnTJhymiwTL z_{~BAWRv-GG*E^&6~baEv;+88xKXT@WjoGbaDMI$B`&v?G%aG?;~FLHfd_HZbAIjy zX}TaSdl#g2fy3cPslGVxks~GXT8>Ng-1d)<+`DDlzksW%qA2+T^ca;rD|%+*`oOL} z@oKQCdfg2F+f=)5e*D{12d>)*f7=?@&Gf%b&Fg0V-=@}e^V{F1_I0!7Z&L?sqR$-j zL%3%4d*n*|IGxdWj(g+^Wncv$@gLVKK=DIvml&I5S<zp5BJfXp*@}L@&w~^U`258d z7`*$3K;c$yDR`(I*+A^HMc=rI?V0}2f|r|=<vmV;n2c`k3whABIVT82ISRLKf-x;1 zavxG?eP``jh)ern;Y!(Mn*C2_o6>(eUnPLtAFy2BD;3wh)7$eiT42gxius!l@}u$o zO4E_WkO^qgk!ia_^*O<l#cJr7wA6Ljs$Pff*XyvocOABBS7G~!^g3+Wufo>mI&Akz zufkUTI&2fJ!#4jqY>mLM1=EDyb(*NWN)si;*IB{@RL6!}pjYRp+Y4E|$}ht@NHA|r zqUNDQTo8I-#MumqT(>7I@CHqSY3ACY7WQ@o<$Yh{Z=$TB2p-`Y9Vav_P47+1X@MF4 zJ5#~H6ubiOOQSyL?#AGpxfxb^)%nVZ=~xB-ug(bt|8%~(0yc$SSLy_4uhVL79xU&# z^sw5FuA1D4hM6l5w3r9N#KnSI3jF^t936Wm%uFDLf)HxS2jPKo@IvURYM|i2jfD$W zc3R9_b3uRd((PT82NNCiD+gEvpy4iTcsoh0?T}mQbqA;555tmNE9`Vp`k#4U68~Kw z-r^MqT`myRVgdNz0%3P8bIrb5ARMlpi3?Jg+dQFahJ5_5l@baF$+WN7*X~nx&8GjK zzx>O;ULfo*!7pd7j!(y)eK{?mPId~Y8y|$>a$*c<cc4#sA%Z}qp|0=!68%=#eGng9 zpH7rGI-S<3dK!x*|MB>$%P}WR#baxKrve|l?ZtUHrOsELrt&n+@puJSZ0cHneC;~i zTV_b@%Q45c?ly%}7yiYJ5ct6fPU*)4xa3{22cS=Pb=Ax(vRVLG5o4icSvpVLQdxSo zjZ(;n!KEuiMcX*9`h#w7z)?7Z*J49%>!QJ}tHuxREP_WacNQ9W1x9mMSLAYMA&*)L z`rox>FFa_rP5T=Zxun`BU@H0>6q<MizTjH+Hz+tlMgU0<!hKBzy!^|{8uhUYyCfj{ z+V%6*bvHp!o$E-`z6LG2j<kYnP@PA*^`AiZn4#77p8HQ!B(~U4)L|W8hgU<FSp~i^ z#^tIAhL=fJDK*Y2zK5FcC*$Gg!6QIZK~10hyHfq_Z?Dgxn*F7sjoELyObzcnWxTD8 zKl2;hSX`$XH%;C99&&;)SC`-V&bXRc#oT>xpYd<1!7RyIDSJI#nvP|w5Hb>|Be(a@ z&H_vw_{M!b=25@9Fga}suaKTUxJDw+&6`j&LtZ5wHH9{FdBPDe@mysZCMb*x!pC+! z?&sHOA?4aOxz}ky!!<MaYGuDy-~$~3a}%07)Z#uw2<QX1O;xj_rCur_BVcGxJCUZF zA?bSmP7CqxHprTPT*D0c-&`O;ru{#*2MJ>ftp3VBK>sBZ&;^sr3_j=$@ZW@-HpK^; zy5RY2<evm;bFB<?9_r%DiRS;yzs>~}U?!=DzI_1kX3UQ!)xJz$aJC{*aJzVQWsI(8 z*Z|J39?HZIhK8sknA0|M!QCGiiZY;EH)aOD9Z^VVu2zkcW-gS(0Y}0~tqt1Q(lM<C z7WD5x?Qddp{D-~6Djht6{qM42Q%a<%>wD|pfv>=%L$29>FVJ5$9oOhuKA11F|K-bH zF9lcNP-;GKBG;Fr@cMEXxMo&gUJhd^&k?H2eU{`CXayfc5L(R&xdjA=*nTdT_7t~1 zu4-Z9f7x*Lr;6FoMv~7yCp)btLj|e8bKnTMr~*Dj4e&snFV<qef6iQ8ygDks5lh%( zinuv0=CH9Rsn%B(G0v9wrFjOw^l)Z;RU#wBmZD5WP%y~%PE*59yX2u2GO0Gq_eDD8 zh5_0^k<h^por2XFKz@TnuFZ57w-ixV*5_*=fz9(G^)n~diLt*gBFcAb9`?iI_Q_9c zzHtcp1~&Ev_~bsmcu#K@igsWum#lpe>nrbhME=ui9=>0B!4zZ-*$H#q*H8YMFC0X& z=Imgp>EcyIm%wvg*N_fr2wBJCPYal-XC{)c3e@C0xCvw>8ie|9t*hIu|9-P3>;4>{ zY<=asYSdQVuFU$%C{vnu4XHs*IeKlsQLKr4jR;CB!<(a0wdRK_&RPn0Tl^N+F}KSY zsmX`e$~z-#DKN3P*RSc&YjTvzvc%v$pso*kpsp{Vu8+k3Q8jn~sv09-Ujba~L0#eU z|IwAu0O}e9b+H?Px{}oX(dEAdxuD;CI{0j!Dxmx$qUPRm$@vh<#Hc{_U0N#n?{9wk z-#NB`i@nx9De<~HoRY8_sVQ~xHO~up;;-LuXbDm1YlibLmPtHxc&(b277}uXLu04F zH}r)L<1mNR#z7|K1-G}=tWGG4<l79%H)gRAqIHT!zqdypvNq=P&xo<rRRxjJ&D=#; zde}0@n2b;%nsE`$Hyj3a`0E5dwUxjk-4Vg=4*o*8NmMflJ@Y!Q?X}J=wfs$qr0oO_ z&#kr#L>H$;=clRXp7$B{Y>(2{%;~rS?X^xVwR}y9DL+2X7fHA@gD_R@Et52Jy^xCE zH*^?mm&eE+hLXG>iE4Yt^~A#S9hatg)|j*EaUJrEHuhVb=xkn_XYOi7uRGSDj{?Wu zHa`?cKSqf)t&NB3>8DTz%$xmQ*1L4vo$i^;vw4`FKVe@x+^cD4KiK%>kT2c_3PQ<e z=hZ>Uon-wmg+h8!pV01Yy1W*5o7?ndUe?=m+|BNp=%AzrDB0*<eLC|2=AA=6d%Ga? zU}k>MTY&?StnUE|)_8|@Z_yRi1SR<o$3pb+H-Cn)J(3W4$A+=F|CwScrz3ZXwIp%K zT-wdu_?sB6SCX>6S1KO>=Slso<in=t;Qxhm&Z@@?cSb~UJ9m@tR_ue*NL`+vVr)$G zWDRI9)FV@G!<Ri)?{;MT7LtDUbeI1{{XIm|BTvl}tT070zsH5pmE_omF$uFb_}KgU z!jS0o&cthKC>lmq`D3aw<7{{32NEy<<7cL()Sb}?<Zs171qxGt;4}G#Ot5rUH-354 z){78|^t!2FiriX31#b3PLzB^>Z)b+_w>DQlKJBE3|9EmBeS>4X6}F=DT)Lq4<pcdt zTh?^Y&a%1K-$lQq^o#!5zd=sAZf5WNj=mIj)2ju>*pH#Dni5*k+veGnAG?BE5cCkD zD`Q4KDhORhD^QMO0}6@K#Z56;Jas1x-(wewilmf^{@U>;BV7bh*g=$F4-n-ii1HRh zk@o;m=0KF2APU+g$}EWD!&XSNAZCi0<*rM^RG0C8+y5uavemCA>hwxO=?2Mh9kGin zwXta(>zP?qq5yl}XW!z~yKq9@SaieWIJO>1VsRU9&`bn6Y|FT1F}GsyE-l(VqUb5R zap$k`-?*x$+&T%{%{>6GQ_H2;Psu@X8$VD?{8~&prt4B{@LFsr<NWfPxn7GQ*U$-W z4Fwvdg4%ffTDouYbJpEjUS_Q$aM96uzeUG7E%vy^-QNW<o$37$Q#d)&1#x42rdILL z8jpY%$|eG#gKCOEsIkuu#-t|6N1==&kh_n>q-ze12PHhvF@A#mZ>`UGD<00_a`Be8 zfRE~U-O?@Xj>KG_F(0hA%JhajIgCnliOIf^6Z>IHf^k~t^`JxoIz|t4O#~tU{U!n~ zav;X-^@g2~Tg@c8rk2-!p;NwfY;e?bZ#2fLjQ%1|KBWUAoiPT;f4bdSqMF^>y8C06 ziJ{5(+>(JFRde4NCEv9p@DSC}*=k_j5>RrEcgAROyzj_<_j84FU(1r;MPjhXG!=d` z!8ftyLR@=t*2CJ#yFKfcx;U2o0Qi*j=SJncKW1xFS|exIN?LlP0`2kmaW-z2v*zi2 zo<cl}<;A2Z!tP1SC+SIuwlsUwh<MgQYWSU=Hz-b-*LLAcNatDcF6~P4T6(Wkr5zss z+Um{n?9Z2?RDVSo6F|{tmS)PvXnJqitF0wWv9gj(N5AvoI|I8b9q2v#i6L4^3fM_% z3~Xsy@;%JS4Vty+T8_&#()EL~UVL|T<kofYqzH#2=<^%06GJ(49?cUD2I33X9Zu52 z<w><n271jub*KAlIbxoe*5Av3!GCxbzOg5jRB^-w3BNLwi(*87NaHy~#T&MYK?*6N zG=1+2#b_0O1kklR1vUba%yIc8ODknvS?WjPSA_KV{E|hGfK>P3uUx8|@ieV}k_{`U z_ADe!XZIU}#hRY2&m-+mUCt>enp$qce0o@dMK6LlLh<C$sRYmtDl#iX?vk7NW^CXw z3bfeX(2F%TQ7ZOjd!I1}5<Y?i5+f5OZomtq41yGWkfLFb-aW!pfCHyt(Y}#)TMy$` zLJzS~u`;8AJ(;x4@W_pC*(Hyl1th=JG%?>(>k0T5;&Kiw!``b0Bcp6M{D;@_&|W@Q zd&jK<Vn%|PvNoVvbr2JGDK{1UNmL-U^ytI5wKuL|EG@^}o*L45;Xo4B=aFGWmo~5Y zIxUI!8+ma0W_ftX-s7X(mh9VBM#nhC1~FXB==Hay@-tuE)Q3t1;*SxoMcq(udv>S4 z<|eV>kJr);kKS{bQwNM%yk8o04P#{Pr(w#(`}{b~mHqAymwNx1Fea^<hv-G!Or2S9 zOz<y;dFffVt&NBkf9I-z|BX(@A)gk;k^flf8@~<VJ`yZP$$oYT(^Egn!GEOqku>_3 zi29MafP6yTxch;!k+NoQZWO~aTBgUzL0_w336l9m39(O3^Vt-B=_KD&F?4;tIjr4_ zOYb)lduORY8OBmv!)jzxuriF_2<yDPtHs7ntYb99RH5ap9&CbK0&r0IvBhBp#qYu= z@<oiAOT69$`-~{w{i!uZDr-NI^khy)LPWL!=F$;UP&~b5Td=Zqbg3*%`)m>7<W~A_ z^ZXQIKYi{a{rX^4s^Z}IXlk>cC&xZ9ltxlxa<#QAuQwDa=!Tj^h8vug8b1$(bYx>O zj82ZvI*f6?-f9KB1nMPd6ZO4y9n7s7jY_JEAn*|v<lb))c-bLEbL@ruB=;}u<>dDl zc{Mba(r+L9Y?GjW7}h!ozLPfPWD`6AM@B@*@(F%UBVA<REfVHp+RR0Bx7Q(aa;^<; z>rF%hre0YQRom8<hb2xXbG@FSIa*W}^Jy}gT$Z1j;?4n_C>YTCs`s|rG2A}_7+VSG zTnj5p3=y?n$zlu2=x>^ghTh9hCHcQ}(kXFPm{JS`u{gemCB~*b+*(*vr8;bexD%Iw za?$q}RvvMIat%&Xz|m~+d5fnaV&?pKd#hE7-WSyyA(h<VdEPNZp&|*KuN@+!>b&>5 z8zq6`Y19z?O#SJ)i*YC7wT%hgv6SS=0+1x>z0<Zg#9-ocAkKKSy<KAE;p^_<L))lI ze!+GQGy=%&A(fb!i^a3h&{UNYhM7jxn2EAfgU`h_Y=5i~@bF+Dj_b(Laga*st~=Q) zIdr%<?_T$SMjvm-m@v?vt)nRV8a;QofU&c)*%|TEvw_o+P=M~@7$l!;v{lVUMa00p z4;Q<2ATf`{cZS7}&$`_a#^>gBtS@?pCKVdHfg>e`$QG2>TC2`0lSa?OZCGn!RipUv zZr`jga#iU}(}8hymfqyoDfl3b^Zo7Cj>C(-#IXw}r3v6|!A9LKzilJ_MF_Bb>=46q z>NY(+Pg#lQJh!ZZJ99FGVm>Z|P)s6sO?;Y5Qp74y8ThHlqn-8T#zv8PALLNu4`AG} zB}L)(v3P{apU~p@Q$y}v9f!V-^WFKgtfO%VIKhY$7p>6Wj$1}j^_~|@o8j9$3jlcg zDFk8MaH4Ru+SuUde2kn15>sb=Joc(W4}qgrWT1mhis)Yc#p#CLSIg6qgoL(Fu>1xU zUsikE%7e2i6MIC8`||NVa(n4dtV62r-l>X?4(5ptA_PE`O9AK0XM2f_J|`8^@J6me z)GDIkbOlw_*C^(TT&g`;oi#f6Nnw(*0GO5>vE@|Pb1O_W9sw&T{&R%XC;nZ{qcLio z?Tt>M+0*U_o|iXQ8c#R(`ho$IgR$V%<2H(2jWblN!^KHR(B?FNg0I9tFU<cOoub?? z_Lt9~slKQjKx7)&+t}~pX*fMlUy*7!gYq<-<XmLH8O{{yY%j(T=j&}%7f7j#SROWm z4X8<HKr#=|iAVwV+U{3&=v;JguW(Xzuf}@USn!;Z7zmsq|0L`-nnI5Es`^w8O+Y6` zJvf`+L<#QgLKPY>cEC48mlfTi-9e>F)&7Yv5$ExfHvYL@7t}{HXGxOdYb1U=Ns$UZ zb5{fyJ%~O#nX0dubvtP#9MA#k)TS;@ejrmO9f4}|i{+CG&NI{ncf_WQkd)`aLWIKE zKJ;_37pgaZy;W>`d%c8NfL`+K-PiWkoW`*skE8t#2Wm*_`NwCA^Fyf?uf%<*10T{$ zBIBb%RqpFg&P%xcF&*PN_%luxVN^Pf3^ytsAHg#&>>rrR3Qyjq7h9BY>&lSBCc;(` zbpuAdH@+Vp@1-PRI(Dw2d!7F9PYE!T?rk2bMhuVniPMVd*E=l?z<fz!v>g&Xz8}}T zQz+m`aOq0RXzs=ov+xp$C+bj6Fpkb@Z611?EL2Y$5n6!M6tB@!Y2#x0$*>wkrXa{5 zP<ZgsY)$Bo79o<oHh;ipCPe}mtY8?dVgMd^KgjcY(y;oS{_s0p|B#z>-$ab6k=^QI z!^ukdO3=i*@)zAbvs58^KI88(g0K@5N*7r)?^Af(;3%o>t0Bx#&~PUyW<=<-0*$Y^ z=l55y??KIxii7-3{ZiiFF^dW7#k{d}%dPx*ys<uiv+}>=jWu3o<xk;_MOkJgEsg>R zezEqA-F5Us7Ozt=eoaL?Mo}@Qq@s0!ABm}G3E;=))b)|wa<@DmS9x?RoNC4Z`0Z+1 zw8EygFvEyrxd2WleE(^5>#7@5_x;^5?CPKI%|zT8>YlwAqBz{2rIEaY{{~r+7^9Oq z<WqNCx3W00D#$SF<JanVI7tB<1L=Qk2pN*zt{rQC0{c{heSR)4CcdMIzTkKq4`XG3 zj3w5(R41IeR396EAks;#0l)NQwT_5!(-qG+t~+jg8%S($@o?6uueUDievCLDoS(}v zrzo1i%5E)-(00HYnje=hs0!Y3tmk|d8bop5Z3cP3OBZeQ-7EGpQ3t@SU$6Bn^iqKL zQh>bHvRR2-%>EzWnQt%F-hL4h-OjC5Ujp{~h1_~T7i~7?-Q(i1_xNmO5|MI`2(`gK z?ZZJ|KsB5U@tN>@0w2FmJ18k7#xNo(Eyj?Zkd_=n65U^X?t@f-&ChO6ug}lVDj#hl z_?vbBi`Kl?MJNxXU|)w2Vz1=&#krJ}H!F*{Pje2m-wU#~>n%+`jWMxCf9vs~KSvGr z-GO>z$TYmIzuvfO3hvrd@0T-;A&D?9@!LWUt{TshZ%vA|EUV5v9rm90Zo-+x;69=+ zZ94S7sK=gyOPCrV$QoSfXH$PVJRqF?Mmh~}r`$lBAep->iKe{p&qteLov8M7Ps)v| zQMRTcB(9^aFZz^z>W#b&k~4eMyYtG^M}UD}dgFbmf!X-fHHRXVeX!@T0i5Kl2>I@O z^!VnJwbUCsrzDJt06L{Vo4>v{`mgtF{sf-jM^01nQl4L*)CdBVb1VT|Xg02<!VXV0 z&QgH<**z7c_c?potrT>;`TzR^hS*h^MC|SjKj!}F07!+7E%r&CAfgItin)w>kPvLL z(OsXw>V|kFvw8LQ)MMO6ebiB-#QC{rcZt@_x%91=r9_8D+YsWXLNA_>&0^i%fo1`_ zQdKv)LLcd(`m`Kd$<D}bWfCb#%^tX>E%sRwaTcFhuZ)C`+;=l{Dlu|8YVI~`t4OV_ z(=V$ji{T^}idqkERnfZarn(GvtBQ4MtCCtCEM3^X6WbbYE5+wo@dEkWPS?qOxLEj9 ze5rI{B1X=*NY@umtK*Xc0-6CGmx!();_+LF;-fT>rq~XW7+!)Tebz|M8CxA%W84RY z)d#ZgyTg%p#+};4Hp5$MCEk*a&1^VTELf^NG}zIuT{t@UX4W|Zg&Gx6HNahuYiD}x zRs3d$q%1{?^?YX|9LQ+KxElA?Q|;--3LEy?D1xJG<7ZEReaLXMt@iB6LTy94KsUng zIL9MYpuoXzr^smW<8qbMS!`?T#}W6&qw^xC&fSyL^H<Aeov=w0pV-mrmncHji{Smz zm&h}o1`=DtZ>L1L3><_?+OsznCyUxyx*Y<JzqEpEy3)o5jDuaVG|43T_`(LXgE65d zV?T^xs|5fAKWsd2Kk7sP$M^hnza1Zpf%^q7)TW9Q8fCm;<B7oe4Nord(^B`nKDC*1 z7njr2qYHMwz|(zrx4Ttz-^D`jg^$N{a&$*_>fIb7ozq92=M{&2gWmf;8)dl{R|k+( z8Ip*7-Q)6!V~F8yXe-5;{Qi+1&1_$dp-kf$@X_O|rBhZ-zE1Kt)srd{VxCj19f!ud zekX?(z;lmc;Dlud(s=gq=t2}Ej<_oX?6N)z%sE$GYfL>u)fQ!=8jcp)$Z)668&8oj zK;5c4dg!b2dia4*w!VKJ(W@A_2?vZ!DM`o$k6rL~WAAol$Lq0K%5BlQ1|o;h)=6Oh zw((J8PH*W$EBo??g$LzX0TpK^B%`nObXD+$)Rc5XMGG$)U0Z80neMyn&`|{MPaSg^ z<)}2)4`2uzCOc%ej_8?-8YZ8BDUl@CrP_-+jz~wva&R8Tu2=&mM66oNvcC3W-HpJu zVx5gQZP(chi$`lC7_Pv(?g(te!4*i>9k(;ht>}*8WyKAF8NfS3!B5Tqcp@g$T%kZn zSzN!~0bBy@!6nc{XvZ2UAFE8|^3dalY0fjAj=}kH#i8yp7h#Wy(!Fe``Ho{nO1Od$ zJa2YpZD*23qB#0wGm0J@ZiWZAW^IEM{pb-k#Y@7v?py*eEcOlTIq;_N9N+pM;;`)s zNMn*Dt)>s?zTJdp82PIrSBkWn7-=$p3Zfy+7c-QgjTa!;%MPK`*}tlW55vL5VHmW8 z)#c*gwC;#}s}yPujhfldYE7(#ZOTU&33?quiLj;UYOOD%4jK+R0DBtL#TlYRcj>to zwhtuU4l!}49G~Rkl<2$t8jmz0rA#r2wc16)?jxs{{KaAF6WF_S;Uw(NX#vu-D0BE7 zUrXVop7+D=Q?aP4d#q8NX#w4l@u|c%!cv?M9ls8AO(dt%UvU)EuQ(i64crW{p>0_% z8w-3ANbGrGfyG&_^JVIREg5wI&V7C@KjT+(4?0nQvI4qauC9K@Qr^m4d`EAO<9lW& zK7hfzVA??<k<TzW+)g6#ME5{fEM+Yqcz3UE=Mm&?Tq=GHo7el&K>A002Gi@_K1}l< zSt@=y3`Drg<?TqRvfm_&xpJ@caRA>_JKzK4lWuyN8ER<nieKvsLYJym>P517&6Ncn z%q!r4bf#P}+aSo#)P;BSk<Pqp3SPP)JEw-qR`#r$%p$j#e7zrjecHZxCYbO{TA#!} zo_n+ZdBJzt7QGucyKX=E<Ea$#j7qHi*1aG_jwj&rsd3fYiSllCX}o{%k`fT^(uK&T ziDR&<O!nQ;Xcv8kzAOTY_=Z2DqN)4Jp4#;EEhT(ckg0tw_hujEDBnwR;r2855#>t) zqy(+Got?jx5Bh_*Si<0U#$c;DQ~uHAKH12DKh!BZ#4ic-Fr)bd+vWL<o0>6)_Z%a= zA>8DSc4$$rh1=^p$nk~01OAk7JR6zz`cSa-&A$-6qx$gI3$zn)>%u0!t?AYKrm5Ib zWMJ=;I?RndMmji0BG~zFW0CT3YmdCNFUX5NoX;ypEQN1lFKvLZquM>SD%h9)=Q!yR zq59VJ`hBw;>@S!f-yn<~aJ?n0ze|I}&HH9m*n|{XPrB+)>RC1p8M|uM?A|*e{2Xvk zBz|#&Lp}6X8b`<^LqNF3s^ByFhb{A<zQg#^*&xbAO9GG&yFusA_wsUdM-o<HU0+(a zAPFm2k&Eb1DO&;$z@+kv>q(CO`ktWwoh~<G;+6HJZE(O<LaA;A4v1L<{V2k3=1ZG# z$bJfJjL<B3NvB9@vIE8k*-fP5NyL6Pn%dQ&HOhC|+64_fFL-E&F6jDpb5jLL(I&HX z^5P=~ePwFYH)mF)ltXV>-V^$ZFT-vplc9a7ZVnB;sKXAx9T-17*L3fR5@9=fcD5h@ zyMQ%y61U;+D^`x87o`@`ZhoL-N1J8dqNm^TD;XXeFZt-+YBeh5V0AI9uT_rqL_g+9 z83e_6sOC5PQabr8WsJ&0ciI}^=CC`zv8gPixmD{jB+ytF<u{?cLC=T7B2avu{@d;B z7&Wi7rBDQX^+{u^3<?fl(6u?6o*t{+Y;|bk_4?Rh;AvWb#Q+bTj9pei&22?0+^SF* zw$*QK1*#kXlva0vazOF9E6s%qO=7dm^C?MJ_QVY1myo~#`<F|a&M+0_-!?8@<Gz1< z>pPxGBREDv>ly0Ae%}5e?~&=8&s?)v=M7jvxY>YM3s0P!<BbX|hoNVSvDOO`Ir+rB z<8Ch>q~r<*PqNX%(~J$Ok5HjuTs@tgFCSDX^I=*HIK}EjQ~a^K5541c-VTW7t3-PU zO6{c5jeg7+k2)1z*5!^i&!lZrUQuB0@Tp1Sx%Fn4WHY8FHLWkqzSEgj*ujAVYPEAJ z_e=_~<I(TQcG6{3p)Gt-rcG*>&RbFlWZh5US{cgbVR@Giixft?FbmH|?~Bjf2?!T; zl~1P6&E?k_{-Z%LlAtQ7P15BrjN+x~ZtIj&xCr<?M#1yCa&{FW3TWMF@bSBFJz1X| zGeJm7_N;SbOA?K|LcVC>SBa}w{E|zn?i&kO{c003O+3uLdx3(>-NSV={}H$OO)ZY! zeA<SRydG8)<(s_7DUur&60VoajxSKIcEp;dx%Jf5KuARM-4mhJ*Z!VL?{Dxr?6{(H zZ^CggE5be18^1@lV6?ayLw-$oN-*ZLMv@YpjlQD5mTvzIN23xe!G7sdtl(-)xeTuZ zc)P|t&EiV-T5`2li&T?@d?xu#q$kCE33KcyS4IR0_VQ`odLjv11M!3=T47Tu26*;? zjxceo<D_zuX0L=0+>c9$?;}n|LNe|epJLtJF-j(?w+5KFKJn>3sZ`FYjX`&r?}_3K zkhJ(7uz71R$Yy;ep`}fxw0G){e$%)EP(fHDk!&FVBvuMwAtSL0MoDmb@}o%ol$EXI zEB%})AkpvHnr~9bpVf(-V2@Y-*7#62iGSgz9L??ICVE89<*vY5^Wl|PzW#l>8STZ@ zsX><4j%D;u?$%0nQ7NB`pk5h~oET7AY}&{~EPnSdIV?CK!Ccor=eB_BqveE60<MVu z!5S0x%>cTwU-U$e?vwc`AlGDtkV2$U!?|0827c=XtO1U}qvc_{=Lxp^i@xjyC<=My zp#BF5F*2y6+tg%5?xOZW<By(9IpU(WKjRm>De9T1FID-s;XPiY`6}q67?wd8N7Tq^ zOjIUd+8gnatXhDR>iLIWSuCBGCIC8S#D<1u)h~@Nzbxf5*uUxZ&xLO&otu8}4Tq8c z(Lc+Iu+tVyU{;Z5J|Wi8eVLkGBmWpG2q*tj==e}x5TDlXgW0a+huY3bIf)NGnQsLu z5S_;##R}zrmy%<KRvh3H<M51Tg+3V#`@k<M-#m~<M_T?R)}Vi)04kI=kv0ZQl_|Vx zT&Z{2(=)gTEE;?I1Xr{)m=PjMZa?BizG*sCVSH<TkaaRiesbEHDt+3kJ5ou^&he*^ z!2}|_e{7)e?CmknnN+<brPmMnoX;h0?j{Wq8w4#U>Jh$q$Kgj0lq5WIxfZ~8r}H?N zBk6U@?qnTvyQBSXpKG*}I<pf6B(x1qB$|$6@0(|5b*0qN&g<RGv@?ba{A_tvT=BRh zweGQ;2z=<%#z6hcN1s}2XQ%NT=>OnLJz(0~aTEK}9ud1a32{F>tW`1DeLQtuSKD`C z-@eqMZ3VM1`IJ^2R@Z!?#(1uF=e1SD6sO7v&{m6PqjWx`p<9CB4#BGR1B^THB(mni zHusGQw|~FzpZ<c)w8T|TaQ;&rGX?d`QJG9(^Y$0Vf<6;EiCQm19@fsKS3GgK0~6;f zPW35wV-ND`>{w$5Q*vJQ`AW`@x>Qch-A#kIj9_nDlrtF<Xpo~`xnJy!LGtviy7jbG zO1p&DywsO7&^`0<?fQ>5_kdQQuGY{uAyIxP_MQ7l9!n(sGd#WDqfu3RcG?EZ&3=ED zO?*ygw~R6)RLXwBYd+{sEqd@(WiOdIm4F3K1N@1U%v@Vj#$Y&>5@v#O_P|g5>P^zM zjII*N<!I?%<RguW*=7es3{0!-Pp8lA8M&Dm#`#a1^4XO?6fMl_sQ_Ms$9vTxPh2Aw zj+_O8lcs%rPnsi7yb^NZIotTpfG=x%9(+c@MGqOhZvLXDtJ}8p{0gs{ymuryra>{o z736m2MuR!K?FDN|#2hG|eVwuX72hHLajjo%RG>qEgzNmO|Ms37#X04z^P~O{oo<5Z z#_7kFZS(#iCO2Amh>W1)X%J?tY}bqI_}=)h5Lv(=+&j-k{o!{{;JczgFnip1)Q|5> z!Rjn@obgM{7-nBKZ0&roF&=nUoPQpQW}N7y^SX;3CH8P;Xsa%0p_v6jpKkGs!j(~s zfsQrC8XfP#^h4r)54#*mC8^XedO=^FVY8hNM_3Jqll?;5_4nU41R$n4_X&LvQePc- zp)+$(bw_XzM(9Pi=yw77up%A@sKVE?1_<_HG=*?=Rr$i)Ohb5(cP$6e)@B|^$N&j` zmjrf@kR@D=vw8SNxO#j2K_c=*h}JMTUczA1=w-e9sNPFo`B5!v35#-!x-Xly3D#Dt z4_C1gq<P&5W?K-tp+yhZ4ZAE9xVqQs0)d;VE<D*E?Pk#w%Tv65Hq>A$&TCqj{~9R1 z6%?DX#db@s@26g^gBKkx(H11-y+;X~>_Yv_jdzE~7<Xsjw?Tr6^g_Kc8c4td2~;4# zL3+W$5ugqEsg%m|&;+;_^0RxcB?tc`x)hG%9bLMJa}rfDDNL5~(5u{84#;m`ZST+? z`K*eD-*{41M$R5=8#mnA)1@Dv*UM`d^NU+_0b1bwBS)#3?53d&DwBqsNDn?j^f>P8 z%{w-Z<KgMYq6&Dy^fdu!J5;VuO1dVrbx3zgz8~qx?1(Ap=<)n<#oskjQ(F%={tkQE zQLWRQR#`4i_Kx}o?+EysFgKu$Q${|WoLh1v-OcDA&^DZEJj?AA-IYvD{#V9pmAH(8 zXRV7|1@Ky$iazfxx#2{ELJNGTyIRKE{ILb}c@7@ZklMqyitFu;GQ3|+<y;l0HYwXE z44}S)S(x2#NLBKDKOf^rsMe(U*T^n%C>u3s29|Jt%Se-yh0Ji@ODhKYbz?N3Jg)Nv z5gT>6G5se{BUNdmUbJ*2)1(@oJZcl8m!VI6gJ<?O0?}d2V@;cyP#yt`0(`)az`)2R z^*cUZZudr7e111Hd~d{pE?q3FW_ZSif>agy$MwDz)BzSy!hJ#;$uyj0dBYGy{;G!# z;%eOYan-Ak!BT?)6Rn#d@`KviEZFYz`#U2R_Biy9jBIABu8?t{`Q1XF+I1*UP3W*Y z&(ugL$oh5EwjSm4A`&1b=H56Po*#TwzWO4{d>{JQm2~G+=e}=0ez#Q*_wtD%WSD^` zaB_2GmieLkAfB?Cn71k%)u={9;JqqLrJSvG>mD5kw)q;kw-(Z6@!)J-huqrbWP(0% zCHO5MPRsGTz50SZyAVQ#RXFnl5U13S*%#q<v$ExF%)aY96$P2Pu2flr`vs<fS!>5} zjc!x~9(!S`^=$2Ya8c=ehOg0bn>;3|_Au~)qo-E>El3OUHKJ;l4<Q{@DMd_^{L}{Z zgRd=OUA)pidiXl<1*hGSs)<C%*HS;(v+6_DRN8eo(#AKY5k{&`^D2+9?2+Bqci)5# z_o{Q8)ibM}mOE%I2OVd|y+5@uFgO%eUk$#cHQ;ooM#c7<HQg%;L;6j|w3wz1dE#&7 zufAC)XHXgb*kDXksl236SbtLmTD3GjvMYVeWl;Rp>KrQ%AMT#>GQmdk^|Ijk_`c(L zqI?I_XOi=y0cx3BRwr&@nJV8m<b);+?@qjmxf2E^1M6I8Ztstw0~1|i+BwKi#otgB z7LA|DtG0B%|8T7}EvQoA>DZFk!(?kZsXY*wnJ#D+Dt4^esmdu3e4|uJ31}LPou`b( z3szb`JLz_`{q0etm*}DN;Bkq4r`gCd*D?-r`nS0~%Nt%VTPJKXd!1TTp@Uv;fxp{i zzC$44Wc^TGGJfzkXN`>2@(Hokg9MdhOQXc%JlLCvZ7dpEHj{f_ikINw-@M}b@@l9E zu$*>7-poF2;4YcU@L`p<>jH!}<r`G<^*hIwv%JARsZ<2CV4u<VPf_X1rMn{X4}!#M z9d|?GeK=6$tzD~{Ed;OIS~@B8zveB8QE5x&Oj$T0NR=D<1D~?Y?tlUU_k$9XL4i>z z4%D!SGe*}cX)(c;@})>|?h-r!wXP<4NSU?m#+($~y!i<D6ebtSOUL(2MTS5y{2f;G zi>W&wT4>zfGrz$2Vm&9_E^&0Pt`&=&Suh@CT1+iQwHUkrnXO*}GN=v-GPdc-GlR$d zE$2MiC35f8t>0v463nC$PIpqdgNGoNc^XD&gBAU1>P~3Or09F%7p(~a8Ptb{U$-R* zK(QvvIiR{-LWZr*37yT%aq$uRe3My}_cLXW91L|?J6(Q9&Bc@ul9>emxLb511YBQf zhHoAcGqU`|5~%NZIBK>b-xjj+VWYxj{>SJ_{k~>_Yo&Lt6f|8wvc^u^w!g7!?njI? zkC*<)N0wn{F%cI%HU;wCL4TGASXMmOSILRauiXHV$hTl9_W8jDH3jX66`P@*vdL>9 zIK|}N(nyV@gG{VPMeWJ%rkR4Id$VMO@kQ1}PG2w%9dU83wQtkOR9eINIecqv`Pipk z<axvi><-(rm`ZLP6L@#un2=S@m=Kv~FJk^|dU9HgB}N-Q;O-8AxHsw$8buTLg+nYi z?*a}px+sJ@1B07Gqu;3y;IebPvg_&#I5(<`fCt!#)6LdZm0F?MhSQV9S}D7%v%E-F zs}$SKFUM^K@C%r0i)`b-!pppx0Bl|0!GZt<ie_J>?`)&~xh*}Rcy~UV$aZTNR(Sz( zj{cZ(B1f>dD%E-8Y>WrlTi`Umw(N<ekW`WmghcDSx>dQA7d^a&DwfJ*cT_p!Tuour zm@z8aldL=ZUCd(@;d*nnagzSy!yn2*a42EHws8VeiJ12pjM>0|ViE&@dNxCls`2SG z3`M*(!nR7D8@q)?igSfU4_2NJ4((-BOBhX3cHbYJcC^||z;RH?BA(y$e9BxWf%_!5 z%4$4p`(U5!_Dl*5bIYV6*X^0#H1D?E@*hKErU~v6h{{nkg+y`Nx>^~~LWg4@jwYGN za0u3**9AI;Q#@ts`<7KzC(asa@aK1crrFKz@i$YwE0F~01(QARKA4q+g>_lSWZMBL z=6{NrI=-FBMq7x5&~_i-h{Q`Z(LP6EbPvRdrw!|#KU3#;vIf4087ke4{}?*)k$_sv z3f;^K{goBElNGvWPGGSR%b`U5OMR1z-6@UcG@r+}-<R80HI+|(2Y)NT-^!-gNuB#! z#;FbBY*3z90tz%GDBlx;FZ@DdLbw=j+}aIN2X%kT8W9-|Fb!J>VSX)1UA_lH*C#S7 zN?R6QBGzAYUNj$DF+sAL{(%1WCZK>m{0OnYIHq%lKKCK8fhs?`B?@rXK;5nEw*#t; zq&gU4a;z?xzuC-CDP`*k<IH_~tw_6~K1->X#evjF5i8G*(=5loiDLO<IIAkJR>j(7 zx^?tJ^i#%>kbD|?dK-r%b_nPFV|CsS`sy*A3Lid2jto0=QW{)rs+T&Xzj#(`r1L;K zYvyVDIEMo?P<{_AEA<1`{Mwl0O6^~}!U@~$+|~Jr#=h?HYRjjABv>CvV$*&Q{VOL4 z8mXnW`q3#j!8wR;(p5XMvm+8DeOLiWqL(Byoyg8rACNS#43Z|^1o4SI%cYEkOmI^b zFn6&msv?&X_yF9>7tbWuYhD(HGeaZgM&8pz0-Z7+T0i@6S#|2B&Zw#rrA+qEaUFQw zo`vhZh9C})CZBQswrkAk)5#THlwbfHY}>wUqV@xD$*k%Siw?O(!sWiHl4xHSA`kse zjp|seD))vIs5RquwqhEct228J{Ej07!yn9C?6`A6<Nj=^Fo>$EwTnrg6Rm%IFnO`A zp?H&{%LDSuM3aNPoGwk0JS{!aJ>XOz6y##SL@!vDO+zlQEXuH7ib)$)H-b$6Q<0r3 z(Wj?m7IhbkDs5M|&`~J%CkQWKuCBPtEu8Vl({&+0@;13q2yISkhGm4Sgi5k{BxgY{ zFeiy0il|_&@f&p+w;CaTs7;K$h5KN6x`Iy~{g9sX9fm+y$J2!aWOes@dkJmg3sG%i zsidb1QBGBOs*?Ha&71o-DS<xY*&g8_#5(Gg9PYr*9)!pE<$20n>?=`IgGU|O>QV36 zxdzJ&GZ()Quea%+<%k9zCvwf$QT3X0qCp}kLfaC%+Y;OL#gSI><Pk$QL%tF64)>#V z%Uelp8%)Zy{Yf@83S&!kRqP)cZm4BSP|d!HVJ<p(owc$t1T81MrH#p;vVV^VnoLU| zV)Z;a2P_nWKh)Jbk7B@T@U89xe6XrZ$_22#5+4W(CL6yib%#b}Kg|@ylNNPZJw{Jl z>gWNxSm!p;gSF!KTBec221|07@xOo&XYqkMks}6B7#u7WJ9@l1iDvTxtHq^IKfK%I zP{a!Y7XVZv7~J3|n6id;+o=@98HusC0YAX{b0M9|zO*dLbH*bbo4Bhl1jKlvD+5Vi zE!x_bXn4Qvksiv>{rV?3O5%74VG!5!E4nkOZblO&OXaW7r(A0NrKjttEB15)2&sE- zk_(*Lwyw;{_MKo*@vY%xprRRjK?Us7E<(9~N20LB!;d?sTrk${18vy*28je!mc;`< zn&+&HMYz&RP`9;gEq$hWt{dpfIGG!DS+d!Ybmlm5&3DN%3nK<^vYUr$qA#;Qp?W|- zYf*^Jq?!^Cm$SM0f!&;rHDH$(l#rCqjwGDvk5e&ak&AToOuPm4Qz4)RB+;nkFlfR0 z^Q{(s<5DX4t`%6swj=EGz<ux*tTR*6ET&WO%*~<0Ji!7kHU++LrT=3g+Qk|32uxai z=}1@13dY45Ik2H8tsP)K<^8hE-<STO_S`>3=XN}>v+oT0f#>^Z*H67*=f4dnuy*W; z4~(zMNI=>s>pSTBC=WdQ*WQ{>)%F>*NJ3uN;hkc!A8zf(mktH>pez1xFR2Qyr+=u= z2oewCODBWg;@@4KIh!Fq@V;eX<RbX%Zw2}##bqH}!c`Nmi4x{>`TeqTopzh(pAz*? zN+I*{+F64ZKgc%#9G>xM3jd?!9<xL2=gt`IPH0V{SPERP=$LPc$hFe)3V=6|nUio? zBwJvy%PoTUSSQZBV28i!VzkI5dB9%FpQ+n5K^heI)%aKD#sM1GuM(48?yOJXDXE2! z$xroBet@~FSS|fttwywNmzN`%np+gH{2#gzr#OZ=R|R10gFo&h#I!6>>vJ*BWKYeR zM$x_}P19`)F<jg|7v&@GL)2uVO6^G1A;KL3cb7uA%w_w}$en@D;&MLC*LroLDzU=n zSH5VmM>%k;fvWBjd<*EJp7whcM5F7@CIl&dP&w<%tc;wM^f~|Ty|>xjDAjP%YPpwF zWB!_3BpI-RQIz~S)d^)Kcj76%uizai{j_Opa=Nv38u974I6B2>`uBNWMb57F(jvnL zbcWs62Wo!fX43X&DU@KH`;V~6F5mL=nXH*D7mD8seVqgRC*6~4Ih#K#Pi5}qHJn9= z8tubZLwRiOR<*~<(CgVS4M~VQdkOFNE4)nov@8y6G;B1?n6so66djiynu~-AOAj3t z|GG)ILtK6F2Tn7p2R#@N05j8vsx#jxr#BD2^b`f%kkQ+}f%vp2U#Zu<9G23!!@#>1 zdnct=<+KCwed(UyzJ#(!e1tii;I(x03;wx`ICDv(-xN3^upjvf(<=QPT$M{|lX{Gv z*>5}n@;<4b`+NE6ZFbb5n7hJnmizQyPYDbCuLj-9^e*3c#GN_5l~lk@J_@2aoW}{< zmzGcdk^VcSCU-Qcrz{~B?Pq7`A6@R>8>rBRzDHWldVv~f(}&6Ty+&MVPDHKlt)?-P zbAXSq;TrCFJfG;Vp2#v{KfR>d)~P?8RExGEaSOevE@uGO`nfyt(`?ecTYj(TLa>83 z>*(KC?0HFRKBr`-J+4pZCi0I=9>aKWSy)~(jDgktTRXmIvKpdR^xNR8Fv79IipRgw z{g@gWtwBHmAaCohyy5V~1k1&vFC}_gZYu5`s;`%3B&OBcZDoc`Hx&pLd%NH$SrCwa zEYeut%D7=9oF_)Irt!8wKIuU06AnRVd{@7Xn^o*tjhoh7)i_qs!5y5bd@U|1_8bbP z#H=6w;dho4pEZr#kU}W8VkVAxa(s06w&(_Zf#tV4pjR+ElHa06pL1CYW-mY@t9ZNg zBes>6UT4T8gnHdj`{>HvnZW$6{xuBx^A*ifb=H;&>C#i&^ik%Ldx3_pWQqd=h2O^D z@h1)3A{+A2vD>Z{{r1r*j(9)rj~1U&J6Q+CdDFsCoN5GrRzya2TXFao-eTc~QUdah zoM3%gZri*YY|#nFH5`_;)eA>0^18I(-#wlBEtqYbhWAIc_%fC$uvu3~a#$_ze=rM! zHdjN$0Ko^8h=Z(yw#a0q>GOlzcFJF#=XBVlMuNNPZ_A~DFC{Vz&rUkK57yjF)s{W> z#nNA**Iz7ex;==ZV4JLB*xEw4r#7tBA4?&&_IP%kwzlBY2j!JLDCU^exFBbys)3~( zfb=~u{C*O(kTdC#f6h-mRKhML@d;T|-z`1~T$K8`6^5j!LnMkQReJlxUS&+Xf>lw= zrB<nL65b-id-;&LnF6K*#rm`5vCW+6vpy54Ob))Q`sRT+TxYjS0kf|Dy1ogPHzWKc zdwbK@JMY$+$l_*Xgv!SAyZ&~s5;m|<Y~0x8kvw-e<Q_yI{;h5@@>RWI(Vc?NB4$Se zE9L5KT7_jRl?yeK)?ZRTFBEUofL^?XJeGQ$G1rit!(I1hZWuXfPdc@}KO7=tkWvFy z9((Ni(eNDvWn3?dlkRf=XBAQkEm%qfiZKLv^~{I5k9=nN?MZudma%av2ld28sJ%L& z86Jz9Ik!E|d~$9yQhaxk;6G(YQRrpaG0J!N;bqzJAlZFJW@qjrw#l${m3l4YBRU|L zs@OU9c|v9*U6z_ZF(Qk4?>QmPL(61N-pKahc5@1{!OwqUxkf%a2tG>Vvt@wuQfr)i zGSYlvZpm4$Y2JX#7|{MJj~7J+vx@EtSEo}?mOl$U8<1@Avz(gMn41Nb7&e)9$B<Hn zx~i|vexA=*um=nt+&YEVFmZF`e)j`f0EB*$^egYM3)lMF6y%!vQdIu#Xdg?yOT4^M z^XwfyKCCd6wyAclYwQpGn;S;cKSMBGM^(t@jI3ASb`U*(?(l_{Kv6gwWShHq&$TjC zTzX91$xJ308H?*7M7j-9o=HPZuPOK*LTZ;3Lun|FlNnPo*{A1EGe!5dPUk?VJpW>^ zYbdhqEw_1)^2MJ!{+dFvy|sNwdFSs%pkQ@&7v1UN-3<|lb%TZe#)7qvmJ*dQ6(O{j z`4$tvrMF7xoEu+M<nSuo;l?ya^|R%wD1jw~9`C`_5%jWx=YY5B$TGPsKKJRu5`Glw zlaJBllgrA652;~xTPFo|DkrL@^6UP+RB9)Clxz??SeMWROB)qYSqi8m>c`D2s!2Xy zQ8DTb)*^*I%3ssx0$IQ=hVm@4FLY5!fX$=kWqGiNXUNlrShHp4r$m?(7+^JI<pu{T zI`mUt9w(_`O=~Ab*BB>i7>(_+wgJK8{yx8izdU+57FF*!o;Mhu=e|)Yx8TsUJo-N? z8{hWlS=che#-&-Qh2J|x^o3G{fu#&{u+E{|exHv4F#atQ9!6+|6>T{sx;x)S@lR#r zJ~@Li$7L<!6;`zMRQUFM2qDNyvz+_fe*c8t_^mX^vc-zFnVQ&|m&dp+Y*e=wy`WE7 z=uo6gTHs-!6)tpA`V`8x^x<y}q>A3t<CvD6Ni?5IS5_z&SJ~|j3jo$Nc0a%M2rJ1p zNQzV{X0b_?%)J=A7;;sXTWxvu0e<J~MbIw&JlwdrA)9`|HdRjF=1gy=xkp~gTiojH z<A~U-2VRiU^Orx%<1vG=l@b&%`e1uLgZ*F$V2^GCUt*u{yNZ26zgZGbAAg!<={Xq( z)fA)Mbh-F;O-C(}Qx$JC1REQT9V+|@VvL!3L(1qzRmvwwAqJoX?%g7v2h1`q&m_+f zyLr9WyWE-~<4eba9$#+Frc*>oFwzJJDgOW5J#cw<!R5v>V%GU>Zj#8xdD^SjO~Twa zbUT2#FqR^@U`8wJ&luS&Out{-1!fhN_b^&mCz-3e4H<A*NT^X|61d8;TDZR@Rnkj* z@&3Uu{+GCEN&l?Ep-OQ7cJbr!yY!8f2ykDvqVnj^<(AF8>$Z{}r1<ZaEkiVV7o%<q zM}nBw>;#QVyA*E-X_2T`1>}E{bO11f)9u)p%u;^i0tiXKd+$}%@xl4omf6|XYBJF( zS-*F#30t6Rv`GbrJwtwnc)NpJogMuheSm{O=TG}Kgwq69-(f`U2He1zmwh)6tn0+@ z{O865@V*4Q%li^~S#tI+?@LI$fc5`m-omV0wvM^|1oy}bsP*=!KB-rq9U1t4*gNZ} zDz`;%OM}D$5s(I@l#=c)B}5veq(fRMi6x;Rt;AB1kOt|N6p#`r=}zhH=9>#-Z#`$9 z^PTVBd(Qpm8)GwI&G%g|%Q4)JIe))rKC|HC&;Z!hpgJ1hesn{5Tw=6U_$x#JD=ljb z{{|9-k#ts0IHjVwyn85SrFMUgkMF4|)RpwOFs46B1f9001gex8=y`m!CKWv0IUdSX z6gE#0%O^zkbnZre`&S1FCw(XV)x0YmJ?b9)hk5UTkkZ0kLlqej8y|-J2%-orK{N>^ z+t%p;#mJlyq4q{vD|MKl$|u3VSxUnLSG7(<?%+B^SF%qVX3RV`@1r$(tG^Q}5^h>_ zO@v9R<tzx`wHO4DlqWQx-CF1-aC{n{(59ngrl_m>=-!NGYxAYa={hSWBD0+%i7~T; zj89B8>CaXU+kRH_91)LI?&Dz+6x>%Kv@}gzQxHvWeZ5d@<B&EvZPPY#X_EpS;o)f4 z9CBfb00yl}fGhf6b@O^kf78vwn%f~1BLAp@KR{=G%okaK=+UP2C_mQJz?){@E&WM< zr$UD=xAXT}n{hSLJ|TWzm<SRs0rcKsj4bjCV>uIZuJVFrPu=ocYuZ*m>W6s9Ha)kZ z;v%{N!&<-Vh}Pq6(9n%rK8tfso$zj56eMUaugw23J3V*BEIqSl)lzMOAykF_^!-sp z&%puc)3c=ZXdOyAsahq3o-s6+MHnl*`l83PEjL++?J%soOMlrR8CmJkD-D^eV%ddM z8e(E(bu!P1+syp~EUFl}_jJj%nt5e0o_<}*ctblCFog+yXzwnYR{!>Uy;7H<M!ozs z4KjUA)W9hfapsUB)o1uHSSf@KA`?lQr~g>{$VDH=YDPZ-ri6@(PbEM6xqB2}GFHBT zC}dmz0GcKwKiF9{IO4ItldBSW@;T}gL>0=XZ&Q-zV8=4e9pHH&gRn1y{RwdWWx=Tn zB8hCr?>4$rv~q^ZzZD!q7R?$T%-!7X=n7cxKB@@k9@KTl6}OQVKDsef0Fw~PIk>b^ zNn#k+J*4kB;yE~SdbBN(ai!qB$u{z%_5x3}0(aHVL*<`Qv&Mu)jvCA7X1j)&-o--Y zYY%B7Zu387Fy>R4b$1RdP>b4XVL6?gI(1sTe*A!5t|FWCWxY@c(PKsp@A}G<;}dIU zbAj%U+(9>t7r)5XzXjE<G4a(@p^2%YEuy#Y6#e8YqxB(-(*-7+q0zdf+7VCJm?V|S zmzGu*hakbY*`U6aj;)s8a;<p7Qk!+<8m<tcaVU<SOF>NfA`bx-c`1z17SbzEI-EG# zFQagyqg29Z%ypLhDul%q{EygRL(`sEH;tztIzj0k%_8)8)EQGdfYKNeUA63~=}uGS zWy{ENfLhg>Ge^2t9Gzf0K13J*EBgSEf$o&|K3@wv-4wO*a4i?Syu9ndTk2f#xu!CP z0Bv_ijcj;G&EC#dvA(8~96q1|1{A;mYkiHBc{QxA=D8wlAaksGV*GmH(m?*o^+o3V zw(E<G`Pt%&H>1hNTdnlFBn1uw_;@;yy+0Z45qdWATiwN+Rb~+|JVtX)gbIGno3gy6 zFiUtRWqawy4mr{toLQ;IFQ}Gxwd@_bbx{oG-U>W&R?K0osX1Q9zq0BmeW#+2nrdAS z_UwyW3?dl71OsefpbtKP1O^~?DzH`{$zd+@o6lz!LsP<Bh;{a!7>waLksGw*IguGu zuRD^?64(ZhE)7G|GS)w>cREDWsgrm1X<6Y37ibIHk<?T*ET&3$jU|3`Ovrg`yq|=P z669U(g-|b2cKPNQS4LD=vV}J|d0Z~GJJdYR=<Xy>@@8Gn?R3gcVUmb1wz&y3+cySN z+g|NqtD7WwN*<DlToG}|!`rpq?ZDh{W$k9IC~x}gwh>_iT^tn@m2-YfL=b<KjL;pY z)+w$kH^+(yrXfn=D1F$0osLEU=AfG9@wFD;h~_SHN>lJRwRcE-00kfu=aWg!nZPU* zk{b}yX|uVS0jcMlge2eWNj8)55reTsDlDBRz_b?g6VdwycjBx1Mz4w@t*-^A3sr(5 zkgZ@uBZCd9|DB=Z+JUcBRYSM>!?W2gEtu`HDiWG68M_3hlYcq8^^;}>+gSHAQKQ~Z zh;`=j)`Ct-)WL6snw5k1O(l>?3U=~v64{FM;UB=Xm|c!*Ci>D9^Pu;a!{ZDV>`QaQ z&Zow%M2yI?Lo)sCL5FUaj}AkR5{00WCuJvon3%V+;`+zRnP~41Qg}mkdB7|;vR83$ zRW}fy!5Xq&oy`L#qOyzhNNX&j8<E9+Sfa3-=W|*l7b4w#{vipR_>a-0x$$iW&4?A4 zt?n_))R-MoN?_J)c07$RTJKoZwiAXn_uv+obr*QDBdoqagpXiA=dLiF4LKmJ(p`oR zVa{#PvM?nTB;>zTH5-kggg=U)LrwAQQAvoSBP{R{{Em9%vk_y&QF3AU2;TkBiMh-; zNvahQJgQlQ))M(ZU9#XD%bRtKi4&Fwo*s_X`V|A8hFou<@7b)<XIa=e`%CpfD}Ct3 z_4|vT(=JvR;Pm7dZ8IyZW5;Wwz|xP3^IjbJyDA<OJfLzanzw~ylnslU*F4t;vs<?6 z*Ex?!?}l#hMTUB}6!PwiPd%p9@8gc3_@Qzmn_1udHhd~A2cJskfvL1g9YZN{KsYPs zsnS;>W-trBsd`l>_e(R;JLt!>X>BaVIF(?A(h7fYN6!6bXLc~LZvHTCNChU=WTNMt zpyP9IIcM;D_Mg(m@sAse?!TWDt9i~1KFe?!{IghwZhT5!s%tUi#qen{{QE}mAIzJf z7Zd0IrFk>-V&eRNnKysrSS6JEH%y%QMpPnSF7IbckdeMi6iulpf52r``7g9(kD_5j zdXQ~Jmv#jk)IO<Wmmhg82kYUY$9wE#_s+|*<DQ>o8FLsY%M|cHSw;!UvUG7!mN9{{ z?8zn2krjcC?7Ez9NrB|`iWu)s*l7hW4NP<hf_Y8ScXMdOm=H0X)#fv-x)eblsUEwZ zUvnNd@d`^*oB!op*c%-CD686EnzMVawr_izSn;ixK9)x9?6IEt(v+(qDQQwDqf#u= zo@dn;W;Eal+OrtYp1JvwwNO3`NjdWRVJ=H?-xB2ZHwkFA<~!wKTJ*Tm2(mCp3NEn< zhgU9#S0RU2DTh~uidbLt>%&+*_N*MJ>&i_(ec?p(rh#JZ@d4`x;{(g!%UXN9dHExu zbB>~ZY`99+MvW<6jEE|Mi&NeCSN^2zQ8ExXVKLag7t9!xX5=9C+%W@W4IFU)FVgP+ z8te}9!o|f$n1c=9!J;5{?z1`x4vU7Wh&5=?ykGhNTEB8vFZ$h0xx)iWSgsM4Atnpa z$I*PrPl1xRy<h|4csCGCVaMPb#kUT6@A-Yn+dOgTSDs1YX3=`zror>2eo2L;g(27s z!wrWJKt1F1*AI_maj7$r@oNA6+n%1M)b7SgCblqc$>QwZ)cV$vzNC7qfKf9iCK`fH zFZ0Vfodwbx>8i<|U-5~1w=)g)crTTUhUvd<-NshC>i8%DYbGS_qY6#{R0a7K)q~8* z$M;LWC$OU6r_(wfyxN7*8gXrFv*W1MKDuoR$s?G0)1paG%1G>YYl!Pw0oo3{|AW_m zi?R(LWF61zKRU`HWp;HbM^OJ+mYvssDU_~yV8{X92$q7OJ}ovnN*+xxWDCEm1PuA< zf}tJIE=pO!?<&G>Si1ee5GpThnFG4M?@^%ZGk?@@MB5+0wjW>qI`0-c{A0naZ_}Yk z;TUbOJ*G9%#jZ{w%k&w8w|`ssag1$ILv@EjC)`~D97C(~Pq#tgH#@az4GKS@I2KWT z`-Vf|lNW>F;_iIF2DrF8wK~bnR}d4&f~T+4P%d)PHUR}a-QoPZ)w7;%Rh{K#!AKm- zq~5RnU&a!+h&Ii7D>7mL=RQm;hha*W3flSsG>6a1Y@Xib(`2g@(^^6R7m38dMRF?W zy$Wy<T9)rBMZ9{tr?O6KQheBz{FU*m%Wk7S0>e!JZ08|<s<PMW=a1G2`OZR~1y=n= z5maE`(Qeox@A=d<Vc9|a*4<GQvj~QqTTRs}*529TAzkfC1@&dIsrQiTVR%fq_&nyB zG&sZf#$U@H86_dFfHn}#5;rvR%krW<jC$y4Ce4XsCXFX=<QLK@8-jRuRTb|c3;P|p z*^;PSNFWj{TOJ(f5X*n?todKqD)8@F>yJbKch~wz(0{VlZ`-{3FRb;yB0z@PrN1n9 z797Nt%%myPgr3S<YVZF}a(F^B1ZGep@ZD29*GaxZ9div|PNE#pXg`8_^(v}|?9BN^ zJhR0+$3+$%E#Wl>pT6C0hcEus)lx!|w*9XjhC!Gpe>4i9b`Pu)zxDF#3Er{UrK6Mt z1&zdy6LB8h&GUX{1<&Wca^e8%f?!Wh9Ay>57{ThqE9-W_H6(4_nd+gE>LbgmIUz4| zPSSA#s)H4vFeMd#UiHwl#!C_s#t`hYT?Pf^V3$EgyYNMaGo-j}uqyKz$6oUh9_L)4 zE#h!xwaQvlcyqoWj<Uzj1D_I$l=Zuu>#=WCUz%D8+%jCf9<+r))cia!4(i`j`~JN= z-Qz_;h5Ll$3NAlfURn1#@FmRJ9?d>En1=eBZQ`HaRA=T3@W=~Q3HdSE&;OMoEU{nZ zkm1K0Mc5{ac*xVQyGpzGTNcU8uH7NDcVg3ZV(T{{Y{&g2=4{ao23~@lA7n!x<b7z4 ztjIr!EOyC%qZnNkL6ec;GT~d}chhnyfP^wFO+}-7-YXzu<7HG@K`KiISCMOG3O54O ze#3w}DlptH#DkJKGsPFh?@^fv64O8GcP1Hr_B&_wj^iy*@2qrVm}imT-hvQ>YyVZf zvt9Ae>YXbW{Z5W}F}>-Z{SG<kcbJ_ngTEy23ydF~_d6vt3upb#;TPB&UkDjAsF*P8 zlQ<dj(8HLgXwvp_Ept)!8eB)MQ<kl^)7TG|WpGQ?78^a4?=?yk8$Vt|1i(KGK_mI7 zLcp5bN^ds(&ggQah6ue3j8~4_ee7j9HugwUp*2lblpf^|gWc)4@VH(_?GxspeD#H6 zWxhda9KD4TNwEL}SuQBG(lItvs*t3ovJ#P*$#A$ZRw`n*PX|i5DyP+2&EP=d8`bHK z^>y}%nd+NfzJ_uoRPo7%y;r-*I(9{=H3zS+SEDeQs*{eP7fl2TlUv6>+0)JQ80>w< zr7xjA-uo?=TZ$V$Q|Fb(D-Nc7MWvDJiYC{i-}a_7nI=Rs=CdyNN$uRsfNC2*QVU?o ze`{|Y02tS$7R__EpEySDNuZUfUi9_omm1Ygw_W3!My8iP#NH8Bu6s8aYT(gK-h16l zGtj&4fg2`UZJ^^k^=mG}>7aW`c26v2?pw`F6Bat-{a{XW!qIYj$-rzrqEHfF$$Ycl zJL~F;cr<O?jV2=w4Q6vF2c-DnBYLiAzJVR9nXd|k#oo`~l#Dh#<bVuO*ps@rnQ^%? z*N<LFhKIU~f*)eW`MF%r`LdE2k|1Y%mqWvCTKiMyf!MbzJOfh$cLk&Gh4zeU!$aK` z1Jo45+C&n(?drG+tG)*bi}b8A3<mCHuZ7Bv_f+{Rq8~!D`X^s42g5M-PhcfO&76Ag zt;Re{%d5vc4)TL1mv?4IJWix2`bRw~8H2W6Uc2!}Qj~@bF`Ud(qD)hAP4Bq8F0V~L z$mwU#bXpLGcT}BYf<vI98r4M?N-kbkcgiB{iFv7AE&vg4iovHmdX*Jx=eV`BV81*; z|1O=w9a>}>9#>TDsw1#(@?AKFhr`%mFdS_e;}iXGY#ZvO_sCnL`W~0YzFnJSzHIV3 z&S_T!dK{Kv5)4mt7MHbk<ulw>sf--Fupd-=c<zNdkG)rXTwwl`9mAGSy>@OQDeQ;U zh)ZO>X_4C00c-hDVCd;kW#E$^H=|s1d9DPykwIB!dIi~-R<>7nZ6ApZ%{y!_u>aC$ zC0F0G7tY9>QdvRoPO59hf0}OQ#IEn+Y^gq3)aP88U)j_hLYi)sDO7lt^xRM_VF(e> zm}(pt=_{ekxSD^Mx~6`5k@HBCT*N#a6C?0e%zbl$BOTM0aHh;tC4J5dp!sv~f-J?4 zr9vxn@gPfwhwtI<i2fht*iAG{`H|}M$Rrpp9TpqZ+JTLdV$q@QkH&1KSMM^@RcvcL z=%YUyvk#WyjSjT?9<#afJ6zmVJ_v6s9=LzAkilJ^DdxK3)j&>?^VaFCF^hZNOhnMd z{|BaD8NBr^-5>8Krk~?-j_LDc!!iA8->S=AtJYM2)3=?Dhbh>Bm`OJ{{ojx15B-Md zGe4b$Bl?-RvsIC#NOnu5?_|3^p}e*bI`sGWfbqI6ov|J_Nw*joW?li&g?`1c*fO1! zRts(q4vk9u_Ep(VYZ<Gq-gHTv*b}WMdu>1OxC&27-0nI&8cO&1K)J&0>6gPPVdZV` z=;p#A*-c>!Z6u_5n}?$A(kKld^S3$)q>dPwPt@)owdG42U>;>N6g-w<HG7hr*!uCI z%Yas~e{GOX_MEIObNOT>Ei~aaChUeKTR96jexM$8N%^*?YuPQU7xhZc^Z^r5U(nS$ z@2BKs7?w7PvSA0r4o9PzTU3^%T}pl^u=m1oXnDW3=zE2Z9)d;4<jo$6=JpPc(X%k< zh8@W!N*ZGDD;c2;jxEh;cpMb0%Nx9JXb6hSM7Dk6r_PxmOM+(dsXu8B>UzV9jcXpX zL<=&eXiIp_Ib9YPL$YZi>>e!DOIT>YnX)rk2Ke-E+`8!v?#;0v5&G}~o(N4i!V{s9 zN{|R`XnQr>djU$-{l&9V)f<$m$y<oQT8<1aI^PW5KC4>YUV_n9Lr}FYi;znjK`rC* zz{mJzB^G7*jmQ2*$F)!90{g@%Aulj7(=!?JsC(WzzY>ct^zc3YR$86<x%#w8WKd$7 za$Wp1A<0kE;u!6;6P_Hc)?Fk=5<in8{6CT-vY*Kj(I3f?+|T6bbt&{BI{I=R9o5TU zL`PEg=g|=f!$ou?ed#PZn)`n9A~~8tJ5P@CZ=5AZ*Wt+#Iq7+F)FXS59O>RVPmZMS zT_i^_d>6?P^q1)9DT2n>R1SYZr}TrT5>~HsJ0u69bGFOOhyg5b`NFnGdw;u=kb1wR zp|~aNqY=4(##|}hNHv#MA<iYt>|7_@4m8xA>lg!zoLYtV$g#5B!N}|-_y`fFRvN;` zo6Qllce_Jrrt!*}6C9-<+9l`uJc9}^<`CU&no4O+m}>fr0X;Ggrt%NJFCh7S_H_FL z>ApqSvJY}y<9nyh`>BXKG?0QK1?=aw3fxr*C7e&*ztLwL>EYlOa4xV!u^22(ELY^G zK;U6{@MJ^)xzH9<uGbZhGjd4g<2_TTVpml#^SjR{?EN?~Xir}7)btehUkU2OsC;0k zSx+v<CJsAThmb(&`ZGsHK9U#uZCI$x{wNq^nB5_Qh9+jTFi(?M*}_w&lGizmBnwKy zsSZZB&EbWv3P`&u%tANbie}#&D<s+%=QE+zhw&_fTTqQ|e+IWaK)dxLFbWXxS07;6 z48w8ug7owBOM$Jl^)kJ_xkvKrRbzOK{Q6~$f)a?k9#KcSH-dL`OJUg<z~51lHm!md zi48KfquZqu=-@rUA3*-~B1L9@IMJnc$j9yqY6+U!bsX~ACtq$0p|JHcfTlR$<?wB~ z(rq{cANCRz$9TBzaV@FIAH}iIb^3}d6mao9G4DJ3_8JVRtvI|ZHb)IH8?Ljd)s_a_ zyTm)M8zj4u(QBxXi{@CUn682x3E=XX#Jm~y?I{?xvG5+*3N_@>u!?4_HaYym@JC$1 zA?q!b$@1l)1Kj%yE+iSQ71vu6*2s4-I+a>KUnvt)u<N7*Pf42>O37>AWVwt2)l7Zb zxAELeJF9$tK>hI9+Wk0|`XVhy;%xOq=&Ks%8!w%<mNJ+X)6kr|=GaxD1gE(WwJCZG zw9!fnrsvsZqXZ}Fy{nI_8&G5%xlRIG=UbLdj}6^oD<1R_9B~C|l$W!PQ8-P@p6qna zz&9j%d=;JRuoy8|+^h}7URYd3s$L0!LAD??2pKTWEy#6^_e^T~YnK)kr3D`iD7#oz zx^k{DeH5Sg4C=~n*}Oh(b4KQIgPdcYZF#%;)!jTfs4o&>v&43WekTO{xrt)^WvTp0 zA7;x>!csm9ZRfmq^OkpYF1i<1vaSoELXa1qpWLDY30hY)omW@%*La@0itVA3JJZ%i zOeLDmbup1vAUMmD1;H8f%e9>dDnOar-l!c4*OGK`OYujyH7k&G5pboc9r{Fq4b!8; zWkytRfCcG8>~;KcEGmP%ym&D~4DL)kPAetQjVI8hn)Ofo9}da{{&7&o7m)F9gv`Z% zhs=fkYmoUh0GVt21!SK1*N{1y{{>`@vhou$HvlnE4;(V*{|z$#0f)@_1J6^YbI6?b z0~mVHah@`TG&M#6eEcOGGB3V>%>7<~p=LN_u6_ZT%Q%4{4EWH_ACUPs0GYprL*~ps zE+F%40GZ3u0LZ-P)gO@g8&d$8|A0g0lYa@BD{B7+nXms2nL~bq%+bhxgUrAD4w;Mn z2AOl*MfnXfr}+&s&wxYbm486y4ZlI=Wxqk@M1ye1oRu{Y{}0Oi#7mg+H_BZ7SIYe9 zRN-PxER5O&!U-+FxP1~^S@qT)b*yW%>&2CXsaZ<Bil!r>8v3p$#r`2#NhH)Nnn^~V z?lf}Heh(}<P;^Az=FzGcV1L)Bjz~esiWA5K3*-qda#?5(U?NQINjW489DP8RXX<ye z*S*>>>k|E7swTZbUhJTGX@BA!H}<L@6jBd%M`T+EQr9IZAqek<sx8KsK1m~)wI34m zx}kjiJn&HrwN39Wo88VW*jNW96(O?Gcs|`MtHQO?+)k4$78g0Oy8UHg*kuA-A(MAx ziU1!6&^D(XK(oPrR8h-BfX-$Bdp*7b;2VQ6_pYZjz{169HuOaSaZY7`!rdJD7C_(~ z_q~KJe^a9Rcn*4BW_opcdDbOC=Gw;+mYzPKG#Ou;-U00RHAR>m7Zxe>Kb%Sbt$+mz zKJx#Jf#ts@V1fRR8Cd>n0v721n1SWLCSZXIs!dZo#G1c$CR+hueD~)4piZ~p^&kTv zY=P@t4l8JZu%#j8vu&8e>OETw0ih<Qun4!^r*i#^Vu^4Yi;XQtxsZ7&+{UuXY*3A+ z)GKA?bKzZa0%X)V$^Mt5JM>r7{eOXUhyIGX|G$&&2RZ*u(jEFM>i!=i-Q#dhnv^l$ zu1vgzcGZ3G6TW_!$uw)QYqGmd!HA%14L7m0@O?pe*WfHgXa1()^D)?kliOBS7@GYO z<e(MHtB-=h!<&t5P&nh6o<7_Cx_*5q^M`|E``8}j+Ez1O`wacbl=B0JtE2}Msbnqn zd5)+&R5E$QYf4|);#g)eeg9;!pa8}S#{5shSiiGaQvV;u`VGc{{`X-l9R9)ddL<Qo zje090fM}JXpCMW}W%82DA@4>3=3aO-`T2|cWcQa2ffQN8>Y3ub^(wOdZ`BMw-eMMW zuWH<{;y|iGRv1zQc2-`$DfLRPr1uwmYsyNtSiN<6-|bCdqQ^z}NF3yRsC;PH(3Wfi zJw)eD{g%>YRHWE|lqoXcpedFgtCW3mJZ<9kL?AcKLGXZFiQQ}TbmLqK0;@#EC4*AT zuIpryy~q3%oFa0Z`0Ob0#W018$Vh|Ac3kHhPlf&Y^vu3x-I;+CzwH7e=B<dQa~Ab3 zmY$<`Ntd$)(5ZQDP@cS69%%UxtKo5JR}VW+abcOY+D2q~1$uHot@cu@E&r)^(X3W= zzpn7D@nzkIKE1YalqXZVTbmX(gbIq%bQ8x{WUrA6UCn=={<x~Ve&Ckefe8${z78#S ze&vbzo>s3f_{$_kW8kMq#+pgJQ_s_4!b0)|HPQyWd`H9NNqPD%^L#9r*LMg$6h3`v zWf^9c6>=fGo{r<>w7b3RCym~>t>SW{U7zkiP*0v{LLJMW0wG@pjkRK+?VO75gH!RO z@8O}Jw?Is6*_vsKN}ug5PGP%=R=PB}uw&dNEtTbn4A;|hu9gz1mXfk;jsDphy&uaF zBCe<XTrDfY1k8^Gb{iE}TV8Q$ptgM2Zmd7AyJb;DN+S9=S0RI1{=*lWZ^_uy75F`Q zu`-^~)J)+X!NIE!Md@<XXB`F~I*v97Tav)Tzr!|x)p!@XW*^ce(h?qjh&v**x04>8 z_Mn{cKf1N>w8!{o+VkH==u;>F2n52O9(dT3C;oR~PtGC~3J{;~2QQB+Ta*!#m#Ozc zT{@vk%95FdCsU{9|4vTmCnf~-{9odPequt<|1D1FCnf~_&vHU9hwsppiuzu~BW;mH zYGk*03Ef|Fz)_a8;^na8oa%iAVXcDi<mW_c<gm%xTT{jWJO1x**hx;Q`$AZ)&NlfY zHFDYv?5?pRgG*;JfL<pED+&A(H&P>)4Z-HxRgrtO<5!_)-T>_kK|$)!2wc)$EV7=` z?WVe}*<V7Ed{S7LBo?}ogge*Bq_K_zv(n*~*fH!RF8eVFKheEF9m;tgE{0XSs+AuL zj~1w_cKDK#d*cWwzv*lhG(I*pFXYv_mAHGJVrYrF<R*a>BU8AY9vc>mh09Yy=>*wD z1)v9!2Sg8zi?%dI%;>NrJ`&P}D8GI>a9B+7$vSEIa8(1#eQF7DH6dAe_8xOlOijpa z2ZtWjHDgkva&l7phMN9SDefldsiZP}fT3ER?7}zBLD5O45{mhB!&hoj%2KdrNLZ9G zylIFM6l}!Q7EL4#wqMPwN5o;KYAEw2`C7W$VTd3@7^c>7ZI?nT&0*$}k$GnPpW;AH z_&oGN9LVs;4o!=*9h&eBnxS9bR5Zr4oo&#R{&j<9LWG+$n5xc;nTjP1m-lHa*Xlt? znEk;h&;l-t)uFs{IClm5=d<t!vj3dI4xoU~ccu_b+w8os1xoXhO#R%=X*3vQ@KZ5( z%N%BF0SK7%BuD>e4liEtJ+!2lTxy_G{mS1Wd9fc;8SKZDjbQJ_0B}FC@+}kV00xsZ zBCt8r<C&~hsx^24NdLQV(qHw0^uGc~|5vg<N&nXj<%#^k|B&>zM&gAgSjoJ(tvKsj z?HpHKxnHR5;dbh|o9nr|c~9~3&G_B>)%TDW$*L{Y28C5aU4zDqi~k|%zn)$lWZAN} z`lo{M?@9l;KS_T{K>C-vL4T6|&4Bc8-hJS9%a&I71n=e=^{Of54?ptjmW#Vz?o1n) zOu08VM)0`8o|bp%@=^-P(6=wK+9t*o-x%6J`;c_7le6%o6JGbHy>e&YyCKJHT;^wX z58_90;J;Lm|K4u|Hqz&ofjnXPQF!TCvyB?3gR_c}U98Rp6KnW%X<GqVBwo7?_6-47 z9TEEyOojxP2APK(WNx-3xbX;3*@nBa9V(_8^-RQIX0nHLJ~QDHO#4fuTohkM!9$mz zKv&1!ed0P(h$2Q2?{x6pB3{d<iy3b^Hv*CHH(#pY7eqBNeDQ3tUZ3*d%Y6%dj&&LJ zgAD4X60hJMe_7(2mHu+M$<NsG%R*w%nsAGq+Po9F)6hb{y8?qhBS~$m-{vs#4O4Fi z>8B8S<E;2HskGV|G)_G?@`cLCH9!0@hdFQ(AZZNT-&yu6KRg^sWp!mQ9D$q7s+$@= zmFGAQwGXnJtviu2qRooiBsMFaGEkkSQI{YV?7?u!ah0Ikizh!4acx%-NefR7_a}%} z6XFyfhnovhPW(NBzIcJ4>ykb@pN+Il)^~r6e0X6O!`aTjo<bhr&r`N<NVMmCkT6+D z?_Lpga$RL}J}ljNbJ5_rdwx)geF3I(pfV)(`JD`?PYhfl_5rWf@z~dPdcQ?7t|4$8 z(N;=w3q4GT-({lJDFw_sZamTN0tPWFyM=>gZgFRRut~2qDiwZ#gY?Pg+GvIQy4C3w z<DZ|$A=WBFboYp<j+Q!}$060WgBK`NN0S}T^$}}XA-XQ2J4i%AsNO?+9X><X%=e&s z<JuJHHd7K1o9*|uySA1NyPuz<8H?C;dYOuBsiU#KlTb8&=04NW1?25~TgIqOCOATo z2<&eq6pfz=&NQd8J=clW`R3PHHhi1BG5opnr-`aOqjXqbYQPKbLxX5lwx9DI3>W$g zBO%s3Yg8S&LlV-l8mc!H4`N#6;caJw@WSoC-5<Cly&*_KuW0U#donllWd7}D6{*cl z&oCjYgW^M_GbveC=``scclB#P)7!`b#9o^L2KC0hi;x9t_Qo|7Sz??S7R48REOYcH z^j`k?0J0+O`pl@Y=L2VqHtyTrg3xYfEGoYDM6JZ$g=1#P%}UM${vXU%GGQy5n5wXh zm*Af>+P!Z!`=2e44@q+38W5{xG!`ZGXPY+el3u=s;*5m%2b7L1*M;mSE5+ohERx_6 zVuD+3&nxl(JNy7|IZ@09py&~B6utWsm8XvyF&tHIWcAm#gH!3wODOvlL<>Ztx-=WH z?srNYZ9_V~j|@vuM|2p}%tEQGcIPn<zdG5wQh!h581%EbBeYh^AaB$!Dg_F|4gzx~ zmpOFL7ZjIuucokB%_RX+T^o~=Q1A_khy<jK<#N|G?l)bWGjjCEB&41$Ju9_R1|)UE zm<mQ!SOGbCO@m*#!CuQ)J)-BJ|J#kU{08H^%xOyQ!45_Nll~lP@onh}s0Cs4n4H{{ zdPbILd4aW@rrbnu&YT3-{2RZ<LqN3$H-g{vSDQ7#hboBF0suR5n5a=ry4@Owybuo2 zi+?`TEWNFIv0oE<v0)QS?SaTdwKMa*_(GvCr+BOg{s+yM5>8Z}{oJc50d{8MjO#x6 z0k%r=J<GP4ti2ERVWPMYy@U6Rgz<q1;HiJhqhs}GiM)O0EP<eJ=GKJ#1cQ+11=#Yb z^SS(`cTR!OG-8=M+e^&4C+e!)uNJ<QZ~B12B*e0XmzeP1c58fOxfbjUWk=9us(=0g z>;pa9stHrNg>@CHrLe!gb1x(6iav2YjIkP=(9W%Su{pEu>e!_+HM_T8ZAlqte%+ku z3o16>7c58Lr|TMyWUI2RX@r0=N?06bVX<NG8)Qz&{RV#1clb?A;HK`|;xJKg(<WuI zd#3{^(Zr?ZylEALt+Eqd+p+<qoJ;ch6W3RCl-z(Z=b;4NscGD@Yj?sS(MW02(b#}N z*Fe`TOKkT0u^8#T@GKnR$KwFB517`R`?<d&=CIRUOcE%QP}Q{L)mJ1<l1OOmHtVkL zUj7W%xz7Aj*LmKE!5;fxS3dJgm4paZ?TJT(k#t~@wU^dUt|wnf@a+yW9rtg@LJwuN z!lg|@<vN=rI=d5lGf;D#RcVjPrvgzWG19$SKidau*OSFfrr!0xw+=gN(vrWfLor;z zQ*uqm(;Bj5LKNfhX=!A*kmTl2x#o;{l*BFX-w3rpgsk^G2@JRQdGvBH6|w-<%THod zmUMWT9Xyi8n&K;f#|`!|M*^~5)K1M@bdtIEa3D3(JavEDsBXcVlQiPtkt5GHC~ z&vbq+I1r4x>ZUpHu*$~+ghcmV?4&E;^9OAL{ZcnpZ?!nB52<gKTLycc(3qpYk;LPx zO%cu-A75ncjt*@9FwP4ZKXe|Fcum(gZ+e_>KrkxDG3jWQ1H^cb77g933t;ggxZC$u zKQ*_Gm!(nW2s&wrc=~pSver$|2nmV29O}{!&Kouy!FKLNM4DJmPZxD@8>Pn`ja9{f zv*d^q%k$4fT?G1Yd`s{Lzz=d*z9lNKV`eN?#A0F?3kwz@tiv8x1m?qnMM}I#bJ_39 z1g=>#s^3*%`l}_EiOdOD!c>gOVIRbBt6+OB5W*Y6r5-(#E8Fueo8zh<-E*}hXCZ1R zu_Jb8U&5O9v?t22uZCC*P>TO3#Z57+^t*!z8AzC(!?bQ=QBcgTylbt-v){D6)wCDj z8!l8x=;Fk~x>j?1^u6jtA7*gv0_vvucR}3*D?diGNS{*K^tG=yPR$R9AZc-}%F}i+ zks;e@mC!VN>gJ(SPM1B7pl8oq)RH**1L{^tV_4|*Vt*sxnSGCs;e`D9eS}U!f;goK z*}$r+@<~zMb)gkdR^pH8W3SoNF>-3&2z^lxGGZ}O8_zZlQY(g2-b(~V8}Xf{nU{Mb zgYqt*ZX99DWWZrBL<QwtK;1YZ8x>$^8Vp@P-8jNFxnO7%e%DW^8%KBv4siFw@4A4x z$=tj3nl%ZMnTd@qpl<s$F=tvMHREFNDFD=sgA+j3P}BG=GRTqZ=r#KTwCWnIrR`cp z_iSEmo6wa%q)v-H8t><0L#s=QCco{tmtFFxf4g$HM`{U-Ph+W!U%|!E(CWaF>2FS+ zWs>evZ&yzC1pJ_KU#(VCA602Y%%6l-Ykx}m<^(PCcLP^1?F%G<i>Ij{^T9=mu<A5b zWmBMSGObLI|I_JIHcx9(X!z78zaJ@|Jf6i@PbmfHpx(ROeY<@6#AGvoedKgKbrL*h zH&reYJO_xR5brBDRO&xOaqyVR&!up-<C86aQV5@~DtWsf4vk1;PyM9ViUS#7-|=w` zp){xsV+DOY_-*5EPdx|ki*vf2Ow<Q{8^d>J52g<^H>K@Cerxy2)ZvvW{vTDFbFNFc z31@H?G8qwTLw)qudeTO?p@{%qwKW_PGFBAv8Y<wZWX^lmKR~!wsF*iX`j>_#U?!sY z7pgY0zpUCk1v?XY8J@waCo%c?90fb4KuLz=eD)p9-{kN)Xx*scbsJD2QDuIn+b14? zOOcpPQ3}BoF-<Rp4WpT<moVH+TtXsH$%UMmoIt<Uu~xI}cK#R`o*Nbktfv#xK|Vo- z^h%Yd)A^|b;4W2>;&jl$q1Wa&4ua=NGKjQ;(+9+SHy%%%JzflQ`Rv$%r_}J*o=oL< z;7!N|13q=@lCYWuOd|Hq8|W=IW8k7HFgF2pRLA)#1E5d44Ne)5_~Vp;+R&}s%TxQG z-rs`_f5)UmFoPX^#}r44tvfpq0QTiIE+e$;h`8a+`>al4R<Q>78eGLlhkXi#Oh;5G zw4ro&T$~^q^_s*#U$Zlv3H~qE>G65q4RCuuD3p?nbWQM0;L4=W!jSK5U|lV23(f^d zn|*aHe7CfVxK0=XTj|0?yV$I+AMr=c1Xk%6H4|8+|E!s)eyy35e!7#W%Hd(bS^MND ze6dRJK$p4bo($!q*_O_)Yk{Rbt7kiLg2PPip-;*ugsIX?56OLQKqCur@b0&D+(wgc zm3TkW?<=ci_LkvdtNtypRsW^(3x4YGd;tmB<V*#;WUOtlZ@&a`|K?&LHh3Y@$8a}d z#2qZz+mBu{l*3o^_xQlNzeWwdZy&7YRa2EbpY@S{kU^xg5&`OOb%U}hD~`n-#iH-2 z)|X_U5zFZKe|faPe7ZQw7R5r}%ZD*j8d<VKehX}&>(Sq$T8$(Z6@N_K8oG93@Pko| zZ`|N;z`s<;RsD3cmrPA|dc7sBIP%nU@5o|zW(Wp_y6^h6t|~e<4nK47ZtrH*lQ;;) zQD;)B5REf_#N5Gk(EPQN;@JPn8uR4J#Kg+3tVgiW^-t>}1t+co`+ASmOco^14-)vi zq2yU{BcaW+y-5;w{0?#rdZSzhOZ;xIX?4b?YPF~5UV?ky6G*6)+l&`w{{s8zK-KX! zPoj$>iOaU4BgLMzRawwG@ua+`l0vB0NUpn?``g^Ok@{}L!@)t_W9O`fioGRPdaO#h z<B7b4>sLf5=5z0|^yiU70Tf$466TgEsvff(E|+Livi8JRyI+!^vYbXkuU=L*;%oBu zzRD}M12!h5+}oS`=A1=sUC1V#oxZ;w25>JG90tH1bEueS*{rk_=<(ixiHL(y5owxX zr(0N-FJ<b-6S1(w*bR^FV_)|N7ILxd9$U>EQ7r{7C)7A1JIoK{8`xOF==oLWl^D4> zKfTs~!hHd=f%B#Faz2u31g!6G@7<OP)>WE9B5+&^;1|BHM4z1&eunDvsi;K%aTq{j zRVbmlO09Pt*GN9XpSpnahRTT0(lBvO0ay8NNd1gU&s;~+@jD)Hp{EI|%G>NGJKne& zf<6Q^{*Pw2pQXLKt;D;r=&DP0=}hCl1Po1`pJKaV4@)3dFY7(GQv7zwdUcBnCbo(R z+)G|rgaRn*VZyUa0}m7nZZq6HiIOCDdV>GNIgZ!DfM+cuc#(qKE<LD5Jm2xwh{x4< zOnOH%M31lLk2>EmCW)nC_oh2PyjoHyZ$s>?RyQV?-FqZG8sFn7d8x$mxL@(>yvvs; zD;wQ-yp3u0@r(%I4#@HW?tsXq^2&{cS?KiKYtwX}RRQ~w53fl1tVr*EOzxw1?H4-m z-0CW`X{nxIc$7TK8kuN4Ii9>i$#92FK7EFjCZieyFH73dHirsR?ix>)8Oql~?d<B% z-Q>&}ed=>!U-HM}GLD<_i)2$H3_eu$Mn_GD$}FXWT@O8oe<AjvaAZI1C$fKuZyM<I z|DM<n{R?7W+jwKN#pYFO&?A-UygSDF4T$H8{^?1P5y1EN0lt5-hm~D^l-_~I)h!J| zLz!-%u-uqiHI4J=6-TDjTyF2ExcnN_Q1_|7aqu<1L>1qVd&}qnt%9W}%es=Tm>Dq= zy?O~@goP_9+8v`&zQqq2pJR0RFyC1_NA@{nv~!EX-?a+%Ul98S-?VLwbKg!C6P03D zR2J~N{6g&a-?NK(S8?t;7;3|6D95Pq$U9)SgZ_%_6Nj2vu4bJXq;4aruuUih`yY%c z>J>j??%WQCA<12f%Qg<R5%EkT8&$|vw_0!7X=w3vKV2MJHYa19`NJw@l{oKoxVa*H zdL3f5S~W`0z)w0GdoLLccop8AY=t6jgpxQ2kj`e`Kh>~;5~~Y1#>IYpIx=S)yA0J7 zS!H>sm~LGWsrymN(QLAJrOgM|VfG6e)c7O4PK+S1>$~kCwRRI%Jt$~y9|V8fVvg7? z;@YB#+4+{6eRh0@@of~%kS-~Bul;jiKP1=v>T9-7pP{H(r{JHf`f}U0)K7OgV?)=N z8)dHvy$5Yv7HLj?e`c|z&Z*-?9ha@!bR}m|+R)x?-O#cXzwV-ri(%2oVZlFhFc60} zbeg{m*i<qhk8_ZTrz1C2oJ)>vb}w67>CXJ}C};JliQB7mavtRYO*eD;7N|K1k4&14 z5}9*$Luf&Hj;)C5P2*j~y)#_jqT(8>-3=+p&`Se}K18GPF3!MJ^}$wH5X4}$I(@b! zcn2(B;D-H9xWp<L#9RfMeSqlqtzup8{yh`Z;IrPf{oybHT<w>9^~uWC<V5#=e87ZI zH+haHG>Y1hQa-gKMZ%_}bZ<ebv38%U$<lkcaH5b!Lk=t7z+{7l2kx(F!bnr5T=Lgg zc!qhnOLmkWelR!RJkSom_2Q?2A3+VDKZ?<3%(=lNKPPXU9Lu};V?CgEkvnZ{v@x#( zeZ&-3SDOF>h0X^4dv_(atj$hdS8;sbHJL^0B(r-`Si{OkRBruSm6KBSGLxixqovo! zKJT7f*+<2wD)DLpkpC+Q7>zQn478j?g<5d>R1jBNf0RX0{Lq6Edxf0U+|Jf4xu()1 z5_Q)}jbwO8#lp^31`HfWfB_XSAZKBRH@N!4+-{=FgnMz<ma`O{*{wLUyy<CRW<k?I zK;}o^?TA*KHPhl8i-Z{KuJ@$oh~_K)XYT!Tu%Aeiy3K=;6m7iU-jM<LBP8Mei0$We zcW_d(uS$eD4P1fYP~qyr_7XsX_9d{j|2g{+PKNHprqQ8CA}&VFOL&}?DlH*0XnlmE zZ$X!f@ggfZE$4C4UXnF7R#Lf_|6b7LAPtlG#E-aVGW@i?7?WVTbC91vuWe3NCR)QB z4)QbjYT12CV5}@!3ebpR@Fms@NvmHfTSDxk=6(qCUT^7AgBsuy$&3iy$10&gRhN=@ zTa^(oas8Dc3H4J4c+&RNQ3;*4kleklY<b6h;(>)83#;V2a2GPm(3&%lAEads=<gcb z5i&r2m8iFN2J+tyHZURr5!xHg_`LB>-n}!Bzm~I?jIqo(#o`+b6`hlCiPk&r15ZyG z6ohp(jv@kFGcE3WB!QXC=Agt~u6I;=^Oqn$7+ui=c>jKusL|#GSBld~8F)aeLz*r- zJjR<xAt_k6j|GGe2K^w(<M<ntA5QXvc&?ZV9?zBHnKPA|L)}2;Mvi@!x&0%QKWEYD zB6IsebM8ocO3Cswa>G_Qi`>fY`D02%_9~)|>+()BT0@9MzsMmZcse{wJEeIDkKAPc zh}`^tMs9E6k((jh0r3mS|1)#Dap^pBo4<OIx$S$MXKqXWXOSBXJaRMo8M!_9BXUdr z8M#e=xrp4pO~CN#G4Y{9cH2TH862e6Ny$95bQqZA3~rl6db><@xbs=>CbYNJJv|Ch z=%54rPQ(t5nG*50_-(GPjJ;!efcESIV<tKBmX}xMohwU@U?deMfiVt@@I8Z%V7_DO ze%2FV{OvW(g3Rmjb9A4oOu1i7G;X^ukf!F0+=n)O#ydmzeg78SUpKl3H1{e9KyyDZ znDRn=f{s-G`Tqsory4AIj{OU|PnqIDs?F(jOgjii_a~#rEw!yM8s_wv*)k_XlCNpf zFG2;gRH#rBH3*#Vn`&B*6;zpUWg`wUf2<OVE-H5`@^NB{<95^&{uu0F16|)Oz3=$x zxlZR!3W)rwfP1a;KHO(P+8G~AM;Vo>zTxQFPVs}oOGl;3o^`|E7n=VD$#~~<U9Z<q zzx{K<&R}hj5^_aDWvl9ezrN>cr?om5*p8H~+6S*`@jDfi!0Vzg*{T8X+7YjlSsuK0 z43rgiyg%@4gRdUai7f+v#0!vS62GJP@i=s{rUb2%M)Eh7zx#~kw-4>9DH+9;N<wAt z{OlFv=7LkD^x`aigu?aqHyqZinI-YkC%%2|l%IaVF-Lb5WQFH?`z6#dc6b>19gD29 zlzwoWRM7v5vd=p&Ba+>I5_bVq5FMTy>lJ)#W2t2CT%i1GSvZ^+omn`^zDRytD@u%e z4agVyqmUxXn6zj_7#Wb;LxJ5sKJWwtmtqR5Y{4F}&PoiQ`FqNvAaT}3D=B8$%Sg3( z!ny&E3KrOPvZPP1`>aY|S)LnE)27J0Ao*(^Ar}i~)D`2{nKgHrL@>Fo{UrJ6{|U)o z6M3|p*t!h`KBt2Sge5r2{}HKZ_OZ{w!J%z=IBaoqdxn#F<s*dc_VG}ejz<=&i2m67 z7`?vP{70UU)z#6Jd$(K*^?(}&X4FtH>7#YGnUq(3v_g32cyc@Vba<CqF*}rSGkF1% z;^A?#zZtXkWyLI>P}EoxH3qUh0~4PC0yA@nhd$P_O7zT7JKxU9BJ5zM=d<0$h9J_t zrH*QGG$&4U?Ojzr&Mo&oX!5UmdVHEQJw5$j=;`r()zfbpPQu)4AYiN3BV(xwrZBO0 zR@@@7v0Ya+b=)h3Z|EtO2le-+&Tgf6M(Ugv^wt=!AJy$i9XEaq)>w?DWXTUK2!x5) z6eyG(_s!QkdPIw4Lj|k%Cr%UgrXkBkr=FX2^V?&mM_o_>l7T?EvWK7Uj%M=A7F#7Y zP_n+2dl$9YFfur(a=HPl0<Ag125dkNa`S<fOU~~8g3FcGnKN(x{W9LlyTF^jm4fH+ zRE2npZhn6M?r>~{b<hvOMVr!s*W^G2l8IV7R1oC_HZnY<st_6@1Vg4MwL=_IUTaR= zr0BNvq2PyXSoKR>TG-bE%*#l0pG0B7`jGoD`a?`g(iq8!u-=wySN#jd{Ut8P;Rk3x z$1J=|)WkQ5eiq>(CZ?4bh~pw4AfYqyz}}2N++h<ExSdcoQ*vd!Ru<f8nDJu|{96HK zj`3$A2@d`Ms2{qjXrX4knd*f|Vw-pGQr_#lcL&VGDjz@VUfxkq4f1)^9~q=pRPvgw zsBI|uVP}P*JO$@qklLqukV~57)x250cdNmLoF8JOcBRZXsK?vpwy8kgYx}G2R<6yO z&`1{+VxyuM(x8Ft0z)!)bC+h#NGtfzJ1~S(4u*`K!O%na5DW|%h}ZM(eO?0Eh;$wH z2Fsg$LHlyK1XJTv8FCF7k`B$+J_ZjzBqO`Z7vux!Vj^BFAd~$%cM}J@%`k^(y)yR4 z&YWjxb!#CA8Ql&Fp9erWMmE=Xi`Cx`#41w8-M3Hq(<HHpd#mlqYU5bFwu7mFh{tEK zpP}Pf=BUV&#?W<42`zB|S>eeR9x{PR8PfD>81PCQ#|f*%C&=$UJCaHU(g}nG3B+4p zpAa?aKW4aDAQYc{psy^wc+A5PC`2`c09{}|IeTmSOQ4QFQSAGXbN1X@RcBddhv(TZ zWxPadGJJ@lPSwZ5g6W0^ODvR=funxcXq!r^M}(&N+p72Wsz=Jr1@w_4X;!^CWFs_Y zDd#o~n$?;t4)`pJHTyQZE$R#N2anKJ3hegu7!!Ezl~XKf6+uzRfo6Ue`R&Ha;I*4x zZj@)z`7^JC1q^TKK=ba=V&3b$3DqmMLFH=CHk1@FZ0L<19tpX5a7<uTrOu{e(@GZn zxp}}P-``YSSs@IA$byc@f?Mf&rRK*|Rhj!xv_Kv$>8^g+&-UwvIu+?U7516+Do}N{ z8bkXB))lsSwc3F<dZ%yKzgyABBp8=Gy!t34aJyT&uAV|_FnpbPm*IQas=8&HFgc7k z3Zjk*Lc9PUL>L|+mVhbv8KirhcIV%pX%_4A#?Ik+|7fQ<%MUMGy^ebY{|UYm07Qs* zXBK)>VGt(1xV)tFFa%KxrGX(&N?7&b%Oee5kRpz2Ryw9voq)^|n{v47{ITcKc__UH z>VLf50H3IkdIBi*@P(jM*Ku}047+U<JqV9of#qK4EEL_WIL}Clz#j|z0k`7UDtcn7 zQi-mB*AtETr0d{KxIlAH2Hg99p2_;>jsWm%@7$#w_`{y(w@!jTIys{`rKhhQ$YXuV z3b?mKk8Z}!)d=yT-8i}f`FJ}vu4O){HA$BS?Rt`}BAse;Y2}!7BHo=x$Q|F4$;&Jv z)vwtRie5VR++UG@%in-}9~D;H0!c1;F%8j1K%@_YP5pq-K(k5~7@los@x6)I>(-Yp zGCA7)?N}6UxJ+Z_b(brUX}oKNJ~21M+eI95C~QPeKz6Sj5fU6e)=OVqm>%d^o_5O; ziY&Q8dFp?4FU+|hbfXRjuV~xNkY*z{-@a<v=xcO^T;<3-%lpvS#Bj46SV^bi&?`;r zec;gWIMi?~o9k<b=y%@{COvwUOvpY`$C2tM0r8OB(b8(GTWMKY6jJlHD^dfA8`CT< znmNfB!P|HPL6jBf&cO@E-eiv_3P(p0)7DUZqi?f`o!u}YAILSG<|iv6o~g7lc^_8o zzvk(D%j76U;0tJd7OEUF@4}~xJDoP0LE$JuO<B<YT83FkVk79s22(85zpnjb0AaX& z5azV~^w+qe;Zl1WJ*`t&?f0xHQz>;ASJWyqR$(7kFo~gjZ{Pl@E}#DA>hcDr?l01v z(Nsy?av4Kq%^w27%lVv<zPV8$T-uD4rjO?uA->O^{KjuhZjpfuuNYX%d%;<kN?ZmB zcGJ57WxSWptmXY6M@xJxl)hODjODQ5C|q2Wx}Mm|OR)7QTvF%)k~Z8`PJQ9ky#M;B zQk8#cj&6=6THv4MWp+h(x;#^_cu@~16Kl1uSmo>YWnVrb9QqlR5ww7)OzMlN1xx&Q zbk{5Rc3hv^%e(AqW*lQ>r$_V#HXb`Xxm%zL?Okv#%CLLgn4Y*QBVtlib@C!Hvk1Lf za)p~D!8HvHSvH@AR?F{>VNUb`t^ME&UYqnov<uHB^_i>uKG1B^!!?_Cerh&jG@E(v z{=H^1FDr^B<Q-x8Ez?@Km7M*|O3rPcnT+_3*Kz^yPs@|Q$w_2z=H#S?y@VrF+8Xsi zQTk+W-YC9*_ohfvntDguCXjNq1(tIHG^3-sSI}%8TCQST{=>tW^oxgcs13WJ+#}tC z&1hD+q}l^HT7_<EU4A)y9k7eVWa?p=W)UBuBCZ1zBRHA&`6!M<7~YDmLOsgKgQx1< z4|nQDj*nNmV1mShHqg<LS^ep$VfazCX4Y>Dn$JKeC?KH*E=Z_?X2p_q&0{mp6nz!X zr?(az-C(Z8rv}GMnsxt@)O@?-@1^G7ss1TbrS+@V^G~Qs#`nJiRpoJ+bl!x${|>oN z2eP5^4}jiQ5#0j^EQ%oc#*vq;Jr>>D|L|+*1A}c~lA~=dpC~A-Q2cec<HWSRaiH4S zVJc$vOSrQt>6kf-Qr-Hj#aQ2HO5hrDxW>oFeAc=AwnmhW*M1|nSuHu8Zn#nehtqS4 zp+mQ<%eKC`j$xOapRPD`oVItz9cfHmX9L?kTECe`WzCw0cI<NrbA-E3`W7n3Joe0Y zQl=yxO<7y2FOAA_A@x{EQDkz=TC$=C?iMnycWzklyxzHPL4SE!BAOf*iy$N&V^e^a zwC5-pST&W(g@IiZ=DQ3r6y70tY!i<W|LKM&X0;J{anke0H(|OD;RaB+0CeI0yEbNj z`NaX51p(ABvbMk(EV04Wg74F5<(I#7a5%$oRB+X<FpiQ`4t!El1Lqqh{$TLS;!l84 z4}(Sb?~YFYNlM-45vwF>a^AqvDUp0VO7M(zV)q~8eNUP7;_k8kPG`K>5h|lB9Ftnm z!L6>z2!d-or-;6=miGG}77OfnyN_aSW3IAXaa9yf8Y<(FF`u_tNx+qz%N>7=TYR|n z+{2{2v;x<nn|N6Q%9BLlq5~(N;uFptorHo<kOBGh{EU2h^8MV=iAnJdgfVDlfO5hj z{buo-qZ4E~E6-Ed^ik{kG>xrINTrP|6?4u)ltWpr895RVxP%B3gHgs@_^1;Gh*ny{ zQAna8Ic!BxpR*L^FWmKjr&_uT_bHHG2R;REPJJWG>io0EUMM=5cnNSkU!*rq)ZUIH zNahDJcouc^h~m)PIO5&it9!eY`!a6+M~=r3q8EQ>===bDoJYIa^-zq6V!u88K2m#w zwC@0js=y3$2W&o4hl#AtwTuE!hfAvojPRfZ;kw=xT);y~9;YI>H6cDxI*(lhKbM!b z0fuSglCInZ!(_t%SJDFkea(5G^SinJO<TZMI^`HwXHU4z9;zy;Aq0MR+reFwigMjO zfLhVT2PU7PR)1;dL~lcSXZx10oR>tuM|qMl(S^&Sx~go973g4>1qqPdOLoJ1IZFk; zBrJ}K;jgh;4b`Gv$T_XwOp=P0TxL<(w>GN(Dd&Wq**QbuVMYg_9N)S3ztM;L>XU%4 z{;SL!J2OC_+`$2UgD<`(x?6rJ`ca3f0&e<MYCm^{0o-(w7{U4g2XIhn=(@Q{&3>(F zsvFENfU;iNmxUFeRx<>*_Gf^LAc9Ps2nm2zk1s{K7a072K3=%|LCzVZ7w(m>70K<` z<K6GAQ$6_rPf@frHXN-bfC8Z;La2&KIviJ*@S`Ldzwf-i!PCozX-e{(c2}pOk{e;~ z0WWoaSRb=+C@U_WR8j*7H}ZnC4Jq{7r~~d?8145aF+oNl%3&vn{|N!ROcjI~(DO8d zU}F6_HV7qtD(yp9B>-A=CL6G^c6RT42GFWpV%}`~k8h?_jzE}k^F+DUtB#~Zl~0&j z5kw+CiTm~W#|ggEX{HY`M&eOpmgqnRVmZyGFiu_d&c>eI#_kv9J|G4&RVq7_XDj+z z#4fu2uEVzD++RTVZ&)Kc-JWgJ--s<@q7u~nE=b~{9yc3q2*U`0@W2YTAQUJ$*4(Ug zum|Z7I%t`+`j-|&;-qHN&8c}l1+V)0zD177?ZOs+YmcY*Y!@_|w?!V2%Y%bpg7mwg z6@xBCRr?dy%X!OP_NTaql7^r$dOCe2`%?#vo6(dftO1HA9eRhWJR<M)PLJC~j!P0> zRhA}UMnzAzK7DM{!fko9{`ymr1)uG3R+i8<>nd#42||njj9Si+o0{Rzcb~@PgwS_d ztqAdaBGw_=o{DT_S!@gqJ6Jd2eV>#%OK<OX@R2P_JF|SE?E!o>&qaW4+kS`)+yuA4 z0zXI!43Xoa+s+PxCH-}<wl{kTet=cI#HBl5+H)uVvb5KRFYS5BCYc7tfz{J@Qhfq3 zs}{u}Aae>mD(^>WbgR~F2AvVpdra4MZs95sFE_tgZhy=)#5D~TLQQ#AsX*^ZLEZR& z*n8`+D!2aKS3yE@(jXGjB?wZ|rIZ5F9STTDcTP%aP*5gFgMc*B9nv5r-5mnboo7tY z^{)5bYwvUR@9YzQ>_51e&v%UZ%z3S~u5~f)=X2lp4oY++J5>J>2?{m?SCnw%2Q=K1 zJwq7b3k*pZRyu?g{de~rR-OA3*ya#~fK+p$M##g3JkIPRHh1vKS#nl=5ozAl4+@OM z1^d2`ucXSjiIH(*&jkiZa*pZS=@&tSk=z$1;j{)9N@AGHuihZDa%yT+?e>dbtw>+5 zJceJuthFGNG(F6i+H{YEtH8qg+1>tnU;fpl6FLKt?r!Hbr8}J(Upl?Bqt4MO5GHhB z_s-FYZ;cIKGQ&2RF(2>>u+2*flL+s%A2o^*3Q-Lxc+&zl<mo%qS3WGfc%SQIC@;Hi zjhfR*={$TgwJJAl)xP**P%DZCrt<<j8CHFa%?Oov>mX<zK!Ku9gt{YJSW$bM;PE7% z0<v9e&mbd8bDj8gkmf@sZPPxOPvAg=D~L6_&e3dPngy|${v$y)-;Hw6CIDa6;Ru2* zy=#7}9}Sg2{m9I_RzDgfb3cH}yh$*6Rt82*GA*-`39t~T%KHg0BZJTQm;oh)83j7? z#=+=UDHs)ik^eamS8(8?8ZaWG1A0sN7&A$BN-^!roI4g>(RH`9*s+9G@&K9j9ZqHy zVzxAZ7Cqjh=!%H1d2iub!we5^aE<O!U=P8o&R>1!<j`1CP@H#YUjsb}`kVYgl$6ig z??C|-w*afB_K%Oj;_0^2c2wMGw>&HW=n|6@&}D0&cbwaI9bU0fzkBqEY``K&!}Pbm z0;g0Cz#`%f(MOq%*C<~)a{xHS`mSzCLDASvM2Dy5bBO9wD+NL~ky1s(fj7O(9rh?~ ziFj;q;Uw=hAh1q_FO=Qks)~K)4!F_zw%QFDV1Gf+5y`XCCOp7ml{AHg4MBfivdg@) z0tE_f4sq9Rz&=MIOxQj%<mJs9Fbx)nF>j!DPEFX`V8Nhb`gyI5=bs&>a|hx)_!3s` zc@-`5V8#g!o6M}c2qV7A7Xc9jTy6EE%nv~X0WEB{6N7>jrjMaLv~ZSo8<B{+GG`FU ziqV9(ZW0{E@ljyCoEU<<^seAEZ88J22k`sG*VlF6uuOfcGf^6R!nMdi4VN2y7VEqK z)<lg8k0ki+F3<=5L&m@(&bKC)->d)Xx~AxH4lu1dfUX4hzIzoq5DEo17XLQf1MV#% zad#aY^;cWDORl)519iYo`Jyf^yMQx$fPyD0xFfjtis-CMHou2F8x6Q_3^(GLyVeJ+ zffgeA2IL*+ha$ZEFhWJa^i@K%aVKLLd>Ejm;2@SSno(>s_zHZgy+UWGv*7HH%;WIN zV#WJN2`t)RJvn+$u4*744C|MUm9CP)l8~BCc={#6#;q>&3H7@n)TA_7e*1o=+y^r( zup({@F_`=d2+~a*n92(X3lt{YNE}(j#WzpeMqG$?=cQSQtZ}uMFZ!2d;2I*=W|<j5 zxHD-I;ue0N(AwlC`w+o^LQ9Q5Av$lNR}LVg4%=P;6zae3bb|g5txo^zolYTc+iu;E zj}QGPDLA_@iCbY%Q*5OFuboev|9$5Z8#BW{I-g2)VN+e$aW{`)Zr#`{&~KLxQ@}ig zxt1e)y7KAh*uQQ3qP8WvM=~|j0Yb?TOg>X%TiwQOUU9c?m*)Zz*~7Le^5+EfOiniJ zh4}RRhnE%68n3JoZSiAoQl#DO-?pu!Y<u3LBDm@)CS?4THe7S-%>qg1qK3dF#6MAu z;6B|vF;Uxm+ecyZ3Y+<fY6v{*lUMI)tLhIzsw`KPX_)&EIti8?$3rf9#DGZbqOaO< z?XWRlY;uNBjW2<rDLkT57E#J&4w2#n^iFmg$kB%)2sf4lL*+!wD<38q%CKX@lG-8o z2%7}3(sl^#E&psmH`sJLusaW+lzv}S-VyuLwU+dcy&yA+B))G9*OV(y8AOQWR=aPV ze3&(RpMEY!iy&T@#!>iba@4RcPlw>>eyM2odBBZ7H6a1;nvk^rs0o3iK36p%fb@Z0 z_k_@dD@jg=dv=(4oMTFAnB#Z`PFQeC>?&ZRelXOLDa87#C&UKygkb;aS`)if@xw<u z8Fa15!@Jhr;s5Sh`x+aQa!b(2QRRDtP4o5};R0SE+JU){#((g1K}(eX(bUC(^Itc0 z{j;ZQ{7r*%8CwaP@w)cvL0P!IU5BASLMGIM1O6;2su3+>Kg4!_lb&rnb=;ePsWo*P zhZb+;Jm0D;)uuYy>QDM*1ikq9ub!&YvtakQs#Djp^PKy3+>@3s7iYBFEI2QJ=U+N< zpa0}0&%O+4*)#8ump7`h+~nvv5Y9;?Gape}P0k>g&pdXaw<xaKfW5E4CW2m+o)=eL z9<TW;(;7eOT)jP%@Ji=Fx;y^dl|LOSEPOV1<xj^AU8Z)g^TT~2iT#G<)oR?PrA=u^ zgy-a<p8MJKhR)~g&Vr`3%J=FM8r9L76}W%pS0?M07{pO((W^3bJ9CCTkyVa6@CzUQ zp=W3&M8>gi3H21rc_$y$$7$&}Yx#V&p$H=FP;dpmaEg`ZC2oZZKx0<=o!NC~as&R| ztM0d9?z;Ld7E?~AZt}^BTn4<_Z3k{*H#GLeQg<%8owZgrjyj3C{~!3vzgxnf|L6(( zf6o#|@{gV{Q{O?Cvd{h4l?Zoi(_VsR4A2Aj_p@|#Nw@<s40aphN!B~~?COwPcw<xS zA^ebwK&5*J9CA@4&gl`#IM5)uH=!X~EaZAj_rOU8-u<;VCn@{Q^Ti!|gVYb!SM6W_ z4<D7FaDVdev(jELMu(eUzFWl!-yTHo^zIMEHF$?O>&fW+qlcWD-(ejqg7qhnI6az# z5ONk%(Epv?vn&18|0CuS{|J%mb;??DBd=b<E0He!b7p0Za(K2H6W;i)jz2Fqr=&ML zSIun1bu(T^PmXX6wH8_i6{In>jkg?PBUPQP;iT4eIyf18Tw2OnI?NqQgtvKovh<>; zR(JX}TV(8O52eGv-Qe7d2J`K9Rj2$r@4@>rFHZ<`sF~-CyfJ<l79DTz#iiHJ&8_W| zY`cybHI)hpX7KE1FPtQnV9|jNsTQ_XVO@)A&FM4i_d0Pp)n_))Sc@OO>g3q+*2d3l z&gYN2urG<4>7ge1=ZCQra`~4R%ZV4`eQmnhKae_~?HJcQDl;PGx^QmS{!tvqvoSQ3 zZ~{BbTebC9+I~Z{cj8*p#mdHA5mS2{R~1ur8o4<&z1a8BX+<GGEx#wH*0~m$LgC%W zk|6Kq4OM#X^E(oH`iZq2W=}72+P}Hg^r*E)ns6T`#7<!31)pqpyoBw~OG^DIZHO!v z{8wqi1K_XugyvVa>;e2W(jS54riBWOuu%QV?0x~0&3jDH+Ry}EAi+dC&>tW_r;MB4 zpo4MNK;&U99q4yqi8T-u#;DiUAa_p8CfnK@oaP=q9<upeZO%fBpJ(}yo)E*0AmB^L zfg!A?211PA9xYrD7vhWZ;STuYQU>X^hbspTtgI3H35@Iz<38HIsuy6J^XMcb@Q!k9 zxsnc)*vIx43Mw<Bm}*x)zA!Wc?E7%q-kQ_F&Li>x<*QfK`;4KBECY-6D!erIqt8Ua z-<-C}D}QRs=l-fKx7)}Yg+(7>d)%~zC7q+2!{{+^grM;y$tZJ<=Xaq32?^&9->K|& zD|2*x6TJa*kbMy+>+zE6W7dGY3sqxf0#{QwV!`jaa=)MyOEL!EiuvW$559BL_Yepm z_f@w^2=a<oWE&*Rqy+(rdi{|3<;Sf%A*152n|YTwRFO7hTGWlIkY66u>$1~f-?3<c zX6fsI2foU~`rjSt!871gRhgEoFP31GJ`P4NXy>=6d%$C1DflQ1K8lAwDMkjP6$>zO zgpWqRsPO{4F#tZ&1tTmxrj`cDU*O>Nd<-1CLQ9Rm>-ppoqfpqxOAU-=Thtv!k>_WU z+Mot^-M?$MtE)A#F{V0fk;XOavYj<82NnJODRZSR+{$u%<fa9zEIk?My}-&+8(x=O z3LZgwRHnFt#q*;oXW8!8%Nepw*Cvzew(`m)xRd4bsOsaD10}c|EMmQ@6R*7L9AI*L z42{{ic^oVQN(T@JjE2BL>R%6;V|5juFsJ{1$h<1H^7m)VYTW<!j9J;`fASe~xUWov zQv(TY2Q(XPXHz-$UG}^FJ-Z*TzveAx_KTPrl^kPFyqevrQI)WYqvIbbGD8Qw<$o(S z7rN>$08g67hx=KnOZUO^<>8mK+l`qtQ^Zc$Hx^Sr;ZDe<*B`kZoEU#2ahLCrCzS~> z?h&qbet+!dBcHx)h|`tx`4c4`l=a6`)*r7^BwW9$US@_RU0@Mf0U!0(k6!b0&E?KY z70&bO!5J4hKFxE*W6skr-joqa=Cm6GaZTo{GuH<mFb>@%TFVUmFPsqB;Uy(8u;oq+ zlK*{9{NLxqD_hO~R3gUzFVBhp{e%olehSimJSYBp7v`USjP~FC7*yflk$&!fJktM< zehmA9Km8cq(CdB-$$#v}0FfElOiJ`WTqS}$+EAjxcjn1(91h%`(Crjd_D%hoTJUWf zkGK3Xp|_H5?g?+kBpVfc0g*A|JXML$IN9HABwDN@baOTwe~5inuL?WvIrx=dN79^a zmz538ip;X2?l*!C<j{u>Qm?Y>ycGNFueL_|>Wc@}oQlr=UyhqmxZ`GL4f3j(@T-rU z-j(Bq)D$>wY6xd6!fXV>pG-BrXR--ll%)Gk-ecgcp3yjl(A*;|(eUkx3gF|z`|ubA zOZSnkVQh(Z*vc{K<@-3HM{{sapdd>FfC5Md*PwvX8UO`;75xhoc;!R^2L+yvMMzrk zdj0FPyhV6@TE;`Yqh|5OyG6~-=$zTYrZze(_Tr(GvdYA_Kxb?ibO@bLxhVP}SMcpW z=jB~&k3^~*V<^(HNhJZof7W39#R`0S{&!X&Rtc~I#!B=_B_a?7!!OaTu&+_z9L=*0 zYmEZu=>3s|5ZG1}I7b5xfY{M&8qNX4mw<jvzyVD9UvU7IoHl>;YyQJIx^F0jOIXTR zfiy>Hv!21yG-iTh1K};G9>_fot^R$OR{RP&H@5@sk4~hCP%pF_)gv*0;&Lq%cyalA zC%5;5Jy6hG-ld2#{bFu|mzu|RB<#JaY`*+Xf!p5sN`}K^6twaAP)fBPljL|)gY2ZR z!n+sIid}kA%1}Hi&Ct~;X;H$c2bP*WXde#C{xn^PEpVdVPdyHRH(e<HZn_ZttLeg{ z;e<oN6MconC(Lhb>oGJjj9ZHDEN_I-!o2=d{_THky7*_`jJXPvw&BD1F?<&m+)>!q zda6_W!TTH)-STB^#!DrPg)z?i!5EQ;ffLWB$X5~DB^RA7;WZa$Zv1CiCRWt+&R-9x z5uuA^W#bDw+4s4J(qkdVxmBjezs~u;oaNr~qJ)~Flu|Z4dHql=)y{kwr=^-ev@m9# z@NW59dChwsXQAn-pj3E|g*oO(%S$ryy5FuCRzM#tmtVPJs%$`yMQQE#(P#UxU-@w% ztq)5E`>!J9qBnGCCI_8UpqC(3u4)KZN7&_2!RN)FG6yTAQ2&nE$uJ9-CCO3(ExLtM zy=hCyd1v<N+H-3sXx-_a5$XNX@kFP?V<H!8hr|862ScN;t3p)*RsomL{1=xndc`HE ztv9LgOI#Ua)GV)zF<OgXGX}V)I<^MB<vnzPnm8?sqE_q{`U)2g;^oOD=m_TBpZ7M_ zEXx$^RF^<FfOS)zEBm=;onDGB+Q%ylMs(R92XdztEj*2+tj<@Xs6W3HUmsM9Ix=;- z+#SZw{3O+*p(<aX`)i^*_2jvf=fYpfFmm@3m+1POg}(7rFd6LKP;9d;wXB9dFen<! zdVV&nbJ5&-`D^O&xXX9^e_3Ome*4QBb60J%8Ta3<F^j~BJIQ*_*q>4yXH!QW+s{s7 zs|OC~KHlrtrBXWOYud4PG?N4JKxq^L|6`l8(mM~kH)?Co6=(w|g=a}SE;;%#UTnXp zuE)f~`BPZB(hv8&Y~(|MI<S~{6&=%h4!6eKf?H!^Xp<2Mr&w;oUnf`XB>s;W3A4GB z(=uDpeJ_}e6*egf+dYTN^r4`rWb8-Kej9<W(*kBew$mfEW1Z$_AlnX>^XYF{FFbWG z138XzHUF0NVtrj~(Natak9yHHUa$6@YoHZwXeV;0;G_6WNEBy@yDkmr)ZcH$F7$sk z9W0>?Z%^H<AqRwnRr@=u8MkFWcSOW#Hwsv72}J6a`33$rSl>+?0azpogjR^DtHPVk zYbosL{#lsA$PcsbG;K$3hqj4B%Nt>2YW_d$EkzAAdxRAAG<+7UvxwMlnG&@o{d?pK zbdLM)m_t#a$TL-b@oI)(9kj;Hq%j8?;nHb#wD*uWse=4%S2NDN)XA33t&heCvHBxH z9w&T~LGoim^&*v|0RcT<cAZqBP%F`JE@gtDZ-S;ZE>OzqH-p6Z)MkyKdG^IFZ{EV< z7d^zHXN^2Gzvsz{S7G%*i**#6+2qwqB((239Ez|{{J>S`3etY9qx0=C)ni7ny@jc} z$!YtmfQB&PKSGutuQ>8afp<>?aMEw{4v_mMCc8xe$l&e11hA|aBmv7x+6^$|iG-U- z)CnGg>H7@0k;MiWS;&=vvU1fu@f1YKj1ZczKu2T&JYl5+H>dcR^E!gu7riYmLJiUy zczMM3YRZGqn63g4JgCUz-I9a23ZF8p!KZ@7U|J{zdMz@Tx46;|hT$J*yq2r{vbISh zi9LI)@xdLXaa(vuP0>rxeLAh}E%MUQ<5-k4-EsHI;et@0!vO^rmsoPRZRLg&aIKV} zUAa~a;hBU?djD-EVfM>Eu9e56*RGYUJAYg&X)@QYl{@}_Tq_ClaMy|#>)h;BGz_9M zjOMz6!W??dE8Lud8mYs}B#>4j?y<ssDlT45KV#sol$$tgI3RH576_d27Bobt6uI`J zu+B`rs0a9j?M<DD#y19h%&VSK4oj0n4Pd5tQwQ(bqe8H?fi!!KRM1`{6}O!a>)`l= zgoxnV)FMw@@A69tN?z<<D0=w`Idt&Yjr+$BQUhk6H&`EPj(2k0SakyS98E3vH{3iV zZow@qLxOSx+o;~4ed2jJ)V$DyjLZM3eZs$PpGvx5*tF0jp3?uSed1Lme8Of@sDP-d z6u~YV@r6R#M{<QOc*w<SMaBT-riY~#Tg0vKSnlAkdFb?$v+YK;vFZHSrNNV<a)tQf z+Xcl2QwwGG$#rPMx9nek)I*=-x(nJSIt^hHdEG*$P)y6jqLoS~O4AyA5OMQZ;ko6D z9DFP2-wiANICHU0V?8)uBucbOD88RWrC}l!t0dQo?)O@R|6+j1_C9q9>oHsY2sPLD z#KTm9X+iP!4*^tRm=%%VS#tmiAFQ!M5lnUr0_8!iL_c6E@JKipNe`(gqCP9{I8@95 z(}>J+G7cgjISi72lN=P-leZ-zv9)gfZdtvBJy|9W5^oCf7h<si>G2b5(g=R74X)t{ zINey2P(1hmHGe_rl?=RQq6llUK@3ca0YV~k?DnBYP!ws}N-PpGX{8v*YmeHG)3}G< zq>vhIGQ-d!h>0}aDf%ZDXCsFGs2e>cU_c#IP(<0tb2l7H1KY~mhlr97H@0by@{s>B zrlj7Q_5~&qfO0^AGX>@S3_tQ8XG#r960L#obIi(GJsDnW<#}>OpZpd)5Ss%JP~4a$ zIU0NPOw9gQHBd7PS_JPQ>ivX68|KJiW)m+TY&n?z3+K>ze1&tkhMTVA!GaH~a_wN| z$6KSSYnHC1gF@d(*80=RxuMy4#)D>iJsqc(G<{(SIu>NoT@e}CI2t=M%(~E1Xehee zYRWuOY(H$g>!kTWz@;P){i8WyzN3>~t>C&3denFZH=J0vXLnc^(6N{q)MzMrBkFN} zUN+g@xqs|x_lfXuuw?8Rw18DjZ>_UunCDf-@c#S$Pt%R3Rb^F?P#N;v;e*+EBMLWF z_Xo__E{Lrir-SsGdeybt6sCd;$rF7qYLz`2uJd^u*k`>b;Q2f`Vy_W}b6!8FDnR~~ z9G>i9*6)YK4s}VmiGsJHmuz?Y4m_Sma}OTRGe7h@o=2hfAL4oN{+oQB87mkGEo5Io z(SAOaK!(VFfFWFmT=Ah8RwkqG)OA2lWc=@d9#u~e&=c4O)WRq>pcaau0|7Au2#D>8 zU}Q`SMx{VNjBEwEp&DE_WJ6KX_z&TbR4&C@DqDdKX^y3L_U{~soNWV)kRDQlxZD&E zqc}q+fqln@EKy_;t#tgKMBltb=+S}METWsi_{D*k2Zy{T@)GC6Tl<Tk$c1K8F*4~I zyr?sUmeL`1nO09fD}Fp-ly6b@|3Lkx6XI`5-qYZJQu45b8Zr%Y(miEc2C__HJ|1{i zy`vu=vXH!VzyNLzM7QQ>0h3~q>>WQ)P@2PP;LAQ9uN<<?XHVXe<p+0j#7|r+c??Gr zE5P&-2seG);~_56c?EnR1503J10Rus5t$<xeO~}04fu!vj2iudY_<ZMK^~1yIq;s4 z=aKBf^Z{0}j0B1!q-TM;CtKdY%gbO(i~>zGR_7RcMe*T7pAz;RxRf{NCir(=V(;fI zf>)J)^^OWzCe1xP^f<#TA$V1~*}sgU{I`gj6A`Z~bioM=xH_if)sC(ew`;eEeftGi zyt(5fb#3{W0gHl^Q0Vha;Q8>X`~vrUARL=x0bLJeKxId^!^GnZ+2|{ZA;_;es0H~o zUT2TCfwScDC*J|k^)Bb-o577X<cZS3jR2#8l=L~ot*#zz;iSdLU~|UygX2#VkcUc< zdh7V0tjb@z^_Ym_vdoRh;#Fr-#Db3Xlfa$T>Kl|E2}~7ekDdwBcM-0+Ch%dwR8?ay z>pcjurp+Jkwb*pP&ha3;H<4l7_z+|Kf9iFB{V>8-ML7pf0~>`Kuml$j9^;i6=T+yF zR)8WPZ(RMxhIAgF18_mC;4J3z70&=-VjdQPS0G9T-2|-o6d=Sdw0;M#+Wx7i0dNX; zuZkKdfRka?cl;3C76yS~ll`y2k@WuoM*`oSo5uZo9bgd>O$~tA_~Q9m>B>f86kY<p zB|tC|KqwBJ$oO{k^?k_k6Ur+45BJ32*JtXE)Zk4Z#s<Y7gyAH!W8y<yfwcs<F(%>G zk}nFS8gNs_OQc_o;Sj)k=qor4y(JG2ZpITNUe@=c_-R1UvTOG!zBr~hctON(?^nAY z6G_?OxCtkMY3L2j%3^Vz+#hI&-3X{jcfCKvmnr)&Dz!%6=+KWqQyhA1s?afTkIQR^ z_%j9xawx~3?=6LdJ6<1Je=Q>^rSpBi(V_INaQ8&{fsxtPlXL-pm`FeRJ%R+^kmQ?T zEzx&SLEg<sh{N&25cWr~{RjvXpgJfcAuKoZV9z2U#L#xPiJ#Xs2v@N-ziSZKWGb98 z%KYNV4GASWYTRmQ*Y}h9e55Lz%F6sE$vL$ljE5VCQ3cb!;19$i5LJ6Vg?r%kP6q_r zUXQ__{lBASP5e^-gx0X9cM%_4ufw?zqPk^_=P)u}3^V}yFz{kHit6Z<#yFg2X#VWy z_M+}^^t-)lFL&g2csw2}Uo}{Exaoww<|MpXy8Hg7EB5}HL_zm<wrbih@%`x5qi4pQ zOYA8yQWA)h>@Gahcd9(}D$^IW*2d8r^KG2n2JgZMj_QyB4I2d21*K3hFl0oLlAqMj z_v|oyvP-FGRAo@*GZiMC$oe)0#ZKnxYThbhcG=sf5QrDlbYlJ6WD0K)+F*m6W$gv@ zV5)>CjMS9$;^GXgfkO8cQ8`h+;BvDs%F0i2{%;+8AqzLW-?>qa&$p>dq8YmRzJ7Ok z!QC$Obu@=b)q(kkzK@QZKtGX&+SfKF^2(!&QXGHBb3Y2ylg=;l^UcoQWmIB<2<4J) z@>6$%K`baAgIJHGpwrG$lJc<CFples)rv?S$qbNX9`+q=lsuBhKNJ4CS4jm{L5%YT z8mG6q$d!|l+|@po{)ISQ;RVmq#M@idT7zE`iOy7Sb+>!Av^YsYSgOb3JDZV}Zt%z% z`rqzlNVf5*DY`|AqUOByCcGv4Mnaa&?Coa|D&Z4VUDvs49)GPM3PbGJTIzS;=*C*_ zBoL$RwJGwT;>I+Nw(ZT@)WQXX^dAVuKNt~~ejpJ3K#2N*;CG~M*8Wu;A(Iv`?onuz zxq4&oKc7pDg?_G)8VeMId01l;BX&EA!kj4}0vH93F<mF;q?oK?ovSdf0c>IcyS!*6 zFTxw~{Bw_w$kqxn+2bTDejqKqx9)C7q^iXBM;m4JW-zYVlk%v$@nW_*zk8<7vjNtU zx<UWW50+jE;l~(a<J5yPJZ|co`8Ed2^M$ZOWe3m@EH&MSn0$PN`PUSyZr%5q)?<F* zedjLsd9Y`<foQKd4<z|=A)>{7SZV?Hd-`oGqsQM7l+^lanIB5k+LXH&7qdDL{92Rr zT_9zVm(_WEYFtHmj4WHN2;cHRs&?j#c_VwHt#jLje!!}#O5}*__?_HZ6efDwX*oL7 z2T9D|&+>5CF`+go|23W(OLTB0g69nPfOr_X*ua}m1x|<K7uvOzKK>!SOnay+J`e{3 zM7n1>wb7sQ^JZ{ZiqQlqt0*OOMvk5-!K|<v)P`pevktIXQJ)hn$(gL~bj6IU2Icsg z`+kunn{f~gsQliin_1~@CsA9OGp>jXyIT&SXJ@@fETOY%{S|zoQ5c!*;G)*<Om-(< zCSO41fVUx$-<Qt2Dp6UTWi5?rYFo-Y#b~h0*N{c13c9$#x!;M+X0oUC=V^E5lFGE? zlPtQxO_Mp7v&KQB>eUy*^MVOJ<Z_k-jgNjBJjAy2dTXkz)iYFPklTBM@K^5<vPIyA z(i4luX6?Yf)|#;uhmvCrq9KPFI%OS8rib?Euoi66V`fhsdQsPf<pox;Lv7Qu0`JPX zVTXv%P)Sd-t&(E{MCMQm?mX4AO1YH1ww`t|zYVh=M+WHRv~?zrScK^Zd$~$QMCbi; z<(1C}8+Yt>ZYQ6<<@6k}6>GK<0_&!b%09_?|E>#W&mx24M={>L@sI8Zzb@3>R^s`9 z(bgk<>1&zRcVu9<7Nj>U{e%3tqJ3q%hV70x)P6HN<v&jb&sf4YS#cPDL*CCQ!mUui z)yo)@8TFQDxZ#`A5XS4kOddRe+F3C}sq6AN`^_V)+wbpjeJ0^ev9YV1*SaI|_8Rei zHW9Wd3LydQx8A9Dc=-8iO+~<);MH@`34w(pCgIR~l^uE<cX@McB17jpcfk9u@-n*^ z!tzt`NFq`{d6QI%VpeWF18=)Ryzf_QPRtpP&%91LIQIsvaQ@xOL6ht0jKi><P=GBU z<)4-VYynI6^iH4R8XLeC?w>UGSL{9f3tLEjRddNyeTVOdGUmYGGE%C#k&*B5#^M_$ z4>aHlr?Zb=TI<JXJIRK=iMZgtRobB(sO!e+5D+YEhtI&6ugr{|3nScwP(!CyUJE^C z+5I&*YV1)_JC;DNHhPk^ftT@0>)dyEE;4sRmy3#jDo5#Zml=+Ww<6F=MRqN<&MSRF z2=-?irf*s{4F`_aqYqO9RuP@hLB41f-Kj9W!AqBtZDWu9a}7sF+k=x<i&E(_;k$`C zL(OM4$MZi24=?eWB>|TJJwMFe3}?Fn6Xs05CB*j!F4DP_OvQ~&JPEz5{ubB&7no3{ zykAaz1tuKW)^v6Ez`=y7p2_cBlYLGURy!*l{Pqk@v1RnCdICDW1o&dFcgf?NZe-)d zdSa%qg-;uD(dC;LZV7Gf4sO$Y>Z;+P;PG9ff{EHdi1}n!fnS`67}bjH88C;h2?3lu zMTWAv<^>KIp#Z`hP9eC#nerZ7aOPlCCl-i`3H@$t<N)g<z_<&`w}BAA&_r-#1!NUE zDIP_8V?6ozW5}HNOm2w5chWGF!)N@y4*hdp8Z3WaEpFb=TaS{<Rd|zCc<<3a;|tR# z(F&^?i@OEu!ohqm?e$lKmJJmpKTbhB>WbVg_g0@g9;^*F*Un<ki~Y=HquIhRD#};m zmfo+*Ou>&i__6TDw$v-BaC133;dIVYQH8xqhsfM4fi)arJRu^niYY-0Rq>08VS?(K z&TuT9jiz~bmc!z-AUx!-<xh=uINMuROwro}cyb55nlq!5)%7TcyLP9?6tHbAh#~A- z2&4>3z@SoOnDwBmNz{vFjqs&*RHc3jgGyH7j_X^88nXaB6n%Qsorj~^x^EPpKSr^A zKs%*Yb{GE=>z=X*XYSlX8NedgHHeupx*iSgr!|@VEc-y*={Nii9<qtssvW4}Q>FNV zrASBo1%MHx;f8nIY(IyzCiV2nw@t5|6rs|0-8W?zzsh83$%Gj7TSx&KA+2e4I2wkV zO;Z8(IZl9m!x~^)t3KG~3g1=*-!}5GsR4HO5o{|3+YZLwHmyHMj^I6ts!@FL@k1&2 z8c(!jzfb$*(qPpt<$X}6jk!<TQrO8&0}t8^^xceMrX3pHg37+{6F9<*fddJ;%QD7S z=a|cAQ`(2)n?K$6KYq9GX%!yVYzI-Bd^bI4A5I=_V-Kiu6nP|eGw8KHJ@h<Ns!sb3 zhy<l3H7anXxLmMi<QPBw8Mpbd&Hl1gI9EFeL~Zf~y3xW9GV)R|DnM^{cjyMfZST+0 z6rqLyNiZE^eh(+VmlcA(A9(RuxiWHmVz0S(9kwaw2R4I~4A-`zVd-UmOc&S-GCcA1 zu5PU20&aZ1?Q}!tz0D?3w6`{qHr)Z1oTO<6octChW(2<XDS<2B``+}VzX^Y+k0P8& zVC=}o*g5f$gW3|>e)0_abbm-Cu~O|rlxnQr>`OW<=s?IyW!`py!yuu38x7Wn+cF)@ zCG3g_Mid-@h>rrWW14<l|4-r_u)W`lJi0LaZF`r#f7`MZZhLoe;{vw#){IuT?HyK@ zuWNLXFf-4Yz>*Tfl}Ts)jj?OtgE8LY=RXHE&-FlOp3{Sxc8*UiL$~f`5Y6wU+q%xH z_bXlI`j`UO``Jh_aJ`G07bX^`hs&BH@%9OscG+n5Sa50!P^C$N$~<bttIE8=jK)wH zk~4%JA;%I1!NJ5QkE=tvD#x?>_i{YX^1jsa--(^Z4&{CG)~O(|^Xm6iVrOG0{Fo*4 zWA@2)V&}uc>j}DvKk1)?7kKsi;8m}pAA+m3AdVE(27R+@oeB~>C1I<}*gV$>p4Yd9 zCwLOVG<P75P;ggpQo39RJ81%Z1t(UXpN|m!0$%|b&xgn@_bA1VcdNk%N-#iK-OGPN z>$|E>#|a5iN7kwS6rGk$U|CfCV8*%}Q0WBGsr7e$Tk~H8`n+9a>!GlOpQOeQ!AB5u za0i3k_Blx&M>yYRpI}2VR`bSs5x19}Q&Hy0L$;U&bDEqzHNxmEq{pOfDZTBt4ZWGd z#;Yti?|8omz46uF%-`~>3^PVKI;F@0D*mX8EUmiXDlr}<2d^~J;~{BNh4-1_W?pSC z6%5-Rm{2JRN_n;6DJ<Aj)#|^`#Cj;Qz{mhUIu*1H%!IP++*O5g(0rA%@|AuXCbNRu z$oKZU51q{=UxGKTxJNi0I~RA7tN;q_TV&o(O#>nUtlK{Kzsf=1N<U4=?W5K8!4+2( zjf}oCA3>{I-+(l*Yl8bPI3B#zVwvehh){o}AWpj>Sgc<E9?6h^Gte!Zg|MK<w*~D} z6Da?Hg7y!PDoXb|K2)leual1HuM4pI&;|Xf2!w|HID}v41$doG`fP5>1026x-ZjxR zurd8BSCr~-V?U~3*!Kx~N?T18IKmwy&H6C>E&`D<jJGHJSngC;=66nRNCdw%s0x8@ zipGb7ProV!0Vg-<->r5L(WNg&t_$u8;otN~@KrzuEdb<;zXL#?<i;BD-Au7H$jy%= zcb2@;T%?S7xRZl&Ud4QA>*a05AB~?<d_08y@k^Tmy<yOL-aBxW9uNegMbq0XDUyP6 zGAzK-rCn$Ql%|kh?{iR&+Jyua2p7d2)v*OvC~EK9@}5UXI7&KvYQx^DrB<fZ|K^Cq zlBrLSzP4LxIC+t&dQyxzqc9rwfTL&mZ5{UEH26o7TkCOF?xqesaw&^%L0+jD$j57_ zY|S70Sjsl|Qg@yw=6>vOz))hxD##YCE!_E-bax9{P{V^?Cdsx6)s4-T3A8<PQy%ZI zDmzSc+N||Bo|dP$C|EpI`WQ{Bz&eAzA3Xf-BGs25IEZNFW$BRLP*0Sk+a5K?j8;=u zV`JCm$CEp+By8uQ2Isr3JMy_ITuSso`+oSR@32`C7l!$%R@V>1o_;Q@>=Rm_TJ&u@ z1>^(tH~K*K8+~{`U~&*#DZjsV-Uyp;>LO2WDSb&^{!KL;pbzJ4b*ou}&0~Xgc|^iG zt?6}N_QgLvmH$$8V_wWK9KFxM&^aq@@>OfmY5{f?8|ceWMxGr~@^Ice)$=dUED0dq zyJdFk!_+i^b7!B4#uQSfkXtUaVVrZ`nLM<5m{w&V#6ps8D=g!|qaly4+!aTQ7Whw% zg(yPPhm1Z^5|PO`yHs~@n?DL)S>(T@jTa){Hsm|hGZ>tEemBVDlR#8(j6=9!eJZcQ zDUHk1*3O?k^{Kj>9zQ_XsETQD&)tdx3zp>~XBSfc>EkQ<fqvmO<{)(93jy(`zzEoy zo@wxIv;D+gny9i$B|1Iwlzi4#S*|Iite3D=XZcJy?X1XUxk1h0xJ-v<UUEX;TWtAU zRz?nMzL^QCTNkCrKCH*hceQ*eyo|AUSGh^7nPnjQ&8HCtS3JK39vKHM9-}XF3zjie zH<xtaQKQ2kYIKYPRq77Ea?b1HQ9d-uHb)_B>tF8VNm)pA5!7|D!?0XQ92z9-!kPz& zu$guUIaFocuu&XX4D`$g0UB}dcp1lGr0;%`+g?))p(7&OSoucZ%~wVkgmXig_GL^W zMBM}NxAz!Rb03@MzC%vtR?nF7em?PoqrxceJ|=XnTxNINSv*S>^JavKR;y=BoTcRJ z)}>6OPa+9180GgCVO9M<8d@C8XgemS?DsntR-h5a8NL*cY~+(brs(=Dc&4bNORwO` z@?UHOT}>i9Q?xg&1Di$>Low?Z{mDMhy#X`j!*(<djc;E}2MO8^hqa-J8!~wyQd9s_ z%#M@dxu={H9g=B0bv5Zr2r*Afcs1K%)1VFVS|{X!us~hS!kv2HE!%;8F;w)&tW$Hl zsTSgp*|P$KGGmVt=~0$^TgKBl<LE;9=aV0Pu|J3|)Ocl~$laNv-BBA&k-n~^%wuFO z&lFdvVP&C69?WpM#QeZ0F{P3*a`(bsiF+;YS%>lHpgalTlw^gLGl&$$7Xrs1zEA@= z9YOU<J-F8(*TXe>rnzzt3TeN?Zu=wyl`MV?2VZMvhOk%;vWWR543nz|=S{I*5zD0e zkT*^7zGe~Z2AMjI4+@#=>p{n;5tIHBIeEKgtkOrauNTSI=k=mTa@8J`znDiRa$c|U zh~RTRZ4yFCyZixN$t7D~C`$;h9-VYQJ}4M}@(^(+;lwdPbs9P02%6{r4x2~MYNLz- z>OzsNMiw-=vsyKQBM@)b9J%eBE;r{2tg*2#(>08G5?a%iY>wAm^mm(=JJ;Leo3IyW z1#g<aA(UTNt`0F2>ae(mDC%k|s>VGoQdCPkrzRIKxv32dvisegLk1V>A8Yq`o=AEh zNrsjuEcw0?P=#Ky$)RV9SN6yo)R1rSIGi%CEyp9}M7=#N$`~>ep42EQ;oqf1c(gX_ zJ3O+AOeD$1nlSY9T!h)7C2vS(C-{Izehs58<d>ZbwRp=9U2YS$X2JO(d+rNUjBlr& z`#0UGUj~%Xe|QYO$@$qnw+iW<iVrH7L(FC4KfmOm#c)F_>ffr@O$wilyXpSP6-{jk z3L$@r#!A1|!pu^tti|=^vaqx6==Yy@(R-C>xc%|X!I>pu82vS8=Nlf+iUnWgO{vjY zuQkd143V5SDVF%@u80@bQ$ebLj{SZrb6@K;(lz!KM<Q#rBqy`yuUs9rGPM{!GwMZX z3!ebL#uFts=Ay`;`L4kmwzj+b=N4QZ>le3$=PzN;uiAFX6#ZOpS%)7aRBNnl03}UK z&&7FTp(_C-iw@(Q;5OOOTRASn#j}=ExvBMj08fx5v&jfJ?I?Mj*-y9k+}J7dpch7I z>p6_C{?zMq=|~n6`a_Ve?p7Nr+hM#wy+=<b%z6+5p8El!M1N8LdgS7DnxKU8$zxiJ zEV^&~)DJnjXZ_S3Il628)DStkbNy5qIl6uQR027=Rp8Y0X@hwr_&1z2jtRgE4d*h_ zjXTR)ZtXb=oW(A?xs2DbqmRn&-TaBmcfjncXW#N@v}Xh;2R?7qs=_NqaA+KN+ce+Z z01dBqtfC#Gk``vrgd5(lDW*B7hN8nec8>cbNPh-Kq9Oi{rm%7X9XsVWraM&^5Zv=w z-=lBUph~dTA97$-cx4uQ#T0v06nniX_DU<haZe~p)0QPl1W0%T5origYcNL|Q{f0l zYE!ld$CO^7;?bK83bWCl9@P0_6+Q3LyOT&tQL^?j!+;6adlTmc^qC!6FG7-?WHl;C zrr;ir-(^s%tRJxM=6~cBu+f+-Rw_#wq`@YrIBn#k&U^fsSYYg@jETUiCxY~f2Cw<y zvwZ60hVuqIoXvd+iRxie$hIz2q{&SX0Ss<~0W%oX!3T(7fGJUpu@Rmc;B0y>w!81I z2ri+lIXWXwemasPc79}%BUbK{Ez&%n`(oos6}g0XgfzoAn3d{{N**@#e;?7H0THHd z^Pm4F4%WF&d(Gw(d=<gOibZ%Xyj(!Jl1v)V`-(=cnn8(B$FH}pcaKfsB%UNNXLztO z&|QtDqXM<(v3+uCGdich`gcAkK8>bzZ8EyYgLNO627?r*K!$>Bac3cs_S<Oh_~vH$ zFU&l2-r-C_@BCwX5Zb+xbM(@Rt-Y<OLLgifMvY>oxs{aal*Kre=W^rb$0@l#O0~c0 zvq}OKgit)Ymuw2@-s-m&luwoJ4YYbX4T!oX1g;QUp!XH92dA^@J?Ml2>5lTQ<{P2D zRiGhqp#-pg1Ja_86Ob0k#7ziJ@N<CrM-Z1%q@+{Zj;5va-r>b-h(l&%5s(sLkCd2I zU{<y*YA}c08Ol|~3=17d3acHKS{CcH;fMUZ<C#@Odi$%HBYd_Ho6pLu)db(^3Z{9+ zZ-;5GK97*}Xq9TZ?VBo$@Af+Q9x)HUDLNbzsvWJOb!U)kzJZk|0AX?Pn}WJ<vgd@` z7mB+-*F4m8TJ#*GQ$mXep72iTCnHwy^rV%;P~H}lDLPmUR&DJY;SygK=H_yQ4XB_2 zP=bMBB7-Z<WU~B?Ww;}1lGV!E8|i`~Q<=WXCG5$Hb%=;w^)Py*K3nexF-)~*bT0`b zzfjOMG}<Wz4W+rSc<Mp@Z=BfH=tculq7<LZX)p9>Uef&5J#E1;QE9#MVSmeoVYX7M zjmAxaU%28rjl*~pPt2M1v~;LdD?65kqs|pICz!sP*k$t;500zlDD@~D`{2!l%AJyI zGCtiSyQ|55abQ14I1zFGc2=G_lwv4~yVT_AscbbDef3bzv+8DONT0cuK+e-pTI`%n z$Eixj*@l(e$G4fr^5k|krVBr*-TYOmGM$7u?(w2hkf`2xna;ekhL3;w;8Ra!L0)5H z@~UlrVG5?)y#KRwpq)Nf4L^$$2r+pe1yoKCFwm`#^rRDcy+eBV4Lddq2&dKdU`I%h z$qd->>)uD`S;}I;SzK}8N;@l1LOV0&%7~*9BT2XKjHe`TH#(XydF}$G^fsigNC^n3 zZ)KXTxPg$`dCzquumyYk^2<@CTPyOy@@EbSd3vCnjuQ~n<$X*9$|=#>OlzPCM&G;y zL36TrbQp1Z2Ibp3%@T-`>>IR?j=agJ9-9Fd0OsAca<$nR`qu&YnO{M62FtvzvoA&9 zJ;mQlMK1Rd2_cpERX)bNu8uDS-#w1cZ#fYqIXBKZj~H>llEOplr}MgEz7%VeV2KM+ zl56AH!=pzSU`hX>wf6i}rVmA261xPp+y@L4Ec1zArL9P;-`Xj(rG^Yi_!H1s!_1J4 z;blV_2=H6>yFGoW)2(BOWun`sQ`9)D8Ef=2O?55LDn}Tmd_S=AwW@h<UFQs=WD-$L zj+sq^t7Qg;&QSX-ZZ(&_&GVXpTHpA_v`(x?+FQT8>&_Ef5G5VB&x^XY*M1qFo4I|e z))*!^DuGxzLV2zbi-+6ePLTkypg4)Tu|8xn`8b>OEB#f}XMR=c@LK==67T(vK^P>O z+(Iy~b|^eCFJCT<Z7q1P#2uT$YHBl#Nk}vI7ynA<^SQ^{4eRj>U8KyHI@9Bx2L~Nc zo6~n{J(9u4iVld_1s8@ZTs+65b47XaJSvC|;u<8#D0u*~;9tYY{gKftRAO3N*Ynj$ zuWsOt+WfaZpzfC2h+m@Vl=neKn3Qx1d+joXKQk(%Uwl-egDbpi$fz(C6dY<q!7U!x zg8|5%=2dFugUWIbcxvWB8jkI$BFQe@&+VVjCv@{bYG%~Hr1h&!KFE}=4DeeGGXkaa zaXrvI-`oeIT42u_ehar}y#_fOR-pAL!^~hy-I$vr_TwNdkrRUerr(T#LlBJaw>v)h zo#hst9)A5Y_!$;?egl?F2NC7HFM1!kppVQ!tRkb8Z?MI$k&59M$<={sS!N$!th=2o zqPeN3K-o=5jm79L$6!eyXF?#?R*`}VV;O?f-imBU%7JaoqZ6WAZ0M5L31KFfeI$VC z1w*7^WuiDyh_POau<c=pC*oOH6--SVQu5zdE2m-{q-41GMc*a1FYPdW2x8)U=gYWV zTlq2d$O$zWA;lCF0@<cLPDCeEl<k|J$!?Pg9Q<L$$j)^+UNJKvL2F~ZQ3-Uz)!Yx! zpB8^<7nuGLD{ln@b<w!_O01NIhAb!VO65d|z;IuVCX(V7#j7)de9lf6piHi617*_A z5-5}LK$#p4feV;jZ~=1(yw_g`2$(%iU|S`8n-<sx%u|8BY-6mns?r~11HZ1fmo%N- zACZ}xiOrJ@R8d?2vMH&p_#ALgz2`mB>{fi?;U*4c<Z!hT7dQSo9DJnPW9w#L*D7ob z7bo3LJm1I|1Lh*pBG=H^O~}JQ?C)A-?akx}xO*zYSvCe_ROp#107(&g7TK8A25Nye z8NNus!z4@>fx>v&55rQX0wEQbe)d4gy!@$ki|IYQ7bsIX=sVQW>qOH_#;_87Ve`=k zDiG4yy{i`#Vtiy3z;fUPGA!^8feH!&E}m86!<&KVF2LT)dA4RCdrl_G<?3C1ESZ<0 zAqeR-rW{6s1=jfXKOf6X-Pb$8euB31E1w6uB5apU=-lb>-k2PrC}VroObGtsU?|GT z6LnkY-^9wa=>IihCEovavGS+Ve_gD^dz32)BE-X`e!dT}Iu7_S<WZ(*xBt^R6(;%) zLJu1f#v#1BY}G(qp3{D;g@?@g+Fm0YeM+&{+OrC1c?_(u_`4W_MwWZL6Dxi7WLLj$ zz8LkK1*#!xR9%Gql}uP5eGvjwMKHNU82h7LvhM=Tk3(5M9KjCXnt(X9iSQVn0HZf6 zFtOtOa=$O_<QT|<obJ}AKzanXZ-9wN0j1Jt8Uu1O2gcfpAqjQv%uzAPp(_5ae7q}z z2xDLFVa3#Li5G6=+?ok<1zIZR?P+2DiItnT=k$T<2^xtWgDV_A0Db_KG(h1(U=s+D zI30=TSGpvctHM$BpiXTDJ+9Eib?WA|_{n?M@}E*Sp`E+nHdnEm6W6hu;BLQTH_PF% zo7wQ#%|}x!4dozpQwE!vJwY#OURo={G2*TirH+6`QqcT?C28oBBz0;LmiVz`tsDS? zY#^XQ9w9t-oKn0^2#?(iz>rfEeea-nN7-=o-5tsuVtf(~j8ARK*tk&2om==W6M1av zhFOgm5Erzd^=csk77i|F>R<u?j~LQ9{p0$$k*T<qO$A~1ijt%!>cU<nH7QJ|N<R(7 zT*2)T4Fs47kTxMHveo*sIsJ(SxRI~S?E_&+O`s!*CcUNHFp$XF`sTg>ko{1I(0NO} zp74N|tsvf`*oy`_L^7Zd=!OlFKMZebAOR_oI{4o;OpyBlRK)9Eq_5qDfiizC*spX; zgaa3a9%|{QLi2L4>t5qXc%_n`2RY4G>8;?PiK>3BZ*tfia1HYhh5|IqKQ&2Euwh#3 zn<qA|27UZv+P{j8!M(4L6ouu#G|W4*K#;wrPiWF}{{^DZ{VqysH#kJOZ->}ogIv#t zESc5ICm~`4FFH0Qj~ESo_JwLqgN})Vvzz^~V@8?s`+YH5CeU;YjiIVy{;d4IgfWFm zyyhKa;W!G|X-W##F11r>0@u5VGO5-+3jY=`VRyk*g9=Td`3IW!lh5PnK-uvos><!? z0-c+(OzLlbo>br0D1&Bw6ar<(%B9pH-*+o!Hyh8(oD!X~ttf;y$cHFAr!44Y5%+ZA zRY{XD)fosDbSPW+<Dg0@ySou+NLtISMAP@MV5OrSI@x?~K~gt41)ZunJy?VadmJ@J zEy=6Auw<>^Wdq$vMaSV-&sZ92>bb)Yh(!(ShsS>lm#ksB+S>9LnI#!ZxlOBy?+_?< zEDxkTNAIPSdRm1@Ffo%Z%L=7ecUBFY#&3Ls9<My&b2WgvT*;PE!U0lQR+lN3MCY!T zTaO=;`VOlzH#lNc)|J)SR|?boz~h+e$RT&sbJW}Xg7NL6CXgig`Tfgv`gu#+B=EN} z7%mrn5v)-?J=Ki`qT|2fSSx8E3n`LNws5sk<NM1vHSWuG9=)y92~VNR7ga8r0zv(r z@{z5!>_x0A$j<omNQpGzR{^cg1Lzv^m5J{*^<Qs4r%$33dif}^xpSQNj3e8Gourlb zUO0?rqibiorNX7+I-}$li4LmDK6xEp_d5OHNZOrE#=)<$4%HdT^sIyJb{S^(N4^jE zV2aRF7{7cJ-`<HoUd#4W1zpF78F3Ppe1AJ@nft&FX4MWMf-1dblG})?Al28!y?rAZ z#>;mzx1`t0ovE4XO=51UwcqRI-5bN*%Qv$$^{F21$V%#P+_=l1h{6k3AMF$99dwBo z*Qy_M47(V7$lv|;MRhq>A&#heESpGVoZllf{WbB@AUDQt7U^4;eauFMH>AIwJ6N)L z=)395ePa9E^N5c0xvB*rGC>5iSH_=g5~0#<wY#{0)VZ(4AsmaB`DFp5OfIcT1y<$> zxd&A&F++WqGd8o+xv+QdMJnf^swsj?I_=C8Z@mq<A|%q`%#RFCZvCBXk*Ttu;tRQ3 zvhO#i3doS1+kqORq2X!k4<$Gx^#LQpD#zXutoS%36;3!}3u1T`B7wY1Vzyn~sA55g zwajwgb?%O0%02hdHLhe(jViZyzlZp=HNy}3shc-0Oj8C7!@)2a13vr|R#GjOni>_% z8bm<FN~RZp)W6M`oG#v7rO^LeR*a~b>0KfgOLVy0{pgZh37qxe2}0q?kBG6yYHdmS z5Ld$o6mJ@R^HceQeuk;t4jI>KqCGq~yr*gMGP%a2&UoTs)WVI1OmZce8TL%*L3`?q z$|E-v&C9e)%J>gI)~D7jYu(*OEK)0Oy2wjEl7A>CHHfLj<89i%I^EspQ@5`F9iDgc zkPjYrvJ_?dWVC2`dqK|i&5rX9eZHZe(8Z4Db%XW!udd0-ja?!Ky5r?Zor_KPy}D!9 zqMBi{*L+>6+KUG|P5Woi3@Cm8bRb~u=U&E|bIyg;UYg!pPfxV9eMn5^xSoda@?3%D z>dj(;qpUZcuaM20CPZ)9E*&%ukLV(iW!0`CI=?j!*j3$x9y^@6I34Z|k1JO+Yj<QJ zuj%hQD)L&8<<@skA#pdI{JNN(p6U|+?Re_ZVc_6%WGIh=e(7wg`uY0fz4L!*b{Y?U zIuOvL^9K_tnO~s((d@{6s$~4QJpsC~`R4n0@7#aR_bZJ$Kdr7~pi;nab#iCFpkD|C zg_n=MsmlDr%+=OeG$rc@&!YHTaIF5$Jy8h1vC%gb$<vwwSK#D&cc1sfS3hDH+#h%F zONe#_I$d98-gF*ykyvT#LYF}n{PmvlpdGz1Qk(o?{22zN@VL{Co!6QBbUWLPonQ}o zpv(PTmLr6n7ei2>{|huZn5zqBwuy;dVd>vAxlL7vb&wWI;B8l!2&#Z^Z=vTqF57-> zz^@O*8#O@Slrf3Z-((TRZEqkTcb`q&X7b7~({=`BKy5HeGYEkm`WBfy9nlOw<SC%( zZovRe_l4#EjtKWR4X&<8=N$~K6e0jy;KHHdk7HiKPeKw|4s*uwY~_1xhOzuS&)(aG zCeCi>KcqM|FLmC{?1#?9dRuARUruk+z>Iw%0?>W*u3-upoNbQs0!M>s97i&P9vsKJ z26fvGq|5P6p&;P%T^0zY?X&%$ah%`=Y*lB?j;CY>b-t@pygzYEe7s@_6H9_-W_r3S z2UtbXXAXyh)RU0?pIxRT+NneKdNCzdgeyL?Pjlf`+$sX$vKlx=^#dx!n;jStR(Dw` zp!aj(oZU$u;Osj4;hfzlt5j_RZa#bu8b$;g?`6<w7XOZ|`_%3I@eNjpr=-y5{z@RC z{S?~p(f97Xmpp!65}(1g!aUwYfWDNH=S5MDx&Lk+4%boR1GtVD2H?7T)BvtSsD#6H zUeZc1w)CZJX6IYzxnlg-ZE(Jh+p~XQF;;wQkn6}R>)aQP>s8<Z0nU!Dw32FVW(=rb zD9%@!STS4^yZb($b*&UO?gwFjwwb;kT~+iCzFJ*Z2dke@^mK-#2So?cuxQ{s-_n;t zWu00sav;xTR3@uLzb0ix>r;F0FP`;a)A*L&%W%lZF4bv**y+^EQ<I|+-Q|62VB|Up z+^8Xya}UL&m|8QW1i85C!Z&N3f<yDa&QiO_i#CB8p*8xJL#QQFAs4rMNPbZm=odFQ zmpGo%Q7--VHhjk+9hc}0V@@LPbd|+-)sZcm+HT9alm~D2@qW4;wvVEb3jG)+c@9!| z3p`(VY&P70ziHorkl^Y~Cev=XKDh<6sUGp3O^@%PG2=!`5iAK-HGms6)XHgG-)P+p zTROXdfZIJMzd!Ek<C{*V`X9Z1gGsTPtx1fwMn4elhQ04geZHiXId~s)P>oC4T@tTn zo+6KRMuiBdXxsRE*0$Ni-O|{xu%HSEEfg+$A@Ts(ODQH<s~kvDZF<{le7Ap43)4H{ zR4&WR`(q9O2`Z9Ca7W2EzYCjG9Z-ZeC4eHtO_TJLBc!rdi)_Kx+G03<+zEuO%(uN3 zQb73fNVyii<WVPiK5sWh;a%%q(!P0Le+mQU@HGFZ>+RyQArx86Y02cQpxw1ueo}#h zQkec6yYk}`ptj}0(M4&ug=M<b*27vLeAVO!&Gp`UDv8mr1Q8E?k>Z_7%=1;Cwo2l& z6sBQ{HzEm-hd?bExC%8&@qS6dBP~!16$fjtki(28o^BnzMF)^z5(#gDO@GZiKMfG9 z-Wkt816sO%hFO^cO7N=VhR24#7DW``eplw8_4T9lo8Vnnsj$26=CjW@->xu0+u=5% zXWU_Iop^uX!c^YcFu8w;Uf+1YyS}_{<@7GwGP4PeweT(S9y>$<4cioaN{o(0vFX}+ z9X#v|n^1!4jrrBJUVi6a<`S~Gbqqq$B`ZYo%RhQd*!7rF4?&vw+l2j#>@71XB`yWE zM52%vA3@Sl6^tlrkFi+Z;#04~r+oQ`lxz7H1TrV%a7~HU^;gBfJ=Tlw&yzk^E9SBk zPZbt*?zX=mYgoK0*oJ|E?MhIvE%|WHjvmWuI?l*^&A=_S==j0c@37h`2o<y^f8~w_ z4h8xL%4giM6yfrwXe{Kl+KG_F48bR_*XEU6mFlEfFICp`3!Po~JykB32U}wI2M=G3 zj<%1kQIw6+O<q{LJ8BT^wl0Qes7+tW<5Qd`*Bnge*9zC3ohCw0f>Uq1Im*$4h}l6` zJ2N|wWweWCC)aN~Rj#GwwhGmoQXHHQd)iE-+xvg8_m)v{ZR@sZ@C1hh2o8Zj0s#WS z-3boCAy|UDI}|R#f)>G@Kq0~19fG?P+}-ugB5SR^_B;EWcK18Coz`A^x3yGYj``Ju z{78MH_tAU*W_nLqx%g&Q46gQg9pAAziY>U;b!X7-52j&S&L^w7AKIZQ%RMgcbG4d> z$(J#^VhL>E_J|sbt1Ikoxvu*o%V^#Wnq`D~0Av~AFN(cKykH`d8D1XAsH(pid)`Zz z3nbHO0m-!W%s?`2(KY<*mYHB^H0>84nzo(@h^8GVhdP#^1R8W~c#h7$BGBF;|7Qf+ zyZ?$n`<-TM_E(y%uktV7MCMJfPZnmvtHM7*Du1*EdF}`|IlM^a!a5*EshMZ5+TWxb zxw@RlNe27%a)O6w(4Ie8J=`8y%vDw^1}8QE?AogfOnvaUE#_P5kIAO!_o8jBjk!7< zDpKrILV)bh@fenLc3@z49rRuyuVoB{mr(@FABjN?R<y(12C1Yf_C@BlFh50tDaqFe zu~ysi4oX+d_2}8gA3x0__aOF6BI_E^vvtOi5WyktYL0f&p0km=;qnE>a+iG>cvU@| zK7fFdo3-&vWdh*HvK9cM>!}u?55&|EC$fF1{A5oesQ9M?S`grQddcWKXe61BJsyMo z`P&u&cIlir=%w{RJ#AJSO|Hdj##re}a>kjU80-M;&sq}skt?wPFJ}$#a+-!f8L<yg zwrLKO?N$I~x+>U6+D9rt*(9{g6ew%;1^70NGYNoin}+gj=5&l8lnOvZ7!RlxWAvaR zLi(AnP}>tAA~Xa<godpk1`*NYMK(QL*ZKq3B-(Fum15_vH=na(ewsDr9FM6mjqs4( zo0$Uo!Q)N%6F@(>(8(vK0Z?@AoEdHaCwF})dl<`9nv^1g^_va*gM_nf0GP8|()%(S zfOWgwq}&7agGgO`<eGpa5X4Cf)epiQvBgM$BBs#ga@2~1vupY9l7)a`xUIU)tS+OD z6aTJH7+nsYoLDXoV#A3x$p~Ojjv*^h3$S}1+#VjRxC%V@ymJBOl?Ze^H=>QE)wfA5 zcH*ogPUx0gCzEX9&gJ;)Hv9e32*{B%eOHelI<gL>|Gm7H{?`dSJJrZiXo%4$5Mp#S zTAyB4HhqIk`p)_y!$5e#J~W^JQjsb|Wt}P|_dzQ+Gy?n8^;dDCE&;bLN((+&S75?a zfYg#UARj!Zj&k}uTL2p^Ya(vNMGp(<uUw<T8iR*<2d<;VqJU02q47K|ua1jW-EI^E zrkyyr-aDV~&4BN3Pkv!&#PcZyRadVp)yXwF^{w%7G8e-G(@wajKf_1BXj`&jV6Zi+ zY}K;6SX=X4=8PxDS7Jjzg2--NwNuoW`T>E{SM`k8x%p;^43}|7^!p$79cxh5GuAgY z{BBq6PevT{KpJ;sFLE1hgKIQT09g>;Y7J6Rv7%r$m(!Y^)%Rg)z2ul*+&c|B4fFE& zzL0{egN;fXbZbzywPr*R{t}1WUH1&_xDxoRkL9p;=5G<87-U@{;rm)7MJ0Xe{S+>j z{h-Fwa53(0{kN6-Wlqo5FO#XlS3enOf|}6|UiHKBijzD<fF67Y=Ox?mkuQ`?E@jA| z3b=LH@CJh`tuO4AhCVTcSqqZz72c@z1{1EYtzM~2FS#)ivk}W+DxGFGJpJ*XpzH3R zqmih8q3fB3B4H&-HE&6nxrrpYL(l`d?w9Kq5P75cQ}C~>P!niY=s(~#900c`m;tyw z*6$hex}C}QU%+isk^ddIty1+*a2xcm@OJG-nHNyJts(sf+>ZVYw`<q_72JlYvC7_) zN|nVg#5JDYSA6l7QiFy9GNmSU2ALl7T+ku>1Bti%iNqace<N{aPwhR>KcKkApHRG_ z0m9?=2NYLO-rlxk@0kudcxN*(h}kEkgxRI1$)pzWwwO)&Rw}WAJWM_BhW`cLm%!=3 zy2s=;X+a-Tg@JJ?2>AV~@4pxU0R3E(NKL^y68pq^N~Bn{BWoGOLx&TH8@22BWj6{+ zpA;!Zhc5Q~Ud#`LB_J+8u$Rxbx8A()7Ksa!eZoR)6BZaBraoKqYP|HuPN&(;&ZIdX zjp>U{<66bVW+Bn!&qhH+1fM|)pUYQ7ByPlW@=}f~bM5R0Cm*i)<qkf?*PG`93Y@R# zZ;i#S4IGbGXId=?=}@~|oj~2r@zz$kGt0bQrLUnvo3L&tsL&<=hjJTdb!%ZDc_xa> z6Q^gnn=~*I>*}KS`uF7C^GY^H$Jzny(P|){#PPE6*lTZXyYEbkaE&><;`8dSk8P(* ze<ARlKN0wX`~w0v13w_}&aofrpe-+G*65hC;k3~M1gBboLhu@*2MB&(5-9ZH?-0DN z*XA{ZApf|3raQ9`+4_wB2l=?=ImZ3j-o+&|TTsU_mWQff#89zN^Q!RN;heM~1a%V( z1dim~@9_%HbVrL9LC6^ApxL7eFXQ%B_Z>m|rs=!yi#XrNERF}o4F8_RJ8WEZ?nHO1 zl#M$=uCO0l6tH{vAXy%kq>gdfdGjh4-QhtUL*cP~^@Oke_z!iArO2P^7>-^bVbtE2 z!~}}EH?tp*cH9V{j_K!uYGS^%r}9u)%J2i<(*U#G(~`L^U-Ns^?5`L;x}0i=N`YHh zzn1ZU`KrCcb@`Itqk4Y@X3tdyY|V>`i}uCx+|tC{aiAM+_B;{%YZsLk5LYIywJOY6 z#Dy()x75yAO|btM?X6V+vPPDFNXs)yrL|f7tVVm{M4tDVkKsZIF)`{kBy|5PnW|Gc z7dRNrV9lH;1N~{Lyx{Vrv*8m7^umKh4T8{&_y2IL(P#pWwH^OI9BU&Uza4AXP>j7p z%L!Q4j1>UOnnKzimbHJ=v6gQCzw21LeDmN~qXPXWjx{}~V+|bhH^&<2?~XM;cd<!( zUmmeZYv0?B3G>8>S{9d5TcNy;^$xLKdGrKpVLn4~$%AimH%%<?*Z4	qA3!;B{fX zb*syKGz3&HVA$?b%0~xaY74%k_Y`w;T7KZB%ofcwo+@HD8#*{VwlT9X-JRO#tDDbD z%3O$%HX8_!azO`Q6k2nE(2bX9)aE`!?99Tu?|Y@`i1)aWoOIZDu0%J@j?_`$2mi*` zMO*ZW?GryY{e-Gd%^yeWci<}1NNhd=Vi7an^xR&&Uq@p&+<LysX=40<tqg_mt?|~8 z$f%n=Lby(v(gw`bgNnrx0MYLrH`D+TpP+J(6(C}H>nI4g+dA5mRrH882m!C!MIqFy zhJGe7wwgeGG^Vd<#RPcOBD5d8YKVYWjg4Xr5}X(hE}*xUngpK8gcO*ybyV=^U`&6G z@GVd~^y#6N6Y6VQYE}U!Mc6&I&s~NhdoaiMH-Oy5FQBLpw3usQghMsqA1rvHr1$G9 z&Cgn#=7~uP__MmMhMd8M^GRL;-iiq|9sm*-d!)PWJ3Q6?+!}Dsi4zE9vy97n?$7Bg zo0?rb)?UZ3egNo)^%`Hy0D!){ok&5Ctf0y#-{6Q514YelNjU&O2k>wIxdlNf0FV=l zY(WXX0s7UvrVSKppV;$0d%)VN3$AZGfp>j&PZDZ>c-QoKvX2g}*@cldHL10HV9S>> z$`5|dvKCON{iEn<?8AfLujeyppip~zo09tB#}_x8o1tsywgz0~;rh2+Jvm*T7rI@1 z-+1}S$oecDbf_eay)e{Px^O)<b}MmUuujsFOSZUOl~SJG{Ej%b%HoOoqkD%kX&?^- z&GfrNLV;!LvxP@BL8jk&EN5uecz#0q&P^;Q#<ClE1jkm_=!G230qot}0ATNn3;=tV zys%4%0Ze`g08$PRb6Lsh&`2O<jRg#RLdNYy+n@t+K$=n}v>{3rN?Oq&e-s=GSomyE z(zwC_m>((JZpjEJPrNW|lmO{U0h!{>8gRP=KF!q?xb54x#6}1RSCAjig=8GIuC3$n z*}v@eyh(K9+t|Yg0DMy6g*`FJZbV9gJITUcI-_u9EzK99fVaeqQnCW5EMI~UL1CX} zrH(HAu_Iovd<{ZG*PC@ayg<hUu403rLum64E@IJut3-VEcx#2mW!E<VB!8Ge+qWNP z`2|4aLh)V{1Z+WE4Z%=EZh{zQJS(+(Q2_^F>wJ9nJnNC-<!gB0O-%-iRUOjYVGKJX z&(l$^U$z26Ti9wr><!qme<Jy3OBcf&5#$_s_;Jtyw5D^w+fqeIM&Pw-A?<X9C1^7D z#@f%nL$#`-nUhKu@ixxVNnTD2v*tXI29$b>i3Y~9(eq$n?5m&0q21nj2r%iD$?-w{ zp+*SXqCqA-#;@r<|MH8HGHcvEvex(E9C@htLy*m|fw#{SzVS-sRimYl4n!(uq<sBD zK-Ka)F|WuYiE`|Im)?H*0qAH~@Pavpc>Krggwt(*B`4CpK!C(`w~Z|*2-wx^TWf7& z0{doQzM$r7gid#Ldn4z~JZ0v=&71Z5htq|PyP#Y0+4JIpaM?}iTdL|C6|lA{aBMPb zkYIP+dN`%8`I8jPm#pP}&nNG85^y#FYP`C;INAr@Z8C&W?M!hbsaiy;Z1?5%nU7u! z(Ej9*?sZ<aFyGAuIZG;qb1~s)I|*1aOw8=um_;RQ)?Ux{&90F5bS7{Y9-$K>AFk~N zjp4~o=pguE&eS2T6E|(OWo!Fv^nytbFtOD3Uv~EWsCj=@$nEfL$K99Gl>zP-B@Zvp zml+goAQU9BhH!5O7MS=N`~ix(WdYQkhz%fdCBVUl0s}0);Q~TIT7ZJ%RzTNsgo7Ba zZ$MG1rZxxGaz+J)(fiYTq7Z71Z}r1u@B>pR`hE)P9ErfV-2pGOX9!^J84Lli))^}D z>#VX<0>nl@yrRRVmJzb(AUPra3_Yloyx#!r@8Xs2Krn_nW&(Kf5R(#4Tw6Qm{ty!e zb}bp~)`&>}!v~)FiNXX{TSR>aYZwF$TSPU5d&1of#*6}{xO=2%A70-`V3Oy<W3~Lp zFBe)KID4yeN`x+C7V86toy(iujj&lJu~InM-`F32)t~zm()$5V>RFb=slt5wk3to+ z!{A3d@B$uG3B3?Gn!F8u^z63lEe~i?urG38wvwA@cMPsOz3O{95sb#122ivr-7SE8 zISv3I9RMgz;%JK{%vB^pR?AG^|0J2D4eIt0)%X;XR|vpfdBDO4%%cSqDr&D^DsS2W z3Ki}e0F%q)N3-Ab9|7o?1nTq)o&m}@X@Rn5Z-6ojXjvsthF%MRXwgQXtN{w5HGnc= z5S1bTz;girK0E~c8qP+hL6;go0IiJUG~nVpDFL)HT2uaQz)%(n>w_$ttTs*z55Ncq z(@0)Ax$URD^s(Elnx|%Ane-hXak=J6%54BNEteOD8noYlnY1~{MS9Sgv|iH^20+S4 z^$P8`&G~T9m&)jGyEk?=7ZQ4)n(O5hB6<-6SH7g(dTeN5a83*Wk@sYCECGnj#eer? z^TA?wISE+o{!qLCaJm@^rxA|Mi6kPXNaPHq00O`^i%l3hmj!P0^&n6SWDQekJ>c{l zs2%VA5WMv8T<X_Qn9g^H&i|Te?-m4W8@}z`n?K*Y`D%A`2yh6CIUODDo=qe?B#orp z)m~$oQ(M31J(6Lk5OI#-y7Mu4`geOC(m&brLS6NcApZ{9M)?bFC&PemTYwJ$(wv8c zU~v|&r!vM=Im`*KB#Oqj?(-<VH~MT!Lv!<}7TN2c=di_$5O&5VUs>Wv1&^34cV^?v zs}re5-Da}5e^iI&1B;u6jks83{93|#%@cV@M;h@kgSL<t(5YZHO>HLtvtmq{t<Gvv zyh<HYQ4{M4^%i%M@WFf9n5Zxhep?*k8@lIkU=|LLX-kt|D7~qDvj#FjzvVfgOlcQ^ z0n1^baq8y+7l00gpz8Nm{x>rWfL;WcR;v<;&IZhAL166$=GQ)~0P}01`V*W<N<ITX zV)Ezw+P_FM;XB$y;89ljyfun^AizWCk72R!@L2Gk2gY;o!do=VKYX@k|B27`cs&l0 zWL6%Ky8tt1uML5xkqHp(0HT|%&WhhI!JXo>->R2&;FkhCeYU@VpCF(rfPtJ+S<`!d zBHRMJ=^^&y!K{ZA0GJnn*b`jPgBWJ60l51RdID%-eutg_|4JbAWDFX5(n-kk{9MOZ zdsFvi$8oO>x3T1~OeEZs%wTF1MeEsl^NgX#&rIP1vlO`Of|b;Dn=~K6ybw$6>erxY zegREAiD(w5c~v4K;qyg`_ye3UiO&lme}Sjpwgg~>lR=&@!e>qqf~D-^U|z@yL?lh* zc#C8sTIxlt|A-_HvUeer`edUh2!1}!sE5lObUks18vT6Bl{qN47)Eo6;}s)w(-37g zqM%(6o>`Fn)jO$AM`oe)huG1;TpPgC_Z<A@5Eh+2HCZgG>U{ANOegN(hA8mu<R_Q_ z+^=n%J|F_XjhEIA8}^0_0?1li^@=^+Ntl%fDfi~q>2y+%LZObn-yS{AaWz)?zb>-> z)WB>xQwDV#&TeA2@?ro(a{xukaV+5fqhx*JAs^xD=ps3}DPSP*tlF`t(zl+3Sshbk zZ*G@Pw-N~rPdLIA1U2dH3%uCQEd37n1p!arIaw`KU-O`W*>d~C#<wp(LG-5r=4)FS zPU|1}EP$YAuYI3GNRggn=Pp&>qKUo#k!t7SdmQzE$KQVrzD0V|C&W}+{6tBQr&n0S zzk?&YYwskMrbO#IaK%Zkpa*jFi>4`I6;agtj>jb8aQz6VG#3)_ze;%!v|PSW(yKqB z#-3u4i0s;nqyX+#eGzsDjRb6cc_YFep6A4AG%!yqXm!L9Aa6BODqn7&$(9f2m_G^t z(q1B*dD(8<s{m+xY;n4~+&R~J)jCkSt7qmpFL}#}mG63!2hudY{=l=w;t@4f;v8yp zo10%)68ejlR{M#>K*bil=gH3WM`?-t^M#CQR*%~H`#RV2-QIhTtAhZ#ft0+qSsfcM zt?$}({>P-miK^+YUc6MM(t5XM&Shd;FW?#wX1Td%Z?tlYtf?s3&hW<him2~L)s^1a zrmM=nFUGj!KLUw+BvGXId+fIf(?AG!TlX}1jp`OQ{d;bGENa%k6VP$Qa}<bJ;-OlN z->(%K=lR^^lkc!h%(#}kXw6RJ?#&kNk06EWnkrhi+b(A#_GVYX>I|9PC%!eo;H(CQ zx@>&9vzQzgzKZ5|)3nKbUOXk8mm8c+++)KxrORq)pr*g9d>I0#zlQeriI=kH68Brr zcu9X4_BdZi?(ZYFt{RoS**{WDsUKf7kB0^tj|)Fe&z8~{NFsCLtZnuc8l=^)BWi!T zE$aHQ=OS0axFe|R6_eH@rZxYT8m=kUXsFlE?D_Gf5py|xEJF3h+nL^Fr3$rPXOJ+% z!X-og>0u!CNcBBuC2shV=f%hhFctZGg%S}xD3UvSdDTu}QGVZ@d(%U(p`x-~jCjNM zB4pJKm%(!R;2I;NU5{wlmwSY&++r}E`I`tl!$jjS?t1WM6+ReVUMa2M9pCJ~w)!on z<g$P~{`C4ipFby_sm|OLRv@qZncZ0~I@*}@V=}VB|JEqbIgMt|Q1G_gLnV>FD=djk zB`BtLQ?MpwW^#ZdIoRim>i0N5f>ODs7<r@D`l-{KRD_X)bYt!r;_2}!cEJm1Z})W( zAN_QVO!D;Ct-zpdAwZ`08s(Ta<ZcD&KawQt9^`%Tj2=!ERvd9q{q|+z%y&<CI}ro} zC8U`R%iUy+NsH#d+d*A_$&YN3^ej%C71888KA~;KOZ?<b$AxI*P4g|dg1MNce1rC! zERNm0Qna`wKEQl$L0|h)esUMam${hraUTLP+sAVnKXjr|kmMgbH+k9fVq7pV)4h!# z;!qO;qdw{cR6}7&18_u1>*D0ZAxD08Z+q~Dn_p?aN6K&`C#Gf;b<IICa;5f62U~ey z;)1sC<0Nf{DJb0gIhqU~9E;8JxZH>Z>+^st$S@+Qe8^%^gm8j~b1^@pS#Xv!W=|Pm zqeZRJ0M@<7$TR}eq=I}DaeI{O?H3Jos>%@Cwe|(h!r>d%`LB6OLY{hKYJ!bYGL;k+ zpO2r@N|O!PB!7`fLa0A#iv+|&l1PN02lddkVzM@s7?_!h_y)D)S^~B@qBZPUr8;N$ z=Wwl$;ddNYuX!LE$CmJk?k~m$LO2}{WWDS(z3Rqa7T7<gy|&9siTW(kMy?h^`hI1i zu1?nRCE;-qrUYV|^SAI<962+Q-o^b9v!O2^hY&6c&l}n-ZGD8C>OTgdd>;epxI>ML z9d=~`!@5&SW@xG!Pn(fv<NMmE^h?2ASv$eux;?xi_6j7uuM(u&fyEr?;_mOo3UnP` z1=fUM>7LJ6`U`dNznzRWEu9uU22sW0Q#*`TuUlKLUpb=4YRzq8KbRIfjQ?g@yai1^ zC-2xF7NRt5>%vcZVP|I|CSlg?qcO<qmROm}X=O$%fNm@RVV?6oGd3tg(0CW~h-XsR zTZ}}*=A4Li+Pk1~eKHbhCYi3<=4rvxmAI9HN~@_zNi)kKeOHviT{@x}jl~L;$Qoa~ z=38?20A*Yz$&c)@RZVooYcPASe2+k1Cs$jFB~KBNz9HFu8S4|$$tp#sNMbZs+z+dm zpWEyfOfo0Pc@!Mk#BXSRop@@H>_rJb&S6TJ;}ZNu3bw3|AG^vJv4LyOgP7xDHs+S* z%bnzX+JLWak?b~0B3o?&!vcxJjFB<_yh=_|&RC^aku*3oVY4BICkfAndif9}Ro}7& zGoE5bLRCSl><>x(aDP2zGeW#_yyvq?sfVbA!ZLQfO=~};HA^vm?aQpf<ZM%v5O8$R zfNLb3kUR-lV2T8cmW{s$Vc(st?OB`yb2Hu_9q-ZKJM!uSdMX9>&`oYfF_T}dA|Dw* zf0`xxZ9y!`_Y5GdG(}5#UOvCmD_kztS0~4xc$Fp1e--Ep*$<9PIhQ<mC0$*@<vzRC z8Z9Dg-0m%Hw6~AA1aSV)VE4(&lD#D;zwH}wiBj)4JKAVp<t<MRJ5$=p(8!Z8k#jpf zIU+b|sz{vS{`CgOz1VF_jmBkpvoLHAOV(8^v%dEt1k|a#zVjl~i!N8eUCezfpuX!v z+RvNgy}k9K%3My2h<8OMKR%3%os#vwpi>k|UDzYN+jS4_pFoc?;wJsYKt@ZzDfdb> zF`sa&Qh3@z$@ij{{?B7D$~EilH}9n!aT&75l{ux(kIrk)S%%KMRbz(2n+l%NX1yZ` z%>WHyXC3EiF<)jLpN2i+0)4prKy|#?a=nxtKq^s`G9fn+E+BS^R*H!#M?hAMtTNoK z5L4z(G)%h$ks_AG!21zUSxDV$M8M|$i4Dh)DW|TtyKmyWlIrImZ9O3C>L&kF)&+<E zXLea4;pSBVcR(?q-MXv*K`CWs*A9SEmZ^bK%AtUxlH+(B$~qfN0-nsAN0*xoQ7YSl zbB&r}YdY;Df3V70bTRh#$|Tp*pN}LxZ)_GGXk-^u_{zfuq)NuYgTwhsZp_(^Ym(Wm z5!AWHTw4@Z8Ir|@^-(W_g>z*j1LkYqG?=w_pljYF7=w4$yx(Bfn67zaU<`o2BVg7T z2RsNA4yIR_Nt3r6TJ4Brap!maYddDp-StJx_n)a@PsnMzjGV>BJ=!a}tz8;J);<!7 z#~gi=t8bp{=BuNB;vcn&Naylh{CKFl#piW?BjL!P?fUk6GJECMnXLP6kXNd>WzQnt zfRY%H5PH311tSqyWI?ajS((W2jXHCq7H>4GPN)C0bQ-?@VHVj}`P>`cD0=XH;;5Q; zqz~;4JYGW;Vn*p7+A-|}>hEX6P3}QXMo9(7<+gCUPR-Gj<*<3SoN?8T`c37?8?o$7 zyX?2|RE-v**|WO@w9%TpAj$9P<XJ&VGpmQpiYRc|cczbhI5{HT<`pmo3&C-TdDD}? z#cTFuR2D7-ysiJHLE3U+U_suJ%HclplzN+ful|<IwPI89tw_7r60F;0au>oy2{m9I zU48KaZ?P9im|lm5PKSz4hn`M{oKEL89e-eWRxDBQ%ddcgv|KOu5zX*b7P0trc;?*u z>EO(yS7jLH4ox3~q3+T9%vMnrkg=PE+h}2U(qVlp0T!So#*2U^ziAG%1|M*Z>oSa6 zk*rGKIidJPal&B^xw9*FIXn?&+$PpA>A?#0i>gU(&PZbX){(KLAI*HMslpY<f^I}t zqA3!mN9g1{TADUa!cs>chIk%e4>(7~un)EPMA}?=Z~*7160o3w1$axL3%J078n6H| zg=*dSS}2yX9;9z8|5Ex!jaMsb>0t3=;$rr~sQTcSB_pG(`irL@IoH=B^D`s;-WHEI zT{$<wc!Hjm*H$;R6I|n4^85NvlzqAhO;vn4iP$<%k^u<d+{THK67%igu&zS54C#Aj z`|v>ICk)KDDABxb-c6R?tIBgCP6TTx#ADj2x^9LBS7bxpQMB3{=Opxl%solD>psF1 z`<k)onz05}_@5BL)2Q_F@4koY`&!mHJi|773ZAB-mrpQK9`Z?SMzqOATft`eYJkJj zg>Ob@fvrqw4q>8?2^d3YnbHsn8KNV^m6!n)q5wH{(sS$FyYIX4eu2%Gz986geCZUb zOxqKLO5w(rfv7oYBf!N;A}9zM<ayxnIe7sk-IHg48<j#0FqoFJ1B5$-gWnD-JGYlj zcLid40Aml)%CZIceeeCBTZ&*y@1Fp{U+(~=^0ytKM7%SjETE0^20hZ&T$up_lDVRt zYCs&<N2^`)7!coSJo*JO<*lk2HT8I?hLM9-V`yuh&6Ns$m#im%#^tdv)Nj3z0*(*7 zf&l>@Pbna=)1tP6CgBD9L%pXbz;E5K$XtdTMLQruennHVA&#>)=e%UPg9YoVrMIA9 zv;cB7BSj;f+0J)+kkk#;J32-HlDbycq@IBXNnO+VUd|B<tDA4p@qIOrhJM?2F;A>l z(cvF{Xy4EOK-j-psMYTWgmCf={qHs&6m{#a1COEPJV5h51!B<w8Q#^r5Ehi2-`sZd z|C5}5_E<v~)Y^H)iIg7P@@!nTY@g?>1$fR-gAB<=I;J0vF+vvdG0CVdK>h1zQxn<A z#{n$<Sv_^sB%szCpAbg|g4iF_dh&0869kM?B|20<&bQTBA8^$i;qh*@eF()}({LFQ zPuY-RtXWc~B%ZaU!C#D(zhb&DleyPqW)cCi%FfJ4J+Q8S?bq$3{;t_S79GZhYS#x| zimDmTLIF9dy~M!#^zvab0})uH&{aSl(@&7<ctf?@`WRRcQUt0#166$iT<~4r%0}4V zetX{$_VJ6`i5we5P5Y=beEe|<adF4j?>WljYcGamT#4i-28%CFM#iU&K&oz19%)OM zpOzs9vHljq=cZX)rK1Mx$^8Xn(u9t7=W4Zj2UZy`3pHTV-4o1y^aod^)xECR(mOlX zovthvc{-_`zH7x@%!1{zRisIt11fh??e3N&QDxu?b6soRP!W03g9#}$9Iwn@Q{t~R zA7e9Wb#VOQu=1tvr8I7tE69GhcbD;w7cY;#wvUA`zZp2f$klEyq9xgiNQrVGLYo29 zf8W&Gi+Vw4T?4*0(jLoN7rIX&U$nt|!0AGiFcI1zP(d6Xsa-|{97wz-;t3F54ltMd zok%$Bm4Orh+`skxvf!rbA=7Vpl8FHvcid<nCZP2Wi0^<xN%{cet@1Xl$Ckx9%q7QE z?NBK*aKf?V(q3d5nl0wBrx|(i3y(nP<UmrydIK|?!hiF1V45i7P?LO!D+8rRo$vby zf-Ye=B&NOH@C#>5z-RmBDH>64l_U2O5<6hC{q?hHD8w~_!ehz1>np(^D$zhyCCic+ zWgu!Uc^{|=E7bKRg-5m*aBmcqXr$_#eklMGxTo9KBp>Qp{lWu?Rr*RWiApq4HSu_9 z1}ZUR{Vg%9r^Q?VQ~&9~_4B+C<^0DH2G;`g2qXJEZjDbvNf?U+ydR;WJ)OqZFl`c( zt)fwoJ~%cWSx5&`0{=2$xvIo>Ll7^^P*E}GRmIrD&FGa<bMt0<V#b@-I_>u3ZkkRM zEMIZ~Z;8vi7)-xy-T@;pUTc-_*jzF%qCE~li8RVFuSbbJrN1iC()=1I+v|e&W?S<_ zzrKcyHAOApkaeA$yn@R#VK3x!b!jrH3YcP0ve(Rz2$BRk)hnwZdV)8jXGj#BbgK8G zh6o+69RH)b+lWE%zS?JtjGxmEgb0zl;IA2ojZd=autz)-GHEC*BGU<&$kWh#o()6- z*QUU=Iy3n-aBVRRy5<W-3fsDVHNe_gRGDxJ*)={g&|J{Xy&g-L>jPV2)GpS($>?_C zhRkz;27!pQ6P^SyZIAmS`}5wj*1g`Gl>G`)%Z8nKOH0so&GnH|%K0$X{ob$LqN!U; z$C-kjgzJB73ib3PF0ZctVH@5WnsAAK0{2)Y-1uViYHQ`<DDOqiD(J%L*uzora4fIL zkU@PJkSSiA{BXTL9Rc0W4Nc8Jjw|i45WTmkfgng}u6#cGu^bt~F&2^(bosQH7cLt; z@kqraS%piib!l69bQ`zscL#G54EEhhDk>9Uuqp#v+Gz=fgv09kdBNo_^rr#L2tA{X zHa+k!S7S`(N;l}ZYPnP1jAMQDsAL2tS$?w^ze1d9L9GinXj5C4nQ!3e9UpH!yO?u} zQ(c>Zl=fZOxR>+D7M7eU@qA55Hb2Ff7vP@S>X)M^S?=RJ{XcQQ<k0ZnJYc#Ws7<KN z*yo*Jk(vH45+XJJ9uaA41C5BJ6Jg_J?VO|GXYBk;ZTpw=v%qxBkik;BzUPLgxzm_i zP59k*y)G<u;;@vAF{^yER>9c4l9(I_X(ZuIhVf24M?(Vm1vM7uM{v$vqZ@McJH_6B z3BFtH<I8(sC{yIg#mOrR(rlBTxo@EI(e5LDr|VP&vO4!KuQ2C%)1N-JOaVRdt;7HW zXIPRAz!}z(32>|xf)4~!t_3uI{x;QRrmS2E1}&iw;5ffAwOuc7<gdyfO9GF>{<?8s zqjdvU9bn3cWEWMC+q{Lt5248_ILE$7%9ls1?IzHNuhak%Tdm&Ha={`j5k2Z4_ZxW9 zUeNLc_`?C_TYel{yjlh66My^a<D7vd4Vah)Ett9rIYj<bu-o@0Y--q&r!X@?o_oSg zj&C+xmKPgx!s*8b8hKAYl4*j0vo1VzbT!RCz1j(_W?<|I%o(b@iJjj6B5!gyI?w7f z-5h+OfvJh$7}Ddw5AM&wgn%adNyBrf?~$YP)R>gw43@YW-vDP>xcVRCYF5b9zCcsy zrq5k#{zE8TIlYekZ)W=!hkH2r|JzhL&_Bh}{m-Y;f&M9$?w=i<{}ZWnpnr;``=3vx z1N~Dh-G4uo&I|9xIJejJ$a`dD#xZRZ5Y%T9-to?YfWv~ytFy!9J>A=1>vIm+_^Ia~ z8fD<OK_rbPD$@;$;m)B8dKHPxiYg!)2*hlek*4%|eDKuW@%X^%*!7g^!!o>J;q)I= zHQu*8XEOPGP`HW0?e5v#@!nh|!~OMUD``a)C{HEH=DKgyJ<a2A`VeH7ug7={J0nbL zQ+i9;$c?FBnAVBnj<q9VeN0<0Or4nz@?eOh+}e@k9j)tioxV=0sC1JX!=1c2;=D5E zN;i$B``|iC($MnZ*Ka<$bxZ|taZ1t$RD}(P$VTAP)ay3_;c!(47P}SnUe17+v^}Ya z96BPe@lker2K$FIX6H9}@3vnUdx}{T?TR18g@H#9yu|9&(_6X9@t0RYwnt|se+I<8 zeFhzm*SI<Gu7iepj6gTE^T_6wcE$oaAJ0b}(30AeFH4<8<<(5piHyyfHh>g6>BkO} zKO|KtQV%5Mu>>dQsxkjfPik4L2*L1not<S<{KKsIALOLM?^#2C>q!fDp!Q9fJ)}oJ zyRU%R^IkM$-6D)5r=|AK!oC6laf{QJ-26%?Qj}sIPctPEJwF4E&IfL`{}>!mjERdd zF74`%3XO~-0CnV%Yx>N9r!yQ;R`$p_-xWSku5*W0${o057Bcj?dwGvEF*&MxQePJ< z;5DV{Gg6yEim!GjVoFDZGUsQV(w?k532$B%Y@AIpN6j02Fl6d4JQy-rP#a9wEYhP0 zIn18sj}7CAIa9Jh!{CBz=<fY9@?SdfK|nGnk6s`sS&(^Y+pfCR(5l6O{NxxP_jS6V zQi~jUCM^d+kYSfa`FhN0s0+`+@)?9KG@3pQ<sF8~5%PBK8Tn+k?tc-It3b@pwfX@Y z+ztU)Qit}e9TW+jzo=7@d|=TZy7>L~Vg+tj>+6K}e5%!AP+$qebKlp%EIjFNH^?A1 z{nGDanP%f<H_^Jk+@ov#NYh%7NIH#DtR8C_m9Xud0Kp5xL8!ZW3xD`9m$7UJS^@PZ zb_Q1PoLhbMg2&COdp`O%oNMGU$BB`Y#DasyoyDdHPbMnVlWE0FrLbd+)qc)e1l4bX za^+Z5T;;B4uY%NjN*YiUs5O#rnge#%xh-lzly~#Xug2LA+rrX))fH26Xy1mO+3q1g zvbZ*~m9Bi%JGd$pZwJ0<48Q(znpa!D=VOA%&O~8$yLu`WS~Q@!ZQ!-F)*2A-0<-)6 z%D$GEwEhREyET0Yk5khcrOY?DzNH-m^0N9?5wJ5w;K{RfZ|HizYr1FG@GPF!Mb|yb z^n#OhxzXitzq-_%A?%fyA;^{(zhbNJr(x%~T4fI7<9+NgqyxPf%$&j5R65dBKJ!kL zcdQ#lM}@hKA85{%LBRhP<cWoXH?9G$M2&UC;mVLhqv8YBT$RSsAsgO`@k34yP~-io z%IB<Hb=X;+#P5(^$xq|CC%`Gco865*7<9PVSc@^_@ZqP_$q8EW&>{j$^y$oPYhyjl z(P7fhrS?(vJkL$7JCE}Qs_Eo?CBwyka)736{m^Q>X7moVp<?TqT3OxQ8H3z_*7#02 zX4yNT^Y3^9<UvEG4CSuX^*y5=@zI3azCZQwrI*+E4djgr<4$ano2LnkzNqW=o4;@J zf>R4zF&y%M>&Mrw4Z3LiT1+mQ@hD-OiZopMC87_SzCber$W(=f|HhzRxR`4e<xD-X z6!%Mln`@%c5*KCa8B(v>$|td}lYKA4$Y2OwGCLS?Ny>q2to)GP>8hH3PEuNKc^Xe9 zeCKaEf^g+$iC?z-v=*VFmm1lRq+3EZQAnZc5;tpD(I6wxrc_qrSIdluqYb|~5<^Ro zziv1gN4QPaa^gfYYU5!X!3QA`$dGVxi^>?;RMIgXD&nqr-&+#3>Zp{llBI;nGlI|* z-Ro0}^b93XJJQkfvyXlr^kv4+18AouGhXJNZ5ci8bodr;Q_HUiw|lJ97Q6GETkd6F zTnmpYR{CSt%JF%h<_*w9_4>4oo5<TQVcvR3H0qtN2L*D39=SKA;ZddAVizc2Z{P=^ z!<<z6X~hMG@s*+TrHYYq!Vh+0x#+&&92?{u@k}<_0Acc{NN@N(@n~z-P47rCp=~6R z)~LPI{T!(Di7`yIJ?*o&>yZ@d_zX2}5XBGM4qwcE^#LazZ05`?oaIUwm8s_4g-9~C zN9Ff}cf3Dg&CGOx8H`nEWv~?KXn^5DBxI;tgE@rMc&I5+e1<BE+1s_Mv_7`-$F!`e zWr<&z6{uEfu4NbY5Z7YLHPvKV(3dOI;Yp1jF@X_Er453(F+8D3E&Kopjc7*s4NaC8 zp~<2#*BsWDr)JID`)8u*z=4ARr!msLWGG(O5EoHDd+>yoI7M>s(boF?`ktoiENdj| zVB@EiR#WOGddkSi@9oVnPD7MFKl>=0y_yb?KZC;S-cg5j?uXPnmkQin>pCjDxK`HG zY_IOFJ?tXjT{%`%aa7WtEZ9XmP1n{bTo00KPn<&Iwd&GWXbw2F`5xe`%w=Y)J+Q_7 zIG&_2JXCunqSj~Ol$Yvg^_!m0f)ZiM`FyQbvi_xD3T(yRzJq@{P3+j^QfD%u6GK77 z1Tm<dgSP;0y4~e^uJO6^02^fFxZS2$Jp~8iQoKcQ6g5(Q<5!8rFkZrB7kG8xCVyGP zaESXl0xZJx{mb#OHoB}8q8Wo0ec0EDWqP65(_c>-zJ~{|4%F8_I|8e0I*2x2E|+&4 zemWg7c6YJ6T4vb3s#Cu|KCqjbTN_wqpoV}_?pS5CZeIKBV?a{S!F)HT;Cl!5k{m-P z-B8Iw+;!@j3i<tT@K5~y9_e3&_S-=q=Y1ObO7?Q7iY_+T-rd1vzH0M2Awf1Fcz{96 zz0SzHta1}GaG6a^9f&gV#4r-iPav38d{lr9aY0K52Xxv87$?WH7s*SM9NJ~$gKEx; z7xwnBqa6s>S&MBd8pSM?@v{zDV!frbwTOvE@8TCn;P>Ay4Lyrx9_&fVwqR%jrN0PF z89G`VqqlJW)Vk<d=cU|R-ZwFL-BP6=B<)A4sO)AeuNM>=a4r`+$#@N;AH$DH{2_Ky ziHmHzy4?TM#BM<334cGe!>Y$Caq-|Z$y`1%kx86wC9V)f#Q^Gy(!5!#lfy6)_Op<u z7(}k$gOS2`+B@JnNoJP45{}CwtZXBhu`T+gL|ghco8DJ(AmJg2kv>@mGlrrOJ#ETF z>Zi3i!Ad7#FCBEw3gg9@05@f0S%W%U7*N|9xsvcc)xUe@U&cs{tI~l&vy7m&uj`9N zX=rK%InFSWtE(QHRb<{_$1b*2zj=~R|AvEE@nXbYQ`JW9`+*^K?T-^gL=aO23gKL; zo$A&55A7R+Dhy2imV>T_I2oqOj92<N84AjbE_yf_T)@>QoD2$OMt^GB4$!3Da#YAs z)_FXQD6%Hw(fXGNZk3Rq)t@r^a3~B5pFgn`8Hj~kC|0jWgkXPSr)(v~-|HIeu@Vhq z7*0c#{rUXUJAd^P{5ASFLJU`KE_kdpd)--*bEosIh7|)wPi;!}E1Yb;0k3M{HC1u3 zafB0`9~;1zEbLfbfuS7ybZSFdDVQ4X<HIYQbru&y1zrq8iwE&&7b3&1Yy`6My)2Fn zszFrk5c-re2T$p7moLyRlgffQjH>P<B$IZ^d(K;fd*896U2|ITWoX%yD{&>eygdry z92{P_e&Vj$9$c~L5UOFqLcvgkzbW6>6>1{-N%37tdVB=-*ooWsY-%AEo|xQCXDR6- zW0s5*m66hv_+-%4=!rpEm?akHtJbHR?!V%PunO_(7vqNz3h{3j;)h%d@HHpm2QAA3 z_9r&xKDAjOeYcW-i#UiZN{$;M_~yBv>RaSuvS*(#BrSd-iyF~APb=5)9rwmkN9p)( zCV<h4!1D(0<j2RsR-1>5GTf6v^+6$10gM6!o-*7JEYOuVBe(Y3jx`ifq*NU}Qv?dM z*UydLH@_E>5T$&*Y2T1JaT%$#F6olQ@J|=`_=4SCm=dD?a!gFVkrCw4A*gA3dXHc7 z^nefL=Sj+&sh2hsaKk*p^h7vTQX3DGQhG0K^xvaLZSE1ZzjR9Ws%Ffu7e^Nsf3-&G zlPqdo*!!6KYsYNg==^lEwCT2=;RT2P<DNxb<~7z{_?}5tDsQGqafH{s(#GD=#nLA5 ztIsk~IS|8`SiObi`uTf0w0>0Hg<^5TAj7OLzGYh^>fo3FSKFi6gYt0=sopudZG~7Q zs=kjZA@OSyCOM#1vmEFSo=?`|Ly^Aw3QCi?sI9v^yBo>A0f5h%gZ1TpA7>IRFq)i? z+S@K{!9rP`PchsnM4L6~9HV!S*POKSI5fa1POEb7+PR3{!jj?6DtVZD7~NPaeC74# zf;$6PhTQm;bL-=&);R?DY&u`qyR&z{%#k@~ILuR6ZbVzyZ?mlPxFP*UYur=Eudrdh zTrqLe3MaH?wtJyYvVT>>Gk|kGNUfKzixDY2y;EpxGS9}c+bVjcG4fpkV+VBUa3hlh zaT@@8f5j40ZB2(E-nA*C&ix$OPpzJ}HZ&9(+jSx%W$tuJRIirh#&g*Vp}g}=vrf(u zzsTg1C4|U)n^0H9DXvo|E!{D=q}*jQk^e9zej@sIN;Y@t4PfWs>~_1)taP-+8i4`x zq+yZ6u!HFhu{e>3qfH)5UKg5Q?1NRKuo%flPTGX`i_%8jFCDH5e;v;j6e511r)RLt z8nm0cxhT=f0qgi-vCDm$eDC&BYR~;<C}9lVqhUt%%1kf5u1-Qs|6AD6tVX8RwT#%Y z8s~OvVm#l?naHYt%=;eJO0lK5&4Rb)2!}4WS-Ap@=J5=L_qEkTD78Ca#%L_1N*;eK z9vINOG;~-S8C%SkzjEaz#lcmTbjJITstO9`ZyS|C)xuAv?9`)r0=X4yI})VfZRKap zZ~SsJXcdhjj;D^Mc8RP{Qor)v(1N-e<!q<ChT4pEY`9RVe>x=0ajk^pOz=+cp)gz_ zthpo~pshK%9e4@J1R|1-o0^lXsf~ZU`!N85_TJH|a23dEngg`=W^1qHl0thg^U?3# z`w4YnVHZ_I=?&!A&rJbh54WGia>QwWRpL-gVt0*4v43e`@6w=3ue@FQNr^#OQ^>=n zCbsc_b*Wul*&kh=G?{_s{`BSii<d_w?-6&aC)nXC@EGvKy0)#s<h|-xNpC}ISFMY0 zs?RGv-5t^JY=DJju$U3^Ih*kv&B5+6Sel@Bz2dCN{Vlb~MwV1vq1ZEMNr}7rLkUWv z#%`gP-J87-AD?OMr*83!j!r!BEs@!7;v-V;IaLGig+(y=GFF=vegM5rvH|FI<LqTX zud~#u)ekbiCi$b+72KWyy)NqLuU=Ql^t;z-_dfJGS?aTTw^zS=ossCI<B}5BjtaEb zjgSGo4n~56-2u-UgQ(WL1}SWgdLFLRB4pny;T<8+>p01=Ey8|@we)!%1HDe280d91 zOAoyc+c)Z=*O6=f+3Os^joDbX1j$0WfuMUlhBoyslD~I4+E}nu4%T!0kau{oL<BT9 zm-aw^dj<5jCz`4*a6WvlVD}s>C!A<Gyx256ci4PD34gvaoVDk&f3{bN{2dDxhJ<x& z#zmuj<FK4q#%5%Cse7!hU2MYkYRz*=M8gULOp}YH2}2JK%*84&^;i+(6DvtKLz-W> z=pR^ht7f7M=(ckVTf&~boSD^_o~J~5@vL;+Q8t6!Ob0$WQpY0N%yM@zyZp4}-3^ft zy#9eN{P7|xtiBoHQvv*)Oz-H`Y-WL;Nh%g^rdvf9B7HOXpwvXK=+I-`%G5mQC!d02 zv#v!GN63gTcnyLT2sZV?q5{1`I3d|u7yjCRIMXMw9k7KJe%PCRd{<mDm$SR<&~S)} zF|s}G&bL3-zPsB_+J7u1Hf^1~9l<SoQM<o7{F<^rTPz83=V5<re0(=E*I3AKKe~DM zQp?`trt;Ty(naV*_&tT~OX+WTM#%N%vuE)jC!Mau{?-y}40pi}f-?}AcxGSz@>C2G zL1$Z$m{V}%>u&{Tw|rKn2Qz(hYuoGBuJe1XKBP9zq@D74XU6&Q68rTSTgM1)ww3mw zLONOv=cLx_w1JcfKW~tlo^wvW)R$H0<W4bJcZ1`cUNw^a&`FsjTPBpr!&x(g{cS!d z2(~CWgAEd0L=AF|X-lDd%iLee{3PXM-T3p^;jB6e<VCE?_UG8SHz}F7qI42@PSw-M zRM)RrinFL(X)4FOv6^8(v^N&rCUOu4wNT^Bm#r)Ak#j3z0!I<Oj6ZSEhv1~I+LCU_ z?*$9Gz6zW<*;=3PI+V&pHkqJqo3u!T6w1{~@v{unf;1Es!z*;iBu8>pYZqZ0QleQ` zX?8#2MmAKcj+NeG%n*Dy8M0ttXwWJv2!Gx(++eEF?7F_JiJLwKW>mwX0~PDh_02wf zs^+E+%x#&ZZY&)gDI-fy^o28Q+g~kl-Lgr$4BsI#fHh$etJ@^$o#AcDPXey0IXziA zz9?W?R?Hz;;S9UyKQDnZUly3yW>&eQXv8k0XuzgA=e^tLGlAt>Fn{CD$|S9&m6cH< zCFZ<G_o2u=C*$%>(y1;;rjOL)I^CvKf>J+WhQj*iiE><pw^RMy@M!7+Yaiak{oKc} ziOn(vqAuQ6wk`ba^Mo1gda9$%zHD*cp_-T<v#X>je0G9;vu>4I_-TDXt3Bdkn@*Xb zaU830%l=y7+~3<*9!*e)kK9hVaf@HEXhse1-=Q&aKtgTLa`)bXPNo#J5)UD7wbzRY z$SN^O(UJ-D-Xo1Ze}~J=!bJej5~mfJ(#Y6GBG7pMk<wi3n$V@QlVI{mZQYd2$ss!8 z<W*{UHk$c?{+$OO;}`yY^!iPzbz*o78s~#@kAl{HH@i6Q^v9nrkia&IV&k8Jf8>-o zwil1czn@=GBG5g$0u>|?c(*@m@LNDVueEEgiS7ww8YoJ{C7aNsQZG_9Atn%~^?3GZ z$)axt`&rxwxi#n3E~?I)HJYr)rn^L=;BmQ}p48LI@KNi=<Km;5$#in5o_HY+4f!=A znGn(A<iUfl3$SaP*m~leBqYX>9v2nsW}i{h297p9caUEeC4y{O*8Djh=UB}KvSFD@ zwIUJ{Bf)Smat30L-{djY68r=c!>_ollS!zKWOrm5TlI_z@XLHgPxoaZH2LX=XebEW zPFy3CXi><Bjik4>)EJ&uu{IYoVj8l1Y8!-IvROtIgXR3)A(SoQ@mhM-%ms>UrSkW| z7we^~r868$8z2F4_X`#1-e|1^E+a_o-)xHi?Vc$6-)oBhW={+Z#ipi6z2$K!?Rgbi z#K_0t?rd)*cWvq|`}79ANK8RBlD9}YlDW?})5q^ZRuTU2ojM6O!geg!cNMLyBh9?Q z-{W|ij@I2s?J!FuB4#%yzq_@E#*2(IGha=0+}0HB7%3XSd~6{8znY-H|7W`(=wEih z<9D}LfqgJg6h!jr-$g<H4hYf&dHf$oLH`yA`t%P-^uL3GZo9&vC};}3?*EB`s*WqG zE6boL=#|01J^+Fyn~dOxnJRkADmz25XklT3z{lZO;~?9HziscQ$%jdZ=Zu1+_S=5c zstf>|xSolq#yW{5+2;i7YVp3ZSI1?=TTT`Qeo9!qkW<E9i&)uVj||+GN_8L25u$FR z<0n5v@x;VWe;5J&p88O_POr2rA}hi`@O6Z_^oh32*^$YH!khLDxMd=?Yc!?MdC*>s z?JXzdbxvvrrJ|r<7Nuf##(@ZCX@cm>-kJejuu2U_EsV*k2Rwg4z9Vc1OkSWg{d97n zc7gtZr|SBtr!w$T6o392{gx^)7%p|lndkSbhC~UPA$ipDN_*8$zM%&tBc!KRNPfPC z(Y1?zO2Zz_5Xh1&XGH}a#{*%KyJ)T6h$`c)(;uB#^h~X;K8V_}k-MS~ZCO_X!rsK% zB|oLt+MZ=<uHx0C2$Qh6-K>cHfifD8LSwUu%nRl>Di@T;r7%9YfR+%%x}r#YTKzoc z`<W1>jd*anXNacGZA;98j{RLzSW2mfhWv=wo)|=*Y~x8&v0bTmZp>{LzG=kBC?j`j zq{%^g^v%1<GSibG)Vh$*Qt3OW{k{C-Tru|$JijlV{by~g2!hr{BrLpRkMV59rooI? zEIM39W7q!1XxciJ`CcWzAP!j37BB_0MCM?=A}n4B9FIypmhWTW{Eo7lNZljeZi}N| zI1K;geq;F0{e~93!{O<Y!R=usMv$r=xU&cgV4)$I&wGF!MS}nJ+Q>(z+Pl#eb2g03 zg<2vJQ|jc&57DaO1UB{}UFog)u1|Q{lkApBu6!jrW-6bZ4s2;Gy)4<Kfz;>wq$9=U z;Z|H9?Vf0kka0UgATW8sy}dIPW2PQ%YDH0a3!9C`nH7!Az4~BJ0s6y8NfNJ*bhl|9 zpbGTV%By>|1-I*I_#`glP4Nt=Yf;LlK_>U@!Jq-n0uJ^Uut|4)GsPch=YP66m=svM zCVF4c6kk6oG^-6)GIAImXV!#nSj>HHB+As5XmxG&m&51tsSb|kI))9aMpjmpIB9zA zqSxnNoK8D(#t#guk*<y2{HkQ=k)&k=!B<uDV2c6Xd1J`%#5RNU_Qg#0<<eDSLhm7G zA7{H4iS&gi&*xhY+iS>#FmL@7b2s(09KsvN%g%L-B)QVYN)5R1!L>G<)yxb#?s%lt zkB-LmZkqb=T&vOh(IY#nV<(HLmyK5xyq#Qz@qJX)j>H`@$sD75V-9k`m&}DA)?wst zX1vLixBgO5!=#M(dOSX?EQ2{Ut%;jo0}+two^=<sxWV<CXwM0{F*})joqCnp5x0_& zJ2gc1y&3h@#U)|s2dtCp0g+(`U+|!*^|-3h<Z7Qodbs1;rg0=XRpzqhTZStkB6)Zh z$Bn*0&V4c`xo#gWU8B#R`^5sfK@?xe{6P$5-Go=&gy`n&!fd*?cke$mzf>EGC^w?n zY1q}Yrh3@vj41;<9q2}<;py*<4tzIsqf??qy>e0CD;z~2Ss+AV+#a4MFk5hn2am8; zW{?=>!WE_M_^~x=^6Gi0cG{&28`I#I8L_RZ1$&%_ea;(D;oAVw%JiQ@L(fCkc+!3; zHzNEz)#-|~<K`ZwyiIJ;a>e@m*u6qI#pg*kXf@H6g{?u5-EUd64gsgb=8I0oG8q{j zJ8HUbxlD`dORSF+zsSfY=%Cj(&8jz;J7MgPyH+3jywWW&c{|Pwufhymmp}1&^@PFt zM|9wsAsZ;B(Of!o>ZXcSxR&Avdy^$a>2ZkEcppx17w)9`XYcoh<)(Gw;%~Fs=Q{S` zDvC3D7jy>MJ>x)c8^ezu5zo|k-sw*wg|t21gwrf|>x)HGO)k`qB_#SPE{?Z521~QG zV6!~ix7{tCqZ?PDWsOJwNdg-x_{XpPh-^mjHY7^WF?xwF9ey)5>lyM=PoRV1F*=j< z4?SNS1lx-kT8f?T5s+Oy5hIl!BH@kOPlJvEZcxgH>EANMz{k$}{qik$T6<4mqZth_ z5A$-rnTN+pKflc_!UcR}#c@+*2ylrrEN^8!Kh5v=N!>iWp1cqtsph)S-2ePSJ6W9d z0;E}f=)7OQy{Z<=&~b1(*Dt5oUc#w;Gim!kJk(^cb>^H3)~Z@I{=3|RlC{TO@2@BQ zp1bY6?N~=Hv-({nyxh%rr%?8x5-bR?4|Dw+Fl^wUKrCj2vlCQ?d~j<Zz(24^K=}t| zp>y7|nK@1GVT)zd^4<SAs$J%RYyZDg`~Rid|Nl(2KmX6DcJPWG8W|Sy2Y(_sF?>RS z7q1M-^glDGRuX)I(n_ATR&j(@=*x+wV4crc9*k@<Z!*Qz!UaFQz_^IYuUuadv6L-J z@uPwgsMI%_^KP#9#tI(S7uL45RX67bN!j2ZN?7M0hwOSWF*fxVBV3IByJNN|1~Esc zD-1=E7f<~=oMWlf_couc036Nh<NwIfa0bmk1~?j}M170*O4Q%>Q$|N)<M(n$f5yi1 zIOeJ|xX`!^c_wCmlfJMi`Csh4byQXF+BQl_*OKm*kW{+6OHx`&N(7V+C(_a)vOu~+ z5T%hWX=$WOy1V0>3;pfwZ=d~+@7>>d&pH2`F_>em>%Oo1nfDV8pLIXiTnnlzm1@Q; zOGUg=HSUmSd+7QjdE<wkiU4qj=U)2VyDSXp4=4gT{Nks%S@cGIuxn}ud&jZV@1M}Y z*;>}&J;79$dG=!9*!jwq%^#&zuo$Hbys{LV<(*dfBn-*nBEE0H-(I6fdEkcC`#q8D z84E}FzP2&3rPd5Z=>BNLxD;~NOo1K0c?)N8xoP~5Db5}{2V#JbyQ+k8bh+yzje-9V z^g%`q+W5;5ov3asZ~KKQ0**q(GlZ<(38k(prba?}l?pCLS?37^>oV>oYr7-ahO3H_ zr=HP+7kC`}k|~G8<m`tBu5nz}k{kK*Gs_+IsqZY6lK7@B3KK-$M6xedDR5?HnKW2G zs2&iL-7$8vJo5pFv$2{kv;{=qI`CV4=<ayigulG<_$YaGUp7=yUS!?8mPrfAxq`8R z88_7HC~q%cwklp|us(dC7=BXX;|!m&-6=wiB~~n!NqJbBGlsR%yftElzphWG?Pe&; zI5f5~#wX~7lB!3{2(^w$3294);xU8PXN%PIshD#3efLhlmh&TrfThAQga0q<K$z@Q z%t#uHJhZPA)OkT)(;4NrNC!2KzfYcl^5O7}(3#YAp#EDL|INMSh3(0$r^yztM~Fv9 z0^SoFrMo8h+NQYOROB>L**A&D<!F9!Z9?1>Ww!6qo~UM}_i^}AV0A%N!IQO`Ic;q0 zU59R6r-x~3Gh2T26Z*mm!UJyr&9j1#!`DLFtRU=w*20F%hx<2gO?b2)zxp3Ls3;!F z`tQ}pfPWOoxN*9;+Og^=J=DWG;Xld6<Xn`VxyKyZeZ7}GdqrmPLWgW+Q*+vMwKTVu z|EI2L{daR7@#i$6q{2KM(b#!hPg$*PUAa+hIqT@f0P_$LzgIWa@)MEAe20-yJfHDg zJxY^Te^Ow6K9aCgA)7D{acxK?mPvDy4xVv-cYy7O@?Q8<zhQLVO;k{s@(~i(rN5Fu z0iE3!nK8|YnuW17CVGc`mIzLdt5H>~CNuQeW{02)qpwel6fNZvR-g`<5CXs+4ZUYA znxEpx75VdaRS;vtp=dU^P1I+4kn`qtn|Suv!|2%n?mf%i^rRxDm)wl9nI3A^?i~*B zFDcMU=qYfbxD~{_Ctp@@1@&8b9CH1PzSR9*P5QEhJV2BGd23<_PmZa7M5MoO5;27| zn%%3Bcq6RuMS`duXWu1aKLa@`5eh?dvH76?8#(Cb9gfGqIyNQ$zgG_Xdo?VxanY4n zHGYe?#;t=yt=Ok*n#lMNqvuF?o=l);?-bmrCE;R;7XFwJ?kO#-zBEl8@xbNIccLoR z>6Y3;ZrJcqZs^byLvHxUYrR<KRwvxO-$q<tX%`1Ug9mJawtY4$Yif|Pr<u6mCuYH7 zcKF~a>A|}ps4fahdBeR^?xvkxPwqelm9v<fglOl_xHoS?sb9%<`{zEH-fxZbhW9`$ za<Wb=PM@uH?Y=vCERt7vI+)RM*Wh9+o%IXj65_AQ6zdlUOL16js;xc_hhgn?lG>)% znK}?dC<WC3`oll(w0?9QE6&4K+s&1znqNhX6?WWYUjUD4CY`~f8ns&RsD^kJk<W^k zc7EAel75Q3B`P2haRofHDHFtw6m@RHS~+9g85fRP5@78!@!0M<(8(z+=~vcWBH%wq zWyDF+U0jv=D(v_){XmhzXFCZ+mBWpv8EAJrJ4SZC+QM2Tw001$kYL`R7(Zc13cjaH z{^s5fvN!j1!RsPI`dzXt0SQL0Gm-Jzfg!FtD+Ht>6q=4tzwC8Iq(mz43#7)Zu6ip* zu7%lzAS;W@W>!3_sYdc-*qHV=w5aovh8mPJ6^aBm<KA3z7>ATci(uNAtFnFy2Z*%3 zVU*~w2LBhL2okGbqKJbH!&^~AF5cH$QN(f9e=dsncbW*`KNdwWr{9VqkRJb26tOj3 z`QH&m{2NU~Fmyf=E8#yDMLa90{CA>=|I>2de<X@9`kyZc{y!;-`0tbh4gXOOL=|Re znqnINyC?z;ZMli$--#kD&+`xYyT(xON(dk_ldZJiGMOVjMOs3WANTZO`S5_(LrOEH z3A*P8erpcC1?Gqdx%so_zE;ABBvM%9kzBlx=7--q!<UY&Xuhq^kf!XBTVQ(J0qyNF z55LbZA{2P=fAeP~5=b%-FCvTFo2!<=&LzY(ulCoE-kZyp!L}ld)}159Nzq$$?D3yK zC;!=GZj9Y7oLAJyMJc?z1R9^Qx{YH#fB^u8!p()<d%EZ2QAULE%@0Z4gw6SvC2pJM zasUHEzD&&V$$RXk@df)+E)vRj`ODP_kRPRuA}Dz5e&!2qp&T}(X2zjBS$3TAXX;k5 z@()Le@_Na?E%E9#*z#0jixW<PH<)uf7<Q6Ih~4hKTg4arld_eV4>05ZMc4=Plvqd^ zkuE~a-S%sl@_k91izbw!Ov|PjKy8(mfEct)^WF8iUpA{(f<%|PkX+U?H-|5=OX8N% z546zU#BM<}SS{B=K^GgnM#TnPT*+p|9cHPcK37G2%bJFeY*xAJ$FcUg(vK>F$-l%} z{_M(lCd3d*T{2zplWDg3AhMqho@kUx-0V)`XZ@svo~gb3hv%(G>QH}e2rGO$Z0}4+ zXKq=eHrc-A{gZ|==SR`StC^2slapa$Nh=AFWj~dF(0QcnLf5n*LO^n`C9IYg&a){I zBcG_(UV0ygJMxW5)Gi@|<^zMR3t(<^t}?Nv_ebB!N$qqnirC`5Xk@0=*3e^~_cLBp z!z&o4%g;ARgBxqTcf=OH>*6Z#CY<5;aygeNb*1D)dWeo_kc-RJfb#hD_^g9Bt|4WA z?dhpE!99JY_)Ew<{GD)PiTOC_>QjgeyaKc<03wK$#mx8ul#Og}(SJ*FhT;T5IDzoh zH$~YAH5{|5vpfWc)dogb_(q1tGm7bJU(fa|>r{=sb8m7K)Fx)<mP(WwsiE$j3{m2r z;;z-jbWuKkd+db1&|3i(3?;ut5lFeF*|Y2&3HH9f=_vld!rJiPIN=12O#gc)oO%Df z9o&DqfkXQ4EZ<rw76cLcpB1p|TARh7+^cMHY<^ZbUMKb4-E%8*tA6)ZJc>5^B(fca zihnV{de^jWblEh;vUdsR0)W+#6e2F%%k?R3`CxUVbD5NLu2Z9O3A*29q{G)<8`saR zm<r7Fk~tO=^^1PVNAriE<zYu@lmDS~)Vi<k8_DN7_iBDg<ER=;KyP$Cz-Ef=RybNo zZ~zKNB~IGyB7U~5=MJ48t{%3Vj2?NEU$4xsmDKd6cAKc`h+Wwy)t+i1&r<1#QLqE2 z8yq)Vjus<m->dA%poVPU)tv1!XQ((59wxm{Y&O~$#k<*`(KdYUWZSUoH^WM_alUz2 z>oj!2$Ct`WlnR*Cp1Thq**FZ*;I=zNmVI?Efurh=dCbRCl@F)SpmRhj&4ClOfjuOA zKP**G71WIq&S;B!J(PGJ8;|fmAfo~P+d7*6VHr)(fp^@=vlJ+Q1Qx;nucrCmt7#Yj z#+Uz}%4vY(|F`8d1KNMeY1mW$V>wM6Jkn7GwLVm<7{ZJ|@CphPLkOTn0T6Pcq5t{_ z>jCgyN^io=A(v}8>tK%^XJCB`&w%u<L9^5+S5!Ucco(Mh+^Tm^rleBUJKZllGejTz zh}23~7+Lbv+T71QZQy))VyP+Ac9U8?GU7YXwzOoQNX#u(U-+XlZ0B&6+eYz%tk%#K zjd<}cNA)74V`PwOePtbP^znUFiP~{js>TsO{^ZMx4(u`&hI-@N>V2v+ZOy<X-R8xa zcB(o(mARFgi0nSaoX+l#&RTJ6Onr4S>*YJn+^N~6qCNR%Ee<AHk&oY)CiZT<<$vyZ zU)eyR))=s{?RQ9A4%@hS&fdO3zk`ob(!U$w`R)8_`B=C3jkjm1P0WV>li#u#K(d$I zr}Ftfbu+hR0i4^ifC2)nEO7PDvH%vjMT`#F&abjS-mkL2=)aT&*#9gG<o!_=_!Py{ z_^)MwIVIrg>XjM(>lq5qA=U3fsFYg!a~oIs!Lw(poF3AU)?FO-wY<ne86s0Po1IYe z+|20O&W}9Ji$?}RJV;^8-WUxe+iqz7F3j&KEbC1K*u+28D3=+385ZP@(Ya)TYn}ek zz`(?rE3@D{Jekn=^(Scx($GchtH9-r^p5y@&#Cf(pRZOdmt4NcNW~}d1iz>N50jF9 z9VXGh4wLBrI!xNN@0<QcESb7B&>k+eZz8brtS90nuIhXh#6fa2J`#&p5R``cIpZ=E zdgz$P@$k2=Q&X>qpgd~+Aj)%3&0jMYbPIyY(L%jP=BnKMbTBtB%A>nL3T^rASrS1N z>?o=6_9%(7dbRRxwKLjytp2;+kXo3Y)ClRNCQMIiW5A{r{r2Vb{@K>0)1mlVQF>Nb zIrLy^4%Ph0sE{v1&+Eiwk;Tj09}gx{Fgea;mOwcv=JM*ToHPn1C-s8KNqay!DNH%D z08~X0eOh|tcKaxY`Jx^*Oin8K@8qPwKjftMN<lfP-@iH1!TT*Io&C3RQk${A%Sn@k zKs71mt(+9w{2y}Cd-$I(TGn%0mT-Wpr3Q+~Lct&Z5SJeG+=@%Ru&j6PcWmK3{HKn_ z;7=XRQ<#osFqXsS1DXN~#$IY3>Vj37u)E|ao7%HsqG-PU(BO(Q(sQ3@m8@S9X8pTD zIoLv3zs%24cHI@y7ELR>i<%+Tb+<+j*}~FW4`+fjbef$?aS%~JEp-g*Af28qh&}td zpTv|eRCkN|$s>xCxxNs`mVtH_kGZkBHWA0or|V$t;=~=ST^QA)JpcYuy1BPA5Y&`r zP@(Y!575mokGYVO`{0K`O&zhwS(;QS`HMRS<lH>wdL&og3!ZZe;v^Yw+Xh6<?}N38 z^GULPw*DF4XZ{*cCP^$&V2Ef47c1U3T-JWv^(ES&;%E&@b%*Ck2z#aNqsKy!K$>eK z(^_0RJul+HZ%L00NjUPti7bjtu<7K#kIw0=$PJ{qzx-I8Z$0KjZ?;l)`mPTwFIea8 z=1x?9UQAP;?_+f~UBkk>mR1Bm<x8x6K75-MI*?v$Y=JqOcJiG?7Wh$UcG%4#n+v{l zu*kZBFKsNca)oA?YC7RxI=?ZJEGg~qXour}HCyZ>p!4`doba+uKJmWncSEkb%b_W3 zx_kLA`shd)S{>zs#2!q&O@BWhPHvJVMUoQF)%p~(e6>`{=y8zoVfAP5$CjtxDM7z( z)FswCqz>F$w3xNu<5+xPzqj}Tyh`l%2A1v<>apPf!f&>1?Wqb0O9v7Q2-VoDZZ9hP zDz<B7XtWgg?1g8&Apugy0L1>4q8T%u3)biG;8xWdH2KR*J^#>TaXacPk}~ArB*_h* zZ69a0>xgkPhYfpz%=RCy{GBRxVT`&5gj7a-YX)~hiR_yw+A4-^WsQv=4rYFMOEwbT zn)lQ~hKG)!VC_s@MJ>qIlSOmrApV2#kgcp(agLQ3j|gy-zt$^BF-z;L9>h3!(ae)c zXZX;wi6;}o@Zos_Pv(jK!>^xs(r0aPW{U^Bu0y7=)#o#wV5SlL<H3t3_+}DJt(0+7 z@dV-h`kaz>O{hh8=)mVH9*z4^#$k9qW?wa}P77YT1=eh<>E&s`qkfe77@pvh8gz7r zcg)@EpM>`kg=331b&R6Rl4@`cM*F=DiVc_77~JqyC_aEXnnLh_zdvI^C&lzzc;p$& z@iVhl#MWP}b)e=Q)fIVXXo-M3@KZ<(da%?LO@41^S|C)(k;?I9u8r3_@(}eN>^YH$ zh1J&(_osf<_9b=Y{)wZb7=61hF(I){2X}kSxlv=k*efGhetw6p5tlfK#B828jH=aj zSi0^M`vpSp_X1giS2c`A{Tp%*Y8PH~$<etMx8<|_pd4ZU2u!ZH$;klX%+kBU=40QY z4Zvc6!%yZ8;sP)JZ(~z%eeTE)f=Kznq62M!Rnj{swLcb-eoN;atpmq!6gBo2{FT1? zd|=h!Wf-|C8}+vrDCN{Yn>547zq3*o!%7IH9bl=ToB;NLzLq@FL)`%ODgU;9F#dSE zgv8=6Kn#A)kB7EE-}++(;_9P(pSu%W4Zp(qozPV{K^kiE6zc_0&i^o>`lqJV;TyCx z`P;LssAKRfEBMc|EUSxuJ<F0!uWdgYhrUw<waaoF?Q0O6T~JH?s@f&BB5Km3O-fj~ zSo`FvR+p%<k_h1M<Dsx=|L{p}-*A4X9vYStUG|E?MfLn*q&FAny>M{5`C5OenR@|# zu+86_3fgg7;4{X#j_Qfwwlq#-KCEyWv%CKCn&zb-PVYC7Lo^b*F*^FUyrq#gx|G)B zL=BYlY>GJ+6+cdH8jG47_}mhUQ+IYeYH)B~)h_vvOXpAIez9e#I}y$6Biikq9_5Jy zJkDl-s^ntom}d`k52&upR;`KNiKtK<co!s}V1Xb*M1kU+v*-YJ)bZ--Hw6nbMr7tX z&&ZiVjrx?!CGERFML&PvAieju)Z7UBsO9a-&n#vh<)Tl=d-oLz7{<6X+U%f^w-6Hb z>jSOBRCocEhl#sO797Pvq7541LY%6OrL!s{t6QIheiWB}c!6%KEXhExr6_4Bh;D+! zbz!YlQg}h`>XDsTN|rXdDF-+F!IQC(_(SN}3{*`QLWr>A3$@aP(8K#c!*wBa<c#c= z(r$PqRyakJP_omB*6RDlkKga#kxwiSi-o?;!Xkjq=|b)x?@?!;<QhUZbRiVzXAiv2 z$&EUkhD@L$F%W$KDz--0e_yr`ezNIfy#<e}%==9x#V4JjQq>H(!kxCbFafyfBZiB+ zERRe2)Sq`wz<JbK@WhWmlS=3>VkCYUSD`1<|Lz!z$Sl5_?2lutus@EmdJvSj3O@p9 z_qjZ)$J%~`B_6fUiqe~T=m`~n25TgX$vgzt))*)y+{vLl;6vjl3N~8oL*H#^*1W=W zMJ8`P7fHyNZ0U@td9EvCTiVRlCAY=D>&dO&b-l{(oP16x-{2+?I;txCC7bCBCC}n| zXtYeQ-pO6hP@y&0N_rn&>A<|6Is#O-Dp^cw>v-v*$ly5U=#Oo8R}Q(U`0v7=;mLzN z!!s$J^+zct?BA4P3{d(Fu5Jn)>V53}z9*NJp}v~nYaVJZxFT|378NV6k(H$)uqnNF z)oo>v^}PgNB&l-ea8S#KJW1SD1{he&)6-8@4X^8TF6<1y@SNF3teV;pjawd1c!pR0 z>4(iHQe|-C{SMrCFZ{9bR=ddk=f)d*=U+D7M@6kwtINmoNsILaIc$R_#SPpvKPy!Z z+KvD|=ug$>X;DN~N`1-=vs1wJI!~mU4X?`n8{QX)7)IB7XHtv<o7WlI<Kmy9ons^A z4$`7GJ^^$lha^pr{7XU$++H3o{TC1Iuc>yTZFmzGXYMcyc`PUS>rP6r-6O1?kXH&U zfgRS7ai}N)tJcwh6cgkMsq5G;bEIf>jCWtiG@u_ah<{XZ!Ppy^%LgQKmV8OgE8gWi zmGe(Seps@w;-K6o)4wA|q%aB5kaR%S_ir|Z@=d-GooRwIpeo}W=%<t_yD%5I)qSaG z3;oi4CBC-y4}j^u{tMYxxeX}$@?`x(_GM`WlYIey=)MTMZ*^ae%zx8;;c!S!6$LVZ zy06RUN!ZUECP3L*I_davJkHa-V;n`e+ERG;+%L5oTX$o=niCm|q~l;O@X+9qIbFz8 zoYbVr850>0pnml1;W`7)`5uejp7SNmg6DiRwBR`(aC^`fTuOA+N-Sw|FHP+_aIxWq z>T#l15lvFF6Wxp=r8$M#=eKUS(f93;A2sWznX~qxv43~MjmCHi!*1q<U(tB4z%4vQ z52%Chwh3?JZp!8c@gop7+u5-)WL%9G(TrE*e0vZB+rpbqMp{>5R4_c%48=SImNoP& zFu<=Hlys&l0|jAEK4ZMDiI_!sW-bS9XnL{&cYfkTnPzT4)Zc)4;rl3Fo{BRS&*82e zZ5h{__%rTYDRX7B8O;x^wWeeA-$LjI=_iAl=^?Y*32xEpBQcQB;$?MuUD>LEFhg}F zkvyX;B9W3$Y8YKq`y9h&%{3f9fQ{lxqSPJHXP<6{rZA0_t&MsgD_2FcSATwLM&;t6 z+qx`}9QLY8KWuNkH+e>4v>|c`Ew21!I~4am&-s&A_G}JcO?2Ega_+vG{#2;jLQ~^F zIVS1DKAD~n+1)bHR+)nBy{=h+ao&~E9fs4~r$zO$%s98X{)&Sv-C^j<sT#nx`}QJQ zOl;h(<m_^5d7HF1Ws_xHk7|2#;z7)XhmLNf8Rf=F1+~>nf>*Nz=}}uJ4ps;DNR&@J zFoNR7L(quG-jp@kI-!iqU@9p&s3XzUNqFEs7!|)15$j_xCJ3G>5eqqGOSpmHOPeuX z&H<H~pCh~sy|3Ng9lfD!uW0}pRA#U0E_@D(E4Oy22Hz75Nt=Iotc(|7v;SH7D5vhD z8Attws90U#2S^cr32T5_OZFbMsOXd=u*&S;Py;9O%mD6Y##={XyOM+Dv+*nsMH%>< zrD)e--qq3UmWhD}p7a5lN6Ir=haxsBnqz0W#NsLMD=~psx@m7=ot8|XZfAyfw$4k) z{{Hcy$H*r5xQxi=C?QJs&!g#Opn>Oyk%_my1L6;P%=vur?Rv=o9+yDepGMAnWA(2s zFJnfZ@v05(B1nca#H2B_63{4NR+hbRa^xh<Z+lPYBS5Q{VMv0mh_5Rg-8x>Vh=cw0 zX6$ae1VCwiCGb;;T+PH7P{GLw2+Z=Ya=yzgyWjY&17}~32l;VBHW%gRS42x@k2=KG z87I%Or9Zz?dJ}&x(r<@eFi(1r$j;1$p@^p|oW?yM={4=);rUtrW>|Q4u}W%Y+WYeB z_2JeAG;Cv1VWG|QW3#m=#nD6R7%uIb5i5lR;(UuCyKjgKu1R9xbL;{H2IiMvst@#^ zgp8c}myy|KA##t3*w<euM=-=`o&r85lP97w<|5FdmCC@cWOX_%<28$>7d}E65y~XU zp)r|TsnS;=9EA#^iS8y{U&5cb_P1F(PfE;Av}|S>Km(K?D(l*Cw*+fzz9;U($tz(; z%kMfZkdBOx&9DE+#RP0tZ1v7sQ`TJYHk+Via0v5E$kA2S??^jHRUh~I$n3!DfA6fy z|8|NbOC(tFKJrAnKwbbd|0MRQ@K>$3oWvSC%nI(#`DRFi(FLQ{+o@V#{Dz{>olj0z z6q3g@M%KSyy%Q|YRz1-wa8DhLE{J2?P92_#`>>NgT+QZEpR0jqpMAm1{bi`xLT#wI zm3`R4L}>&nQ3>G!!0$+f871W&PphTpClls)eIV>|!@<l4UnlYhQ=TfiW|ZZ6#3bL_ zvWc?*e_Hti-8eI7mUs0l%RPIWOu41vI=o$0S-n*pIOFri<hpR@u~JN8Z7r$SQV{fA z+fE&6byDF&ZHI!ohXkHEKJl4RS1(dy^F6~Hg*4ND0Mpo|8Wsh`Y!Rg+tzi^XIvM$o zCiM^NJ(@p~40e{Ci9O1j5YOj0T+7*HO}7QI>-%#je})r!UH~fU!=setvoeLkq}JJn zF<YZu<M)@;AACKpyg0%8<fE68lV4#%sGnu1FsyqP@B`vxQNWwSc(BIHMV?R)xYp_Y z6$?`?2k->GfJST|M~i~GS<eTl+l?r4Im9RZ7NXOpIFZU+>**lVpOQSmtVas`9+hBW z{s(O#!Qgi{W4k+AgzjOhEDup^&vkHXZ)eI^3+5H?qZMN<bZ}!FpcP|)ubOp?wf92k zhLn#|c0H*hl^>3HHQT-Bf6%M7gI0{V&;i^skbg7IseUtn-;9g--z_iX!dgB7A*{vG zV7ei#>L}V?Bx{ZXs)twO-J9*ouZ|y_aA~I2^?3KRT5%juKHM75EL>6D=E*Eterq!S zV;{3jMoWXAce_PC#qy^!q3GT?vmRjYl%w#fQKT1}v~&MPX8cn7{73R>mfZGe$@@>e z4foep*G^t5UZg!ouMu?rJQx=<5@-FvqKDEN@x7}{w2t<`6%m`r*RqB#WIN$O+sey* z`vZoG)`#`p<s(YzO0qFOl3bK1w?0KF8yoahFhm*m9d|5h5}h3;ME4mkmRd;yuNG*< zJnk*#vhNRBNgAgNK8;Bg!P~D6^S)N@=rdYe=?kb0yEsVOUc7$R5oNTPs_=r-l>z0a zRSbE!QD@U>0zHbk?h8&?MwFJ?r_s`zvol<7mdNJLPdPCUo=KZ-D`I?GEt}&?sCnVX zCCKQmy!<KPNw_L1<-6+pWZ;Ll*T8Gf&CExl8aENxX0wp~fM>x8+%)m8bL2P>$|dTX z$V(ZoIeX-;5mi%KYaV|ns%TOAP{fI-EESQTFLwT_5!9BO4_+lIClT2vD0`DU80XyP z5!zkHnq+zP)2yV(FoLFnt>-k(EKC0r`AI@n)hEo81p2B^mxRePqU<iZeqq3O2P){- z`z<nzRZ&XQ?-w#2PPJ!mcR5k<w=uXZ8IrIIHc<reF>ol8|CB-VtXhS5L{ZcZNE|{u zglMh(BGaNeCLyAD&ZpkeGm&EnY33_*`>sgektq17-d57t-doK(3#p}`c_<OwBe%E} z0!0%ve(F7QsGiC%`P2_PAxaStagpxDr{JN2o{vdTfML+Dbgnt8V`o*v6!Q;dg8RU1 zLeiK8{lIkPa8<xNJLL;4ScgVtg6TpOHJzD!4A&#AKZr(Ie-O;DITy~bSq{k=KLD>W zi7OB3p&p3JLuYP^dq1<o%Pb<*Kcq{oan>>?crJ%zk_bkM0<;ctxV`<qI~4T0Ll6Jz zQ2Or<VcvGg|G1?jQefg$x93905uS4)RS;j>#Jey4e1iRAU^}s?X_?;NR#eo~??sA> zNuZpAx#uO}Id6G`DLRTt1Yf{9bBgEO3V?<*ka5)xfR+n9=P8(_AEaD^lqc7$A!-z8 zjrhrM!t6(1`~?M3+(9?@lXKxg-+Vf(c+n{CC+qTvIep-JLDKlOhc>3<HXt1t^Id@^ zaz#!g`IFG^@Imt`Yi7#7+X2%%OwGu=0jH<`Tgj{8P=S{L*Cc|6fLKbCl{tz7m;pG0 zX<||+SFnjXeF!EA8XU!>f-hi(qleCgFhiW<vGNB=xx&<R^Q)g4ZUGl0f>UDP)U>9i z(_MoGPJpoWrCfjCfW(bceiX&<fD`yCBnd=fU%@8q>ZS7`tgA@Wa*S}G;Vpo;xJo_; zQU-l5J+k1dGxhpX6>7HS5%*W#A}>aAQv;MXzTK;Rey3A!k$VPg8aaJ1yV9n-H|HXL z^^mL=>>)WgQnx+KyzODYwSeFuY(}P1Gk;#gR^*j{;3~|}nwm)mGf1a^3|I(In3`#R z^=nkVpBApYHr^~|uV9+lixVmgyLuxr5%snGoK@*XhPn&6g2}3;XjA}=7DdJo(Rpmt zfGMiBT=8L`=u(R=vE<0!eV=r-GdRK^br&Qh7&Raeq_zx#R2(xH^~){wF-Ub{g;B*p zD&RtY%Z&}B86K>LKCn-|U>R0~YrjZ<i!4HNtW8Cm8~Z<i6KBoFU1cSKSIUHihNfbg zckXMB^+`8%;T=Cz`CJlkrF^%L95f@{zbiG?ht$-CbNoO=zQ^gE1xK(7^r#*pc<1gz z48>#UqXq~gRI~v?4+R<^qR_Mk2rBRp;{o`eO~;#rb(P}>BZ=|(GZq}0b^i*-5mb&# z(H>~lbsFb*;yoNm+_Ws<<NCKy2cs;CHuq@(-*mT@gKduIP#w4>{VyPI88CG9%%)e% z;VU$MW*8)TfqDEL>S@2ElKI}F<xl}qdX$fzf|TC>4Rn7n+2?DP<5>#c`zd(uNidbC zzaVSswA|b3g!Lt`nWd)%(3VE<SHSa)>!JC^&x3ls#eU}e)3;+;U`4Pj8k&@tKjRIK z3K-UV0uIuD2FIJc#fA{UF@rUiKSlKRMh~dcHvttJ&$qWn>!dI)fY}D0Ie(0ysgIt& z_oQo!RsK_d+mkN0owM>LE-Azfvhp53#`Li;`&JpxU&|uYarJ4RJ|fON{9Zi{iG3H} z1o&B7n;>%_@5H1GQUdNUm5F6Lgk^DOgTteDBl^dl6u!Y8xVap$rV|C)_^=VH&#i%2 z<xC~pBrBT-k+B83+p;WQb<|EZhNKl#a)QihLr$=zv|9%l)3LQmD_SdW+R#^%Lkp17 zqNFK0_7y%|x8B{M2rCH5?1N#0g-Nk52%amMEbyx>k5Ec#soA7qglLeUCI=G2u2cQn z{OF$$5mX{ja*{tc3R=GaG{kMuaZQAyeeZ?p_5zts7h*BKM)EW0jjao>_l-rcS{|gt zhqn>ow79#@MYPUmX^N%PMjgrQJ>yP|ERiRBvz<r`{wmhS79M3|N)#iSOd4_BHj?Ok zx?O=d*YjyK?`Ho|t=DF)U-3=@f<NXXv^{oV+(pCD(!3M;XkB#<51`D*LC60&l-$TD z%#VfsEPlJ}s_(o-B~v#bxN_&3>geo-pyawCaicG>d&b<vr@H!z#o}@-LH_z6YxGxL zuI8%YXbCJx9jTB-p{8+R{X;T;*H%(eMIny_?fpCTmv=)E6y>qs_ov9b$O~9hN9#<# z8zaR)4+O)k(c~;N0{Hn=8ZlDY^ue?q$empBuOwbz#OOvRHSfNeS(%a3&BLdNu+Jk$ zk+ftme<3&j#G|%;@^YuLccu6TQR=AM#<H#nUrbb=6YcZ)gNzV^)1;Z&HY4*e2bB^h zvNj_*)3tRbs+NZohkXU1Y$j29t}Ry^eP*#hlaDqZ3iT(y<P2G8P~WHAJfY1H92-<F zQX<~gW0o=8V7egeP%fk*ng|-%<K}N;#sr+QUHuYVL1{eET;K9oN)m<8$q-FsydzM* z;|eVxl9=&rA(5K#5%FZvcyH0dY*M7OV><Fu)RUoHigIa1{L1QXPBk){MpL}m_&~V! zY{DJ9XO$LB)RSz6blam6T;F`R;%Zalr%}nwy|k0KJYV7yZeepbg;BNoNh%fIxslUi zgKx0YPrM-j-rTdgnqOZyXyAj=GEmlCc$pV8xHULjA5~n{ku$X<s(cJ4G$@`glWla! z_l-5hqPs-rRq4+SkHZ<4zvJ=NIR}`y(&&cQP_gWC@fBD2faMfxQ>#IXMRyTf+2A1b z`QS+Z2)BHv?c>Z=7XA$S%@-Y|GnIL>*ZBL{T<u3I#Ae`UY2#U+YGdGZE9~+N{C19` z^Yi7a%BZwylf>+iB6f;I|5tFclQqQ~b|Iezc22kh)lo126qD;W4gMTX54Qo|4JUtU zdR;?c5@C899Z%(x{}Z`m9!z~T9I0r3ilHBz9ZtMh{^U=GI6EG}-dKQdxL|KwM>zLz zH;L<m(uITP1jh!vu%sL*HaqHqXsWPXhmBis4N-*5icJ@2#s)lLE<YmNB;PqiBqC~e zxF-1irCB8!+0{YwP5=J3ag=nQA{<FC395i&e^JyeG#}gvqI2ppzC#IXVAWrqQlhb6 z9G|0kh1LhREo^sOo=SR;)?0KC<xwqa>f1vJe2$D*wrp!=1|{aliq#sS?==cyB^hFw zMXfeXQD6B&xxPWj05v!-Vn3=ZBr$okSJ8JbsTocs6nFh&=TMlNa5Vg=ERe)HP+vtu zE~)8GC1iK~!{<;)ns6TcaxlHZ#Yw<5w32j8Mr(4phx8*s{h9bb-rqVX{Lpeh`&~mT zMaN|3CKnjc*p&Upd%3%Y!PHhDCTVhc3i=?1e*t_0yuaR~4!`i*SVa2KiT3Foo*^S+ zm8z;^a?+fjK(;q3%n}S9k&w4ThyllBfox^8PyPXiv!dW0C^_fG^%*_f8v9ixA052B z+**UtDssmioU7SG@C(pvKWco1QVdSp5|?+`pCne=w1yhKd{lq^Ah6imG}bLo1nxjU z4sQA7TezMTCiwV1BLvRWA*3gwKhPSz?Umt{?VciVnv_C(->T0*X5hk4xjz679>Jc7 zoF5!KP`qznf_;7=0Fm=<myj*F?|^sMG95Qjx(8~ng!Q$=OPo|U;;<Ycbs}z_Cp#~9 zGBIo2G6&8VZa)1Wq7uDAN7lG{+t@5#3{BsebKyUvs3mB%szO~5>`2A*Wy+F9l!;mD zLlTdzyywT{pn~)WnE8O3QOg67_OPQ3*&mT6mVrNe2!|`<McA2sIZq}1mb{DVQUV_Y z>A%3K1pb{xoD1sEMRoc<Y60X<4*BO2`{*&6)IJE6S(HdL7(|s>GYBplGy{u*sJv;m z#APXzLDVQ@iaeNub>LM4Ue(}L30~zq0OmxgSG+qaud^+2*Gtq-{#YMKgTQ|TzWJsw z5O)j2^I?mh8fKE(MexJJ_4b`ZK*FKshx>Y2<Miq##uGPy{X4ka?ZD-Z0WNncaJj3k z`uErOqomiR<49geQAzKkA_O64xI;vV*8G`_1NvmosY8k8frGcAAqz66;aNDKFZ&s@ zj1se+Vv|PKdyT?ajck0Dbmct(a~!E%|C`j>lbyGd9VK@fLg&QJ+r=Vi6MA|`SqX_B z9}Am%O%;23Qc_a8<Jsm<j7G9~XqD8Eg<WkYV#><iqg$9FJCW8>Fgx}VVSB%B{7t}# z!vmWHGtTZCw4@V)2lTo_q=qG`;c7!@*5TOmp=Ke1zDn!a#2MIgF`mppd5Be6#-aav zSG?fo;6{cc4a`S^jtX`7QnA>E8XRr7*@)0#B`)c;-!7y(|LVM}#D(eb4?oOn4n^$( zw^SkNP5)JMJ(s`RJ;uFFP7&oc@4?r%c{|_c%|!9bmCGq@qJe{m9wvMH(k*lQf&sn& z)%rX8MC{kzd+kdI4JRi!CsfK83@N&dzAk|)9e_2_?hg(Vl|=FSMAyQyZ~7Hc;f(|u zFFeQRYHMjW8-yF|aIe$NfXfnX(gDTqJt2fn_tXb4@U7H?P8g-t^LS|Tycy!ftCM|S zrkzfAcI#A&tD8z^r%_@T&(_sJk(suW?>}R$?F_b=9FR4QLk@qeAoXq_w6!P7$ASL& z0s$}t?F)SbRp2^hPQ?#UG{Kb2W<YNG_zoYbSX~3RR#8D>RgnQ%LW1s&gbaB3(B6S? zopQzCOH;hbr@f?CKsPHu6;q1wi)U$8dzVF>6S{jq&m0ocgp&>f@t#k*Ugsm{5Xb93 zQH0l)^lnSZ_C75WKn-QDoeLyn<lF_^i0_&{h$8IR4ihuE958t`y}XKpGC(gK9Co6W z+Kh3mB}UcFU1igL9NI+i{PdNm&e@zOC|zceCN-+v5#yUBX|UiZe;1rO+7$Ads2{zP z-|m=1RXvrlZTr=;^EsUW_qQZCA~xaH!r49$MD(w&XdTrB%{xVK;%9Lqa0xDeE2g#J zmtK>>y3;o|V}i)=vYE<V`|;X{_0+RCkC!OCi>2m8unnrn?>H<s;|kEz@CurA5E$wh zDI_;)w%T=zBrP6lXkqR_9W`EXc2yCwDB4`_c3Lvqqzc2A7k@4jemdg&b7H5b+~I?9 zKi|Zm-}wkS7ka7IodTaCOYtifptkj;27mVT!u?*I0*StpslcTzGp%a1s&JMQF6g7B z>xBmeQ>KQp@4dpi^IkxmNVK;fvS)TBf3w8jCw+mBCoTKvj%5{wD~Sw2?7ZlhCBC+L zkdP_#k(LC>cAydHlu>!W(>{!^`A}Jflc!<$P@}Y4`P2NTB^g{zNKj22KqR3oL%gkT zjKoD?T4m<}Y1In&%PSNObIt|sYJgmK=34w#EhJ-1jyqfguc0kUFxQ>ETbIHw7yd6- z+pQ}QbZJARF^$PUt9F2HJ7^`CKY|6wxCg=lAjWhYcK|N!)?Hs{=dCwz|JIvdi!c49 z9rUx^hW_YQk^tM``UQG!nc4@p%;{h42*6ye;n*B0P}oB3Ude_nL<CRfkbK(O0Auuw zWpJ_9h8m;On(Yeyvc?$0R&H@Na2xS)?KZ;m)`$O2_{9gQe#A@IisbUlSk#=N?@pZ- ztL49zYRi~-NPpRg@LDh`1ce}Fx;4!<PRztl(g;;zDfM20I74@;+HaE;8fsVVrNA8? zYIP|(^;K46i9pC+8ZYW_4ur`$E-<Y*#9f^Z0pQ_92>gVAt3?dKVPQ=Um9Qs!Evd_l z%F+<ujbs}vzC{YcN2n~}At>c?X~z-b=^urW2xMFR(SPg^58KnJ>&Q4!LU7PcZIL=d z^GI=}htXq5G%?gE5Ju!_n}XYK8%9^i`t(-X52unQ&-2YN&6{DK82R0KAtY^%w}2r1 z+pn^B(b%zl)7BX@g6TDWfN^aYxLS46>(SEdqzd#^RS13sP<e_Nc_X?vNJN0R`qABd zsk`}h^l#@D@KFnlX?rXA*-dEpU%$AUzsZ0AiPfe}meWGB_3Wj?SU<#hkmqc=P3zTs zF5p;-8EY(~@T8fQs5oQpMAt&~9l$S?3oU-i%FpI4K<9DxHCFS|Ox3R-I>O>@S#kvA zi_<wuV1#vOIXodkBKCJgsg)|(T>gztY6C(-arqKnjY6F!{bJp>hW1%HMP}v3A8GR^ z<n#3dZR=EJ9+baTEDs&_j0mN-r3<tr$U8d6?;Mg{A7)YAC})nziHObDjt53JG@MsH z4MYfiWa{n4LrkNbT3nx;`sw$v7e4L4(OR4WZdjJN@%=8UIx#jDDpO#6gof|OLr9u! zc0Xcvf8`_eT`UBoJbmUvappt&SOo7Hc=!SxbP;uQAF^z?*;;Ymg7=a*v68c3BbAc4 ze}qL4z`}sP;u4Ynj60M7<C@`OoBLsL(QtpH!k2}c#z{_!tBx)OyTgp+A56Y(*c~|G zvN_AZ#ESS}cfb^9#)KtChXcC-;_om*>0e>M?H%|?U>*7^j!o&$I7EC{99bGZFRT?I z0ysgOVB}$VaTt0@2#?;94iBIG*(MWz&Y%uey<B4q?iV6!{}WC2|A`VO{zAZQ;No8p zhRC-6M3X0fqVI61>UA1$?h}n%8Toe}B8)$*cq`&4bjNo^n4DA{1+E-KA5oB)FoThi zEc(V|j~nfoz#$p`iy4LqcI`NPE}XMMfT3|q2p32@e}@n)Voo-WSV;&Dx@8~g?>MzT z<CF+*<HV1{?JaPo*ulAq6QTPZRssvd5o9cTZ7!{e@f5?NuOede^~DXG@XCC@uOM6= zh`jJ<#7+6RsllH!gf+m225Z0oL;ws9{R6^~1NyDgF?6y*puMT?Gj7^>DS5QWByS1I z_D~?4c6SQfZ$uOHCvx~3s)HfQVM+Tr;(=kmarp64J36nqAQ!kk4}R`6Y&csGJ@rHd zZkKgOiV-*feCJZI0l@x^Xuu`%PbB|0<nRk6mAcuhPB@w?Amv?`VOjKj0=t@MOe;Q8 zVukVxk-hj6B?iI}inbvczbtq8lVkB?U~{sAvZ{rp@g*fTRvx171c)x~Bj5)~AtIDm z&4Lq{Yy+;%@lupu$mr#th{ESjG#&ION{;@8h|+x$KjKbhlfV}=V1vWp0CpUE5L{H7 z<A~#>01R%A!k`4+FmfbBg)JVOm*=po82JCp%1GdMRx7aSHOQv}d$K~<kpi2S=LeCn znfNR4jtGJlo7eN#o7MJU>&K_C)~oN7{0cnzGw>Cl0|%S!%DDp1$>kDsF%Mt35tGNi zBUgxFYtVo9_sSAncB&0-Idlm+^Iz)*9B0F#=Nqrkn<^oab4H@i1)cX@(6EQr=yuWW zZ5iwmYhIU_1ThaPljl&HuG=V|-3Lf=<awRqkppbfxRyAsE#qmIT1|loYGpD~&FgcJ zdsmG-2VzQUqwImE4n~5At+_0lYe`!ijFfbWcSRkF{1!`u07eqDnNH9tdx3%bdSIXi zd5%HAT3j1aJvA7qK}CKK>5Z%wd>%X{Y97L)J6XK((d_HiMo7m{ww7T@A{Tigmojz) zgPu53z&1ThFe^+@pXjyG({9f4ei@2EndE}7^UJaRcHFLi8mM!?9~g8P?lzVDny2Xk zZd2037V10&l)<J7*unqxogdX2aRj5SWvGtG;JzD@5ed!@WcPzIVnGBqMhufk=Om!_ z&bzdnOl_TvB%uuF1zJvzY@Jj9NhtkMXuz(PVYI$sRR5BE9Vv}40Z}I54_aqtjbdq3 zwYI>#HWyT*py7|W&6-XSTPG|@DD^qImT8ZHArQ@`Yb4N!$ScCpJk<E^q_O$9z+c8) zkW{VKL^u#-)!%po1r?_lXJKoBSy-Ivus~GY-Q5Rwhfr-E{ya_h2)-F;+3<HSbw|hP zN2x2o!KE~&YV~T<boUiq)%Nk--94wCVM%F5Jd`M2M+^x5fwHH%hfkS?<EcaC+xtCi zm%ATD<ez~Tt-k}|c72O}c@g_j{C_uFbL%D6`rRx&tl6eC;CH)}x9uWpQ&9`oiiT8% z<nF@xaOR!E&Cef6)Jom<MdEi~ux|T;`Bz_XV10S=<OqIjp%zsQ(T5WZ{Cp0#>1Z5~ z`&yLPSCsg%4;(K)I6&f5&wNG4U_J+9KgJm{SO!2-&<M&CuLD|PtivYcRsV<r9N!!G znna>`n=~9=FygO#iEs0j{hfo)JZwDw;UxT<)9CL`{J%Lx{&IqYl-w8w?3VSTz(ocj z8>-w_eSY*<HqAv=dn2uSzFf7I2JE(V^4k%?Cu*?#%D$tPeb2L{0Ovt)&vh4^2N)F` zuhBPd{<*up@0#ROu}+61YDsS69*qcsQ}41+HwUJ=5neDV;qG39=WqucE`2Mla_r_7 zyJUAp05<i4nFTK3)NizsHGwlYN}^qaxa+&s0GnUIRp-Wx`KDp>afG5$?Q(2MSQOE1 zkb%yIZ$!^6$so<8qHq-cA|5tuE*0V%&-98AE4W}|zVYn!=y-`f%BC4?K1Rp=-c81d z*6ih0x+B6`0R#=SH{du>jyeB9F3?Arhjsr-2-f|g->KC!z)~9ropbPBuWs+7eqn9h zIG&c_vHoQfbmi-#^kRV>E}DgPcvGv$e|J}(apo+!8L{lU>hIBr_`CB2_?y@Mm-lfI zqAUAtKAVl5o^q*0XG1QvYq#kn|4zrN{x%)(p0~L!{pEzC{5;?WNkP^}c~2G=grXT` ziBtC77`!<wv#Xig%(Q=Jwhi7J_~nI7)b!uIo41+4LT_jBmlHOu_kU#uj%6u0tUAAj z)jzB+rT%;un(ChE2AfeYaB}LpZYRep&E*)JoEop&LF+&KZ0*i@^!WMf(Q0r$f!*vU znfrJ7_wMb$7S!{<a{GCE_rC_;pcd9!82@(F1mE7G@~<Ves|qfu6`kLiUQNR~IrY~p z*4>T_ILW^jsvEda!`6yCd1TyAyKQQ#fnRQ&4P_yZAz#uEaCHZ@WHKWkY|M|~1Vf8W z8X5q1T$FLPC|43bQz$a&k6Bus0r7$7hc?zFZb@**+%Lme@k~lf!hlOjJlDZFeANYW z3ZQ|q_3*9lX}cWmgdD;`wGTh}&E@>7py*wU7WCz1av^qelLl`dnTA9lGQVz+iq5&w zt~0UR@$x6p2mCmeM)b`4MZV^XlQ@HU^_MIpqmyT?zV%pvbp7p6N2K$wkVx@VoMy=C zg)l#Y4;+dd{wvd`*d0oIrgu45_J}FJ6Am%7@a#?EXs)CHOg~HylW}~SOR4u)3e9lS z=Qt#GXW@qII5B8vUE8Puzu-EgCg4QLA&fPo_8mJi#An+Mxe3_Vz(jDdC6t~KCGrmu z-Q)3}YuIr?eyvKWhwIU2h)H{1_yg1LCg%qUl3=Q(#A3t?E>yP{iR{Zz>M6HcRWW*r zF|{vmvOd2ienG7&7Aw*E;EL9+`5AolS{i{kuK;x})dTVU-U6g0AW;gfizxGMZ0aRK z3Zp8EJLOB^)K_`CwVhasQdDR^6%U8x1r9a6C}~vX>mJmfrmu%DC|sV4U0>}w-pn>k zHC*j3FGZoun2JAHp?0in+2KhUZV_<opkOP06H8r`P$HrK(6T$<+;4xK7D<MW@Vll1 zu!=jP;iv~K&+;g&0^+&~s97jV;D&^Vj>n5w#chMi^}A9m$4Wkn)x7C4d*z7tKJa`v zXA}RYI9gI>qQkm{Og22W8bLg-*En?%O-Wm}vPXSY?0K+@8E}tqr1MgowE*wP=J5+R zJFXT4^YM>S2%>7Gt^<9@%tTl2&&A<wkEoK-#0iVSOIPr+!vWLeu~Z&emj`0j;p@^q zU5{Nwos{VpD4ymQ@&-0vCNw^_hs)F9AJ_EPD0S@GiZah+PEBo-)%+wH!iI-NiZ`Ax zbWcJjUozPu*Kl5BTMbSt-=5#=IJj?MvsW!8b6k^3sGHGsBfthf&S<AxpIOMJ6c5~V zT#uB}9q`?}H~^+~txAAmIf{F_r|)eM>CA0Cx*k$Ahb(OeEPeM|`fggS`6bKhSa;`@ z$Hn}09ZSZdPWuqK+g8hb9ma58Sl3VC{%}+wl<_lBv4#&JJCUuafLX%pHq9X`lcXEl zly@dreX|RtjTt8apARn$F8leC`_H1EXPsv4)ue6%=NrJ)g;KqcT`GsWo@3#}PL_AK zyCRSM*|4;gLQ3wE1K}>d>LbnKp4|NWs^I*u;4{#VKXj|i96jjEay?2>_(=F_cwy(p zi9dzdIB4pDSi);zvL1r>oePThQRF^yL9ji~8Jlgm_O|P#u_ImWBm?|a8}BAvSKLYJ z6kVCK#?}u2{8Lav9go@Ol-W5@<Q{s`k{>=(4=A;Rw|c=T!2jV5WIio7fHuh<ga2Jt zU(<kA9V&_l2mX9G7+|OH(y^>Oi#Eynku^z@3I_j>#vnPlJ##)PqduNKvXwQwmA*%U ze<oWU{=9S;Q}^5<+gue+)5>lhl^+p&8<jJM)UYf-EN-dJh(&DQ&o_X)tyH5Yt-Vft zZT%dQ@#&55YP6`jSjBxhGknP((lk}YTTda{HUXzC)s`V2LXb}Crdcys5^w>*O3X)i z$#eBpi85N*_zO`haWuBH1XFx&r6C2al9y*w52RIw{Vvv@6Fz!x93qxx5R~`kiR0{a zFKs~#fVs!$+>OgKfVtgWBOtw=>n0<;C->Vj2}|b#&2{*5l8k#FntXit!txX!wo(nU zNe)XtsOsB!3a_mYbgJ}K#`gmg^2yaSYZ^-%E}&S6s*cw*C)${JM~i`Y!C{RM-Zq11 zipagxx8P9nl53h)TxHSk0{j7C_4j~bNJEYRQr;VV$Jx1FgaQqWy%py$I35VbHeIcr zxV9P1b;@%{+CBXypFlno`76v~RHo}Rm_@@>F&0IOfdE;SCH{T$$%z9Fj#z_0?fIJ3 zNrPCOhr65m!FF-O<<_C_<jps#!C8L)p;WQ?pX527jVK=J+A@c)+Sde*0A<A#2`5fj zgcii@A@kK;L=2IY_C-9hc?Xu$Q<6hV$}?t0%+1(F>^rviAu)}E?@>ErmXYs6Ha~W9 zkbF&|E$Y<FQF3Aoc(3|szrWTwl-KDAV$K#u*_CNaro)Y!fmknHYE9>r-d6Uibcrxo zrsf;RV~LfW05<n#mX)p_ivXt9M|lVnX*nFefH*vp5&rg<Q?$INhB9f^!wBk&n0L~S zEmzXl*nMq-bdW-2+2CX%AHmt0@cD$M818^X=ifwnkT}wuyV{AB>T8Sq_!kvh6Qn-& z0;&5THT*YK7^DV%1gRn*6$sM%-K-W|*Cry5oZ6>zB&~;owCdcp@JufX5Y(Ug=LvYe zm}E@*&_wt;;}M4VJlzX;o3p1%TpA}yH%Qt(6&z-Ph|osbbQ<4?D07J#TzMCCv<O4V zu;HM9I3k7TKH}mA4DaST7|P^d$Xk14FOFg(m1*mvMS>J(AUGfns`T7Q0_4Qsa=bxK z@8T#q$SJtx;D><Cs6H2w1S!e4lpv6Dv^dHFQqFHFWgrEr{+vPzq-5MuqCpD9(kMSj zQACe46vxoT1}$t$qhd+@1$LCuWsZw?^|R!WfU-R&R%?#(*sqk5vSG69=`x|xlHGR9 zmCtF@iUu`)vFOWc<6eZZAWX2Z-I4nzW#L~b-CX}l(V_d7RxkwqP63!JyZ)7<B>tO| z7I^>u?Y%doRINvNXlV8MU!bvR&?8Ko@)MRp^%adcX)`TlzprKlulcO5tv%bJ{Qk8e zrQK-XaWwEWxOBU3y=DBAS#YdI(nFoX>J5=!ttWr&5rzb-&ESvjJ+Fm?F<|JLN>W`n zV5aDd$wVEl#5xQKQ!ju*C4+TEW0a7r^&?s1UilHnqqxuUT^#Ert;UBX%+FIie~PbA zugg!gKJ||kdHS<W;0f<kdSF+0NvqG<P)#r&gHOUUr!S9fH7VWUDR2Y@ix3uv^mwa< z4KJ7CcdlwucV>apl~S>rr6?fyX5(Y=^-Afih`0OA^43XT{pre83lTJ(2<S<9v=a8_ zqVy{|y(r}Mo}G+&hhKhpA2M~A&e0=6O*OeM&+f?DgsNdFOy$2QFRFc#Hd@80e&%== zTdQ6@0MW=T&g8yi+UN-Nryr`-Op!^g#v3&Fx%MX^3uO@(>g0NMB6_xfh@K5u3>2%g zJ802TYQMzPgNDpe>KLN1B405(=Hz4$^PNp$B`)iw+<pn2$N1aaY>M$$LM6to78f=q z{DDsN91}!BrsSPr$9EKo<x=9xI9QtbOQOm+kiI$HBTD&3`1s4S=r3Jeg^zjV_vj>} z#ibJxN2k1F8Fc!xPqZ_*flHOwnppWJmaVT3A?4M9uP4qBk!=}qiSv>ro}{^=zkWR- zfCL&Kph4ydHAy>43BivqzyEUed5Pt}eHs4T^SSkNatAN%WUvL<@iTHg4#e?*O_!(C z8_)hPf0oo6mQthLL4{B(Jq|iDKyGwg{$Kw{6uRSB``GkS3`%+`EE4QHA54~b$5_e+ zZH@7l6u4Pv?{pO03$AAT=&7c2lv21ZqBr7IQg8y=L1yWJT-<mH>%)D=N{a-WOjfV` zEo}CwGUo0K?aLgdFtRX5A{4PZ?ZNf;1@{l}0bxB`=RK$uTdH3lM#XgM2pht}%8xdj zXYZ=2tq)NuIMr!LQEay`5X*!7UQZwz;OCX&hiFobW$?cMf10y`Nwr2jjekq>^+JdK zt+ixu7_|N`*1iHRs&?I1T0lUC5Re8z8Wf~ckPrds?v|9!0VJh!=uo=5C8bkJy1TpM zu0g-Ozi)s0oOAa*_xGDw^F05j-}hPXyv$n6ge;4=X$LNfY2Gtt0w<mfpO;#oOySbv zJ(e!#ri}`a;D0E0p8j%h^LGb43^^EP?+GVFH{qt97jCD0c-5&)GxA!A)IbAQa8Oib zTr_Pyt@?Hy0l_p9WpRW%npEmr8|-4f1zK-}Vci${1Nvyjv!J0U-mDJ67Msf#DcSF0 z$O1|CzS8@GJC3^)LmD_nJC2#d_`Zba+N9c#dU&d?wFvCg!oXD!dwWhs107+6kC&~% z{ks9O_yT=B&DwI@pCU$B(+lB}PQ5pKU2-E_8F@KE*cN_N$j2sXt^X}sy6-*E3!8E_ z*rH>B_o9<8e-#abE$?N=Pus!)+0C1Kvqq>HCBx=0AdHE-gbEEZp+fo;_1H^nfE~@@ zYXfxcB3k8BbiZ<xa*bw<KM%>5I-z;>nIccl?Q`(r_yt9eB$TLT-ZB1xHQzp95s8+; zczc-NS2l%l%jo4I&ttv;zSs_YGUar27YKI#!SL#we!`4gYWpn7KXOw|#={O)0-VVA zGXi~iV(j`Rj<rk2eT`f2Yr`$tZX=BbU7CuomGP?488X2M3!lJORu2zDR`6HGcZ+BH z(u2lRxn!)}_)<c8w*ngR-z`T{Qh!vwdu<$rmFC@kZCA@0Fc-`(2>xmw=sWm)%?LU8 zV%XLM)VSjA^=9hyQQD8)5OJ$slFYY}=fNjf9Fq-(kEJ?VS->%X`>FxydE=)LL5|Px zQS-6<5)i6`gk@^0@}w?OLXkKLtKO9Kw~>-<$!%XPK4ZWfL};6lBZpCGi+I|%%EOKb z;hf)W%L(K&4({fofIVI@csEBqNA-_JoMg~KmO(Y2cgT>2DcKaLd%^%?N``UWendm6 zNNUX9^-O>P+iOmZ58=Bh{Lbz-_-vmy`%@iR9?H?FyozBDDrAt|{m!u;=V6tv5rI;1 zxtc9uQ($$Yy-rEr08weq-Da@_He>c4Kem)%XNdBe>*huH4yHxe`4J7clHISX09<9H z^2%X7K!b|I05KrdNx7H06#kOpL;-<N+|^EiK-lFS(Lf-H=Doz^`#^*W_ksF;0OiRJ z67NfRs6Iz%ymLbFS3sGJGy<y2n6Kghs*@0Op=5+HO~VFXGp-_&+P$`Un7zmaO)|Lq z-WC^A46yZqdG6y0n7%-Ok(m>^zmx;`9h3gEtOXtF7JBWbSVB<EnjGpCF%=t8k;eFg zB&7gD6!bDu6D@-IK_IjcJP%nspvr>oaA+NHVBiVVu_6SmLkBLZ#TN+YMG19T3?re_ z3fN^tLG7TIb#$V=D!t!67y%5S*Mp#`#E3)FL<0aIUf}F~JVXEhe2zN)+2;$vKl{A- z^4ljE>hw}J#XH+ae*8gBu}+59Wn~(`DdKr5;;9s*HXzcFnUdkYA;o>8NT0oDB;l`` z?Sq-^6Rv=|8Tb|U3$CdSys3`<sMn=X-h*r(RXk5sJe7*n24lJhrfIluQUNoDY^DdO z9)B|Hf=&Iy4D5B;QvQdXNrg<wa=DK(o~JUNNr_C!dby9{IBY?2Muk`9HZ~%yktlXn zgC?-Tooxsto>GM|>oBAb;)<m)F!*HVgGlkR6K~!pUTQM=%W3JuTTjv{w|Fy9e8lpd zwZ0rqX}qXvO=-Nm+}~-uy;53xl9Z{T$5#&qUz{$C-Xv)4%`s^@*FL(N17DwlNp8>k zs<en2ZdXIK-c<v?*bXMttHSp+=XhCnXMMNkP_zraKA33bC*hmEvvqX4+h4t^@@xF& zS`D^4U)UqrCCR*rv<Mm3+_CR?#f`3ue!f4DvX^o*r8MV$vS!(EOangKO1Ns2o)ZT1 z+^rsCoh1MQ2*3Lg2^jgc{a5F^DoD|83IcY>sL^gl@zUMx?n%PgSrK2o)7j}n%Iy); z-4Xvl$<dyv)zz*2xJK^qQOYV@62UXq@7D3POUOExcLNR8*BgNe8#4v1eIt}4*76$m z@-vY^n=4mmOn3N*4rkkebxS!*THxt`+jZ_hq<t;+HRszaj6L`IyDcV+yR(F!cY7bT z@8;k)X6ELIMNiv~nTkiic6C+^%uNCaveV6S16kBPyUGY#h<vM7p+6tg^)&A)qPeK% zKzdKD>P{z;wW-T^n>Fvu&N#<!HgzyK$6JjsxaZwYb|K=@ob|(%&Qfby*Wi5-u=CaR zOW~TtX~><UjUzaDx@(BuoweWz_pL+n_`*@*xL>h|{=qurt0Z~>(T7Ra<AczX+jT13 zu!f6M%#cMQ@4V224*to$J{{U7NRj(>Q5N_3)s^2BMj*aR)RM?I_OtQ1Z**~E6_TOk zxcqJ<bxE(}92cHiO@N2+_`zwXfinEy(>5F1oOAWZ2Gmy0q}xGKF9NQTTp;W<hOUfO z8Kp_dTXkm;iq0wgLt1KQ-|gMy2h*Zmjon?zK^lgo9XQgbk2v`!UhY;%ol@~nB>b7@ z<Da<tGjH2L^>U($E_oaOqkE~*G#xl;djk$FuB+jj%AUSU=S0_O^-rz|4foO+;Ue2o zqt1)2Wa}ck(yMEI=`&QmyDr>t45#JR%kVXkiybcE8h@TWUdx{gydujNYV=iG)otYD zDV90UI&W^u*(e6CN~Y}2SumYu6Q>JX6U91bP&x3|J5sb@PU}DN-d>{=ulazd57v}C zc$rp0__Yx1F}N-?7ZUs&Ze4sLKOEd$`yHq7b1#8z_v@7QLP{+8c@iV<cFUiiPc*t+ zxoY-vF<iO1+%0slW00&y7Y}1hH{PDF7VT*@+^rp-k!Ut@USHq#Nn7&OU!2@7oHaHQ z?sKl)T^_zA%eqps=^wtnS>*t~vlv}(E#bPm(zzVYBhhTQxxGCx5jiSj3XwbU+h{y0 zlH3x%DRNx{8!Qrq?1kQ4Km>`k-6MdxPiRaua=V)%v1stZx+DQ#UNZUJS@R54-MOPX zIi6Kv_>q7w_K$kl_o~>L?Nwf{wTxTX&sdZs&I&KvqF0l?H2jf-$_S3drn$bnNNLQ? zD!$s$;>Sg8Y`8vvTwTC?Oh!CI&06csTWj7qVgM~TTx;@U4I{`J>bh^}-$-T)t0Q3y zOOS>8UF@uk9x;F0qCaGAJg#>p&QwYlAzpRJP#S1GHmvAuz5aZ%=@hHkiR%<Q*Q?2k zG3+u;j&X!Kleebhd_%6btmBU1dcq@V863#dTNGO4>&Li1NY*on&@<`g8)G-!3ei8r zbjLDOxoUbHO8<d`{=*%o>X;hdl^BHZ7}Fj6*by(xfXK^$i0^ez?T+>~1turX2tpi7 zU|}*Nv^dwEuCn<lT8;{D#5qN}m!|%HWd<U``F*8AR9<qQB=dW71*zo83qSd3t6*Z# zmF4^YX9W1KW~KR}1Dw_iIu;*eDz0`9HOBhZj8#$+J9APQJA+hgPi&jh#TM4oq>>Tl z6#H`wO!mzy$iGq@FsmsB__L`fh9j?y2vaHQj|$)Cgk+@hj95`0I3yZGJ1U0*2dfx` zMI8~~(5#{u;D@8~N-^Mn)QVOaW87yZ?;(g0BN+%zNilHy48cFf^nQe^GIms#KQ-{9 zl&=|*1079+n3H+|XFvL_3yrZEBOvufjj?Cpa)KIR_+{&x8(!k!Edy1FJg5x?UUF}Z zf$U#=^>yS>QxyI_Nl&Lw&cW9Cy*r;kU+CE)m*c}2oP**QJ)i#r0{k~^@*d~%ogc6p zH$!@a<}T#|&W&$E$qtxZ9s%R!^e7yex<G_VF&#KS=MZU)g_2lt88{?%gaP9P9IS|6 z1LFl8nw=g2;{_c4J0<YFR<u))MRTk9CQB^>M%9NCJfLMd1b~*&6QJ@c_m#|-RD1oa zW#(BPW6&J|^8Xca<K_4Di<IP>M$$!acDB;y3sNkj1ehG<gn=e?c(>ph<+zr1^>ul5 zWUOX00V>7SSEN|Y7Epp6AgrJS2S9v;5}W{G3njP!!X8R+1B4@#-~k9{D8U=^p(|wQ z#Q||ooBqtj)e+tBR9HaSnTLFSp9@%<(QCe4JzvsVkI|O4&^utBmlTWch&b$jLmU!| z;(lQ>)Mz}05+a5(7q1uThNj4|%FZ6=C`X=!)mV9{t?G(=R$J8)%373&wVHjcuPXu^ zTAVP#18{%?@)|F2S}X&H#Iwh7%HhD_e^iP)Q!eD)@*Q!Zf0AOi#w1iEY55w0eu=4u zli%xd{FbU;>lDq4Th-0}wtTPq_!Z>E1*RreN3s6mb-);Dwcj(WHOunCKWxDHoi2n7 zO7)lYmmxQ+b^0e0wEX%^FIZeK!auV)VZ@<QR~s-YrUM7)u>HTJ`}dlnokERIRE`A? z0|Jb}K)^;Z#uwJ7#9^N+oG^Mt9#6*xM$=gI`bf1!Gh@~x>FXNI!oB!k5frL!xNh05 zy5y>EmEBCyfs%>ekkWO{XW?uxA+Beba*zELQ$&F8sE7q#3l33N$svKKm}+1?m%W3{ ztd#rDfAu(SSN0cfda5vrc0*sgg4+-G2mCP3_=z;<`0FojcNQ#}NOrY|+|PjxiXVok z(Z`q1RN6ICZ?Edk?hs1IALuo1SlT_v%5l9p9EDy1bQngdP2(n<m5e!Dop_R!Lelpm zFzu@UPCc=L|8`C5@=|3A*s%H$C05*>5B~&nUWYDuHr$-qd~dC5bmO=_@9|5~YH;FT zR2CjLoZf5yAvG?4%wG1b{(3@qJnO?3i>s*_28=PzPP`rJZTt@lQvJ_8uQuY-RI4Lz z#OK{>L$Yvpm#sn7DTM_&KHT_m@Vi-C8m0?U7S8}Rf+#@knK&5GV@wD1xS@KZ**@HH zflxhaKo6Z9>dsfSnzQ@^!qgh2exoY##2QtV*53B&Z{|OIiTk!)h_f9Q8P;n0Z2A5L z{7hd;wm|Xy3jC<)iM*6lf#SQB?<1xa@=_87isCEYBc^upQf~_0i0~5Lo-Xz5g%%U< zW@R1tu@BcJvP1XD7nsF&H4iVLdy5j{<H5UAzoN!lX9pXbGL}zs7QP8Y$g{i`10D|2 zw<(XWk0>s8{cpva&mOqmeV-4-x;p_|FQ(vLKWM^`e&p_uc+n>}aP1nQMt)|*iFqo@ z(>B_Y)GK`5Dt&J9nqj&NuY>>EJINZLc-jDJMviRSuk`?9k0@3etD~C4;q}EAZojqG z8`sgKpHmkkeNUz%GVG_Ev#0tj!POUsj{P$!cPaR_))&*TmtjIF?#J?j;LtlxP1}a} zTZhYmNFfrr_2JOuhnGKh`L#~8<_PcR4!|iWmlw`YZ*P&cOdS#|#%E+n*YdXUm$O_v z**;zGhqi7(NHmE<UfjrXU6M3%+*ZqTzG7G{YIJW%xzo#RQt!n?$a?80+$@*eHUn<3 zzdP$;x;xQIiSY8V<B^lu1`|Ggzt57VZA}#VygGtb*>U|PLK|)^CIU$r3L%0C4hKqM zItng3RzEiO+*@Pe=8k+=Q9)IN5HGqMuXhb7uax8gx*Skdl10#KKxwDT{ioc#0j2WK z@;X<X?ZWJTx;?$19S3W@2VxA-T4Ae;<4mvS&7XeGZF(Q3==pVCB9~MPK7(y=J?@D0 z?xs&}`a%m<I_oyT=vFKAF3!;Xa*jILiTPy3X3pO$bo?&$VRAhRpYEN5MgvOWdf|a2 zkfxID&+48zrtQyrPtZ@Wx4~C%C5yRJmcFxxO?N?@7&X`j;5C;OxRu-Qqqmqvu5R|L zfhl3G4KMdRs9)ZV42bY8_O40Wv>DY5IIJFFyiUGU=@d=8xc(ZpNKGcqc9hc8V9H`5 zKFV`a78$C{b|FgLCM}hmYf6ml_~J<Vrha*;&o$+n$fVW)(NLU6HLM|QaxpAlGWBLz zmFU%04L2877+AjPWcn#lDSM~yRt^6vtj9`Cl1+B<9%bF)2-tHJ#==da`42<|WMQP; zismU41yTw;7F`g6AD;W;%s&*PozL0Bcm0miIr|dt^Bz7cIaK9zwi)UI`YPkPmx>8P zQUY6g24e_Y_!au<yLk2B-ahOb2{RVhiU=o6gO5{vV6!XEBOSKTXlFT0gA3rhfAcfT zVc)1Z)CYK*@8xUB^Z&wYkD*|5dk&mB$&5YVskps!l>XGt$G)?4GK~<A?2oEVHIGa; zzjSgF`wf*<b3h~Ymm9{xSl*M+Ht^c*8+d31n()68bntB7szGJN3WE*|0zujTj_*co z9=f<Q+k;(MnZp{m?5IO6?<+)_)*Ntd0w&~vAuJd=BbsxTb!G_a_aJl#c;_nz6oK%_ z$;iMEJMY3<mN|G;{j{NWq$17n*s8%w{Dv&=im_g%0G?3emv&oQTgqwFu%C2jJDT<P z2>p5X62=;)7oJB4!L`0&&S39mr*Lg{P7;{KpEi4zkZ)&Ib;&N^moVZ8>fnKIjT)!3 zbGy#FH+}GwI99x8w}($Wp-GQw-HzOSFS|V$nFHRWEWv=p5OxtZ7TK`{<=oM&aj*1V z+YmMar5bQ|#iw@f{#S};RRgnEQ(A!cU&B2+$5)493+BxeFuK=QNWI!_y>mMN58wS7 ze@3`i<mwQhlaOb%29oA~SxN2lxnOB)xjy;bMOARWCJ8}?>n>$SBXxUcF00|{>R=bv z!15M%?&`+Oh}Wg(ENAcBy<Wv3CA=Z7F~;qn?8)#?ACY}6aDAwFXx$74Gf8A}bH7%f zrq_)vxG_-!xpKf`=O>DNrw7p(ihU$ZoeJGJ3!J?=-MBg8wta!N1AcK`h1ZNx)9z1{ z(^qh(0Q6E>35Cti?wj^Y-4eAt<?R=Le(6;>kVrE$bu4(6m@{92Yt_D;Kf0x#-!G9Q zmD0W7o?%)o-DcG&%fOR<X{D{4!$UZ<wOI^2!!(urqJigeEmuD9O#eBmlkszu>{}r^ z9q`;h`>vC5hT(U7x==h+=l41{#y2`QgG}LVtHh|RGpy#ug@Yu*_i9-fmP}Y(^&Ahp z;Y*^Qs!fn4SP%jDdn}5-bp|ZCjiM^`pt-Y_N!`$u#ME)akbTBkbY%|xbfG-1g`7&Y zMh?B?Nvp(4E2BuQ8r_II&5mMjlzoouWC1|RW|XVt$aatHae3~_Wt3|HaF&EgBLGWB z54mSZn558V+(<+Z@qP2G)Y?ERcQaKuqdUpHlE($_D$$~zZ5Y@!j%}HKwoMX{dsj^$ ze*Wj%lwvi_+-&fs>rx>6;Dt)Jcy~rGi?hqM>)f+<VCS_NNw0&GfhcdxFy@=<4KX|( z%vR>0rN{_og`4#FC6b17Te~BRsy%i%3<uf%0}L(K+DR2(7eW((%Y@cE2T}0xux-Qn z?p^HNHCU?nHpRhmcDAvBV|<{p_|Cerc}XyQb0o%9W*l-vz9BJ8K!jW)pkM9ey^K zMa%W^@^A<E{0xJQ)7|Z2iRo;3;3?(Cr2a;{zDanxWw^d^xc)GC*Eo4srAUNEAmtUV zxCMiFtw==3W)mgSu;=rD0ys!22=ok5l4zVM;uu1X0D6I=6Rw}i#oX0wODdteB@o*- z!o&PF5Mqu1B2;`Iv53y2`6^5JiTJBk^xoBC`_!oPdbl?Fwmu3&AY?UM24x%3^Jg@> z0yN^*ND|_oxn(3|r#)o>yQqj*E-M-X(OkGlLP?}Al$Ge-Dw2J0W#wX!Vh{)i5)ThT zfuEP{wt%?616jPKsr&lxv%tI0qSA`e;OulaJR-^=G&ZApzm1*&(uf6m0{&bl3WVg9 z1<9S+22xh3pQTb(?s}?7`d|Q|bT^5kzK`%e56E$m0TfaxGj|2WC1*ZG#MUA`W3iKN z6#Gc;kn5(6t9CcfnmO5F60grv@wiualMEOKVdlV`Ky6&Pr_J=4d|oP&!SH3VTqZOK zp*U~tK)t$Kl!@&laBv*&A|bojAVM_lm_;crLw|jppHtl85KSZy1E@U8f2Qf5x__wR z0#2z<dg#9kp|S)PL|PHZVa&6>D}|&Xfu5oOfs$D1%O0arL9%c_G`uvs>3T<P1mVi( zq=qzml$`IZF*<=XX?7`DfEy+PR6cWy_sUL->jKe1W!Mf8z~DrFr_Yr7%t~J30cl18 zF~jzfLguhRbeQD9V)SN@%f`8ovsg|ZNDWg$Fp)r5kU?zFLoj7*MscJja5J5`@?pQv z9Cnoyq2eT+xVnxCwY85=;&+@F3y_j>J^(mTS^FTw0f06D*oqUX7%rEe>BpUHA9TLh z>iRbiiY!ag9y8LOpnTj?<xW+yw?6sUr7|d6`ZerY4sslG*2)XE;0_%h1$;joMR7t! z<!9E)I?>+&0Q3(4Pvx0B_S5!J#T>@Zt<{r@?VV`hPsx<7<#!;OQ(vCv;=W~)d5_Je z1^4HNT`ocP%Ta;Rc7ahukp;1LhrpvVI4piS{GSi~&p`}!W8+|1qhJOF8MWNhY|dHh z_wokY+1=ysqXp5dq!rqebAxyl@Lh6WoQ~ueO56QRrp@MM*OHXc;cR<~M}qQ!A|Nn# zX>kC!(r*5e$Na17q+zvOCI0Quj*%;ITm8jI-(ul<@M*id4rpb=ivjf*p`A4wDHxbU z!6fq@FRqyw1Ute822?Jr@zT_np8!G*Amsc20<v5tjL{GIjski?(37>t`!hrwluPs; zSC6}ewepP@;G(30q8pNi0(y#Ieen=H54i+X6*S*xNj_0|b!y*B#ZvV(s%<btH~#Pq zXqdH2b~Z#d=FmQ>Gz!2o>WMPwS9YEo&{&GnymjK1P%+!7j;g2lZ=&E@(>PVBb5n_D z+Y74%?K~VFCqT|LiGbW9gPtN&bnAZT$XK4ShLj<L7{P!?;-_2@^_O{WmGr(+py8-9 zkJwD{m_w<E(kO=rAbeyx{Ynz00npY!cxrK#Zf@~z-K}(sa1n6DKup`XICF3Tl6E|m zidm9(DbThCC3YY{fikLXO#C@;=E&fxQK!*YN^L$-35g!407>d?KTGTwL*$T7V6CLG z_cAfNfd?`~$t<zMmel(|6Wcx}2e{19CQwsiI9V*QuPO9`=%9gU1ZEXFu*2=?$A6B; zwht<NOg^*^J1Q5Kn^gb?t`NxFAv!j*KJPp0ipH}{nmt;UcTP$HCT1EHBjHc3>LzPp zAa{>Ibl^(^0>fax*!FP+j?e-ktK?UJ3c~PsEi?ffNxdyvAOQs+fjnpe&8(G$1TiMD z83eindP)mCmG(_elj;A3B2Wt8Dav8A1WS*sE5G{jFkY=hzuYYPM<7UdorEMbRpNi2 zD-e&ItcyMo!h{B5hItRs1`2+tx0Nd<_5zg_68i{53*O1~Yn^j<y|`hyT)6$z^Ir8% zT-zjr$}^)P4n9V4sdeFe?ToSg@&3YWX9s6y)Mx#?xS>zaJlFm2Z1B&3Pntg-xI6(j zoa>cC`hFOwa|9<GO>Va)h||pw&JtTByB#d9*6~Z;C4qO&v!2}kY^Xa2K5I!_v-V*M z<-tnNe+0gZOr#)kV<7pFJu3wcn22@X@WMQMJJ+S|Y9ogLzsebH!tN8?rW5ETr@OZt z{&rZo_fF-j12X25lW`yCZPih|W%LJ+Ylt&`{5-@A-Yg}Cds;|55VU`y{AfARXnxb$ zOg%J=IpnUab_a``akB*DWV|Z3Bcrf^!#O#~j8_8uwP=NcVAOyY@s&DnGXBC=3Esor zvg{6GKl5^DLT$53VltfzLW#k{hehs}7yJ81Pc5hhP;A7Wf6Lw`lRq8IErm>;F0MOC zltwcIH^hV*%R{1x#;u~=484oCbxD~AzLZ+qwNtNecKdoyT<{aSOKc3AgUDTZ?NOiA zn!FDM_fSX+Foq;kQ{;%6A6K{vf929#(q&X<(>%0Z*C$>i_>7U`!Lhbct-Aem?28y~ zhuf2(V4(U6N5wR~JXIbNC)khH$2|BGs!?yWdPG@sZ5SBY)}N86GvI#msEEbIiHWcl z#LfL_#voM6u{)ruo$%QDeV`FSt+_(rTbz&9;D50_S^I5!qMzH=2^t+Crwm-Wb?usa zCagZPsPb}Gb#zhIOr4Nd`b{P7??G1&eB0*Og`^bNM%wR0X%vzqQ<+RUFMC^WVBJQp z9l96T5W@FW-kF~*gw7o$3jWK}5>xFBjeW}EV@5|XNcj?*3Yp$*Hv&F}&qN&dZAt;9 zXNI&KaHrrJwKyq0QscvH#350AI&^nz88qk%?yncTUoQgUXp~FyRge*Z%Ej?oogUu; zf=c7@c%8S13cX4lVD&cydk>O}8j@^Jg0YnkWk5K}t52SyRTbeg(r)!Ky#f>LYU5dS zz1&#YV<lMjC8YdfbHT0fi6>`!5btQBYio4EB=OeV`IgHz@*BrZ0(~CEhcJF&VjWrL zj##nupRl|JNgyQuVQK1E_BoUJMHkY*3>@~)(qXz+pU0aCTrQsv9#4pJveuZ}SSz!~ z#f;auMicmxJjR(l2%3)imLdU0Venq<&S@a8GZP`u$j>3qP&RkYP%elEo|KW}ho({l zY5C+CS0dHqOU1{yI3YN>HQOLXwLT3UwmaAB6?V(E%OEMZgR+9OW5%};SxdWPlxvW~ z$+wvzno87%WAE#e_SiLf%5ggqSK}NhcB>`oX>JM+a5-7RtCANBP{EFMvi0K00aZ51 zi&<14%UxCvJ+|2|bO}vUz^8kD>>%?lKe(^2(}qKE{TLfm316(K5|(z0Pk*W}Wjnj- z##eqQNPlip^9XBTWEZ&DbiJ^;x_?MwiO!^TbFMYi3&#I>F~Y<ics0mA&sWakciQ6l z47uTlS+^HedrXE1NS<~-lQR4Ao%p;4#aoagYF7mOtaK@*Cp&~*d_IZlEy#9?CSln% zBTkxB5<-ChVnJFdyFvY?5eW%H0MVmlqN^ABR#(f(-1AH_^=485j1j4JjqQYEz&13> zAr;sYIen*Rh`@FL_OJitrWGWI#F#;^x?|S+Z}!3vW-Jgq4phEm{@=@SEdQMx{U5tn z)XL>DN=PRHhzSFwa<+`J#15lZm)12lLj;132zmm!!2%(3Uy4NN)yVC@m5pB_WwDI% z0h_hJ93NochyiRysenDA2Cx~Od}zpWg4wHUN(t;%#oy96<}2q$YC|p&LC+syI}EYB zrbK<)AZOeS(Lw^zBLkb!VLBd3>Sjnb4zL+)>=mLf|M#0g$UG7V0kic5YKgYcAN$-t z_O5vM+uT=L`IrGd7`o8+@?q>V;3t*EAr`>y^$~P8s=JvkMgc;_gYI9MVA~!+E)YR< zAetfn?=6Ub+wX$CR8Y7#>`E^{IRC+Zb7>|fn&Z?_KW?D_AWD1p-}FcT8&SsugJ&W1 zFYCKXK^;B|4ELf=Q?fvxe4JAGP6;yLW)!Iiw>lJRo&dVUeagaO=16dLi^Ti0Cp|X} zrH!$VWqVspiX@-d%DJW+;5n7+c0+X9f|y!STwbEnZTE5H7qc%Fx6B6p0(Sch@Nn9E ztt%9A-z+f~R#vloe7*dp6Ng{nD&sTx=P#xu^0>n9M_keV^2jkw?U#pBcnUnQeJI$# zT@-9x6zoE#5u$&3(7dP|QPF#w#8xRUXrANsW!14m<b8C>S6V$$1w^){-@8#@mzvW( zE|(S0JF4N5n|eC!$|C5q5_NI<@QGipUJc2S0g83cT2FD0R`vN&8wrG)pL@!5lHo=& z>5|Q7`MJHAjXoJTci8VGZp`&6Yx_k48|3bl8~4(+<)J8RM9~5EY?6P2T_njQ`3Ooe z)`Qy6!*Uq^&x){kzKRINPad4^Jor*p1ke1a07cvQ>!YSLA_3d9um`K$(<oM4ms2^@ zmgoZwjt3g2b0K}tTLYzqkBEKQ7ZxVpZLHx&@XU}8WU_`HgC~4lKlmx{l%f5Y5T3tk zc9Ym1Z58AJz6w|ILYxCWuKjSh4(Wg!1ZgxN?7^1ji`h8MWew8@sek{@PuRNg#Ec^4 zi&<-Opa6mE(DuqFer0}PyV}rgskNInr|uiahR#HH`ZKGKxdEWH4)jx0bPd)0XB2eZ zWTA)0dc-SHf?%N<b$aH+_mv$St1QXx^m^F*Cc%OdBa%p!a44q7RPUW{Q#1+hau#Ww zH?a5N!r_$-)ko(UU6^2%#=r+pIwB}2Tj>#F%Zo5m={&EuN3+LQws8W-d#iJsSBwU% zPNNK&_<MCdD#{?n$1j@*0vZy(>G~w&E3s8yZ*y9mlz|~6hAd5!6K@}N;%f)rDferZ z+;j%z5vaSL5>{VM^k9_XY42>C?{AyO_Yv1>J@-Ie%0+~v@FEqupB@5{mAPFglE0Nq zY^PmS!d5dEnp#~<*vxMl{f=7IR#s*H%4Pkw<^kj1yzcC8?|=cf&LL#~#;psF%avi7 zAMtbK`IcYRc;=;iyJilA8S9^ANd7hj2$Q$}jgYwA$fK(HQ~D3K2M&DBUKYMTzpyTB ziUy$-w|MkOC7JA6nRw~o!sRD@Lm$utmpv7?^33`pyUA%W*k4thD+(J`;~cCg8OI)K zs579ytHbr%RX4F-PY%HKo36$6bNzzrNB9}nue=1;Pd*OU@7M#k!{>)JuqGfZLl=W( z=C1b<pD5Klx8UnXG|-neK}`0L)@rBLo|zS9i`jiI2hN}bc5wYNAnVt1{82~Pu7E5` zsd>ieXI&Po)X<|dZMIag_YseM%JBpc_Py$OsQG}&&)Nu753h;7WEz-YR*wQD;EO&1 zjtLgp{UI$qI_kmmZTlbblqeiI>j~TKo@zd3@(VwDBa+q)y4Jd7S#L{Wx_x*<a$1Gx z5@<;eKG?1Ftl1&s=y?JAsDH(%3Aj<uqcwDbL3)Cv+SLxn<HJD^5x-9*k9Yh0y3rs5 zvEY(ld5lpx9^s9DWi!?!F(2io8ZU)cG6pO+MlP<h#h9VCPZ^>mycbK1S6(99xmC91 ziP=L{i%0Z#ojwm@k}9YMe46myHjnmAK1fM;1TG*>XyPV*Jnke@(e$zG6<l5t8*{s? zRdm@{v&x5)YWP{JmZp|eQ%?h<zAw)X@>e>PQ3^kfIdgm{7@KOja>G-`lV>R2&Q}qB zkz8>N_*Lj9aIIy-Bev)&^L@mO7^5@>4;7E_|3j><&0Vglg}P_2r|r}O7I@IpAKx}M zuhJ7*f~~%i-|SQII?t|RUk45`=1l0`_L|_IwlaXNG-}F;w)TZVE>y~<@)ouIKBsx1 z$+S@})$?pLxE?0yXC4Md1ziNHzluTJ3w~6v8{9;lgr|Jl00%?Q{o&nium?*F7&=If zmioqamhgPW?M;VgL=UwmR6cyPgbxDpnaLN-Fu+uO$||I2#1^Ka7us!YW+1#E3-7<h zldY;<LzCc%(~nOt`a4SS`gpg0OEf8-^6WBZQ)$`QcmLn>U@Y9B_y(KtdvntvH3_V; z{TTh4D9?ur7LEH^`Xw#=)Y5cF6?#8OZ?K`^SMmiZxGG<KvBD=njA3`Wub1VEc2LGV ztq~f*kCJFipS%Yw#r0hj&}suP5%lO0lsG>O`iB$*Jy-V*fZP#+@W2GhkLWEA@5|B^ zZvHh#-wiz~Jt_3VN8zSgMv<lz^DyRVP5y0B&_1ZRe84E%@w*QSx;Ei{w@9nX2fJaU z1_}>PAHh-Bo_^KH1is(d%^puw)^5OQ{b&L=9kR>+8q{H=-&L6*8t;4c#aAF|nj;aN z7Dl)FG93u0uR)!>n|PFO)vwL@d*IfK9SR6vx%#2N_0%ar2QHq&?DuH@GAt_A(RMnM z0NO|u%Ma~WE2Os0R(u@aM-zHPzo!XChEC{W@joj^WEwwKNhcmB=Gu0n^Fy0s@u}|r zl#39PP>Qd-kl6eY{?{-9SECitpEfK8{!!gO{6pIxNUD_p4i#z#oK)yfe^=)B&2pu9 z0d*H>fp4Ju769vf`gJZ==j+aysvt~jJpdY1h4j8bOHqCo15wykW61NE;s!b!iS)kj zlYjj4tVrOyQe=0V-z5P0*B9l!e=RP7{=IMCVWYXtOpNJic(@)lvis(;KpRkX|J5eC z;FkhZO_-&fo1V>eNHGaWkMUggQkx4t<zR+T@K+N_tT8=nzdP2woQ-_Ma8Wp8fL?#L zvFBcUw^4Mqw=}os+gfIEe)EF*=Ar~IWJ(T)d}lL^T#c4&hXm6kH2l;~EIc|g%s$lL zwn@y7E4}kpOw5uPa|4lLW`s;6Mo<9wvUz_2(MPuVaG&KXAK2dc3sm8)SE4@$Wx@{5 zP{7XUq}FkziuE81RE0jY4fWjJ^7vw;2|IXz={c*LT4g8jLysJ0eHGJFH_?uH=Xr-{ zqBM-rGa1AU3ezU^4^4$qa4o#u2&tjZVYumG>bQ^}Iw(Bx;QIobI7E?Zhf+*|Jx>8f z5lH*Er9JsRB-F9J%}!0JQg{>~$y*fEl*)zs0Ft03Go!!kag2fM_sGYU^mZXW%=Al3 zNnXy+Ew5<pP|w(Kv@M7SJWpk&PZcI{E#PUJU3u;}1^U?K#rdjjGU1O7rq>=6CA=QB z!?Iwb0fc*;O<Sunb~D~CFD014Bm+5*_G>xiHP{yneL=k)a)NO67U&8;-&J3w0Jidj zf7n8(0b3l_rl)SNN8R|U8xwy?EsxL?94_X4&3@U+3%-s<@#2m}X7+=+`o*fbi!+l* zBQ#@~k2=M0^jVM;v501)wbPnEr~>zZw9!Mej^F&^&ma-ne91#kb-F?n*ihN^e{pHA z@bb!GrklmQE*vE+y(hKvhY+oLNRU^TXue^Zekzf8+Qj>jGWMTt*rUU>`4)kH)wq{w zDBsKW@v~9ViIz?em@O7GQq4y-NUJ{ufFG2BdD!ZF96T!(96aY^NT6kusbwEmDo9}L zhLZs`XH@w}SgK_l&u4<5LT4EQc^Wt&yr9sZvD^<oD*1pcI!T+hNu>LBQ*S2}Hb|;J zc>+T-vW>o(q7i-TS#<ptDE<%Oc}P7}NMATX8)hH0v;@{*Ig`2D&O63%ZB+M|;7`__ zdwo+@G{nE}V+PchGr>E;e?@x(H>ZuOZ6E6JWBRu=S_^rV;*_d>xT2_b7-23v8W^_t znb#>bMK9v_Gh~06$~#}tW+2VAL_x=Nsx@!5VY3ujOYNThBvd4^hWP?)>000JO<N?f zj7eZ=HWJ-Pta)SQcyW0G+}-Q9(bmfDM^-=OcwxyjT}hP%jx2d&NwbO(F3R)i`f4HR zHP?>6tvpTWCmU?5<lA@c7ky|L@+-y!UO3A~Mje~mwcwhI-3zDX#-FX|k&AA(LUL6< zxJMe}2z0&9trGL^l5YpVXTx*9t`}i}o#wOR-TA)38@I75v|6VEKW>R8ADK6h<#65$ zmn6S-^d!E86-^#1aUf-8vV*g$eT|7Vz?dK_6EB5-YN~^CqhW0m%W;^4t?TT1qMxr{ zyqZ@j1u?cg$E0#?pz_pOs(Y)(`R5?3)H_>V@GHQBc-Gn`cm@Hm7(Kq8Xa_8=d6c3< zOcLV{oo>yFLv29J0}2(oKm^TX{;i!Q+oBZSpL01cgXP_hwjB$peK(mT%!?#04Z2(v zYEcj8`^hnO6M3rTRs`w&`g0W~a%qOzU$9JK&tldt_HTUVR#4LR?yh`8<vrOuhv~K4 zj~v0R01g8%TmdTY_=uV^y12U5bp2iVXP;nb>sDePn1a^(89jFs;cMldJx0tni`RqA zHp$|yv#+(8+>jcT!b>f63PDb#s@>iGveh3@$gN<j<I%CD#O)ogURTyr!A<>hSH6ad z)OHs5{+xH~GZQ|uNm$Mfxr11bPth<<K?nVeV9dQl;d;4eUp%ag{bjHq4))eg?14Sp z8tN!`Y7ztrF|!i}8#DB8_iR;YJ<M`VZB_IX*&5?2c@jdLt-rf*EFNR93my_@43LC? zPBGTBcwZf$p5@K;HJbkTjv&fc-O;)Eo=%_b9Pec^iAnE!v`U@1jZIkea{`2vSF0TU z65w)7q+OWuei){{W<M`ZbaL}qf4DPS)in>Lz4@{_LZon4%kQ2pwgom#sIL2%Y9XJ* z7T6b?cz=#@&htJvr=;%?)Q2Uoo+ozRBHVuk<EkCKL|Td*%wZ+{-45N60)|hi+xjtn zz#GEqwG}R;`q2k-oy#2l`EMxDr;lut;AX)=9!ydn355;QF_Dg>K5!x9*dw?$hwG75 z(iIp#AN^df44)!QX`(%<TP5aLm#(v+REvOBRpBneb4V7I<|sc?Wb5zVU6jgFCgOj1 zK~BFUz#!NQBj;t@8!GGRH?O3RF5l?IseO$?@N!E@rXlXrzd+!BgZ<}FwRtDZpWVZ9 zrv5$RI>E=LOPnan)%k5DeUNRVm&*FnQn0`kPSoJAOfHU7iP!}WtLbFXaiI6`%k{U! zF}@jEGS>MX5*_3uSC47m^A+x@II|)|8F_v+q{E~-&QKQnq#6*5m<d|QCdmR}G*>@o z&F)7SZsK^tVLDdZ<oyz@xekC<0Voy<eY=Mm0O%Hg&Y=*n|AMMD0~jviaFa3~fVJL3 z-vNjbfU2QT`#sbFKyLsD4<CTK?xAh~(nK5*aDqa;_fQ`I*#lbRP-p-OY2*Zr5TQ|h zu@o0RQx+;!7C)4Yc)?~hU7n{>2$oA2OVWH%V$0SV5mY!f+S$S9{V^&uuPz=f{?o*$ zZ6&A2SHnP{+BRslpJNe~J{K4}CQ9(YD}5bIttF8BWXF;R1RA}MJqpAwL>$)E*rg4L zw_{^okbG^$hJu!x*UYLscZ@08S4$9Ul}T1j;Db0is!fo;2L}%LYN&xG$uay`Jy__| zrYSR8|7iIa&%fGoC=Gu`{7Z--=DK)A*5X1$szj5Ag>TXX?bu>{3!kCo=XoL<5%d?n zSrBw!i}i1KhFPBHiO-AGCJ_OND9bZxhyVJ_4}Z^~-M#Dk#3*5azfjDCom+6;k5D(O zm246v2R19GxqfGUMM5^YAYCD?ARpH341bg2*-#wO8xG*k@WM=wU#XwzwY`5?_y))v za`PPYR1VH$e6Bp*)0$1UB@S`T6vbFZ11+<e2BOHye6KaH{to7TAdVSKwAF)wVQ8+2 zhb53#`ecHqI@DB6z_K9u$%YN#*8sZZGKIuLKF|R7WR&I6%-<K2$rbUDP4~@!a7n~+ z#&SbJlzxSNRWAp9oN{gaif+9{wjJAH2}T;>%F<?G=4%^)8UhZ}N<5u^6rnAF8FFb& zd$4VaeIHg^9gUD}%T&81&UgO5g5XTRss9&hpG?-(hMN95xxO*~GCzpzqfPJ2{xW5M zf;j33e2`{FwaMdw|G6G*3Q7*peb)6>yc;~)WIbG%sbx5QU#KzXZ04W3l%N_V>?51i zh#5<ZcLN@(iOgHf;if2Z!jmQW#ecLJo)X-@cHZA00fOiMUhCDr^z7xomgSrFN85Sj zy}zGzo#6;X2oWs^AM&0agbNl2Cc<KJ^ifaHQba&T9)65|P(|SzzEiTOudeDdqh^DI zy@i=&eK3S<YC+6tOzlDhBeLIFm4EgJes%xLiMCV!_Y;j9=#xL6ES{`@Go0V&!F@t> z&_1mB``Oa)x3rDLAqLMuWZ+C4GjX_IjZv2O-f>U^SUYk;(lyX}*W;cmM$|_oYP@?% zg~w16cc5uF%^1f~7vJa5^|9XkFXs`Cwu6mcdCX#QoGCWer78WgV1bAy(iV}5^?0aU zU|A8+)hBGQ7*h4+i=Hg6fU^e4$PC3%CO8<})H)b})DHYMoe^<j8K7qO3Q%hR)JlG- znFDGC!A+lrnF<`W>w!~gwb>~FmRR1BVt;=5EgdnQ4Fy%Alhtab2h!;DLmp+zuFtK8 z)AxEcP(25VeQmdTCiH|7_WQ7wfWIBU-^oP-hgtg^7#i3REGOW-Ysri?jIOy8oBAju z_J`d2d>T68mE%e9Yg%Q635J)uG4k|8Qsu0cN?TUTdL%-E-5sMuQb^REA33bX%{YOM zBt&(R34A~AN%MwdyCbKqto6O43{glE+>+INv5FrYRzDBC-`D+AE<#VF_Jt;$GIS&$ zaY!)(2pK*hhNl>k#{eRO%rbzm;I~x|n;`v+AbMlKiT@xGx}g^kWxl)>Slw{i;!B0( zWzmt)6YV)}Ug#a){K#kxh}jUCBdy>jycqYB2|Kj5^Mg}D`UvPGFo?zuLiETmOj%HP znA;xu-EdSmK13H?5VYff#c+E|to$o6NHPQH>0i^JhO1ikge)+E@WKB>7C&mM)&n!% z`e89l1U9IeI&eT&CQ@K!LN|h=*0JYEG6&5UPki)7cH_i7OvU}$^og!rk%vc$f!OQ! z7`>j0KpI;B-c>M~7oPKEql?Zrb0#YC6yFcgL+~H@LWk)W^anDgaJu-fgS%#>deFp0 z2y_Y=2cZwr=)yxD4!_~g|4o2Dyj3wcX%nGKavWLNbD&_>wl+)lqG#b?f&Vn{{peZv zIQ9w`(2Ht&Gs>Cjt(Zrc$IKIPZH<IrTNqh(Ch^Hud1Y~lQHbz4@ReA0jwh`PNj;l} zG}2Rl{3$=I!}GM()HiWLEX`OURUja<5Wc(A@cHvdEdbaR@C2D$!alz-=Zz9S%#vaC zECLGz=sO`txdA{000b8b1Vq^(M`-}OBf!TM2n2k$Mvj6Sa07fszCggSIdW79aW^Gc z?s@#ULaH#R0=_$wxI0}N;57lBwhX>o2Ezc9MuYwCBdrX1nlfxgk>8@N{$~+|V3b(p zt>{z}>t-aS>&dqbH{kd=_UcuKi$HfJr_sG_$W39hL1T~BSzR|O*r@T)0j=;_<929H zLGz0Hs;%*Z)xqAO(p}-<;oL;goyMmvFyzStjSSfJZBXh5QkdF$#C2K`0V5?C4LF>^ z6$1gK95}AJ$Lj<SJsFGOYb9s~Cn^Mf3ZNc4F$^l1dd?Oi)PfOJQwKhHHprqL+cONZ z4Z^x<q8>-9rdECMM3hY(cne5Sj_qj%1(1)Oo((F|0!HwFJ3c1(O9`^UDIWniqQNyg z0j(T3=Q?y_Qn<_dN9%M30+tXMG<ks(B^b91l=U6jRL_U&N93tR*f8HT;8_PByw-$! z6iuK_$|?Z-tbr3Uv%G{yGb2nd%DiL!?{87F>KVITS*<&g?*H^NWiRn7`fzXZT`u1b zrv}P}YkISmk>-Sl2T~ZG^>G~5aoU&YqXz>dyICC;mgp4dt*BU*%USk9>D*K}IrMcP zawINUl}QR=+CUvEN;k1f|88d-!fg8eCeQBA;D-Q3c5dhocX$8q21zGYcRHrnT#9+B zG>Um@z;l}NbUMwj`hB()7p733ut?hU`#x+@;7CW+;qy^+v>!wDxr6-9@|Sx?@}4Qr zyk`QS%n>ph%1VfYXl~1E)RmC#l+ki_j&vEW2>z^twP&~L(?TgE&b0=sVw<tHt-ayB zEJ(HMYfz>u&k$a;wl*U~T<Kas>pK)Xe&!mI9wM&QbkD4~+%xs}jFT~xN%311TaC3n z2)5N52p?gAn7;(>*D;%qQKYh=wCfGw%M64nH9><NBbxyhU5KV6&qv5}^oES!ced7r zDBa!+@`*4CL)p4HA<C!OZoyLbMwsQ&I;i2t_<~ov<&VWvFv~-EP{Yg9e>7y%3lBxS zxpFN5(57-G1V9N{@`|eHaTg+i^s`1OFb1`>w3r42c>urTJQZWDBl0}#$MA9+<{EYP zMfLFTa-eAQ%pRmh^XB+yVPTCu@ErP8;+VYH$;n|_JlJE&L39{CKVb9En=NKu>$Dyf zF2f`(a3)~qB`IVm?`+3@Pv#g)iAGK{%<NAyEM6N#$)7~lcsSbMBb06Lj3ZP5E=zx$ zo5MyXkEOvO@AihwduTL$7PTXsRraKTH6-0S_srj#a^~gcjVhtILy+?xw&>8!m)VZ* z;kIZwRfyJ<yeXUJT!i8SS<XF=M2C)YW*b_<k6m*eysh*_MTgkZXZ1Y8H_<TeHI87Q z8kbH`X0rfln>zq*3qR%*JW1q`%_B2jlDGDvsJQqZKIytBX3l4w{wjq-HbELsoFszp zi=qH?SRu&{HauL#%Rwr1*XK1zq`t@wgkOql>u}a)hb(kZ(g<Y8Mk<~>$(?zEEmSe( z0@MhRdN^uPiJUgc`;tt)?gN##=~<t*3|A>tRMBg`2qtZCgZsr{MxK&}oiD`(t*nyA zf|xVk=%_MBM6$G<0d`3Gnw&<4H^qicc&+Y3pdewnyu#V$a9gr$Iuk2Ojfb1LxP;<Q zylPwEMTdL@u+j@po5GJ(#59~dDK-|rZV6~000fGx66L&Dt=D>UZXO(9CAb;sOen4t z27HeQSafJ6hp)Lk{MxnDQ)0se!>2+ih=~|wuH5PQ_M4mAy<0Y;HO#D?5h;>B<?Exs zC4SMV+>IjgHH>-9xBb*r4PSUHYhB8wZ5^Jzni)+lTk8<r7KxO$jU}#tOKtDiMUg46 zKU|`y%;}=$l2jXgkUDA4_9}Iq$QB{n2`Ryq7+e+3(3G>IuLr!7D?I1uOPE?ds@AZ8 zkur~b`c%riC*k^h`)U@z7_0#74vMiS0+`w&fZbRF*at!Y`wGSCRsgKe2EcwoF^nVt zd%6K&Np=ACnh3yLpqR@xfSEf0SP>Bz0N0@4)ZX$D;dFBSgX~(CBD9=ZX-jwi(>|v| zCw0b$6(zLf2Jb6q51GTmLc%9~j$J+S!;&Lyglg4Z)O__Rr%u%rb4jg<KM=@?@DwP( zv4Ne;)-M&Bgv;<|g*U|+A~voFJLRwunp{fvX8mpg07icR;J+dN-+?k9kri)NVN={8 zV$+xJC<DH<=lVy9jOJ#i9Fc;^W|UAjE*_|m@lvmO+cxTdl!mCVgVMmq;xy%>!iHo! zX|INbBc7Y)e-=}bFZk@si2)jVT@jXy5r#8l^HE9+<1Lk?3E2*E_7kAYLPH*zTzyiR z4{eDauV~})!%hWg$k04heaewk8Pa+C6M<m>0BZo~Q2B2G@c*pxA86!<@zMBH#&^=E z^NtwCi-AOf6)<T6X%&7PI}7sntP6|mSwKE_=BBMp<0J4=E1uZYgFh%<uI`7!6~E$j zOSxOPE`5RC6S*Uvn@=k(sw$g|UXZ+4B%Abeqt1?R@#&mUww^cY&c&c7#>{@&WY3*Y zwu|K_R68aMtF+xwG{)l?bcM5m$3h#Xvh1TRz}jXf$3Q5)BoAe52eK1P7sP7yM&4Ce zQO+=JW~y>T6p}$Cxq$8G#>Kr35s~=Mu-Y8lpnDEj-k=u1G6A&!7C@*4uv$QUreHzc zq$|Ge@~z1dQ%Icu(=tYw(?=aLvWoR)$S*xK8|U$(^es_tgl2)AN9BT^vq|ry#)&sg zV^HlxdVFegSA;astQpcK-<}9%=TR_@D1e@9ng*cSjehqT3TI1mB{~dug*iIufiP)& z+f-BlQYMFBa)YqIM>!S>KKJXD0!~Q!2megPnOqcLZA!g|g=DI7ftty()f9l59~*m( z6yyT$`na<9@WBteUur17c!VFH6z&BGIrsX%v}cm;1Exs7lA+-}sF{7S3cc?cJ9+D! z%}ZWzKp&IHwB;Akwy~^iKDSktT<HQn(RakcBnecLdh@>OzK$)Lbtnh$FWfksVRLwi z*gVU5KVXnfVRy@ae30&RlLkLjdA$!``tf7|S+Dkd-}^`XsZ5KpnzLhza?RnsH;aa& zV~eq-!*iW-25ugme04j=mRLqE9v#*q&M4+da13EGvtl6!kB;OMfQtY5R07Yu2TdA} zPU&efb7mkw^?g8l7F3zxOC1zV2e89F0JUo83wks4q7|#XTLEA<$Xl`A91*-m{%Rfo zq_Khm2zp^#Q(@yxiIxsquzD3$MIUpUkD^mM5ac8!h~v$XYPeRLqy_1Kn+YxC?Fjh~ z0%~|}H%2j-=G?(|&ZT(63y1oPC8Iw$`paOZ+wgD#<61f0LYg@%y0t2?>sDSUjcGV; zkM6d7>Sawt5<htgYhqS~)j8>YMEVi{)29(&O>CbK>lf7R;{kvk0JInbfZo3X;J+dN z-vFE4#dPQZ<kjsntC<i9ZkH=?Cr+EB+lSS~rYY704r3O-$^_4}#r1^PJb9v*_tlzv z0MY8nRJL+2VgH8Bqy8L)+tQ42CW#WG8J`Z#9Y&r`$yTQ@`9`v^c6)Bm{2g|T7fXyh zV%-{~VQ(B31jlMoLs<ae4FCiI0L%Xk!2ADT^G_29{%a5^_>C!2O(4E$bR7o~%m=+m zjl`O5P6un2N-hG2BeP}kX^{%uOsoE63M;91Lk_cF^yb%r8*(TtNjiH}%G?-SY%U31 zY)qrSrH6)2P{A@?I<~654E;74?-UkS7L8=Wry@=oyp{`0Ze%o4T!|rZ`b0c{jP-uX zuP`LmYmWyz1sQ&z(qRRxO2*=yg781Avcwm}dH*o(<7rm~7{mOy4&zEg(3`JpM|d9! z;yPjhFJA#Kcz_qZ-(LQ6CuN4zID_(A%0dNkeiIT~4|TH&CKr~aC@MbXPduvVj!z2l zs9-VZi&3}Wu)H4)%e9FIyNj=AabNlYHGcwXZZxB@50oWTfrJZ!zJLQGQH*o#@^@QW z%`)uZONJG9tUhLiPYUkp`l_xlN{Kd2#@atIVifJyP!B5j+&-1y^y+YT@sx@dm(GvR z%~vo*b%jaobB|G&+K@(K@z2^otj_WUDbgJG*QDk<xB`l&Q^@+8j8ddD4xR#&({0H5 z8Fd^wk~3|{6f2C6-y}d#1VOxLBN~a!vb(T4<u!p=?T`)u&~x#24R~mwcz~uMT>*uE zQl+V1BzN!#y44e6)8M*?e<W5FRaZ@@Miks2>rv+)*w(v{$k#ESc%Rgofx)n#J!FUn z^cC!b)K!D(J+#Tl^Ot3TXqnM4R$+J17Ct}N#KC&?V@FM3Ag}_A4?tId<FtNS2bQb> z7LF{%i?<R7u?MlcrPycrb^GIaGd@UhCL9<;)0QAHy}BfUvC{;kIO``sqiBec1_R4M zz8!Gpw`({C89I>Z7_kE9Ny-1A?!Ck5TGzhO=$(+L5kw856TJlyC5Y(Jdr1(z4>brO z2vZlmljvQ9=z?g`iQao}=b5tByVu%#zu(#C``&Y|>-;h1eb3)>_vaqNG@enw;Ukn3 z>?84$0sj5Vte{cA7t@J=HghLp&?w-rVFh-&SYRa`SRnycjQ(cj|K12pP7LfDg=3_P z++sv{<s~i(DN*0-Eft8Iv2blPHP=6@cNyYwK4+w$RlSd%@mWCu9MfeLh}_?Qc<`9& zUCB?sg6MA)MvsZyLjgbn0Hgm60Q%q1xmHmiN>LGeUT6ej_{*G^Tbf;dM~eJbP6_R; z*q6j!9FMmcG|p^mP-U<l&g46OmX2d2JY2J(mNm*uRH|T%h7#FLMX%`7xS5Q?OVmi+ z-;<hjdtE(6w)oHr4$j<%R9rt|FK#5F|HyeK+^+R%UEH*c;|zrlKjRs>`}^`=KmEFc zj1F(vQa?!-IHa-~`ivI$tZkilM)Lh**LCsXP9r={n()!^FTqsrPC>zj+9-@4Q<m!a z+!7~4DM8a@eh&$^CyHf>A~Ad|V=@jejb6n!Ry3My#z@hc<bn!r$jpzi#h&c*2X}il zy`+Av5&82o!KhzxOgn{1mfBCn*x>u`qU|1yW~tzk0+D8UNsA9)s8ABbO%Qhx6o>xf zegud+7{pD>c}B<u;-&_10~_5>j1Rd?si7z7K-h||1T8M2ecibm1P+9UWsO1L5u<o< zAaEdD5Zw*}r!Ljw1c3utO=e&<RMJ$`gx;4M$d;%9tNg+8P?x;F1&}pk9-?VaZmkKt zB~o5vHuahk<k4&UJC8SOPxQ;gGS@VN*PGPkAmeb(=gjNQRgxcCW%*NIK4*gG8YK7k zL<nGiPlS>6?}@z71{3g?Jld-Mo=5TPzvq!MNAO1;(C<VV+vxtD$a}eeO=RhuB{xyB z=py!mVoFqd0A*BX<WCkEa*gXmuJfRvQ)RlD`B_=v{`d!31#0&XA0*%02L(+3qkv%F zk$m&lin>Gpg8a_|3e+j{VlRe_{&`~BAOj5O3Fxplr`H|E;XwUaFS5!2Ot2nHgm9;P z;inXA!e?a63;rcfbLT+=^(f(If(H8VX9K0D{=M$%5>kKbpWZib|C(*-G!Eh4`-dE2 z`bXX2EzGY1c0JH+f3~ng&Oc^;k(TpfLkT}imD)YAP$itoootOl;dpSB;wp}cD#A+C zePv(?1UcFj++rZ}s~z-ZgBA!jPRpRtoiUADtrNo}LAgW5_^=s9EeqP^K4^RBy6<6Z ze~(*H@1Npsxc&FIy%_%<_J#7_!#>aaN7(Q^??Ub`4J%`OiWEyNpD%gH_X0bYLi~_> zSU7Q;V=WnmJ&R8USuj{!-GQD!&1um)uM_oK(VnT6Cb~9NUquUjHl(1*2hVi3-P^OK zejVHW_&7MA?B+ZlCiI*!Sa#!}OFd9s^jNl<@ilJOG-Xz)!I68C92VDi@x*(iwG0$# zQ!J!Ucnj}6b(+QQFvtIOZ#9`JU~szP4R@R$7j62zCvV!t#-PeK+?qb%>v?!!&e{cb zhK|*fsBKXR&bJpXK{YkKVq-wQ;~WT-0RgKM?2OMm88U+@_ecXggd}yU&8S{!NyO8h zlSYE;uO$W@-B5q@k^P|k+50|}T9_iOsFUmc>G1nRAyK0e*<F|SC}cu@dG+2ww<Qbx z^|>XdwIK-yIsAUryr8fMd>wnzeilbbbw*l&$1)B*(UO%P2y`-ImPt7Jd5CXKDnE6I zwo5bGmmJYnbdVG8S7mw|7I9n0UZ$UgM@pTMN#L=nLyxLd<p)BY%%Wuy1E54SsjTS` z{Ugn&Olm~?#lGCDes#-`<bEO@`&a#J<x+aNERfsd7Y;q;Qk0V)a|Vfo(^=x>LcADR zPGv3nxqhTomn_3N(~4EVz=L=!F!111)}Wv3Yg%>DGOQ-8c#u#yojJbitrsKnsjOx{ zS4moR-ZCr?Xn+Bx_z*C_bSnF-pDQh`I*TMG-Y{qIHc}>Iyq}ir+f-#`?9dFzJmWri zL+8}R?qTzVJFM1OZZ%6fAS8t?8CveG`IQ)$i~7rdHLAFlQ9gjgOW0nCi*R#gqi3b* zm4*)ARrBjFY!jj+)f)5%+7E8!X-lH)+aAw^*nEA9+?1l!M}H=+>wE8Lh7G}J{S6%n zEizT?XVO@iXAJtGHEtNF7&p*Op>gc)jEr0CkA>@z{aDX$b{7EwaUdW71a!9V#d>nF zN7*TSAvxG1z^>_&V6W`?BL9{j!Dz5Yj(s~AS(0lbbQ1l0M)WPd$KS}C#7CU4v#n6g zlshoU)78ReKAs_yC`@C2&j<(O(O}#eojoy?l>F#ETa%Gz8ZiRI8RD~|6Q<_9YxhwW z?~61piBbTyhSTPUxkt#l=W{#Klg@#65^l;zxpTzQtD(&D@}f5+e3!Xgv^dL>@R@vi zDWr9CY^gX5CBo=(UFl|ZmsRFX*Gla39+m6?$a6>`%9gQnPeuXt0k3Pz8E-YWu7sJT z*xDU!q#~p$vUWWW2=mcdUC#X=^1bXq-*z2cLpvUC)<HWQZvGCJwTN7V9<LcP+l{87 z8<SB;o~WdI>Z!i%Np_nYLpPLp4RK<pCp%4c-mpAJjJIf}o2l_*MyR1fJ4NPPEFMRR z5L_5EVnWD3|3<*xI2zOS>y>pDGIxH6+!r1+bwmeg-2D?H6{u*1&#pMpRi4h9yG#Rd z@#xY{^SsAL9>bg$-4>&2aYojAv)xAo3hku3E4>-b6`qUye(k2*mvc2^){w@`NJfN> z3QSg?6I={+BnLU%eGFq2)@zGy@;u&~Q*U|ny)3w4-=+gQ2fdxxWOEQkD710<g!%i- z-ao6(nrnyZual`+VHx&5NgC58g7#RSBaPt*rJ`!P+wzDV&5NaoS9D6jo!f`!qV|;{ zen#ysCSNK!7EF#K>)Uk0<e3@s%SWhTKs&`Qam;3+2kE#2=M<lVc=~vA5ugOV=g6yt zhN+mx<Nh<R<;0i8QY0!m9pM&B!=YTvnNr5j*kqIREk_t9cLMh6?TWCMTgjIP+SRJd z=GyD+5KE#F9P@2mjonE@pA&3z8y2{eaAb-YD{wv6x_E|6tHa-B%)b>=d;gR3@?Fg# z-sQV&aSir$IXY;r&*HzggnYhjrmOYAa5K3aJc82%$Mu=DW`YaHREJ~T;8Z*0SZ2IA zC!jSrUiF@6;bBoQ(cI)yX}OIa@Ub|Rl`d6Zj$!f4`c=F+$JCB}+2GW+Jy1B}2MV4* z!6^kO=&%EYr{H6eA{Hp1pjz}>`@{hkq;|jsiCvxXCKkW$;P|6hSkXPU)>^tS*jNJi z=&mPdgF@W$k@wOjm^gNJuS$=Kgzd;>VwR82n9)6dK7-;4gW9DR3_p864o5&JAGFLx zE2VO{bzAf0>jXm#RpTRWqNB#?ecSMMm#Vnh@z){UyEB_p<C%sh7@`al57w((AJcDA zmDPN_gI>s*eBq}Cn>j=%l+!<>l7UI8Pp<mLuR?0XDcKcLNp)j5nya)X%1NfoPm}Fn z1<ng7#p2%?-et`9DD9|)p5(FbsouR<`;3`T9REYRglsKho=3kS@4;fez$vj2;X}O) z*2v5!E0GNZ`PW#F`ZY$dC$$o3-H=DVm`~k(4Ltx*$3GwlF7{8@e+N<jv#~PPf3aW2 z>iAgaM~`}RvxlnxN#57RkYOpqhWmd)A5QY9yNL_szuT#U=s{Fa8VJjv!Q7&PKQrP( zo&Gng(*TvFe15T{Vxlpl2Cbi;PR5kt14V9f4V>?1M-c~Y1#EWZ1=O%HcYE+?8Tf`o z5YhLYJtd%j#Ufx}_n>ud!b{V@_b}u({eFKit-+6T7$NGuo*JzBs-y<_g1Aub1+~c! zx*l&$9Wf+R$GAHXd%j$scYjS8oie@75AxU{6bF4EjZ|8Le3zt9ZYJnSB&!8c_bQ?m zdw$o1ucnSKQRpz;fh29VTs%r?jW-zLtOOb#QPLeP^3&yOGBnxqvCNwaSnaV)9Wk`n zwP1tx_KE+_1{Eo=0sUd**Ju5rlBkio)PW?KPFgK?&;{|Z2W|_hzq??)N9jQMf-N1l z@5(Y21B<BtiaNYP3oAz7{oNujO;7K%U3>%C4r(l6Ya<yAnSL4jhG93Y{Ha!ppuQSO zBTC4!cf?l>tQeVC2Q1XeF9ob#zyxBtV=gDO8fo1$LlHal&8CUkO}~t_5Tz?at_H;~ zL0^p+D4P5B*JNxe+t*~gV6Orh?Y#ID#0m<tO2Ly27pwg(whR}uR{B@52y6uy@aYT+ z0{023BqJQv1bVV*hBbC5;1i_Jp~J2fm&jB6#kWa^odHL4zcXLgFHw<9W;7vnwU87` z&4{Rg{(;8b3Vp)N>ULjMnx0B;l>AbSPp8Xz?;L;n_J4gsL_-I1Ex5)i^#K;~HRu5e zoqP>SzP-k$_)l=CzvjsUl1vct-y!JVVE^wxCHjv3DCyB1ntPZJG?JQqhd!X>(>#Dk zSbFYdb4Ql&N(_y&6BRT)(2&shME|m>y*Y&%OD&vx8iA;w!>}nn9lW2|0P8Upjb`Wv z_7$to*Vwc#55O>KO7sB+<P4g~zFtFX$|i$!lfFFsf0{GbSq%kq_=!Fc#R?s3*q?=@ zFr>xzSq=1Wu>Vu&KGv|L#-EdV9fIa3`metIKgjK5X&Bv`RktWFQ<^9f#V9u+sL>rf z65DM0>g1%6^6LD6w{5H8cy6Ru1Ulc|KD^LN@jN_T$iHY9i(^B*c<C=pa+)&jb(GR( zlL8$b>?ABrd%fcGsybV<@OAVwKlH30y;MBAIy)bltaa|CxH>tW-K?Bjt8J@<JRy(0 z-JQ*$oe{{R%KhAyJB9RYZSoAN4TNol*?74-)_s-`Io~^~HM_bzaMlCyz;O5X8XN1+ z+Dbd7<EP7^v&j)r&$IKklv9gJE_au`-J!|SWEtkQ(q26gp}KRkM*W79IoQF@I9nJb zaB0{$>$;7#cej`A@G2dLYVXxz@wCW9{pt4M<wfb%)$TybrCme36tpFII^Lr>2%WoK zZEnKC!>_i+N3NV7OkJ79OkId7I3#<TUIq-FZ@pq3UeVsL!Cl0%3ieq_(I}aI+To>+ zI64rY6Q13K*B`|IE3LO{$qd`t)LqlFwz~tl9FF2St<BR~nKW1k*deMrl+u4_CH-Ev z>=<9tBk0yeFI=fG1XZ|nW<L;NnDlz`QG4uNWe`i!?zut&Q^B#IXMsmq4}L+3#fZpQ z*2Yemg<u2Q)!N2R>XKF6NJxrwqkZ9<CvS}COIJAd;-=oHA5l(2?6=r=kFK8Ot<z`A zn^YEm4w}DDoE-mbA@12(Zc$fv`)%ccOp8}rnTuSFW|O=(^j<=bOWoCU_LJju2ltal zMFy`&4y3j;H>%A5iCc+!x)rw(p<^ks=RT~xpUjhdP2wn~fcWL)wRl3vRO!2=<X5b2 zy`eJ6Q(WUO>IOX)kGe^IhI}`7>aF2r)-!#ab;Mt9R<Y%pd(yo%x|w$La;e&^He?Fo zDist00}Srh1D9Z6tJ>@=WQuEm3vP<70d8vjFzu-OW!+Q4lB7Ag9<!qNqql1(LdQgQ zRj5ZK8h(Z@QmeuaPF_KVSwEya%SSZyerleDzI2pfu$l@KQeP?2t=QFUTaBFRue<A7 z^uuJ*Xo1UWh;B08^*OYwo!NC-UJ=Omd?oU-z5Ljet0qTQL_%5TM}&%qoU+bvl!^$J zlFkn#6_Hy?I>RU`4QaJC#zQ(MotvvAj?N3rb@_5?Q_;oyMXWE}M;gXz8;mz}&Mdc1 zOUf(b2D)7m_;vbRM?^%G^nTzC!pSgREPv0|M1cD%k#J=_=tue>oDQd7qb7pZ<-NQ^ zu>Ggk<lw2P!k%EI#`G&Up>OiDQ;AcXx={|7-$D{n6!G-k<uf0eNl-I5KW$TKb!c-= z<LC|A@ifm%QM^jYoDk}d;(xGkNb=L){tS__%k??pLK7NlYYK%euak!!*nKZ<Egnxo z@6%8E#C*sVE5CaXIeV}roGv}j{MD{7DzczzC4$LmXtlXIV0N~BJbV1mX=?7IhgDW@ zVP|pCykxvfee1Gf;v2;##g<5&dgGLF(@*5f@Hx+f(Id3IQH{OS&rLRZ>)=&fKT~4D z+|z`=1#o%1ulIo8HX?CE>t1y>>9JV^c_t=ZeG}?kZ;T!N)*n4ha5ZmfXLqo`Q2C01 zH(|6QX>=j0{B?Zwm<xO9yMdR=RC<PX-{oECd0*d_Qz*hhQ6F`El<MiXYC=B}@YTak z=f1YBU6S1%&j_P)6Zqgw(axSgVK757e8_bNM^4^q)9x{&^~2JQUa#=xp3M~@2xZAN z_r(|DZn;UsWW^%DB_^s`KjdN_U&u1yNqE|YS<zC~`ubp~w6`HmB(Fv13X{f6n{_(A z+1FIsOO11Svj<HSO7_%V&+S`~|60gDZ0S@_|G_!NE`oPV*?QVae0#GI?_}kfsZ9mH z^JewvhV)OY`q7w@E7KQKnNu&Jy{4PJJyW+mN?A;<dMfJV>PIUt6FgsR_f&ki;2qy( zJupq+C33NLI`ew_$fhE59sDQdoXUG<J6Au7HW19RZz|Y1m9XIgb1UsxxzpQYZR3#2 zJFa{<{j#feFyR&MI#AVV=`oE<Kw_g<e0tJ-A$5`(nwDs?d6XQ;S;qi%O*DS9yKfW{ zU}9Z4XxcsLrPa}W;kB;xhSDhRtfwOt+x{y@vGsZ8>B<rF%x1B+Vxt~{Gjz}S^a8W$ zOs;xhD`aMquD-DxEnoLsh`zp&M>@Z|?pIJ4Mvp0DT+GGbyoc5Ro&u{7%UQ(8Nm*8k zn~sat;a=yS#Z%Fey6rM(J&=BJAl}Q`aMof}ake{~=Ys0{*8$FsHr~P&hFYTJn2W?2 z5Ae1^(v^W#==gl-VkLYUauK3|raZ@+N};Pw<}+QdJrvX}(1h-1$4w1`)8}ayBhEIE ztINr@;@)&)gO~gH#q5TSjcDD)+2kDMCA)l?S@+fPt{iIV1vFyZt)OGS-{d7Qn{^=@ z=(g>HvbU+Q$DGdOdSV0qOoTNyT%ihGZJsDD1@>F-u{C<_^bqsvdF?ImZh%{p*iL?? zZt9fR@~<cKAMw$>p8j5KGxF7wcd6k8*3m`cnHS51&C-NT*OIkv9`{qH#U$CacBin5 z`;+{K%<ax$7tsA#IOhVO1vujhm=*p*t9G|&hBejv4!4*KzBNtw_x}Y`&agK0ABrAY zHMeONYd#$=U2oIuEzyHjX%pOr3F#1Epp3heOqs&^wF&4^Y}Pv5#$k%u1Pmxi3~L)| zunT~q9wrx5>OF;h(k3APtx<bmT>podAH&++-(rxEj13QeZ`7_@)Q%8_4bRE<s7<w~ z4WSNemg?^waZBSyJm<r8hqXdITWZZ-#}^)V&knnY)SA5ZKiaRWZ)e!APdXol{;ZrH zE=4^I<UeV~tg6I-BKO8Z8{a0HjfUGNn>4=pH_S*0<x*YDIT>W9d#3q8=DU?>NXdVs z0OVz^<%9p%@@AtpLhHQD`Zn$1wZ!?ZBi>7@NcrGZu}e~b^m;Gx0Mg~X1knN7=DkD+ zNVE463LuT%O9zPguC?Av3xHI5FAV`w>b>+0kYewpazOIEmofp#_FjqxB;9){0FV^# zB}YIKyq8P>fdPkrL;;6@gae0wgm^E}0TKxO0pbVzL4ZQQAws^ZJ8%eyGjIrqJ#Yw! z4R8pE6>tcM8E^=Q5pW2I9&iZA3*ZnCb>I+?=fEK#iohWta=;-V(!e1g62KuKqQKvc zd{;s56Wkf-B+zuc_(s^t#5(2GZp!pJ9rb-q(&P_9w`O}~$tWt-Ta8@0FS`9iSG-J) zn!QA4JS;}H&^@%Qy6oauSmhRE3R%01E9xFZWtTTBrg)aC7>ZoEMQbmv<!A1e4Ueox z1tZZbT6y+}nwjUecnohdRd<i5%Pm+G7LR6sL6uL2hEL<6tS;r?6YUXIySU=wn9cz$ zJ(j4GQ_DD^!h<1-+TDWU!}XzCFZ5Vo0L+DhoN&1gAh+?1xcAhuw^r!$!O*``X4?kJ zp-ul@`IX#AX#+IYu=(E%-{~oB7}Fj5Z${U?g3-%w|9-S(*UdM-tFbD1YHXLSkfE|< z{f=N@v4chM&q}9V8^>x{`qE63IRBAh9*Dz&c;q~}EPu^n@)lI}f?jbgxy*fX&;7@y zqiCV~uPspxICSh>vmqCkOcgb2QH*fwvSp;4V@I7y@spyozs$r~O`7bt=?r%_VSzNM zBV<8`%QC{a@*;DSlW}{+VnJ!{rDT1Z@6++_Zb|%*(dNp*LQuuTt@X~61Up5jm|@AL zL%2SSy#Kws?*$(uxVhpucivN#JrY8r^;+>0c$$5SaJ@)kBhJx&jjiOZO^m~ta~3e{ zv&TD=tb6s&yivGmGokV1aNo`5a(Mjta$R{;y^V}Y+g#7isM*qVU5=O6>pd>PE1QPX zy^+Y3aM5>a7hP<;D=8wi)xyxrgctV)EL+Q%K3E7FX%Tmp#c{lnHLgkcwo=r`H`(*c zLESuY)AV%>Gq-|sDc@xDo$LhCfv2hRm0VflkK#5><(&gKCwpTzLkvzAE7jW)vKl5S z^)60#FV1S6!x!hapn7-cbgOMHf7(k_<f^jKV{a(DZGckb?B(T1HrgiCSkrhpvZGgu zIVu`uzP~^Wo&M@t67}4fgz2SZp01v4c?o!(d`oGhKR8{uYz(8kJUN?m?M}HoKM(JP z+%75iV~f3xcaCj(8;^H{78BSSE+Q`7C037zMy9=X8pE%4zEwh`-Qf-4y^U8pEerW< zm*+#?JtNZ|J1`q4tquI26@A62cfOFl)$wfb?dV8gLi5vBl)crFxh=|R;i-$A&4szP zz}i?<E>ccy0h%1I3!%%so#siKwh`xWo2ygs=9q=K$^5zEt7F@v<5}*6gq?%Hkafx< zJctHHl-uX^{FPJOZL*A*p29-?C8Rf}*qptKhw)ew<C9rai=B|rt++hnq`YWMlhCgo z$P9xAWEiTcp}n!;GyXNYlxa*KJ~Qs&Cn}9t8L0$tvYLtb-dc6jd7r1$O4}oC`$(ra zSedZXrQifzA!qGCKkpG~$V18|499vR1=XB!<5cSr#=(1<In4qAvcne9oI@R`S-ROi zxlzO_^*;!sU+S+yj%2X{qhAKsxY<{*ETcK;*s>jqvW$7_6u1)C14b+YqLQ`+-r1Jm z>1N|7I5n+tH+4`{8DqVi8lPOb-A`PM%H(MoT3pH@qQl9Nw6jtZ{PG=T{vMgEd02vh zU#3&);jvP-IOf<?<i$>^tRHz<Jxi_lcXTPocGAqZ))Dr~5dI7KL>0O%j@Qcg?uc*> z(^eV(?3%*{oI4U6!-Zql+)X%!495uI*fn<>j-kLYA~*&(t5J?v+32D{fq=Br#ZYA_ z-tYXmKZsTP3+)y199}$k*h`FJnjF48DY67*$f{c=J<4RujV{V@NU2j!NZgE6w~QPR z-j!vstC7&n6<0WFV=ix7*{m|R*G>v}Ymu#P7A;afpgyLM@*pn9>C||#rD9+W<ZO{W zlAh_5>vSrXZGbD#x3TXd+f43ozb;7uOEr^OwNRDGaymF7Z`qUKF_UG8H{gU3VH1`F z@ekKZl{hx`PYZrzJDtjouSC(^8d69pNcidGX0I#3xUp|qHpn`pK)KA_E?#@5CP_re z;=P{}FRa#*pq4w~T6ghZbx#-T-YAc)B=jrSst9_19AHONbpZ4Spx2r_yk@C}V7yc| zWo(7{RkmV`Jdi7~HXL6Wzs|-6oTyd?StvA<s|@_kMuixLXG&1RGnEW4giSA){p{kh zBoR%{XB+z+HNmg4x4v85&rE8#!%{9+K7b~GW3MDpIe^9l=yTYH8Hm@Jh$$<nK`?(m zHwiog`qa2XvV4GHjlLqOL9{sagVU+kpSgC6|4ud5@8R!wkFMiA`#14cF7bT$q0o5u zznu2PSZCv@kS%_E1)DG@sO1^IF4FkFQ4PFKmGbd_rCOFgzJe6}hTmKr9&e4pu`N7c zFTQ^bSa&j!_?fKeWaym-6>>a-XtFq^eaY52cn)Nysh+bqj#~FiQWcn0og|VX-j2B% zW-z%e1;Z}P2_A7VxwUx%j8i{Dt1G!lCC?#qnDO?gQg$>`ZR+<Y(G5AB);V0tnd9*# zcUD7HRw}aA-@wc*2-u)f1&)jT(#O9iESy+gh1avrGA&_c$Q6T*XCNOw)m)Pa-Vf1g zV4FRn2@<n~4$AZ;Q3|UnPBckGZ^vxY0+`$yg8@hS5pZVS|Iawlf2X3=@%@WRj&YJ2 z<E>FH21lk^69ug3x{saKu>+6j?!=aiFO(^P<IcJ%KMU-@hJfYa>4WEz_H`mw`?>rL z-xrUKn_9Tr81m_B_;piYO3L<i6fEUfJZ+$Nc6$%sybJ^LIG?id6lP{aPzx<y>+=7r z?o{HnF6p1Tdx}yI+d$v#DXKczC&mO@h7AZ1PLiAXQy<2Vq{>dcF!ZOcK!kHx`u@}p zk>DH-;3}>;JUE67$ME6UHFpbe&_fhBNC*e7IbygVDoLtZ2^TvdlV-MRrzB6CMbcaA zFs?^*Y&mHObD{9$mlyeHR7!jpYxuvTM;8n*naJuYtZ6^(uy#o@<r~E+9>8P}oOL9d zHKwdnxRbc<J7VD*C2ZS4<YFJgn8x4mU-{DgqgW06Y^fQG$M8eLAUQZRbc^zgl2hgY z>Udo$?hVbXpvB|Eg8uBqV}XRZD3n_R<S7O5%K)qMkBpxi2i>A%?*R@BSKP*c{s0u_ zVhxJyY!*iyKUWL-EPih7Wtbw7yu7_f3Fx!A+C2hU%djo5w>;|E0SAWj(t8PWU~f4w zd;ULHWr+I!>ncOnRTllDtLXpIk`1EQRfZpw{;2Yc5`J*}jfE<%YsF*J%PGa(X46aN zX&F{r$}ghH&e5~ekr!+oHk}Ob$aANEs0#j}>h!zJc-LhP{5NF|ye>2Rp!8>%8U84< z-v4jQ>_c>X2@AK;6{WB#cydH3`qNBp>1b-I>SB(VSB+|~?N!v?d0T<dVJ|Hr%TKba z-X#V{MFQwzOV8t^F??~WH=PB+E+=E|>11znPipSNlTEWTq@g-`>$R8N+nZrEeFr@! ztl195JylJXHyw-d5_HPZ)V!igcJpDMod|AWd(mm13@xa8C6(;1{eiG(pBw-rs$@6e z8o~0S(LU)}P`{)%S%AHEA|QnB%yv#|do9>JSGznM=sd!y&x#hUPbpO;fBnMDXgRu( zu;_dP$g`R()Nb8AFWYUCD7IKo+**1`C_OPeO5(P#<<AT`pF}q*YMyKcq^77{x-9b) zp08Xa7XAtv7q}x)>@i!(AO9Mw*rR!S=cuyqez9J|*j9eh$(C74H3XT*;awWEyB;5X z_K-GGDjHhxQVl#d;h-JA+?w0D*eR@@G-{ZGjQozPF%V_2<$O8JBvpqtE}fo-l%H*E z%n4^so=nRQZD!RJ&WRpB9N4_vVae6GvdOd@nRtm?f^2emv@=xO_-rics!(O4BG8iZ zQh#-2ixPfTejJrM9ctl)tqH34RE$l&*;DLV$aCdZ^}MyX<08b;#e1-`@!2rQ;|!M4 zI#e9getojX=&E<5lK1e6_Ex^)vl_WyjzUu(<O*kM)uKJdWgBc9n<nCYt6yO*R<Oj5 z7wKD{`BqO7b#ExKR{B!fES?*Fp1@?S?k3GJtR~d9i?c_DzS%KX&2!d&QLZK=w?>hE z(bS}7TR^yOjrow*#5JKP{lLZJ;;<*-klW`%GSwBSb04ODF3=@WD!LOrz_Y~>ce(pk z&&%%z4_kOFoW1D-Sf6Wl-y5*tMGFA^31>~N**Z9@e$9RaEW{>r&HLY!IofeKot^b# zWF(=;b~8L@yn`P;4xJoKTSo=Iw5dGH!X#MP9G@O<X4hHhyBZ;cVXHph%1_uXjOThe zZR45c@{O$+l`sCS`Ij9xt9#z*jDD!(#g#V@`QpdS0F+MwAQw0^h`<-m1c%n{c&9^* zui#h?z}!p$#!Tv+?rJpPJ$KL$jxl4dTgg4+QqT4rkuQvqsNGik#)so`O3_O>kJE6< zOFEIWw(v`Wl=C?>D7g1x$EdL-`|>zd?-v(z-p;ljY$FnH^yJX}&L**Xy<h354b8*x zI-%YKzPtVTQ*Gk0hmP94`%t_UzrTfE?}2=Ab(RFcbb9yWtpouy4ToCckS-h&2GAB9 zT7*M-a7YwDS8xbb5<vQJNZfxV)I3he%tRtpwYk9jewk@(G<JX*cByY_pPPXi>uoKT z?zaJttO7K1mCQ5QnQud~dAD@Ii*5T#%I+{uLT`>jyt<n|%Pwh9TCe(#$l>sf$>uDP z6!;}mOTR!lAot_xdp>W@{4v?+)1~WM@>d4~_SnNBiV^9B9&TYxHA{?kWvYt392$E< zQehRpR`^lZk{DWcde|z^KZQ#l>CI-(EiieXRy2&h(VKs0W=<If-EE#J-NE223vUfG z*zPz+CLKdFYGXgv_IQM?SiPX)C5mhKdON`?tZn|SNBdyw{QDKcHoBI<)<rnO0GMSs z!`Lzy^GPDBiRmzyvpit^y~U>(&oud0{DQ`01?DODpT8KyjYC}<vodjuw^ZD1ysI~v z5|m)J9FhWEWnY{?q`Fs2mm6obBYtaMmuDBvXw7rey;|j0CzI;wCkN1x$GP*s5p&A) z<Ob#C($01h@tj)Q0GA$nP9%07onQRCxFAM$lK+6hR||&uvIy)kS=0?$zr)vl-=}}} zK_;bVhhrV;4{fZ|ZHx#^GZD$EoqmYXjHr>B&yPWJNbj^0EIvk9@#1jXy~_5nEVx)z zL)!m{kms#wC23K}`TX7eLY_1gqcpO;!6)=&)si&P=Eel?B&mC8qA4BRLxeU-m1bOf zQ#+qy1Tx`0!&RloAkPz$=T~w4XqU6Aw4k)m)S24Z94(=Wp%G1WgW`fb@4L1tB9Y6f zeGb`2GbS$8jt5M^Qgp>R8HCXVh_un2cy8W#H^|C<h@(Ve`e8~k`C<Bltp#kz%-}oN z{K3}3?3YLIiAmgB1p)iF#au)Z6&$5#@Xt0;bW2*Ky2_8YvT6vCvKuIqlQ5Zd0!3sp zQF9a+g%^Q>>gch!RSxDy@dzgG{~<S>!+5YK=>$s1PF{UDut5*tZVPSl5ll9nKmoG8 z_$c^4?5+u~CJ>bAHau&pT=pEX49*;}9IzFD?GxC_z*YseI?f!32_c^V`3d2dkE`9P zbo%h5hi<6G<;t2qlX<}8Dn(bAV@ybu>%zf{mV-{k5kcmSio%JBgDJ`Q-)?#MnPgU! zuG<42nYf06l;?95{h0JEyPwm<na>lvQ%Q@E;U)+c3Ln(%-oV*~;rW1aSe(Za!f<^+ ziAIk(tYG}vfGasLdI$^8B6x<h)HVS#@g{hNTu*5q0u#+7P(nRV*_hsZ4-3mCc#77( z*WMIfEe$jFA$STA#7R#zTy5KWI3JCLg;Ek+EoH~md+se>&IL;I*0#5B+#!y;V>(Bj zZuyXv(+<uU0R|B;>L)L?=VdR?c7|*kvnQ(dyno7Cvs3TV<2{y~nraGazavP3?<$8z zLv6FDFFF5;JoHI}&u79eeMw_7($FV&-+Np|+UP;MlX4BN9Y?Gu!*tWtAMTj#Hj+jW z6ivovkZhr47DRd}b;_;)CI&EX;Y>VWI^j$*U@%wFWYi+&r(~@Q=fBHZ7tA-vTIbIf z$y!_P3w!Q`+`V~scG7#9un#Aw;Q}!3P@c0w9p!)vHky<@Del`vE^>(W*X{a`fqrS~ z`?gB^krcRT!~2SJ;;qd>mzTo@%4dz?ZAWdDM~xmHG-Q|K7jrN4kLU88p*?KMbD`s% zy(4GJWY0_6qss*w=ibZlouLbiDglwR^>4jb1DEHGB4^E|y;r>#<44ZLp7tlZYdglM z4~x={)K8ub#xF=e3yFa!r3$r72NhaER<w4~k?UevF+|^DN_*WV3_rimM0N5^MN&cf z+e>VhHrn%x?#NcwkkKzcqdD%7)bg1k8I82P)L$ov!wJ=)EA{z|W%7{SsjzH8>IaTc zIMoFb7ww@n-kX-GrKa~k1%*fm+-=Y#47XPGpR8YyW6Lx~W$5X@fs~@@xM*D^Pv6Nm zhObm|T(D+Mx9q#edSOLv>YLq<q^`R2f#~QWCj(_5Tvm%4FadBz2#yQ_MiP#|8TpI* z{k{Z~#{I%XlZO3wi6-^?F9;`f`zPNuRu?O(N(s`6te)N5$xo#uXe2tlx5Er)a8Drs zeFPjT9HJ`wCJ`Rg$ej9=!cFpAxH|mj;;i(yJ<_nZOWfpibA*i(Z_<CMPuQxQr`-ul z8=g#Q^}C$wV!MEdf5J|{FSz=bdW#ECy>P?zLa<-0Rrb}%#i-ewUSkgQuyL`{D%qyh zp8F<VVe`Xj=c7Z75id)q>Gg}*swCS9UB1nSt`p)pA$MU#f7uG;JdIjadB47nw$<MC zw4Eaxt&-UNBzqr-u>QHp39{<MX#Eou_Ws-X=mSr@E4%HznYL{j@Pvwc$%xqyz9@S9 z!+W%HORbp<LV~Q2@a*X86^!06IRzI6vj+p;!sJH&x|uK!{`D)IH(~f!i0z*s8V3$& zscWuTlmfIV$Kq?Hh;TpIb3XGms-}&SxgR#LyD+cX^{V}G$fOOwGK6%5=n<mMdX>Z9 zwlUc!AOBJccv%WU`gE}-%lovk>dX7Iu#(FAG_X9&`_xK{#?Ir|-0`kl;Mv9oiaixU zwp@`AGso}`6EjEm_YyNl^S2f=NA=egGe_}P5Hm;i7ZEc@@;6`=Ge`8N5Hm;c#}zZb z;eXKh>6}>Y>gz8(jWapfD>rPX%MXbwx9c_=D;K8{tvCz)4+Ez~8{F&8<|ez^!ttCn ziz_tuEytybD_-ndp4F+}x!jpqY6|Bl7nc}DeOLDIChRPTfCDOD9$NjcL2c8QTCtj1 zFAd{YqNKl2J#nd|B$F;Y>f^I)3T%DGEW#b8vD?izwHw%)x%M^TvJccnV_Y#Di+ey~ zF6YqP9CQLY_W;sd(BXZqP@60u*7cPajQub%NLbnbbm&9#9!wPM&n@$=G}LZ*`7)*< z5aK^}-8MSLpRvjs&lanSQ&7f$8~t&MqS2X%43@loJjvxo-slPVBCJNA-z4C1_}o$7 zqq!fBv(Ek3<fwo7_4#8hbL|?|bG6^ZluS5m`YF~sxsiZrML3}|kuL20vjd>T*X%Y~ z&f$JaTuE6*ytn_-0VZvk)2xDFRXW{#UTw78tUAPAy}!wbKSi5Of<L?nHi_57I;n5Y z|4?hWC}2@*xiDZ@Yq=nxRckpP+`#TQwAkx0>VDkjmx3nRDule)v%h)ore#*tOaB<L zWeDB>jo31pzmwQ9s=uk&GK#;N*fO&JW3go<|A%7Bi2n3q%Lx8NV#_!DQN=W_u(}|F z1GWd30p*Pu`zhW%T6j-mh9%aAcDV<Pies|lDBR11diH<jFIZ$9{bR<P>f)w`P*Y6> zsuu$;mCW%1HkHhAGpCg3g9jnfPTGjmM{%@|)bDc#JW{-0=3hWV9ym^Q^JM*X6py=q z(Qm5)TSIRkAuvZYFj8KY?guQ^)bT5#%2;1##ysir<_I}HnA7jjjBXmWDD_K?PU*tQ zi*fo|b3qk{{$Y|1)-hZ{ow9O@l8_1sDdJZl?xRxUsc9h<&_d~Y+6E9F?_q{REmA!x z-+y#(v!RLNd0}TW=?#pS=Ial@%xFe@B7E-NS0w*ccTe%ouY!AuWWN&cDU$vQy{Aa> z%j2FR@voQn6p6Hp^wBB=JJRGTXoBV98}~C~W-0N!D9%ZuQWrhjStU?T-#?&qmHI}g zavMcHxUdl_m`F1*=`x-(`-)p8m1&;gpr4RIhcvFjO!|{vzT?Zo4H?vm4mr+GPsJmB zUQtJ2zZ^i|=&N-@!PhSyW-WJf_n3}m+9=kWIG?zR2g}2}<an&HjoGL*jPD*}@NJwY zj_INCsSXZ`Da`5MB4pR6JU?5zk-hDi_QTP8&;{=?B(^%Nn0Mjmt#bSrL*|`xP~;QG zY>TXdJb8|9*8K0w>dZW7>r4$6T$)~^>>yI-3%--w_B9Hb*1^O_O3N2ymAdISheh*7 zGIrcE1wWrtiexF$LboB=+kZsp>jO5SuQzp{_*Y+|G+~9aJBiXtVh6L27~Bh*#Ye@L zpzh|eH-%bO<?&lzVfNQ~u+U=^yZUSDy7=F#dyj6v!R|{;VX%Iv7eqo}P-Gx|vzZ2) z`i-Q9RiZHdu<=`VYrGGO3#KT0@zSvi0n#L2v4Yt{*u&Yo;GQZ4;hx}M<*>uq;RZI( z7ejy2KlgwA;*y(<mpS&&@E{PMrvGoZpL>#Sa?pN#@D+s4A@r5X5QIMWh0AapMCe#$ zuG=8dNuaxjURt+}F5z+ye-T&Z7GRCs<L&{;Ya@m({^Bhl_UtaR7N<DsP^;x1bslC@ zbajjPrmnO2Z?+#%cPI5SxXj|WR%}YZC7~25sz1x&sbTQ=WeVfhsy!@jwrT16w0~9e zoba0gX}T-Z!Bac(x$R3rVE_+zV#&~~#hOcs#92FVapA12=x?Q0B)X0f&om$iL@aV9 z|3?{P&teZkf8Kiz`M=H{0IA_YaxOhI-Me3DuxA4#W6wScz^Ckx-0-@1>HElk6a*h3 zhOt4i>t+}$brt8gn8aHInv(h^Z<$9|{nFo}gRVh&k4T;8&am)7b&^8ibECAmrpK=Z zZ(5eE1Wvj-CSUclvm0zqI9eXR%*}&Fz@=!R1iCy;`4^tv>Ma)pyJV1>>X)^d?4e76 zFHFCzJJe?RT(ctV#D$H97DKU{hJG;&>}HaIKCuOTVq1RP5z^O#xyXaZ+J%M3>Q79d z&GJ>KN=wVd79sy82CK8NaciD%WaPant#f0o2EPYj`ewidNHdl@QN*bUgxyvYkai3) zg*G}HZIzb4t8IDN_6(xhJp%2`vi49_cQQJrDi^JZrD$`l2ChGp4ShrO{NeJTO{yLg z$IiU1(rb~EdQ|s*rUV`5FPTW2kg*}LPq()_6pY-uz|UC!prlaxE>vFH4ME|{<2NX= zuZ)cazC%_i!nw)QJz(8q9Eg4(zK8PC_^18b(x6U<&`K#q9Zzf7``Mb3CIVlvY!RtD z5xc3G26l83VxJSIB;1m<BzhJXwn?4g9Yp<o!&IPxSzr>Aa*Xc#x~V`3T>dRzal%Sf z(Yg||hX#x<KVc<@^$}*!{a=aJSw=N!0|ndAJ)K{n)>$+)HyEhWg7&K8!j?L5KYtCh z4=x4#;&00frUEg{0`-`bopj&lO$8!<TMkcH;VWA2WAY$@@r5L;-2ZKv99XVO8_3(f zr~L~X7_RzlxH2wmx)V3|YoJYVDd4Am8=f?U1e}-!k}xT2=)O;w3fTR&Yy)C0TvuZ9 z_!+}z1!89T9rLR!ql&bFob7ureuciuqN(_8xjZgxq!TywYoJwdDd30UmN{V!{MSGB z)2HjJPU0B2BuJjx9+I8iIk8#~R7bD~vrbGWt+C43KZIDcS}M7_i$fJA>*<}i558a- zcH{~^DbW)?lk33JWq`%sWn6yZaMfZz>la9KOU;15k<)9yp32N^``OLVNxl<?sC<vn zRLo2?JFU$H`)3BveGqJlcxveu7u<bn#T6Qm=(bwV6+c&167L0ud4^C?2tG(fXY5=4 ze1B^D^X*yaSe!HsU*&{4hd}zh@F^v+I3bC6G@CCIyKhzVr#(>=ns$hwmLZbPm+uk2 z@)!Jh;RV5uLDDzdZ@&9DMl4>==er&kRfV3{gr4V#ui}S4NI+%XcCOfjZnxxC*Tp;# zVHKm~f7=#$RKk>7O2}92jX5$;1G;4kzHx7Ci<A-)^jK*w<Rfb6$Cw+h1s5UA$L|H( z=5%y-mhw)}f1yh~K>$&yJbvCngKix3xUZF1{LX9SQ7*dI_+<z*=0FpNM4ScTms9uE z?NBOb>$cjuv2<}#>L8li_fW{i4{wq_#Sf=?e~(Q3g&y*&Z@}sM7O6g>(2s>|z7_h& z<;X$MILFm<cHas9yIZ@K;fN+dRAGO_YxHNl8y@&&w?G(N1jOQk?7mJ7=wm*A&C{-~ zD_{6D>fRp}GR6z;zrBNw2+CS^B-N)2!U%n$jl9u>K6XZJj`vte&b#XFbzzg5cUYm~ z!yLso|CQ?P|4J1^Xblh89|X)oB3{{mUV}oDLnk&7c9P<YKmUEw1*Yy^#vp<G+8=Zr zO*;i*I^!>VWKKz%<(ZI=eqdfm-&dgvc;h>4OZ6fAwZ3Mcz8lYyvGH5*-@b<s!>HVs zL$ZEPDV5$0lwW3V*yHEdzbHq~eIXe0JU~eh8dg4SwT*SfeW3c&hpq^P|4eTE3&9B2 z_Yc%0oCbt5$l^<ZLMsS{X!L<&&ic7Tlp1z6RW!OST(#T+2PZ5XHeazOaO`&P-anyE zJxMWqZFAGq$A*L|qPHR57P`#VSRZK!l)LLz^M22H%Qhs6(nB}ast1O*-LfoZ1j9T3 z$&S9afF#2A6SmcJbz57cA)TrGIOnaqoMz(r%rip!!joNu@VrmO90^y9RUVepySYsZ z%}Eon@NXVV+?G51I7bb6RULjy+}-7iU1&ntO$}<VF0*HLEj+rQYy_BMm$ys*Ptddr zb1#H_zJZ-JB91g&Aduq`SF}xL2eH<jnb)o8iq9J8P>Vht-)tIz$uQ^|eORHTlBO>} zv<%g{S<KOCk9pGwjf`eGoo?Sa?%sAsYDWb5m!<bx9LPceWBYeu<TGgRw@#2myndym zY&W+JlTCrsobPEMxQTdjt(!J>?e<^rjnL@PrdL|~W?M-SQ^+6kM<Ti0{^3kOz=s}Z z8+1zqsd|St5GRHloKq=nY>7m&_vRWnc^W3Kfx^w-7s$JpIN9>kr=!W)mdnA~{>>ML zz*k}OH<EYv@9rXhccD*I3<!6&7Va<zp+nounOkT3JpTnseA?r{9Sx2w2v2eMs|SVJ zlsTOA?Y5I-aPG<^fjkUgH2mIZ8lFq)K6CjP(l)bE`GSgeKMgv31iyhsc)-uzX3dpU zv(WCZDhBS>oPXFG{37&jEV)P9D~aq^X6PPvKbM~1&WvhL_iN7>TYt?pJXYGAiXuPM zu$#fn`3_wY$l-Pe|B^?0%MW^~58U}k|J^>8<hl?thCAZF{Owz2I1Hy54YzM{I8!+u z!Zhl*7=pO&QZ2$=(!3E7PF&h%Z^aEIneH&yX`1!7Z=U&VFr90#-N){H11{gf&hUoo zF3F$rEQw2>*jrio4dro;H=)f7J<;>ig^RW$%1w$e=yE4pRQT-W;n|h7SMRMeaAM~C z`?sZhJ-r49Ix2!nXY=(a!#7&C#&d1tlSNMXB|b*uT4wrc<$rw0lyir0un==q0mZS{ z*Dc@IO$nR;<T3LlRB~JdXHggR;}G*ub2{Z!IYVR(pLH-ZSxC|4LrO9WYcv;LMwA7) z+`1RCTjDtIU+d3WVw2)W8_P`O%^T?-!8+Nx4~g=ONmMdE%<B6Lwl^VS1p1+X$I4>S z-o+()B1rz=y$hcP)q(9NvE5ny+<m04c^|1&anSO)UnKpM!n}j4hN5a#Bw+EFp=+6z zG>JSt$}k7}mg)@lYcfJ+@~oB)rMW7j$G2WNEzYy1;=IvfY@&9JgnddRAcB2{5pWYS z4fEmX%yGNCn^TZeDCeLQqbh4sf^onIRG<oncctH~$eown^LmK#Uz@Asv>NC~2z7j+ z&JFaDvcp3Pp`}D6>JY(x5a~stL3_pi=?S8tel9jL2=NgQF4A>~0hZ*b|29PRIc!@( z@QYh#ZhePrX}Gut_n%xq|6@Lobmqvn$(CkDOv8uxxS3SuK%~jW`VoW8U#P1=Dv=-+ zV~~oBC`bhYsUUECQbCnRG*DGaB@6UXjW1MKzfQ<Ek4rs?J3Y$8Au?1UtY3yh<xOz@ zYt$+?9)+hV{6$=e{D-oo{Q7jb7?`TSQ6#N}3hhQ;&XciJ*cFU`NI2Sw9h_p%DM)>G z-8V{4CDJXu^SV{&N^@w5qU+Kk)l^C_qg8%c#&H!GGD=Xg6z!yc+pN{2?|f<V5tbKE zpn<7)bL1G~S8K663hZ?PfvU{gOl(i3s#ZKqoAb^=B}NH9>P&1uOykF>gim;}ncmxg zv)i-a>~^bxlFtY5#4i3oaK0YbPuAovwkpzXMiUa1r0P862g;r7FuiC3LV_G+(;N93 zoqbC_R_8+7!^QGQa5oy#o+_xKjP`j5bzjLp%Dxeok|hPr`3^LvEojaK(40R&_m(v` zAqK;{7Y}qVC2owYC{+O_lk$-5C)v`WV4aLlv#`_{uxR`Y<@-(b&tFqTe-6%2z8pKr z92KL(#d)j32Lh%gRiWAd0gr)z%Rs;uAmAUke&8J{AR|F+rr#yZC@}cF>pgke{PEwF zFwHU!0hF+LeRyV^$GwsBMb3v*@d)KN;wYRnTEN;JRKj+6eQZH}=776S;4TrklL-{h zEWv$~hw%0T=O61L@FM-ox=3oItO_HSx9S6vWxR(x$7l)XHg_gAujfb?S>QN&6h;w8 zpa$tOO4xMHxN~M_mn+6j;;3}6=R9EqRmzR<Lm>eBcm_ErqW~zQ6DZ>jDB~(9<9u_I z@(P;2s<>1h8LpZ|g}~>K+YiYLFu^j7<Bw2<n0^^f0shAf-;_w{^S+S&T2<xS*Trd2 zwRanKi_ZOo8TdGWi5%>gk=PGdBV9l@?}2t-1$*uUX!j1#?j@kzGbmAGI<KckWtRQ- z^yGYneT)IGk0<<oL%sNG>hx2;#`wj3rG2L7MufQUKtqF_gN{^#b_bYS1m^zT-V+Up zX%MR9d^OOIHqF0Ps}#`uB@e!6!qBs9OqxWS9%ba9j2&(8izyMo`VD4w(pa<T#QI@n zRwuZ*4Sow6^tlnbIQiDo>+x!D$Ym80ZD_bUPL;}x{IE5)z0YiT8trnb!nv<=C)w+% zd?S%!N~ckSRr7#N&<gLbmFE}(&aYff?--um+1bzSUax&9biu-LQRs3yNb?0gB4~Ij z2u94_!HpfY>>mLgJf7)tnrz4|ZLqC!OH{~~3pzCrqS;$I)Vf^RkIl8`<6j=h-Q&Xv zjt@C$Za;CT9Na6bH=wKlO9ZE*W_t$NW($oq23NA!bFh~1`N)sSnfvIgJ5u2vlS%f` znPdiNa_XLhe^ka7_I>N+hD>OiE=n4nJbm#cjZ{b6LiJ&ZD(SKJSvbc5qbP4<UCNcy z5j4)`jF)}q`PDrapStWaA>tX*MB}mc!O88_<kEtQZpbF^CwevJHzZFB&K@<#CwofC z?;-@)YtajPxbmNVt5lS-fe<m)?zU4|SP8NBpwAY|9k95gxJBDY<e6zMoUc>2P!#R1 z$}B%E*!?)=s?IO^Tjs?f<~~y+PA-&e61`U%a?`!4(<a$}s)Y$}HOV=8Zy@yMWuD5? zc=tTD&7=4wjk)s)mrSVcE%{e2Dwu=PWC3%03N71S4q_wfH|KSf_JcYs#$+SmJ^Q(U z%N?c?lwNP`UUA3LA~UbZy6*G?51hAq#8e}D_cC1cg%vC8j2=E{gX}pLz#XI~$BT}Q zg|=5ae&1}MSaT(u%+k4<9=yG!Lc6KgbxysNM!Vr{xAqfGj}Lz)3m)W~UFFPXgqTzW zIi-s!_Oa6c@C&)`l<bvzWqrBr@UBhl(QEZl1|6w1XTlo9&uaPT^%3P-)5j>Gdimm} zpPa1C0vZrglg4lS7$|yFj>YK*mD>kUdZIiMR8L;DP){F2iDOAiVv}w)hjB@xF0H`a z5@`~;mYz6k1T_G2y!dVsR^>ZbB#ml*-TE674diguO3$3^Nz@_McI@HraTuqV{!ZU_ zmn|x#;P%V0Oi>=y)>k+Qs?<`IN|o&i>lv1Hop@k;kBY3hNU(t>DfV$33F&IhKT<%@ z_@jES6U)Y8rwyobt^dM^d3v7GbvAiqK+5^_WY$m9!HZB~`Hf6vY5!#-2Qo*$hlf>| zjegXOYrtNT*v(t-7OZ&RT35+HC&ET2v|WYLhZ}L-M{=>FqC&}KbBmjoRP4?j!EgEI zW%0)}rEtt;j)WlstrBMnj3iqj5kf8S;4c4|mko~})k?oZEz8Iz#$f57jp(y%cSA@g zW^<cOBW7`HN+V`=ON|s()(&Qxpbzs=7@wB+RT#gN^CjBE_$HS9%9K_-+x3EWnVUHg znPp#^!W`)q)4R60z$;GpiSZXsB2yFOdY2>PbMQL=TOrjHy|ASLFQ?|1UBbNxFYB9* zX(@Ll@2;AmJzF|m`RiJ}q^GveT}e+v_=h?C!`gteeQ%#cBMM=D@!{!1dV?QZ@nT@D zUID&VFAJ9H-Qi31TwtkwBg^M;(FV8DyK=?G7l;U%B1bqrO!_};qQx-9R87I^?EV?; zO<1Zo_-i$*Fn{C!c%lAZ*XhNvjM4v-m3p54e5t;3rt0gBL5~jfo0l*uF9Lau)W#qr zbm%`@<o?grxcl_f5d1}9@?cdSdGwC&Ls*w5f#Qp%wza^Icm9W0=x0><B>Gt3mjWn2 z3&VIde*fum{ePAT`T0lp|1@IgKf6@oEwR15_uCr;w{j88m8ig*dc;2b_-{9|XL+9w zBhW-~^5EBqNF|?g=OAXa3h_h+KW6QJLPr)SNfXh&_hjSS#1s|G)Eg}Jw}xxp`^~+h zEPV`L%2z^qA!-T}$pp*(PF~$Oe_S1K#!(5sCO`?b<!bbD0~b~W*8I`H#RTN%vF`B8 z1{9zO*ZGYzJiIn%=i-g_<9j=hSN!NWug#{Ymy1Jbh&*}e>xgOtu;iZymi%eV03!fr z^dGX?MfbK!70ow-8(0qAJKqW71r^Stg4O<Au-d<IH#Dg3vmbs$r31Jmz!Vo;642Td zG=GQW#b@ltl35W=L4yb#M{FmDbkKDDd;-j4E7C@1_y1z=J>#NUn)Oi?0m(>GP@-f6 zNh5*;$vFoFNdgiTkSqdAKuJmlfgxuYa+07VMGz!slq8ZxBq~X`y=K(CJ@0$&|Gj6Q zdq3R|&Tn;9*R!gto~rI~m^I1Dwf9($68Shjz7JIlSqC5RbHbnW$AAl4_#z%$px}!n zaIp+ur1?ZxP%5q#%?>NA7S6URtrpBaS6W5Q=EzMAjO3c7)1IcC`4O~;=nW4tJ`OJS zr^168_QAyi_+k@WK>LUDXC7c>I>-w#?Ag0uWjM%z3*#h()ZvfjG~pl9ta)Bb_IU;P z%OqvQje@@s;5<hhVr>0da?s$x%Ua=vgOcjDIPi?AyP}ikA`aa-n=yK7N8n4LpI)@R zkeICZI@ocH`*<SukdkwoYjF9x^<YV<X5%ZpANW_dxmJcscp>X$cB5ORky$R-UkLKr z5<P43<r6(i^V^V~dHM24y|js=jrFe2hhtt2&vyxZ_N9lpYOd+M^m}6QhO0&czPJr8 zOyLVlaN*ePj_v<#Xa57$3V4Ru{*R?~@O>iQ&NUJo@IlkT!H<c+&4@tY8p+pG??Y&H zssTKtp)123TE3p4PN>m!?mkgrX;}TKt-NsXQUkxdg<nSDmqqwx2fXN<XfZy?iDh=_ zMC^&OGy2ms%8zEqB5PzAcuof@Kl(_fStCQr*=m{-BD&0Etx4y4x{@cn?vw#i-soge zcP5V?)uQGZNxQAQCuz6qps!3gy!Beu3a=Zf!DrQdRG-@hwx+-Vj8)V=>}$A?Vg2C` z51&uXEtO#<UwvwiJZL@|kjI2YwcWc|hHs`fmzmRC<X59RR>Kl=rElCe=w@>0O(`47 zt|H$W1T1vv8^26it$NuWFWW+}n!Dw0BGBWV>$;13@5Aj+&om$rdFKz(<KN)9D}Cek zjP}*w^2TFpd)%N8$7flc*!#vAY#AyJi#bGV?DD2jeSXTbI~lc-?nQDAiEpjtoc-~O zX}D`-4lg6m={OEqH?x!v^^K?b7040rL?(vM<4_tR3><?mp9VJA*F&icGwPpM+mnKy z%RO?`cC?2y=p+Xe)K!|FODdl1Vie=U?!mcDD(Jh_LP2;gM!a{Wk6hc)E<*p^{18PU z&Fo+~H3B;oXH0M~JDJw{)l#T}rI|tPmAQ<q<-_VJ6Y-Y^jz?=ANMro`wXBq*4<-$} z%Wa>QNH~To3UZAY$Uozo8{H}_7$lfABbr>X(P7zyh^JPUSe*Fe9j<t`U9hk17b}sR zkG`93G4Hwo#17Zy$$KI?Un(}p@XVi!*lp2a7MNl-VLG$P{nl$nBr3rn`cmYRU1AII zGM4sE_x8BAZ@ftOQ+J+O%<s=C&zkuV@TZ<lw3x4kH3!G|Q?I02%m>aYTbL1xcQ@zW z-+^uwZ(hWupPP;rJzyBQALbu^Z;gY_2mIFV>t^{YJ10pbnp{(+raztY@(iS(6zQ>F z>mw4ceNAGYyl{DE6esol$H3>^0_VIe{MA?1u&$15)`_9^J-mAQyv0*OYwg`%RiE?m zvU~4DQM)P2ettR2(Q&nLLZShC-aa63!TypI^f74RaMY($Q`B7`DRsYKKm4o2xLD<b z=T*X-s#qNg;7>``d~frPNjpocaV3pgyT$J=*zh1<UZNA4(3{57b?KkSX>5=vfAWBT zV(A3@S14lD*A^Rl>UG4Sng>T4)i10}#2$cmG^jm#Aqi-Ej9$bNIz=L|xw}$uMo1zR zlHWb$efVL*p>bR+iAP%(Qec~IQ_QxmyO3L}x9(iuE;zD|SD9Bk{KfF|VlCZ<itD(@ z6Qs>RcV%$O(%qDVuorQf0uz-26EZz6sa83kaGVBJs=ugI_X$kQB`nnh(jx|pt_~P& zsZ`&$6cLv@jTrF0I^eyfA_NcH$q>-M2e%wB`|d`ki&s3mZBC$DPT*p~@O?{z+@8vE z5)|i0#aOH2sD+pZ8gC@>`Ni_0QnjZJ*5B>zd^ywhHLS4`yCvV}>;Y$X)LBA1yO3rG zx8TV_x)awli^%bSJ5dfFA|BR4(kIf-Q8SV9JBgooTQ54CYjM9Wb$|VbmnI2RVf9{2 zjIn$5GaiqJL*r3(nV?mhs@Job{fC*~t%v$meU#M4R2}MWovB-wbSq9IsZjPQS0798 zbm`#HNyZsdm2hLY9cM_SGL}H&21I?Z$O9Hh0#Thi5Mkp2ktZy21tNp{KqL%{ykHTO z4`h3uKz0|F`7qqZ6=Np4svWI9_Ly^v;l&azY1T>_o3(bedatJzS-o|DqQIBa??;ng zMVhkJOkda%=D5*llkCCNlN-+{x_iZ-&Y~hP@8cQIAh|VVQFr+b>A02^KHHtgbeX3Q z$oAJM8rv6cVjtv?XuZC)#Sl6T#f{!)+huW}QQcLg&kitI)TC*?RMw`p;U9A2wZk4S z;y{XI;FM4Bgi92?PAiSiKwCZlPXgd8iO~mXQp0PB;u=Jay;ul86SKgiS=`w2fYdRX z#0`SGF|uINV=&2VcunA(t+r44mnwi{<N%PmX?(t19*;3~gtU45K5n%%#xohe^013P zFRPNn$y!f~$Xw(yM-jSqZV@)dFd4S#G)U!iXtb;JEGtvLidC88x{V^N>fBOkh+#B* zr_*2tlwB%4lR!BHlvXIhZI#&kG~dq&9oKtxs5y~K`koxpH5vI{EUK~TISDBVar}?$ zkR8_tAsuQIa%s#1Xi@pzx~jA&+XfnPLWue-0;;jd_hwP1<<x(>t8F46*JWZDeqb}{ zb`V|o#e?SZ`<qvPxO<pz_f`?mVkLFl8Vz#DX5@YO1pJ{1PQa;0VfnkK7`~TJxF%Q9 z5|P~c&<8kXr}H6FNj#x0jP~Ze$brbj$;~{d*!<!7_bw}MgFh#RjrUr*%u4ZGkm(jC zj8CemTzX4HD<{um!7DY}`^;Qk&2Y$NHef#E!kG;!MknjGvI}fH)*?>QCVi0vV<Du% zl^;hV$w&BUYtyqA-#$Js8+87?!^&Gpb$My~MOG0fMuF2zfzQufb?S{Ch|wHy%VZpV z`yL7<cKWs`==xFhTFF9;vG)YA{gA8I+(c{G<krVA8uEC$8r6{j=`$@W>tlM`ykd?| ziZj{1BHXreNHnA`Z5<;T!1})6={ZAG2MkWzE<UD9zvVeSZ>UbfX-HJRBt6(mASUyA zt0}oQg-)lA#&e)8Fa3<?(|{%44^CoYc^(h~AZY<41{i79(^F&Dc9H1&tcYhbcP)dM zqjYgDE@f%JB)G^tGagRRkLCUeOv1BU3`IVrZFG$0Qy~4q_l<D<)tj5O7VJj+Lp&Q) z<~_aFUp~6|^j1JZ$C(;WYS*(5{5*d6T|Rr&&+!MpE$_FJ>-acdF1eljh!0r~nylc> zAPC<Zubg^?=*+7hC2uJ>Tlr%2^rM1!P4_akpb<W6=duf@M))%4&D2RtEP5x+<VlMy z<m%s~Fc`l}D|!>gVEFE-!4q1^`*vl)ce-3R1G!=~?aET2JT1lr6P9j?TH@Vw==3X+ z1&#orZXfIF4xdx@VXm78i~~;~QiCL&kS`4*B+bdi&4_ZZhRQdJDFyjh&?)<y$t96< zvZruZ@8{&C?K9S3xi-i=JHvQ3el`Kwu@X3K*}qGsZ~VyAG|I|WsgT}pUur?w;{Itr zU&WOC{8(_0^`uL`0Ty=|Yi>IK1+Y99INkbg7y6CR5kc?wfc-au%?sfTX^*S3UXg|* zoXv(4{+x%3u}hM%2TA2qu?HL5cKd22cRz&Msp+=t(90!UG}P_X_`qq7LtQ8W{>%|| z_EF%-C5e}UCgzsL8M0oo#*XLLzZFf_tk;Fo%X>{}f}yL_1W_uql$3J9lJssQqER<J z`@n0%ew><eS?_VHW;Lf^Se<VAJ@8>isB(jDyRaip-*EiqO-ht7loekoH`g>#6qMc) zH(Gi@-Ev(pN<-X?IbBSmoXn(xmZQ#+yTS6RmP?o0{SJ9DlLOh3&$A12gc+{Po36A@ z>=&sA7$e$E-6kJH7vA(I)|-8NTjVjTChByF?midY80$GR=K3qL*!{aG)!PKj6+QfW zaRVh$Tv?~@m@tMi_*3>rEO{VRpKXjhd7?BLch<Z(oy<Q$``O0W69VTiDScpUB`B6F z@=h-D%$fQ3b6@yIG$t+QV{R9;g9(TSn$E>#53~hdTDd-hx;QWKbPlapcGb47+IOv` z4Cz0A@v?^ZdIkOE1)3KUmtQ~7vl`>woOxx~74|;KZiV;*;aC0S+wZ#2NKP=43mQrF zDjH}R2HL;?{Qy8CZYGXumpxM*1FXBPK9_cB3hVkj-*}&|hf3}b_w9jrS8j2V+`PZ7 z!=bCbl>5r+>^F<pz@nEvsF>y4E$JBn!Uvrlul0;2b(JV}_7c{q1H_!?ZgG{Qb7s?U z{y4Qu_?oV1qcrxqW~>%vtWSnlz%6z2`=cG7^OW+#lq5{WUzf^Ph~Alt)ydXT%uW?b zy*27=K=*vZ0HuY>1}$6%dSGqWec9vAlZ03;?pPmB>wsI~9ss~DUvwe#WzChF5V!6- zJC1BEPH7h1eXe{1V?_>1E$ZdG_a^c~8zM^iPdhYZhYmSW&#r4K$?62qpuUZ0RDthO zbEfm_Xv~|>iWhI-E9F1z(2y89+{=G9qOLTj9k87L?Yer^OHVCMD20DeEws_i_ThWQ zK)ZmB_NJYh4lcIrS9nE<YRVoS4EEQ)FPMAJBgelY?n?G}3j5+*ZIF2S*cNxHIxp6S ziH*ZzG5wZ(p2su)bTR|ti?O|I;GsVcGe<Snb=m`z@{}y|A5A_HO2s*!HJ_2fG^&9| z*$gHvIL;3!giDfdLSNiTj&^#!mxP6bXB0G!L!w=+GBfiO!I{v}*9AyDSbmx2u8!83 zQ?2uxwuKX)*1@;(CI{s=J{w;htCJ87zRYDLn!17XO1ZgX)FsKSawFQ{k>7(u)%p|M zIoVxvmAv<T2bp4Ma~8a04OEm<-`U(EBgmI!uNQUTx8~yx<$-b^-<!&!Vk8k4)4AAh zW*}mu_9RgwKz!1oqtEb}_$0&qJq23R)vQ#g`0a(At<ZC}Ar-=VStld1FT?~X^t|I} zzP%Vtb}cMSgNaG_QtrvPRCT3e)FM*BL4z-o$PC@YIgZaRoMK@j+tgCh`8a4e*WRVe zAbj1_jo7=}a>wE+q&OJu{%mpLn7H<JQ<PdH3#;8FHiE?GT)YmsZ^@!x#YI2v`bZhy zqHPh}zVNR773&s$=ORaW3-kAE3!CrkyR#{k`t61s5#z5v#CbW9c#U2x{+9AGTT%Vn zH6;><hZ`JX>~bnhCTfJ@k%843yE1eX7g)V7vEigPyiP3j+9QTSPDfY9k^8H^N5oh9 zo3&&uXSa+#(%xoOM@^p8+Qv~C`gDPqZp>V3d)C|8vm6&KlH@bf+IBKq%lE{}Sx!64 zm+vXex1&>kT-#OSX}nH-(pfLm<imUsaA%aU(o>@=x?eZ4mW>#6zc%TJiQgBB_O`Y& zi~2T8i}VzN7$Pd&P5ad%SYWpZQXAY&_uxS!Jb3GF%A^hk+3=v>-Bbx4)LvqFclS!| z)V$(qwePGC0qghm(;H(%K?Seb9EgUa#UlD0nq%N)0tlScw+_vJTeCy+7A?@&z?w>j z<}6wY=mM+mC1yDf=c_%FZl-qUCdOEe^WvW66g*~Ls1U3xp^af;RlLNCotW8Y^d*gg zkHeFXW0Wv-)dn{^NU`^cV)ML}i~Ln~Srt!XrI5AuD3KoU&#^oUNB!AJ-SGBa<zTwE zz0)>Pz?2%8?hq2CFyJYjj!r)l?bp*goexF+O+$gvL@{MN?ADPCRI;uNAP%OBOk<nM z$Yt|nkjzB5D1-!^tWTow@{_Y$jG#D;=PAswb24<emyv^sY|BY&4UeWMU0O?qc`-Zd zR4QKnW)faLmX(z4n#$Xq&1!+Z7mcAS0+*HB=@o{i$0AN@GC@(_oHEuF&xu}$Vo;LV zGghMz_x87vC6ftOn0*)gip^=QQ^Ak@G>12b<|`}tWl`|2X)KaP^s1ECbw#Z%vE4~? zu|BNe^P-o?Vwjjf3b9igngy67IhX*j77Tm_R_MYCRC5IbP>^kDX+7$J2Jd%6gTBxv zJ;LMg!dhf@-pTsjg_z~0rEnV2AE$whBy5w`TtSbvL9b18_iqYkj6zCF@Z)o{=-i^w zlkY=_M)e52L~X21nY6a0QnhVQ5Vhjm;bjdXiv%Qptooj4)K-O*1@;p^vEf|lQal7! z4a$S!a-@*yKA&VvP)tJ%`$sv8=-ks|Cut&yMuP}_o^minUfSZwVeJjN(<Eo15fZco zr(h4~T;yNtyd#5?9i%YyPO&-Hw)8YL+J6?=n$u&mHZqre<t(r+Ghxvyg(&qBc$4Mh zRnng;{c#pdy7P0DIpzQslUbabp?|IT4lfMbtE_n1p6l}c_aUljMWYYzzG=dZ2*6<l zVck;9V(m4((}ZIVvZVMxSaT<f=s68JNZ%AlU#<gK;?EU|NBYM}yZ}GP?6g5tQ+Vj2 z)+q6#@AKi~Iq>!78@nIqW9+Xn<om0(Q|K=i`rzsBR1#li*S1LC++(r$NPcy+P$lwl z_exyEWA!hlpZH~|M5-@cddHYFPI5nLpD|*b<c3qKSHjf?SbpvG25UGcqxO$0uX2>1 zjNZ>EUu*yIkmWmtzF)hyrv45z>(dnVAmn26EcgjZFDD7pb}`v!rcNem&(<vOr_^sW z=?T|pi|^9i)$<Z5`(B)HuqdGItLp67BPvTFQe<+C;&Oriiv^C1Ud10LGD?MM$K};e zKUP~hL;ARDaXQR1gxy8T#5iUx^0jKpg}}t7$k#+3v!!Y^$6d7C)pc?Y$<);co6>=B zkrynY$V7@tyu`na7_5|hAFNd1s>d3vsDc&M{_-S15xu`ruJdu$cusLum!bB$sgwGS z%pKm^7d@|eoUl&Bh1Zi^fSz=`V*8G-vWf>U*{}SO`ZI@(q>EE0)t)&tMbEiT3sfq$ zPk29bi#$iFusY4L`70W;#3@(QBU$3d&%{1fU!7k1yFvz|h{?ud`_8KF<Zz<B{&@6* z>CI{wir5_uMHD|yZh^-d$Jao14$`3#uN4CBMZkD5EE!Lb`Jpt+nSP;zA|C~6n`c+W zBVW8FI>qc>8jEC7=B>TNNsv0;Y-#&D8Mq(vf9H($PF~JiGL=<=j&dTl?{`yX8;F>{ zbH|TkrOv1-t*#Edd@}y<n$whDyO<=LK5%cS)}eVeh2a-)^)FB0mEGj6WrNY)wUZsP z+oq^z;D`s|`w&;*3yNk;QfP2*>3wW!Q*TyJ4aiQ@-oef?6}YmKFi=Y2^1k6A#hqas z2^*SceriK!BK*1rrx%o8YxOSOzsK>4o~vs!zrQI^sjnvn2Qr|#w{$<<9UoU*A;c$4 z5Z#qk@GpyHrqU9Do|^)Cu4>QXf~>z*OV+}hEYksjJ?cKtf0u+yC`wFxJnnn#w5?C- zd~I8vZ#^F-fgCi{%^errTR4*o9qw-J`{I0jxzczoG5W`Jv)6e09C%2i>PWQaXZXAD z2H?B!5c<pT4u{6Q)A}dv$@!WkVjf9rC-Gzyf+yQPiry3&i<_qJc<IYQM*$veHOmd2 z5yI0f9&-mv;H9pGgpYS{Qhj^b$$bqUqE5$>w>}3{Ji`0jT|Q6BrZ~#!9geq;eYO5} z_3012$R9N?7_Ay}$G-A?(~gUb{!w%9k`<&}VbS<zR6SHy?c1je)$YS<6%wW^xyDRv z`|DwTN#1WLyeJLUIW~IqzUmS}r85H;ccwji?&T7`iSemRFFmtHgHOP7nZkZqBw&Ux zMb@+=-SHs%T|r_-$DWA)!pE-y6k&~n8Z{ho4NYV^acRwD^oj_Iu!d2M8etTbSMARA z-=HPWuf(M1-IBg_X&OO6Wq5~ue9)Ef4YlZ#CS&Q3JIZm_g=Ay5U2%F|Pg${_hw{@M zwd24aI<mr;JaMA0$mkX9*z5doi*_h>tqQ(#21wjkx1sWKtRJ~?zC%N>^nc_lgrG2L z_Sf?YIj9(VK>3=!a7U0pymayd{rfEUa`Np?)fJLYg>lO)7oWE&-Y`uM=gk8@E9PYI z@buh`p15dp?wiVCRj-ts3Y)Ju6)qGvml5B`x%A#<?&+wfxTy>I(Qd{S+9r>>iP6%n z{ml<u%n4ZmG5+6MV*IIabcOB`Ifz3|-Hb(S<sz%)y~_)^q=r~47snUYhwhPJpOuin zR`&_$e&x0L_Ub&p^rWhKb9icc1#jw$^|0E`r|tvVYcp1h7dsc!UYhd=%uH-ic<oS& z5Ny^Ge2ssn;vpo4O@c9R0_Iq-IfOC)3e3G=^GT~kDq!FJ0@zQ&_TPcMbNJ`gCvm6S z$jC3eFKK;|e$dRfWVar~)l2vB?4gJ#j&|`yq7a+9s_KAJ!w>CoXWo)!n!3IrywSdN zuSd5{SJ1sMO8eq0|Ioddx$*<XcSA<L-%c$(vkT(5{tV}h;KbNX=^Mop7Q!q9wjaHn z3>MSkTSA-$^vVpaR?heDU5xw0LpW&fLlf!Q+Wl(6y!O0%`kd2dTx~1hmfc%2ddRA_ z&J3q;cB^Iq^@$UCRj-|c$|+&_N{5sFVZ_{W@wEq!T;3sCVz`w3&5%feY+bur(Ga9_ zcEqRhMR5eT3+kEG%R5TnBI3iU>%+u9dp^Op`RFYL+=!5|sIw`!F(TkbY~wvVbngu7 zOW+3e*Qd#kU$oVUtV(@ep3l8y6u0n(OcSE}yc|zZRFIew;Q9q*<ok{5ZHCrH6I+6X zb|lG<YkTV4{%t^9s}2}HzefjT*!5>X#75zOy#9X_kQ0B8h}nN05h*0y_?e7d?vdj^ z%q(!fMh-~gzS%0tvwu(X%<f^bv_bgXa?QIFRjH{`S;VCkj;S|`!(Mbcxo*ZmZDhCO zvfIc=Z+*W}+z@}9OIU2RcDW{GK1|ms<gsCnNLZ<1n1osF9$*RMm{Tb4Tt|Oee2zW$ z>1TFzNm1BUYvRJ(E*dIkvb7+_#poD6dw1^)j;)YHsDhZe)yLH`!>|{<PPMyn5-nti zxTaPzaj3#DtZvY$7S9%BxaRsF#2Wt>V!4{2<Ztk7y{n>qsjjwIQ1#-k8xiaoeDV2< zQfVcFt00xNo}hNbo)PSce6jbWc~%hE{c7C2Hm#(8H3y@F&{~>5wY|TzXyx9@AEw=Z zDBu63w5lyF>0D*a(|>z=#<*|1Oi8UQLi+QS@09l+iCt^t{Ls9=a(qj6Y@$+krJ4F_ zV5RtjW8R98n}kHDt}D6@NYXC7g`FlrJN@n0o)ZlIEe-87P`1K%{;kydQ`z!|lK)TT zt3Q-ve<`gXlF~~}|HkQ9W7uib2-<1g`ahi3b@;o_CCgv_?lWrg?>+<N-+lg9CG@uy z{j2uhE3HUM0q(yBdRrUzS#KEab5;2tJ{R5j!{^vvK7;&&KpTwx;dJaDPXDhcVV`6F zjn9y-BJA{uA+*z!d4D*KZTpAQ*M2#T_8EWh51+67;q!m2gh<j#{##Sn>1+Subj{|7 z>)y)oJ?~`VNh=bj#*%2$uQ^3#B;BLJS=(b+ZALYDb-Ol&C;>OBRk{b$H3VOL6H^1k z7U3Q`iUoQo$@rGwiX_}a+vwtYI?1j=4_185c`9-LwxILQ>0*up1EsiPE>!d44|*vD zOj&Z<#IDm`|666<jfG?PcoH2u?yWy!N8tVU*g2$s{X0pi_WpLOGzTdC>)%=WU$p-- zC5Rok>A#Y+D+KnLZvyRe=n~pz=vO;1_x!_Yl|P(r-TK36l|Ov`M@r~dmw}yD`7cf@ z7k{GrP|)z=!O;jwqj<`)+dhKK)p-La6B40ZwJ~Aj!?ibRJ}+pE*FaOS{V&f|<*w^n z8(NYox~Lc3RaDDfVn%kun{#8?rf%jm;n?*?I_PL;bsbs_cfUk0AwK@mJ+JC49iwys z+3E5Qo>{^D^;05JG>tQ-`7QKF89%Q`scCbBr(MV@lK_(@aZi`GgGrY*;YmVsU{W?I zqfqVR!W_3``QFq0@dDuyj8*}sYP)!52Y;Yvl`Mc+TcnKcV~>;US=V60&x@xa{@HV< z8NUPBsw!H>whX38pJsHZAP}Bdo<8mJNU(MIg2me*CMmjdAQJuvM0dQ)<=fK~<+2LP z6BCy?JBjA(b-t*&LE1+CjrphiwQWR_IA6R;sQTe?l7$;DT-yc-#_tlUPTz;eiP|=M zVBA-Wld27!mdovT5z%!ka3)gAWhzw5UUEgPST4}KRFjQQRvP%CGxnt$QIi}}dNnp@ zD!=?(irv~(%3B_ss%_f0t3^kqJ#1gC4<f^IyH`Dmo7V;(eauG?sV7gY8TwD<<27pA z?InvePSxUY;Jwhcd!H=wVxqS6L%w~dI8mQgiJG4tbWMB0kA5Lx^h9Hmc3G{T{P>q5 zea3e85J@|71sQ%iDfWqr>h2Ru!3*Yc$0fxrdkw@c@NbZLb~~33kgInjo!H%es_;&E zQCPiGO<hz#0RI%ffdB9^b!1{(uXDqhxvdtV%(K`h6Ez79ZX#sv$aAMJcu99G1%FlG z!$0Mgbr!1B>j@IeXW2^nsw6D7ewRb;c=K}#7@>@>>QTyO5l1dtgU}mxhS5Yb_}*^0 z&j79jjGG5=&*=~v6j-%T4FQjY@{>|_cqlU;n#KX|!Pj4N4WxQP1Qn>?Z$8D*^?5=u zkY*yI_5Q*VGV6ecBS*b4C@V`9>gX-B5{z*9OkJbb&&8*d66Vd8kJ612{DKy&E2Xr; zf}2rWtJGI6EXCHas2??G>kM5|G}s|b(%e!yh{_F};y^9OGbelDbhCT1gtZTJeWlRd zR*4D_$FicRC+nHDS#}RW)v+p?r1}bk7zf*JY<;^btLq(|Ro??OQOlOJ2;Db0Q0pU9 z^-=RXXjoFZ6P-H%Fl`f=yL^a?gO|Tu%3xnY@>d=R<53t6#Ih?Pa|n|mW54kb<8gs1 zhtp}uqanq?<%`x%n^O%LP0^V*r_XmCb(E}14j<(9z4s!E&cG#A5_&gsQ0-}{&|7&T z>Odv4%CnVu5gXdtnzbsh3fSsok1*+p-+K8lC1vH#c4tJA4K{Oaf*gpWVmHC!(pXQq zr{!pO24%#GWuNukZPJS5Dlm28BCzecXmGsUm?{(`ts()I*2B^wSgMAR#=_EMSQ-jT z#WB)IAO%0O0E_R!Vjhe*6rOAZOI2Yh9Yz`qq?9%hPJ%}^M5qHQ4%BYrqpV8#euUz# zk(SOTgr}&O7?ZiHZpUDIIN(^6b=@q+s|$j2NrpJGfs?zg)G*%|tuw?Oj64!diri8f zk1E-P;8ZDR%u5i#scM|HI|P}bjn3Oue+?&wZx?x_rm5S*41>z`ajmNW43K^V8Uv)? zFuG(HhEV+lF$ExQ$~p@kQR8jw=Wvy&Vhk<=142XckpmMc&3?q3^`QV6drc0PCRdE0 zsxb1X@MJAzeT@}LJ!DvCvr#<QAQfBH{;+zwVICq#%G@=1Ff=joHtyL7**c^#C#0m= zb;QEVlB^XrFHz(R`&t!c9DIEPag>~evR52D$o=><>-x+y5P;k~lnESwE18S2J1i!f zXsXI|K{ObujvN4Hda`P6rUt;ww1OcrP{kOCjO#N<41~jP2-fQWgA<v#+oKRMC1ezQ z7h~}pSXfOXYvzy0LZXT}<Tq0zuQel#f-|ygI{FT*Sl$*bz7f-Q)~ZZL9(5@W&cjq~ z#Qu&rLNm3s#Ga-4)F9c3<B+aT3B?cyl2-pk5TpT`pugqn|ASOPaB}~<T>X)%_h&yz zA&!LDG=;1rqZ5y~Yf5&fPApg-Ivgwym(NRt4$nu&Q;0p(sM7}o7!r{7%b|>zwW28+ z5@40Ql$OgTaZ^kn%Sz7oz_T@L0efr90<OYYNQH}`y-N`EG!-Y~YwA5d&xQnEyHOQA zv=DkCXIBsy|D_PjU9r>`6S!m9hp+Ih+!{2{_%5{cHY~jjq;42#5n5^iOD*8JW$3w~ zXsJ0YHHYV7q<7I$GYA%&!IMkTlT~3c;>bv{WH|z6O>yj&!9}t!kuIuQK5;)2#riu@ zhFnXrXR||GlmMeELCgJ}N?nwFeuqO`aSJ!5o*IAGlQO0}_<q(Cq;&pgL8<&Is2)sB z8vU+G1O{X3HwIi{x`Ht07#bbw$Ua~U?gE3JCd55ZDikb6+MrY}`1;w^k;y6!fIiWq z6mhff$%C9Cj*9&Gqi@X1-_VqVesi+OWJ2!$*ggN_=DBy&{$PYoILtzMZT|pYir!w? z#Epw-Lv!pbVXtbWf!R=u!G!m{ODCbb84Ay`q_mlHQS1|~W=H!uwepst<DKK<ouZVT zAN&(;#ddHXoQG(yQiZ?G^-q=+NV`|DXe}wGuu>?n7F?qrsg5h~#PZsw+kJf}Qpp59 z6M8Wj>&*@{cVtlaUeR}{Y|3rv5Wz|fil*Fk;Vrku5frDQ<zD09EAMV%n=zM##A)wb zL>>=)A}deerE~|4c>`dQ!<e_wnB)M{?9GvJ@Aa+-oi~t(VVVmE2U+rkA=RjZ3TDmq zqw_IQHc|5Hsq@!#4{~2)E1ijAzxC!Qr5}|ah1yXMB#7Z*zHa;0qCIm*-MM!sSDB#w z84bUYEoxpl6oOt=MtI?I9e#hR*A)+=pXaTMc=}V2@Jo>NQ-J&>DEui%{3Un^1oCoX zAxDJRhxZ4h`dJHirUVQSceN;w5*(8YcOYW9_Cnx6kA?=Lox!P0i~d>711pX-M$0Yt zo`lN``1vzO^VgOw87gab=>5#!9FdM@?ld-!87)<3Op{R^SJjfvQKowXA^ln<ZW$;v z3`XE-Ya`5U543Y0Td3SvZ?vkk?atyn9QgRK@A2$U!SFAEg(_yo<WE5y_6+#%kV<Sk zY09H&T&-%X^f%y+=eZ68o9Nygad)p8TtCRoeEdVETsB-vhvs784*jmnXqpX<;JyHy zy|J{OCbn`3d2!n3RsqK$ah4C8)H^b63vY8@&#MTejU_Hw`>MCkd*O(mmf+f0GPUX> zv>v}GVxU1nb`@rbJ<JfO6HvqhLy=CHB5{Bsr7%U*&=e^{Q^Z16oc1ZqkZ?3Zp27^- zff;fRW{4S@A?ILB9vHI)hP;7hT?>E&t3I=(E5NL~o=SX%-AE}!W<kL<n)&FWqRxQ? zgqv-F1X;)x)}TCEa`f$g%&Y_qaw~07=rEngCTNc>oq^PChVCfB%sLJP&w!xEddLeS z$Rucwqv5}`!G1VEA$Z6!AjO{(ppt+@32T2!735~NdKGdcmZ@983f~|1DG#$9MzDz% zK(GY-%J5O7X84SRv@bMS8BvMhl`70DRzo(f!&HAwemj6KK%w&%N%e|7LGV7skv{%Q z>K_x%Id)eZ0d2N@2S5Av&dflk!YGfVO~Yr75Z$YC|K|ik|2TvHEP>EJ&fq^wAOxor z&LAeGPk*O0o`(PS&y*?-NI8L&B9SP4kkZTCe`fHXB@hA`q&-ifvkrl;!3&WZ7#@%` zmw_y<XdX;`T(Nfr&B$O>o%!Y#yG(X7OcV7$BBUkwuw?*r+9;wu!1;aW*@0UREYGej zXqStn`l?&Fhk1$I=@!I7)+dBf?Zn*awwfkiuV6|gcBATg*xHqmiM`EGRspwOOStuR z!i5!wF04+tusq;)&IK2i1-h`f;KEXa3+pW?tQ|~Yy@hKv9In+eP^&zcS}lVy%|NZH zz>tAxNEH~8^5}u22|^n5LAb=SvlLvybc7T)dZJ{YH%HDIN;!&fSHt>Qp$Zv~fPqp$ zjuL7{f|f_4F2WG5h#0-DXXs7~1b2S6-nd___djUg`Ha!5pvF`sF*&q-TbZAagoF@> z!2?nopjFdTZ^I?Fv!F_#n24c6C7^@VE7$UwBjK|w6|l9m<l{mc#-~w=(O|F1Zc6N% z60;H^Rf;_%c}i?Sb>@)7x2*h#F;Bjap2f9lVCbOT^wyckJDsuerwYDfMG{}-4>qcx zk8VB@8TF&)a^zcMh)_o4PK%(MI}^(XNAM^v?z2HIqmbeXaw3k9Dkx^9p(<EroRguy z8O2m)_mq?WMlUv5ChBrzkRrB>$Q2!Cw@?XL90D1ogZ%e0Op_F(OQtH3qlo7e)E7xP z%Cc?AgKubE%krm}H5;kXv?#rn)e?$QWuB2a9!Y$eBG|}W3W@~2kdecP#{puEIzap` z10%l7eJ^8%y`T2hZvHaLR*ah4u{LNnpu--OBec33nx|xvtjyHB&fXinsJnfi-(cDa zkAqk*k;HIV#YyKeMxalu7Xt*H&N`I$`Dq+$ABo}?wBmBwS&E>vM5&4L45e})|JaXM zu?S~cU80nyI66FxBhAZSeLIk2VW=H7IV{e&h%cs(zhArE<t4A{%9eRNR{5<v4b#W< zyz1!bbKhgE@Tf`jY;ifo@V^Lpe1B?%hex7kPNFlc;-Q1>CSt%=P;xyt>aDw|(q}=B zBQGmF9kQh`dDUdBuPSq2lfG028gr3iZ+{E)zRIg_pb^-lAW7>dLNRzVW?(xOm8OPE z@FBpIfKE1#Uuxe4m$=$+i7fm$q*guUE+bjLe`|_J<r&o=Bd?Z5U>Y&@Ht|BGCgtFg z>8%f>cbYR#>B^nr$irp&a*j9DsO+d8gxQa%MkI3S@LW)(Ln9%`IQ9nzSEhjpAyS(u zFPoK+`Z!{$Ac{K;L%}M^IoGl#tTW2EyjVqU^kSXJ%omBw@1Y2){GcKTxjlX-gG(TT ze<x>ICWl9xq2HdrZ<LaboED^<HvvVS;Hl!P@Zyb(`SmL*E+FMiW+*j_WnOHiFF53( zMjtQr0as4=!-$PAqOzdd;}>YamF#61ChYAzIO9k^5!yd9o|R^t3Nn5VV$T~`L}0s$ zU{bE75e}zZ@TWkZ7%k{@h6R|EbL7dxDTfi!Dfg!syZ~ohRWdmYopDM0<w!W=IcaM0 z=!`R9Z!5qV*Vf3zWIV#pGppRPHIpN6pbvW3!D1n*AStG?q@iKe^ukJ1S-}G{;T4!5 z&?llPSuY+d)9?va?=M_cPK#1-KaanB(Zh-pTDW6XHB}Pix3?Vl!`8ICGL6_b(of{1 zD|=pvquNbf$z)=x=S0m^q9Gc$9|2H?{)XcNqm=uX;NXrvEFez+zslsR0DfoA)SXSM z^oJfB|AaSI<hQQ4*5c6zOQyaG&GR>t-wxW~%e)U|rFrqnD#IUJjDZ&k(y|Aqr3<8` z29p*`I4%4jEv#@__|R#IhSSmp(sEM;q~$d_Ef?WD6T^At1$jP%$k2(7hY^(lVm*wg zj83#MN{tzWKjfvQJvvk;;+`nj=dI+}dVWfoQovu{u4N`WALu6%Y79pfn<p+}288<U z?Jj0?sA0hzMj(obeVp=JXG~~w(1390ArTOJEq#HJK8nF5>uYmlPPAERboqq|U##($ zT?Esd@Wx;c=nQwdqdA~a`^XCy!vWD>9B2g`z=|ctcEx%5pta)BBaEIE){_^JQx1OC zR-C<xRznRHCpm*%+S1dy{oHdl+`HYN;r$;-kS=Jn1eaBrV43tRN1n7spx++NLZ$Zq zUw{9v*Wb;5T7MN&4xs+#1AkclQGX7OYS*iMSIN?kpTF~cpIcL7>acW*_>T_D-MwT` zExtyUavVyoNdEle5b(g{sI-wT{vvmtv}slvu_P`2^2n9kbN@*p|C2g~{uc`QpVTq* zzfj2kq>fE~HuHZ{$Zq>T!r1e^`iy?X`J;}B7hDRem6zZw(~Wh5Z|KpblWQnCAa5v2 z9NJH-=}1XjzB=3;E)hr71z}q|I7~gdF*tU}V#v~_*Zc*yeNn8X@kia)wpa_7%Q>Hk z%=-ibB1QGa)wj;rcaiULh%sa|nwD?480s%BR*#X>KK}6e5pjPj#ri$#yNnk6^yd~r z&(u!x9=l3#^aGmonrU=YPuWoCI8J>O8XQi2<oBcL$&nqwQN6e8Ok?kJ<c4}XF7;6n zIsHun`g&Z~nath?$PG!GW7PD?!Qo@O%%ddZIHu;*4<~Cyh_0oZBWRCxu*DKJb+pLW zUUNM6u<G_P&&JP!#~zE1xEy15*S&nqj!j&TO5Af`xkWx5(nrksmn+=%8l}iGlV78G z*v)^ee#n*Pq0|#Qkz+AsoaY`E1(Uo9n?@Wfx_vC{`^hA^v@OerOQi8p&s(2W5j`D^ z%42>~o~4;p!f7s(d0`=1(}nTUG9})*9mcQU1J$Z}wH+_GHHV({kyt;?9M;0jEc4?I zmD-%jmdlJqP^&f+jni;L{k8atiTA;Jn;TS}s^)BQBd7Cnh=sk9g(0;z6XMB*VnTvQ zq*oZ@2Cw|uWvsY$hfk|KSBw!CREd+A2$F~mU8Hiba_>o>@X=<?i)>zLx_zeEWBO!U z`R68%{-LENS%TXljx^_3riH-M-k-+O*5u!5cg}w+nrkR`6|(5aZY9GrM3$?oZnQfI z4^5wnd;B8Kvb5b-+oEv5_en#S%e3I6YLi2U0U|1yw#MdLh@*bZgIaLwsuW_wtq0)N z>t5j2o<;4&W4?)M%c5~17w>o6uC&iFv|UMT%I44>X70EcH;_#GvE1={KjCFz$B-3I z5yw7rBykedYK6a(e}J5GM@f;seVq6-V9F!1SUtTwt~5Kdf>CGm_yFTmo*|c02sPbn z>eMGzNYw<6aTm}C`C1Gim*|UfIf^*<Ii}gTDle7|B%PHss`+ls&U0SYobSHKQ#bWv z2<)xmW48%PGp{5*J+=Ibd-eS5ymj8ZTY^_l{t&oQaSPIUaMGeSo?ebA;nHnAbAF9Z zr1s8Xa1wPzGE$%O$wESx<%h@nNg<#5?`?Hjc7BsQ670QmehBA;e&c*w8T-JQJ1$n# z@}X0bp_yZ<i}bR{(9HMh4DY57u8;*o92GRuerCf-tKZdGyW(}(4lE>-tu7}f#I3r} zgekXaMr&z8pRAwL?6qZBe#*Oi?roi@M3`*xLyO$2ZC7kxp0+*T)h&IbG7N4wiKRcj z&=%=Puaw~Gda|?AEbQ9Srv3#>-IS)ni&xB_n@|tcaMMbt%E^YNc6r_~3VcDhSB)Fi z>WDC=d0b6>-cPD0Cwhi-Z9gfMkA5ccsP4m*G-14zJGB3dbQS927k9#ws@Z8#T*-}X z^p4uX8Z_)zm%eK&nOuuUlc#7#sNo#UM^sgW?fUGVT^efw=d~|`8ZQhqtT;+>Y@<4l z4;u2zOB)UOiM%62XheJArp<5A(k$0e4eB#x`y!bcjv?Y6_G$=KrFHY4BN~*+#2QSJ z%T2eplZ29kAs}cyF_`o;JKcE1Ph_BtCNH@7WS+2*qVxnnBrl}Nv)N^{K2>k*SEoQ* zx!E6u&%={Ih|Ev1cG6I_5o2r``pnA9$<^$tp_boHKfPQ<?lBcREs~czNI>Gay@z*k zM#+(uLdTXGx5kqQ+gOLChM1Fc`yQ5Ieb@=;K?Rv1E=%nD<m1K0%Dx0Q@QVGBN=D7# zDNVUe;;54&lA76iMh^={bfztI{XVCm_JruVrY*tSlh=3sQ5nl&R5hF5ne(SJP@MZ} zOgQ!$y1L-bsKYKv=NeDlAsk&@>|%e^^3<w8V8M0WyBfMW>q93;P$;(;4zbC6B~@sN z)>7%~$s8AXKI_ghx$mN(c!WPHHv;4PuljPRN+IU23+jB9D}Al9l-v}<noi@)MT2rB zAH9{crDm!Wtr2>kl4z*mIK!`@Fc>1WzVK>$vhijs=Cyi-1lX$Py2W$UlgIZn>zMP% zN>}A*UG0pS#8a^$L-B<}8R-TCc^DrA@rL51`!tF;28ji--A`yEAJr9L4<W^Ar5JJq z;rN#$`vnauIEeTh+bw!kwq>IIZKYIfKyXy`w2{7_(~?NFX+Zd+hhi*25ZGE`z{A^q zSRk^gYl!jj`LaQTrS(;9aEkpyFlL&1+7N7)g|Uml*m0ok#BQVQLNIpP7(0{{h(k*Z z>qi4s8=B&=n1Hi+sE)*b!SOT{s>xMDH;9ACaU0cPt0dq^t3zp~1X7FwP7@jypzu?+ zE|+D=Ybev&bVhXV_l+iRwh66hLgC)dU&}p?mOq6%sJR{Phlj7{Y^{YK++8}wG$WGB zM?*>M<lY!Z(l0*Yu+bnS&#_JT{9(Tj|1)iomk%Qwa4yd|yQJ{&iK>tuK3}|@?;3b% zLSoZcT*zy%V#M#`=Tw{`Q7@#GTKh+G(LG)Fo&HS*lho;eaL=Zjyk0-B2IipG*I%Yn ziXw~B1iNN8T}i{Vmi6t9XaA^pHy1-ybm{|n|J*r)iI2+3!CrR*&xtRewrgJ7Ch^vz z6Yt8*o?XMcTv_)zPK0~clM>w0c^tmw6CV188KR<TygS(0`H9gbPwvHNh3gQof1%gp z$*r`O9i@B3Gu6vUJhc~6gMh5=dT?(uviDv|rU-mErn4w3FlYAmLTGGGBs;-JGaBlB zo4HF}8pmvTWYg1eB(iXHGHFjRk|9VmoSjkvYzuk&G(z=GJyM9dbgfI#ga%bsCnJq` z&p^tgnRN`orf8Gb+CfG%bmN8}X|N|&p75@mG(s=dI<GZ@4A>A~>w*+%fl&r9qKaXV z$+&jRR?V+hBXo!vNaIg3Mj4P@>v|TYkk_gN!zlQXD$?SmS-w*MT&4^Tu)WA68MHN= zl*YK_+3sma96Ic2rxxU^%<6B6Vnd$S(FQ}9p2Iht&(;ukaMUB%nuhGyJ{JiS8scup zOd`pl>E-R{?cwu)lzvJMU3iY$G#vm4YeZ5uyL$d)jVJBxdPE@1(f*sxCk*SKl}{pH z1FC5+6$t}AtLr;--I^9*M*$~5%g8URp63PB(*+PsT~kQuS5=cp>DS<e+$;^n3E2@7 zjd-BZbus9=&`)?21|EfmuR@^$__N!bYX@WOd9~|K$M;{S@V_P0>)?|qH4@*{tIh=l zaZH>-S8yXJU?D#of$VdbexV2Y1^E6*j>`pf19%A<z|=8PGzf^98eIQ6M3zcIaCzHy z<{DY&4ZEP4RhHz??WC3h^baLO{4exybbI&<T|-dE4u9x3`T<CRYAP`!s9(WH#+N{m z(iFi}S!2O(os&aj7)P{2<_v}YyNU%`T;0d<{UB<n!W=;ma9ze6!KR@C&H|3ECJ=#V zAOik3ZI!BlGx#bt8;A(LHYRb3I5x+v((d0u02(seQ9RpgRrV*jEtRU_Wzjen;A<2m zUVD<zUj&*al-~LhbwXz!=V3g0oZgxQhIUFrW;rfgaFF;?QOAamYE_sUk=b@;b6x{o z@;BUO9)Ppa`3aZadLA~%|H~YL&Hw6>%c@KG)?GP*Eln)Zk^)2IkSZ|>58eHQwsTyF z01q*vtC-O;W+Z_b{pypL5htem$zw)Jn2|DOWQ!T8V@4rA`y^(B0;8M39ICHEbGEu6 z`l7LD&?)me9`p;oXF%Dex%TP=u@G5rBRLKF5xpV`oGp<!m7a=2SNjKg%J-Y9lu%(# zS#8$Jv`fU!Syz28s5W5r$D4*uN(i#@ZVhzM&nUQ`kO%ZpDF*EEPJ4ZRNo`soTH`gV z1{T<y7J23C$gjY=jTT^=!!hlB!R+OeVesyv0d|*Noj~FK<fcflnMHHZpb&A(zvnZ7 z`SY5z^S|IT0Q`B>FZfVAn4A)>@fRlW;@%u5kAo%@&)bj{_eJLPA!%)bd_zz#FQ{f# z3HK8k;Ho~p(*IDeB>!ocp#QL>7Gs!T+K@75!397qCDe{4ZA;{3FTDj)ihEhu^uy^U z-exMLa$i5uk)PeOnInOPFVlg4@McSY<5pPZ^e0|H9dk3_pZy80&PXaRg~tIjklRBV zjW6ep%_tCvJ4#6-Sam>kh*!NjZ`0g%4fHq*P<vh3dhr*ycN}quhT;{#>hBNYI|KB} zG=R<yRv&0^D{M;%j^5AZ74gb@vN&dey?YiES64D9E_qCG(Za<Q`Lnn@GukB~1Xvb) z_<@%N4nIK`!0z|HeOUqQeuLyQ3Sjs1DHj2|A1*eC1}L=j!Z5hdWHDy!7&9%jnL!7} zi~?<zf-#fDnB`;4bQyuCGYZj;p<#ld!z&8X5TTCF9PCwBq-C#&HHJm1w_UQRD~Y4< z?`0h?GS<?k1^d0ORuPB1=S4mx+9kxiLJ4-5;O->3xgTPWY3^qsU#O=-GsX!-cooa8 zGt!s<q!z_j26s2#pGJT{I(?Xc!x(swt1bZwk!oNbwq<~hU^`3@<sv?LPi}MsW#9y4 z4#)pOCxiK=@fW%;K;O*$3tbc>sCIzy<cxxUK<{Uk0>CE3)qYZ}I2D{O9d$wBJXTOB zHJ%d|u36wn(*fauH5MCRMX%A{Q|df?6jIldhmSOAAZydhh?t>B_$b7Vwxhj`wo`&b z0}h)QGbF}L8)KG-F^k2RfruI_|MwJ!{_i+WG^Y^WGp;4?=`Y-W4ld#E%&a%gar=&3 z@r8c~lvLjH0vsd0TF|`eNbUgEVa8?f81JufJ{W@oX4sY-9Aa}R-QytS?@i$`1Oy{h zX$H>uMDq#`aD^jSwJ6gJHuL@(Yl5-!-^LoSKKC!ZI;_u?J_Q0qls=+df5GVZpCtdu z@tn!X;^QNd!f5K+<)TNBq!@<Dn4zR3Mwy41`jh29M~WC-K1QdCvHLmF#OP2M9ZYjM znCAQ8=TP3F;FuYOK4#wh+cA?3K4zkzqncZwox{x*<Wm>4UhU(>y1I8cv^~9uW0Czg z!7&@&xrW$U&)WrJS_@w`dW*8{ECIbO)D-L~H~+S8m%dS1g14-6noUj`lsDG3Uqfdw zL}b4=%h}RH@6)?5(;>CHeA=GqEv(fLKImsZ2M2vh^g*8vP(4TUrw5>r0s+;v^T3wo zfSm((VhKR?B|vpEB@ER$0M!%!q&j?hpTL~nf9+V9Q@AJQ^sWwJPW@@<(>tI#`qa;k zKE2aoPVY*X&?sZ<kQh5{j9DJWEEZ$N*%C9U1oracp_rVHNLA3yQK*+3s;?37>HWVs zC_q0?3U(babPk=_Ia>%zB@+Ubr2w<ucyr0H0KT&#wk)LgvP-aw1%rp;P5EvV-E2l! zDw`18u^}tqdtp{;@ZHz0Gp>PZr=MBR-1d!WmBWc3K5uT`9L2)cmm$rzT?NH_R(l51 zD$zGW6)F9PkUB=@!&_BNNY*kM0Zs^~0FhbO`f|z6S4h<ik)uthXD8wsnlLbO!Wr4( zX+%_jh^uQI2kNUp&8h{|Os=PVM+X>Kw>sKw)dd{84#6qmO|+z#Zj3td9v)xb@e*T6 zeIi@p68`|H^R`U|*ERJ5k*`0eWs*LjSzC^c8OoSn6S3xK$;5f-iGXfz=Lx?g_QdDQ z2X>nJs<2&-lp$=Vs}~2`6_6&vcCkY_upL)RF0gaGy`2xt_VD>oB`k7?;1OFL0go;< zM9Z~p-O2o%b(&-tr$MR^;EY_?D}ZrINJ{|DcI;5W{F=e`P#Awf+Xr1JU%&o!=W=pW zA*;z#v#uJNq~=~tF5e)1@Md#}S<mjdta+6^&ehJsNxV*5vI4|FMj1G&(pu_$J!y#u z9zx#kyYVIQnAxx4t-c#Yc*Dd31@0#*kS(@d#ny$xv$lZ=LsxzERn4j_^#t|o%&LCg zu*{%>6QU_V<iEdVxvgfZ7k#6Mnlf|<squxk0=)MvP%M05d!wj*kWt1TKli1+Y6kW? zcxyja2)0iT{=D3fjdn>1MoYx>5Epxee%G{XuSSqQk;gV?#~nQZ4_X%rGd(Qh`Y8Uy zAwpwZ?#J;%53iTxbXp?(M<mrTW}FrJ5NxM|wnP22qeR=4W9;r??20jVCXB#QGree! zE=xq{$Q?atLLHO2E?XLh-s`SwB8yxtg&;THw=Wk^qjOULQfiXWWs6gyYl2<knRsL9 zLSeQV!cu3!d-+oC#e+KN2wGqN@+C3wq04h?_0R|ca>E8_d%aD%baGpw#b>nfa8CN5 zEil}H-u}qx!RFff;jjYh%*}<nc2s+JeuSkp`W&rKn5(PfxJf{dO4Ubp4&pwT7*9+} zEFFFO{6T2lNga8K|4d=Ga~;bZxAU`wv4-?dwcLKm3%kqi3&Ioln{JTUyUja|{g>v7 zFK-Ec?tJn6;+DQ`UZPn6)95b8Goy~7`)U;vUULuU?mvrK_Aoxv+`XBEk*;_R`2i{Z z=eUiWQ+tad+F_e#-cuPVG~YvxEfla^Y^&J1*>lfmF_CK~C9vzpcS_E7>0TWrx)YXF z%s(dUt;ZeisbNb&FD0g}q(t2Yl}u5z$L-Wa`)_30m|zv~<vt<}_Q5I?J}z$_8sJS- z*y=?l#Y}@=Ixj;Jia>57N@<)GPelf?HX*fD1&6H%N1AN6mKbH243F8V)%M=VR0JS> znSrFi9>)rWx38Ip;s6jih)#+*@QP_y@(i%)1~$;vJfn<R7uIm0fVx>|s~4DK0_Hpy zHSMa~U>>&afN^ITWjuSNNy$Cu2B$VPu!^@+0!gvXsN-zwXo?Fj=5D0~0&1o0J)lFu zBwP|B0eP3*{w}K14645W0o@5e!{x8{^ZZ66m9xK#o&<G21c0zh{8J#MulG-RY=@v4 z7t-;KaaCg{H%Zr)b#<X0Y08(xb)5AJjDX(-hbe)a9<-IZzzxtCuKy4t(FJ@f*h;}| zN^D&4ZTT>@nO1Uoz@zB9=%cfF4INXP*FJ?}94Ns!(D2g%6O04iz=7W3COc^8I?-*t z@LcD7{RvY`*9*J_?cdiQ(>9l4eWT14_D|2$J8`-KynFqt7Z6$M31N!65)^l6T65>J z9J+S(sX~Vu@EFh_iiN|3Pg-qNOKCa_?J=Fg2(*TFUH^okP|$123<S79<(Jt)yuZ-( zK&N5V{R=%0pg%(WMjxX9ok0csH<l$L2(R7=RHvX}g+BOitaS?wbyYD?Z+Lugy-A|$ z4Yb})bnCUOK*C8Ntw6Wlu|i99>xIh{fi6>Rxb?zzni#trjGZpVt^i{fi?PF$x9eZ! zeJ)sEbp`=EF@`<S@QxKKuz9H}7MD##P7iT|um)BS7TWVw)KSI{5y_?_J}sx(B?zE* zG`@nHa7QG1AxT*{+?DpGb6F1^!~_t;y3>{M`V;u*e)}$??7h(OmO^`Mbh}0OSG^|* zLq+HyR=`25wZ+^4bZbjEhI-tVdetW&bpJ(xAZDR{qhs1@)o=7f(9NUs!O5)<hcErQ z8d!9I-Q@yC9G(=y<@^jUr(Sh*XT-;JMnOzR4Z(Cq+MzTlP6xdKkI#zvt&+;<j#|Kh zX~fz=`Vi>EAwTUf9TkPKGs1M#LQF@!iLv9t*j*3OpHKqpE*UG#Sx0u+2Kg=*fX4r+ z3JLdcZ;WNh?_LaA|7Wyi)p7y6ojEFZErY!W-fJ45h#us<?Mt}GU-ACA1KkwV`)t{J z%hDCS!Q5iY^8@jA0TIFMZ@=QbiXkl~-eCWNH%PEwq2s*_IE&dGG4Tew;m_?36K=4* zshL85ZD+2((f?7rF{{tQtX>(B7vBMxH|gnwy4MTh{a?ck)&Ga_R{WpEn-b7_P8XaW zUUe?Vg5XSLkqH#rA^d-Q7+N3<2s422WH5@d);k3_Am*h%CziFUz+<`J;{c;He7$|v zhw(#Pa7lzx>k$<WpR{|N@N+z-3&yjyzsCvixaF_0K0MC)YfKG~A3=W!#&m$dVwv;= zUxB2`Vyw1<-pIp$OLLS^LBU_gi2sYVw~nggTh>L<1b2eFLvRc3!QI_8L4tb|f`tTk zhv2~j1ef3toDkgI-R(9Zzq9wg=iGP48}I$GMt4<xRbS1TtLL2EtGm}<N%>E0W&TPk ze<Yi~k}5KN!7;cLs7cSUA>{b`HQyfC2FGf^`R_X7NvwL3>Yt=jP%5JYkLVh1@Yy`# z|5!?J{K)}462Kn4{>$?(sR7@;<eJ{MfPl3KIb&N8Mxx*5M|b@eccB)0={<X#(=##2 z5*Tue>P28@7F=sRE#Kbs7r*c(OX;#1_%mc1g(Z#qm#K|umHabgS1(rn;`pu3`B?Wy zqoNpSoO)b~>6Nfn>KuAlfa6RhI9Om7aaw2~3dYEpR$Hip@l~q3`nRi}26TE5lci&7 zai;S)l3|tn4<mL$`g2S*Bz*9a!{ee!KzsaE!u@khQrzl}V(Q1^9UnaK!4tLS$8WYl zk@i3W7aTZ}PAgfQU0yPB7I7zPH-S^ppP{tA3lE8?8Y3ieoZx9``RBkc2j`2w^9v(> zkqgD7k;U16&Q5<tJaC*%HnIg5n&6ZtUA*(S&>VlpUi5crD+b5S4jXW?0)ulsE?l1) z`>*JK8aw@8(f<!^HRk^M3|qsaGPz4vQHK*{`e~}sf0}BVMZsMEpBt_MHvAPmXHgB< zaBvP;O;Ibxn?SK-asDMkYNBEPG$+`C6Kl$k7wknf|28dyXQku1PleIucsjcNidtZ| z`B#He!oN=;(<T;Ye<z^FUe~f^t@LC79xL;^I24@6zkYwp0Gwl9Kh7~~y5JeX(+u_h z<Cylp%^^JhZBY9^jA@(&wNGQ=F*p{2$4XN|7&0a|@S|v+TH_4vO`&QS-nd7GXIC4p zg$Zp2{=fr5sa)jM{dhOY0)OiQM9hJZAo%0`!!g<YN_%$=Y7J1=<Z<Z^yfMRMEj?-G z{N(f94}Lcc!b_FFt6p){=k1G|x2%|xx{&AJxz3%3dTyp)o=>$=m%Bmj>f7#G`^I0? zY42820->$V7)FNUUFqvK9t$a*g0cm0yKf-RT|mry{KXFtvkF4cjTU}^5C;##R1i`H zw+qI#h23=%y2ov6B<T$tJ3y#zE1XlNP4$gZhc|RYQlVdx2r8?izFZ%AaKB$$Fj6~m zuQX02^)FSryL{ujxvu|?s6Lwu57-=p$Beg=NnG7b|B1#hrzQmBJk+o(>&6`Xtlmi{ zBsXPLl&5~9uUm$D92Iizr1Wxhj-mYhe(J5sR^F;+_<r5ww^8{sG2x3whGyrBFZP*y z`IlPtbe##=UZn@c&Z>84!vY7P%yUhHgqwpO<v=R4_04oynZH!PA1>SH^-lHiAXhcW zMgRNXxQezwu2_q$yc}nc%i;S!Tqw>$_nK0gUo8B+U{GY5t^H$3?(*Bqy1purZ*IKz z(iTEZ#=gjSaJ>0hG~>mw9FuotB7--4a=64)ezLxP<~s9s(K7WNF9!g_r8>p11M^P7 zaBL2$L$eyqkcTvUnM))!rq;OzCB!Wb;(+k*wM)|CfVEt`=JUphxI+cRK;A~>c`A1& z@`(L$$b;_~^IP5CF7DaQGKcGGFXoECSGiC%5<G8IUZiw)Vheu6)MLz7L(dnmU^Mci ziCk>^xv9e+^g-G;0vqH%QX01WL5#g7{6Q7V*LH$~I;q2TyZTHom)04LHa<r0ox^kP zYHGjAn(EOzr~zg8icei%#N(yS$1$`u!mx#g-fx|Z9ys;4nQVKPyx2f13+FQAlRDx2 z+P}n^@S=({4=J-+5l=3zT^17A>W$TLwSIJ&vP826;;~;f5JYS^vv!HEzVfCT7gg(x z)ls#6Xqhq<Xg%_)4ni@UF$axHZ>r%Qjfd6x{$<Lrpz#ni-W$xUUEr%Pys5rK0gVUM z`krOVYfT!62cU7^V8;9cUvrL>MsB+hMF(|HJcQ<x=U2*f!m2TB#@Y)_joz@qlsh0! z#Fe|+%nj?*mG|A2Pit|8pgiLd_*)#bg_<7;%MO*hs<i)e+XFw`xTWVEo@DcC7|S9{ ze59{CFCi-o!nxyC-G{GGLX@R_g^O|4<f)X2e>Fp!s3nr1?c{o>N+&-bcy!$WUEhPQ zn<7`BtHd?vn#Uby<~jTTfWC!~zB}?%6~x~lo_Ew5Jx4cDF{oy_*<@02*N)ZXTr&Tq zKNs%U*KkzD6t@>#9%3aFpeA#URu*dZ9IDu#$TMLOU$`iRO<)?qiTbhB;sww;X>t)( z3_Z>eZ?Lw8Yt+6#YorNBXfeP!x7x&RfQnR5!2v1&DW_H&taVTm4{B&ZO^721Sp^l5 zpn?!o^t=NRE1)72RG>Wu0Tr=JpdtWNz<>%V2N1CcDttl3WjGka9z@I!bBnt_=P_1M zbQ$)vq7miS{-sKFw3?Yln|}*2wHzBfT)U|O@U0m;*!1qxZtgiRkLvElUL@}HXVC5t zv1CK(?Zry=yHL6hpMyohD|PLoSmqVwr}ci`QGlz-w}$?Xh=<tuvD(o^X~!Y8;k2kW z3y`ez{o@KA;(C(*l-?$vpS+Y}_`|WA@aItHYE@$NiKs1+2e2P<>!;~}h>)F-vq;!i zq+PHL^K=o3JMhdn{W*u<Xv=Kceu}tpes;EhJK98+<7FDTZ_Bww91X>n7T|1;G}=@` zJtwBJ=!ciEA0|>?Q=P-N3WE~zZfhPl$4ghXUQA)p5BL9W0{-8*B}VRtN&F=vPLy6U zS2h?ANcgaCgSRz5o#Q1db@7{YuEAzhfD*iA9)4>cKgUZ`zCJjo6_TB4PWOZJfsM3V z4?H2-%4id()J18$b3zdTCI;|dVsL6JBrDTgKJz~Fj+W+swW36%-tAuvd<^<OnLs|B ze@yR_unb<Tsmr@#@01!YC5sdvpqL2Us*w+geIV^=Z~2Ddeg2|vlCWN}Dfku+aJ~Hb z!tN?L2yb4>;~Gi+!O`&&0hz=5u5v3d_Gp_0o4kK^GKQt_0?20BU|5l35pk7F<jIn6 z%b9NoYfEJgV*deCLS(%DixWP^bHN3Hoqr@FaH<7n2Adjg7ml5#P&)CKSDtdBzqBqi zb-^|qdww>kxk>x0kpi|rQ2HY%PBn4ji}Xy(+bL}7%?%Kk_z3*`7Z|b*;$ox|D;0&0 zSzu$nKa*4HL$^>Mh+(lb^ffc;a(iL%Zl8V;>G~Da0KEyu)@}AQUV(p-F6_1x;#Oy* z9&%?5*1e$8bAi}9Ic{Y2(vU^OQGa-(1>o+yn>XakE=|34v7<JO7A$)$%5M=obD<%5 z)3`SjwdqC(6F+!+vR{`(;MWQJm%Wx<KdgWDTZF<~=qHFMqHLv4YF95u5v9A4w!<&d zqyKS6=~RpGTZGYEs2c?AP+hWpH`6P7V?;!$dagRl@f&IcE4}(!zr4B0hrK!jKxx5+ zKqM*<OZgGNdz*QjMG6-gg=qxDy|u+AMBH4Ec7>D*JLL86mo96OS@)%ir1=3$${D=_ z$3|0sPoH!eC!8Mp!ta+=2}MupG=}^Hf&uL50c8b5&TBIwk#)0pt9lJ6k5LT>mF&`R zQm1Pf4t<9A{2%Ed3Zv8|BYBLefaFqaJp_<P9^^>}dFbdzoJ(MiDYL;4QecRj*ryPR zV2DgG1pZ@)NsQr^ANEkO^gqGw+u{9a@s=@Fb=)*sz+;Xgv8viZAt0jfE$>plEXj|? zk|hb4Ow6Nf$7BWJlVeGicSVqCaHMeq&QQq-!u~*#F7l>0Od)?B=5QlYwA>uR3vmcu zbW!>bTQD5Vft0E>FNQzjs?^ESWP0#WCe>H_DZoVa>F`1h$ddPl{C=nXI!^_{Mp;7p zjWpMndEte|hf6q%Q7(7s!!ZcuWlE7x^OF96g?`RduuqKrw#pa!EG|7<YqHmK1Y%he zJU+3&4kuOArooU-j~5ZF;--tj-m0fOJaPR*<%?qj$ukVkv>2v31J&)o{j=i0#tG%n z0D}!@snUs9tSm2qH)IDczp%kL%27L<xeR~9NQi=A$iOfkVV=TtV+x(}y3KBQ%BNjA zmsv83H{Bnp7Z5ocHwfJVO5bNl@K00jmJD;fd}<HQYYhi$Z1I`&R2zoGW@-n-07SPd zYoJs2wxT7Oh<LgSnfO|_wng!VVSYB^ZhUudn9FW&c%d!LXWH=SyYFt9g{Dw(>IY&k zTo}cNPv6vsxwkb%A5>jADTTw0BYS;poUww(S}YDWd>?Oz%5nlkXoHZS#hP3O%QFx+ zplSX>ce7Kks4Y~NSk8-ie_gxM$G<#SqVR&dnpb+(*-nYXO>aqZwoZL;IZuNpJf#V? zv3m~3-exiU_#lKYA3}RJ_LkzE<%WmEtg<liyA2M?PbnCYl>58<Wg&br!xa0e2<Gop zF|fJ^=26C1=6|=_5J~K!yD4;ktEEGiT^<atA-ceMFg<-9%rw{X;NbOeSw^$qeX(40 z=QfmnV0gd9Je2Nzf9MWe?B~;D5S6-m0pK<2&0fox$?Uv`qqCn6lfd2bTIJmdj-e1V zOhk<k(PH($xs97==F0>mc1$V&`W3C_&4m3z%l$@zTg!YCk2nG&@x$5Dd9UV>f#A)4 z=a}I7M$7!%{khq7{$bH!K6A$1-3|_Lb5l5$Wq2Vp-`MQq*oGdo+H21pQ3m*)+~?l~ z*W6qv3Ia~xotD=}`*#gA3%4r=3-_iWXu7LL+_qjm0>0mUE;SoxgWG}0rn`-MJMUZ^ zW(K{@`&RSLBBcIiU*K}ZEdo_+b&SLJc>E{xN@i<-;LExiH`IuC!b><yEzM0{E`ZYm z^X1hBx7!Ws1^CWwf%!Yno5N0=6&~)6+lzd~q{O9`7u^ACryBtOLnu7q13M00{`vUL z!*np6hmOXA@5M4R^F46CypR#c{IzKOY<zV9o;G=<*)`GTK&Y+pxwJEm3b#99^~0J- z-bG7*et5^-nb7^bl|zgB)$#%K`Z=l)_nHH@ZDl&Y_sf78@kY~fdGVAF_20$zP_#0H zfcxF;!xnZ?JC&Y+KruVjp1nY^eU+ZMKrw^dNKG6Rk2FyO!&ktnJc5VQQe+L?Drj$3 zATu<4wW^Qc;kFdXT7g#`BK+3(TltKd^LHF3-v5uAl?r~$v?HTtvyrLXFO>}re>TA5 zR;*c+#c)lyY&SDS9>&M6)i#v7@jmUt4tLj3#>3UsiPN9N@yP5mGDwc;0~>iMRu@c7 z%2a!HoJ4(H@0I8CB5Qbh>~tq8E8CkCmJBkG_Y*9G8)TRE2cKOO?{JS3Col@AP^`B3 zME-hK=YT`WDZ(@hEzKB-=mrR@K(DslMf|$2b-17qe8mcd)UuV)P6{dXaJ<FNx44d4 zh9#`Iv-jGGLf2h|Tw=PVdG$E63sp)kvnyYY3DxG-XadH@yL=TRYd?R#3!UjUIq>#W zalz*zg8|tJqxbsSM&L?C6+ZfMpaMShQVr?jVhmB$Wkm)2uS?SYH4z}p5HCeIY^Yg} z_}8V!qqg=Zm8gVUTIRV>i9U@lnXNy$V38R4rP*^vUwm6A&StZ9kl+4`d}h}~Kj?(D zWe#PlS~zb|pcYZ{KsEEG5%w@<+F-`n^LqlX&{$4(({N6<e6FWgmP*spMqs`mai8}` z&O?=J8V9gt#muo~^*`fOlJ<GCiVv;#c&$fA46H`qOQrpUna-7#bjy;KOprO-7tQr- z95MUQ8faJ<iSvCUNAy<I#ht#LSuJpd{B~??u@I(32{s+!5OI8=@U~g$EG_f^aeTh^ z^!_x~jlNOHW9|+p2O96z+KKH1z8KdoSp33jw=UJxpOIQ33<`R`!bQKv(#Ejfvvpy2 z>fZwJ^;bgE_aHVuECF4>19Rfr(}uhBX52)-j0$LAbSY~Mc>UIS=l&km<wUDzoa+D) zdwZR0x5*{bcHR<ldVRK2=)kc5a_tk%9F88E>*cC)tulZmY5ZK3IFLZq0}ID>3jKxT zJ4nh7c7V0a?z`C+I7>tl30_?`<$zU-FSX?iX^h@jIKra*ClfQ<7P8c#4&M)zb1JDY zC8X@ALlGNBr`rCy)0O|9-3imn@{n6Ruc+?bx<3JTj82S5=bH=JfC)YU(pGktog+S9 zh{sowU%{l88ml!@>;nZu%x1~ThRi)2*#`F+)~}oRgvLI5o_XitovokCv2S;7Bw75L zIA9wnu<tcbF4U>6uN$W?%S+KMv9o*J-(J@!`ZpooZjyIycH7xWmRr&pP!;DEbH)_s z7IGdF6XXl$!oR;*P<Xgq$Pi4soqJ3xDEk7|t+qKaNT7L%_@Mn0_Vh->T?ZB6z3=%N zA{6=9*%CV(hkyRbr_WO~35XLRFP^_;a15&`>GAQil_-__j$Ow#rLo#)rW?f&^PdW9 z{E<+=&o;Lq-bQr95!^{us=_tWEu_X`gq_s*3NL{O`8_TVoe}y`$W6xk`Y|gR=c{#A zGWJ(#vUJSN5%=qp6_Ho>5!pb*J>KBJeUV^)*43HY3Cd?Lc?ITXJRb*(=_pM0v2Z>9 zB-ho{!77S;mpme#O7^{;-ZX2;!2>xyz%kTvI;xU?Okv`)paT0|)r6`7`?b<Q)#JwK zY2)y;@f)Zb<IF~#lH*(BP#t`+W~Mxdy{4u-h_xn8mq>G4K`=OS1mN9yoeq6$7jUN1 zSq&CDnj`k1VYp4NX&wvk!uJYuyCDGo*7$nS<T3+F^^+Jv%OF5n|K<8O5QZ$-!SN|F zG^LYU1c&>R{W*i(i-icy*_X}crM24Ln!4{QFS{z2EsmOuI|r6$YC=;2HExcB?%Xs( zQ?~LnAMPTSE$X+%f#5H-Np_xR3u3f(c_{{WURR$$^TgKOVJ`9wcQFq4m^||w$H)N2 z9OcLW`W)8C01){NzKvim3EE93ngq;U@w{>G@PzA@*J++hZQ}AI-mYs<s~)d`Y;<5U zNrBrmpLgza+Kw~3>$kCi$*D&LAE-ERt{e`XN9zr`^^kq!xNpn_d2xXG(E;bNPeS%v zU~-)qHO}mFr+sNPW@1nF1iR!-O^c6q(VOcbcA=XVQd2OjX*F{><BwmvZyS3ELiX)t z>>IYSE@6)$c0cE)R1lDZbXy?*SCGFB<fnP$-vbr(pyEn_8?j^)IqGdsf(#ud0nbx9 zWwRc#T&qL6^<ZhX@;=(dZ&H7>3*XEOu?vcoM;r5KNUp+vYP$Z)hV7-xdgER~2rZ@y zkZ-;)(jxg_HZ;TNJ0E0dIXELHk?el`658qwtaBJNLd$o^WKVb%;g}jfD~LS@;iU;K zVbuz1*s3vTe?GQrNhQn7MIoXV%joA_EpNn5-M7c=*%UcVBKq)K!-beQp$VGVBt8(G zB?6+8b=|!TAA*R3FP?q$>=%MOm|Z!l0URs^P!>9{K|uQl#fTLci3H)>+8`JBko1y4 zW=JzKyw*I~MVQ~V@R#SW^r7;J8yF!Mi1TF^f0@=$Esm`C`kTmRK0x?;z)PiP4>3W$ z1s$kmjUaZaVN$(PU%t=kHjr%vi7{|JcdKE%Jqc-O>tU8tga>5(ybuGt;Q>;_UI;FI zD4KFR+18rwEsR%ZlmE_@K->)<%JlxyUuFj*gidxn?&TGg-%Cp9NoV-f*7|?XWo89b zdG3CP;rE<XRQkMfFTWN}Xj=4RcqL#3%!07tG*QsXXHeUW*huP1pvzP!7ghU$9r#_{ z(EH0x$Vk5-rQhpfY&^T+bZXnF%0vS$C^qA~XL^J}KCkJfZhLypY`THuYw6sh_)+Kg zT$VEiHP6_4<_(;+j5Apzhbr*Tqjqajx+jEMJRZjG+h^{)N=+*njt*v%#Ies;U**`_ z5!;uh^Iz>ch(A<Zk==59p2GPCtb4hu8(wNYoGIhu=zD~yOMgT1Or@_&`Z7aGfpl4_ z9Dv?pne#rXa2<u^^33`@q+#GkmgNZ}4whP*1HbnR7>u}!ADGd_ZihcT6RY)o0SzE% zv$S$6kq2GwVIn^(#bDCMFi+XQg7%)LGzrPz95GtlJ{~<Y`T=3c^bvO%2ax5!t!;qG z+4<oQDJX-%+7S_D#S*Y%$$I#xX&yso?Kp6<VsUU&lA3_dQ|u(gzdRKaAL&s1VQo~- zO)N7JfZV;=&qX+W^lNZwaUu}8+rf(aS~aDKQ?;J!?W-Wwh7ppP-KH<gXIVunXRm@{ zKzVL=plJEbxQ#V+J`A|Ov^dDaE<?o(UxKkem3-ypRp#!K=zx^(o-NchbrY7mBc{E& z^A3r|BU`9%iXbz0r#;B@)d0cWyTSP=Mtu@$!aaxdn(LF)rZL@mL6GsJaXe#Vw`2ok zkWQXf#wZmUn(|ue+-VOmeKn+e=P@{+%4`6-wR_BO4><wn){sZnEK9h9CmKL{Wdw7` zL@?Dyo8YG?Cs<E;Zj{{fTap~2{YjJG{%nKirsLzEG&ejRraZAxraT7AP|dBmm*Fzr zM!dRKtbXHA9`RdhA{eYk`o)5==2B$Z#_hGz@+;V_B1BE0RA2ViUQOJfW}vkv-S=9M zyY@=)6?u2Bu=TNPeilwEt@UAk#DGG#zq`h2nHt09<}Ot>)ok!+zp#!gH5Dq^l$T=D z_UWs;`}ir8NMm{v2$;22VGY%2=rT(`&$``Kh?XcVJP0~omPqyN-cK64NJ<AKM7WDJ zqzurcCeNRJ<K<$1o~LNniwk5L{NQ70CE3?_CVY>AWQOfvfntT5IZyp^?z!`}MF?b@ zhY@K}8arQB5af1e&!|spAB5EY`}f7$gQ;ft&kEf5WiKGXpufSOo&xO<SwvdT3MSN_ zlqaB4MyL{j14+q#K7Jp%kL@QKW$fWIlw<S8ev1OK_qRwukTY|6C5vj1wsj&8mio)p zYO)rRN$te1?cVUL>^OOfg^1ccJ7T_yAV@vr3d+oasX(jeO-FxoCIfSrR^rzV?<=-S zp08RxJD|?U!uTuJN#{^MS)mD888-Wke^OwfPJe;|yVLti1n41A17?eJSaw9!&P3)$ zi*^6dK%miL^#ayh+qR=kjdT6y_oO-HPEiBy;~W39z(U7<93OU?+|a;AR}jRGn5D;G z9?6Vnsg>wsDe+n?1t;RR%P8NNp7;cNqd$6hBvLaXDeZ^N*8r2Vkf37E{!|QJ{NrQR zO3p;<#J+CtCO#**O{<=r?*4hBJmaq<Cu_$@0CI<JqWg>E>qnG!;&!)pg+L{>+RN25 zQwz)T>6ck@LMCKIB<ut^U>3gpZ;>os<Xy8GZVsi!)Bo{e{^NCP3x)S0??4;#TjWGU zlFyI+HZ`Gjd$yipIBZ0ZyPgBYqtR;>_eKF@BQoHVsD<0Fa$(;pm3rn$KpV{L78xZe zMg?rQ0NC!Nz!lSkYyyfdRD*;$eAXn*o1)!4^xl{<Q>$Mv(&+$EX^W&?D6OffXHlEr z!%I5E_xSo4qH5`{;bOdvGJx>dK;bP<45<5EYqEfk@e3OnWaeZ_$*BQ;vj;kp4<F$` z4T<@UVxjf7haR6&An-1uXT#@yO8NUkEDH5a#O%T&>Tqr&g8)R)X+5G!K#<gLpZlao z&^aXt8hQjx&TeGjJcfGx2r7Pz)Zufl{Ro<;06{Gv$l3)joOMiD?nS6H++<~LE^c)8 zr0a_WlCC2TF5|?EPGD-9DI)8Vqbr?`v6DsUb7h=1vDneO&jN}%p~k+XmOEehgO(}q zyyB~{+HaKxH6%QcI=k7<39adZu70GJSRdntMp*3PjjAA>wDagjt+HZ~3{9S7=i;tE zcmAH<V@fOdrNUBDmbD*s>n&$wx01J<=}_1UlSGZ<bN_B3Z6v@<KD@(Efc=q8!4mNu z$mWFaW$?(BI;b(?j?}rqab9c%vhk!bzCXeZ9kSl#83Eaxcy(KrS+O$4CfUD$Y#Yws zuRB1t{E7`RkZow|?Oq7TreHc06mOE4F*enQ3N|yfD;@E%nfaA21Yk3H!UO=!C*~ri zCuZU*wDu~KZ#ewFdre3`kx)L{)gFFgJ6e2XyYs=;Ml!sO7$G5Cp8vmJt!eVTxjz$X zX}mexN9G^nK@qgMAu(*Zjkud&HV~W<0*-()_RXc0J;j;}#pLfz&4L2r822rQ58n6J z=X*0Ws1Kg*jd$h`>*q`R`EEBP_QZCE2f+Q_(fQIl@Zs$vW|L3dfKRQ@@pxszP_x%j zRm9a*nOjYZK+-+kE&c7*PfOt8x^v;)ciVY$;x2-_%swO40mdZ5`_<v)dB#lxO$N|X z*U;i~=YDZN7lXsxa@Re~b#MFP@a*fGZc~<927}GJ_MP?ZZ8@Bq+x7eVb;JCv>sw~U znY#&K8fXB{Rx3wP7u@DZ+WmJl4CQW4k*D$j17y|Jnv8{(CZDsTrH4ANlLv*H>xUl* z?hi8!VwOT59z+!~4aKP3p+3E(6$h>yKOe8betFwv>T$corcB&@eA!MD&eq>&-*Pka zv*jVzryg(%N+Z=f+M0N{z}7pQaWXty<6*w6y88*abaMoY8uR5G+c14E)X>Mvg^9Q2 z`a91;?(~WNSH8D2ZTjtwh3mU-8hI}8NtoR8IEk6v2RQ}xj`Tp)0)DV;8ekpPo;z68 zwWPA4wL)UB2V6VER|||ufbRw%JZ`Qz!i3HU1FoBKUaRVND2&6xQwSfQM=~GSFPvp4 zqM0aRdetv`Fmd0!65spTRCi+yUZW#K<TuzN+~G4Q<oo&U(}YginocDZN&<LS>d}(J z+E5SxO#f`?u9$DZyfBcr1b~tOo>ESN`V2c@N{D}+-`9Kc!LxS%#tT<$HDQ5!=T-86 z1zYOusm`z!@$_7VDJJ5?d!K8h3D4U4>4n>gx%>6A89*ku!?)sQCU~a1m%qfZX^KNY z|Gp-L+a6^_;Erd$8s!BdKP~Cbls`4i$1}9Mcb~C+@0<6sd_$$41GFvkjZIz@5}D}| z?PvS;_Vc$z_sNt&$K38t>nzbr-9J;ST8Qc|Yyz-+I|5s2{7(W_8`;m@(#y+GW^RLx zaoZynE>2u{^{4C@9S9AnR(i;lF!{dNaYd@HBeRBcUsRs3-FheK4h`G|Z+YPPHh(@j z7EG!pggSePUz*TA4e%BP;P%}-nsTTaXDVRBs9;^{$AU<hP4ne9UVai52!8!bea>3( z;qvzErk$k4%Nv&*@NFDg>(yj#`RwM>O2m9%N3t+abO86>FyP_(e1H6I;I3tHBV%E9 zPiS`G&hf(iVrQ=%$MAEvX~@-qVg?&)JHQwYpWz@-{@oMsx^Qm*+`UeA_8dN59YkpM z&BCzTbAe|O2L}&4TOn4o-}M{nlKJK2MX$EbS-9=z_kr6r7j_7|JB`mKqg@EbHO)3P zG2CjhXjQaOm(C76&#cTPM)XR!XTsi+hL;{e7<+5R<Nm0M!fUnhYSLCTxC@4QWjlD& zUe?xRdt?W++~?oZxjIq_J{&h#-l}vo5VasV%r>V8HP(}JTVNP_Rol$;%Jv7qtu*;b z1{}R^{V9rjuqj0Tx#q<1MVoue1G;hJ)Pw5lOEmspN;~y45$7i`KPMh8Z>Ga@-#mb) znfLbcCf76ELl4alEhsqNR|#2b?KdPO7xqGezO{8g!$OsrZgj+%U4u^8c#vPj$d=zx z#kZ4@xA%u9CmVpL%yO^ONR+KKQ~lXB3j~!OAvIrn;0(WgUj<yZi&pP*In*0>OYWEE zmq!lMtr5FAf=EBQ!rxV1w+{i$_a|rL<0l)McMpB6h~4%<JoE6rZL_y-t%MneyvBA9 zd`97bVn7;;+eXK&_i2meQ2N7GapDXec*P|Vn0U9Jm)JnK{yQ9xGzRx%BW`(@>##EU zxU%o4^4kgK;HjlzM%1el!jhivoUe2gd2}{jIqGniOPoI7+)KV8wd@!B=5hP3cWQn8 ztF{~cXVy7>V?BrF!fss5LCh{#%T&+LtZV$nfS$u3UB@MOh0N=2h*ZUj(9Uu^s3U42 zc#AM@)u>v>&~O8}G5rJkntEsj*7B>t3B!xBrJ!O{18jib-hfj%eJFiogE_2juB7ZZ z9X|BR<{59}P>k5zl(ON&(#D&CVSJ;(?sL5lH@k|5!2KyrQx8|>eqLrJxtDo2VOZ7% zu#whLL^oKh<;n(Aw(>B@m$yUrn>}<sKmXxIj=~c;(M`1<EJ9cK6-y(6s$%dF?QSqh z!pmJo+>0N(iHiY-6<QhhTMY;Is@3f3erWS?Jlnv-SGjK|;M+_CYplbSnO;3-&kUe^ z``Ph_b|U<m)--XH#o6Asc%s#4QwT%_fEt;0DbT_+_Q=N~Aqd03gX=Zkg1f6V?NY84 zs7$j;2xgnp<I0#`hdS^jfDS41rQmz`h=ScnWcQh^nQ(tpsqJQ^i*{vag$a2LX_d+Z z$-aT;{jQ;_mj`VOaus%M#HVTDc3Gcp2qq`d!O_6`l9q%Z$GobW3n)YUzNArLe}T~3 zgLZ5He0al_snR(M5Mi6TQgkk`*b;p}Sd$gwQJub%jb}z)le4}kGj8UO-CB3N`wN}n zc!H8Lqno;Kox_<u4DLO7=+=QAkLjes4}bcV5psL`>(_>yw?WQ%s`St&wRH9+kEnVl zYCdK_#fALKiRxG?d#{Id=*eNxSd8ov&C&<imYF+V{RZ1mbm9!vP&o4lIv*W4<K))@ za}QOkH&ON98!#H7$R%*APtlkB<ErqD<!-~_a8fS{(Yw@^LL7c1iL$n#mO(6(V<-wS zdoY%AYwq|zPXP;ML#V{$F7P7T`|@gE(%l;9J(`6RLL^~3ted+ZnxO2z9iu#rp{0}v zRIDYU;}zWY$&orQz5p0PpZQ?ZMbi~;V|la_ifaVW#b}QkuUodozul1^F)9-eg_-XI zxI>q_<)4TC&OnejGYDtPx2pZXtU_7qWsiqNm;O#o1xsjvSE=ewjrQxqtNW-gBKhfn zuV9LTx>@IrtydFWZ|L(_<$M&{gkse*TGRDcnR*WUR>F9d-H>bkCz8;8S~mDfOtrtw zgz*?Sq5EJHY>;S8ODu%z7EQt+&B43dX>s&Qmz0|cd<<?D&dU}^gxl$^?oc1t?{5G| zrt6exMMEU<A4A#nqTcb2qjOnPz-u$$Uj8Py*V#6ERIPf?KIV6Im`8Le<FSO7wQjq4 zU6Jqo&pqZc6!wFkI7@+N;xMk60c5pD(Sb(6^0@xl%D8O``AwK@f~_~RAK_-d#Ysde zATR|usIZXb#P}nU5u!2$_z3T&p`Am{7b}XRDIie$JF7U51;qHnlcizl!7aH14rIH~ zCI<oKsG80&OF2g5QZ%qQqOa%@(MF|z1fqpM$7vHJJy&q>xwM(yE=n+51}^4K54!Bj zncoh_a#_g|IFCNFm$dhc=celr@Z>bMMr1u6d2<rL7|v{hd3SZ$72fttba-V31%UvL zO!3olr+mk&u6T=gn4Rh!-eGA)z%q5wG4;+db;>cd?w8)%H)mHu*YWq+8W`4>6!fF- zY6N|iX?<ZMCKERRLZMZTyOqbQWgVZPS<)lAL}J)c{3TfDUxv$uC672G+K7%Z7F@~I zWac?dleaA7+g^q_-d|vnsn6z&R7I)qt6Qzv=5R#JD9t>Z2%6@u<@(hH{HV@yMJXMq z{xYC2lGi9*l*n7M&Kp`dMxUqfxNovu_3r|0P`;{MngXi}R;4g2JeHF%D=ZefFe~Kh z3ZUsOx&g~OCo0$(&bUgEF|nyfz!TpnvoUQ|N@2;!{Hu=Zm?|b=TaV!COYf(%sIVWk zi>d5qz+TmOi;z#~!<;naeDe(8&NsgG=Th^p)18_c?u%3lUvxn$US}is8NT@ld!^V^ z^SD)E3IiG4NZuH0(E*P<c+RyX>R+ENla(;jL4_Nrm;)7WL4_sESO70YB6TOD)Vp2| zWxZVQ=E%NiYZXC5Dg9m!x(w!=uYg%{>=2HMDw}+$Ze-{mTVHpx_vTN@X79zH-A&$; zmf3>W7n>PJ1+s#(jewihfbRb3rv>L0kCSz&>7|Domc5_1m>sYD#wI4kQrlT!GjP&_ zlg<Z2cIqJ}=ohCCQmPbJ4#dWWLrp(g@j}ZsK*iS+YbTr+CQ@m?M3)6@UE-&UpyGAV z+3;k)X{p3V;%)VeUVk-XBGQCsbL@QCYw}TRI!l>f+lCAFC6T6d%0Hen*DvEzWxCbd zC}JuoKT(+%9)~jUu7vkQ3KnWFn|{>t_mZ(u%!>}&s+DuMVoNrw=-?nT=C`KjU0Y61 zaV<!s5Fy1AnE=K&lxMKeob|}1GD3NxJEKR`fCIZ3sN`^2m@QRS`EOb#$u`V2rHOe} zVlv^A!sPzb*E6mS^rW;*QB`7{Fz`?x;lB!e(2BzHI-a@rNgdYoa`&a)QcTY<ys)1K z`0H=S$tpsr1FI@T+n{QpOsXXjtin$7;m}^RVk&ii4FIr)5w;Z3NniRgke;h4Lb^x+ zp%BK9dXN<x_6P+M*QzjZh>Hjz0(7dv$fFtL!deiT!n?ikQ1zmJO;(K0tC|MW#XBJR z1U^P0&0%BgjO9d@f5?Fw&8yGcMmU8MZVQhlm)g0G{Iib|LoQVW?qflN`WSLRO5a7Q z2x<T#vK%@WPUUXZOg$4H0>d>2OT=bdjD8py0-jGTl=Me$e9*8MKFP=D!t(9gK`GMp z@sMJK6<Ho;FyHMdgGiF&1tQn2SS(K5Q69{J@#=}0yPXXC`KAUR0)sbRR&JM9#zLYE zL0eilOkP@44pEJ2ux_8)17nH-CcSe~=-Q8#2q_3`eR3IA*J!K~qne?g1%{&24|5f+ zgt_>fDY+K`4I*hlk@V$(R0Npmt<n#j4&v?UPEH(?-u){guOsGN=-bVQT4C(&^z+$f zci}(o@@h`fvip45DyrQ%q;nmOVc+GAlZ+l{&39)%P8Q~yb1BCSw?e1_P)g5E+#^S_ z-O~CT5UWrOG?aeiKJIhsY#@9XIQ^JcwqB~5=`vlp%j+T;t+)&N3&Os4t=}<XB^<%B zBAVss{U-s&Vct0&SJ2l*=lK+4qTt9W**mFgnJ8?lwEdS23Tsg>hk5bZ6aGbxvM&g1 z9Fb;A9`MF3y|79f|HoT9`>_%>`@FC^;6JbH=kH6EB33EnIlj(J+vn9nlH`EZdCt7D z_Agf=2mR6Jg2mAW1@l_ol1ln*OnJjjeM|jJ7h#nbrvU^~788a1(Xcu+PKZ$dmi(<R z5W-JvcDngkHK-D31>b2LY(3A3LL|+6m){bP<*VBP8(B_=;Ms;uS-JCzs8{~|@7~#; z691M--v?{M<A1B+FRFjfh3;=IU=drL={DiHBl}BLJtz^Y202GDu>l>=IFkei7+uo9 zL&+I6hb?s-JUb19tRiRKUo^?h(ekBO+8Sqao+{rA9iV%QNBbzWGXq_v6xFP@)I;^# zP<Ouz!z~@4fSsmkZC<OL8&EpB^98KDx?b)KlyVi{Px=!6Xl-?lMcZ<MWiY&Xs6jir z-Fr211Hl|9Ip$x$v|ptToqi#lRh}4<7w3fa`<Do)W!TPvV9Bz66ZaOg;gfaQk*hA5 zYtXwQOV+|V7QSoDj@2%VgS0$TsaJ`D?Q#w=MuivurU{OC!uTSZgB2<};j1nhL}6&A z+y;e*Yz){9K@_u4z2c3XlCX(?Ji&|ODpA@4peInaF2jf}8OSZ=2;E!qtB)c{U6P_> zazKW@v0tXMbP29ToP%e+uBZ4{pI>D%m}rPVAL#av7xsU6Aq)QXdhVu4o=kXfZmMBp z-l{ziTdI~YqvY2V%HX0&9*$t&vGOchw=hnUttcFL9a52EqUN3y7pe~y=A)HkqgeQV z<*08r2XSsw`U~Ton)?YpcjT<hV>MkkL_g0-eQEnythS3E?jS23v!ZX_FH?f_swinR zZriZ|x?Iyf_q!(5a=~E`vmI$&oQ@0SOi?lKV=0@J{wXEZ7i+J0AWjF*L4!S)VSFwA z`SM*grB`mPOvlJMKSTAgl*RZ69IGpI5CU_hO2wO3ZH(yhmURM!T#LmPGMZn1+M>1^ z48-Y>hYvb=sq97df6YcW*`-FpHn7MwnK=9~6C09OIr~M80E{oMNe`8{h19OssXiSG zmwhsuuI7bR*=HpT%$jf;6&#KhVKqH=(vM&1Yxg<Reu$?S5KP*7ghsVv5MoH5^4ON4 zdSOE5ejnmXG`>GpGVjnH;E?#Nw&)zPrC-Fg48=Ka!kt}k$ky=U&zD5ytH94DojAx1 zq4ylH-r@R1Y|F*T$>f6FrRsR^-v5T`*qE%Qr(hb<nFPXkz&<Mt{8Rkjygz;3R6{0M zm9*&&ylS0&M1TB!+?X9U^#r%{tTr<5pwdBXkd_Win5r&+EXs(EWnFL?+hX*E8T6vl zu1*|!f)(RX!%gBNEk?<Bn&rgTpjrV^JDJbKFExp}+n2(RTH6yQ6&8c@SAp3dH_?&- zNTf~3D>(09QUzRJpC8u)dilkY96q<i+__~~nm?}mb1BS(LOy<+fka{mbe9Q7ZDjTk zm%zkq387fr-HKA^*H;d<I;S7}`Gum**kBo+q+NzCJ=%<5PnKADq_Kl}8Sa(Wa_-4! zu4DRuneE9p(!wrE*eO&KgJmE(-8dIZQKawl1yw|WmBI3366#D_jm~u!^eIJ?>*g96 zNCH2I;Ye>p`hPAXc+#aq>HGhKJ%Ubsun^^C*598W5JWRgPM{)DY_$Vu9<eTa#`MEf z?uN%9q3cw>=#s74OVNX}2^&JcT{g@$(!rp1=hQcVNWbhYBy>=m3O{T;%)!6%WzZY- z*gA$WJ~w_o)7ak^lSMOGG5{9Aqpn0i?!QAnUZ%)Bl^}YE(1`mu`ffOk+}EB*Oowi^ z_^q@g`q5evO4O`ztJJxSF?IpY?rX(wB=yQ2zyY6y6hmOqFjEh{39q<gFeJqkL!f%5 z{%}of6wwGW#nC!{(07$q?RPQZrrIDwxiBS=Tl)>);um(>jR99=^}zOR0%{)gmI)k2 zfz-YXD>g0R>D_BH$=DBCGgAY=nGtUY(>~t&(A82j)!qc;Va<g|B4rd4TLO-UqYqo) zy#ZSCF!a$4Dsr&f&wLmVrqI_&f1e|LYJTT{D~@9rBrM^m8CoblyGhvoO{Cbid`-xn zg-9F+^TS`m<mPTQXxMv=?b8juN@%3RImiM<?8#|zv$5dOX>L`>7<5Vq$ar)LDO{C> z-Nc-HOfdu?AVjjy^+OB{lWkVaB(G!WR3m>oxHyA``kB2k4vGnj%RDVBsU0e6HqL|| zjcfBH)J)R(gA~7F|Dgs#t@vbd>ajQ!cCS8NUU7Mni6bk^F{<bci+T0W0tQQmtPsi9 zc=IxlmVpD#X!@hnPe6>lZ;pK%CqwngEOFj|41~{i$Zm+E$ucokyDr`0A1gVW8l_uq z;<st@&|a#h0ii*w_SiU@?9$X9jFiN;ChiQdspCvzBI31{ykhLZS%1=|Rn+^|bc^}M zM9zNScY2}e&AA)lXXgBg>$BlyGUrvB2)7HY>H?gSZ#c*^pT3vl%o%G<`8EQH^7)fd z?FR2^Xo$%PV41f2WG3R6X5!FlGa0}~knGM6YSTYI*a$LHVK&;g%(txEpIBUHBpC#s zd0gxtrSF_>My&e~mr(gjYnvX4@(0d<mz^oLoo~g~r|ZHkp+oo{uI~04QVi`fT6>QV zm+VmA&CfQ@+oi}~UO}tPqpScItCjc6_YqTuw;A0nm%E54H!VL-L|IylmmUskuWN1` zYVVwH*2_n-BLqU%4JUPhorrcKDV4}+tHxv`qQS2(C2trQWa;u8!`sLxm#lb^Z3$hb zw6X3*+Z6ehELBZkh;%U;_c*<Py?yQyxn{MXr7go6=Y+-aJ%D0JyQ&Wu{Bnqc;hPLE zw1ZH&i9e4*_ZM7|41#r@z%OCoCDSXd($XZBm?>}d<S(_y6D1C*jo`)7D`^)O+&se1 z)8cZmRCy$Ski|Ky@_gcY%z(UmNZoPSZU0uUePHQ*28T{A9#;85jfd~KZhBV!Lgrk2 zq%a3i!a?$V>I&`NUy@)0`~lzY%`W{R?kBTO_rZ^TKufPv)jt`}|6p1I+o5l1seFkD zFEm!!P{{fz(~s#X-y4_o?7QhcmC(Y}?85=%Klvs+<tsO|UxZ%?M`-#t-LhlCJxz^x z6}dG+&Qah_hyPQ!;OM<Tu-&cZauIonEN|RFWHb9{xN!ag@pbvbVf?|+CvE*jvPEQh zX@-k3vzT_J6?y7Abd$^S=QWXgxBY?f&i9?gzUb4Zzg;9blGKN9s5L*&$a7ftr8t}m zj!+trAvjf5+_*d}VHXd%yGl*W2W#GB2(HS&NRI<%wHWG6Je_gpE5;AkdqP)s{AsGr z33Oyp$OSg2Q<;pm!_ow+IE24*&@KuCaWBEo75KScncD$EjUVa(<OU1KUFA=&N5rMZ zYd=O7y~8BaUisHK{me1-#G1V88hCnHwRVyVF3Y@|A9oltm~F4Tt$zX=vbutX)5lk% z@k0s6##?<GvYLX1|Jx~OXST0q9@(+m=Sbv^vF%A={&^3cE)Mh+ckmigclWm<BzY`N z^b<}FbapNdbmpk6kM3be@*SVdtVBzqMd%`QMVGH;23B@578+?ze^ty~3WeVbTw9{8 zUZ6$*jra!-M)U9=vxGSYXa=u>#7o6F#PLj}JZkHq{BBa9V+gs&T2c(vMbSyfhGJ_4 zL?&x}=y)!`Cjukhe$TkwYWyI9r7VJ=g%Qw$jxC1e<N|n|tQ)eGUJIpNRD3Q%%c)Ix z{Dr~vfL8YY*YBTbIaLWQy><Neg@p;MQtz07H9g{oU%zFM6UsW&h#y8ryK%FtKA8|l z0M^@If+Vh%&$VX0<}w;i5hLnWm#+PsHdQZrQSB}7^>XVAw$Dpp<ln1&<qc)$ih?)y z!CTBNE!XdvS*XVSP2bRy{qyDzMeh;tZbXU0pB0?A-(P<U>(f(uYis+KF{otR1jtr- ziKVMbpc`zwQ#Dzjht}!37G$ea3x%Y2U>S!k&9g}Gt+%n3a*v8XpyT|wbN5JaJ^72> z3(X-K^ZMbLq2)3Z-QP!=w;Vr0)-RZlAav+rFNA(0(cIbh-{qgvG(Jd8smwoUwWgl7 za9(6ge>l9S;8gQ?SP_$txp!;37Xo~OT!T}D<uGmf6REM}!R_;oB#HD(dNZzl3QUXk zGIxuuq^!*rSIdtVNI7??Ez36%^L2isbdW4f_E~5U{L$RdXufff<)Za5Q*(7Q*df$N zn{lwGys$)|cHlF<%K@BggLF8}XWdh*UQQ9NeLYOGvVKmH7g1&q6Qhv8X9>X7h$Wu= z^OC$)*CF*wQ+LepiYn>aH4*QRraYN2w-xg6*QO~Lm)%^m(fQNGShl}Ixd<du7$<@n z+QXBfwFsOS2$GE)Qx^2<?%eBNDauE8d~6}wsCDeNeW^YAjw)a-WeOF;qt%UlDrXqG z`*hd6<FtCU_Ox^v4-=RGHVBv8lEkQ!OvU7uCL>bNpm{#<@72P)GRM)B!|HhWeup!1 z!j%)7cvH^`6PTBBnvW||k(YuN4;5*}Yq1^&P5aw2Mmq&6a<g(O$O60%g9g`6AA$5X z^m*&4MexOgmE0ZUJE@y4)~VT{2z;JYvQ}J-Ti!Mdvp0%{k@_nNz!}B&`~^x4-BE5- z2V@kcliKTo1gA>&x)4cb-NuBZ?kvfs)R}=^34YJ1rKWy<JHG8T?Yt9&1M~H$q@;RW z*u4H=ic)s@RZbL!6Y!5oK7EUNre&FQML?*rZY+!c!n^>veDIsv_gBUJPMsVt`*aA6 z#`Sa$b3bK^lC0R|<N?f1TCJ*PU1MJk(h*y?JXj%|d06=Qcm>#k!s}D<(uva2@x>(d z!;y!=6;Q*ovbSSCcu$KuJG+af5EDaloNb8+dXe)A@@L7TMnWdv!}O9|TI%qOiJAH3 z2}<;AM2mNaKv&gH<ZtwJ`G&XNXskEM9-0rLuhNb}rKVBP_$A<~V3Gm>o<S0>;jctf zK$X?CQ-Eit<bQ~EV*eqAg5bogGcO;<@T$=izgnk`eFJ03s27W;gm@73sT7Y{Q-E0y zqJvovMP+3(ZG>1#f;kK;pYezavcKe&1QQ;Lf=s?9B+JNr0i;o+59e+4K*;-qM-Ih& z8bnvL8gqJVf}~DN$r=RX{@E7KH^*0!rDOBpvqc+lj#$=^gM(3+!Wm8-71@<etG|^0 zr@cF#XwC1I$>SgZMji-XjoVB5{bQGqM`SF6!h`!*j=|D3JT-G`2#9JV&~_{7Y!aNa zDD$b&UX>bgb^bn(&bwVX!27@NeVw=H#cA*Pt}SU*X?qSM-!u?i)*EG889r<=vkRZ> zn=+8%0(QY4Tg$-uK>gP@VM;qFCPZ60P~j8X>+Ata+s&eLWeH;^?V7naXO5okz@?0H zi-Ce7N`oMGual70m}-0Jfc)qz>hIHz@*T&Rmlq#61sHdeXDNFJ$`yhaPYYh9y}!<e zD)OgUysU)cv5no#vkbKVzBKW3OM5BB<`N95ak-A?+mxD)eNOqoyP;&W{IH!<;N>pf zv#T$LBi2j7Z#;D-#}HLrm!7}z1au}<5mhaWgU(RwqQaQllJ2-}s0sHW$(E18r%qh6 z83xyjp~Ssc1_;HM80@=#(y}uFD|x!4KO7g{`C%D4vj?kP=A|f~=;a>T&vq?B(={(x zpcEd$a%ZpC)$cFV3d}wqMF^}?5$o%fJfEVi^hS#OO`B7VucEM7`KI^zDDW(>N?EKg z*KAkk_<fZGneBL_V=sF{yf>+Sc4R3i1T!?mR|W4J8f^J(xz{TIX#xhAosodPOB?i+ z%3JjCqfa=QL!{nq2iM)1OKyR<L7IHv3+6p6V!iLgAcKx%^TTmt?bGm0GrQim`Zb1s zfoR{FzeInwB_@UROa>DTz(qsMgb?%gX~+6-x~B^2V9;<Q6Qo#h!w<R#eZ6eXgI5^Z zWyyyx-mZiN3h;fq0q=oGdAtXrWwyoBanh##JVI0GvoH7XwS;s*xqX=Lpq*B?fD2(Z zpVXwQFB%lV)rAVhQo3_z89J`pGqwQMOg%flQ&Om8k`K>>JGTL+S-c+9E<eX4$k7iF z1yfg$7-bAdXlBn%h3gt2wnSi@_nsGAo{_B#3fr+fi~WfZNh~g`%PqXe!OaW<lk@{u ziHSzo6AjY#7D8VaLd4(^1NVq|BP6VgNZNw{hQ5Lr{QzgR_T0)85{Bo|gW%C)Ah#J( z=?M6#)|ECj0I^r)w=P`?Dt2tU(yZ52vPNtIyA&C!xJn{JU`uqYZhwisQ9&HgW8%<h zTBO0ziP5uK_skkWcqY^|oO~+Ej)N4Y!FmZF)J2J#TxVic75zhzm4mu-xSvWadmPC( zI*V00$f6Vvzr^Zr3@J>Sox^El*h>9F24H0+_a!T-DCji<!L6E@sS5I*9%mK)_^_S+ z1#gU%)y9{s@sMZ2tF;qJ9AbPENF}e?A;`O%26OPH>nyA|lOfS~_Fbq4h6g#tibjz9 zdhE;CrGs3V@N~k=AcTE&)1+CeoH~bXu%IE1Wh3PLA?w*-bI_5{I+=OcwgO#CaR8k_ z0|!E)7&WU6l`M5B*zPFS!T>wpJlAA)PReCFKRHQyXLAH8ryNnN7Ic-2f`$FD=&_pm z=&`J+oK@$`y4qD3R{xGOyf?!6%se8NT`@Qiaf5T1q!|?`e!nVlc-hk%Aqt%Li6MF7 zQlUtH?xw}2LMRpD^Ftn2L_(2P69NH)pT|id=&?W02n%XsLyRV*X0n@d!uiQ`F2YAD zs$fGDu8}%h6hDJhD#Qi7dJ7-D{@saA9U>?G!*EgsK8$d#$stS+O*VqxuV^%0c8vgt z0yVUBh$}NS$Vi<RqL$QDG{S0nj=UnrV+hyLs(!H{ut15913eF{6m>NV9k}_^xu;b5 zepaP8p|T2+;=Z0DhK>j!>;74_tIp!9G`LSj+$Uq`lQH_Q5%0-}_hd|dGJg4M#D6m4 zKN-J*M*n6x843lzg4$KAFSTHK$YZP$W5G?B)gc29tT^?+V@l%q0|}d&;A>Cd(UK_J zb5^k+tSLVj_QFFXVLeG$PZG?N1oI@Fwml;0PW@Ll6LTK-TWNFrS9%iFz<n4aFa<J% z|JJ*ssRfK2L7w9UtIoG`ev)dAyj*<oso_Eiv8f8I{y@jT=QC%BItQ@t3)$~h1t94Y z8&rjpDwrU1lA7`dPh&1%3XJv@Al76Qz}vJdFSHzaA?cMqs0!mD7Q#fnMoH%#EBb+% z3B~#fHn#UG0z{#V0_bIP2vZ=8qyT9i{S`wPpT~V$uI!njA410>mJ)S7J!DSQhrb}; z4@%{~qp}}S0U#>$F%%jYitQ1k?Y`Y#vFa?Urs9|^3ikKc=rIU7bVQx%NCuubVYb3R z(_U-8oN+{7M-g>Le6)cxQR!Z5{~kr=0vQG9FLg$=bUgBCSMbl&d#!~zG?AzPs(g5I zq0UTt9^{bq=&YPo4v|$FJT<C($PqpE*w|F+kXw!qUspLulRpJTM~}foWmqZ2r9KmP z8dm}{AU#$^1~VvR?v5UVR|c6vqM3Q(NZCFHfoTZmpd<T7tHP7VSiFh{UGN7;X&;T& zPsS0XM<ehbR%}u>=|?L>PSm3nk~GHT(N!3~6LiIfV1s`$qW?7-{4pXlExzKVE_8Uw z^S}6d>wqe|W_?%@m6k4%?ruqul<w}3PU+r?boZ8!?(Pl&K|)YkKtNhrLAt)R0pIs| z&hI<tFPLktnH4i@)&QIPMm5A%?s)Z5mVN<5=?xtnFRBtLhTFZDe9D;&D$kQCaI%gg zqEE#FJjYv>|CE+3!w1x$R+9T$=@ya-;4Y;~%h#u=V|}{|QT5K6yZ#{7<?3LHR{>Ay z)wfI5bF({f<xf#dMc7P^m2?O2#b4Xolvbtqt}c{owbUM{`nw;&J`cZW{<-Pm5-Gy{ zxOsf0`P0lr*G!6m{lOB36)gpE?gsS=TeW_u$LH`czZZ;IkE1e*Bm|_n)cQ@#l5qFm z5#ZgA(iDR{B^Lf1;T|vDi0u~m;=UbY7OGpz2m7<5v(=60IpV{fypyHzNBIU2$nin& z>+=V^sQ6ZUqlSxB=j|Q!3g8WTj~+g_e0nY<^d_P9>R@&I(~Kbg94i)5zKCN&W;@xl zKLjPtoXouL-C{u_m*IGYveCbldDLQy6<Z8nJ@I%W3UTQP`(udn+fNOS$fBc@uL|zP zayZU98=dj}VrrfWzW|q)_t9Di7)C@DJ|eA-@ZKPi<p80O1<0RU1SNuiL0Lu=Ah>)t z9Nidz7>EIc=N2hR0Em<LM`<JO1YLi_`^cNUNDy~U4)q-7h~R|I@3f5w9Z0wnF$oSd zec`yj2Pw(*S;;m&AmdKN;mQ7JqSuuT=KJLraIl!$fmOoBWQvH3&bHJ@Cs&b7H#l?h zr;9o?U@b2lqNyvC6$f7oaK{!ytnRmXjAaxo`_}+_i(yjqJtj@f7wW5s;DN7(rVlhb z*vTHML(TNDtRYf<_eF*@7Rc`qxp#DW?)f3=Y6OHKDm~P%sL*ezarfiGZ7@YtPodVd zwe%a8w`@yO5VFbAbhkS@3F<ze;FGGD9)V~0gv_lZ;NG#wx5ut<4Rj$VBJzHP?WAd% zVQns=dLRxXmgDvE`vp3zWUxw57&NJuKOjLB4Y0Hzv6Pym%icK5a39{_F_zG<y!+sy z*6<G9<p<cWZkZq&O%pneM8gi-2#&Po5%}Vik(QL3Y3!W-okr9DoCXZ|*EC%I=QK8? zZ>IsJHN?{SKPT}~25YZ)n<V9xXKtI&ujifNOMcBi%zmUeV82Y1ULF2${ikDZl;6Rc zpMS<<@_xZWvU3*AG*=hJaAr)T`7@QN=P-$gB*?K4mSIx)J;Pqn%eZ7Qbm9v&8x1$r z+{ba^=_rU>LGkYg0gn+-@cO9C-qsp*#&)Sm|MGq@)$4|Rmm;8=q7l9W0e2!QW=f(u z#-7tdf_3tPJOb``R8$g9CEY7>M{h&lH}4-Y7Lkx}U>U0{3NIgjNQL7k(Nq<BW_H+> z_tB^K_Zts**Jl+4zTTh1gXBVXqnGYEJ>2p(RJu5R2PuR`=(aAOeDJ<|Yhwm%tbq+L z+^vmGpj^m6^inn}omAQD{WszVOD1-#uPL2W3nk~b!wr?55LhZpoU793QNyJ7_)}Sy z!|p0f(qVN{+BHmaVabKBRJfR?*^Ooq((=V;Mrwjh;Zq)?g&P`O;3q+HuMrb?8N)6A z>lxhuOZQ|9FRu=V>KY>``X=VrG+57#y0F~b0%z|aewgRX6DV%3D#80Q5NN{emt~kV zSye!4Ineg_Y~EhO4eMt=pPrf)&6_}OYHnAkpVCy4Li-r6*8SIOZ?dL;qt{tv){3(c z_kQ>#pa>l_#==7RmK898+wD$zuWSD~)ZQ42T{VZvG4``!uHSbLa@r!Y7<x+MeC3$r z<&ca539-blxTqxL^g1;tHp9x+Vdtn_2l}?d?3fcMzqEB<$&@mMVhT?N-o?P4hzza} zhshYi4+0<hK^;x7*ijI4YR0Cs@E^T2bSH*#m|$^0YQ*JZ35rys`4!;BxhRzb#I{uF zmE#03u*ZXYk*2d2<g%;8i$CC&?%56pjHJWg)&FrP1}h_gifM>`eo>dURRaCTeKbR@ z_Z1Aq-p!7g9B3WKcn&S5ww<0Od!?TOhT!3s3_ko$tVMiW*<X)=$0tk@4!QeDXM&os zgMJ=U7xMF?2kYg1B73cr=%Zq94@f4~^sNVxqVm1m(*SwShqoT4TKl21czkz>Zaq-` zp*IjQ`1#T1)<Jxi-Kly3R`mNkKF+xsK2rI;al{ea<c+WH#T(zF*n-%{&&16lWNkYU zleCL{i;A=d1Wh3OKCZiZP1!~-6k>2IqU$0hcfcc=#XMZ#gYe1xI;X*(WGX0P8G=T| z;vJvzz&-E-G5keUvlPRSIVu2-9S__pEUdpw>jBgZpenw<P;L`|#sQ=(@E2NX1<)>l z_5^Ps$S<ZhOEK1nBLeZULVszt{s5u?5I5Ohs5A&bf&iKzzlG3Ua}!<$(&H=-VF@dt zhNzNZ@F#?hQNqGVt}LvhdWwT7$730$D#W_->(r}f=+G*xNK%vI!w4>2n<~XygeGp3 z;EW20tC&BNviGD!G6FlR06O+~WKe~8QF@&8@8=H!Z!=c%KvbFTCSz6ki92x%eMRWI z?-AgqJq)$_B>IhfJnBX6P5LTryCdl29K)q^US}9>(UV6;U<UXJi*Ha+zT6Y9T_UrI z*~rgQ7Knbc;E_?_Dgeo08o7{E4Mn)gxoAlo%u1C)Nx3~SMO6y&U(n2r5t2ZwPAQ$7 zQ!Uz{$$1XfHVqxs7oEv>^}`tC58f(Dk^h`#C51%_qfYWbMUjpi9IfYyw-bf`_e8%4 z{cED93;7W2f6eqH{$Dfwl=k15f^E9^tP=VVY}2FLZ6b01?>0r(Ngj>L(~;jyU6fo+ z@}qn%IaePDPDJpBd8Z;7$WS37jcv@vr&J>SD~4G-uUhd(^AM*fu$DwHbq@J|FXbL^ z_Rpn&=coN^&$<7-l*YV&uVn1sE1^mL_eywfR|5GPanpY<<*n@hvXsx6OoXB62~5ck z>nzGD#UoI$y|AHRDf>6MHON&*D+RCj_<@+BCO>&6E=(4OC%`90xXzWV#va^%&YKge zZGrU!*=AVRB<yB_(EAox98e)kEFyk$Q0i#(5}5daK4}71jaYy82vP|lJ5Ce7-m{;w z)?ry<Uu%t?!w1w-kYAI!gFzj=Ck)-Tz*0`M#3F^dSzr-C&}@etVwI+{_CMghx3*Q< zUa)2U;d7c5WZ*1rG>9N$u<ScLj*i&GhZ3Dp;VS9R#y3frO^dl~<PPfZ6ZD1fq1qu@ zB>1H3RXh~a%yuf}3WXD924yvC`Yy)YPu<Oktmn}Y3vWP5KlkV5n@rB8MPD{?C%rb0 zgbda00DA%g*e*O2`v&X<fxY~#{UxxE0`>_v_Bq0i)1Q^g{gyo_LG_QN7c(x<h$&l+ z0o7j;_bWMSdI^144Fcnch;_{QMX21#S&ihQ_MOnqWQ;`IzKf<}GE8R|d{SYf?P!Fs zny=b9w3zTO&D_bMsa9AV$Q6>vrQ%?0v%rT?Jpe#|*@B&B%oHblCaH>RNuZgN+e6M} z(>>Q+G*>L{d7P_(JrQ0}Be?_BM~%rS?z)4BWE~`S&7z7S<><nKzO=^TK=BvXwqjJq zL}2F-K!;KT1nO>&MZ|NTY2;U%l2(qIVT`(V*z&GPiJ4ce<i+kuXN8%6uDD}6zD+Q^ z-jfHCAXz9o$xCZ+=|bU?2-2YRHdvg<j3d(4F<@Vk7T!R&fKROva$Z}rLJi?P0Zi<1 zbSWHiwy|PKjXg<4)yI_8DlcQqy28XvVv-#?$+<G)aSIPmhK?*_blb8)jFH2Zqkl3t z^89CSj&b|QhPA-vT<^_^4Hh?weGC!$`@fr02M{HMurVtRnO3COHL&}El11ntnfl^Q zu`m3x2+0aF#0fFM+UP4J6DG(9z1z&e($pwR2#hRazL!6GOfIYubQcqQ0xjg4Abzu3 zQMOn{@Cae5mQWT4EE*muRHhNdvLZ(1Cz?6hCNYHVbWhpScE`!bV{SJ{>|Yx+P8b$1 z=Jy#z0UBe6MG4ik!;(|}-#3MVlEWe<a6{HK#_WxFvL%!9%D&##IQbwsEa$_PeG|U@ zBj>LP*oYv27*KJ0kSUruNfYGaZ$OOiK_37B3bn=};$8&_*d5$YK6o#Tb5c78Jc8kS zv3N45$JfaxQu)v1gAs1`7s25=_niKddwnpEu-xNK6%OM}^-{xKXRMGk*AC;{KPfx3 ziXrwb#Sh@3U}TCtt2Gv-{JFvU9MpI+4BT~DkQ0(1Cqkg*4p=JCE(h=%j~LuO%m4p4 zO_ORl8=STtXA$z`h_Ac(vV4E~%flNll%?U&+N~0|?{QA#(z)ry>gw7Vv%blkVNLJ) za29swJWe{pxzX<s9bqkjP&2I-A>rmlCmIV)@5L+)+^8%qoOXYi%7KyGZ`7$jIT#^o zGL`w(jgOC=kHZ}`{PvU)&=%8Kp$=!u5}>b0!mV_#;-PL-NMxk$XQ1TiMZf~hS;*Cg zSF(;IJiM}sDz8C8flfmQHB!>Im`o1d<^FZy!DyfS5xjgvI3lK~^P1+Gk^-Yt1Ioc) z$_${0yFCURlUvSsI7Mu+nPYIq&>heW)KV-SJtm4d_Z3Y>utNO>u2p_=->wdfK6{>= z5ZXa$2NYQtiJT7&D(r?u0D*&=LURYI<Qi%lHdF_y&?>$WFtYGD`=I-i5bDvE5ExrR zRX3{$WWOF5eE?<GgnTR3%7}Xqp5QStVyM*%v2Rr4{WhSP;D)u3paqpF%AMlGcxiL9 zA|t4(b+sjV@3)(YbTSv>nvaS_S1y3w7IY$d%8=5bybw~8E^uvb2O~7+Xs5|x3HJnE zuO7oys`gn5wO=^u^}5KnW9{C6k?CZ?|CCtu!Xs$Ntb}4ye*q1Uu_M~{h(o8+RPO!< z=J(dyvF$PqiFi+%o*{IuU8n_hCX?xh74PU;Loxrcj*-R*=I;ARU~mfPYbTIe@lN2c zKHITamU;a+FTZerE-UHIf>ohzOy_bED8{n5Uzt%!%ITYzT_2tL<DIy!i>zz=-I*oW zt0(#rXpTTa>gZR)n#-oW{5TsqR|paArD_!Dlv^vFhj}AAXI7JU96SG}Z8P2O++y4t z910k6hF==3%|1@7Mb@eAt>?ny^TG&+C`?8!>P#@Bd?ug&p!+jijP;owf2h4ya|L~v zcd=t;H45;ueeETlaZj!<V2J2HUd}3e>q+qV9;1ifItlL~pSRHc2@m<}qy}4lj&|%x z?@*N|_I!;e>CWExlM(&I@$6%>ah6Ou{JB36`jiS3n@;w5>hmz297mo9w5ua2^yL9R zNSj~kP}GHg3mT3q5N90hVxJdy$)==F)*&qM4qT$L{<)f~I-x|=(kkdg_b9HTnO*t$ zDIu>|ygC`r18P`0V;q_!*$X)a)1r#~O+(o}_WAf_bfxLgANcRUZ3%fHrkP;crq)N6 z#re2{jrBUISXl?W@5PCVSql4^7{ab-3?u8rlRE04;E{LmpFUjYIM~$vL3uQcTs_3d zH`m#fbKjACP_@x-%Yz!+t5~GEObHCi?*y>GPdZXZf@CAi3BF2_Sn|`k2@}j}#6LJZ zhBG(cLP)pTre1z=r90lk{v_r$O!Y2fv+Fgu1V4dH?{+I%W(C=KeS9%oEpuySUi~At zOKV)|<iWv61M2_>1Ln8;AGuvKGM&rwkCTCI@GV~Sf6^iUJq!QlTS8MMIn>HXz5ZwN z*BVvviMv{IwSYI=gNAcU8B5v{damcYwwEP3`GVI7>fkk=Sz#7Dd8xMc?k95-JeIQ$ zJ}YP!-W|L4v4Lk{@nC_|3R;gR81u}$P;@se78H9Z5ypGH(Cd>3?QzB8R!3+a3*}T< zL8@8<M<G!5wL`6HnWWL4Ea=*)acX2lDZ#NIJ@#iP)!RFw@)W{peb}sepz#FBI#6>u zSNh)vPZ_pv)SxCvLUY`(jGzT>Sm>Jn$GNkzfChG|nL;-{Fpm>9kD=f-KY_v)_fWWD zr<@Oa0;RG>rnZOKlvQ{#=<ao`t$@k7H%Hsn9Sjle4u+_ak<Uq@*N7H`m5i5g{>8bD z;z)7k;Bse65^m;<x1?|8bb2k&$x*6TB=CXZIv+>{HvbL*VCjDj0pw5)ST;aSJwQu@ z(9AjdH^5LDfI$AQ@kE<Bv8lj#kG4iYS+aWM;DoU|$s%}8&x&N-qj^eoRQw@N@hgt; zo%;c`Z^=<cb*<lFDn{2YfZYcTt4Xr;6BRf__NTDM2s9kBPNk;Oq{2JbnMsC`W?6P1 ziwL3Yo%;oPCD3Vc4%X(;y@5ZuuGv9i4S9_dTvXAdZ@XXzH5y@tb8<Ql9&_2@It?%v zQdYI+<DdI6qD6tLLX<orPQ|*85I5(GC@6`UcMz?MjAAC1GeJpI9LjJZ9QsJV>5QwH z&r#}<eB#gGF_p>S|NQ(HGHD06K1?j%e`kSD5fRo1zKac-qtFU@bM4Le*M72SU!W77 zpz3aTVv%as$jg^bS!qT;dvsr%%O8%iq%criJ1z)>MwmBf!jfmZpk&m;@cj_iL}4a_ ztFU@)%hp#M5mMjdT0_vUR@TVxO!~=XW-xrbgM?)LP+T+LDZ-`yW{@r~EKU@E7rsf& zd=$#wWsr3K0MXQ@$)B*{Vw6mW4I*+Eb3(O?ytEIjFd~ByEb5tw+Jxl-f=4i(_NMBI zzxiI3s0PBDhAS!){G0LWWB)Z?zyu#v25DRu2Q<<f)*riQTa>~g#4CUaRRoB}|21AF z7*A1k0(9f~xf6Wj&lVd0E|1If@ABgRH5{Pb4yWOBGo00bh9dyT|213*Sl*l<{bmhP z$*8pTd$VT?9Didr(Em4N4F3ulL#d1Ob7ATrb111VEY&w_Mzr(cF<&~mQ$iQPaFG8s ze#(kv^JVtFZS6V+{PWHmHlv3)3Fgz08z`fP=~DH}Q7EA*WHUG%1HSoDjE6(?XYcc; zM}N8Qk2V#aU9L4Bu%r~9rOfPjtC|lY-o27@GW+oB5c|z!+}cK_D(hj6NOk+_Rs{SC zM5@jXrzLG$tK(Z7(%_bJqf?mU`$zZ7&6}03S=@SM5GZcqrU13O;EbhTB@Iq;%(~;a zf;oEbLDY`}8$86GMLP_=va1$G#z_y+U!HZM@FuTFf|(~5Gv`mA0r~8niBVWksjI0{ ziIX$1FnHM0xr(Z@HIk*dHvR#!ITeW-9$-sQ_bouYawy=<WOXY(KJsH`o60rZ?z&5) z^B`~N{RdrUNIGQ^$%F|i;c3%Bsq9KB<L^?7@C9oh@tS7k6|wQEv3XjNIQEOlshKzy zW&If=?&takM=c|7u6*~IZuxA-yskbI?zqR9{ZWVX?}dKI>{HJ&h5CW+i({eS-w+$w z-z49!c6y#(ot8bhiZVNo)Q-eqk*=)Y6kj*hIfaCPcPeY+*kkQX9JQA<_as^;)#^RN zcKSL@->@}6>Q=2yu>3uQ1)1IJDOoS=Dt2^pV-1Sqj&sVrq*}4pY)HKK7ws;YMN|2^ z*GSDG@Slf^K;+*vjG~wZJDG~38Bbi^{Yblv9nAk;Igm%Af0@)wjs|c5#hNRDY{>S- z3b10nMI2y=A3z4VZjoXb(h3k%!CPb%h8zPV1>w&1=xqU-Jv_~W@9q&yv0<_!@gjm3 z+b?Q)3cUOz*-N`j8NFunA{X&haGo@m0oGGJj&uIznX(>R^u5^EY!4^JgzwejbG_Q+ z9dmtZ5RpR0Xoc-WJ|-5`omppHJyt;@5-UMQ11IOKO=Qt*CWFdPL1}^QNd8r<z57j_ zb>motkci(6K@SjmWKf$aDJ>w^j9nO`eXK&`FT)TpsAW<4sc&77^Z-KlScUyxf(al5 z%Az*Y+z@0HdSbY32F5CaM6x8v@<j%xMP(qtB}5^F0pA~Q^cR;AW(;k9RwdrBdOcmj z#F4hh=P??QfAoF_68KoAQ}6zvm)_9G1^x{2tft3KBpLqZPE94HXV1?zQiAMg6;^py zBl2(;rgxQ;d_4sQon#Ui`J|~f?P3U<o-8I(&i+c-{uJTl^1?h*ZXxIsTzWmRKM}Ig z5MSVnr}{ZmEnt$BY}SQS4P!`@Vnnir^4wo|nNKC6IcY{91IeNY_arAW!hVI+*@r9R zUs2Z1o+$PLpG%adlXel?Kdv<a!AQ@<lrbcdb;HlWU+E(q0!<1%MrTuGzb57rqp`~$ z2-(3Z=<;qV<iQE0@s*sOlXrt1!j(Qs;j8ovjZBf@^{`1`&=?7rG}Foji*hO#O(i$1 zBrgPRW1n3x5kR)%MP0^;EEjv6a<=UR&$gKe<Pt<<sZZ%6YxJzUz1?J*Y8@qq#N4`H z)mc}(v$vCas)^Q@^s|y@$ki5izm;j7dw`4=>pkwLsj?~KwpyxL8(vEDtocQrnOfb? zZgn|2%t6bt&U!@V%{^f!J&DdsE77STb74z1lfG>8ld%xHcA{90;Ww{Rx06{{XS7G; z-ibPzowB0U7%}vCg9mlvI4O>l(A78x^me?;QTo^#a|p@HA&wr}>=`3o_k2EGf^!|s zfr$Kkn@+IDZqJ5ih4t>62pO_Bpxf{}ncQ#E3Ct*I9tSa@%jSQZ7w#u7%sT}MQJmm1 z=!u2=q|H`GL?-A?^?OxyglSY_-2$AjN!Vg0vW4>AUwS!D)u}n@OdykG60S|jXoBs8 z)YF$H<6l{}0OIrszxIV@4>;)IaTkdQCVJJdII&qpRD>&iro*T4V#4TYk{AGSG8w}) zBFKIRGU-qYWRhIsbtX}DvO^@;@(okBD#h?O2^m2ug)8y<n}!?9cks*_ggjU0*611e z>4QMpsVu=plPQ}dC{rKgO&|lN>+d+|s*#KyWKp4dkle5h0&1J+v*prfQB71dzp9D# zG~LA3?QGkmUPhvNCAuWUH_XI#(s8QG7wSB)5}gxL7Diz^={^PNiiE;qk^^D_0N<&u zG^q0#OhyX_vj0?98PpkT70AzEa_Cf78`PNrCfmW}=&7zDsIw?cPJ_vbQ(bdVXC0V4 z1d~&zx^|$>PC!n&3>UTimNnIN33U!z%_z~zwphoG$%mL|Wxw+>*}j_lWAlNpT!v#` z^UH&hL2b?@y0W<XS$EH=!6dvs=8$<lWH{%^VNIMJK0?cnV$3-g?+=|xs5?22COl)d z0ySC1gWd&NR?TA?CC}J+#8`RZlZwB17idvcj!Bk0qY4mveDoUx0z(7<VgeAzHAw*m zQ36QgFNp+(pa4YXFS3gPkU#D*RziP~b{O&<ARK>@C>Zh)AT+l~lL3Zs@@xq}9^<ou z;e+W+Q}^CuIdav>4r5Rod-gzF$zVYgQ#H3<OtcDoTt{*KKnb1H=l)Odk@!iO*Xxoz zRVVehTln~KV1?m~!%&BpgW6aR41xKQv@;EnM)iY$yBf~^eGQm`NT0?_<cvPxJTYP~ z6U*;>#bvFI?*K*(XBaMb&>hsqeV}_|#stiIuFW98t`!;B`TVte*ahs2Z|z1uIT&th z6Fhi1jFCq%kX+_H89)@nq~+`{7*neKy6ffYy{dIPiGxQc)nfa1wc^wz1iD@RB!=cg zXFnc8Eig?5gMW}-&LvOv{|*v<h?g>(pJ5Enqkl%Km$j*q`rP9Vh%l)swlh-y@TG*G zqn#5)P*oK#2GKoX*s&nmcA>;y<K}b3XLyX$!G?Z)_=ekF_`R|lr3k95vIIo78{!)n z@i~l${~w~SBp`B70%FpCi4icO1dIrNMg|@s;5-jMlLE{*7_;xc%p@377RDt0>+<)d z6r7{$K4lR5o++a#wi9N5{8Y8GS1+_OUg@(G?dWU*^+z&7Mx1dIOAtw|&+QtN<%7mY z1bLcoo>(ugI+bkO3GMIV^jX&t#c~bXzbe_*hA>aeGsKQN@={u6Z7xdAaOsx-tK#2| zk|Scf-7(-+)P$5vs{i9eR$l7Ilu&;rlfv@Be=%K#V{*|-u2uRD)a)iUP_spQPQApd z2-$-u<^H@RvTUvkKR60~S!;?WmHhKF&xq?F!6ul2)euoE=V<z?0tl)O=k*M-cxkOC zXtnvom)A8XXjkVnHS|5q?@f5<CHI^7a2wiAWDzL5df&3$GpfRE4r*z0*3ZiyWp86L z-XeF@nLJg`XtqS?Z%VOc3`t{e;;`ta{fQkPCzym_swZj&iXyvJT1E7AQEX-dMN$3p zby4Itg4|X`*!?PZbH5sOvfmWN51=Sc!|vB`rQ+M7IOP?>I>Dt6#>da5MjXJuF58>1 zvfX%Hwzn~E%XT}gY};IyZ9<CMvMmoQ+k-b{TkNK6k3s|!5nh_UHD!#L3%W!8{{)qe zw*1>_zo$#^VSlJ)MTtfrQ)25DM5<mBZQR;g66;7$bb6;}W&qT<l$F;xArdm8(`DmT zQLQX}>H~Lqu?7<ZtM!^qN%#$7w-fI<QWG+xnl~p7JUeWq)M0+OEX%0}Aq4j*)Mv|6 zs!k8BH_4)fGj&^=<?P#jtW7IXG{>;FCAdfp4l9?arhIux&9m-~I@DQrY?-vnRNZ%a zJ|u>fw_r0FIQ7X6`ttGW>(nHP@M`+JGIT{FsqA-HG1PLIxeQ{L5mXVzI}tTk_k`E( zjd%>=UrmM%JuDd1W?Q0zl*Ju=clYe)1_ANe#hQKc-Gy~zhCmmg&Zn^wZjyjsk38Px z>5L|(psBO@*LbC`bhI>&Suy&X4q?}t=@`8c+4Z%?Z2$sT3cbG8<Zc6K(*g@1^K}5j z{szz)1h7{3I)H}=1Ne~Z2rj@PSbrVC(!UX`hDFfoI)c;Ww-J>1e<K(t2fKK~ZZ6)S zn~OL9F(XEA|6#u-Ve6y2Vw(N5tCaC{)#mHqQClW-V7iL>Rf7o!d+6H<RFF|aEf!QA zMv7VVL(kWsMt#imv_-XCY_pb}nRTalf|1OL#D{8`H9QhsXI=ZF4gs04J2jAF*aj9C z9S3Xw6>wR1C0R7U;>O${*y#vzcL4+z7FWFQ<VL*N??xS{30XkkCy?#pDpHj`Tk>EH zLP27j-LIF1Xb#b?a;AnnknNf(yZ?5EiqRa~iSTb+JD9K%IYN0+&GRspN<s@6;8it8 zXjjE@q*N@{kzybwAjn=6+gH6K#?Sf636`#m$BaRLd(#npfptV(U{8;(JEDg?%RksF zw)-Oz>W99qf&ISjhzKCrXMQiij~E?1Q{J%1+#D@##GsqjbsMy<)=j_(h6F0+ZR^?v zYhCR?U?{@1DQ-Jgby(**eABs#-*&DbFh)0lVYLdn?ObOVK<B!8)43unfX)>HT32p$ za(@@w1duzO1@}8KZkp>tF@#M*`s?O;|Lsk4Ju4eRs$QoIIwHM?q@CAYtt<h*jCqhQ z{wFZqK(-liOA(^1n2}V3#YAgRfmI~9GaNMFQFt3D(Z<!Ba4?^yQP{d|M%X+jlgf?; z$K#Y90&8>c?>=96o*j(!in@s*vRdygOy;?kJsE+V@?V*c3CPv|l}};vz<=c?W*{&9 zSN3E9^4Wi7K2{)OJ^1V2>=bg{>B0a>(8kA@T_0GqNQww@_MCa!xsr0bxl*APo%sgb zfvvDn2#UezAKAdAi5)+ZwrKDmx?jl6I#09ti}E*lsLBHgL=Cr@iCH$s##z%`a{H3` z>`VENs^m|$f0tOxO>7*dLu>b&^e5<!_|gt!;|>bBHy=R;aojI17j}ML<~Fi4VQfDc zzDR1pV?LLLXw6?ZTwM6*Y<TC4(QrtvIk&dypRHN)FF*E<_u!#?tn78~Ks?Xxy=07e z6L~S~l4^|kLi9QL?8O9LQqw58c-m%YNmsavcv_I?UYK*exxvego^b5OuQ<F;1ZR)B zRAa&x$?bh1ZM$|GO|69~5Ubq{v))5vi^~ZE)WP<wsM!p&r+0J_PjKwN6c1?l7?bQh z@%qu}Jrh?nbhPtl%rf_2WqT#%Y5n%z7fYwSb0`<hXOgM3r&qbl>ofiALR@2$s)YhV zx~+?fwdR_tiG0Hpg&FeXDpRo~pKEQ!O&d!!V~{pxA#4duz5Tk`s;Y@I=h%fAb_6O@ zyjn@ta-sc>GZExCW9i29{1gmMgU^+;?A|Km`0<IznmSdnPx@jdtkjLYr0+>6+<ss1 znX5(2v{8-`xb$F6C}hegD6wS=8L66)ASW0zHMC+#KNkW|p6-KirJl`bgson><_I0t zv#hT{E}1#13967^e7?{#o%=qomX~(ctoqrkx2<ZgvAy_n%2{*&m=gpVI)yT&q5ka1 zEy2SHqLJjev#ZROLUz{Qzjj&1Zojijukz(WkKT;qrGeH7@Ul7x+-)?rD|9#f-+mz1 zj!4e>L)R`R>r<K^l|H@9nwF2+4x_3z;jge=@F=I`_qaTk2zvKn-AcvHnj~<($tA9? zeaSV#vpliF@?q0-oz1jHY^ko68g%0G#@x3+6Ize_76t~F{ug@{O>A`w1yzCU<qR^# zdrbmXHKG+QC5|zZ30!0DdRp2ckjXWp@rLQZ?0!O|mNLfDw$1&Dk>wc&RV`7M$%I@S zHy>hkKkvtp^wzZ7O;t7hWna3aBka4BOi^9*G!oS(4m>oae%taCm1Gud_K#RKJ$uCP zqz&~&0+*^Ya4!tJQ+3vZ8MLU&Ye1XgM$L_jIr<;-`WQX8h^LE~R)<)Q<%|@F6e=ZH zM)a#&tL97;h*T;i6av9kHD|g&q){nh9SHWSIdcUf9ZCswk^SngRC5*!MEZaY5S&zV zRtrRifejE`RC6{8M5cfZ5ZqLAb_zrmfK61tx`%4cUV-R}5|r-IF|Se<tFp&f=3c=7 zB>v&KCbbfNp}>Zs$Czn<m%4j&Q#P8*3%xvk8`Fn{d>e``W2Qx2>dw*0*=Sq<=Cz@E zSje-X_-f2Fv`gI~+A|yNKteC?sm;oRLhcPk+c8tCE_It|?QFDK0CU;oJ}Bfg%N%)! zLytOICHbBW*`=pM*8E$4xMRW_b$rDcJU4MV^<h7pp2LJ?$2g%mtANVlQvAVBi6y#5 zHU>;aqiBEB@n<x~7{c|m%^1RG98jos<K0Zbvt%TR)$6;tiPR<(z1>VLYu%thQ%Flt z-Z(6FRBPI{=Bk?MW)LlJd+1p#7CWQ`r>Bhh0Ldghq;!bZz-SBko=CQ=<-1Z=yRKIO z0m`DSo!?B2>pB}yKjGkpqTUdvuZhSjft~@CUp}ha$CtAn1_b!QxFC=`{TldZog#gi z`bJwEmE%M!4B<XTnLiZ)Dd}5L<Q`5>TZN))0U*iRxIkW<Aek6w(h7iu_)ypNXL|=! zGJMuC+Coyf=F>;r@I7w$2{-ft7+sILuJi5KlR03op0rbu@FZvBmpcAgRljOb=}%Iw zp!&{lP_^5*fK|4Yuy-3V@JO~Ul(HV0Cak0CGzu4?LhJ)7S&C(CzlEYITf2ifXqjqv zsar)$cY!(dE0?pnhTtQkR+T84g9$W?*_cW+_BX(urTS~zYA&of3%l6DE~7nkRe!iV zt5z#%Hs6QyK}>t#1a9uLU0XskH%pQT`hF~^t&)}PRGyJ*)ZuVJmVo6HZmxPFOl<)n zk<(E<o6UZ@&C=SS)4vU;*_h(|tI5s8^n0Ff?~cSl*UEemxnNsm5|+a++v3w=G1d9G z;jGgwFKud>ndsEliR1P4Z3WNHR-t_(c2qPOrU%;`BC6>Z@zH%FdDJkuR0e0<d`2~i zaofic4!kZWSxd>ME+wH4e2$JL0|NAGK%b(Y#8~?ETCZ&a^iLD}MwFSa&EMjVo9kEr z^~trG&=#mifqIb@rk>5ar{sHCcQ1x{#7y>tHqG)taVw!nFlX@HhLXVOM$ET?`46O3 z;?v=U#N8aF#38NcdT8W2|4~DL9*OK<`U}i|=z&`G-|F{x|5m5{TP;ZRS6#hPT&MP} zZa?VYQEg<Eisl=6ql9@n8_9$8FB`rshJur`r@|i~+!JzF{6b|3Z#%_@qS7qBF_i3i z1!VY+50b#6Y`j>o*?a{5GGU$x{-D_Iique12+3RrLP}PA?n_o+aBv3!?!iH}L*PMk z!qN7_?LhRF1Gg2?TtvcWYRRv2qY_rp0@9mC4O_JhF226CU5!ri+F8h`bLh$sTT(oB ze?|250P@`Fxo`w8yaK_e%wKGy4zJTUO?W?K^bq;wM*vR4D<aj40MZ`_53$GIi5luX z3DVs};wj)m75;#MMq9uZ^x-2PS|c^CgW>^^-)jmYMMV>|H}74D9Jd*NWPq0>-+jT8 z0i3~^Z1OA<>U<C$4?=*8bhsW7ck)$lZ3E=>y*&)h8}F{bdo?l-sgUsXocyhcN~7sD z;(zTYa3W%lCBrAiuOwsolEzWL@zaiboyG3;n}RZP28s>;k<w7n8dFi-jK%*BrX<`5 zr6Nq!G-C+`BK)nWZN?G}#0R&ct{F=r5FrS+vc4HhDv*(GMME=|EFhxXipFLv1wcfD zi6)q{FD$4+wiJfpZV@n;i5X-IH~P6_^v&c5InJD#$PjZLXra^QaXl<xtrq(B*zvh` z^vY{vZKv0#>}PQw$R6gvYeCSi^~}{md_<1V`EK>o>}S<IKo6&Nqh_oYN(E}dTXj<v z^JIeL-6|qQS!*;!H8aRL@AB(EBm;N5Iaj~>Xlgt5UbZ;*-YpbLyccRYc$dC^>yc&< zQS>4BPwRJqM5~X||LC52F$FFPH;zM$(bT0^PmrnHHj7iz=jZv6zG*CDB_wn@<gs?u z#&}$g1Z(i}?TW3?rrNG7SoP{w;<J}L(F)odQQ}<cf4df3EO5leUP6=<u-6Ym?$K<} zf8omN>W>kmEhiG^C=>XVR<@_R$y-81;CD-@Uk8*FK)Fv_4!Pk#0mln)LN0GPFJPQ_ z!1>H_>n0jdkO2kp?1mB(JfI)`s|KaFw#5;9u)&dXu?mkQFo9xRO`kW^Ox<Hv6YWnf zOKrWVw&{IcNj#U{k${0YC#KO+I~`hN9v<P-U4G1QWQgfE^>h{#@hWLS4cxC)o|$Mf z8~fdvlIn%){h(N}U>ocBv^~e}qj%BMdOolbAZ=6p1uukLE4PjT*+Q#TG1T_pL_~?Q zc+Ac8E>kg{Rb}Zj1IEyo354a0pg4)J)s3$qOT?5YuO-~CDbX+JsXO%^rj1pzYOMm5 z6M5wu1dL7uMsK|yeF|=CS-x;ubUK`l1Xis=Ta{~rY6w&WL(R1XF}*_7Gt!wrnLB`$ zIms=$={LT0k|}KC+3tDc6qWfFh^JHJwmqs*i%IHHAJej!ewv5<!+7F<7{7%XL;h=x z@o#In|5&U01hoChWxg80!yBgBUU~_H30UpoR<Fm&b}UWx*;TYcgolegnTBe+ojtsJ z_b&F=#OI{sNi4pFE>W*ZNl9PBO=BYXQ|^zm)b6mM!^6WXJ{N_vD<?nRgFvU}%L=?3 z8V2<{M!UBU=)GGSn4*75e|?R5=(!MGXVFC?d)Aoji1gEnlrAH>2iq@Sw)s)bJGk>m z+(<YSOIb4ak(y?b0lES{6yfKRcLO-{&^0w)kWDthAr;AsqN6K6CySti$DL5>t*v<N zSG0r<?~ko0X@c}7!3Eo~lo67Vu^|9|m+U_F$U6~3%O?T4GRU+AeCYr2r2~9T!y)ww zM&Foq12ZP(jMqf)QrCl(S=Uq*>I!!5z9i$#h@K##@6FT|>a@R=GG2ey-$LO1c}RBu zF2+Ork>q>v(NhD&ukT@cQ$EB7A_O~T3Yqlvz1UkhK4waW45)o>)Y!38VdOx2E5^r8 z4Nw5B@2xg&3YiLMZ^ihyDH&>@g}}7$-kvK%BfE&($8!DeAz2P)`VsXX<k$tNprboJ zCoAQBF4)-4;!Fqm@S0p(<+YU~#9&SN`CajvygRkamu;VOU&Do3$layyyYNYnxqBab zI2mp%=Io3d3Wr3S#dW`c{OE{_`98Lym^G53=)?*k=DJ|yjYaQ;Pr$XsJHK-{Xk_PQ z+lL!xnSR*);}puCM8I2gCy}p*jNau6go*iO!(L@Ri@nsZ_?xOT9x3SK5mx7jJyf^n z5MZKUFqg&n1XfAhL$}rkbm9}TEqW3jmN~%`CD-M{A=&G657%^sHu=@co96GM(0W>i z7sKHUkBC;%+FHc5Kt0g)_(6X3XN9T%rb?|ffDx6{BeNg`8j)hUhkkDt|9YmtfVO_j zIKK8rsByxwS$uR<Z(B4QxjruB%3_J~!A`aKwcC|!=sb;6dx5XTl5g2#qky}uuSJ{Q zE@3>9G`RnC$naN{pc!wMJlrEIg`#;Hj(4&~zXun7N9!Hzt+JKQ_5Cn9pu2yb6WA9I zQ8%C}hCYq9Jdj6vRIE_=g9b-7ujZ6bt2LGJV%X+H{?VfW1*rv^eAbkaJ@dXjil=SD zC-T?;TwkCuhk<JVbc2Be01R3DRx0!<SFA`#MxCT_AGOGB!(G!y8vhgV+3CiYxbaUr z=T1$Y`O!h+`5#|x4NYo5+9lOVntz{cyq6|cPsi-7-1y=^7qbEF+b}s2G-yTll8Pbn z+FCDGC~p1cqo6Ur(?Ot`{@n*_v}t2wvt~N%`;p7jQPy$fM(B4ZEF6LShD6B|%SOD$ z->Cg~o-B>y)UHc-@#zy@G5qrx-kC?SUN**)ZQ8qIFb<d=9>kHQv7S25?az4rxc=5q zdeB2Pb(S%GM+Lc>(-;|{yqG^9N08VKD}IC^2E6+Y!SYfcg3WIfU?o3_@sQXWcc~8x z#x&B^gDcJN8?-70_g!#TG8h>6Xp{A%;W+E$%-V94JS#+}_=4nfU>Shlyt}?JqsRSr zBks)F_^1`4O*RAuaGl>DM+O6EVDTTFZj8bK0IEL!(d*ba`;eqL)T!y`;A<DRK~4x& zyUuc_!d2OmohlOk-*-!6?lvaW89W$SN#?81Zj5}NH>}(JdP|qTW5-cW>R?#+lh~0( znelViviD7{jd{)o7G)-w9-JC%qzseIBxUXG5Vy&*tk$_1$VS<*t0ZKw9zs*@S%|hX zvCD1$Js1CbMYbq0+T$k_#1a=q#&D`=Q)+kw6lQ0atQ><J<^0m?KIgBE-5w|(RehXV zLm@1@Wm9*daPFZj>prHSVdAECd?1}B|A^Mrob<pymM6$ULOo$6I;gA8L}DWzV&f>! zNu0%N$vY{rj1n*4M54Gg^n9a{;Z>bW**us1WIEgrwkkWnO0pOg*2Jk^x?p0nXTI-Z zIG*rYcUMQv5L60U_dWaEOTZI0&f7`tIq_9R(8Wc}J(7sJQ{_)Ewm|ca{3nj7DS`z= z&&}TqeBIQJQc+LLmTSZnxcF=@j3AECJlFi^obR#oGpzAk@=2%Y33=~_X}<r7{c)41 zi-3O=gFA~V*Km|8j*oj<LfODvHEMxOi8F?b;*kjNa%O{t8HG8iYZit1^P29j1jiS< z59}@c=H|S=eV@B>-E+Oz_~U%>b5~&kFRIO_=5i)3%>HWj$xq+?y5Hj*SHyvkC1O3@ zma*`&jZKymnZupS!_$kIxG%&80;lz(TCKX?xKETeCC*pImk!cNAg#Wq$BW~3%NkK> zZJq*r8!1qQ%NF00<Klzr7Y0IesHc~Ec22dI3riQPJHF0a;MIF)XQ%6N=24Rdf*z;t zpE9+F%nuH|>#oW#gwhRc=UVw8jc40CzWbx6gR48I+h+&Ao)30AO}DOJ);~B6=0 zJYI=oIb%(~a%??$N3=CJh6bRSd<A`<=D`-Zox`(%;=#VUcZcNsCl2*(o<~ckr_1|K z!LMwJ&o)zzXcW=}uLkUFj^<RyU0Rcwh8~u<LR=m;H8vL|7q78CJUfT%9Uku0Z&!cP zlikdZn)otVp2A>!6t!zs_GMJyt(o1UL)LtI38&NF&Tj9$&lgr_R}a3pU9FuCLQWbl z>%A&wy}jot&yvnqvNeWTw&OlBSUVe<C431~dv->mY04!4eROr4uC>zD=zaW&M&Hy+ z?HS}`W2)X@(x7af<cHK(2=VWM{Hs?RXvgPYA9}m?-t*Oh%&NL`2pBpkZJh;wL38~m zBqSt=JtQPlj^<Wy>JXI76&3e6|AAA%#*ZU+m!DqVZXfQ=F3sM3<Po(S>0aQ~!uMTp z2hAnJ>-&?9=SL0vV`MwOAC*tq3lS~P;WqWwCYN3R?$nyO?@Qp76$EPY7<Fkg>u0W~ zSf|B|$V|(w)j(+`{?=h|5byU-182n~;8AXZUl-<d+w~0Eg+8LrJy}OTa&ya>61HpJ z(cFJaB=dc7=j&6U`FXAvmo`U7`95ApE~%yS=H>?B)j|^|WRX<zvonJVo6TnfXX(2W z?VO)l8(r4WTaNWyCf-8^4~yORnae5oQYP)7!*_{|w_kmCI=%C$iC@r#L_*&{zx9mm zDE_?3bz!E?cz^QfX*1TJO&5;Z^V1;Eu(vss_7Auj=(aXDp8gKoc$9w`Lm0s88G5X3 z_tY)LYnQu%K0jg$I!dy&Qf$A?fO#}^_>P2SzQvMR^J;!p!MqVd95X`%-ah2-27UVL zQwMv;L5jiEWQkR5G!3;-gm6s<?{|r_tT%_F3fq=j8r<^47lWm?%fvrEbr6cD?9e6b zkWTxKygyl=V4$BBuDQtJ$6IGEbbdH|7`Kxo=_Z`ZA6%F2{6)NJO||K*#hH{F*@$5F z$P=*{g(jJpom6Qz2)eD%dYM=g$BnrT>S{6fd~&}VzMTSMSV$=~O<n63n}m1MR(4j8 z17}n^jU9^{`fRVY>!pi%^+pYXRE*ROp(#HC7Y^eq-YwGGh29WjiyNfuu8Edqi+PPV z#HP@cpMV(Wz+?u#T@PG<z>L=E?ecDn@`}M*7q5+yA^~&wFuwfV&jt@Wd|QQ+P>;xz z)f;HFLF)$6icDF)ftDM1Zy?^tltlo=?aC(e($5>!2(B=g^Dxi1DmZYkb-dc2VNF(R zYi`H9*rAR%Giry-T%0vG*}Gj`s_eQt;raHt{5fBFI=@Q%oV&Skplz96*_1&H(_?tX zoT2<=R{UaMrFrvd<78DyA?a}YGtbjy;~LkiJcP18seX1(q4vH5`dyp_KUbDs;9Xf7 z4ELI>XP>35&8ie$tO?;Q1h0+Ee_xArTpIW^(lPnNc}l(&0-rzNx`D%^SEs`AVZG|T z=Y<?}du+hI$tGXlXVjGcU@?VxW_@xl{zN)KPTu@1?Mt44hsrAdNoNZCeBb13{E2+q zR^Df~J+-H%ZE-A3bo=kU2j!A>mu2jlyz>oSsjM>0#C$(julSMoS>rIK;iS~C6{yDs zZ2O(_^=(xkdHe^)DeSX_lhg6*@~vZevThp*+@?(`EH&#R@4es4C6%R4HaH&%mddsD zCrY~=X|$xw5*;37q-<Y?2>BdI9aa@qD?6aJo*u5GgN3PcyS>HL6)t+2yPY4)q$pnW zGJtl`ZU{~;UQ&H7Wu|$z!urjbK9ay6=^t<K;0)#=c013uh~IAuwQo+|y)gY?G4<(m zYk9}vqIzF}g{0jx?Xkf#{ziV?t1Ve72A0fiam?=v!`7mSIbWQ<)E{sDz@6X3+EfT? z?P9U-rm>rWTsc*yHw=)p%G#jqqmkP0zb!vx7=7>AXpposws`?t^Dhms<j{7oWaxRq zIz+y8wH&Nj9AeruT7GJcmF7KVkW%4%^?gjeVy9eA)Ade6U#a1OLCUXXhO23R8iUhG zYJrpKcJ75fysKJ7g|^djIrqI4h-v3&`IR+Rq7R{69>cdg83258XWBo14lZNRZA0+R zw0Hg-JnUP&>u(>xzV*2?4FNDh28iE#0I#5YT4_E)wA*XF)9395p*o!<Ial~zcXhc& zW7o_mU6%bZU!?V3uh~<#DX6Y^kxl;PM_YOwkHKB$4MS9BSEhkvB+S`;LR}}80r7?l zX8LEI9^XtGbgr=Kw}n38E@UN;Y&xy~D0X1MO8L}syw~XbOB@2ryz&lM<{`?1%wuqX zW!`I8=ADAfD}>I&vh*}>!1c5nWSFv9+~`!>TJ<^<WTbrC9xU?$V3}9m49mPJSmqVm z^?TD8IKz@OIfZ$C9r``~q~DkFX**6y1KoZ?1f`uXgQf#eA9$v)LqHg2V3{`!%e-z_ z=9Smdfru5#frv5KHaXK6Sg7PNtZ^~V`Y2e(u~g@d%wFKwo1djWw!S*~@+IOx3tLw# zB+A(1w<$|Xb089PrdZV;=CnR#N3z<V>1e9;{qOt9Y7H-IC%Jg`?}G;tq#Mj~g1>M< z>chUHv_qQPy&<){Co)b77vPF_Z$7zPJ{xEr96t?;I!b9??Q^L0I2vm8JerxkSj|63 zi8#ycJNPBIIl2lt7v3#1nAe}XT<!5`X}tJ-WU|ttclEDM1#RM{Q$bqTp1#OA-kFVJ zA-<SdFVSaSq<C=jd?@%55?(SJ7;rG3rt5vS%m2NaPjE=kZ~s%o`)}jxR_Y$v4<Npm zzN1$yr%;8fr9T6wn+F_6DJ54&tEU&MgFkq-akk|pj^~0HK3q(;r+Q9BL=v{qJ*`{| z{WyJ8gymJHu(f$Qke9Yu^097LjcOu_UTUk6yz;Ph<73(;=Gp%KC?q`o)XkJ;w9No2 zakjtS$2sjrH&RezS<_ycCY$X8C6CZf>9M)sqlh@v3*}5cvL1221n(iUpXD0AWc4C{ zd^I>Fg&MT7rp}NSvDliF<dH3nDI@f_+iN_eFfC%XqQyMhy_}xyp!(HQ#tR$lT{W6K zPwok=FFo7MRdGts9z$kd|EYd;#w<=)WS;#v+LzmIK37uoz{dIB2#kYmS&$Y{yE;WX zpZZ?4qx$#Y!AwsUWf`<1#nEx9O)o)o(U_oxPAKSHCfDnV(Z#i;er0VKP0^J7%Rml9 z$CrBhjyN@F4bn^J^D#Z#HSCCI&s;21&2xv_WMX<eTnQr}c+Gn4Gc6$sqg`$*Ih9`R z)Dg}|$z5y2)43;Qyc?eTz84F60wKRzdDnZDrax9y(@RRld>Nco8$Z4(b`$E^HM;WQ zokDr>l6rsP;B-4^Z1m-?yj0FQ`!9nF%%~<uX(cG9Zsyd#zJ6-9dC(pEYaSZc>)_9w zG&4B6`s`E{88TNSUHCX>sA$(^_2qcG8dQy6^1a%;(okO!q?ozbHJZCPs2t^U@5VxD zQAv~VM#-L*U<+U&0$5*$=HQpSoct`lt@KnX@m!&Fp}+FwpoZfv&aCH&n|@z}hV%T& zyepNP-mn}L@+INBV-f$((#pm7BYV3!>Xm4B6M2ZpT=ZveV%+$4$BSlI14@|hvp40& zaa23_cJe#B33PLpD{~@$Gw#cStIIOIcaf?WCkiR)`u29M&SpDZS-b~PD2Gxgm#?aH zzBjt>jcaV$leC|M9(eNU(_L=pptCRJY~RdTZ>ujI<jv{&Q;3ex)yzQ0yIq#~*^{@9 z5Lz`qWi^pLrXxI+J&o4LIAtc<ajJOwK;;8O#biY3YE0>T6soJ%Pg0i5w1ddB;|zYv z9LL9W?-8ZVsp1n+a#v`cuj?xEv1Ha5(lR}}D~&8{sU*_3O~H`I`Q6*8BtFq^q{@GU zKy-ZzE29Q8UY#obJ4-CKN-_j4o}R9)7=n4A$Fb3TNjc_5+Aj0QZSd#rsmj;+1neJb zA#mjc_w^x2NG-9LE%d`bxUxGo6@=evDEn(r(YJu7$sf=a{F3hfRU{Uq5sp^=?EVvd zDKh;8+TWo{i{tqj9~tPni!qt>*|b|uv1{C^y4}^XdKe@kG}8SD%X5gzrT@5h|NITv zQ2Dx4JGSH!LR^k7sK2uQTSI~5%Jx_(N@LzLy|b5IJ#?c<OjRSi;7};Oa?&`Ly-X(l zRX6Pty#j#_Hp7ao9=Sb(#GJav-b9vWq0%O1M8D*iK!BA}QGAk1$mf@;W@)`Ov}*L- zO=5KHB5VpY+VS+=`g-Jt_@z*q14d~`f@dl7uywfZfT}f1`nk)m6Ja(v)H$B4XIY`$ zYsW<drE9bYx_Vh__`J}<)yUK(*^O4MkU5iO@M!s;Uw;3|^-D>h<&pRxbrE<;q+noG zr4>U>F#-)mx!_G}Q`M@*Oy~|~EUf&R&U&%7>3}@EU&SOg$ZC(Qv~PcaOAeKX{Kn1l ztxz!j6}7Nr!S1w9i)yd_LdvVWE+BEP<l@ItKBqS|kM#5l5gm(lj!Y8o^Z39h;ulh9 z%a+JYK7anajY=Q+O28+rZSoU|X-;J9(KBCZQI&OCOF>f|i^9UKha^I|nItapHLf*G zHDghS*Zh9Kr<uXkwlY2IfP7N0{OR|Esua-<naQQ&dc;2sk+BntU<RJweSiH{*{eh6 zmEB+xvn>oieRj$u#+3iu7y5H|kxA_0hr;VP>>oEeI3bvhhOT2=CwN+!0M+-8PO!GT zvVU<sdZTh!J^I00p}X?Rfk3RyW#>Ep3BIQ0phGn5$*g2d<Bx~IS6(T)GO&omev9AP z^CDx8vBtWs0R>D6N=q-=0}56sR~kH#m2~K{KSlW>$1E+|k~yZ1T@NPc`wq;nQdt$| z{e(U1loh}rSJZ*iebe~gMs?`5-O?V#!1?Mx?@X5snN?T<p;~J|FJxulKluXI!K^1? zx*8%Irkty+YES04{-2ad^F)r3few3mD1XUPEt~|y>NZ^`&5M?U+!fk`RG>HM=xvnc za$6>9WfF_bz5xUa55J}_t;^icpA%VDHiS<dv%gLoY8Bv~PB9h|E^Sc62ulSximIF* z5lH_Z>!;tgg$QlPJl(-3@FsAxf{Y&N1QTDDPKngW<-bH8cKDkvNKTEpWAi0C(xF~1 z8WtUcd_*8UPQlBvVi#j77;1hbv0ei&z5t-F8UVu_Fu>EiAvcFLa7IL?4jmN&%aA!$ zJ32anm9npJD!kVWp{CrNitJ_)<nx~nAOki^w-RGJ`*x6+Dyq6VJ}DHsb?8VVVYM*S ze7OJU+QAZVz_<e(MDfEM5Z9sGvRT%lZ%dnw9kJPyO}|1~b_=;=o)Y0CI!APfh10DL zu+X5-{tyN6Kpu{ai~o_#B-RW|n$J4HuBkZ)lQv}2iP}{G7CgsTKPXKb%#er6R3(B) z_HnbG(9nJ40<2ti>GOB>=-WIXX~5PnBn&TpY6-CTBo+E<5I0M&Fq}|ml}U_WUOCeG zdLn<0VH0um{3cSfl5=CQ<TVcgmg=EaFpIYEg1IyBwNjY?X0ZqIW)AodP1sWhvxTxy z*dMilS(l6zfXU8y6NDt^D>6wZu&V%V3Ul`V*VUPTC6%>toW?RWvl`46E43+?%q6uH zHzsWw)6%q(%9JK6#}xzj?dG_pnPymwVVWBH<(lb8rpTy~DPz{S<uaNpC15EcDI(vw zVBgbxJP!}P=l8zvIrp4%|M!t|xyOiir0}Q5E;>Ho*gLPpU&?U=x|3oBGW$PI?O_rl zR4DeeLU<5K8NOLQTr+9|$IKqGDgs4UzRnyq5R8H|oHN8b6<f`*!WLZ`K}Y(Z`ey2M zg3c-Y@tD!R=*Le@+-}t9818oP**~Xse3*;A<8~v51WpX{<HXR60S5{#`GPsa?Z#rG zb#fy*F}<MmFG_(){kffcxwL+j^AS~$L=rw{z1Q|ullRY~bi~7_{C4Yz9ecUNBE9Ol zx1ADA@%o_ceJfA5I&7UY8eD$XpP&>x;%9<@!lx2Zis^FxyZ>#?8y9rH#9x=K?ft+H zXwY4*M1$6aWL9fF0~)kOAkm;Ppg}i*20>HTgkVOs4%mzvK9)$slu#lK-z~W3)Bh(M z*QK(dup%3)rK(}Fq8gikYK+@U^ly2!*|BX>5&7_0Dk3&3A~Gr!5z2~)Fo1|SGa)ct z_$v7|r;pxJ6RG6iBW8|C<!l!$XK6%g!mDd85{a7oB2^LRGKq@Zn3AeU+gTt{?Ih=y zNqsh5D!LP8K%&TCu573R%H`^F8a}lResJa~7lX-hwHSzD(6+l-T1H^z*gMk_pjQ;8 z9K-U#ug=BFkx~I-PaLxX0^|WJ#785%-l?*kGd-kx1ziNd)7JdJGU^`8Aj|<(PLmc0 z9`)NoX+;K`VG(kO-4y6T&qKF#lI|Y|0!LYyD6nEFq`tbdeVyndMLfC4T?9`|sN+My zb#WiS9ef;*K1$9Ix>~h6K&@Y794UCCj^uL3*6Yc>nf|bCPfjFDF|C|-tv+uexvuW& z?XYPpW3%V;_hu6BX-!X)4v*G`GT(pbCo@!wk1ug2i-HM{B;Pgpl~3aW>d1xWzSG-3 z51UXoY#kc<`8}Vbs*u`kT66Tb&(L^(9oTv@dLXuPF{aCIW8CbZmApqs1FG?Cw5s=> z8;8TEUxT0h4L4mZZMbIg{fmc|y@wGMUO1GDC%-H|LtS>FwtQ8XRb<81ttB|6TdNOf zcLXc^$?hZ9|9LjpLaxP7`!!z{*pXGhmXX-OGQf5#1~zkT3j`d_1$~Z~choj?{b#)K zfMSZTseY{ZHa%8e(bY60Q1RyM(FuhD%>MC|<n!KCc^J9NDY^>Hb~NYLAE>=UW3E+n zt+V=Sp@0=>^VKSnRdluZd57(}p}KZ5zq`GTpA^a_CnYjJ|KX1E?l9W{_1<TUtn1T% z%e0GZPH9}7+M^V)X51P>+fhw@wDm9L_c;$eR@FK=8m#4>(2_M?^UUiJ=Ev!(x6H$l zZpn>p-%UF@^^)iw6$Uu)ty-=Br~ee!Qov1zrgza#X=O{Kr?~wY_ZMybM#54~M8+k% z;Bg1CQs#Fgjn%pgmTB6z(xAR0`=-+465xUpTA+Y?dEa^DmNb_AwcSTD@93g|$y9;O z-)w<-5SVcileW>>K0x(IVg5nSk+5>*-i3{n@k3Ssk8Os%qm=-@X<L}z4eAEC8^$I8 z^WkXk$gTPJIP(d|6QS%+aZc?I(FKf$^7O!$7^r;j4ks0v!X-{myxmdJ2r<y|oZ|0u zRjOd;IDF3r>pj0MrvfbE)WjW6%ofBs;o;cp$>_RPb)tsX^7}EB4;{ZAxH#3Z9CQnK z2>A{#pKdi=@Pbw!)wF%Rytw8P$hTaDF4|4hU_l}X|75?c+;z?d;;YMcYaU{kHZ!W# z1REKbp;22++kFU;OT~U!?du$;ASr)$mw{MwTa>&$Ls19uZh<Z`Ox#ZiT-GXrLgsO3 z9}NecY*A}#?gQaKy2~pU1y&4x%FAnczTo?(nN3-_*<bp?=_2iT5Hh4y6dlrogV0mz zAco(1EcXF#z)2O3iNd#ec`b!B)3x_TcF<oXJowz_@@G^oO^hA4aTL7p6OYVK4(W|| z4VkBb3;&I)J6mokv(2U{zXfL>s+wi~q2?I7sl?1*W6^l<s!L4ed~>Z$6slJxA4Blh zoFMt2>#J|4b1Az@Q8flz4Bbn(cH;B?*uSwQ?miQ~X#+FLs$w6my)(8fdLP3p+#*Mp zQRh+T($(urvR}AAMsk0SbR)SvM{a=5cKEjtC|`Wj-1Qa@wpee&H)!?j5MFu{k2M=3 zRiFY|h>YtxSo-9<y{8%`pX~(0su?Z1@0qKJVk3Riq7Pi`@2x=1x8CMiS5Cg$BBL22 zg*+*ol0pJn3Lz;JO5q}a5F9F{tFOnjS2`u0M!dN1iAe=DZZ5zQI7E%j2uZN=HyEpU z5&>GPzs&{lghvOiBo{L=u@h|}6oNMCBvheM3sr;_ARwMXTkxd77>$~#;x$MkJ`p>u zAq)0Oh&AeC6=8+2h^MSA#sF~FfvedP(&Xi^ibn(OV<u!zC-?0tN7YnJE4(coi}y|A zI;>%v4c;GX4pc}z%g}KnaEx^5XIl@plyAhozFUS0$;!kQ1I`BjE+@@96v<+IgqK<q z`jzI&JLjRz{44!e&P3qVjvCw5`&R4PL?(xLXXbz>dL;Zb*ic(fSG;#;0OR23XYt0O zlB+v~9H5-3Pp#ki`s9qGKPGX$Yd$<PXMh`6oI286q-!hWe4N$xupUw>YTLT_s$8+` zCWogToG~zRdYkQrzPowBx1)Dal<*eW`#e9W)frVo)0G_sT~=U8|B52b6O-730{P5a z;Cy*FPTQO3FRjyEdY|odynA3S)qUIfmUk@YsjbxJ$3}@UmAbZU6``g5gdoK1Od|Ei z!R|Z{wQ1v#$(h;ik%o<i8Km}chC}nf$OVm&)8!sprj2wwRECsT3i4V6{{6sQc~de8 zs*n>T#CO(4SUu~ACwDM0aYCCWd3T!1pjT7-hY8)rXpND~a*wre+PT>??;CChx?n0+ z39<sKA{G<^v36!<CB2aF__J_Y6rXCaC;MHuFFjgw@Gp;s*@4ZYdP^Y*|LKheJc}09 z4fDQk-v%ik9oX{yYlt(&AJRt#T+(6%<0`2J1%4i^!eG3sKqW$G)OIyEz(tD{gv)?| zBdah7e^j6n27{mg8!Z+AcMS&CtU?0*kU%8_27~}3EfyZ<3<G^uAs(+OPzkCt>SEWr z?;bzmcyH6|v8g`>^*04<H^^nYoxN~YI9e{p*k}L5vZo;*`%~V%mR(>bEFH|upQzNo zHOgz#OON(5SXT@1dHkh;*r8;9taI)={j$-CN^W3cN~jMQ#iE*yg1JG-Al?ndB)W!$ zhASJS`;(Xr;AxYIZFRb~y7ge7?g~h$pag1m+r^$bX^_s(3gm??%$~){{r-x1bykwG z{C40zj6r&E7Mvi7kPuI-jR-FVW7EzgwJh2+eY`-k>;YrLnqYc@v57DD*u^#;xjHvH zQ3=Mz9)yCdga|mRo!R3O5Oe(5Ia*YBDd_v2ZV;n62-SNuSoUoB-jOpkQE80~xN3mi z#a5WP*Yez^OX2_q59Y7G<?H0VLin?1N%E$ZMBa0%We>^uPz9mW990qtVzynWGB<rp zB&>iU!YOl9ffN{<o8}RDwbF=OLMI9Zkyk=crddS73Lz0rp-@Bs+_!;N>2JdC)?&)B zuEABxncgCGjD`xR6>2dde@9-!#9!LXSU-nx9@JetlX<9QR9LFku0GRyvVV6M>yHx! zU-a2OpZY0mv{cWgti9hP<x^#h$(eYqc7WST0fv;=KNqD)SDBms`MSzHIXNbzQc1W& zy=vvdenZ3HDaf>N-puU0h%cmuYUP@~C8Hg!h5iIVR%q(s8`xfqDV5CU3qRpPWE$x8 z0~gAmFJbiBI&m-ELyTXToP1<m_F8;0{pG^!uo|?W#x8Pdh+5)+HE4(`URavZAN&Uw zDj?_f;0}fM;)W}d8p*1Qb68pd*eoD@*C^P1UPMDRRkg4yax9}cH->RT0fW@hva2`C z9{PxLg=o6?9~Q<GE$X_6THs7f3MXHfc(KL@$V43UE<36=TrfRO{^YbQTI6nM|HUaM zAf~TgSURy3PGUjFEZOrHbFl}18BD%K7mV{ldn<4TIEa7~g%O0Fx$N@lirkp0Wj43~ z<wWuPL>vRG_~Q(7CrxQ-aVhpDViv4!i6f(DE*rj2M-MJlFN`Iz+eMS}>08R5kT)nb ztC3^Hp<sI-K}$u>h5E%YOuC2FGM;O#5k(LWB%Ltay4*a$73oh^U~Y;-%`HUBLMrPf z4`Lg{4~wS-bfNg9!>aF;Wt0&JgdBo;;SNcw3F*2X{NZqB`}l||TMn^IN@+sc$kYJV z(S%f$p|Ish&eL-0r1j0n%^INCVeH5hPDciT$WcHb_JTz4YZZ7uiao`Z_-c~8n~{oU zaMmY(_u`%b_~RM`Vy(pUt1tbt9OANPpnjOY-?wdo>n&>r^=8jXa~b@4`Ckrj){iPp zJAy#g-@$S0{#VveK1uxr(oh|4=ZU`gFCGO51TFbH#GVx|_~TKmu7DKy0%@cH=So)C zKV}9>9l-nFxtRCmNt^QJ)eZ5NfBCkFSs<z;yw;ru`oPo<fzX4Kf|s-pY{~M{{xW7D zx66PUNGBQKaAI>M$@b`dn+a~EI=CI#QB47HNH+KX>PJ45)=wH{Ad#|pbR?+bzZoF8 AFaQ7m delta 1091063 zcmV($K;yrGhfny6PYqB@0|XQR000O8N>NOa4M-76QA|q>tOb7j>j(hNbP50=m%!@? zA%8A-Zk)OWR2|9oz8&0MgS)#!@Zj$54he3--QC^YgS$g;cXzko4j-ABJ2x|vxxe-Q z=yyH+*52J!r}k?4RG)KHK7UbSWEJGcd;2rerK9_?XJDph`LbB%_9+HSFy1gE9vE1< z)-&C7=>UQ2(i&R4eNKCVg@xrFzSO}_VSm4q&VOJMvdFUvfml+J@Ah=>p7}B7=IA9X z416p!V9j<42q3X)IU>W3=N19y6d@`}d!_YuCgY5&>G3XYjp_CIl!RKM!4S0`+P)%0 zz2>g_npWC_!~JGcdM-ui6=K4}<?1=2{-rO%xZZ-9=+!u%r@Hz2es`j*?s;tP^?zX` zqF^k8>!pCQ{eI;2xq|X_@AcXII^{4zd!^B3Z&i6o7%u)6$KCn0b8kr4@pNrzwaw-6 za_JU_t913@W#QoF{(yBUW~g8$tj^|U^LXd}0IndRfG15u;cP{l>&5QUs@bWfrP(}q z=E#^dVN9BqrUcWN*22x@;c0uecYi3RpkOUMowKBTxk9VCy|{e2Xw}>W!``;+WLMUH zNA_BGcH^eqvOnN3Y|D~{W-KB@OR2rp_H5{Iu=Q|IR(`qsJ0_<;wm-G6X4pdL0zx%J zHINbJu+p%q4olr6xsr|j$z`9m8%FWmDOIvZe$&O*ru4v>ndS)Gd(hlnTz}Tr&zEEN zCeAyjaZSqdt@e8_ZBumxk25nL%!Q!8hUvqGsq<ei(as%NUpDX@m3-37!yHhWJ0o(d zSW#7Me?DG)>rvId7QjMTxvj9gv}A5p(v<Nu(_#siY2T-AoaxoU?UwKQVRs`ctX}eZ z*T(JjJg1__eG+X;2KwU!oPQuI@`=oLmdM8m=w3l>rfw4>^bzQsBwZ%PP$U0|VY=k^ zLX6!e`R`A{6iOfEj>WTR@7uN?qEEz^6AEdQ+NRZNFls75PfGY6&Boh)vWK-5&L<^W zkHvF;vp0)tTC}*FcemFUx=;$1_O&aR0gz#oMjEEhUyd&O9C5i?E`JwV>wH*GJ)9mp z3mng0)vr${E+%r8;7-d}>Ty@Jc#2mSW*lc&Bcdl~jhnM^gDuDwv-&?q`Decm4r%MZ zmI?i6jUE;-@#U{DK@ao)CH^zXzs+1N!A;oh2K#!!aoTuIHsha-lj^fY*#v+S+>Doo ztG;}m)EAit{mG20Hh-3`lZ?cdTrIZit|Bz4R;xoldD>LxjcKSnEwXF=A~dm9nL|H0 z+F0kT-$QzGv?RYkS$zdgZMub){w+q6@>*-t>5N0ga;w~}S<@T~Ee@-&BFAB}=)q{~ zXt5^wP2PBdtG12Xzzy-K_;iAVw%W0HK5qAwexf_{RD|vWy?+Fc|3M$!GkQL5j(y*e zX3;9zW*htsNIPwVTjwcM3+;HD&W&IGo|)6_`Q9ySy}FuqzU)Hip!t^sa?$wz-_nex zY9>;35Uo0nHdr7Ys1lDhOduMf`7O~dMH=JgtMp4ff|uPOsGShjEDD>{MvR-`X3cQ( zm;9b^KxnEHTYpt0J}ZrOR2ukDvYn=QF-qZN9M@8P_&+42_iXxowDs`1d=hze0R?BZ zZK+LHgL%sA!_&jfq+P{yt3@$u%3Q1n_18lT<!P!mi;AVXXR^7J%^WaCbyxY;$~Lz> zt=stg2d77y>GIhZV|(+o{6YI<iaFC_je;VZ|F^~gnSV+_j!s&*w><~s?7uh2M~{&A z?1L{kdY#fXTcoVD3fL;-Q|C1Qhb+va`y~+@MG06%w@HGQiK0@7Y+?i~{u!JKTDBu# zP3xB={ky$%8uW~>qP>vG%`)-V`%ry?rY9-Y>hxOZvlQ1+zVDxn9n6VrN|v0Js04U2 zf%y=9CVyT|yU^C-7W5E(AnxX&aho@v@Ic>y>+|?mJkS^Z62H7q--32i#}n?!T#M4x z!jGmmCOjiJCj|>R&rB{g8AcH|e<UTVm2o*;Yf4v5wa->p#sn3WS4LDNon~6gOXim5 z9HPxiMuulwPV<XU?^;~A%%tR3Xg1aAn@#eoR)1)+RJZIpW(--IPaoT>K9j7WHdYlE z>(ZFt)EDg?kpra&jy9zbtNWXics*)6GIl>#kU!HONPm)>v#b5oxX7$=@_laBG5MBt zWzDqa^QqmL<?r`^n`gM@Tb|?9*RL+G-B&M<GoMmE9H{YqR^WNLsxHRR>}-5UK-+tj zeSbdceQj#syw`m^-rl@wu0E;nLnD>0UwhrT*xs~hHhJ-Q*zBtwin;8gWu<+wsat8| z<mP$V$OVvky&Yhz&I3`zO(35;TY0@2U0Ir0LJR4=xvMBx+A0`(&2c``dmM>aa~EH_ zj5)hOIi)z$`mFtO_q4fvI#6By@>0{LsedrX-CVzre7Kl!WPe%UWJ{V&_-Y}*y^`GP zpsviCpXe*XPlZp3Pnw07MV8g%w|ci%Ks!o9gZ5fQo8%#yFUt_N$a<Y`K89h7ipYQn zXlVeU3eh;bpiidaB@&J%0xyCrl16Ba4^Ie>2o5D+63%anoSZX`<+n@5G{<jC{eKH+ zD&r35XPc@h;0F7=>j>2i(GA$}+z8xohI_3#7+}ix(8ym#UZoFl#Q;DD2n8?#*nNlk z4i!MjasJF}f7B3HH0qr(NIm2!GAG#=h3`#$jqr=cwC+#4_XEZ3<f*A^?l&u<NX0U4 z?<Pu2=N`ZFJ?^DVRS#XBgs|K%9e=t!v+q4^Z*E_da&bINjXF%oG4z1@0(SvczSk2N z%U|*g8?{}csgl-y8sFXC3AqemiJZ>gs*bTtE_HLBe6O3%)N|{x$o;%wSiD54xhCHO zo-6CN<8Zy$4W`K4U_h%w>Y~Ox^C5=jftBUl5^I5e<kW=jQNHe=sg*t5_J0N%qUltF znZAO4$N#GeFA;vc455>A>77(x3T;ZI^w?sSg^`k7(cJ?L+03<oYus`T9jm$hb%;gW zjbJ^)W43yp+eF!X+kDZ;=2&A6#67B4xaWDSx<vb6%ixYv+(e6*jutUp1!AHy#Bd4l zt|H(ig_l!YM~j$=7O~+k^nYy6-%J+Z;Ke_LR|EYIkkyp>#>b6UA*w0y4~dzmLQqp? z?I?2^vQW;$;Zcy{u(EpqTZcnzVj(rz4u3#0up9h(FJUwx;m>Q_DPeS(H2i{buuAp7 zLnF`Iq#k*Sn%fL=V8Qnn8+)oG>R6K7tT?$sabOW=S1;D2PP~HDc7Lau#XJ&z>hD_| zt4`ZQx%*g^q%hpTzXcgV>7Ze~6^yIg)qvO+_b`xY#7Po1GoEW$n%~+m*PbD?LvJB$ z$V%}j%N{yttzc0xe==CXFvG?+!$voA=W1kSV#J$it7Bw!KfLZ9m(&?=3>snt3idAw zFaj+YhL%ctpUS|<W`Ag8H8{K;o773p=;M-?<h3*0(ay#r!OjCc2nTo3?ep{<kB77W zXB%<RO?kf&_w-%N{R=TqOEj+509?g(fJfewO8?Vc@1<~H?~@4`9uHuD#JdYZ&nNJ| z+QEp-ZDE-ULb7{=*e7r?cVJ>3?`Yf&#S22<C|sDUOX;Z29DjL(@9W5_dj<W0Qc>V` z2&n}6*UkZ-r9G_Gnr+lR#Lgn*+5?+n^Qj3({>A3mD@<}wm}1A(go~*O6PM*g&&rA( z-HDl+8>%g7r{XG%!U3`#pk9!y@UP~o_ovuFImKAuTTW7MOE&qnh}K8GCD(Tc0M|HG z*HcKLTrUm0EPvCfzK_I!v^iCEuu7v=#KH>Y``kCC-H~yaW@hjxI)5o^=d;|FCssa# zQO477T+o9|#4@>&vW)PWU=EpIb-|Hrj?Ld401hc{RU2gJJ6rv5dZg&RnRZp+g5uG2 zG_@+`A$802EE!#-jeh4BrLFSd$JqY8<gRz7i}tM;&wrciAXT6!?&n)n!A|MDoMU?F z#@4CE_?M)MM`~cH$GJS3yVAG8bCB4}9Un+Fqe^Z?TEPxm70wv1Ur=V$8|tb=)MChN z{1jd%kus>)kSh1n!M$8YfKQo`8s;R{wHW`>$Z{FUUH(L}qs2&mn=INmCo$M+BzMXj zV^fi&b$_kJ*zM#s;k{HXLHf{}fY#75YE&~yVx^nh;b#hnmJgwk_}7M=ZgMQtRPLMG z>0%;B%NK2=lN|Ijm7|fEs<0XJ8d45N*JLNK+6nB4BLq|q2iN#p!)_-qmQpzP&Fu^# zkSm9aG};IZ#u3VuW1U!0_}*;p9Nb(y-5qZp41XL*lkQ38E~aF?d(yAwy~|YvbhVin zMt*gwbP3!zDp<qKaC5vk7PUXJdURL=l1Aq|+(;`}vy8~#`oPoJu%GVoUNI%FUwAA$ z!vfRf6;I-^)LQ(Jt1E0#rv`KqL*>&~HJ>SrmRa~3|3c>JU}2_5kxTHRvvE%TWZ^ew zihqMYLYV91%M=m0i!cH`%h{z?SLv+|;s|+)^4q=q+a_E(wl<FC*lX1%I&z?w)8?4! zoBo$v&60YsOSl5G5sGKQYN`|NT&oE$Y1%sD#|t=G8mT3)Od6VqG1}WPD%QB6m!$mL zg6rKc>2wm`_Hi!EZq5@tR!D-<I8I>~B7fd}W?Cj=BWI&*P_!yo*Xs1~76!5O_Fc|Q z6b!}`*`l<->xIc}@Iq#d+ys?d=6#D<`w(QHuzuYHoqdp9?g++Iu?C{F(CLLKZSX>2 zC1WVt1TAUkq_Fs^_8N>?`k%&cRxn(Cc^#RRtrteh+GpyU;(r_R%bCzeD6C(6nt#vH zVbR0UEr?d1Og~Wd-W2%20n88IMK8I>I(inTtP3bT^YLicmRx8kTsvlPKe@VCUu5v4 zr)s9-@T{FmahCZSMY%U5!Ln8_euj0FVoW};V#KwmQ@i9Q2}mB<*xe00Agm4%Gakjg z?5_#&M}EJ&N<$=c!aC|$=`!JX?|%+=9U(4_0E9<~9}O?wFV-*GFHR;xCRWJK$>5SJ z7kvY-BkCfu_;C^^`X;g_AeW2i1m83wws$i925)q=53mx@8t@zt0x$@$DB~&vfrEW* z43O~c-PFdQW*D1L2yfaQSl{Jk&ghOiZ*2vj+n7nnp731^P%Ka?5DS<F?0+2=I6J&; zraOaT`cbw9JA_bqs?AO;Wq}6!&LFw6RHMyc+#Um)@+!&xHg@Waxss#mit%;ijJ}dI zBxymOWA){D^ForL+8H;u<3e7NDl~bka44?`9u+(#JSjYFBwi$0BnSQ(jEEFKAYB(Y zVd!5W5r*)#VCjME2}{+rIe(LZwSt|;vSMGi#oOi`_Tm()>G#qg-w`8M7HgCnjFT&m zwaJU6%+ny>6Ju8%Y?K>~vnh|Y%}b@+`8{N2o5%7C)Sd1nVMiiplrQfLYW_glK9lmP z%?{1)NWVR>-QiX~{R3K|(UiB1HW_cYXbFj=gloL`FYx?F;sP|B`hVm0&H@`R5-B5o z2ASRVpnf?g>2%`-hqBh*;E{$?8fAs;j(vH{XxtpXN;&5T{FB)j(<Ba|znADi)KZ}x zG+pi;!QTq>2iSSpJJ@w>J9a$Bydr_<!EAJ!?H>%=q}qq)4ifG;o*A?Yw0DoLhFsI! zlPacYFYO<84AQs(*nfUirMFNDW$mwEYHZEE+9(13M`7L|WtU9p*l3gRJH{TbelN`L zpcNX3d(>zgdxO)eVE^xh`48Z`cLzU~b8Zh_I`(Kd4afEU3}g<Q9eU+-q{F{d=#R2} z!lzPB*Z#Ps4WH;O1Onkz=Yo29Y_qmdZbZVIJpDzkIwm<~x__l~BKKQNa@zEAd0jgO zOL3BD7zuOw^l|PkNi7KxE^Z6ZIM=;RB)=gfZf@cQFH_8$OCUcGEkB~I54nNj;YOZY zY2K~xQx+|OyZDsP-Y3D9<Ide*k(=L1TQ{banpQK0oO_gWvgdhg$XJwU?e`4@NBOv6 z^BW3Jxvw>GIDh4J4ByBX35=2~(IFL>x3$k-5gM>jnd~4sUHwI&E~g4J?zs?4XoroW zUR$}fowIQt=V6}M*~LQ=3vDKH=VSBxs!|Mwis$kqi~LWvdd_d<C7%+HXBUGv3{(r` z2y_kv4HOET1#)I=E=`g}LB{x;*$MhrG>4@9c5u_MA%Ez76nsB;4Y(cn6*x3_D7e6R zJ_ulxpf44H0RnyqxT-)u0Y552RbZrGD3zW80$~WcYEM6b5Gs9DVAOA+C_z-!Utl3h zusua9F%1#BY7(FFcVL059z@2${*It;=lx<4rB{CdPFPsU*&y!+hz}X?D1oYe0<UQQ zpl2y`zkk@(X<%t+|KMLBLt4c^K#5Q(q%_+<h@q-VNcqXYFs!sRDvr6T%6~H|j_|m9 zf~5|m?%<Ho8^#}^`?seT-H6|wUmir;J>PdnmUhlN_?WZ)gc}EG;7}%b;y?xgY5{Bk zE&(8cN-cB>HD2UJp>Y=PI)s|AOmkp!LK95BC4cb6Z{sZfb@Vl1S?18@`X-nkm(YI? zshXtt{S)dg%rE%@2s3;>*i7@kVPhh*6Bgt64_MK`!Q71N{0AyPO!FUoxPCyl9smO? zv-2lV`hbF&Sb2hW!xAvD@%s?|487Lzb#NH}X$%aFk3OGFtYBcuvpSiX8vH@$#@8{_ z0e@i1r<iXxWS>8+pxmBf$~`VYuM~fOJ}>j9=LgjFQt@K*y4&ENM*m@RL!?<BQa}dk zZi05884w8|Dt$|RQ+-_>JMA5#T3SUGHC@c1R^p}(V<t)OA~O7STyG^>vznY~!OGvB ze&m*T4pJI4Zx5U2##_Ig)^a`8t)Y3WdVl!q-3~0k5t|{;>m<?*qt~H3px^xV?)t4x z{}cF<6eQ-7)VxDi9a3G|*AriJ($61smnuJht8=83eD`shf0}RlBNHzZKa<;y{ex`w zDUt{{^9h*S<=DXF%hpzAR^_8*73dl^=!yCZYJDP*q_c-JR1tM)ol0$Q5w(^%gnya1 zf_iep6F^<ArRLxoib4hGN(tYsnM^Cjo1H1)yZ)Pn{lZ+V71pGjb2?M#{KFP2=N$gc z9%`A7!UZeeQt$G{$UaV~i<s-y_7+o1nV*}9%cy5B60|mb>eON`Rt`H=%yF41{LLOJ z<~aY&g0+YhD~sMz9B2{Gl;k?cDSuQ%A1cbV{s>-E6#WR=b(EAk$Jtj@ohmA|4i+n` zUQ?848P5C&Dpph-{s@A#m?>7Mt&}L;7Av;?urnn}*FW2n*5rD&8C$8_)G4k#g)#Ow zdz~u(H(ONVG^u=<Td^yuITF*9Olr?8eQ1_GJ;V8ykb6s%?+%ot%PSw}R)5TkYFEZI z>5<y^N*xBLO{07$qI8gZOO(%xYF5h2a21pfifYHjv{8`SD@q*}r%o%f7nRt^DevUI zB{bt=nkWhioy(QDl#kL&?8jz`_snK&YY!XMt~JVj3zwvqD2~k}@2RX*e{D0?bsOtC zO!u4Nf+}%ARl21rJyrdYXn!)+wIAz(nz21>^SyS1IC?}>x+N|>6<1kF_}WCPYp>n) zmY8vaD0xI=Dc+lI1v_$qo4v&;J>^naarxSGt7CuGdARB`(+XDN0;hC~Bk^|7Gsw{+ z)a)%<=_#$siuTv0b{%_<&che)nP-raM<}IRwBfgdxPXsbz-MpaOMg%CRaQQJZ3@t_ zhwD7V@t)xVE^z@@`ZLiMpyT$-#P4Tx<7^te2=bOqX|1^#8$EV+KPMPlG*_T!xSOlC zKl;H4S(>(Ipwyed<T8Jq)u{z7VE$5uZvrFxsc>g*u`#XMJ;Ex71wD@xh7{!Yk6e~1 zzsP(qT%Z3abRIduX@9^3msG)=ikynFOwk~3Rk6<3nd2=ESBs0n%O3mxex^QL)F%EU zr$BPR{-=MQSNbK88Ln~{|3$4^v{SXS%=-h#EhG*yC&iboEX++^kSpqy6I=A}+>Kud zbUhw5z60%oh2hfkP&}<@Hm9zw4I{bw+zV|X?Z$jF9ySiMbbo}S=?v)t{QVwLj^ENe zh^OPD+eN33uHL9#mmlzYfuihuN2_jtH@>aoNWq6^fC%Vx`vE@W`HZ(_Z2zhqal)<O zxW?@jOZxQ#f=9%X2Z#I1mS{amyM3h2AhbM2u1F@LmpHIGK?7oE0(h1{ATdAVN}fp) zcQUBZlmrJIL4R^KS46%LouNNDj2w|nPzSL$HG)Ray11_lK@Vb9gwZP{O^mjcY0mxa zowC-2i7BwW(N(9+dt$&ia1DZa#LhVIHUV&Aekz0qlk4_E#uw%^*_s~O)9r~#@ciL@ zpDYG3U<!l=fv?2glnAat@M69y1a=b)F_-BmjClz1Wq)bIv0vS=Mn1lEn>dLl_={d) z_qf1v*wf6?0`pDAa*7Znl61v<kRcQZtb%mJft3mF5b<NdD+Ts**i&ygLwD^cZvL-1 z?OJI;(<M(8aRizK-*6^lbjH5VXA+6xB?e3YuM@B$_NGPP4}ur>Z6c0L4BleG2zZ+b zT^?WXKYu22HIXQlR~zd8uS2ai+i;*H5A;Sxz%dR(2a|`(8_7g<3i?wsXhdy<gvuE7 z5adJ*+o>@M2?-e{zDJhFDj3cr@CkudH)tT}Mth&tA1Ek<_8wI}HOtfhmf>H!kZ^Dc zHZ)N1PvWERwH}0~U})(7D(Fwi;2MPx9I9e)6@N9b`+<@$=YMp-vc~@YeVne5jD&S6 zgHJFt4a4WCjbLbP1789{)c2YOrKx96OblS}jX-;m`^Xs!`_~D&QQw#Me-{)YW6+D5 z5GF}E^9~Iiq+=w@XOl|e69h$jU)OIX=ugYQAB7MS%FVFgiuQlJ#AdbsQ3a%=Imh<a z5P$d1gyOY<vyV|cU7ztx;6=a<fYpFGfSrLsfrWrsxZ#xuPJBy=`UR8_tD+<0-mC#J zJ|%>zXulXy^)n4FpMj^UC%dPUCy1w@XI|fKyPNxyrjJJIRl5=c2n-;E7j(z-S*XZ3 zUL<_KbXA7-h(~%{bra?@-Til<GQ=Emqknf}?{EO#HXt0JbP!IcFR<US)}1;oJ<;CL zzS9D30sq3he&U{U{S9552|&_?5zj0VEZc~8`i5td180_OT!B^;RTOnUop?wrK8}i1 zRqE>v&k#i>c}YCgd!%^!4L<`>kIE}F@qc3OWltZ3$q-}!GMd<JZ1R@MZES0eN`Gqn z4lPEwKbMr$?J!q5?W!n-+kUbDHk9n=rqsi<Xa>iD{O`wnFPc6z9Cb;$)Y@%HU_Uc- zZ3&G?I6tSVammtjW)f)!>xg!Tn>^!t;Ck~1w|LJuz7FhKvF;I*XR;4mV(r2Qw`9*a zd22bGG}(V@xQuKI$<Q@BR2sUgK!5g*2~vuh&E6dp%ROC52UG``4m1%Y5hxK%<(&$! z%41^~Rt!@hz|^9o+-h1Byv}bcFV98lkFcUCi)DPUqMB6pmD9a)XyTeD^?iFXk&y~( z?xs(V@*=SNxpJg&9N&eCrbY9lDD35mV#Ey3w47JP$6QP!j3=6rG2Ri?f`1ipiJV-x z$(lvTxx_B!gbK!122QHWE+YBJV1N*~o7mRd17()H*xl)qt=x*dc6UZ(+pS$a8O%jJ zKm^JPFBagDU>CuyMQ|XF`R0UA?{x`d2ld5WhQo4KrMkymeAgdZ+RZ&L=pGvkZ<5VO zz;2Sooy4-w;Vxvi%i+dmJAV{%<EOK?T^B7fe>gtpiDffF9pEI7U<vrh$j0fTZ)~*; z9iGt%!N_I}TCi#xTTn!T@S%ggTEys^i7gwGPd6i*18CpK`rZ3fIv*rstHaiu+P+c; z{Kr0mfnoh5cA!y$2`t1h`~`L*)`0E3$Sy3o)Q^Wb1zf?*L96)DNq>C|wxFqk+3ZB= zAFFIdEMN!5@cr0x*#f2o&&IdZ+^)ok7$XdKq1i$NY(+Q&4E7?Iv7$3RLfVR0!xlxP z--yf+IR}Us;S3GGw?Yltjr;;TFpA&EPQ(*{yc@ZRC5Ov(QduE-1sgTY8K1<sPK7vv ze~uNM_R+>x#2uD=7=OQ)J(t^gF-JFMADbY_iHgy<LzO7`<0d=Nhk)(f$R{kh#E-$Y zBAQpQT->w56#@*GDn_H8)gnv*J+>kaumdCb_w2cJ0myri5BsJOiIUllfd;#k!>Itq zQ6{iKdy&Uj(J3D<Y(;co$w%?8C#G;drU;zD|L?*Sv;6PE2!EWFt?2u%T#w22hEg(> ze{axRqTNM|z;#H|^jJWa8Y`|JbDTR=7rGvmVN{)wC}AqQs4**0WG#CzWtJ$hRx|ei zv{guBnp7Y(ERzponi2Uc*s$XZFqT|g2x+9v9OP_ck~5~ea#2ZZn;yM+&Rw<9Zq|H^ zpLl^xVRF`BpMO)Hyjow3xh!EFE&WNOc;GWT3;D2lBmeS5TiT2{wq=a^L0gg@ROKOT zI%4}W1X2o-6>^QtRqihLyXr=DS3Tq!g=@k0w60soHOi;V?>=1-kZ-FHwT(HT*N^Al zb22fBk14bvnq|8zgmj-|I049Y-c}v+dh>jV1Pc5J)qkIczpd_o7+eBY!x-sj(CM-` zpy+!r-r%VZe+xGZSeRcsreD%`=s5OVV2cmcA7G2;4Bi2|w~b<7*dSxW0tv`jw?f7W z_m+=c|GC*MYsCf$E8O|pF`vWw`jY-Dgd4Ul9N~G!8c>%H>+`^VVfsi`p8>-qJ1QaA zSOEG!BY)7XKNEyY_EZM3u^{x3Y|aZ{r36N_{a2UFo8ZD&8-avaK%xA;`(%1k6)UUH z+t2*2xVLSc|6E<dugSDo%B*Ixn&8kU3qw=tV}g)^%so^>p3+IlcB0_crU(a8KB!KN z1-mO<RcB|kdV_%|ADd?oJ;SdDV-+OsAXlf(Mt|tV%MaTGt4h%iksFbBI}<l)1(g@P z!WEvO?W_Fa*HZL)dOv<Gr70PKC2YfWzaC#pASjk?SBW}b3(&o;B(%LZ;MsaKb=nJ+ z5y+<>|5*;0OfDHmPVLoM*+W8FdDlg8fe)u9Bq&rl>71a7fsvrZsrJFSx$W8hdGq1M z*?+Ctsn)$2XLc-GtE`Rxg0e6@krTm*<ifj|IAQ=O>H`59fil6Z08U`)cq9@FrT)1{ z7c1`Un1_mX`xoo#1&fTvlIx?|Qw-UU^Q=#ojE&9@pBtQ;uyL<0Pd&84#yoB|9!4HV zW*&0aNg#JLHXd8OC6JfL&Gr?#C7Em9`+vnK>2p9AlnF7zu9+!pfy=|Jg%j3c%&^Io z$c7QtzZsI$m#vYB#xo^2Sg|pw(DIkB&yP0_ms=0LMvo6OOV>k3C%g9#0ooR<OH1_7 z{@2oHYoA#?7Bno^);`ZlT^#ZeI@vrQ9bI3K(BRy(4$a(o@RZF>*6bJUbe8Yz(tm6_ z*d9&j20ro#M+>2T3%X93v$V9FwPc}%g(+G4Jm=x^dXaZr>u|E?(R^rG^fG&RX07Me zxWag8@qE#@cd9ynd%xcOu=2Q6Id-B+8)x7;np3n*H?PDNzRzbqdl*tY_Hdpv*p2jI zOS{>0PdOs}^=_*3{p<DV^U~7DNPoubRo~1W>4x^}%gw!S8A8nisLsvh)dnzYum)xH z%vX4fF*vyAwTzd$(}Z&b*>3?%*-%@Q%;#~3DnXK^Jw9t@8|-1{B3pjl5F=LnTv%(+ zecIR`ZO6Wm2b$$wZrpEur^(R()s}BV(lp7j{Crg#wm4rH5V(eebF)Xk?SDYo_ci3s z3<H>nwF_l7)}PdTS2&TbKzKL5GJ}?=GcMUzHhT+L6OMvCY-r_rsXm2u$(q^a!R*rE z$>s6tbE+;@Ar{#=nolasjszKf*0k>hi2_|#Hbk66;b;CZ%;F)S!EFP2kl6VL29W8y z3@_+PPYEt3C^8k^2|zJanSVOZLDQjJ$D{e~m8P35>8<k9o0SoV*K%wcw^QBvbEC_f znG?9avVp^;DYdGYm-`0?PCcyVr*NjmMi$m75=L{5sa@m6E(!HiQ*lOfr+jlen4Hhw z?2@u1cC8p=Pp}6430h1+cbw$cC8Jo0S7V)~@E>j^Ul~s=9Ka%tQh!RD8E4)<unCS5 z!v?+;TPe4!zdn0BSdUVyjI2I;VtX*%A$M|-khi4P7>#UZDle*rqb<r;2oY1%jHodx zbhi8yyXC=k()r+?)Wr7Xy&#&!x7vkJN-pg;eQNbeFao08A0~<^t4$o0C>KQtMXb3X zYT@@?D7)h9UyvE~MSnyRlO(g`2SK7pmKpFJBtc#fqs^q!3?k3$nN1+ioL6!NFa$_) zzCe}v>X{7^OO^Q<AdK&W24s^R#6^*+x6p*2cqEg!Oia1`h|T7>%_hlEP&GBFq>xoC z-VGti<QNU<C7$s|b|f(gGUlun2o#Az6MkYOiWC_Gc2!>0CVxbE-k>sCdEUw$gMW+@ z*Yw4{kOMk_yO2US?8%*eAoqg|MpKK_#v+Gbvz?~RNYnPAy{XA;9)KdQFE}=09dS?{ z0UH-9%vT~=8qGVs>hFT+qyWvz#%OI$k=0qL5X2<0$ug_HkR%FBS<w)2l4Moisehhp zSG{M|->wZvGJihchSL%5z0Kp*aid#*@r18gs$HP{yq$#7)fHF&((i?s_Rn)uegGT< zWVqg@&eQ=Agd$VrT>#{-xSG_UvDyC?wX|0G_;nRq_SC`~HY|50s)&fSa5?h==eoCb z+X^`mQiD43IY|$>g+27Hyh3R|Qs#S<Mf_Mb#avYG%zqxz5vfNw&SSwP0<JkXrht8< z^)-aGiW_@tK072x|I=Z$OOiTQae7sI(w+Td?z5%<l``J#HoLpW2*QwGKP~lI<8IqJ z8Q*26{_DUoAP<}kODtnnkFOlkV2VtqZ!n1hLsk`pAnF}RY#!ww|3b*>>u55lkwv7I z03c9gYJa=~fP<*;MQ$VBG;Y@{bBV$b7)6^>E5{{{INP7ejQN8g@<?J?v%DctBnu7r z4UvLdoY7?B%X^Sz^p1v*WsYZ>|55Y)5=T@8%kQ+$!Nw+S`RK%C->}-A;m^)I{f1;m z!EIR*=XK0{oG_{8btXW?Sybve2jhtAKChyxe}4|DWPL+2Is($*Y{E~29?A@5?6zyD zHHKu^9i%rlnsbVcBNBe8MK`(~{<q6>ti`j+jyT^FQC&OVQ_p1=Bo@Tra6p~66EGN6 zrpmJl#P<*ZuzsR?tkG_6*_Dl6Y~9S8)=rS8{ZqOyJK^wxj-F2u%&z%Y9UnnVss)_| zP=EdAU68~g#>(D!beHO0)D>ph4hb@K?VqljLVE9&-a>K5{*gZT9AIiPSck)*V_2tu zDdchF&xQOP(DIK$j>9f=VqYBF(yZXKu6c(cq8r{F8c*M2UvLh-*Bu2#!jv$lv-APM zq*~M&03BjMU&4QTNohgUbv^Sb)OGu?PJaMK{0k31-%cyBFQ|%}PS9xE$Z(fJF3u-I zE=KC5KMY){SB$$Jp^UTkPicoh^+{LT>9WzTw!SW<jCizp+}qe*7NAu)P>y_chR$2V z61p0y98x%SZ@$2__!iJ=F*mUB<X<@LD3aIq(fJPe<Pxr#{%r-6IHEs&aL+Z0ZGUjq z_grAytPSwI`Tc{GMZH|boP|gG%@vRzV^^X>Z_t$6Bt60G(MkjZ4yfIon^^tPy6jBn z3(l^G1~TUnwj_^*Q0LL>Qj67*oYESt8E10nD9q!AWWcefgr|hpFp%oIDqw^6{exOJ zFz8nsn`R$G@P1!x{I&$KiT23V-+#*1C$uUB?4$Sx2>K|jOA-GHcf`KKY<(1V7N9<( z^?7Kl6fb>7tIwd}BOR6R*;oksj5g;buu_8b8LdB)gpc%8Cb8Y%y><(RQ&%~Ui)>FC zRySBjfp$IClGl8S;rI&8r%Q3Nn46~-G<`DC?mB>*@q#Y4r016IN-mdNA%8mPuK4Oo zpjswHWYWq(TEju8`>y1Y&-$W4n!Y-qH;F4VNwZ^^M#l(^t{N(F0c7|X(8vLRvDq_8 zb9|V_zzB_@8Y*eQZ}^zs$N`_RIWkEzG>c2BvrlHxzpg@GUiLGAt_*yNT3^1q_x!La zIg2e|<v{=o3ue;0B)}xFs(*I|5d931ajnOgbS79SGUWoNL7ebT1Q!z9J4CM{eGh_t z&f6+rSVx}v*jA-L;r1PsuxzsceH`m50a!<Y`q<W`gyHr*mEml&2z?xzD#r6t24(d~ zIoq2j0;pfp(EV<gAmHkdaLh>~tz6|UyDsD`NuLS=Kh>kp!S>dAHh-YP&jD_#@oGQ? zegd$j#w!Qusm*LaMV#Z`R1?vF3i^a^O-)qp*Hf#q>1?w3ps!Epmp6~j%=`HZJSI+$ zKUn1k-(M^;W_pi5Y2}9K=P!tVTGwFF41<YEZ!AnteITpP@4{g^DgoG7-t~d5&%eXM zjMN9R{)`t6(^Kii#(x6e2f8`Wi-lPl@X;^eW9wt9t78J{bCs$Q=+~=I_11vv$?Cpz zo+G3l9gX2ap;*~#gdQDWdUQT$A$XskZLbayJ-R5g5TZ|1&!2Vz!{;jry;uSLJ}-3k z=fMU_bG>mz`h9LeXc`g^La`UfeuQ6Z5CL-F7sA=WJ(%y^wto~D&;}qU_@(&B3GjcR z?3|}6P4myu?GuqtVPyB1V=?WGTxCI?tVqcD07M=~47q{lD~Gxlj<Ku8=3A8t?zbWI z<qzt`w^l-D%g|b*jc#yzQ`L?hrxFh~i?=VzpVg9-7ywijUKyk)k?^L>xbBE5!g_~o ze3J|#TjhVL*nd{}=wIl=AgznJuUtEe&_HiRSY+oXePpFGh*)d?uI&z&u9-84+E!A7 zz;&+57^Pc&2ObWG2DA%w=G0cD!Ncn6tdrq%bl+&zovSlsZ0eR~TAP-1`km1m{?M-m zbYcax>~O2bWOKW2kI|PiLp$<VP2GB?c$t%)-q2OQRDU*fRrIf<#?X(?LYrXnr4jhb z2I6`MM34%ZB$8p4!;otA!AADZMph=j1kP=rB7s)NCf)%j-VDb*xI{y@G8##SSeWmd z`Y$E>O<nX?qJDoiu3+Px_2XpHRAn1PHcVh9>L@y|$?DlWV~AN(_6}2aPt$|LLw2zj zKHYbHn16H2RZqz5onKW#Aq&y-AoKIRi;8s2C=!!@vcdWJ(M3fDW)w-uV*j;Z_q~^9 zuDv|`U1kJ=Oi__^PIOVOTv4SE!`59q{*wo4{xsb8z_V4;<%UISL)^WJh3Dfh&)J}f zbea4Gzf>WALGCZ)7^__uAI5i;8KuF!N04k=nSX9e7Mw)~Lbu1ywHM7?xudHGWo|*J z;=P?iI;Q8elygz%O;PwuWm6PJ|3dq+?i<_ZBirZx134xa`wK!=+7NCkhAfy-o+kui z4g9*nI^TNb;R5K7$sdZ}_i@WY_NoPe@NAPgs8Fda9~)Mm+h>w_)W&$v0n9^%25d&S zVt-R<1%Ka{^Y!+w`K7YGYodRlE7_N`1U853`>U}bZQw$+ZP_M9pATnSO>P^G!Ng2! z<lzL}z}`pXE!yavUEDa@JT`#TO46Lg>0iE+$AM+YIsI~XMIJ}`w!8Sn4tocUL@Bw% zx6|sKg55iXSeW7W5;?BtOoJy(gYo9%oPUtc3U^_dnS`f=1yMBV2gqp$oDH#?oq5P` zG<jdF$a{Un$#FEq&e!FF!4l*+n_@Qy@{pz9Qa0qhG2-OuZ>ep$VA2G+@Qa~6UVhtm z*tHCIp2L`C@xg<T!3;4VsYTzM+&4-w`<}m|xNSqL43AR|j)Teg!(~F_^1kQxWPfmd zXL$E+@H;^41`t^{n1VlCE;O#ld+taES3JWz-ofu)Zwd15fHnqKZ08cNFkk_K`BAWT z=ce(wn}WHcrIe%krM;9nTo%@?q!L8WH4-c3lC#&x3J+CFR-X2?mR2{nXQNh4OUnvN z)&LJGN00QBnMgsHv?;5QR*?*UDu1*6>~AlaaTVGcJlZ_3y3ZE3(#S{8cka!PhT&0@ z1<kaAj?>(0;rQPlR=&*UQ1G-ZwWSwxw%yyi-C>7b8n5+s?Cyr%Fyc?QkQ#-?r)c*T zr1M<p9`yy3ZQ<0pCk8)NPAxrOuF$<-O&iD0!pkDa67KT!MSws;G$Nwf3x8dK@FGAZ z_~VxxKLdpVnMi@?N(4QBn7t$aKkv^7{fLo<ctET10R5iWfXDDU0q;Hl&*OK%0yuzw z{pg7ec`Tz70QdoUKC1&3#DVPVN3Y*QU=Ck?fjgU*V$MOe+IJFA9W}qf^Wj}Sh>qGH z@S-80qXwM%53=38Oc4@Me}4cJC&AMcA~F8I7+3kjzf1NqeV-Wr7lgt6*zuOVn}VeK zJ2L5krcMR5J?72%DzsY~7CzS-t2Y!aj|}+r!{O>hT-4Va!*Tz3a#G8z?U?hHfg(T_ zp&nOT|J3OYbkUr5IryS;)11dRR25HS+E|X0r!C%8QzJgKX3E7!8h`FK#JWB$%PP|| zU0OlcuFY&5)ZE9k7u3{Q391V-h{5>@ycEC6zXyZi6IqOZ#geTs$U00YLLa*IU(v`D z+yQC`lg{iLuOTe*pO3FN5r1175t$g^+2~ckyZFsh_GsbXKvpUTw(=jN=v5%P#LbuV zXc6B~R4NCxiXAKd9)CItYEAzI+NMJU?LQ|MgYkdFV*>sSv$R?G@ECx<!^;j60w!u4 z{yzu{_|tEn2aQL9!~BG>nBP4wP7DN0(s<-w5SH;{C(sIy0hyKcH_$bM!^ofK9L_t? z*olyd6pHLe(c$T)9<Sjjk~RqKM}4m`eyq;}T)e)F)mT=PSAWV#dp$S5uH5|+J?~M; zu2I%TC}~kXqB$Wv$^>C4x4Qk`&r0M0B*Uxsja2LysW?Wnw|)UDR6~EkBwbmykS<l^ zA5Fh%vQ)thDoEqE(4gw{dsKUW!5u0JV_EjMrow2p4QgC<VX7ckCHTvXPdAUDC#Dcd zx&-F8unz2#wSP@i)~TM1K6T$ZGV}8q;_-M9bwu-lnmnc~$Iq&8A5P5_GD|^6&DKjn z2hB2nfka(qIVD14W*a3!!yoP+82y?oRXR(ZbdkosmUNj$zV_z+0Vn@6epTw!nJ!i8 z*ctv`;4J~9Uke>O!yuzH)S>cQBXov=?jH@VIvpi!!+#lTC2Zpv*&ps7Sb;9#S49~u zwo>w|qKH+@DupyuB>NE>ES6C^lZLPlk=u=BEJ$U~8zwiDBDWPwk{?Wc3o#VLzJ(^- z+&3Pdx^D0NPA{QW_RyNfXzenz_qp50-Q2StpZIU@d*yfcwc5V7x^B4K^WR?ho$f%b z452k8(0|&|YQH7MTV1nU?h(ms?b@~O+*`jtJ7+y!^WPr%oz6k6RG>9!)4nD4-CM_> zor7W<1(L*58+($(e{+8ayR#Ab)3DrKQr<YDc$RTI{}`2Dx+)}BHS$~HYFKVRDbHXK ziz@-yore5LLvFt@cidJqYcHCAjMy(-9P%yUOn*aur6G4ZMy$Ps>_X`%KqKJ(<XDWG zv%PXindni>=vGjpkc0cxUFQMeNI2gkF(!;{tIyyj(fb-|f(J+2?0Kpvf5K5^jo!`6 z2-{IDY+m2GV>7TfabRoOyhpgp{ln;TLGx=}&fVS$^Q3XJhuPiCZtt_Oqzj6poVA3Y z6n{mR!c}gzNU!9#&7{F+6+rn$TyX=6TYb?o$h)p1D^-VP_xs(4nZPBB(X($-JK_C) z{c*0UAZ_iFN;T~lO%<SLwANB>9cI0gf!MPSD(YYBbj*7fgKN2FZ&W_$oOsp}&W5Xe z&^__3Wt<&VY1TP;9IL>|2$3EPt8uF8c7HcsoIitod_G1hyYynCF_%Cnq?AFs&6k<` zs0n8eGz}wid;GCPXmKC45K6OnJdcA{v%iAh9p$1VQrqu|Vp|!%9r9lBM`(;2`BQF~ zg?AIgA%8phRSJK*={4~-pPE~$6G>?XMkXoXm4IhD_&5@PGr>ANuQc#}&^s=Kzkh^( z3wm+_P={ER3$o1-q<%setp(@8$_gO7vQjWm6^Q5KA=<C@wa*$uyi)b%79Tf;c%b6T zAU1f9#plmGG;aL<f$C$1;eIu=TYT0SLu%an(LrcqL;q@q?)j1N?)T1YAK~_VIisRT z878Sjs)ya!@&($~vR~ZKODo$?Z+{<qFTW)%E+=hCn4d0NE2wk0q!x{&@r+LIj^Y`c z+3rQ=B&SB4SXH$;|MAU&)PW4`Ljo-GTnQ@<j7!srLJT+aiTtITS(Y^QO;H|q?Q((A z>GZNd8C}I_Nuw6m+?;tq@>P*(M)H|Fl$%+lH1&1S4tK519%xcw*s1CALw^OAbGiD2 z{mpU-X`1t5(cXt^{sOJzY4J4YM)|XC-LymY-3<_~xw3r@m(!UTDXx?0%QWXKd0L0V zJgL^@qWasfEVNdn{oUCAtDB93p=I*R@80Q0N_J^nw}+wy=?;hYQmx}fXdkZS3banA zKR7iwr?~vjp&T!)u36xQyMLkneI&~>-L<w6!V9Z_|6?T5|9hmd|2fjzoDR*k5(Ch# zH0raDjvJMwIj(Eu#~cs+q*~{T5;(4H3RX7=LF~|sGE!b(*8%{{E%GIe7Li{A6I1RH z=zBM(;2>S0&ITh%yd=YOu!JGrHuAU4Df|Kpg^j{WVY{$jHYf*C*ncp55KEEG>_J^$ zdYn21bo<NnaMqV;HXP;|+6XSb+t10US=3_coaPXvc@MSar2FX-Q*vbfP1z4|6{P#C z5>uOG{ufHm9P>za5&5QBFS3L7*Cl$=<E_d0#4^y=($}91q{q2Z@`+_7ve7ZX#I|@% zvB>W3+Yg&0VIZchy?;1pWcol38+ftN?&b@(0PQt0!=Zi-D?bY@yaExo=x+Y<+dLKq z<}Zu2X=@Q!eb#+zh+oW~QOOsDyz!hu^i7+KJx1i=m*{mm98ja41>i%rR%8kIvEx3- zRb9*(8{LYWI(U3qTmyX43ArqLQBb^9m>WGAJ?3s&YJV7dIe*-o>D^-DTHsk5a&KQ* zJFZU|lcF;A7e0e_YF6<!^^%}V(qYhh+sqiuB$?Dl#p+T~Qpic<CGwLcn3Dz!h8fLB zWJVa({;3&*>;ZuoQ4;-AUo%t@W~kg`5V;Wh&0<3~o*AA;3y=Hjm(9b&n}^fW^9`!~ zVCvS&=SzL3i+}sAlbEryoBFxe=BG7f+y1^a&6Y22&j#<W93<E<8JYE8cIbpgzxh&Q z<?i|Lvx+o_dUx>ck%Rd8B&QN!O>f6G@l}qIck@X~fxw#C6kEx}$Tx4=+;*>&99iBd z&pD2@H!biqH#D~`dptjv95pLndY-%-?i>}+mU~=3EPqrVExoop96HlZ?IMw_p3rKy zwK>)Lvd8b`rbL|GthKpYJ3c=im8cFqx=oJk`2>Qk!_A>NR#+PM8D8>H4of9Wx;1*- zjN}c|GF%2*aGTVO2%~v8wY9s=)znyUJG);VI=t#h?d-T|UREoERBQLR-vA7AM^=xW z9A6&vTz@?Guw@ioCrP>GyJS2K0@pAAl!bc6A=sc+rU`PU30{>-Bw}P#e?M0p@$HUh zugJ-Rv&2ai>2rdN-y+~SPQz*1m(EI9AgUAfB430(lk8*(GS|B;uiF!m9*gQSS4{xf zlu|dB#%w0c9g&y1L{_G*b^C<ckjtN<z$Qu25Pxm9G(eTgIt89=>N<Jq-I0;w&^!vb zmsyslYEp&BU2@X{Rt4pELj+RQ8DM^-(;ReJd{$3UJ3Ob3#>zTJdm5i`@>brqB){gd z1bN%#q=-vbO+05d<Ddd>Bq(kP*Tjdf``yuSL)@izUk|(UNz)fE(#+3i;@NWU%|5+k z7=H`)2;S=nqQz+_ILP)2DxxtfTV)oFplOK1rNDjgv#lH{3sLo&3S+ZYwLCgfu+r-c z2!fNxMRilT&|L^X!BuigoS6knq)fas6HlFRtu?H>2H4GZt;`M)qoHUn+{`WxsakxX zsJ$niiYa(|7oZ=m-VAhV#jTL<3<AL=;eVEJQ@PR|2r$G|a#gw3RpP<XDc9L7p|%#f zoQYqE080$H=nbZ!Xe>O=4h|`%89eMOQMlw@y_kS^*=ao~Z>dkY9c!&p-YjZHl>Q=! zJVssW_+n4NR=M8Y6Ho*f!cBGm^|(72u7rE|@Cj%bMZ&d~c+{kAk>SJZJ6qW{m469A zVzf9-1#8(ELDe*mxXtpOPqxHb3-LJ3@i^xSAf#_kxCj3->B+xL`syE(R(qhB(QrU3 z?@h?>MXT%mG`EQ&&D9~AYueiTFLMvU{dw-2|Cqbkc?t5|r0vwC?WKeC?%L^s*xU}t zn0v^c>|FB`2TH2SciqkI=>S38cz;)w8{OUjMO-8Mdw^X?lD0)eV<v6$Bu#hEMl$Wv z{p{$FL7L)*!o%!Rnsza3O>Sn*bob`gW=A6>WTG(J@G}nQ+^qE+?+`?^JQ|9o!tLy; z5DJ>&ra~vQ?;txI1Dh0N=e;&4(^c*Fz3_0`!N^=P7rYBVC~yi~;~F*UsDF#DR_-p% z7TirwyG#1r&ldqHL(e12=|!hFDW^E>8kia>JOG0ir0;fQF(SG)vO2qW(SY0T2Nq;6 z={h$K=nONwAQf3M_^*AMpQltI`oR48K_dkD=yzUJi?%u#9Rmv7Ufd#2JFl-#GTNU< zhR!T+9xZ7tXxkdo{q|lR-G5MS@+@9V**0UUHR4GV^d2L%-zC43^g{KD_f+y!@?ycR z8RMM{0CAZog|K73GT9#(56M#gn6Y~J%E4A?i`3ZG`lXVyy!!t9p!2c)xx1qK<@UAm zb?fE8M$z7Zvt7o`)tPF?YG=mOB=wJO<GqwHBzGd$NXt+&(Id%36n}_>h)9Txh){`? zh@^Dl$sGBrW8awoBm+_dQUg!}!K9@?)*R&SI!MBtCSxpn{kyXMr~W+P&*OhqYe^^A zkWb8I$imFb$p)f$`ta+$!zK9O5M{d|L>gsp`Ltc0rKa1WN;sT0ATzJxgOgyY1XE0q zWz7BENp&7r_ssxj(|_DJ8^Gd$Pn%_1kM5-?=?J~&+x*h{#$BNM^?7lCF34Ji`EWp- zkhf)BB7!+7E(-hgkGX`l=?NkboFrQNd^t(B>G2~PZxf^XLOG4LE3a^%E>t4>yg6rA z^#yS#FI1xXif-BPBaklGyxfpGaG-VIK!+V%WFEN4OyD3FeSd7`eRz+%uQ27uzaiJq z6KB#{u{>L#)To1;C?OyV$t1c%K`nN9*L@{}%n?uAb%kJ?101dOw59II0Q7)-BLD8# zf5unPyE6kZ05Igev%|2i5so(pZWH!~-E_}(;3wjBS^$stcS9Z8pf3#Hl|9=5pHS8- zs9v}^=gjJ}QGWyq@w&1im{;>hmw;O&JC?En+?+X|US38@cZO2pLz1;%WKaqz6bKcN z6c`ou65_@%n5hn<u~>%hpH1$SLZ2@jCU#rvUo}?Q7eBAI2Gra)ceiWPSU$D5iSJpV z*wGAot@0ox>zjtxvWJgYn}yrjY9wzhV$mAEZZGk4ZGUauKjzK=A_F0U1O@j5_W1UM z_IURM3os46WBT)IDtlK3XnxPQdoowIf+o$Dni{|h8;|o9^CK!1WjtX#QaockR6J$; zp5lE?RD?G8O{TIBKG;4`3812%nXj3*nZKErnV(rZB1cx7%Ct@ZH9*S0KfpsrF|T44 zMpklWa+X@AS{8ptMNfqEYT%;lcuVycn!;4*wKUkZ+U~P8SvD<#^k)<pnyk%*IWoWQ zTMF!kGs_Cva(9*0Ans@Wf~{NQe67JW<oZ^kRy*ev>pP@}_4YZN3e%I?1`sv2DZoGk z-q3e?!0?2g=zx0Qf%tg-KMYx57M{O1I^>%{;0p!U6M%mw^hQVM5y2Azq(=;l;td7X zGk_=bL4V)Vk0%654;d)S8w#k044>nPj?z<zCj>%I5h%+S3el6u`#TP}g&te2_RNW{ z{wslS>Ks7xLuP<2;LxdRQ_*+yf4-WJywCvjz&-Fk49H8kHXHOe2=ss_)BU!T?@xB( z9!+^XE0cd27L7bBC(2Ce&2y`*H>b6Z6|Il+%@Kb>y7h7TPb@c&{R!IE$H_mj*!*@H z>q>dtDB)}yoMh``kt5IbX~F7q3d?QgW8%jBMOh-;BOFvF4zwn;CJYybGsD&A{oe7C zEa}?^pVKrgBB*$jA%r1_q4z@|LnuR*`Ij35Wzv6r?Z%kIcENUoPsV-iWotUM%O9?r zwV&_n+wU)|j0Mmm+MXVs+_Pv4KQz=GQ)_#X0!o5Ql1U0Ai!eo*W{(Tx1>M6*my7Hp zk=aFo<9?hs9rvu_HSp>IOag&~Qb0`{I!N%rd`T%M@#y_tS7zR53|V(258mkojDvt9 zixYpu8U2OmQe-1)knmgB@F(!sX-I9_%|L=Hg)jW31d{^UK@v!QBovY=D>POsG;B?2 z&#A}p?o}*oksX9xgoA`p!iW(DIOLpiPCbVXl;E#KEdO%4RD%U+xP`ID`B3~zapF2J zU<7ysS%d(NC}*_O|Hs~2hgH?BZQlZdG)R9+qk?pIx0G}v-QCTi8x)Z4mXI!KknZl5 z?(Y5o<JMd5z29%|=lh<2-s2dY>m0vvUDp^h)?%(XJtS>cVCiZ0WG?Qd9Ms;?i$Fqd zF)xC!S1&~HV%lgW^il>qhquBuY}Qw8ju}u*)^?boVexi-cYXH-7C$t-jOK?7lE!~7 ztel2yaAA1$57U!dOp`O;u08<b?KuOAI|u~p3)U1?1be(af#nYkFT?qBOv>DM>)Pu_ z0~k}l*^m>&V|-~p=)D-^P4*K)lr0lhDiaPgi8PZmuw{t_e|UQCCr@itnx68lX6<?o z@TU3kB1XT06p@Z8s8n9ARJO6IdKZ7{Z&Oz6_YWIy1wXgJhbiHi04etC;f2VaM9!N; z<}V{*%+mUgCSR&lwzguqh&=jyXu1db1i_Bij@KS<Uts=2*Gn&c;vo5H6VN%Ast@_7 z=DbfGh*^_qpX$Hp=S}zHMht(IW5QrQZDL_n1wkOQX`+kxz=<PU_LgfEx8Z-mJ%{Mb zNh%KK3wjMi1$d$s*Y%{y2zQq^2_hNdqn`kx-z#bnq?k-vbynQZ+y&1)$r0u>!C7F? z#Zi1ogXM9HIn~`dfL}`?e#(NF9ol{GtZzt9hXKy|RF7Nlx8wUv#Mn!X2V5Dip%vZ+ zoi>RSUIDj|Q^&0VI2`m{db)qKlvHij+{KwBWo!)0;{<Tp=d{0V<Gb{KHG6=xQ~*G# zv1|*U>OV;rmX;E}O}Aaar~6ORJEf&MZ_^p(KI;7^+b)}#E_<5py;CaOZe&0YZ}+0l zv+uX_gED3UvfdlMQou9l`6jI%rvh#fr-oY>uooyX)H9J>Ns-)r5tx5j$;hJdp$Cr~ zZ7H%l%<~67dTvYe(v|v|8l3i2NOz^{fc*K{1(BMS3vUFT9Kd_}tU=TREDZDo6dp<& zsknSn(HAArI=d&;fb;Y5;mI8~m$UQXLg6b^K;M^V)t3v^Sw}#?h`5Zv;E0@<Kw%I` zfsZ~wz+(X+AmTCsYrTKsw0TVU#+4d+cU+_H;`po{U~R4-)YiGTtefF|R(H`^b=7@+ zHeX)mbg;i&p<Pu4*yA|)95i!<y>)Hta=9;dv9(;Y&^u7ebh$U}jD7kBw(j-mb$h)t zeFBcp(h0O!P+4x@`(W8VS>N1X#ph+*`d$-|Qla*--X+n(u@!$-0<jhMX7Lq_aiFGU zT+BiQMYp+eU`8X{G`q|3rnoi5j>}63Um!nsUOo+84Q`Fs^OqJha?{h<0h4k*pDx;M zFFsoB6g%xqOX$HaU5fX@4W7+mo;R5@vJ4cb+Sy3=1TLfI!NKx(VpsO=Hqtyl6k0`E zL)r=(Bg&Gp$OwNEU6X{(#m!C1g@60Jl-tB>0J0Q0y%C}^hGcG0sg4bL#mB-qbH!)x zk}@6#TkNVh!?bs-U4oG%q&RhfDY~W$ZMFt&ny^r6e=UEi?u=@r!qU`H0dBwX+D8V4 z&B}qY<%wqXvxVko`7L&IE&Q4Q+7<#$0CkJ5CV;*LRTF<e)gq?}plcCpIbGAb^w?&g zE6m9ywJZ7B@6S(yjkUMx%TK_{JlBsF)MIB=p9+QT<lxR+@pcCyh@FiEE^_30{(L!G zPW8s&tp8$TlH&TJlVgiMsDQnW{c5NvCu)cue^T0LP%EZMlxLInUbLu3+hIT2xL0zI zLl{sM3#5NH>!f%0qIS*^Uv=V`kk>`!%jYHbyd=+$M1`AtHuA1WXNYd$65#+Y!n{sd zQjx~Tx;Q7+dYU!kI4GS7)8cKZkDD@>4c6G`K`56qb(<L2SvxKV+9K+cvt}-5^I%oX zJG;c?Y#*$Oy%>3Kt%Fsu7UiCt4c}Te4zx|U|B-)|wLxKAbUpCuuNRMj!TOQme`@{b zFrV{a{|}qWgcbTC1I&Ib*qMmOW%|+OyxL7m{q=i~D~u->46w(h&>oi!xOJ3m6wv!n z9+zNO_^{`~n1_*!s}$yaswuGhgIvzcZDLQ()^O{%ZUQ{6QJ-8<z@DpO9@a&HoqgnT z)(?MHMPG~@p@5k`|H!3ON9(&031)sZfSZ>QF)G!$k+pO*wC`YG&sJKQpr|aCo0lOw zD#cQ*cDXvv5UE}V_*!niv&9h^^pZjU%C@gwMED}M=9KbC-8}RRXNpy8Z2`%$9gTu% zK}NTFodsr{Wo<nm!l}QAVuF`!N!2m6vkQNeAj1`^$8LV{(6lZ2j8C_Eaj__r#Dzzc zfrDY2nTb)Es$+R4=p?|10Vi=5)8dqJDRkKz9uEu;5&_xosUL(Nnjfeivfm&VYa)J! zavv#ZAa5}etuWV}wBw3=zpjUACgjZKWFcP|kEiqzTi8C{VRu%j9Nr6kcYk^R$K`*Z z*jMUjg9w^vP3Wei9)z$Y^7OCyNeM`GNl{7VNP9bv#w)6)P|PpG)}GQno<w*P5QRcV z)?b1*7$PD}MK)X#6`(09-PQawmI_rji#X0z9xT*1;<<vi5t_etW&{waRul}7%y>V% zkuW8hhT>2O?_kIqVS2LW66rw=@<4wk2`W^6qZTDhY3&n~PBnynYP`;1GM+-{VQN>e zFoKgr0S<R>wYTp2PX|-d@na&i6pQqU(m%$aSekeF`ByM%&@9g<5m%M{eb%%dFg_WZ zf4AT#GUGMP)KR=8(CyS(o#LcCrO;~67eX(p<gmGT3drh9eDx&;5k!Qk$;N+6kOn11 z0xB4nd7G6m3(+ji#lxrHl6na8b_SF3e1>kOmhOCnLf!4{`Ds%R{4;(O3Kf}wVv4?C zI(}?~hT<oED%94v%&35u^qS;7O4>JYA%ii~58gc1q@%Q(h>xE&#cQ?pN;Dc<2qzUT zN@EmzJ-_&zv%xWYUAFrzFlc`}k5TGV0<p(bUqgocDfvtohiu~N*r(YR3#!(qmK9!@ z^;vI^4jwH?+aBaFP629Wl`nUlZ7r=Yw&yOcveJs<)1=rYzjE(F_LJb{tEoJ9^qNQh zY+Uc{9-k0rT<Zq9M=~!vl>4|0VOq$7WLdW8Es;rcJf>~Zx@h3}N_l^1YW;?*1L(=S zRpbyk%KYFO?mbAg7YE{PZQ4HWr^x0c>$2`|{Y>yHkS$5ZbCJsCMFUyHtqJ(AET`JJ z$Q^U+{+`+?PWYdumhq?uDA^GDsy;1CF~KhnUzH7cOH~#!Eo4rzy;u$+V{0+Q(Hf7r zSk&q-XV&Yh^4uQu0CInv1Sy~L&0>CbLsAg-1!UX&+WhMJYJGodDgi$Oe{Y})=5#ce zuKfBN4~J?RnACtiZRAc^tiregBl#YAa(R;s;a(d^C7Skso%e<A3D)mvByn57X5DxJ z83C!;sZaQW0uwN&G1*ug%&m0M<J7ZgVAl6~XdjJYT9L9?HCcZ&6sa?5R;X3P=h=M@ z_(B&-nm`_y7l@6?#_V8WWqG){+T0(nZ|426hc>g7W$=-bWnbZD-`!U_@1=K3?n^e6 z1LNdCqi>4rDj6%89n20_SKIr$`-@Xi`EAqd(IS`!ldyN*fS7f2wHEQ*z2!0Pzwl44 z6&1(-CutqJRnUL`!9K~3+k*U0_Q}?`3Dp1V>9Er`0<O0AFXt{zPNv~dEzmp1SEDH~ zBnpVdWir7sUuV9`M3<(KhLWChox??fm8XJw!djV96ZPV0$lV99_x)`Y8_CaYpl?^* z$*k&8D%GHr3%tn3xKWLgp+5Khz!ksY+vr7iGK)JLpj3arM?Urf)rbHUP>Ynoq)!W~ z_(<`wB5({)?wU0-P#`8>{rz2(q`Uhl2IAe~Wa85)K#g34m<1Xru;tc^X+PG&TmBi; zZz~Pl!i^IZ-0DHW{k3ax*Zo}xE!?<K39TNK7r%Bb?z+Fr<3;yJ%J4~0z*bzY<ludv zK$W<hsE>bFze9^(;i9{%5`6F-<Rk}g0|lzU#YN>^bO%?4PlkG7#pOxv+Xwoz5|<m5 zclA58_!Ta?`zpbIxP!dpt~-FI6}T@@@fO`5D+eb*Ay{#_lMD2LLR8{%q2jH6hZeuW zMR!l7;NUyRO)k&|3Q>Xk9QB!n8yD&`s|V%zuU&tOyYBCzwdfA59GnbAZN=qH-qr_- zR*B1ls<rwZTKozZ-QOt%f4GCM$=ljM(JF9Xq9$R|)c?zt{73hn+OJ`Mw!Z}=|3h>8 zdqb@0e%3(+vm6Cx`No4Oy&iK=El{7bl{QKfz3V&X`5oUzFGYD<+~H)*LD9HUG65q3 z(4~KWQNZIq@>l#z0r5b6!dBX_?{)ugk?%!zyK#Pie@Op^3IBem{#e8RNRbFG8}o8x z+WQA2u6NHebT~0y?{{)lU2j)a;ouxsRTWwaa~W_MJ|E)F@sfs;h2r6$znH$hIx=xF zKUgU&cVVn^8B^ZTvaMnnzXT8~&urx}a9n>-UhC#-^1C=QhKwh(;;bL2+p)jv^;tXX zOMp8#P`78t9NF8ev|t@rkYL1aUXWnM9^WIx3F_F>J6%+L!_OcWxsWu2iBV+Kp7Jq3 zR=#9DB^-t9ZOML$3`#CvtJX}P{mU*4^BI8y?`{nH8N!1Wo0$F1dgLG|R;-SLWOjcX z@0G?=KvV+U*4ZxQ!E8-@`stoPAio1E^P5GpmcHn#1q;?vt^NT%Lwgmal7STMfRWSi zXA3S(SJ$nvSHp?d7LI#jji1XM98VUununJeRJ6z7UA9KFN;3_c%VkSSH5Ar1C-ALv ziz^+L6?Jc46=nU^b9w%1r96}2S;~J^T1ps#VWsmEl$!D+c{YT(@0w%{v;ijFF2i$+ zMq@y#vZ``az5|~Y%H#!7*T>5d4d|c^^oH!{P2N%TM^4kNA1-OVXLgE~P8C(X9?Tq! zEFD_FdLm)CfJ!zracX#2N`D<<mHWO9_^sgr1>?xX>4xDgvXHz*4n=1<*K~iLisK_f z%=$?BNXB7(goP54sq(3dul3L4V%Xmf>KdLKi6rK(71KF=PVXH#9LJs=UEVQ^WjJ8S z>K04aU0axJ-hDTIdAJLX3Dt;b0(imB4xqoOzUjQ4TlY~<-O8Vx-mYbtRaM@s-NDH_ zhN}vlyCL`9Ix(}pnccZL%Bz2y-kM3AO}sIq10TJywI=cqF+P}#{4f`9H!)OKSBW{h zENZjhd<h@|{&Dg}xXHSHjxWjQ%E&nB%byShW@B`)I$T^G?+-{d4LawjE-0~7KhWtv z-<-YP&E2fMx^jsFWV?)AnHLO6uAy|`98S7b<b|1t(}(WwOt){!>$HC|v%prB#j1+7 z*4;$TEzF4S)c$@tSD*-y8If>pBp6If<vM<{7AxJ(8eto*%uuv_;}hsq2~UhWgau>G z&y&fXV$%94{?lifEu~W7;e=joxqOHJu;u>le{Z((N2(%Q+Z;ECtvBeVsAWM6@Wv)A z6H_)N6<d0ill|ky{JMW-Sn<;~hkKt7)~qh|+FIKO&gs*Rj0H9(gGb7q=bG{;&U%;B z!st6;+zlK|_NA;?*OhEn(DP1P<~rtC_m*x~jIfPXt|*=;Z6u6NgIo9VOds^cA$;o1 znJhHO_+%`@BDW=3x}v#CJZn{IS~<a>ycL^wEFyQ2p6xU^T)Tf}@B=SBjDJQ0{vN$A zB6oI&Wop-mXS+<CDyxsKF89x;x6fr0;96scC}4fmxv??K4UAe^b`cV7%33us0y*qi zXLj=V`FmoEjMj^ooYxww=8Vm6%&vDAoKNP~=jXPscb}`iRGxCT13iMQC*jQxj+^nn zy%cp!4Gi2?9=(6R6g}L-(mbs&IxXDLIk{e@3Qz@?gi?fB=#5S_vvhJSt*VS~>L%dV zHmI$bRBdbDU6}4p<ZrEUsd7AV-XHFr*}-X6-SRm<yHVNkPFx|U*nzvzDhzw95B%4~ z-`&$V<0+TY5e-Q1bYBo2DN0(<-=(kSkvIJe!G;tMNwa^fP_9;P*xRg<fuft8ot<<S zpiF%Ik8883fdf~=XG^Nf4L%348=d2VPKK-4&nlmIZ@VQss{Lu-@|=9>W23Z!gPsQY z)6SxQ3%?lYyRB18cLz*irpxa0a(`fYD;LVc46%kuklpc|n#61C5=n0;wnWR*cQ4I3 z!2APdu#kU%LP|nDOX__b6UqwD3AZ2#mz_u$UeI^^v}K1RzGYW}74&(b--dM}kbiPd zj|JPfb<l>SWC3Cz4iz1rUdRB+Fl>tOqr_*L$Z5HrYSTFTS;m7}3!PcUV$=BXvYySi zy2rUR7bDS58(p>5I<w5*F~-CAXY|4k95>EzAN_xx=Az{uo9n1D70+@^)hRO`BnhPI zrFx#NU{75Lx?G#>T`f&lHC`N3=(N{cYMz?!o;FVI(u^%b?Y^vE?!H{QK4bQgOSg9f zm~~A!$s}Tv#)_ecd9Ey%SnGCcm#WHUNSLzY+?<)}wZv}tWKUl&Up5Xemo-9PFPzq0 zZC`({yR_V#nCQi;09>3ew%0ArcM`63u*TzaI2)&~?dYy-&0ijtQE)d%G$;kThq(cR z@PP?IYe9#hWm5JPv^$?5SjjKey`)rUnj;t1=umfSeBpx+O9R`9Da-gyQD#n7rcDOf zLS`wfDXbCLhYwa5QwKAGDaY(t>8Fm|Pa%KVpB6u@6ZsMOfWih~Mlg0Ul3L2o6{yR= z^{OkVxhFJ|Q@g-2z&pU^b3M2KbJKjzgOy$8SEK|}+JbMGNX_II3!2nh)T0|AeI&Ap zr#?YeeB#dg6c-X@A^)|YP2I2YT_d#*GHj}>Oofb$g-p1T3>!YxQo$GX4~+;uXg+`1 zuq2o^0N4#+sY6Vg4`-I;Zhe+^FbIJN%kD^N&`8e+sl_#LHIuqHz1#vF9YJF_d`7AM zM>l=Jl99B)HK7s49lOvl*er|^H4Rrg=?muvHv|wg5N$AGXsfBuBz>1ps{$c9A#4>8 zq+p>iQJ6^0qs--(3mVjiK0%h<ZE=5aHgN`CIwlGusfGMJ<w8N1x>sX*Y#}Y0PL63y zcH1BvAN;4CF^Y$GYe|`^Yr^&zg=T|AgL1^5%WufNtH1cE<L}F-G>{2@D}yg5(=IbC z_4lQ<uIy4bzFB43d~vX129mt8i;jnh-vvq}fJR6uu9eip*}@s^1_^?7atwb->jInq z|H0(6|7!=;4oy|}e`)e9yV#Lewd7j|2lxVvLQznX!PMevNo|~dZtvWvL6E^>ju)Z& z1`iIj-^h!WcmDm2!m{129ah@xXz@==wg8RUX}5kbcaq&WZ7Ha903h73qmu5~<XQQO zpNJ3C-ybQQu!E3TN;)}nYtVmNF%=f$a0g9PPrQw!I4(p9w?e?eZ}HtCbvtqh%l7b6 zc0Tw_c6L~Bl=$c(;m1r)i4Q3Vd}w^y{=`VuQ`v??fc)Ao5HOJT+7VJAP<W_<<R9Wb zD7~uSwir}_=_V=EtCCYqdvI?3{90bNEg5%2bfo<b(hNLQ0rC)~7fgRIE8bXmEe1N4 zp0jPbo~#q&<GmW9V-g}F7MD)T<dt|W5uF0bhjp@!PB)uPVaDyjtrEQywg}wL7b1+O zix(-F7d&O2FT2z6bZ1ybNZ?JTp+%UabW)~(gkQ?L6ly+Xf9CCBI)hp{)vumlUe(l{ zMD3s<0eF!DJp$yRO3!~Q7F2R)!V&+|Eq=dMRaEMD#P{IDF~{q|LxU>#jLBTj^SdmB zEZi1fwBv`+gmmJ`32c|kW!o;j`!6;a^uO5PGQ0ddNp1~p<<Qiy6kt9+e<37oq;R57 z;zQ>7c@hUT=fDKCgp1({qJreXN_Z8#7OyR$7a`kWNG_WXX7hjTFAe;sA@2X-k?Y+* zJ>uojc&#xGnD#%B+wlQn1l@yNM|PL{c_%ik+tf_mmik?Pe!Gx}oPqjB6gdO^k2)l! z)shFT<X@83pabq6OLE>lm`r(2^|H{~cwZ?tLFwYXl$n@BK72LzA!IvAlw6xiJ=VF+ z!SLRW3RW#0Eh~S!eA3<RQue8lx(e31F=aqqmE-wKthu%RBf0VyB=~#6>yhrN{?0^a z_y@w{6v%ZY)+_Beh35xpB)Vq@Y1gb-9AfLeq33^Dj-0Q(gQq^HalHre7=PUu*9O=} z(N9bdqHg=F)zl*2ZMS-LU0!n|JztTULLuw*^i;HwrmlZatjR}+J|sOLy{CE*dSE52 z2K&=+s8yj!_&Y7L8nrc*<3agmJ9#EP$JaQzx_I`Rwa!CC`!SY_$SbQPJrMbf1hw;V zhyIqE$SaF-aZ)PgJ;w{V2mbiOa`ODDmhp1(x(;0~i%6@jiTnQ9O+g&^PM1W-dl}X9 z&Qk2xVeNl0s++c{fHDkGESGV6hcbiawVgyc4WJ2|toL=&07a*-X%I-iA2O~N=pTRi za^J~G!Z|qt;-`oFMG=QLiKq{_??oR%AG98t9;n{rUhkKkJkuc6k4}<Mch|-|vo4jh zY)Yz^_6MDCRh?BhIM}mU-p16ZX(%Nq++<CP0;PYH)A;PM5ccRi9|cn11r&(Gnrgj& z#fhP-mL*8Gx?H>$gbHUHoPBW+s~^kYZ^E8<)xW$~<Fw9|`|Dm*(&vIJOH)K7yn99l zbpMp>+f7=sXZY(?DH5Mti;;f>)0WVK>K*i3f6>I+m4CuI0u=N&yD#s<I`TZoy6sO3 z^xc0xkTzZ1T5E(*V2<o|cM~~~gT7H~3zuU>eQr-!KA2QqmJ}}XazE)XXveVv(|h0( zu#6Y+^%VH<V;PV20$x?Q_2bPwdmkvCo0)e($=8>2^B0{}wy_MoLHp`)#Sx^6m3lA> zXI6Dp6_r!dO0z0kc|I$%MY#dTW*y6+Sv7w+vyiVZvxqv=$zy?Nt;eA|b(H7_r4IC6 z57J3vD~(_^r!Z#>(%D(D#5B(es>+?pUC=u-0+t1bBk-{!y338ywJk4(0PENJDBX>` zy=i;&6?($M?A1qIlLt>(uT+|gu(EAFO~^W>yY+SrGkr9GI|)7$$Trz%-$!s5P~m^x zuq-i<Yed%^?Ty?zrpZnYOx{RQhB166$D#UpvNy+D(V9k@gs~;ll9e>pT;H#is>`p0 zwLYS|+9+bFHT-zNd#3J;a^^|G=XFhzuD7jK?LN)Zi;eRp`|9QlX9bQoHjK{9mi_EG zo7Wc`JJ>pJxBDVK<;t)~Z^@TR4S#?B6d$>zaFZ}8Sz2n~^Xc14OI!IIoZ&@JvJ_}; zryR#*zaT(mkXt#4HEo%^7WZQqg_Eng>OQ-@_TCc3uL_@+{j9<#7AM5K*CVK5au239 zPMxHFJcw$>3aVU?uw|{s5;JQ>oZ5SQZv{-6l?T7}aG9;(mRrJ4Gx=^~@=kvPWNwVu zS6={a7z8F@)i9o;Y&0@!C}U2qxtN`E<lu~irm`%+^w@dO%zS;vz`XFj$FAnczsD|> zW@hz!|C?o65b3cCrI}g$({`ifGcC~RRxiIBjoXW%QL$_>{0_T&?22h-Hs3L@{AI-! zu3S<d?Yw^QIiohE&fR}GryPHT*>3(A8a$+>a&Qk%G6D#rNX0lYotmVW*tnhk@wjs# z&XE-(wCv)H{&Q?Wb3viw{1d=dj}`x7iERKJUb-f8G4-or2MrBd#~GvA3TNx9<MpNG z4b#NP?raf#r=!iYGE;cCz!Pit$j6b7M<3@ym_Tep%pkDNO(NEQ*nNNRX^IDUIfnr; zD{%U{fdSzb!Su+DY&@obAcx3<P(hqRm_n?^w-ej-G!;CJcu?~30Qhqx5UPTwLJe{V zwIRFV-%vJ$s>mtve)zQWbEm0=v>uQdL{>)8b=I%=^`Xo%h^$$vWc6(Ih_x}h!=9!h zry~zaK0bhK&JaRX_*8$fVHBY@Vz=}g+JI0MJ9TInMXXKOJ@U*a0I+>SpFK^5ACzNH zQ?VbiBm0Ei-u!6W;NVy+c2qUu=scTZR5h19k;_Yci3A)!7&i@E#uNHEf9CU{r~YWt z!P?JBq0|+EwYefd6;QN(F&}Y-pObnOAZZb`N#1q1BHT$q6(E0$khHSeBq4<HNs$$Z zi=^*5A3nYi=8NzrweH<7rdg%5rr~|0FV%EH(H%-82`-Hb`xJ;5D9iO3E-bk0G*EO6 zHcS+q)=01dh|aB18*%;BU`1JLjeJmea%a>=+<rAcUBJ<x)KF?DUr1dQ9u+^j15u!q z0ZcRsz0vLHQFnjMq36wrrA|=-P3Tcvbk0hb7Mt#?jUNHXYO=u!Tsmeo2<0k*Ksumz zz!gpDB<)c#aM$A~2x>&FS6|+^Mn9qf(zyqeh-$aME&2rwpAKXfQWO!Tlv?^70Hy)e z*(E1Lj*`)m{h$D<b8FOO-F`J-UC_~J)HG^3U%FhQfoOj~?m!e8C5;*wI<L3~xB)y6 z%!?$h$F#n#U{CvSfzf$6J;3$8LptB2KjB}I|4lk1I<JHWp#eN7OiB_L60NU0n8ZEg z@*vdv4(WVT{)B%;{x7D(<jvf-N-XB-!a*#S>Eyv%_m_}lSAtMGu`yd!YG$z5RPto7 zSUn(_-GP4w#i<jUN%XfC)}YwqPy0U=U{<q!+kP3dn+7Qz=Q0M%?B*;*%cKVx(s7-D z7LNropy9lOk4n*!zC-C)w?E-uk^fb?UN9#NLM9h5t$-^SL?12?Iv%ITW4+)<FbGNC z;SjFdpYX59|Fv{j^u@&6Uj(HUPL>DF+qMcSN^F0oxu@HwsBc45H?OBnW>jv>Pi7e= zQz<x<Y5*=*!#c$?HDEWFV`J1vN?@O`{leYkv3zqu3hCiDKnjg<Kf^Vd5S+^ey_*iJ z#8`Jh1E)yc)6Ti9H$#IOE!<^BG0~i3N1179xR5`cx2}HE(CLx4fU40cZibfWfF}#4 zVU2(L8MbMHGY78ev)dRue3czmuO<UuWd1^AW2YVfjl_jgsinc1v^vmhQocOMtE_OB z9i`R|D^jqWb(Puq`DbX*NViY?8rrzBPc$|-b6}dzIgK!Uw?GO5M)z24q_obMotdiN zwL})~KWWq^RcdY6kS~Albs=wu0kxw!7chTn9`CvFf3l?Jh<~{x=RIbXFA-TcI~%9m zMre@aATmKs9h^C^O(Smd7`{htWAyNoPc$f0S}9shI?ng40snGQds$?faFv0ozGOW0 z+DYpl<k5VG-Nu;V4M7Uo;U~c~E;u)BQe4j3#zZ!CZufl<xyPJ#=5e7On*T`hDl>nQ zF;71`(zJKVh4|rosiQ&jsYl-QzudsB9!&<Pvk51vN@(xM<cZc4Z9+w#lnwC`7`_W} zD-8c_p8kN*?X0T-Y^$wW__yBfot(oj3#s9=K?<#LN5C|ka6iK|9lMS3-QWdHhZSum zD#8BqX<)PN65&-hjx=8Fd}DHS@R5Ipej`A^imLPaok&I0M{=F<#KI2&3PyL}y-L@7 zfWon^(L^(;@jX!O8bB@H>>V3xCM}H8c4<k^tG&@-VP?p;k*luqSvnLKg)6IaD0M7{ znXEqvDmz^%i=Cb&sh*j=IPF{@d$l6}JPO_@c84Ev(@rV^2SlDxk&qZk#$SKdADu5g z<$X$gN<Fv~0yhK{M<fD@kUXJ!vZvP%qhBEeVW<wVIwcK;4ifM7-YwqkOBS{cESI*L zb`n(|+Ss!(v}c1@1X^W~<GI{6*}>DqMrDxGx!ktdebdBNf7*fv=?~jr^W9F~*@F9G z|H4jfjS!SgGR)RYOjb|SE^L3_q?v;udp=HXyg2OKK#h%L$j@V7h@CD&%F&J5EF^H; zw5b}o(zg}o5`Z6gjNpls>>s?9EN05EW0G()Cw<}5eIU0pgT0}IxGCSrlzw-;lRU;F z2G_UO>$uG%QEn#Bn1h*zNe|27Gr2yFrqrX@vKR6-<ZH}Vy8658Hr;<CN69*Z-{s(3 zyL*FU4!<71p03`|{jIyBd+&O_;1yj~-K(R~wCk85)zEW8Nf(jz9l?0EtYQM|<FuZH zq47}Wi>#i5EyrVOsbA;Ku(nmPUHMH;#y76cAZlGaKy%>VfTusseoW)eRF7W&nS0I= z$HsK4N+>9(<LYIFONoCJIS#$4a~TvXLD_jh#nn~!=uRN-4)(0==JlDyG2nS`=lB74 z!f5eIIIC)}L1A&L^Re9pa8BMept!=p@o2tzayVnqv@8lca=frBu<QsxeKz3Qo-778 zc~~F@r)g1+c%Jyiq=JnpY;CUOU3}DymIcOK`&<cev@HJMCoO-)aMA1meHrFlp$wU| z65@E-;dH2@wRR^5i$uv)DM%-wWHFl7Fzz=*P4`mrDM}W3@RNq3%$sa~W0|cFE=O0Z zEO<sj%JQ@~h|T;rOgrhT4Jl`{gRJMKz4Ux2DBUh+7yT}Tg+w;${hf7Lr>nE;)6TCI zZo^?>WmwPUEKz?(x?HHM3zk3P5K-hr-#lCB=h&Zg9((I_q9>H^%xD=dm)MO})lPK5 zp>{dfH5t>i>EtneF)$swx6X1=Ha=_{WGa(gS96s(X*)V=qM|fA12`}^I2ztMI_TcH zIov)!p8lM9v`*n%rE;~u&lJ0oKU?Qudv#o9>U?l6mQQ~l%P?71W13&~rTa#ujxf8f z;_@)agu!&CuDa$rf2;NEs&(%D@O0~iWw07ICB8g<)YF`&If$gkV`2jRKE25Dc;C;V zvd23R*e9p66s3nt8!IlS-Q7O1sH3KG98s7~rVMj+*IO=^D|yzfGc!bmxv!lqfIETW zIYFOu2|R!O1dhfXD9)4l66wk6J4yAh$3zg42x)i%g25rU_*_En-@t3Z4<Xn@POyFG zVu<(elIKJ4>)_4X=(ccJLTo(5xM02@1CQ2Rn=Zqd1wMFGFu6f;znwloN*dp=bw&0d z;r0$*K<J6odp7kH($xkBJps5W0v-Ut3(uWb)r)^329zTFRk+|{mC7f0V_Rg8h|>xJ z0D&}Fa}l<Wlw{1#5u1=275mZ$C*aKoC;Z|A=oeVQU;;jy@j9~uO4BBvcfd`L5uH!$ zGM?4eNg?{EI#fBzi^AQ042r>RtR=@|pRcL2ux)1eOcK}H0_@;A^p%--#5V3;a!E3* zmr8%~;zq&;D=idH!eqrZwkI3`DmysC7yLFiZ96!n7yMV({o7LWi{0iH^65U*Y(s16 z@z@iGeHlK+4r3WU_vi7O>=1n!>Rh38nf9M2_i)}z4n~(*W*5l}y6wsX=-EtIcI;{e zb9p8uCL>G}%xz5eOQq!}E2dg2{MHs)p)Y?EF-zMe5;2WcTg1dLoSZJJ&6vp_g)!k; za;|X3gU~+#z?Lxet$eB8w^ydlSNi(Se(CmQIlQylB3h38phH`wqKhOkGWnpx7KGKW zZ`?c{YjSf;x7FBYO1E_q(nTn|%^7bnL+CneQH+Rn=}a*p|4#DoH>}7MG7Q_9m4$yt z&J^iXvzHBr0l6{x!w01fwX(gV>uZYq_>C!|Vr%R9QNH7-QEXBJx_C}tBWr8SY|0rK z8*w#|i3y8+>=(Ajrt{f#tdj!SF7l^HPRC2rlf@j-*z8PKo?k`3ZY7shc?EKSpnm_- zD3_R+WD$u&^)d=E=c!TU>*t0@ML&N8T!E(zoqGhn$UB%WEGJnD2H%iSfu|ikJV)ZE zsB6KzG<>Ge*oOXk#H`3WlrAo3P78*>khbXc%b!AEX!TPbE4*w&=NbVodW|{CIC&0A z@$zTWy1?2hpsR<h_f&JJZ2}5t*Y_foXhXOc&`9_q&tQB{(&t(b_4r|=$y$F9_`<8^ z5KZe^3Y(>B`Jj$uV}|t-l5g^(RH$k+9GVZ22j$+VQaRljjC6)KrcpDLHR=wnhk<KY zgDmN`Z)Pc-8V_Lx8SYH$A=cn6p}IA0YaoM9(&65?*uHmkfQxt5Hmj;}e)CnRU!E;C zWr@61%3BUrGa^Kbh*fw_0k41k1#7@AX^fUc0-t?Ej8+z_@R9;)y9R5(9%+J>c|x;& zM1+<;tMGz?L&(Pi(s*4zJmFnZ^EPUqvr|;&Yp-<1>LX&GWLTn0Blaa92Hqvggj`fL zkSHlsA!S1AC8Dr&@tBl-r111iTCY8%*HX_!=2*Q3kwm3v6H@w--lu=BvhrmRF^fE9 z<?BN#z~5#k!g(imTGBPwGUwrV0nLF=P)=YcY}Tb3a*5x5FBF2#g8RZDw?1ZZ6tC{p z8C|<-=;e1Y6?7Kfe;YCza+$Drf>%dy#@2r8$-YbICEGW_5xf@I=MUlN+2`;Is>8H_ zWq{?`vbZK-^NoBQY43l)bkP~Fdn&<LFYK;)-Y_T}q7rf#l7^a~5_%YuHd=h>OG4U- zK~i1VfI$M#3tN#*cx!L6R6)|KY`@)MDVH#5XR=W7euX|^(%xjHB7Vgtp=xjH{fY^z z?Lq}h@3j4PkELw_i{19u3Xc``I<u=V=PtI(au27>X^w-@OAmiCVR>@Ta42<rxKJqd z5T7+K%I?N>uYwq#aWBfw#!K#~74<D{`Oe03uYv@hX0HN9uiFZqTB$Mvxa}TzFaxXt zgGn(@hH2aOafLlt;r3}Wm$=Aa*6qc`JYK*t8*Xm~6^&2B5Z6?3eY9oBJlqBgpP5b? zsKStTu=NnyF%f@X`)hFXw?T*MDj@P#&}q7|KQGx%Qy#~<CrQCuLAr}_^$~$HgK}ly zt|C2-{|-fdg|Y5xQt(E1uz(1h9<)9kw+(45=1n~;DJB^bvOh5&3E%q^(f-%{q7p(; zA@D--rxjt8qV?F?xNODLea}{*ji5K7n@~R%5D{8ILdJi)b7v&={b?K0f29RPYA09| zZsBe%oVse7YPz_!gbnGOt4QGSz{yg$R*;^eZhb`X%%I#E-=O-h@HTP`2|V@=8bNxB zxD^n=(}Q-TbFLu~#shzpO0t3s6LlLP5@!Y#%J>Gge}%V^<4A<DchCqjOvJ5-NSq!t zT!ibRl=y!dk~A}Dxagmj{x>SZr7a~seoz0hE$LrYk)Fi^r%I_=LB11p>nEaQ27R6J z4Ql=hZzIQ$p2gllBgl6mZiPgY^q@WIU)GQq<AF1zzFI-XiMkCEnK6TkW_*LXzrx$d zaU{mrJ7@$MC*oF2WJVAAUW6-Es&5sEmKpTD=%0U<{x>SZr76`nc2EDZT`aWgSm;Nv z?;U(z*YKpyBunh{W!mZu{lNCW;oInCEcAmr+)~Y>Ig?Da(-(D*|H~q<GjoSM?XR~B zj22-#pYVa)T@-aefXT2NP{p4|i8{T>U{9CA8O#b=8)~&$*JM8Wj&*;>x6x-&J%Bq* zgEN0PDrm|Avd5?XaO%&BU4O55n;LzOmw&7He~WxCB6Iz-*nfO%eqGUjSLE<@H59?@ zv!$k9vw2;{J({>vG|@|F0_PU>1diC7ju}ipCJjT*uika9TnTZJ;X#^!++tu1=rhNY zb$nv53~YXwWBNLt{o^nS6qCu~I?ZhtRn>p#S{KKf4@c`hmTJzXSX<cy3{@<oJGG&! zM{}>MHhjpWj6QdvoR)pKAUE}IUEhfiJHs^5uCA+JJ3Q{R6o8lXB<A+MzX)epMH_Lj zwX9Jve=G|t2aDl@;ltvy0E@kqMqxW3NC3)0G$vRt3TY!*5b7R}w3((x;AJIgN8o>D z`<pDKT1iH-WTl1r$4hUfX%HZ@WQ_?nixS&N7KREjXH|!Gu>2<HPnn4f->xrihlyq6 z_iWeb?HhE929Fo^1a55F)pEvSos64*-8v4MO=nm`)(|QXqI@a;Ql3B_5C-#EWk$`g z=;vQ;|K$(3-`R1saMOYg2Kgn7oPB>7*)y<gfQL+N{d((aT4}SWiCDcTy3IgAsCPW= zW|}$y42%AlV52DdTH2l{`p$1M!M~=Zysd>FZ?&1GNr1(&HYV6A%4{=G7#bLFH6XYW zZ#DCq90m=v7frQuGmjU9!m#{Z1PCy8v=-uxszcw~7VU}B&$PF&jI-ZfA*z3FE*>Lo zYPE{m{2>JKo7JK5wnYb`HZ$!#EaUbBEIV2^gz+UyqUdKa9}!OJgk2%(!_>mnUKhMB z5G@$f<ao|^kgt$Z{>o0oOn5iqD_i{nHjYs)xp2}J(U_uy5!s(#>8eYSzCC@KL8vCM zn_5WCRi<B|l{fS%krntuN@agTMuY|w1r<pI4e|AJ@p8k{N^zPOvN~U6746i7ax1<S zFoe=Kex6H~R_ayqId}wRV4^|sZOBMOS}DH1vEWa;#ZRSSJzhJRz^!PQR{Hu6$tjH} z83`Ft98eTiG!!%>)6d1vW&2v$H-VZzd$YZClt1fob#yf8;<DF$WP^X2C&!V#IxV-n zRp)lTTVd&(b#V1@Th*sU(CMhSnZ_)N_^C-ac$tvrTl|JxggIG1vuxgSnHN*Uh$eJT zA87oSbB$>Y-ueL{>K4>**csmpM0)Exf}It~yg+_0!1q$J-&dOU7yAmC?1O)V0H1JL z3ch4NLYAJNbO|pp_FjK|c9X*%aiPw8eC~c<aoQJ1@4@+A{$(|}`w^1${KQLm2^C); zkv;W~0Ou3-oPVmyky(hZb@Vv7el56p4W1s0*ATrw=5<N>(_H;u>>ESH6gsm0m<T-) z;gWQG#X*EzeZLXb`8qps&9}-<V7{z}#+k;$1RxyaHx3;ejT?VOGgr^{Z6{Yc_XyhG zR|`rMVANV-b$t}9Z0)1qtYdY*j_H3=8^5QmMzAw9j3YpH9yftvPg$zxIe`)<8H!6v zIEF6CatH|7ewqsnQhN+u8@`u&AWK~+T0a6Y%Z@XB^z!sL>R|c>-0~#LTotG;AUYvU zO*OP%x%aF?^OS#i_lSR&u4Qx?5Pmxag{Nus0ULOckE)!zvYduWPN4?cSU6JlfyNfq zXg}C!|84o6)AP7|np)`1@ZDU?+H3GySX4Qersm=C=Ha>djyw`1D~rMpXU(s%Eep-d z%g<ku=k<{1vDcLgsRPi!>-g7gcbG%19BXgN#W#l&P1k=rV{J!cCnM!>^5hbG0$q-m z&a)|OZcNHLZ}yJub~Y#yZ?4ye8Ad5;C&+g#om+geBX@4#z@$qmAAU@%MP)bfK3>E$ zt=-&n2W0GgVz|FRyUmpXn52lE+S#3=cC4(~_1O-oEYvd+L)n3eo0-J|rtWRPrwg_m zT(AHc1+;(uquTHfi-42s*pV3y_Uemi2DqJ@-OE|Apv2mXgM%Bu&EYVE%f;^PslKiK zhK2I=&h_3wlnqsS&CT*8hx6&)#SVLd9P!JUE(@)@;S8$EXD^jDMxt!gx;7Nesa~1o zzSW$N0(;wTQP+VRSgyD>b361-v|mZbo|9JlF|U86;ceP7U5qgHD_*JBoU~;vB_NtA zsTEq5Tz?HKxDXrZC<x=X)}kNuCblYVSF?Rh>U32k@krqv^7P1KZ*lX;(^zL*B~F(B zJ3=39;*z5x!?Z${nor7(%A>hHYK5C+cfM$C<)}%>+(WzTyPTN;CrV=Uj!|46pYDmd zTy=j6J`(%Fp_I_x%W=i$!ok{7<x+Wd)mkNW$Rc%kA@z<$>fMD@7mHL^>lMvrH)YMm z)fLr>UM=C*`KLCb8^;$X8LPJGt0x)HY}22eWJKAf+l7u?y}XJWiPh}s2b^`Wa_~IQ zo!psCOqq>NnN8G~iq@D))R>8$7g6kF0Zo59T8^@$RTYh1k%JWYhid!&p!zjT%lI(? zC#eBt1(78)m)BQB=kY}6S41uGL@ifD%ke~_`ZZUw=OH6ix3k6t#kuKEJKtO*UG*Sc zT_Y{@AT3-Y9rPfX4ph3-uxH%Qx$;t1L$PeOj)Sj`hOdsJxtylCoTIs#ra87`dk24F zrDO)vGH@BNNc!d<R(0jIa<`2M8RthnH&=6ejTvw_9L^3k>eUy+$mx)LUOHZ~UPau_ zH`}NbeHlCKfXlj!wh8=Q+SNlIj?pORJgWF)m1K!zg=CrJoW9657w7j%kzXtq>i}Ta z8-unSSG|ZY6B%sZXX5UGG1WBITwH(jwhekM`2;2E+Fu++TC=mw$|M9{_r7$tet!s= z3rs}32;9u$weFt`IlQJRttXr5jZT-R;<0Ky=@e!qqlKb{j5jMfEoy&b?FL)`I|kf^ zjMzo+3>D6m3m`@-13d!nd*)Z|FY-mr6o|^!_anc^L1tf0-={u&?Oe$b*CKzgFuJ51 zAZ-{zLrw*d4s`K{kt~s6_`OiebUY4&AVd^@mGp))+Lane8~7oRC7CW1O|1PXjPE0< zYtf?)U_z**e{C}FLW#8{h&IBDV8g7FazM1P2sbzto^&vakGMUIj)WBQzsY-{@kbqC z;`FXXKw&URMvt^X2r)PxMz1$N8cBZ>osUMq+K7a)oa2u>UWwDY6+wiFBt49ZCFx1N zNw(x?*CNm`vLquQZ79OGoa4Y9cYm`>c!Ujq5beXBcQ{C0BS_ui{qu^J;QxnRHvvm} zTmMIQnOTkvmgNANsX0=aIU!VL+MtwXRw`Cj?wn98fkY@x%}jBo6s2~9*&vM$NfJ4t zTdZwn=7a)KIe=d>Aqnoc_Sxs`d(J-RchCQSpXc7^x%YXNFQ4^U@4MD_E!H~#?hp5W zxw~%ln-xo<v@<=@GmA2s+EX92z8nbud2-!`nH42T+MOQh?;)NEl&f_==<&a%|Ip6< zg9ayg`$PN73d0|C3&b=0pSAxy$=koC|Ijx4gAV`ex&P%!{yxcn+|K0pNq(n)f53m4 z`(K{q@00w;?fmJe{=WG?&mHRgXzX}@;^41UrG2Ly>tm1ic8)*2{DVCCSL7d>Pk$#@ zhB{ySu6ePl^woEATW{xwf71Mi`L(|y|ImE(H}b<@&H2x(|IK{mUy*-kUi=&R<B|S( z&VOG05A%Qj@P9gxzispXJm<ge=#SO^mHg)e`Nz@yY0iIM{hv<$FX#N@K>l=pbU)@~ z)yA^E${J@V<ga64@A(vp>Wf%4MW^VY)WWXNA!K|$VEYwEPEyF`A<Vl}m!@H`1|re% zN~rG7$Idut+|oYZF=x;G&mD7~X|JHFZCkk(rt$0gL(ddy!z`u@AUqe-s}&g{73uU2 zKAn8}D|whW+p32osRmoSR9|L)x^pXbegFNl>@aeu>^8LYHuO@YacQLSrASC=BncvA zP{R>plUN%1W>jm&C)rE<3``>(!5~G`baS!-bo;4-$HA-m^xV527<E&8d-QfW<@h@3 z?sB5|PVZYiv8rOC*hg2pXX+|rRh`FYyZj{n$+&?#KA$aIpIYR9yYFa!Qoruo+r8hO z@8!SU%YVLC`gSkI>z6Frr@yos-H9D7_W9DtJvrX;IXqxmEg(BQAX_b<Gd$qgvh5*) z4Y8Sm=etdyUv2XHJ-b%bIs0j}dSqP>g=fwBt**&<_A)+d7jk1Da&3>x#vYfoJ@Ab^ zcYF>K&drqh=<0&2fhNd*&t9v&TR!q?gYszfX8yljjUzNC4lLoEz3PVe90<-`?uEsG zCz7;`BR>GgWFAG1?`n<x=f#5aLJ%)R_IbuDZu33Eys$|h4y>+e{f2Bdw?6n+KXk9( zxbFD(AG&cgi@>So!_Oa|Uz6{9Zrf({iaTxt>%FnL#>K}DytQb5wJ4plJ>~rQqjM}S zEna=e+-BRwdFw>RWcFQFWsu(K<LEMJD)NhT@aeak;%BGOr+WM9JMyxQu?q~|WNi#v zleH0<I0YPQmBBRZ$Cul~c{~em$m8gbzcgpq6*qf3yiqrzRCUiXg5P%4Z8LzCm)Av~ zW~ZyVj*)a!dpc8pXTd+&o(b@E0(>0=Uk5*w_=?bu@487t<vFB~Z#-C$2Dvh)pdB_{ zkE|UNY(`gTL7oV%doG6^XgK=uH=)+pM=z~?Wz(~<lbG2$mVE*_Dr-4>BdMO)mw&^m zYv2lGB6=Q*iClAyX9yV}%O8eQ$}hiHqjD+fUmzYA%I^z*B%Z~7&RG;UWv%)hy*v#> z{ms^5SSWTNKdt*3{jFexRNFlsclyE4km?P0y9q9;J{y7y>qa%9wxcl_!W9h)mPP|Q z(eF;GNq?qplj;}lIeE|Qp!ae8CZk2-&BP;9(|3PGg*fo4`2(n?Ru27QDjn&kI%+%+ z#KyM1xO5SJXR2H6&3<aQwriVoB3c?p&6tJ5t<wyBuFm(rbyh#pG|i2=Md$i%HS5=# zG@Ww)Jrw!hO0a%ir~fHLbMAH1O}~r$otw|-&-3|DVl_2I;GY}vUG=QKzR&L>!Fo+@ z%H01b-uu4{(Ja{ss&3e%sgt`!?fT1~tT)u@8=9tn&G)%F$N%Ru{~lUWw-oHW%DM4U z;Q#Yk{ez}y%YCk@`~RF^{dkk6eeM>m>o4d2dl=)8wvoD}bm!I18!zWrpWLKrlDkFY z`b(kzhco(arfIr9SJnPKd>Wz|>X7C@-9p`YRm1<oS^d+dX%L^QT{m8;S%2Q7>5;od z@A|)ghjsd2Lo|~e(h$@wbNttA(p2}k`jd5G$nUTErfGAp?>?iinfs@~Kgb;pY56;^ z3jEj9>1+G2&-^azs3~)-SLFUDvEM<x<Hn&n{pj2m<8iTuy>%x-DsIM?mA0Jvy(9Xc zI+2FGl|O7^^%kzpXr0n+vU=lC=W2ZT^89#z_4u_WCpH`J3V6Q3f)&F4yew4jCgado z&F`Wh?XWRS{_q`Kd*fk$&F99DR`*48#S~~cvQ_i>21qOW<dVN#zOtQj@LhA65p#wf z{UkncX2$M$(sG^$g>MnIuQY>gxogLqOO1TI#%KW&;hbSk^O<w0h3|67Z<YES|3#*M z>YN)t{j;f-q`Y-1A9km+@z7Gs8;fqnYK?lFNBLQT)^=-#&VaW0*s>yk<8tYyfNFce z_I&C`+e-~8KSZ=|L+VBTckeueu^ifA!5lJdQg2%J!yB1rWCDnw{N%ZUBd>otwg5{& zw7<>RE((ZAH12BTeX`W6`Q4$*e+|<DdQ%j0e;W6nIc)cQEu>ZJJnB8nIp3TnV5rNZ zHY*S2vNm>wm5LahQfhs5{*Sg8G}8cj&no6ngU)o=J{Ow&ZiXX&H&c_3ZBn}BvK+d? zs3Jy(lp1_5|3~|7rU&$<D&|D(JL6>gyyS0YHPPSAG~{EOm8WxAh^{cYh|wjb&R)y^ zf6>01&5_%hlAoiN@hUVW0j*PWM+@W~$!``|eW%q0j5CTNIN72rj3cF%mgN6vpcVbB zVr3h2?Pm2$SLW_|84n)EQ6)BE!;9@oZ9&(j?*dR9C`W=%=$@DVqb)8Upblgfg6(yt z{{1h%!+&Y|FVzKp*MD!<|JIHU{ru^qf6~dg^^e0h?u<CH)CuRd%%zO)@)Tkh<$uAg zjHF|(T@?$&m1fk#2Sg*8>u(>P^-ZlkQ=5WU8?`@gu!#O^SS-rszEx}dNss--XO3+z zKzRfA-yfEEzJm`4M-Y0bliy0HL7g3UZVCg>-jo8j34|UdGZKw<f-!y{crRRbf649- z7}yQmK0)Yt%zXdex1izyr~B>o6Z3Sve}{q-(ZGg{1jABzwr_chB`W;S^a;b#4cWdW zEtbCFr4<i4yWieCF>jmq@9_PJXz7M(f?+>AJEpv4BkFG&ztblS`!{6Al(cO04X0K- z=;(fX<HS6Y_wP`6VjS3zNHDC2e`kl5w>Y5wrtv#{!mxfrc4$e9gKs#!;z3vU+lmwO zs=a@Qq7&oN4POX`v+(TX@)iW@ZyLYTCk$sdWG9!jAbk1Jp2t@W2*7jdSGX5%`1st` zn(*7s^3VBJ&jj0Hgx@0Qk);cMTzz@*&kZz7I#0H!TcH!(4d$}h4Q_cSe>)0d3BTE+ zo(i|ufYyf|z&{pS4FcDL%l|y`4{~Qg?1|s(eZSrfh#EsIGWm9IvJc_uwi@p<wWyBD zz8cTEtm}394JV!F^DE|Ao%!_mGEw-J7EOo|3JHyRc%$dh@?2e^?JbUG<inJbyH_lK zKxT>|V^f>vxx0Ued5ldGf6c<Xn>c?LQ=0mWD`vJow`m$aOi91{9ZEC}nJLA0uUOdr z%+Wmf@H=d_{dr2$?O{sJ-7Ds{AKJb{UB;D0+YcPg(+^Y1?_RO`0huWf#+BS<`VUjK z?AzU@sd->C+jb3JecQf6NB=JH>W`Ecqm_$D3oEB~`ecV~iBv4{f9F$k4O!=2%Pg*^ z!^~uJTo9&1qw6X;`=6SuAM3JzPrhqebB8k#iLEyr_G_CsHvo!x!+sHEjlQj0+xFX- zUKUz*srEaLQ4)a%#TQR~oH#Bol_KBd_dgLN+Si3<VS4lWPYDuD>OwOxy#@W#f<%wH zPzolfZ9=my)U3u<f0no{HbMZ3j3ig~vAuCCmxXLor|$VXEZ(D7j!<@sPON{E|KKF~ zl;O>Q?fe@~4qM!2#p!oTvv17aaw)seUHNCB*<C4dy293qm82LkdGlele}@jWXSHId zQi7Sh<*?f3f50@Y*pU=>CQlbu+o&}u`3K~sxYzO^u-aCwf61woB<4RL$U4;W)D4)t zl%PP#YpwFrX9HkypVBL0@qZU_pB@?Bk|c^$OE2BI_{$U}w1t&WN1S9OxLzo8nW4W8 zaUv-*vnU5$p4~#<ACmN+K7INXjr`!a^6faQWdk|-3+ESNG_y?Fa$54BWY-PLfJ)BZ z$n`~rD6h7?f9H1nE+l&kcX@HX3z44%w3~C{6YT`z^p}^vtUS^A3_r;fg@&L);7Mju zhvLkv2!@?W{<!GFFY>(OtA6v_`ZUvr^)uT|YX0%$d+XCfFUOa48EqLWwGy3ruY2~u zN&Iq?a<7btSBEWd2|bUv17>U45Y+wFrQ=iclHlKAf5uWfe2O~iK5yZ2TI<}1!}#Ut zKST{jvT<d}m0gVs+c(gz@EDJFHO4HF-B_ylQv<u~M~mQEKg8KbyWv{R-$h#fVg+wS zx*`0vpWV)phlb3%^I><`9g&jv8{?MSmwRPJyc#|57{A<}rd~Cfa;Kj6$-$&t-EJgh zXDx4ue?#rLos@_<V~=@m_O*UmRoy9LkDvbot^gHVE@V9zJ#x2pg{?!2=0D(tun!qm zGb4&$xZSJuv#sjBF!p$~S8>>9YPaJ$-2TC3LAd6g(D+k9A%lTa@BggicJKZ_p#9Lz z<(Te)B@OTH=Is$b=F*;zFYJ2uxSafPT>V#*f6E@K=&ii-FY@N+JdRr(J@rI%QILEz z?EOq$^4Vm;&p(eIdFh>#Zs{<8<)qfHlC{_Ix7eOB8z0nVS9+IZ`nWItXt^rEs2hU# z<+S4brz!K>t(033<S(aX=WS}?`XLZa2fZ6-PZ*_JUJpGaTvLnH4{_D3GFA`D`E5(6 ze~#zUu!gzAT3RHSo$T3t-pq@XdL`KAhON{h9kY`aJ>Uhk{EZs6)FPGI$zmSzZu`dt zTC1ZLk+QUu%MBFv4zl2o`)0efJG$?~M{vWEvC5I&fJR0|dKgNlq1=$<;iNbnDVu(M zKj@Ie<h8{8)(CEQqxeetv$?65g7jfae;t;uA*pnOV&e&!S0k_g`bcZqpBwv6_^{{m zLnF>1G93d_W7=@s54ijv@CW-Rh5Olu?msoEnoPe_Kk_NI_Nh^IQcUbZ@_?GTQd9&v z2v>SGU8Y9CZ$;lfoN8}0{`OGUd@Z95>(_Kg-#?xjopEj=_rA%JYR?ymK5umwfA*Ul zcE28-p+C91bHiRoot|BuPTl_v%w4Oq@V^x6b*%pz;icg?_TkrYw%0XP;Ckb5`qK3` zkKQ#4w_iEdwjb4^xzZ7Cc{J?Ycvf!m;)k#;U%c8H7W5gI&93@E<|n^$wVWAvkdmRT z-D94W?6G$5qX#7y7p@Fg|0ef)e+)l**D5^i;!4QUf3^K%LQcb`kvG?nz$Q-}NaWP+ zj0{c47TdA&zqtSMA!p4~^9z&z*C9nw{^5qz>5rM{)4x#v8@T1O|9Q0LtDR=2t+&F` zKHOOJO#go**ZpE({@;kk(~E!Gp?Sh0?Q#B=gWJvSUC-U;?_+Cyy-5Fmf1vr@pXDn) z^3I)%+v4V8W<tx=_4CoNx}Li9H}=B+OxI2gC$+Vn``~pR{a=Z~4_=x7g-F}~;Tmhi z{OB&ue$SSJ>)+%(D8IOD{c*hZryFLcPn<^^{z6Uv@K><-!wrkmCo<6o|0`%PD%PCB zrOE2IC_>GYRg1_$=UKK*f5Sx*90qyH3%;v*TicrjeTHVi=0~H>MQ7*UTl~;zOZEI6 z>HC>m?9gV*8H=)l&NtgOjV?PfrTGA#_Wx4)PSa@Mktv%8_@e#H9d>Ao<%}g+L7D$Q z{l7=!6kQY7E0UbJ53iX4)@;uU8DM!ZdR13%8h?vtmg<>;^OVFce;CqX*PG#;=ZVvK zgNv9(DY!<bC%P+Ap#DtM!A5#T-1W2O_QoH1K6>7o#&d@6zGBfg__jo@xBMp;*!FKi z-Vf4ZHpS)vWf^>)O|hze&xhmN&P8plZ8|cM+5B#4))TAcQ7F4N<@@h?JlLOf<iVH! zap05!M%}#71`;XRf1Q=#2Hz`LwEuva@816hn&_yet5rao>*Xz6jy~4!W^TgzG+KeR zfVS)VkUS|j%{bp$kh{w)15{ic&}Mddi!P|>b2Ha-{r}BF`yT!;HgL|9asP|WIDZ}1 zr=`torFq8Di~ry4jEW7XaM`l@ZHiEHWz}MG?|D{K)3DS3e^3AK(C$ilw;lfNgAQH# z7xTk&^tK8}FK4gTLEp_@t%n|e|LoIU6;=K#DoHS|vKNlCNyklWXEXMlmh}aoLQbV! zR=xQgR?cHZkF%oRvmV<vkwNxJ<z?IG?#s3cYIYD^K5^VgwoKV^ff926P)`K6sW+tV z;Ni5{n}}NFf3IR#2>Ja?P1i-Pq?AUl9z73>BkhnFl{qg&3=_I}&MrtN8C+DM!5We; zWQ*L8>tx0vD|OZ9?T(7hS}}^oGEjtzx-?X6axFIUr1FSFkH*qhB#RjH$X)Ud_VB3& zbD2AruGP>bf5aY6RPK^I<BByKTIHA7ICrG2%s~{Pe?jh$yRwH*l42#!G`fM}lt`pO z?j$tw7;YGSVnxC-#WGQLDr;Pk!h^XYU1eEZ)Iw#7)RIld4Q-I=iYn%lx$+Zi%>Jl! z7F2P7g7lEVDJoN$BV^c4mMH2>MNTL#@=&g<wXy<kH=7<;m5Q9SV?z_(@F;H1Y-oIJ zDsp^4f1TV`r`OOa_hQ$$iI)<yq`&Y=%Vu;)Ea@n(ls2Q)@QScqGE1A$YhcTp+2T{A z?UE!~%>q)5w3sc%B1~mv++cN9BVmkJ<BnNMd?u}C_qt*Xi1(yl*u5^|MT(6glhol) zir;v5%5a(u?SvDOBCfjztC>LM+0t-24FiN4e_jU-r`PbBK;dQ4W^_r9q%U|{Wz_7k zROxIgEUv*?md$mZPZuj19t_cjJ>FcBCZ&Xl6+=9=GKL=dJ#nAJU(~A(<q`cPN-jnZ zJxYv~khl!Jh9*MS6fWlGp(=R}&x5HPH<*ri5GGAOA2!bHmCb(WWjW$;EYkK679%Wy zf7GP+#b)*z&kI5hmvFeP^Td4OX32JLN2-Av%NmanX{F9!Nm6_{*MK785w}Ycxlqla zH}X_=zsv9v<`d~XcCZ`S6mKi)PpQEv<0PP>x|pZPi%*u8#GxfN4SLIG?_Uc`=&6N# zb&AkH{>HG5@7(#V#MZo_KpLEaJJn!^e|Hw`Ox43S#4>BRz4M`?L|@5OE=CLStNb22 z!#&JIF(*Px`AjtRD{m<=HmYR+J<)4yIAu-?TS>ewwN0U5Nd(C&E=&v2A{X$4Tq||x z81aZCo@+G^DkduMy4+TE^2@q;Dz=EQO_GV|aynAZn2Pd)ww6(JNSCFr*f1Qze+C~R zvTf;tb#;ueDFD5%xr>+<mT<HQ7vDfsj7!J#G|`<54F7A;S10eSia>6LI(?MrBdO$S zXwcsi4@siR!i<Pdr6<@CE_6fUO{q0I!j)wyixvfGu(<L-w#a4Jm{=v%VIvci+az6O zEQmrVlLCcndGVH+G}z1(3Pe`U55mqH#RhDpRUmdBeS=`;R->+n*Ax2Qf<B_IY# z=w+;BiZCu+TZs{&=BX&s)>KumJe*CASMHUBl_5<O&LW)@)uiGTkL<!gR@s-gdgZl} zbIQ%xDnpOn6qU#6A$3*6XJc(N8#((6Z&_K`3b+vTv?3ZE%6ur5ra(s(e*?S>H>8#9 zFxP!PazNh4ro@r<N#=?o<}*GGXdvI!EhJrhG@mia^pu2`S>Sa<Zf|G8J10_mu|p2{ z7*R}WZ~TxYK2&rswKrkNMi$Qvo{t;?Z@ra^*jTYuRF*;)FoPvux%KlgJmwKeI+vVD zj1eeU>>{aZ9%fuI%tj?De;K?A7nLi1sR*f#`J`y&Rk*3lWGA>RJ<?OD=DLhd8rfi~ zvy5z{&=w8PL%FNmY7>=#_gz%$_m`0u54fn{wWpK^;zWvW-jE#0ZkAiI-z)gM2sf3x z>;PA#Nvf72Q|WvKg(q@TdB_M{vKFa98kQQyV`A@qOXJ$Av)TwYf3jw-o(?Hf>X}j# zSFSts8$rsuM|0L1dM95A-hL>Qc~5$n-R}xDWImIcvE5yua1z%Ix`9;g23^4{kUr#< zl~L!5M~RyxRoqz(@q1zj=p9+0KMgtKuSyZ@Sr?c#TELu<eQPB!rs@l%<?LBks1dUX zj0oS#aC(U61On*ef8i-qSEvc`igXWK!xg%ccwf4ho#6t75N}C2><m}>3SzBvA=}%9 zt`E9HK`Q7DM9>{5pgRzrf$lIiA3DbL1KlA?11e_j1AUvKgXkmhc~n;hM1d8xUZgj3 zDG+2tS&$Z~NP4YBY{R{iGps=am%1ReVOO}p79cw1i`k4*e^n_c90@O3$<@<BbQ7-e z9?_h&5&eYJ_|R$GAT)>G3<)DQ_xZheeaM}8^!-BMoPGI8)&%ca>=<jD%rR$L-A-4| ze2x4-X50b6yYHYfy?o|V<cAo>?eqp&d*lbku)j|7=(3)d-Jxh5kHKT#!9fsM^t@~h z4bgcf_3C-)f6l5i{5qg@=W3Tnt>NkH)Z14z;P(x`*53e?O?K;e@RU6-XY9e5<B_6D zn}(<!NqOBZ!_(5_+gF{#_Uk;85B2c8#shWGP31kjpmDSgqNSRv>RZ#m@8K<!NlNrG zUB~z6K-&6mv&pj7Z9~_ZBf<{qBwwic9EI3`Nb+&|e|#TvZ$4{OtnBmX%{dsPQ~vy6 z<OeG5&V1<c`bf#VYQ}uVp^Qk$qQu+t!;VCVijL^k?`@p@Qq}X)-1wjl;`!m8m%UK5 z&bR5sJud^G2XvlE_Vh@ql1@4?k<b7gabw4ws~X5^!=cNRJLwRCEE3N^Ts8dqa_OBB z6Xo^De-Fc`s&pJc4Q!lMfSLw)FQ?B77<bc2s=%I?^oFN~r+@3+>q(B^;q=+MVqYpU z?x54>^^AM-8NAPtA8_1T>4*=%f)B^NJ%9N9c%)<<I!Fh?b?xDe(1JnWbPabe@P+fO z`A88nQsObRTW5$X?~&*#S|ahNhAW2fTh4*uf0$40fh0o91?BpNOy^YrQ~8s`gJ5t% z=_w1Om)Yc#q)-Wz)}^a_Caq($5)f9h8m>rP)gqr}vl0<VSr8YqKzT!|&Su3mtd@zm z)cLAk<qvsuw=jresmM1-)3Jw8z=OIXEo2#7l&<ouw1qv4t4d*sn0q9+GG}dNj`UPO ze`5>TRED6a;Ie)$Qmdg?UcsJmQLUE6il7?9Ld7*6##OaeR?0;#P^L?h*<m<kqNJB5 z)=}P;da!YB!zN&%QJ(@98u!3LgPah#NbG%c{vI+ua*?tkmGz+)vuIYLE}Bk7iWS#+ z?lk%eg{#PF9{G)YicOCv4eV$%nEX;Xf08BRRE}tUtpw3*6|eu>qp0Qx<OK7$q=MGF zKzUsno{Ah{o|d$hL6<7liM%z)uL)0z(nqJbl~->ulOoq_gwhQ!ytGO|j?zOH(ZAi7 znx`NI3JFio4QVG+0|O9Pq?mz43d0yIQg+ztq)Dy?cs@|PeZ6}ta)Xn8uTcu-e@mCr zUG@zOYYiMZo$c(VGM6QD$=@p%3|P4+qrl2VwcbfzR(do21)2X^vPs5!ExA(bDjQVx zAvIVXaui#9QW-9Br>Pbx5=FxrV3kqN8>5kpz;Xt2Gd-inKA_Qa{7vP^NMf+WiS(_E zjY&}MkOY^pjEPUA)D#95tOSd=e_;zqSyE9dBMvMAv7)h5SON(pxxp1LXlRm8unpW< zNZBgUj5@1NUYR!&HmmibXEEy~AEQGmkUFsqTv+yC!GqC649j1{XJbhT5&~FCfQ9HN zFQJT~gJ_kXSeMc38n$@qQQ7bkVzIP{9pM({RK-Dv`XNS6eR4H+#KkYGe`O~{GxJ$* z<mtTbZL^Drx24(a3YTGhMaioMb=Euid!G2~v)F~;1-i#(81~SeM!gR0rKW_fyB)-a zL3<wXsM()_C7f#|P~vYN4lE*$eyBGlzWCq{Au2xfEhY}!(wzr&zpcmaC<|H$)*E?j zZ+C_vu~2#~g<{-ugJ-^Nf7(VS*<+%Gh~7J#qG#gKk)pS<=Q%Ic`^h@ll|7x>h`7Bw zQ^Ck<!hB6A<Ehblb%ut)Fl}+o5W*b3RjmPocm$`;oEoymK4>F|c*!)}Li7h_lq9*l zz8Ng2hhQ?a$O63QVkogjI){yOh3P1Z!Bm3*bB;nsl$yet1hZ=|e^^+8*Brve<)D8{ zWsNd}B-FAQU1dI4V#2_BSD`NQ`d&{vgY|T3DpI8Q!s~Klnd8N;aQ1k{chU7-)V#tW zWsb_eu@nrh0fCQT9cQ#P`*D*rSg#3(B{|&Ld14-O4L(VfoLZBBHU{%yR!U7gDPHo1 zJFAWnD)M>uWi<<ie_jwecvKh6GQ|<FC<qfUeI;6DH9DlT(nNL_ZU_OUU-x;)Ua;Oy zpy9N^)Vwp5?)2MHiKBOkc6FX*YKKn&`<XPBT_&Am-vjrQ4d%(O<Y1Qexxf0iF{i^v zj^W?!9XwWB*faYj-e3LtVJ*#vWplP}!o6I(!Nz_t)W*ile{67Y(B!x5len54H5+!M z7Ium2xntzJ-<VS}Jfzpd@z;j%!F6NpOKkUj+E#g_dB>%-@h6K_uDBhdH8k&>CW3GQ z4MnngrGl@|ks1g*1UC+=5*GFl{f0v2O8{6=gq8RPah*J<{ZZb`o`&;^DB%IltaX?V z+Fu?aP%DI)f5L9SwdC)Qa;2P|wyHvS09Hg094m~l9KQZFjMHJ$wY7ZDbOJ|e+vU>$ zf;O!+diffG$Wr)q_@;)<(~sJ;Y*gpqSH4~rq!nfqVD@~|241u~=0@!Mb`n_Cj<g)U z{q=;vtEj?CwRbvzlVy$aZt$HBXv?xyorh<AvMQhke<;o5i9Hp~^h(R@N0Er<2ZU>B zzJA${Iw~b1><&d5ZLW74C;mE3+ncj}kmUv8M$GOe&#azww1sX{<^fHDSM5tjQ>|%B zd7eO$?7qSQv5J*SX`h-}=L$hMv^FORUGQ{cBYJN5$mg%xs^qUovK^~=rNG%Ggp`fU z3mZA3e^{a+B*$-)t8-T)TcMn;I5)X9*AB@po$iD1?E!OUAnAM!M^BO{hcZ2tM&d#- zKNRp_1~+6mx8o$1@{L30!!J0~eX*nZfDX-Hsa28B;J*f(j4i{Op>08NhVu1(wqY-} z3$t7<6X%fE&|7Uk_i;yxfCJ1Oh}58tiYW|0f5&GlG|;xHoL)|a<g|P>+LHdfL&(Rc z617`<Bit_x=TUe#VWZ4LzR1rM+O$xp=90&RvS8xIOyM(^DyBwj0gk^KaDWHVW2}^c zLuW;t{wX5C2yKdK))Ly|^O!4P#m*xZz&3dB&>2zN*pxrPL>Y?CQswsYkiucf34#;C zf1L3mLRciDG3U~$RK6Clp6HLhfa2_wcoEjcTESjS2-9Q+ggKL@U9BcULT!P<cG9k^ zIaJ_Udk1b*lNT{k4eT25EkDa>>z)cE7&cg<ntO%TG8kcfgBj|DvoKRu44*Sx+{)hx zxDXFOvczrSaVWxuNejx0XTrQ%3ViNNe^CaX1gsqhgIv&P^OS5Ntetewd*LBCi_eAs zG)$f4vxFoWv<^lxonh+<hh%vJTFBz0Q7f#TV((;*xP4IKQD;lC#IZevT>Pbhg?d!? z(ZyH|xW7`JlHbpNg>{E-i_L0nkC7abN5HqnX18+gNm$sO3V-EdRv!5|M)+Qaf0QQ~ z@0`sR$3@G76(LTY60JJxoNFbP_+Nk)eEz@!RI$;hHC7ECSVgJeOiNDIIh33AvK@u* z@XQb`RBqJh0btg+b*BGo%L=~F^@LS`TJ>ZebRW9$o|>U~Aa3kTNaweE!rPNcxp!*C zo2$kf66*42xxx#wqL4YPVpjavf7OsT$)d0DV*@w`YU1#{koF0YFh3RvBTwWw0YQ`d z&B-5goUjXVck{C9S@lCTmuBlbyaw0#IC%{`*yb<}j+x<h<!L?TKQzX+9;-WJWYi`f ze7lJ&YMGBkJ8#UD*7D0}{U%~TNbIksqLbljt{I@pt5|JQ@4EOx<Bu(Sf3WlXVC0b& zEFg-tvx>llySkPd(c2CT%C;(h&7Kn2#g1PWPXst8<~!D}%cm_A7deh5V;703YO*tm zg@`p7d{69rXHo$&k?-v4fv~G+Y!y%>@p4b%7QeBa)Qw79>t?wc&aSv|;K6>siJWGv z4cG}7HOFca_xerdyuz*rfBOYb!ynL^OcI1mleOk7agIB76>hv>>C<pJ?YYUUU}oR} ziqxB}A;1mbH|2<PGO=)Qm=6vcx0>(;Z@~j=#m*AstY3|z1T`{@Tu13m%7(TvBsy}7 z25VIov>gVzrmLSVvJu*jn(_vg()>slyE`b7R=|_CnRKR6NRGRte{fcAYM>zV#3M7p zdY1=84XZp>N0aN7Dpz6_^xe8ux^!<*Y-gMK-fsQj8vfjzy+bR8{f8EKTVu{MtTAV< z74<%^6GpmFXwVw}WV9lCK$m=se@pw~sqnECJCskdE8BW)F`npGEkOclp=wvTm)NO@ z*Z{l{&~4~j8a5GZe}UR|s{+{Gp?vrqV7nlzu*OuiOTHCoZYQrIUn6(S11#E+W~>T@ zH!ZXyr{Z{J<O`1Y_InMQuM_{)ftw}T0^HtiV<ffJ|51n&v|xCj(nGn0;h0{cb9+0A z)LpfcXOwvFCpvYEp9Po}dsH0@c*wop?N&f5>Aqc=n(*n_f7bx=j%mvJ^;NrlH%F2l zoT8Le+?HpQtZP>_Av?&tH-NY{o2Xe+h#I8}mdZ5bF0l^KM&vtJld40ad$k#%Q2wK5 zxp$;}u^%6W-n>~KYJ2T{Se>C$O<+Q1NqOSn#S$tuFmm$N`&CY%6NZLPT>_ie1A(3D zk#7Cd8zRfwe~T*XDoUiUPd<){dJWIF-IEaJBpiIZzhC+4HSu^bvd=2opOn-4@lfw5 zzN{7Ng%)9Ys0rbIJ<yR;Xd6{tZ{J;o9iy95H8|yl5@<5H$lFLy-=z8+?@l$jQ6%Kn z`wdPp6Cp;_nuHiyKvnBQ*T*?JNBfpm`{mOgN#j2yf3%(AbhWA7LRNm&7eo|^uPBr1 zH+qrw%M$_dxZ5(hS^dqzOViz4Yka5u>CX1o(T}Dx)_u~JZwP;#eft1AYTrZYNyWX8 zykpL{8?+d8q0{1A=-#0sVkxjgAdhCXCv`rK$(VU}yF|z&6)Lv{$2-<nw>+E;WTi1H zLnF+Ge<OPLP9eWIA4{U#n|OA_rJqW>eCkRqnw4f`)$e5croi#5wrAz#BdrI9qO#D^ zC^R`&;i#%gy&dN5n{4D5;CRp}rm~MwQu8`Gs9}EZlPKNVoa`G163<5-d^B!mKYO91 zv)zC<{x$kee2h`jqiD0_ntS`d+%Hbv-f3xHf3Mm5aLRT1Sy9b(<@ryl8^=?DqS~9; zBbDMiO!aB+Kvhb~bYdsHWdB#~C%5D~oq8)*UMYdyBG~s{f1e&%wWqsvQhm2{N7d#| z-=2^gH>rS5?bR~YZs>2b)fFVhr|>-S<FQXNOn>nKXLRMwxnnJqgU;)xaImZ5>ebWg zf72x~_)fLk<i^?9p0T^l)1Oz8u%ZKrodu^p-u;vzDY^E!qzqqH*3KH4Hh4$Mk2#!U zV*YlyL*?;>3{_h3#IFzC#~mL<lai}#?A-fvoa~bPoOT}AxTRy8zlt6iDRt`&lo~jF zE5y9Wo|bp)L2f2!e8Sy9=*l7W;?&`Hf9ncMqHfo*$36!&taN@ARb0_<29e<X30H}5 zkfVFN`EPGm?(7Wg84#SBf7?>SDSmGNrNs7jomc0f9>MkZCOxk!-am|t4R8!`s=JlR zD4G8{WN)WQWcqDOXQ!#?yFQ)jJp(t6Uy1~FOP^)iR}!w4B)z`48v!L(vAhtUf3iM0 zmF^~^r^x}1e$!g<8+#0{G^7E?Un7IQsYSNfgq?eI8?iNc5BUD7c1`P5u4H^|#%I2f zZ+TtwDBfTiwO4higww7S5U`ceM=NQ)P1w?@-(%O9_f^~LmiT!1mQT=1rL~h*p}~!t zS>G4GRTA;q&L4fT<i+V>`YqR+e<h;VcLO?;;q5u`_>7Q}W8Uv%v|}?T`rZoa3#rWo zg0NeC*GlFIyrXHU(oc>jD~>;kT#^rcG__>Ne&)*i$B|3&pg~Fm;&AH$;-*QjZ<ak) zmdHx@LgnC>0@<yBjIYQ*dZ9v3_$1CDncMJMakb>Afc&nSg5rF;B@cC~f8@a4esdvm zCc8&Eu!cl0zbwYPZwTVx<ib&wU|L~s_;#8w&^D=FJ9UrQk)0$QjJ<0sjt>tfz+%nB z3H%5GGS&hb$B&Vla&L64Eg<tlz8UpP`)uAi#R0DE<akkhVi}EC4d@Dl69?Voc0v<Q zN8fZJaJk(*kNPAE5I7d%e=K3)y%U@YTk#h3yQ+x-RKQRXvoKU;MZe87B5bes-qhen zzyYuc!-xH?@4l%=-n@0Q!X5qAV*$WvPs*d-k9u8OJIK0n{9feO+HXaJeABRNOh$j# z+vG*SaN$hCtY&@CmWG1_a*cieH+>+mU86QWYcRnqtde-eUHNWBe<m>v*gUx(GZWip zWepu~DNZD35YL&A2dC%MYivafwBRR=yC;|C=>;HujS(lpGKsf<kx92R_qqh0g_O8n z&GB-5`5~eC;Fq6g?zL%HLxo)DiJ|54O~Pw~t|^16RnRNMi}enN!!dxez4htXibQBO z@fRR|@@d9kl)1Qqf5@yaKGJVW2oo-CORe_EpV@=RgCFX*Bw&OE1E+rK_v0j4)5p2r zVoEK<mBb76%MbU%2ztVR!6ys)i#heS^!HU~-zNGF`N~h?begK;fvWZh@Dl;)JTV2h zEVvw<)Vfk={`%ye&S>CvJ0%~t50SyV5W}y1IEcA%oEb^7e}LW+8T;i@0uU5tJy0MB zFY3azZWUIvwXO-<gxDk(3$7KxR*M-5Jz!6}LEcP&(n{_l%o>!1$d?RwBRqZKNkc8! z@*=tgg+W|NfC)uy!+RQN%nCp%5Zi=p9`cm$7zk|e@txaqcNONEVu?JdJ*Yr+SK;hD zA1sHtz`=p-e+?}UPdpiS19I9K1y+F#<;+xo)mE@(m{--mhPAnTzkD0;r5%&cVh{_A zw5(ZP<v#M`Ky^E&z-n(p8uJO@E;vz$u~6-khXQ(q7<0@`1%z-3n3#O}3ot9FEE1by zDis)DZ#(Ykx~l-WEx=~jOIZMD2&@amma08+Pe9N<f0L`aqF78=FHGW;+LCuccFGaX zZvvI)6v%r?vm91{vQTjkP!sqTA<fCx6sCk2VMd(2T-_=DGdhD)x(1nI)B&p`-0OAS zG2|n+1^n76dCq%D#?HCJy94$x$SKT*n2Or+n9|y+7)otUOe+3v3=V&v_%3wm{TLm} zgNcq%e~tSwnv{nVZP`18TKID@?)cgm82%D*rCt%Ec1#Tjgm+gjh7VP1!MWAy@WwY} zc&H!S72}(%=gg_-bE8zeJDpR}R$0lo`qf=fP?V7u6a-dmH;Txc(7tjHAhW$YHz<%a zTo(Q=;fNrxQ03dORh}UzDpGkOg5}<VqC%u8fBo3lMs)O;Bl_srefQpC$NSMS_Os`R zXMs52U^_j(t7dw6R{@<L78BZ>AS6#22P3CW2U|_8mph>&$IQ@HtmY`8N@D1`yjO=* z5YF$x8Z&p~WCgWPNi<y%Xa`oR(Ys{Zkip4_k;p{4mh=7Du-b+}gT`qAoQS;q`5P03 zf9{>E9C1rz==2s#1L%9Ew{xQU<aUJJg!Mp4;?NGr{V}P)snB4xYAZn#yv<s98MdhH z=xv0<fOdO89<@5bAD|RfSTc^xK;w_q!!m9AzU>Iv<G_AMkL-IaSXM~hUVZ@F^za(h z1!f9BY3u0s2ngX;!S)28oj!t}zhPd=e{6ul*=Y^)9Xddm4}Q4r$gSB2@k6&wiVJG| zl?w^mg~GOA8@*ieJtmx>CEN@!#xKtS=!FrhRlk)xn_{XI0l<+jyXMMg+8^Z_JP|E# z1Fz0X!s4Xrv&;hEu0VZ4t^r_*BFwuEclqQx2b7n=4-#~Mo9##|J!{7Hp{8;Ve@oaE z=5j)~aIrvM)N6^k4$p_v0XKn8k$0Xb5KU#C1%3wL?PGbpLFK9N8sMv7x=^&b>jrZU z!CP3@mSBVNK?f0HfxLF3yxu+K8B7+?A}}cwS%@o`3+1qOX&z<wP$Bafpb#_`Ih$iD zm_Nza3WxJ+0wJrQku#B;{xvWkf5cY#i~!mH#1de)mlogx(3gn0F%|eL3UjB>y#a;9 zGXTA<-Uha9sJBW~0G@>lAwp=+(XxSU9@-98(YXMOGrI=ki{2y;5<DvcEA23Oui#l> zjagR(b2R}ajNwSZ!yfV^xPx2SS%3=~DhIc+UNBgw+ETugZ~zQq?zy79f9M=$7LX$d zvxcFDUR5CrM7xzbgq`)(yCC<NbHU>eZM!xO(IjXHLpkO}L90|=1ZQA@u!z%dEA~^S z0XBk(!WmOl2q6#*7D@RLLFjB|Hh>oN6$V+U4iGTF-S#N({T<3s>=Zh2g000nm6w5o z0;ILryWEQq1GKcy7EpJie>0eQz#KtyQLhCUD>eZ~z_V9fAub~95l(}#0=f&mm7oTQ zi}cK3cbR5#Tzf{of&b8XMX<23t-~6#YiO4|4p`q_n(G{hNMSw(ZVG%0DXU?}x`J{s zL5K^?>i~w+YXkK~?~~&MQH2yU${j@#5K}$DzX#~yvtn5IYcY@Tf2rW{KZ_~Fm&H(G zFCOhTMIY@qLI2!eV&vZUMcCq3?OXStAjUg({Ahp9cPfS2w=sqKF7Yh&ZS@(0{;w2+ zw_u3q2SY^P(`-@y*NdV)w~OQ_phG`7wXEyG^wO^CQ%kxYPA}?ud`hqD{<IG2$ti70 z_4GVc^(i&f!|6Gwf5)eOLfvP%LonnhI9J(#zYAYZ$!o2fD)kr}YoUG7zHgN9?h$*k zZ*}$_tGb9{0cY}n<?OS}q?YaFpURUT4&SSd_(kw>@__a1H?0P%t|r_BZi6fbZZupy z%;yCIAqwiZDh+ypXynnCSyN&t`V51kH5Eu$+^`DPLY*kle{NU}Yc>!blcg|?>C_;e zV`8>Cv?yrA9Ec>otGdBMP8`%!HiBSScuH2tgwP9}c}sz9#E_wL6i(^XVS?6>HKy5J z5F^WFnm`M2JT<_N88(zQ!>OM_6ZD46#m)7?WIUA#W##GdT7k`qwkmsGFK}4VUS-9j z0(%q;qBiUPf7pCkUFdLQ*Z9FXq{v=P$<q3LXO{l_O{J!a0DHk;Z#bZHrJ~9sD`ohB zC^(KNhv!&@J&zY=x#SQRwid(q`+;S&gAEs!a(d&o$ld%bRA~Y2&T*P@OFxI<7aT#q z%SY9~&s*khTpC-?G}u{+((cM0aa{*@PmgGloTTk8e_!4h5RTuWjmjC>z7D=F-Kt3< zTOoFGNN;VCTw0-YNM|*3gvVtjbqh&hvBu^YeSWf0OPf&>zVoZg*%0S#Xsh(7*0_5s z7y*tgvv1B%x%C`*T^Rr7*n`vS&{q0cJ=?1w*GT&=mIQ6~(-3G1G6f-aMkr;uB9w6a z;<2!Yf6?pZr7H;Cpt)1aY;pFU0EhIB*0|;ss-Ye0fcAChiXGa{ls!^UZPkiKWV1|R ze?(v(UK^-glQTjOx9hjuHncIlzd3Gph0-G3y$N5IvkvfEH{hCH-xSxoq9ZXY4@Vh+ ztQ&AlPio#@jl0N1Y0qSjkk$<#(>0nT&sQ*9f7fh7xTI$^4d|`idJ(3Vou`*I<<=N3 zFh3HVY95Z?d$Ht|N<>-uX!^$SP*hja(Id?=I6zi$n#n}3Div#0%7yc)J3LFzPv=$N zFkjnL->jDQzD9O!Q&;sBe%;^YV*FGZ|8ylP<K)0L^o9DiopHg0b(1!*7ZZZC+EV6E ze>GGQe-eNzvJ|$HW?juD0@vCKxDl=}VgwEB8rWWb*0HTSz80>dx9e8&TF7b?J5|WR zpNB8hE3z6r49tUvK*SAD0&Uh$@Bn`f-jZwu{5<2)c5Q$a3i+sf1}vDt6lT<usqkOF zg$k}tV$Ag7hgtBq$s)iM?hpCUkU%&ye_Jk8PSzLA)`<fqvywWJ<DhlUleRN2z6r|l zX9m<L`SpCUFt)aCV42Dk$40i7O`)(>16wA~2e+HV9g`b`c*RnJITLY#b@o-3HS8WC zJzl5Ismi+M#S=ksZS}z1-aPV)Q$lFGhg@UeU~JA<$1s0BfUCW#u#a8s+?XxAe_5M3 zFweQjh<_Ywt|%K=q_T5<enarJ_Hl?FHE(S6A=V_suPVEv<B7x>>r3;jI-Ahm6R%!p z$h8P+t`pk0P(oIUifl)SST9;w)fug}VP0+s#GtTaWDE8Hn5$E@I&Q=-uG4q0p*A%O z81eCP+mJ2FC1h*r^Ds_x{PwyPe^sjun&}*`xP5hNxt0bm`h+R61lMz{TrJ)LSEQe{ zsIgMObn)k=26WWLU!rM|O+`&71ja7+jI)u2*CgK9)qYmqyw8AvEL@&oY!QK*4R$T< zA8tP|Wd%gIm`1QS%L8b8xECEcm*bARnsZla#6LEEzEFUQ+u~|$mG8)#e-TpRkGOjH zt*U6;E~H5K^PcLY(A!SLukX3eMir6I&^d=?bu>d(ZomjxSSY(eo6E{C;P+zJE4KMv z7;fJlhfPjzk|Bj+{{Hr*BX6-=jJ^HN@Y}^Bc%a^;L7_|X&Y=t!@JIBqA;e&@k;ZUd zIKLiiM?@)MFzSYXe7lBfe`iRn<rXAURTh~V#zP83GL(EJ!XDWiA+VC&Rji;FKu4s2 zCvh|B46I$n%K(OD`9x?~v3SH52qgwKq%t@)Q>Wz1l{*_UF>THgdpS(u(~vXM(JPrF zw?V84V`m6DWcP^+p?R>;Yk)d)2Z9pRA(E_<+bMk!sl9C#QwQZJf2N;OjX@>18VLgM z*N96n`6yl|5YO~Nq*FS)r}oJg3|T^3`h}kO4B{ejp&l<3ATfi7GG^M6rVs=@gqgTS zOAv~`%3KP|$MKqhMCR6^bZUF>)Ovyz#2(WUF7U<IF&9&coO$uUX*e34VbvBjwUwY# zzFL)T)p2J^pRlale}>W2Em($6V;ZBV)RC2dKRf`P62ZxuiY6>BH-|MP2-Rgl1VlNk ztI<{%HnoZ109oAy`eq;Kn_7BB5uk5s!$Zn*`rEoehqr;4q1Y1wPVF=JLe(l%lZjwq z?KL<Am)|<V1@;ekK~n46uf;nP^dZ)ira|H2+6=hyOkoBXe*$(71Vd70+fw7h2#Y4I z^qSNJbMS=&P%><$u~XO})2P!^?wQOc=O>LU$D#)OC(qh;48||3Gm5pqH3teEYD)%I z22tHd46)uJ0h4E<I4s^fY*L7KY{po7OuR>(POQD^;#kMMc(uA^v1@9YvIWa)A1Ic_ z9+|DuA9z@ue=rBQSq(K;z2R!LmG&XB6;bZqrYi0ijDY@5K3CpV%`hkTa!uNH_K_%x zn+kiviGGcIaZza=^}#nYIlRuAaG-9XL&WU18BCs00hMYrf{8|cREA<b0b{HhEubnR zf%7CDU1!OK1+|*h&2_LOr)G5s;}_N$EBzg^Sovdof6Z#f_b<`Ynb$)MgYtKdbP4iZ z?kJqNmgKZY9buzg!X+}TI+vW>tdT<O9@-9v6m#JVmnR_$GC1l}8rTIDd0M>3Sd!u@ zO^>`<)cjEZcX>uLBHM{x?7{lcHgnH53V&8)8mFmYSL?PfcDbfd=dLE_ICh+hk99{p zoj$<ze=GOmre71@bvdh8>9;0=ohd*~&0>$!_H)k_w5^QycRdefRV2()Y*o&)3h;Fy z-G-}?EXfz?9UrH(Tuqcg$_1=KWD`U1SoVZApOuGvjuEb<4R9Ar$n60m`xA4{g!jA7 z%?TGRaH%RY=ixzu4-zErs#NJ)S<{54ph+O^f2&jTGqZY8Gfh}B>W-aD3?k_b7Yv^Y zPm-HBS5x`*51tu!WlsoeW20fM@!?6X)kggt4+h?%l`uU}#~xwNVn+yiK?l~P7le&U z0hTO9F*mG`&d&fGiQ7pRU>zvQGWog&8{~^#L5A$9;wP1bDizcAM1~VST6eV{W2-7H ze+dSXL0`_8>A+3Rk?SJX47X$mf<a$i3eCgtt^r~ABBBYSm@yI#1S(32>Wn-X{|R8h z^lLcZ+hHJ?FNY7Up*NNa9^oGm=VS6vd_F+O-%)4`S*mhsIw~Z(^3_Au^yl>gKE8&i zEzXOQ)T}wgkHg*FODZf$1{M>yATAoTe`QFv$W76!q0h5~-|(4CJy_Aq=rI5SKRA@# z+Ey>Y609J$;^%z91fI#%LFLwrW&+FM+lMY%b(Bi<3FhTCnCIPsY0#~8QPkeim4G2U zK&jJ}AH?qiY~bGLOi{b7gn@NZE?1uzXw60y!YYn8Ftr(l)X}rR61WfgVn$n*e`Gho z3}Oj;-YAsUX2bPritYde%|5vSJ>nb?R(Zmzt9e4`Dl;H#oHXrvVIsU-TL4$X6<6>b zfDHqI<rnHX0dY9O`bjg?3lCvtEfqdzrnr@l09*!wAX&4V@HjGI1H_c_;+h~&mPD8r z>!8<KBs^Su0j?iZl);Mx4uyn5f6hg<sm1#eeyXyVX?-Fj)#eNs^cKzXPGd14VUuY_ zZ65KSbsDj&ajn^4;?5jc=3G?IJC2P|+#6U(w!)npJ4!4otv%(hRM#u+ub&Qx6}>^# z-3vwvH^zP|Bqxql47|HX$J)ZT#D09Uy)G@-N=P4YvsQh0vt6!H<JaCff9PgS7K0sk zurvJhlz;4dP|X@*?Hmu9z6DLqYe?-ngI}$k<HjmNhC#vuBu;@uFQ^gH4-)nu;S_J( zU&H?mdn%;mi(~EG7=A4+M4d{t<zoapTrxryiwbRdnt-zlH3T9m?ihh%572@fQl*?5 z@gy*tn^K$1<WV8Jm6`_mf2^iu!X>r$LyQgbvPOQv?g`oDkdoDr2F8&^U>rHm%J1vE zp**OYM=jLi?Es^}Wtv_@k#sZ~3!#N_vys$<5txAHvW0s#p#7Cgk#U;2(1&&~=lNkU zrK`ykXxq3s-R*sn4D3Ed9vB6zBG?~=sHs-$aWL7xSSh&Z5~4ebe;PNQr}6zy7)N`> z-5h7>uED;1OxLv%`9!)HuuDXwT$>=yv$`)`Onb@oj$7ppWsCde3;d!*<}R5uLn}~A zAqy>|@T{<!v|Ub|sQ@8I;^=Bka<F2<1WmFqd9uRa?_3yX;Z&6C9FjRJW4K*BDo7kU zs|tWXTOUewjr+Ooe{sg{;^*-bOtscWATi8!$0q1nINJGqt13&)WkrZgUDC=@dHr9t z84?q@MT4y>OU!{ulI5<-U{bm&k3L!eEG6zBU4*t#Bx1l*kpYGRYgH<$gUojXl8O5o zGP*dpDS}+L!F0IAKo~5$N?aP2kKuIyVX_NEldvMjNE8rAe++F%QRTz<M(aHvzjhYx z6x0Z^WO>9nVrmy(4R9syMr7%6A|!|98xX5hnUr=iFAxyppAa>n1*p+s!BTuS(U?(0 z894>)VWJT!&TSb}+vJOeRzsUgg=+XLCKO7Y8Mz0bnZ84*H68U+x&(tEYjIP(zyx2z zG!f^K-_H))e`3sstuW120(<;f=1N$h^N1I)jk$m54E6UP&(W5Q=4(PH{6&Qk+JVs$ zE)2xem`f;BDnAog58sZyVAZh`3>oXn!BV|jkOqc~pHN__z7l|gA!AurzG$=$Fot`V zXN%e&fgvNRK}rC20dhc_>0h3s(cUdF1+%^#itQ=jf8cL{<$8hD=m;=xU>k(e-_DmT zAlSuPQP>m0S74yfpERX3nSfcp0B(#c&KPk3vwk2XrJj>I<pXAY2fZc_!JOKP0q9Kr z?8p!{Y`}Xmb+-LkJhE=tFqvFuApfb(3Su$yd>Bmq33W!w&65|%h4nnTfLoh3u&}1k zeY72`e-YxPRIkbJ=ikP<hxo>3-RVax?Y%!?(EE5=v-cs{yvn|&=@gj!s}xJCtW@WN zJCMAu*o1*iN{DkIt1(-Ei4U(^8N154*;i;;dpQ}>puz}S1jC$cNf*{LWJm0oogLHh zE9)Q*w!O_lfm<yz#E5L(`@&UF;!+W!7L<F3e;<N%q6Jr7khTTJq3hOjt%6?2g%@hi zgv`khVB$8{!5vmp8<z<mf?hn|Aa5uC7pyht#hF<hVgCmk*B;OG8~syB5-OGCG9p5# zBzH?H`HIOUxmS`RhUGG5tB~ZLYi{c<ij_+vv$@2WOeKkpVVhMh<FnXiv;EfZ_4@ty zf7|Qjz0c0`d7g95bDsBe&iMy@7vRlv<~s|E>NZ7wHYw3G(N`uGF|P7VTMv;9lsm^5 zT^4QrTT#Z!pMv_d)U=d4^qE47q6$Sv5<EK0Qc<CC-S60{VjR+5bQkcq$gWVTE`m)d zO7ecbTH0`bzD2uGI_D9XsKS`H@F(8~f9E&O+!3lMkbI)fO6qneN!?C~WAzGSbb-S$ zXVr{kqBmOJQ6izIPRCdvgUEN320(P3n8XTC*K`o!Ic%Gx64)WB1bl^_@cqK`q7C4Z z?j<=(Gm*DzS`bNp+0arNX{1MlGOqFJDt7B(B@@uVCyU}rkEx$=u6OA_Ev0k9e_6XN zsw4tloa=P2@B;=GjFe>hSu97+e71z%dX!-2;Q#Nb<tRaolFescl5C5(B=aaixL2%A z=+tA5@(P99z<EJ4G$v{p1jq@ig=*+x^t379fw+jgH`Jdi;qXqpCis&wQ-=3csEF4Q zXPXRgm-p~B@CLM=QHC||BSKYte~{K?&HzzMgYZsBXZ&4IKWcg%h((;l-&Z7gFKh9) z*&Pn*b!0g6UL$s59+^yy0C2>4yL42+(2N<Ng1cy!J=%|&Sph%^DMD*W{_iSh6iD*_ zMreMnB>x}9dD%V0_B$@c@sCVufx4`jDG4Qr4eVkr-4@_Vd}2!|%$88te^x?aRg=Pa z358uH6uw*1N0CriHBc8Sp|DXxmW1CW=F{(ivo@}icZdUX%bVfqRfjM=1q`!<2BB)z z0Zgxlgx_VjU6?%Ysoy}1jYHu5P?GcVVYqCSkx4I%aVDW2w?k}zn(Gw<8zn48NLZXM zLn6%B1O7Ibs#4PX8kgPRe|t4_hdy;PRU~O&9a~gAy%X@Hx>ntz3=}Mz06J9rst492 zr6@hP&EnzQ?f}O7mNzzA;tL$<`M@D+fJR1ApLP@kZn1b!vAdo5v?bSOGq*^Fj*Rgz z7nR85E9S|}TmUu*+o>S)62UZxf#*3&s(Yu!Y`?ztDCbta`or7~e=o*jOA%GxyQpm@ zEJoQsU@<GauQJM|RlELRd`B}gC`!H6w%!oiy~6m=(oEelT7v48XZ&b+O5JAu$Zw`M zMvi(~Bx_!T`ZUPsjTAzO8v!<BmKREKoOYLV<V_LQEm_p<CCvnVV?Fau=0dxq<JPWl zh<pGe$wx`I+K~^Ae|EPrKT0}o8CFp^9T8(B>9{lG`plxv)#u2OMxwM@4eAehccw8( zEf4%<m5U5#uEt3F`-`@e<PFeGm@z!V*25xS;}mDo%jkoxyG0U)H<E(rw*YU8HsL{$ zzwuo{UM78O>poX~<(?YG0SkBIERTV-s8atOD9!Y!{jD2Ze+^kg5Q#78x&td*$tlAF zt&&7+tagy~Nk!6<`{(qc`z}~$I?g;%yK71cnmP}#EE?;QB84WQdP4_7yT8U<tT-v! zu2<MNbsDJVC0m-h9uDntlH~9jwNJUR5TiTjKJ?VJT3I5bD70gUk-H4Dv_)!FcZ*4& z=@KBFS5&c=e^5L;We&*j>eTKRP%NcI5V(Qb09ilkmUVSU^nAreVl!-;+K@zmu#`m- zKSZ00B+Lc43~ux`%bobTp}ZZme5I*2Ac<EcG{&0{=)K|?gyam+TbBuHquFAng~VK9 z935p@tSTOW!j8?B(56g*a0zwOhWbq;)D4n!;CD?(e?!X-d=<QoUdDCw6Pl-PZ_ogn zPK|kX0~`?9bpw1R^4<;Fw%PU@G~?Nc8?@f($^c0~w!a%-vsmE`unQD-gBGV7*#!N) zLvI}Gm7%^+J(|5|fzl^bW>RptY#`#w<Z1o~Bg}I|d5L#Ip~%Q&*;~>zIP;~++TdKk zV<$Kh@Zj5J1AoKIb{T-jl3flkYzck{cvyh5fMFf*1Hi*Xti-I25LuHTBM2}FqLgGp zf;>$$CqYIN^+=GfiIM?9q9F;gk~nPi1~nk>e@Z0zWr|Q|c_EERkjx}A5+p528r%HA zs3p5OGy@ox4ax#Mwgx?rD6Nv%Agsy+hPR-z0S{@kWPkKmRWG3rb(XIW6-(X-6=^W5 zM{V~os|Rc|9DmVbHY%6o(&J97=#s02ha!zYoi&VZi)O^u>LTv+H6XCUb26o%&o62} z+|>0Dw96i@joj<avS@h;tXLG|6o|#6Gduvw%PtPc4jOo~WC%Zq)W>v)`v;cVR@<P| zE+jXbR)2f_XihLe&>OuFPqV06U!+8YOU8tCE=F19V}H9_KG-OaHq>NJ#77RR(k}vs zh3zicS*WJp1rw|${o-ZF33=1Vs~%?bk0&ZkBX4-v(YKs1Iv#n;!;yaWM0*ou?_a1& zZe~9{>H>T>zM37XgEz_TP-IT?9@=cD6{pYm0e?FX9vWHDzLcc^xF+&|Vn;4hv}pa6 z^*fz?h@B}e7EGZSlf1jsfd#$hTM)!)xS}uxSmZSUk-RMGo}j$8scuP&&_<O-KeC=F zh><~@5=o2ovBVN)9PbfzulXqJW{TKNWNK%K?PW18@^I8|3#{f_ayWZFB(mLIq&@jk zD1U?Vi_GB;(C8~bd_tShXj0d_+mHDwA(Oh9U8qWTh|xy4MLr-5vu@srKZMEU_N^=h zwi-|BjYbpAjQmu^wYXx+mZH(yW~A_`Ju$Jua{oc~YDR6OU-6r%o|q8ax%yOP(w+(K zVegJP26V}{6-QD0#VLf%RRIkNFa5V*9)CI0M`HB3kDG5f#p5oD%pz-rhBjyTC5t}| zN}`Bvtu5B8{XI*kTVGp$Af2H`dl=8>l*eerQ%mGN(p%KJ8krj-#m|QdXxZ|GtnOh3 zF5zPZQJu@AE+&0xXr;b~z+JJq?p59DhDDC_yD^2T(7yadiyLzpZTQ;v{+r=Jet)5T zx%%RJxZD_x&hwSF`D733vM)T2>P()^k=#A;w~D|p!FkI}O35En)SsLcl4;xWor?Z! zB}P`l1GU?8cZ%g(7EbZ?BFl@qClVaUQ#sNAaq*I7bv$vWWu<i$3Dg>#Eqto#-FGuu z#!`Oq5Nhg!w&mw+6~_0-EUoI8;D23~FDXI&`qcMl#l?)peL8yG(##hv?SBedjuut? ztP4Id+57kwF59q1SF8T8UKG)qZ|m=XRQ4{RMHBrk?dtW3Fk*;hy`UmSjT>JW+_vJD zlQuUXKGP5|0FCzG%hw+u^sfBn2TiX@R@&pmF^SY9M6CcY8^4vIsOx-v<A2v>dM$av zeJCSvR}Uk-<+X4_NuIc~he=p20h0aC;?oC6@zIui1>=LX-aQOIo+@8gG}cRL$;rk> z2k@;FYDD{uje>d(G7S@o|CrDrOlNX!>x0HV<)|iv2Fe)SJ;rRbIAxbY$b-<&@gWs{ zqD`!P6cNt!u&74tQqF@+34ddpMo$ot7<<v<h3)`3b-}_GxDf^27H74ZBoB;}2)FBE z=dr4h$?w*2;f=ury5>-b05KXvL=M|mb+3x+*K^kKDTr5rgxBF2Hwi0Iv}$0z1=pJ{ zpjv6NW9N!+GRpb!(|-V0Y-FExR0!O<-T(@tWa38Zl7PL~dQ$nsntvuVX08?YwaygS zB59K6mXBD+N1+GF>eRTWWoC=)5Y|qfwsmd?XdJ%T^(t$p#rsM}Nv?{Kw2t~wiEw>| zl9H)Ak_r&QIAf8G+o4yYJoOzwRQy+!4$edMT#*zd-LsFnK!)h-@Ns{4iyGju#bcZ9 zx`GoWyL0Lg`*RLLdVe6t&E|ItFI8nJjo}a8n@dluL>&-%5>bx4n^|l}Ud<T$4Da_( z_Gz9z8Y+<$LC^4N%AjX?H>;qhc{P>s9F?$N(EV4{MVE-ngag9ceWTjK!tT)n!oR)V zlBZrTUcmV?<(VDp#cmkb!7d2e|8ZH@3CFvF>~dry!m<nU(tkgr?7)fmyMl$HFyjlR zfi%dOu!rLnTNcgjYg_kCSC`@M4Ah7aspvnvCV|~T*_{E&pCEFfY=iN&Q7I}{A1&E< z1T`5Qr{0l_{_(0i84B#=w)dw`aCJd3wDM+|Xj*Rbj%Zp+vr4DKg0GbEt<i1N&;s^Z zUQPbc7lmc*WPet4%U*0>y`G!Wqj>tm+Of1@Lt2K1KJBil0WAj_Uey|5@iOcLoqb$^ zno1}!pP2==2vcwx;zO8jaDRBT99%w9Uwq&2SNlbJ>zd7*s8V2$BphnhMIMf8`h4u8 z67Q<R-ylY)VjEw~m`u=DLbQr&^_r2z<>0z=V0DoSHh<y|^t&o%w843TTN6?uiQ!d* zvsvcS3Ah$s)1-qihP$6oJsAH$k7Dy6p=2=kLG@2u2CsIo`hoe+ip+%C!JY@)pK93& zWrGtBxZ@Q$2_F&0T@V#~RqV|wk+Est&`(5O0(wv>BlM>*pI0_$m|;GSC`cd-I%I^7 z3k!L7aDTf{mr+4g>5nfDoep7^J`=j6Lf^!Y7Px=0m-<=fpOvjKIDgAlmX4Ogb`N3U zPRkd{+jfKA^Yxb_%W2>1l1{Mo?QU@rXIxG|461@T)iVw!ybo1Hz+f{eAJmU-Q5a4v zN{v|cNS?K^+=m{>zC_FUP5W6HqIYv_;c$7^4}YiP!Dnl@!-5Q;_pr6sVrPSO)4W1_ zU@&XvVZr0#L1F`~&*f4adJ?NQ;QGD6+GkbU8gyms&L2+W{C=j3PaL6mp{Ie;JZ~JY ze$q_;(uvn0a_?^03l{bbEWW4jT>0&ZezcZVt9SwZ85w_eJ#d7}Y~M?%sN@w6j%6tK z3xD8)jKo33gu(5Jg9mWeO$7_882En}%R3>Eu(3^t%iRZFT^Wlq@+%DCj6r{0T1_oh z?`x22p0_V{c@i?*Ft6wPVc)=HW5nH%+^{kG!%1DUJ9^}9)w|)wX1DdA#{8cqv<@mh zEEy9%;X%qlyG@!~Q<p8vgZE*YTGN+(%6|*?VcuCrE?+D+RHik-&o5h*v-Smj;O8#; zmMg0SJz`Cp0SLqe<i2UYZ8i^=A~tKZt$)B{^>*U3M?7pg$-fT>o7RW4h2KRc2M~T) zUl(zci#<9sm)^3)nu3S#<DiCJ5DxhM+MBpQr)1p`&@*J#gmUK6!p^`myo;MK+J6YY zYV1yY(J*Q!-q!W)Ioc_MxED~<J$m1;ryr>Prs#gk{A;8z<G8lhirvCFyxumM{kQJI zB#f0yQfh(8SYCMn4Jz0QX`0)Vk6(A5IY&F7>+}N3d1UhqTj;d&H--Kp>#xym_cLoB zzFo=3?-`QG#|J>l&e38FB3?k9SAQhaqd6B|KvN3HmBD{tuX6uJ_}S(Bt@AzBGPgle zT_?Yl-cfaJ^xlDFzoN@8pf4XC{f3?C-~F2+A0l}x*e+Z0e#4aL$2S?pcPH#x(9MgT z?R5c)8rO^xmoA47DE;;$o<6;{dMv*sy_wo+TQ`_vP53aEkkHaR+*vgL5r1uMUI=Sj zCp42f3yN4h!F2}}G(sbymTrV6rw}i-soHe;Qm2-G{OGMT^f6S87a1yd4%a2iS6wv< zr^fCJ_efz~3g2(@ef(<+$0gjJ{sR(jO&8_-2<r{V{6cuSy9#O)LgizCV~e;;#+jp+ z+V*kbg(XDiI-~7@*Xu4XU4IQ1@A~a`*1Jn?UMOvX<*4H($6Kf5e{@M>8b28E%)>|S znfoN|#f|wkOa;}+%T<Nec`yAJj=ksYles5eU6Q)j64IO9J1KR^+v;DHv6o!Ko$o33 z4K6#iN{bvKGsJ~{({(Z7I2Vx$=n+LyxA|4Luqg^??@0}G0V-N>a)0D@M|@%Yr{Ti* zF7KlF-cg1zuR&7d<+n}Coe1n2Woq#7*`Z6c6Ly_kNlo~HDg%BOEsZ?H?G9y#cyF_n zmgKD$>E&^1M4uI?N)}olbPr8(m=Fb-bw}B>aakX<nc7R?Be|cTj0?P%>hvW;YveQw zxFo!&kxm<#%O$e=m4BA3fzxW<RjEoOC-~W`P1&l?lf#!8351FR<5(p~2A5PL@v1Fb zvF{4+g;2`wV0EV+qlx!gc%=!tyndkxDqE!hEf}UR07PD1^KB$L)}6S#osnMm(iDE3 zIn8LDM;?OfGtqe^4fL(XMl#F$EVc2^Mn9)wNRO8f@;wkf<bQiZ1L*1Sn2QJq`96DK zY&n1rLpX~zinSf5WMXvev>m4#V~&fQ$#<cBmeH=(IaAdn7+o($!@oC2`zwC%f}PEe zMZan9T9X|Ml;ZnKgcy6FyKySIKka#P+xG)s@du$_Z_w^zFEv4@{cOgut^pmiH%+3z zgU^zkCrzFw&wq_$mbBYNqrCV2$HuX-Mtc_g2*tgY^QF5M{Mg0Ws|#@h(+zRwJAW^f z-XrQ`BuXe3vsR6w49^Hod?<RJ{Dxxi6>k(Va)b6C*0%{NzGOR&eeibY0tNihZjaZB z?ROnWD6!-Q?OsD%6V$^;(#dVCS6!e;k7lkKJ(!~_(tmjx`@R0I$@ewRC51m|?9W~b zUA5U0JPVFc$a_&C9#_-+&hT<ks&I^aXgV-RT|I=#bO7Ql#y>=ps~`U1AO`v01FYFO zs|(-D90hyBh?gLw*P`?rwAw}D4cZ@0^$l8L{h20c$*9D;EV(88nZH&c2{hpe_J2)K zhf%9>?0;2(<v11^p|s#v(VV?nXF@q6*xRu1JUQw8XPozy_EB@(D6-C*a9R+Tss1cE zvnPiq)9TR#O`=GqJ)f8C($%=^)d-zNATaH3U=bW+n23q|iuVfU-k?Rkmst!a{<uL~ zeW$z_LcD16C8w^XE&DDUb1xC|2j^`-(ep4R<$ts$yikWNAGe;J(Te-rQ?O8)8QPz+ zyjc~@3Dj!$j+iujO&Hw~ws-9PPg|KoirwMN>4bWlE?dkE;#Kagr|Ov#oYWr;kGKYx zN1r5M9M%*ZJsK%FUlU5ypD#ySpKW8QGM9M|Y^21-kWVrZRB{q?G|6nX{TrpxX|W}k ztAD(7rj0$7qYZc>e5+=2^nu&)=kr5b=xNz}I0li3fx<C&@ZFCiY4v43yfhoi!W%PW zDSfq_#QM1YVM0RVur?F=YWD{;s~s4RBPDr#O&W|BoNptfc>GKTVHKMM_L1o8+zhJS zmqk!(@{<Yg7=d{kjq$-J89Fd=!VuHZvw!gM83oDoYI&v(YqjIQUoSqQfpSbHk3_0^ z4?-hVv4W6D)soSV5&p)51oK=`djyZG>xIuLL#$QIO&~v4EqD7cvn@zf(lb`wm>($* zMnBh$&Ub|CzE47ZFY#W#?tgl6#;y@JlB~CSYZnGSktn}h*7~<B06zFBPMp7{TYpPi z6lDVn{$7(Ab3Ys1akGc#et!y|{T{wLV@AU!J^3E*z8)!jjw3G6810d0Z8nS;-cCl^ zoaakX9qb+?k<zL7b9VEq3%;ulDCvj5e|i&uqvquN+_vR+6V-7vLb2`dDu)k(@kN#E zZM1CVpUF{No5l$cG2eH!NmZ4yet#R+zu4Hus#p-UQCL5d;c8DqOj;tSi*$N0a1aa4 zH)(s{WUF|G@TI|f;=|1$#i=<U7P~NjYCT$u=vI%PhhL7TzGtOQ&_=63aVYJi`hDhD zR`$elUzI}~Q9H?QOg(qLH6-4qf%SNqwN{}>Ep-!oOOFHx58Z58&$T7oMSoLZ>XFo| zLrE>k_X13B)76qRRP`QVV<y;}REJDm57ls!r*o3mY{Vaf(ZXF=EURN8`b(7}F?qf< z8jBp~FcwR1&=16)Z(<Gku-Yi1yF*LgtITFh5p_dL=JeNotGB=_&leuY*^sJYiQ4lj z2{sc|*~H=b$8eiZRWFGi^M5KyHk7J%qSC@+1n!b+s&YS@E**0f=jwWIl;pIGi8&@L zuQZ);i6cMo9><rU1W9Y6-|*r!=XDBn847-eO8W0lJOzGmGK5u>kY4FMLyrs0$Q_di z!dOMT2ND5o0k;cONCe;jrSYu4jPcVUSm}`II1+nm{fssDlkzS9hkrx;Dog5?YP4c- zXEk#JUSDRJ7IPL6pwVT=X_;ULqka6*p>YZ{wy_fO3ag6OTA4JH5GSt$Zh}G7D7{FY zZQK0}TJ81C?4<EUX8_;x7teX^RL$11cD*uc86BfaXD%82(vCXNM$P~?%vD4lzCu4< z(d+ww-jIl?Fdk*~r+*FT4=n@+p`PF4;q5}T5H9d52q)vk9Fw)r2dP#!hoq<T01S26 z+c*O=zH%1fW1LO!a`EAEo9&PZcPbS>(w~PJOg)S_Q*og_)nkt4)l-+``=Pc?@pW04 zMS*|!dh$Z6KhC#4&G4uH1B;h|jr9UmACuF<&s9pjMG=!{Du2digKwi8txdYc<H@!- zNaXC|o^hMJMQGg`d)Z^;I5B-e<)*M^(DL^ptp=Yap!6FpJC9(yl9)eQaD%bG7op>b zQeH+t@NG&1Rl~a(ZWg__RT~*Hx@TFVwb8G{b67fkU}7maDMuaDoK(|N7M3_O#F&gE zgbnSQvX2Rp+<z6}|M8lsv;`tVD$;gzZu=({hJ9qxSFY(ku>1NBETkZP=1(o?ej}+n z`V<AZtU8i=TX0?=ztK3D!20iV0)+DY1~>kK-4?xf>rW}rpHLO5-47)FPWWr&MzSvC zwm``m6fIC1f%IB4^;??$RDa|s!}lXck<agfUfUseEq^}!sn*KSdy4_p*Mx*l&jV^g z^vbr|#I18$51hv=rE@S`-#g;>wCbsX)wVtU19+v?bkrrV(`ve5k;$uB82qrA^V=aE zGs8lYXn`bkScD<5qJr;-y$9W~3a_u*nEAamIcPTE*_c&!X|>V=*1eeQ(dfyK3Hva| zfxNihJb$57eLW-WqML_%)#*X_9Moy>D${TR?^|_#&|ogfY48B^&FhReL%8t~N^7pF z-Y?U)(L}SP`#aDLp>oj?NoTjBt_&$mTdZas(6~iNiXk2zxz$UVk9X&VGyRs+ffL@) zq4OVuQ~$)b(DAl{)VbG4<($0uHuhN6&?mVCzJDQi%(GDu^p0f{Eya|fT9zPoU>_+? zA4$^KE`BTA8fgUT0sVx9Sk&1<XLEk9CLK4g%7@Hgn&$PrO~i{oQ0wiYC;=pCR-XH{ zp?V@dgisC}(m4dq6z2_1e@q<YSh(Y-K7_Z6&R`V|5mK=~IlVk*rZ+X(AOC07*>|<f zT7P$(Ei(~olSEb#-y8zd6Ma{8a~?rk*J}e=Cp2EKS+`(pwOJpmM`+#UMKt2ULh%9~ z#s|gYzJ%L#aOQE{>T^uTNTDvcccpn=NzDixOEhaIn^GxcYpNY_wLQ}27D2VAXF+8X zw(2J|X5#%N3}-xBW?tBpt=By7hd3)zG=G0b6CVp4yuwo8r3LC4S7Fu?c<O?>qm!?N z>i(aR<yjsSXz?<v-F0Jp<kg{lEwr5!sC<;e2q70n%2+lvD&I_aW1~NLnOholf!QBz zU@48P4e^*MuZ1v?jkj0|E&1yjYODrY23^nhguDV4CMB#|pH#z)&LL7We_DX5n}29^ zbYfy<ZgfX4pLgFjeF|xAgu16nVKbv6Egyh>#~z#6OfsF0^*v!~{8s2uaf&>Hgw=(B zyY1Uhsp4-9V^nrCbG{{`cwLS4p2nV%NvzyT4O+>!cpCO>$(Q-HB@a-uXsu8Z2e&sY z$0jLsuDkk^Q_5@YOvr|;ZdCY~uzv;pM{eqLt?YEs52fKc><>dFahUD#W&gRl4A!L~ z7aK}q^o-As=So9Ola&egSN?t)t{c@0ykdKD`S_gt!)o6lH=CZsjG6u)!Mh<1lg|^L zt?2Y9z4g}DfZCp4wwR0fQ{~c7Rh`hfaw>L6(<j$6UL&mCWBJ%z&BGF3jDHvIQ{uas zo*(5SwyL*m{gzM7F&`>l%JoKcB@$+me<<x`zYlz$fL+P!X?u%3JbBYLbXj5UOeWSZ z*B3XKI671JV|XtJ8;DKFT<Pd3uOsZ4yk`53Dk802YfXNz@-2qautJHU6s|C0=Nec3 z^n|}nHxUgc3TA?TaFj700)IOaidMGvI@ZO%Ydd?zHf{OJoLQElkK$FtmqaD{rXP*_ z*x10{Nc3gfoC)MeBB(7nbLDg#rDf&6I7-=yTkq&w>@j?pZOQVUxt=T&zufEQ-YF}Q zaRQ~e-}4`Q=2qrcwSH_GUl@LC-bA7K4&A^GVFSNKy2{QSU6?I<H-9<2X!m60MK9_S zCQR72@-9wrU@jsX;xiPE_?CF3Z9QwHFHRsoSDDTBgG3;P5+mqsKT=d_?<c9e4=eL= z0<TKJ`559xc!RYr$s-B@H^|Y0bVoCPTjbbmA{K|vTmlXY(Ub8XC@2GT3e5nWN$Vrb zi~=^o|Eh9>NODUA;D1y+qC~khxC!@D9mYJkxX-|(r~j`?aVz~fz+Bv?hv{(gYTTyx zArx2^0MN)FBTO_=0bXZa-#<r1QhHY-2@wS4C_#ik{VoMc`8m0OWg1Cj!AV%!hxhFi z=m2E`={GsOIs&+2G5;jq|6Y2-w3RHyjunKdQreQ6akPau5r4PXt0N~O;{^~^Y}<M@ z;`0KSNskokqdStA1(88v^f;_Zb95V}goJ8It`SyC9;zqso_6%rmw*q}q-dyhy*isR zAsX&okjx6Y{eSC>Er2CO)hhabm*$sIP^8fbk%wqt!IY^QiM}aWcBl~Aw*CS^T+m~- zMbd5r$6}#{?0>f8=h;IOA~)pJYC7P61s8%^*PjZ1E+|GI7FW|x*u@LF#qi(h9P^$l zY5&ccY>sr91G&;3{ZK@ls9s5FaJjjz86E5kzA=&aL$M-!FK5syY&XY6t5%tltzNr_ z<6>01m*b*ZyPK1(X`sw;F*T6LY>KM^CBHydmfj0oA%7Wi3VyT_oeG??0?RV_w~@O@ zu-`(R!q8#9)1i|4{EW`xR0-@&{|9OR;Gb;oKiO<LzyIfKngo#YoPzg*@*Jh7gR=iX z;U8@K2iyO_RtdN$athEca{oa7AISa#1x`UnwgR^xJ9`^fsUUazKiDb(Ma6$0#|0Ow z?_bo*1%K`O2WtOd_dnRTsFwxWApth%A1E*CX|q-TfzqPhBeuFm@Ks=GpHe}(pnobU zRRV4Qp4!s>!To>mPiFm3W}PN*qFLV;$j)1*3Nn78WVuSuuoB&?Sh;^7&n@_im6d>4 zqWd>geG#?&y8#vQlXmPM9H2rf#2zhTEkJQvM1Kq&>|WQ2prs26de~j-8ROV#QEH7( z3po1b{zcT`Z#q=k<{N5~1x621gT6%UTQoUhzLg7p^aiwOa%)tMtNeZLKCvYav<Th* zU4d)9IV??($u;X<@7`(Fwcf-2Gc7t5+Z=_;ZwYG=M+X)~p{75?v=Hw2>QjT}-%3^^ zdw*@^D)(PcLj+HY?7=>Q&w0>eRFhwN0`yKmK3%Y7J#}%|0dEOIKS5KI_ro(^_@Yyg z&$u;OG)AlU7s#63K}NYAcl(+XS1%or!ql(_ONn3PLA$87zx3$neL!|xoAml8q0%Y5 zRLtlT^a~WC&s=SB*I%X8Tsi)1cV!CxYk%j@JgD)6{x7|2`;~yfm(~)UPR||iS7Oqi zplxn?_L(0N9sH%|zF!59ze|x>zb4i`h3|}Qdjj2h#D<}&fR$f+CDnZj|24Y&3G~>J z;|x`GvD)A4t@l$A!}K2#dxTSY&^uIvUwYM`-GF?a-<I`H{7nw{=-Zi3pl(Mxl7AI_ zLC9@u{X9WB0yYauL3W9dTC~r6iT3XVXrKAnNR7WrVy-N|w~r>147)R_Ma$zzv}=Ab zWT@^&ss44@l6W6c@aMWcSRaYagT_r*{L=f*-VbDBRHfG$&jU{3mt(u1pbJ03`pk_Z zcl=d46~B!SIzOtomQidinaN1B2Y+`)rRPCQ{mp;rorqKh1}nm)*EeG$`u%<jFe-lw zet(eVgJ7du*D@$51^$+dkrd=H-VZIBXRGfQ$fZdGhU#H&`L+J9e)kbDx`92IAD@{A zz3p%LOK-PWpP?$}y=_f)0JWX3i`|og-1QeEu_z|(3A*JYywCitNcUH{7JmW+489qV zN$yT%pTg(IwmyN@E=Ba2n;WbC%~mc;LwGQc+0!bX-t04XH&*{EuU3{S5xLvbmYzoU znO`zi`Rk&!KMm2y#H1h(2=8mrO4r^zA?S~HEI|Hp*N^>zdj3%4pZ#+1p6Kk=_B^Os zfXy%H;)p!|>|oD5(X3x(9)EP)|M)Lx;D`c$w*SmMQLk%V9`uF3#V_cG@7wwJf2Q3N z-FL{&gXRPr`33d&R^(qNKYc>z_cvpdLs^PzP6M^62+jh+9&GQ+%!6iCnEyh#U6Ocy zj3v7!yJT=5p)^ai2WthT<w5%@41b}r1TKB%p=8O{ZY`D=HfVVdd4H_5Arg!sczb?< zoV%#YC_m@8Wt|cF(gFYV_GY+W(rYcrKB9L1Ri-;jLdF_O5pCp;YSC_4CVdHN5=kOp z5-PWrNesG=*tGE09%YX`-Df^5I{XVnI-~)(JZ;>(zG-*QDg5u{YI{_0-Hkr;WwP2| z#mL>V{QHxS?}@HEPk-k@KU5t31x?(&jo(Y|d?L<|?#zSEszuRNlA%xYp!32EYdq!5 zTpkoqbDjK(+$Z5D+B7fTy~>199*jCaIflGEoin;7c%{d_s{GXf?-XkV)W<!wWvKd~ zPEQUZKTm&9ZYQTRCW=_MiDt~3{gkm>xqglT#9?yMSSU$FfPW%Y6a+7%fDIMp*2;DA z7kRI3V!zNjGBnXoY`nhE+}64l6pO02uc-#13sBE&&U~Rg8CYBelL}<kU3zXsqF&ng ze4%X}{4dZI{_P3DQm6t~XF5qj9=|tU&9h1p%-e`RIo2ea;+pEQ#}m<x@Mlj@M+^$A z?F=CM{+e$vm4Eb#z-D`t>4|fJZu}&B)TYLyQq)hKlP>#5aH@aJ&)Y>)uJ4*?kr-z= z%^(=&g8nKV3W*W~GM6PM<~NZsR78|tOZWNK7I6_?@r0m-CPxTJ!3EqV)f<tZdYip) z6T$SUQUYA?l(Oi_3F-i!9=7{TyXNXV7&3yB=gD0A)_)RV&o94&N?>gQGD$%)LI;N{ z45gL!_H8_wpB@DSj4-4_Y@xXaew8WSB6RT6?;rZL60}*^?W0e{yYe}AP_GSIDSC#e zy-SJ?2VsJ1OvonDbjb?_rU)#{OOJk(il8qOrNmK61QMU1yOg^T34G-`;D4`m-$D1C zxX@>Q8Gp57Noh@22OQ$^dlT|1eRJgc`?G(g#10+YQ}~<0^*iFD)HW^Ji}gT>A@dU6 z6eG>2P?~$t!WU-siac55Od7&;l_CY+=}OImt|ASm^lEBBvmniEB_Nvy-6BktTImv| zHotekYYDUOpm@d?`^?kDhEu4H<sd2AAyHxncz<fOSojVIml7WtR|P)!qUt5CUc<=o zdRM$RimudqOCz2O2BgGyMx23YUy!l1&;c^iC3N|Ys!@4fmMJBkavwQ@YUE0rg?quY zrcmepRv@wgj%2w3Q-D^8mgC99RXxh}MxL0`+mLihvTu*?prd{r<sWBOZ$biHj*Q_V zv46^v(tcYV^fO`Z9rWK{QhZM)K}MK*O`He$#zh!R>5Z+I3!nUfY!RNuar+hZ!8?}< ztZ3TkC&Gjd$R9)rq8SL561y#_1B0a8Ey8O*vHj-y;9X0K@2Cf8uZ2+^V4Ii%TrJ=r zCEh`l2S_BvEy9#NsC=w9QgaG~XmOo%<$sIrpf*gG`6(8$<#_2Ex25ybkJY<-xR@nv z61`gA0|XVaWqC3;O$tW6@YYkH7u(YKGHS*bJRoLml3jYX@rvkaR_6Eb7YE6AP#voq zB~-AMM4QK96s%w;HI|e<qqRXV)*__DDHDy1lp?4+55%t0!qg+2JH+o+j>A=%<$s$* z#7fko_zQUbDZM>{6kH>aEd{>P&t_B>Lbvi{EnV}g-SKu)dKqA+s#E-rchKiVo8iXH z@J+~LxpanQ5k_+6Ds%H$ZX&-9@Pp_=n`U4{N}SiX6QGj3rG=Xgr}q!*2dOM6j;kGj zU=U|Iz`TNkpTXB|=angXHQG#p+<(@Ne+KW1y;GL!*?4#gR6EZ8ki4E;BH63Uf<EUO zq*I6ZNVEz3CNp!B=*ogE;|fVxavCDq`kxn>Z0E_E#^o!!;Wel93Vu3O1@VP<(3cK4 zl%h{2%b4*!O--is0)Dz8kG)@v-YiU&q4eb%qE(laHrzUhdWLZAfLOJp34h-MV^ZLL zX8IM8%+c~1_=_?hDeyE?7w{m3N<y!%+K)CsOG5wPmL|9wk=OyT_*f;x0XHR1&RYSd zq-u$!AH(~H4A7F$D`27pQR~Ti5>^iq@(I=KDEAI9qP@)KE|4K5{=zi?Xe(<<8%3R* zB8Fm7t|Cun(mRjfX=gkIdVl8cD#8HoCB*%83{WN!Hw#nVIQKj1gVdK4=_XpBrwGpu zh<jTpq6o;B0zYLR0E$SCn}rO#T)+1rkR;ezCYqo+#3jkuw4te&7b?p0WM8magP#Ff zQsA#Em`HIABB}#YYdfkgC{*0W>nE`CAf9$drt~T+7jk~DSu04woqrgb&-O|d-$9om zboqA7^^M3=Wh#->XE<mF<jb*2L@Qt?1%5ns99SVG$q27$c})CtfMf2UZGs=Fat^U2 zj6%5TVtC>*EA|qGWtJ8GSU>d|6L}yh_fJHsZob70m4&C@j#(MJbiY~h@K(+7oVQsG zxxs2=dxbIPrJHWYPJgM4a;QVG6Qa4q01&~Dz)k?8Aw$W8zVz~K{!kcFgMY;1=Hg-2 zrap{$(Kgy29GLIsQS;4+EXY?px@YI$ZKM?cvWF8V%ObuHW19Bul{M5^6z}n1;W}%f zPv-H)h~XK3ZNd+o{L()-dA^zM*H49|ojj|+h({OB$Jv#Q27iSZxRx^z+xaIwc20aM z_3D!;C4JMiU^`FJJX23h@-+dZZcR^1sd=BEZ1aBep3e@*mp8TI-*Unj$>-(jf-BhP zCn-&-cH?|kM*DfW33DrqD>ALd0_Itv&9HSj7^hu1s>8nW$U7?vuMCeDWa@Onjetnq z_Z?9z$NYG+B7c7cSRfjgsed}Aos-C@bYZSL(AD(V*R~x~$gs@DKphLtU5olGi^Hcl zojdl0f0Pk%{$Sds3Xt=p)nc>hFFGQ^%dY#l(b8&GV;1h^&8IDn6(I~(*+YICk$(o6 zQ}Y?`_j!q7bUyQ2KqXWYOwl>@dj_{rTowBGzw_4Cn16GVRL=*K{rqyF<=}bgY4u8i z{p5Gg(uq#KAH(Im^iYX4yMqP`_E1?0A&)MvoqcuciE|}J4?OHydOL#y?Hf9>$Ld4w zkUIbOEB9}4%a#YBHw@Ck<`BF2H(xzDsmdzogP5i1`C6mTBOmHp#6(wwGxW+g9#^(R z{}*`vZhw_r<&ZY?@;23VBm7YRTzMBn*#eb^tI{ux#dCTXdXG0=sjJ962>#mChpOV7 zVd#~1$r#Z!vV{qf2vb`|b-`rMWAQm0Sq8Ce+p6!U<jwq}uO7`dRzh^Z<DQ3-ia9w5 zuv&=0qnnFDWFYEA&F$*P96rOb^x=attDuv}7JsLTkxy`Kp!@v2nqKmd4*0ug@sB>Z z1K@BzBqr1fYL9&9M7@2V1M8bB+kCs)8eAgOEXb;V$|+{ZlzqBgZOJ|#NYtsZ9)W{m zOr17Dq9@DMbgxYHlaum6mmkUOWo7q=m!G~TU%}O+(RB8_1#v?dg2$;zD>HUEh@b)l zTYrZ&*v~yGD+{?jnT3E3&rHfjqfZ46G*RPm99O>oMAL?Z3XU-j|LUgG(j`_vKliv% zr#c;{%GY>xruBKH38V4(gv%Lg#~#)_-2I#Vi+!bv{qd$oGwM4zTKxJ~J>A`Om7RR! zSIke{SMdihk=w#R`(cOSW(B8z<&~!Q$A6!YO;gCREXLJ3-SafBvd?E<Gcd3?WM{&# zE_-oS#tQ8sId%w7B3}(yaM4PF*_9xy3r@=|+n&YO)p$<b;RzpkAI&HaBXak_Lkdpb z6es9*kG4OmX}Sz%ahKK}>gtp5M|1PNkI4G1W(cD3i<DA^Q4(?8f)C2md|AvH^nb^# zt3P@<zlUq)^K5&DM_4axi>RV5Z^!kaQo}y%$(Q?+EHDltLmlYY1Uvu;(!6<Io+VQt z-tH6-2SaY<Uq83CG2F&MQ!M+abYVuQC|XCQezG2+BUmfolgEa_$cFhS#SGi{xWLB< zB_00TKe%oD_`Ib?qK3${@mCK<3V$=UHREJ_7TXH{Lse7%mjXGQvj)}D|Fud+(ZvJi zd78JaS$_Sa4h>%-Dxq3IG8yOJe1dHOn)ALl#ZUzWn7gN+7tP!njFG<4kG+aZ@Ar1d z?AUYlqLz4@@7_t>>qWbzPs;V6b`!XI;*Gvmq6xkp1MQI6Pp}Mn9HR3D0DmsB-neUS zFtUI~<12(7H)PkmS-Az6d&PyQXnY~!U{jy4krU$cWTVkVXq;#_pXj#S4<Vy<#aj*j z<A3D~7@$)x^}!bGE^fC>Ud2KZ%dp|E^sbhO7<{VG_8hQU$#LxWK6#)en+(!IDN6>T z>~e(a=QeZeILkhsoBEmf=zqx~VZ>`;LOUmtF`#v2!ivSsCrI~a{;)zjh;(%<eCdd= z;<=OeYA}_MA$R$6zRlzOtiu8K|4YQj*qIKLKXpf&ROTK;$s6$aU2yc_&G&RX(bjB7 zk%3O_+GjWl@Yc*27FQ~2qErkHu6^g91WGh_{xDz_c)Z)tzstLq{ePI9uB>(8ryg1F zRf5#6CFDF#nJ<@@#nonc=YbV7;NaVn1wzYny#o6SPLqv!n%wng$8tk8Z!RRA*_c|b zH3mF9(Aw#dm%tB@T=*sSGtBr3tX|MI*>thDXFW$p2ZU^UUBQ+;H;%)--pYtRgcDu7 zu(L1NZE1b*Sr_i0+kXvF_Ok<g$EO|ZsLX9V(A};zvA)45YTvdsLZD}bc*pL@gu2)M zLi<A-mf6o@(t6y^&5ZC6%j-)UcE3Wse0^&c<hDc1eD-bqjN8)8%V+NVw7lKRL1)ga z$Z4w3GtX!}<>!?yqtDns6KL7bM2Wdyeo*cI{1NBSvRG3GMSm<6{d8`4*;1ME!!AFL zX6wum!mB-)-!tL}4^hRch~=bTEExvMSeac{U|L7%Fs4bT{yAO#tqXZ`cCUBLJ%09O z<kmR_{_P8w=K{~!@jWlRp3^wDzxC}QUaYxJg}?9a`j2vtdTf1mKWbCjMY6rH`(4{6 z$#>xHzBYA(6Mso>4>iTB>R|lbch8qg_tP|tw&hK1b7E;kPgb(AewxwL?lYYYkMq7S zK7DqXw*6cV_wt24sFltaKmXmlHkDm7wr;yGSKcQ)xRTk`?4ji|bAY9EZ0pwkkIKE= zYxkwKqPh2;ZD8u0o3SMvx@Ng^S0XKF_lC+1{q3whX@8DHhiB~8Gg8CRd4H2!FKk`W zJ+}cFbYVmMVV%q9fZadUH}&_kjkbw$?w@9Icc-O5QyB~K%F+)U?XR@7Jv`N`{j`Hx zd8YHnPPf;K3M^Y5vPEj!<V4`^7<Gj-P9)6EsQZ=M9q7dhw#vD*(?_vZ=tobz4hiGm zqk6-aOn;Fv>U&JpSSXFsp-^F27;d(hmvnu{-fcezvpB}x4Q@Q>ea=~x*J&H?4bzj% z9I$RT*w<O+*N!OfdgBVSJJ8*hhls7^;8m8=Hh=KA>e{#Ch)z$X8tl}Tw5Pl9PGk(} z$WhO6^P}Xn?P?CvNTnIXXSZWIUFvLH$d(c<pMOOQ7AvGhW!JY!2F`2Clc(Q0-;;-i z@{a3FsVl)ex729m(|_^SIqSo626u2rXN$LAb8shKAP<qgoX|n4sc=qiY16tgyOlL~ zOeo)P1C0u_-ZYc<6P8dBuW}&$bXoU|z-UPQwGEKS@`LGtc6lqr27))u<$a2Iz}cb_ zmVdrYU&b0!-Wh-HRdT>*S(Ti0lD2n3Am;k@S68q19kKP)wCdwetF)xwdokL(z4)mx zp{^g3(ResTusoh0w1E5XzJQ)Ju+fM`xh{3GBAT(O5?XRl$_>uhz@miapZvUwu^#-2 z)Nr@-V8d3+>z;UX<9nyj$-(#gzim9HlYjJ*v(4w$jz-75_bq9<1By@XRZK>|V%dQ` zpA8T2KT=t_jmJ!b$fGPfR7DZPR-!0bWMOD3w>LC?kwf%(-1@GxG6oGMlRiJ_nJ*Lw zqgO)$Kl5Kn<|RG+oMS0I%H?bQ_F<JCj6s78<o_@bFv&FAbF%{#nEqtsxntB$et#&# zMYDC8NhW-j2yP=Db1;&3cPRw@LBuX{9Dr%(3RI;)nD?Nios-R|b^sW0%at8m2Zz^} zo9j4G7#j3Q3!Cv+PoiinX09|}GWn5CkeaDim44o3{<Bi4m?4nCw)QXlxmFg)^xEvy zjF0^yUo88p^jhfu_g}4#n_at>Fn{hf5yIOuB3_C9*e3bd+e=CBal0jDee(Uz-&-!Y z>&u?`n7_D7>ZY7q{-Uvz{c_wwvlz>!DPc<H);?FYhhB$NSkfFiH_J9?oGa6`ul2kM zs$ao)zt~<MxD*7sJ$Z^B$QmgG#Z3D1?O0w#pt#BNd@lLTA@Gb)iwU;GynhwG=ExL@ z=W&mKU^2)JPlTUg5-ZKU@I7#8CczT=4AIU3NL*_|5dSQTS%{88q5)`SiPz)^JeXNt zQF38&5U$NEuPnJZIRSTIwpEn4Pj>U$NkI^hzdIbl;vS++uk9iwSwf#6>Nqo`Bx?)? zk<GbE5?B!Y_+hLwMci0q34d@`|6b-H_B^f%$gNbqIN1XaV1lenUf|FiE0VRP$!mn~ z%+xr^(bD7z;v>hG<Y+yFLEPt*k<u-Psu8a^`$=F}l{fz|YosVQs>+dnOX73x?J7UM zn#5<ts48c^Kg+9-d%MbmZ^J4o;zn0F@OQI{3c0aW0enx^o+2)+%72BAVC^a7##edq z1IPo0pc$J?psljRW3rRzaGkmG&*$!y$A#umfoI|IER`Y}9pU1lPU%na9w3b6?pYg^ zE7(j35ClP8l&DUTr6*dl!(v)zeH%~#V-b5tKUT%jzTF6jtpwMuovBATFCbVaz=f)< zgFkBf7s}p*H9jmc+JBp)gnjHA@dGyLzLFUrel<Qef5Mvdc;dR@2qSuMPqWX+z+SKN zx{2!s&G4YlO6zkIlL}z!I)?Z{4taXvaJ2Qph)6MTR3=6jGp91bh#d^6ds9X^{491P zKKZ}8xr?8h>jMHuxxS-HfujqlR^$NF0RAX>Gz=5~TW4&vntu&wJk2*?DZWv3o=7+U zjs>+(D#YyNa&C?=V1ox&8b4C>-y-AwMn5{WuC|CMUGwR#_ZA14JFDaVn_C~5i>N<Q z(l!|9J317|PKtqJxK1P2Vh5$`u#YH0zhFxy?2N+7l{Sjnvy~q)F6wphF11tHwPNau z%t*RveUMgYoPXHwM!*2Besm-qO+|B=CnnjD8eKxTaV>aHd;L>is7qV@kMhs-fST3D zmURaJf#LeCgk}i}Jk}w9%B)EcEAZR3;d<H&L=(rqmNqK{F-s~TC7@Uk{%eD`KkRf> zDD>jsp;6XFYd&sr^LTto#gLneDz@>$fH9}Rky%(zdw*7zUqAFb4zPy2jx)9bKP!`& z5q)bwwN*YQ`B{rE@<UiehG!(pdL%2#8a{%QVr6_6NwXZihQBXRzc$cXDt$<PmJ$PM zIP{n>Y@?T1Jk`~%8P#Q$F(QJqh@Oq41>y4$Si;yC2_231fhV$3Zg2mdF{-V;DI|Gb z-Ftl_OMkYhzM?*;I>bAn-NTbp5?*W|?&hm8A=b2KHYp|QZ(~PtMo-thNg0hjwGt$; zGnN^%?$3l+id`43-A20e|6^r%qWb14tA$Q1KQF>4U!Q4Mp?7ip3X4+&o<@}a;aY<0 z5Um`YTFDI%&djx@)vpCrpfBJ*!`(;pqQTtPx_@=;?q+iVjVC9svfTy`VhaKXW@FdQ zMz*im*&3R}CLbHQ6}z5jlr&ORTNWD7cwVAXmBCy+K4LRl#w3}-1Cp4xR}Dtq!Uj*l zv)et)oT;u`R;FLCr-$8dL9-YK>ml`JpCV!C;&uH%lvm>qvrNXws_}^bZI`n~NuTlc zWq+NKVjS-0ioPeOG93A}0Za+^H0@l;WDeHT>dJcR$6g7`!d(xEC*iWq{Dk{cO=TY0 z^rM<+STb^?;&!qwza`lrLLp{Q8lGR`Qd4$%Qh^W_kmOG|;Xmq6I6cW&V|&3m%_v1d zv4c)bu!Tv@30i#l@9)^N%RdW-KH~3$xqr6WHCA-3n2*fr)wdop`P)#_WqNN8HT;o0 zHs{HynJXdDK8j>U4#x~CFi~x@g#f6s#Jya)ee&-gzwU(X1EKywZf1~#^3eSF#4+&5 zEU~^-M-l7sM)xU&iitIP0JArvOZIK@SM2)hGEV)N3fa5fvQB8idfAQSHXln_i+_XK z+-DBgo4hEiU!L@GjikAkZwi3<Xk4zA9kPoQ$Jfo>s>rQXVU;lf^T1I^t<SHr01~uq zHVcp^H>R;P1s}rfVg~oL5-Km6wN79+`L2eKqMnz%ulLb{E+wKax|Ai5^HI65|IA!w zsQJ(ySbkV!n{L~WqR#`Omt}_at$zlJjl(s%x#6NO4KlcSOl>Pzg9D(K)t;5DL@y4= z(2v%XInKP-lT@;sN2m{BZmv6W<wtDPa;5lZE6QtqSZn3LtCn2P;RB0u{KN|FTE3}9 z0RUc=8abXzaT954ys7n>EAs=etM((uVe3^#s^<r4`~TR{irFoqyfBTJ!GFmpo1fmE z1xArD`13i%H?#Jm^96q6-d+V0D?tP10fdu*!6t>!pZ`wIRsKiYCrbomXO*Yp&=fL9 zbdJwi4h)c7(VL3E%50@VE==?u2&J1j^Fztt3zNEm)rF`(h&<p2x!Mr4h<FEFB!?aX z%^)5Cp)<DSKt4I$0A)29u73}jMw9{y<WNKQFGLGaJ+qz#C|00qCA@+ap%_9_CYvbO z!Q51l>m~}s(cJ9F{BulpuV5RKUYYAIa-}+w^ejMi!i5$>;ZU62S-xL1O&`_GdOuV| zP~koYTI)e?aA%JN#TP<i?OfrpEaxIfv|S+Fj5SaQiL<kZd$9(JAb&BUG{CU}<0g8^ zF)0GiBVGbG$$AFRX+#mwLngRSQu$%b%nFFRsFq_!Qno_B#bG(kB$hS$8R7{imQ-Se zu0@n`R!Ail=vR_^QJzG!K-UZ9ShPc+1;l-zhHP$t{*8DASds}|cHR8LOhw84g@fV1 z-lFH6K~kFq`Z)s4F@GYp^&|x|3D#m)z8;yS51v810qn^vL-21zIncRW@kCg`Ss<lb z1w9cqaMY)H@pw0WEX%rknT^N?DCBtkpx?{J7pppm(~-<K6($!ZhgWEZv_(V>ptB5< zWm;F7cvO-2xukgOpn97W4!Y0;hCc;A!2%nK$^8GAn{bo1l7AW`=q2I-r=5g~$NTcn zu>^(ac>Gy@0*i2n@C#7~^pjCu$T7YZv#~<_N~p}5E5gL#gZL^e)k5}dQ5!(0L_HUV zvt$Zs(fA9JTrX3k{I_ugE7>*h3*0-73rX1m{1*3G;&o6o@-*KFOE_2otps^h4Xx19 zICY$0lByMLKYtlZ&NYNG5PlXIEAUfX9cN)0_eb~w7_DTxiBdTpB#JeyMi|YiE;5h8 zJM)9dLx)g3{77c56<9R~#iAKPe+&IvaxBF5`~mWiVbGM&k!fN{*g<wCqufQ$IGNLk z86hib;x^KWPb70w=U#CJNGwa5YJE8wb4XHi1hqt35r1kC7*0@E>@dIi9ic+JUos|k z6P0jig%}vpRt-$%8V1b??@KNwalO!*WnM&!LZ0OBWuflVJJIUup7=4o5tCmDa>w`c zBbZ!?-~YqLo5v-UKJ5RQnY&bGW+s#^rj}HWsfjSHews>Z9J57n8po_$AWf7+aA!&j zO^wM=+JCL7G_?dnMP<r`ly+29I0;#!2%@sc_B%75=XpNQ_xJt&!FkC6?sIdW>%Ok{ z^#|%tjFIz4JGG0Yu9c=I&2`gvdN}7uA%vu{&N3{{NCim<7STFF$a%3HN@pAbp>L-Y zT!4qokEVC`pw5wgAUKa*EQjDp#|d#``^q4xq<_5xHwO8t7x}IBnDijA_V)wYkUqXA zM?^@LPFFG_NQ~*FJsdn~2O(z66XZ|*ZROs+Fi+9A{;INI%H;<wybtcp@kcgnV2tW7 zDYs5t->HT4)q26*<g#+pH+_Zj$G-R89H|~6l~%?bs^T-ym<UWCVXxGJE;@wyL|8A) zr+<rn!Uzecq=I21qep(OY7Zev5Le#$GHsDkqp8|iwMZ1()iA2NvRS1G-CgA>x{;T; zSoEYT=CjV3u$LI(c*VQcpELBvXO(}wZBLpoUveD};OzSMNV4&Vn`)yDwEpZ&V+z+v z-0=Gdg;77$Ncgw+^IRsjChuiGroZxW{(lrpqrn=yyQqUs(l7XRgwm*E(AbEQkil^2 zNxWZR6G~X?BTvDsS;@fn;)({IOLURZC;H9^N>ch$rLXZVPwi!FN%aI<>LHs;-p|f% z{@5A<jTd>7ll;p<`Y%h$M+BFp;i^pKU+Ii%Q86<_4(b_9{=Td__3s~zr!(#&8h@tm zpJZ$&e?M{twE^A$yXr6Om3(OBV}0als1seB0SGVSbC8?XOu#Ig9;EgVCORb(s!>2M zP7PjdI*W1{WHPSu`_a5OS)3#V{|GlU_+%G(Vw-ZGZU9&Flu?Qm@_FO|c;3_;Qt}df z72bVDMw8B{yp{HF-5>N0Z9Jg=nSW^?!xZKx{=)I0HHwOP{W592>P9j__XKxf5X)OP zktP{bv6J0&!A&)W)}arRi&Q0sZ2isQ!%3&e8w5{-@&kWKyT)i_ck%n9q(|`nO;3iS zlH%0G(aek<nGB<J#LID{23;3yFjE?e=inymb(Hb@!K)&@N8>D#DH?6o5Pyoae$kgG z{mNCZJ2ew<{w2N+(63}nNeNR;NILm*Lo*^6$)PmK`A#VN@!2WGvE?-C^kN|LB;(-Y zw3AiTF^N(|XrgEC@QIHu<Mq=d?^Ll(Wtn??&P12*?-xiuPfe#Z9o0AQuUU-ZT`hdY ze<*RE^4-vc(-ZgkEJZ=eY<~wYtSfz<Wnj`pt!u^#<zh)QK4)!(Eq-Uyi^_lXxrw%P zH%+Wfex8n96Y;#XJA6Wt92=A$*&XT`@hq&{=`-uEZz<;T!YCybnbv!atOy?4mAp>= zexXvDZurO^{SnjHsO+in+=QB}C;>1X&~@l!!|A57@y;%CCm${Gs(*ob%kfAb`Xkwt zat#Kb6ZzV>vql`tu~Yx6hOvPhOI}AiZ@7!ANkInE<C-Uy5@^#8f#X=TtzauWD9R^^ zZ#4#k2l>u)Ryp<6VepMZL8K6O3X*e~an3XPbH#z4_kZ$P9gWGEr=-_E$3^yx6I!OF zvs2S~I4VE@Q9!Q0%+@FAhrWMDu0(kkJHF&wc91SIth?+#kIhr2ObwtS9fz4xpyG6G zv0VA$NYC|&aAgoia8}D27j!wwo&$)9xl{n!je&EdvHo38WLU`^4E|2@ka63PfG}_n zsQPncBgaJ)#N)q8`%`HRXNO}Fu(kp#I6F9!H{k}*Ct}ljLO!o7iRgc4OXq4#n7&_3 zt2jU`xh(oA*+viz*EKYEjX2gE!{BS_eIw2_i!mzNQ&^NKo&~Qb+v@seb<)QwIdI%j z6w*~4Nj`)*4Z9lOWW=%V3qv~mcsyu1q{(=cW!XUGsaeK6dN0zG^CcFYKNh5W7Wo0` zIGHMIP@Z8DLNPxxXz_n9dToIbZtL=zix|~a;+t6-H~3cOo>swZ1lLfWd5{#?>S(P# zT*HA@$tPTa<MO#1fU(mUM)GXUxC&tz8=GGly%D)AqZ~*6MP$vtg;6nZ{4aA0wxj!) zjy)fv82<{5^|;1R*YA^vqD&Qi*1dZDji0o~#}dm;62x`o-lTu(N@X<NBckfPcDx4? zfWD;<tR1(PhE!JnMCy|<BS<|2FX=R$^HASB{h{YklYv<leXeRR;fFD-HwQpGQEtP? zgL+KKRiRoE(^R9(o62laruK=#MtHL>W&U`b+#9_@6z|QKHs>loOa<2~k6`4MJ-)Bh zjXm)}@(21gOvZm6E!YotNq1Gog(C$759u9xTo~r#xa=`8XL_P1EI@vncxifN4`b>A z+lwJXR4Y9y)52O`5qzYv^t6ap9wAA}X+jYsvv`aaS?kZB3;W<GH;#uVtx$BY44yu) zQ@N7;H1Z{HSxKpIkF>fuVT(3G;7s%HUnkK;MgQ0rL%V;<P}Ijt3Txo5uaan`v_Yx# zVomKT8ZO95Dy(_9mV74SnkXgHNqbljQ4VW()Qx4|e?ffB`&5id#e`MKny)pt5!?vO zO2lR49YyPdM~LgfTIWyW6>P~>)i3~kT?1!6lb85vj}x(=G+cWi!pjoo#49CyQkbdq zR~eEW)wh2x(gjH?1~2kC%5{Rc2oopS1p5ieBlreBq($8weR4Iv!W#?VmwAh1&H2iL zKH3J;fR@{%ZtA_)LryjRro7xo^F)1(ghj;~UMD@QxIf8F{<t#5_&`bQi`amY>9<IG zN7J&)qH#u+GUIa^wk$f;n5TR<1>LJXIEF6Jjii60?&z^&XitWVJh6=PMSn)>G@5xu zxf{tu+(GPX9y?ssLGYILel}2*=lf20lQ)dmddf#t!VMx<d0`oOqPeW`<0{>;k3?5F z?I+(MZAuU9H+3ionPd#Xm=3$A-#-T5K;AqO=E?Y?-y@wJHIyrx`l5d%tpfoYtmv^) zUpjxsJwovUQ|>6N&2Me$Z3Kw1%u;pwfIxas<$4Q?X>5sXBl)iMz^I<!5}%74QdVQ) zC6A`&+|g9Q(k5c_m9C4QpRb{_KhN$o4$Qhqd#7G3QqjhPx-CYvsK^`53C`Mzadyx9 zi6mfXd~Py%Cp(07C1apUiLQ~a!`5X`Mmv9JGbKCm(OL{#h^~oK(@ctbbIC(hNb)gw z(4o+u$s7F@^^C5xm+~zIaeLuGM~!{ZC0{COZeGrfl<w4)37q!DII6t5pOHUaF&@%o z3V?YEF7dAdg!fRls_-b^y(23a>$rq&Sy6dm|EQz_gKv%;s#+yd)JJ!f%HZ6<c3yvI znf%YVeN6?l%C%$|W1TcnRffAVTOTI9t$K!|N@A-ix&;{p#P_^DyJ;R@+#tzT(Q&9z zJ}}k;@1O2?-dzP#)f!U!<;J25*+W9i@-HQoYpNTo$G+XhnZEJ!QoKwf+RwOzApSif zT93?CuI@|mHf<s?R!2HkjvXd#ATfV-5cZP$iX*gBn#_WyPv~P|r1gKlOs*V@AZ-Ev zdTlXgQ5Ib&0$J;$@aat@YE<pg@f<nA-@q9qX7yb+d`@%yDTT>QIr;I~Wl`cGW6898 zk7K9&TfSkk`GK-*D&rgg6$&}OD`%!MP6MP7!Z~T?XqszB>L-G=l<}v0TV8)k{`A!z z6!>AQ=?$%Ehl~V$Qmzuyx8IwwoFiORW6{Ukz<`2(O?Hp6t)<i81v?0St<{HGgGtOC z+MmaSk|-5EFjxKZ%4YdQr4pCHq`wrJbU660rbT@oQ^B=}Rjoq%PBf9>J*L3-J645F zv;cGQa@(;KopaNb&Y)vD|9F4B@rJUhk8G=URT**U$vQo>W{1j*P@H*a<P7ZU`;5qj z4<xlF6h&9lVI`D-5N==(a(&zt{#$^=M=t*IuruSwNi4%gIDp&~N0o1v#*ONFafz4Z z-*IRCTRZLeTcM*CO_a<nL$E<wM{sjzYG9<l%v<T93MWu94~CA_=@)<31gTO94>H%h zw$+_tQD>K9^JnKsvv^i#qsd0SXNtXOu*!Qh=qqmJcFr2=Q<F8n1E0g5xqhSb#v#)C z(6#UeN1k=?$lByIwa3Gu_o3_6jitOy+0tZk&^`TOJsYYW)ex@CMXp1dykJXBd-p+q zsV=7se3PJMGs@pI!To=4VA#?kWdweGQ-mIIXhe)CB!1}g@=$H-UXS`Yj)rPT>C(yQ z$%nCw$mEP5X7h)>H698)&pRyzHQgONp}&R2n>C>k>K?;q?GflA227fbU#xXxKS1>R ztcP6YQ<Vyhe)+2<rXz=ulEfdqCPW&4YI3_Ktt8o?VV2(FPsxA1r5C5Lo2B24tap-x z$Sx_<*;k1}H5+Nus)z36pCifz10N-2BS{~n6xoWC(Lz6I^u+OG48L|TIi7t*pC9F4 zB&czIwOrKF!|zP1Ro>N1h9j4WS_(2{GCY+&7}FGybjH7|bKr7EJwB%Cac3%HsJ%5B z6YpOVmcH?zbeVt3{3Lk*(xaQ8ND$EdzD?!BkySr4iy?y$p2g>kaBUzv_V+caJ12em zsoi_P6M^NO$~^sVhP#MQB(II}s7<sfR#l0Jd7?Xh;!|=m_1@*7A=0+j@wz}lt)ZDN zj3MkGy&`Q{8Kc^-G}FZfaypfl^p6c^1CnF{$r}8Lrl)_MLZ6ixP~JGu-ykYRF@=;^ znZNWMRB%F>p%N<3>f8dacPeX!HX034zu%a`iX_2Pax-ZplxL}YkM9KQ1gwW6tdtO; z`{SA}5AQL)oAiP;vb*yUBa_JssrM-QyTiT--fS9iaB@?Gk~P;=DQi{kWi#lXV!pvq zry#|h{jh(0qtKj7oH>egn0{+q`#L1!(C=X?@n%@?wbe?V>JXtK_y95%Lfii;Ze3tY zr}DZ!FW5c7JLA`*pP=g^W>|Z9l@nXQtQ!MXeyuCAANxLoju<4Zdon2^!u*htA#}7= z%KOnd_tyt?yv_cyizi6~(fLi^&K<lOUGKpQlX-tC_htMM>E4=K`koN^UfL;h0T5^S zcDz?(>zi^q15Oy<R5{k%nsV`?LxQgn0ODyrEo2~?$9Wm|V6%VlwfvJwgM}Sar{E6L zJj{|W_dDx<BE7||N7vDK9urQ<=csA10S>{}!hwKi5tQk>E$cVN*Ov1#BF80HR2K*> zEvtY39v0i7eKWFw`ixUfe(n!Wt}ekL<^9N#EB-n*IOQiNlP+u&N}MNTN{OauQBC!f z%jzM{OLctmHO=r_T-0wOXM3R+DdZ<4jNvRf3l*S&);{C0ktN`>A}9}|^~{J4P!DqQ zj6zNUIJkb<m-{WK*S+9aUm}Qm!R3hw+`xZ)4(V=~;3}Imk>C}e^231*oyi*`oj;4R z3lg17p}rek8Mcx?rr0F_X(I{t<H$;eEja6#K-WHsstGswoKMNP2!8g<ozCPfuS0Y{ z{!X20TJ`$jf1L^^^|r3(7x68W1OHrL%1}Y?j%jkKu~jj^^%mLi2ANVXs31ByLWh4P zlxBMTy)0z#0--nM9!1X`dn{$UlTT9D)#-0n?yma9qa5AeE%^-HpR5fJ%13ZRJsEXj z-S<Z2)?F^a*S=GR;g4&*gQAXp3WZ0gSX;ZICW@3y{QAJk&a1~rLw)XKD2L(+d)fdh z_1c8e7Vkhv*3(0fP?WHwkg%~y8Zm!?P|j$YE)%zt)~^}EnQXiG0~TCWoozR5bj&Mx z6ZPJ2LqZ=fER}J+>)2=-T>44n6qTF&C&x7$xrGGrok5YH?zAEiw@mm-ezK?GbDSHv zvf6^kPcfcwCKG!mD#maiVuuzn<|N&ZU)S^tv2$&ldikR+{;Rm~=hFoo?-PH13A@HV z?hL}GHr?;U?3#=flrh2bz7ZOe*i;@BVtiNQ4Qm+hUWa(amI%Q1ejT5weLVcVk0XcS z$yp2@_?JceGWaWbT#pk%^(uKARf=r7oXJ7-CM~)4{6>!-qQ+8ErOH(v(X9q=^}7S4 zS4lqPlgwi3AcR8rs}8Z`%fo-+tt%;@{nQLl^Z!2M!%0G|=GQUG;Qi=sY4Aujcj{Xm z!tn~4U5@ye<aX`3u<K)RO`H^}N>Qe%rjyrGr54&Vx;=y(!&Ty+q`=o<RB8EUv@C+V z<rHTbIQ%wZG%x0i2eToX7AM4ZjmcEWO&U^k-)LR)I?k^gx5jeA6+M5&P!q`?y?~y? za^UjDpsv7CIlYVDDT=Tj12no#w@g#&lO2fJquVKjvuV~T?<ZG$DI4DO`XKclTYqJE z7v{9OtahLURBq-a&=J2m)-1-0o<5l%ojk_oL<&Y%tWr0iuBHu`a|!Qav;lMv8M=ee z4_(Wsx1?yl*4bH4zlDFU_;M$B<7>~1^xvsaUbRxFic`+d$RmD)dPYp8hjcd~uDu0T zoTOTh9neibeH?tGX7t?UQr+OFv{IFaL(Uv+wHn){+fTR}d>DC#>;!Iyt)8IGB?r8k z@H`nh5caqE-S5T@_)5H0uW`Y%%j5D#dkqY?D@+Y?9UUTTI(dIAqbf3}6<wnHQ|+mA z$k-7ef2sBan&aR$g0zftEZS3AUf@?F+&<+EX4w_*48rfZs!N+KgRhk<Z>YQotu5Ol zPssd|?`e?7G0rB3P-H^fCwcZW_3Y^uWnYbGmH$*f$65`YGSm<GwT3vTL!r9+PpK2V z%3m@HiJeBDXWxJ7u;n4I<ip*fAQ80h9#rnobgv~0dQyH>-OuKplTH~okj2}`SHZrJ z@;hfrmkMAu7{3(g(fUlgraSSZ5>%0>wlwIaT+lt-JglOOUoo)tcQRMeEhln;it)ts z4X=0To;D95-93uP5l)S0{RuDadyP6wY1H_Kfei4d6xe^B#IWfs%G^#@Pxzk1WSpoh z7d7znGk;~!YK6`qoC;;X^siUldlRRtC-PdCe933>!wJ84k~Y!fCQuS!q)#A)(M48c zi$L7Lbm~l-$)g5N^TrVq&-$~0LnJqwAhJ#!S?jNZHT|pEB)|?oyR0@T>FFkTbfY6L z9DKK))NFsE(f$PAR8SdNvsiADLjE4Z9UOxx`;c6z@%g@0l6dt<-&a|2XwB(r+KGM_ z$*rlVEPX1`c@<5q>fV_4tf6as;&HMKwlu;|RQ;!@-bxn4_aP^Zfg3MSx^)EEBw@;i zl<q-PqHn9SRm_a4+as-Rn)gUHU+KoaNu)8+tDAo)QAaUY=Mu-4>iNpxsg|tBzL<?Z z<ezE9g28oC33R__Q&ZG&Xbi1{+8+zX`TjudCU$f4o0>&e%-FXhgNz5S4F!USW-sud zPt)Jn*i_XSesB_MTJJppXBW`l#60%&e=5Hk!e*rLD6+X^Pjz*+{(dI4xve##HOap$ zz6pPISrUqmA>7Mk=xLeYM#_wLPiweQAWJ~4^1z{BW#d9f{%EJ}_Oai?@Y6U%|0c;@ zD37b$rCOuhz`jT9BK_n8n#X!369$>?Ani1ANk7(rC!D^V(I>vxj2;D%p0I-4317*D zM#MKg8eXsWp&K6SsRlZc*{N%e92mW@dZm9!RjAynTNik_Q(3QnV7P=>-4~|4Yp959 zk2&MocxyNmbBY61(F}XPQaj%vUwi6$H&;(EJLt(Ku;TTQLMCH4-sE_WGwDWO3^6(> zA+6Y(5DCj06-{m+$B;KvtB!8(-X!Y-!LX3nF}b4e40ON0Hki$B{xG>%MH}{|??Qjn zV8AWh!ll>i@&cuGGxjMxlOF0u9VPQuh*jsWdT7~D7j$_aA1dfPIoZ$Xa-G<b>_v@z zs{bu`H#81XP8D)H2(M#Yd^qvs6(EwwDK};84xpq*uHh1&h2(2bQ$Z?<adG@|$Ivvy zgSx6pf<r@>nC|aQI*qpKtm>TI^Lu}giUu65_vrBqzE&%t$o46ZX4Dg3S1lop_QhQH z;>4g!orUkED3ELPqvv|<rr%b978D!=I#6hUF}S8F8J&UY4^a^V>pC^hiM1`?*G!(< zWS7#3S&OZ6=D8rQty7k&e$;wrTql0Q_(V({J(8Dry4Fr$l@Z<_J!$&u4J?1-;O|t` z;AWUC^(6Ab#&K}w{$1u1Sc!b%GVptmT9zD^Q5w04ByfN2mQmOoXl%`+kHidkp-y5; zJqCqRU)2?y!wd^wkg+5qg4GH-im{#p8G}*BDd3nea5%Ef^p+7mMSEIaq7!Ctk@f2Q zn5`px-oeiXBCE5I!(H?xR4;$CAcW~#EWnIU{+@&|*5v48^_wiT=k<m>aQLHF@^p)I zr@`SnJA$d12s#;2<9HG|=m8Fo&Ig^I4lXoujk<wf0D6k8I(<qWE78epf&OWhPeD^m zv`y#L%wwUh<dpA88;yNg`aSwYGwo0M;B1^j5oh%x(ypz>q^xFy@yLJotg$+i-QUwc z0xpXT{0f{7(BX{^ot(^&$#|2as-*H}_T>0y5wA-<a8dFC8u3dLqjLAi8ISS?!p3gN zHhePSMdi-cgzB<*!p4V^N&HztZsp$4FLigzZ}fkYP{9U;56T4@x_bla*SM>c{_E0V z{IbA1oxg{Z`uo-kE{cC-CAwE~RaemGv<%7PDRyx3xMmniIId5k61)4n1v4yV_wZ-A zSJ(I`c-S`@+)U&|{R?{9`5JRzkK{Y({=?cG?BBs6<s+}(-{tc6D9iAkO^u!5$0uWG z*1}Id-f>e$d%B-YD3w34bBJ$iHV70fukI*Wk8(Tv5`FCmmok64>F--m5*0PFf*i3U zR95$)4E8j>I~TlcUd&eTHBbYOz5SWv`7!*_7yBm@MQ)8xqN4jI>b@&x)X7V#`_(<p z69vhs)JG*lFOxRbK9`p|f(G4GH@qAAvwu0K0ahGF*a+!4D5FTw6Q_XRpWWbnL%dp+ z?5q2pblKlHQXhZmYdlpu<Y|0WqCcXKTBGgNoY<SJ)9CgnU8npDC4y1;(r(#jtYbIq zm1xb?pjV<*S5d!lg4U+}#_?Orfsv#CMS>ge7wePsZmYGG8sQG5a4IcT(ljNnpA}}M zd=^!9H^?3<A8QhP_(hzl4g9AmUGHUd<#$0e#K%53Z5n?ISymh$1mB>p<8`&j{z`_k zuMNGg*`$6t-Zd<Hk?hZ|8v588;WIkBt;{=p;<Ds;&6$;?j6kESWimnFUm|=-_TEAA zF=p5R{;8v!rbVRa?MAj8z`Qxi8D2zUYNKm=Ua;fbhllcE^ic5)WV#Q+vdW&H6W8G@ z{-*dY-3xzVQDukD;pBL9L>IsoJm+M2<iRdCc5+9>b&4^?^7QWzKZKs7=7rq+;wxcv zaDkJGL+MtC<<JG>`D8oDZWwYWa^56FovZ7}6p!HGERP}cahXF}F1sU2+=uhf>|(9? zG;20w<|ki6hLD^|mLyk_Ey*zn!ZG7GFn7TQ-N}FUBqx#u$%SN1T1+w{K}indnah&Q znFS}>`E6(}x*h#X6uOOi=gSrewPO<yBBlV#a49So{UZG;{k*w1O9_q|k_O4zGGvux zC9)Nn`?p;f=caMf+GoWtaBi$^k+$Q1SPQ;Cm@4@w{sZU4+8SwHV-=Cb<4VQ#Uw64k z?g4*4;`Xr?M6UE%=$v2JmR~or6Sspkj}Ggz9Lt|>YpSb>#D#v4d|&0(w=gchq3t?w z0Jk^5oDL18An)#}S{Q0yofFpKDVE^226)mJj32b~$Z_nj7L(8F$AT0hMYNysgdkC~ z?5uG&$+6WenqtbTZI1xF6|tHn#KoDcEIogM=zz3kWTUy&;!=gRCg4+s9nuDt4dGJ6 zxe7B)+T(bX-t{bLkI}XnGGZ&wCKr%%$a&-}4khglgk3DpOKTGXiHakdpmf`2myx_# zk}WomlkML&4x}oMr`vyez5<h9QQj5N#sgv%Kc-tZFUKr^=Q+1U-+@e*@N>sHQpA4) zijC>(5$076XzqpTjxcezVy(tI-Ce&<Z}G{`3`(sy*VWm<;KqpGDmJ9AMa(za8J9w@ zAnkS`mqO=53M|_hZ7{AmKoy%E#gbXDA?HbRbwNgZFaSLok;Za8`@&s5Kdm6B9oFFn zK*SCTogzcQ{>lyN5*3JYM0r9kRxy86Zq1*MpsvPJh|bDJ1ZOqb-Y}G{50?l_I5|XD zC9MRz$GAl43~YKpFw;&e)_*`yj3`@X0i%GC2cz8J_KGQDOTbdR4H0n%d+_l41%7I- zy8SY+7q?4;(Lh;dpTc3Su6}8&Yb^!&<89A@<G6#Yg^_MG3nTWx{#aFO7m<JC-Qgrw z;sRM4hipc&G7m2#oSCU!8o9*B%$ZWyz6Wr}oqYUgQRE^&i{dfLbUOh+;F1EI>5hG7 zag>HzkYgor*}mNC;v(F_fMB`<X(5K1S+b0<V#dRdZWC%<omI;17T?7!dF;J~ZsliP z;*n)~Xk^IS$Xs$e6F8w@C=P#W_RgB-aBf<d!cXHxv}m=9&VhHJPe#Vq!F_IiLCmH6 z|D=*?hrCp=rt5#Sk`78m@2v-wlG$HKgy?<sj`}rvbA52LD=9|}^gFW;H8>gu%&-(@ z`w2iU-lRB{?)YhTQ}bd!(JIlrT8f}O9`I9~O?PTuf-!@Kz^<*1wt;_VJ97)g`HK0P zc+HlH!t#FS>BGJd!}UU<1H!e+f}a=H_8vH+xI;AVB;UV%$_C+5wSa7a&g0~PhSD|) zoKqatq@>#+RzNL4FKJ`6|KC=Uhsv>RGXNx9Gz&81I%%QK%V--0l5i0$+aZ_91!SwV zJXBUto180emv_k9uv~v^JGMgx_=^Pq9(O|HrwP|MX<{|&G<!4_8iFQJbBtv_v?9p@ zWsd3{_w2JqcYr3srMCZ<ht$=8Ci3CCUB-W0WN2y9d=W%s>0jX5ZvU2&Q3AS1*8i9Y zA}LHfuz-*_b8A_oV~tq^<xzV$;Dw8Q{Afw!Vn3&18im&$3v7SIonigAQf@)^lsISG za`VMGII{p0ojPWdU~2A~z6ogpKr;!jr2pGXevSgo<U#juh`-&$vO52L+e6?d+z$a3 z^p%<aDnNj468hg}@&}+Vl94U7qK^vW{8oEWjwuh@;V&Lnz>W$)rJSu<#ra1n&f;lB zpk^a+A<~|)6Bd8!jxvK$sO=%Z8pTP?BE3(eX@|t}4MhYP6j(*A0<J)&!<#L~=Uj}| zekga7nGrf-FV9Kq2olQ_+tR)DZgWp9w4g%tpg@cZM?igu+EvjM;-<N$3o-V!IT0P+ zVyR+ly630o3ou0$d%B!EY{gmy;(vnXmloe*G^M&d4Df$c{F=T*@1)<>sNF4zz72_P za}-Z00@63?0}%_Mms2n2gxo&0K*<-Q0YI@ieFI_v)DDt^%0}&lEp#_oLFcI{y7o)} ziNk6(C73W>8Mh(9;z^u8%X`RT(k?9r_2-N29k84C&6R3G<>$cCX<DaKrxnMVNfBj5 zhrk9`V{Lyq3pqBN`5ZgW0#29lXB{U?PnZGzw^Zy=`KiIysO*Z)64XMJ4Kojx4J$a& z)(f0c>{8@@<(hZh=r}0W0Xp$3+#1$us#$^w6iY7hyZ@-=@5uQSZd-G)Vnx>@Zj7&3 zJD(8FvWax5SrC!u-6nlQsqf;o{U>x@5h$|grG$UQGq*NJTKQNxXH9dp;$|EaL?61X zUtq~tRs(lH{1~?^z?W{_XBC(A{to0@@&DEeeQbMz>DR8(+mL<YKXL8>tLgKM)n2WR z7N#gCxu010pebLE)~qL*w>p^2qH}9IBE&q!x*5P-X{#V;RuY#X%^3ygcG*({+8vdR zT={<=y$}~6vr-KX@{nF^7dfAtt!~c%f)#uPT0vDn6zZ?sBnewwsc=XSYPKJ-AzN|^ zWE@Z~GbjI-UO+#os{sw=!{eQ;e>)0=*1jKDr}!m(aq}Wf7Ls_Gh(tiDZ28%7+y?P= zg?GOZ6if*0{(lsMSZrJ}J~zKAt759Fvps(X-~(F}r_+}rmO#zO3(1#|b|FYRs0}3B zlA9|=i>UzZslgHyOy=_cD2C-c<T(D9Sz5?Je@PVJgNtK1>Q@gfHqM)z$ANGt$mT-5 zn<i1SNpp}DtY4_#uAkpX4w59kr3l0kK!lhE(8SJwvv{noW=tG+`29jcJj+RMr~iLW zzf`|gzd#?LU#{OU_hyN9je?7_Wd#n|k*r9INb{0x{CB`6De_!b?qzX-qD2vmGk^RD zN%TKExf27au@z*;bDxVVaQ3Y2k@hv0kU#Cf{I^ViWZW@mMu3sG3Vs<`mb1TOo%l0u z3u{B<0-vH(rySec_vb0~IAj2vZrOilKbDiy5h$L(ZJSx`t=xz^72rr;+&8b9^1eOs zso}jlIv07jAgtXJSc*#yaG@^^%}HHX;CO5OYUKh&rB}MYnaM&_fNdvt_yextilq63 z7eCC!7+9H-59f5N(El562GKbPFuFpmJlg6_Kk4BdbCW&z;Tnxdba`Z_G46k*X|VaC zej(A#Xx_RoI={A!_SU#g;tc#Fv}?hIdOP9@qy^*8bo46Jm2K43sQ-;Q|B0^uft)|n z$=@5n=o+yAA?IgZLP)E{h#fXZ(Dn!jPyRzwluNW|UWq9{Zrs!QKS)za=o)ip<Px_b zY^$vKZTPAy#1#mqs`=;wkM@7)c1HjXz{S>z^z@a8WyY0IbLy+3dsoA(Ar#6<w*4OD z0`1R|f6FNQsA`SGTx@*sjnWJsvYfP6cP!6vmz$+g*e9c+O44?WxDfsUT)o*fS(ZLi z6a3*nGAgPIR{oPsM<Y&1YFCq;wB8DyV!xvBsLDdZ=kjlTIVMRIf5LxxYg~$^&PYJY z?Lhw=MO{O-)86Si(Lrs4a5p_oNRfa@b7*>%(o%dM2V!o~6jJgJ&O9E+NYtyaS<Rr9 zh|ZA^-lshn%bLp-$GhS?{KS9Z{EF(llwd@$mV63dOt6qV!I4i?b=oPDyBK>h%Lp#4 z?*`%d&1)m)`@Jl2&MklJ*dy-fcgQbx&a-XP0z@1p077@|vlz=uX&V3%zMeQDIVOJn zpgT`iyx7m>P$|^!+o95BerJlS{|{Bo_b6~|w+0q`6{4JQ9D7p~z^UMP>HS}U4rF1v zSaG8Zd$V%9>;J&t)_DvHzda7{{VF^o0h#7OVD=VhNbeTShO>WHR-uaU4y#dCuq-k~ z&E>RnIyh}Qaf<?~iPLz@$U-EjHnmfGki!T6y{)b>*gT^1;F;fu9?Fao`S(HURiZ0% z3%i)NP_rO58qyTY(tVq)N36)^*en5eT>M<IBHgdq24h{DMT?2#6~pssZH2%d#m;my zy&nQrMUkPLwJU$q{}$23*tk^;2Xroo#hXXEPsc4e+q$!N_Xpy|ivJeUwXti;0A}02 zv)7LJLQl>N%Ga+Y&NnX0e2^QX2{?P@R_~oIYTKqM^#$Hk+7`;;X)E%bd4Ax{-ArcB z^Rwicn1&MyfBQoz^9*{o#L19Wh!&InH@^KBy#2ES{u_Vui_&bBy^3QRT7m}gw1><| zZM>*^foL7OsCSjPJ{_6uPZ~eOSuy)aT&}Q54{Wx>{F~r<O#avMyEp}7++$n(1@8PT zeMHecDjiWpKE%1NCo}5qj?FsoRIln&>L$Rie)d$m@7q2*mg|ty<lpRms}}-54!t)c z!AM}0GunUaG6RtU!xF!LXzUgQ#IeKEpm#QB>1d*hUiti*!zM@C%ec3R#s8GK4nEfn z^iXGjwz(#v7!2Zt%zObr3UK?9Fx#VnuDSAY@+dy|+Cge;{7**t(M&VJL+DYwd6o0l zp9d<A$_oSfGL-tP*;9YkKYuzxdzt{>$S&dg9c_Qyb}iF|N8-h~e-P|2ct4OArq1T- zrXzdCwmu{H4<=BySt{s7vTNqNPo4F(F$2`}q|W-lx`f=G-);K^=5-UDid8GIxxnfN zE(;+PRDNgsC}6E{R_uN}?w!8m?0RmP_#xPow-a5)u6rxOKSb^_TGkvC98NKB?XHXA zhz@^T*5O-)I}LF}YVMqj2rn=Wy{ad-r-{jj81p*!?F?8<Uk+}K{L=RBbNahkVYk;i zDL(|D?(R5vJiraK=>>5G4Q&IUc{>Dn&}~64&z`<*(u(yDJhPfty*g^;mk+j%!>r|l zo>!U|fP85|dsQD>Ov~rBO930)9@dgb7g>Kq_M_YPS1AALcknwD=2&AP_|h<M@L^#y zl;}+KkA(5IeNTcg*xCdK{~XuplzAG`Gtq*iOBI&IsNZCYMQ1D8dx2#N?&-0`5)h7o zPH|`5gku*YkC6j5tLzi)Pk?QwO~;C+0zWigst^=2^TD>(0rEFj-UhOGyF8}F?G1ku zRK{XI*zNm{XSZ{s#5Fko5%_9(yUt~V(biIz02_&DGl-4NXmKhGYDF$mq1u;Q-a57U zY{AV_8_pKAa{)BKf753rSpysf!`<$hVI^Vi2h*&Fd23L~zZXfYa{~KSZUZ+^Oh3BK zSviD*g6RjDEL|uH**W4&Fq0UHop*o3Y;N7(+_-PCWDoEQ?i_2u;Kqy1OCwkKnA2X? zOF>lkZgT>D0FBWm(n%JP`{>U7h02P4hv$dFTx-n1Qh2M9T`aN}P+Z&8KM%O{N2_n& zUp-`%WGAu|LHx6C{1OFegWUeITGIRUV8xyL7W&P4tDz+_#3lU}%{h(rBzu3soaJ|) zXDwui{EBKIPJ9Fx&$3tF8APwF_3L^6D&Ag?&_gD#M<1ZdCvSh*1J;#Tu(pH;z^bZO zj1vCx`|vD3Z8YEvMW3p%2T5doq}6!hrMNccq3LaU2fdFTsz(l)`4pu3abF<6KNte0 zk0aQQ=BMTFKN&^+IcoUOd$)f{Gq5_fw}8|W_;~!>1iSUYH%m3evJKV_wsYWzqk{L# z1s)Gv7C;IpZM(n{hGVVx^l{<%+)_VA`x<cx*zUhWEUd{-Z8@anr1XtFaIvN4c5)rX za4{UXa8%&ETmV|11v<y$-x~ug)gK+kE3Q<yC=R4A1KXfEKYy%!8mNB-EEGFg2-CIA z)})kqeuW_N{m8O@rStjHvGv17N<^DC0s1P=q4&+HkY`OcvyZ^edAy1;bsfF`*??1G z%`U@*baTD^kb{A^BvG~VhU;O|CeXg^un+S%VY^zLMiyde?_a979>sf{#ZL{Ik*Trd zwtCd<FCLn>bjY>g!dQRxtuM}+2#x<nHjHPyQem#xp;>e-xG0u-^UDUJ9r8*nh#=OC z95gph+yJ^Zf@s$I8ws<M<kC7ndJn30wF$&!(f5Yqq-ra*wf|w02hp|_WF3>e2*_Ma zzqz#!qIn$PKZi3@AGSWsumX!(c4<d9h)Fg9>%g*aU!DEF<C}kY2DdO^4c)qmDq}ds zpz`bD_GT^%@TS}MIgDkcbTo;F6jn21%aE2M*+$8ZOiTcb4)d7@#&A2h>lGeE5hdlz zTDouH?bhXBRWPIF?Qg#a+#<p+&W3VRo9zDLbx6fZoCV80(z>STT=+x1NGJLuJtEv* zjYvHl4asENwpxF-Iwx8A=g4#AlpAf3pIace8IW7|1Bd35mh&2S8#jRHx&VaOrFy%; zgnZfi6@H*mxAS8LoV6IL{F^vJ`#QgQlc=yAd$TooPPLwKOUwk)#A>k`a3CUcP<cV^ zm4FX%B%93*7FXf06KW(_;bDL2xaMN2IJ^G{Z2Gzd^lyK){XB51ivn)+8)v5cKXjpX z1+6Kj=7qH10k#}frAT6cb6}Ltzh;=<WK8^QG6gR{=C(z*mx3kWCuG>qQNG3eyts2W z#KeBvG48+vmv%Yj<|&6yepf}P9lepS3lFo@lZ6$8p)Vk=RcMEti5^!fY7b8bo?UqJ zl$qM?EOLKm@6Mw5#aFa16-%BqEX6E@QzCBmmY<GuI(vj058}Y~XAMy8LXB(s8vUkg z!+FSry}ipcLFwMj_Ob*@8kk5VtcNnHD{VAg15Gr}Gg+Ayn2NTkZ<;cIOa=U?3X~cM zut9^UEd45?719pYULUgMRm_7x2SygUqxwzXnIeCw9dX(07zha#8u!8GJiO1HFE&J{ z)`g~Qe9fIoSA@EQ+`$wJPmjS99&rw*SQ;%u4@prTk8|Y9#k%Z$hf0@*F7%*;-K;F@ z3TuA|e1|)}@rDE8LV!6KBYCmDYxwiy@*CO*>Jl7^f<4YAw>JR@9OhXmL?#J2XvSIv z*2{k){H0ZJ8<6<8>z*cbdzsuI_YQ^qZI1c8Tb0V?`z=2Fl;_=E4tSle_EMh3rLq=A zI`Tg4gq^)}-=S3y;mnVo6|==`U=C-lfod=;&>P1Si}XkhiDf;slr#@4JyuBz{V6AI zLLh6%3z9BY{1YdDhu8zC7K4uXwB{d6)Ng;(uLP}dzR12EezFC=hHOD{BH1U|GIOKB zw*F7NfIzW^Y)NwV0|SQZCWeTwC@44&mhY#J7Lzt<x&M|MoaH}cIW8+~h7G}>^T-Pz zd8h(Zwq-jQ=U=&pBwR7@K)cjv2Q~XIr76aa3XX!n2CbS$ZkPXy>j>0}_Z^l0D*1n} zkVlw<l_4jNn<8%d%0);(8#~;v2rL!_w6^^~?CBJX<|P=5+5$maJdmpR$DzQQyKH0u zwt(3tYCi!~DtyxY^}!&rt<gK`eVZ-8CX-9f2D@`ENU&T>u;{GTY$h&1+Q4$DTn}-n z;ta@(;QnWH)}3FhU8mVfv}m=7&NY8&P-FWa1zmpp(r7@B+%*}iA0+*&XPH5b3ZG?| zypq=H8JB+iW5X=UNo~Zs?)mAkgzVL0#O5D4{=DDxQ<+wDX~`cOrch4zOw8MNy?!vF z_w<^cY^b^P*%WqILH2(3?vGewwfn)_riX_*A6+vrE?qDQ_XdWS={T2WOg?{AsTrTh z-gv!^ar?T_5N(J}pKrK$A!n*v<^T3PPm4WRbH1t|8LB%G$Z0toTJh@rvCvc4;!@$b zbg624U}ejZP;709Z~r4{k;*HuwB^UXgxcbUexCH*B!6pDQOi$0@$eGfK%rEra&O9M zIaG5RUhF*(%`2A?l1~O*L@IxO7)QPi$NZ^X+4LazgwHAd)k2}KbcxEY>27e8Pb|9h zQGXb>u^zSmabhgmTG%sr7ts*Bk8mWTHS$g9ePmn%=f|pH(gDId7CJRyEz_eQGmh+8 z{VeFw`f@|Q@`GwY;N@WCM102Mq1Dgf(p%V(q!>wp>gyX=Mj4$8^&EeD7Lph9&Um0N zk-XvxI)g=I3W5%_@}ORV21-||tUcK+qc~D%++7n(-pG7v+0!edN=~WfX;-s3`kTXO zQro1r|8rfJpKK)Akxe6VhIb_;q|salnv(V7=gt^@-$-SqbU98;D4p@!wEKe|A>Q`6 zlVjtqSqiU9R^#^)9#ntssQR^<Mj1G03@-dN@pN?<awycBe73p-)ey#8Gf}485R{J? z4E3Sbm3A|P`=s6YC0hIiPI7rh5PE~U&ao>~My@xFlT>a^90L>4X54S=YTX2RhV6En zKuIAJH<CkiFZ8zzl%eicue7?_t|(dkVA7KbUJrY~SqfDVnrMHSM=-Lfs*>ng`u0uC zlwMD5sxEJ61nI*$11lBPQ+u$&5J}nuH#uH+Q~x;gdy>EyMd}-Yqp+s+oS#izoYN+E z&ad*)=tgx<ZGT1wYvSF7VY;wW&+my&G2YQ*5F^j*$&KVgBkzS{x;OWk{3PvS!Nk=Q zgF(^|nVT|3h1Y+c%ebM>YY8X4Glr6SkRIe;u-9CLHzc|Vth8EoS!q!DCp%{34t>CQ zpibA8!|DZHy%QgkQ!>hjIxwCnP;rbpm>}ZTHs`6-Fv2eEqu7(t=_jIkm9?TY!;jME zvUT8ntcw(2)(Q$!j_JJPnyb7xJnejKQby}gTj;%}z;}P7a9v#j-MJ^CfBL6rpT4x` z41tUvju|2RBDMHD8$qxbYmjD4982DlaX+%VYIQ_oH7|VPOmcX}rO4r`je@5h-F~uK z$#K<gEk?ILu!%YC3~1E&s#VVT-t?y5f<t}IQp*cp5^foiM~sn#a~VaE9nej*XCdA9 zCP;&g$7Fx^2OEPXO8)3RMJ;X+GP(mN(9(A*TwrzZfxcKs$#j3Nv{|((kYTt;7a5QG z{QURNd(0(U@nph@=7NHh-<T&vPdUUVaz_<yIA{XSrbYHnx~M9L*GvSlGy2o;%%}2+ znv*w#Q62ckAc`y@&1Sm8xH%z(9n=2>e@@$|i#&f|<oS4+5}ix11Nl;vY^O4neUr%b zStWWl%_H|~CGo1&O_!N~AlZ-}Y@gDZ$$O&zV|==YCPOHlf@s9<KF{c9DS0W6D}y7e zClY||v2pf-twH-)BLml^)A+sGoXpkFzL6ZtQ>qQ}cp3VXy7Su$Q=r6H9npIRej^ll zrn-L|-G4?BhWFAw$o!GSm66vv|DY2^%rKNMY|cc9GNL@gQ~G)Liy;Hu(lz+4+N{c- zjKiVsrc)l*$j=?Sb+SAqGAO%QU<|1}M{bmNp<c$fG`~WwtNm^CDoyAixraadobVc1 zL%f)I0CNgf?mQ48$)7rUHE4h$?ZdBsPUwF_))FgQqHF#N)Uoy1)8^pLxjFO_xu&+B z-}6Y8q8v<5=yH`6Di`Xm>Mv&=Gk$7y$6gX_B|Hk=H`aE0q`LO6mFdV_6#h`}GJ$?I zIWC&U9PpROR0j#n${j+WDA<pl@;Uf!>opaNdJh%OdGE)RM5z+B89KPuQiml_qjrBL zj?{JL$GKyL-gC#hZl+@&6U#fpf1EY3TFdBNgfoFBiBKBsH@?+&4@nAUFJV6W@sLnf zR;v3m(I-t^FXaX|OxpmhgH<c5dWZQZ{)!_puZL=nuzz8x@tOB<oJ4GiYm<QpR7}qG z0LUS~a7jD<BTnR`F45P~o~(x?W1@eczX*ycgML!}#Bn8#-Kc*U6#_jeC=VH&mGET@ zNh78<)Nsu91wYLbCaYjex8O4g2?&p`0mR;<n?!mhRevwD7SW!xfqc^cs>k4EY3+ng z@=#?^{>r|Hc$wsdZh#e63n4uXGK%Cn-j{G~II=2+Sv)of;n_}vNVNF<+IWB6TLtk~ z)OvWMUwD1ZGe)sMxP3NmIAQz)J(Xg3roUq-(iaZ@fIQ8-MimMqeN)H6bzfQP!>-b} zsOf}&M%kF8RW%K;>Z6M(1Mr?Z6Ew+`il<zwb0QQN{y^|a2SZ1Z&&tDuGRaS>@#G(L zmlcnJSRrL}V0ye4hn}opb_9PvG2mH(=OSx0SH%XZ21xLs2%(%8D{}y#uZ^pQbT2a& zx;=_%v7hcr95v)d4v)PfD!l{}nsdK}q*j)rB(jSUe4mZ{=Y?G<6StKK?B5YQA0Pg+ z{awBjSCu^hFzL6cV?S1%qLoy?Ql(z;lE|98iT8o2Yo)Z#l*;DUHLHK%&%Jy0e{Okn z<<U+|<5lI$K5t&(gqN}-owJ%bz3uUO&UoU{C}X#upX3Stpq5QGnC6}Ov-0i#>z}^( zZTT)BeNZ}_Ru=xUHTc5TC2Z?G6K-8DPThe&^c~y%eev3T#VeO~+g!C+Yn|i1qx<ky zs~?tGto5+|p>JR2>k5AhKOKEENUff1!s=hKOq-FUCoLK3LGKTb!yj!w*|B!d`#*m> ziC=$l@fz^SOR?MebDE$R3z{o^tg(0Yx1ad-PO<ETUGsGxTi9J*`;D(k#oib5o3pFz zA`T`Py!30vodEOm>(WtCE+Zik+3I&6#lz=Q)18CIzMZ%cOf-M3c#!t5U!xo`OU^{u zwsxqV0}bchG<H$W$b*7h^jlkn@w&!1dl=Py!CbRoco8zxKZpAEGH_=mUI9Pvs7aek z)mRNLMIPXo!EWDZ=e+F&c;{_3OAPCYB}vxNH&3*4-eKFvzI{>zzOWxE5k7HS>G3c% zL$g@eY>&Dd)CPa~dPR}>!jVXwv=iN9x21he6ANcT6NFAfjZud=FE99O)*F0Q-e6P* z5p!puiqsb##LJTwoxkWta0gyMR_0FHnqI05V$?UI0yZ;GV{Pz7#+@SdsSlA~&#nHD zOXRB$V>=Q97WI|9o-=1bql2t!c0vlg-|rItFms6I9&CR{Du+5m+ze@xeuDtXGa<NR z7uH4=KrICKPzC$n-vNG{S<YG;ym=E6LWWOVxxZkXbEnsN<|xY{*rDbil@kBfQCu;z zo3+qj9a&kmAmUDSTWyLf$%gYXG2kOqkl<@iDy?#0-js{kzlZX_ZwU-`OxjCc$l0O3 zH2cB+#}j}2oh_c@4YIhKD5l6W*phU)%GUorCrfmnljr(&T3j#_fiu4li1@t<!mM^~ zlYPy{ow%@gsKB@)=^#0V329lLv<IGj;@y6k{H3hP&PW%gSPW4Tk94$r3&+7P_zjg} z%+)sq9mC&J73>)%&i_L2Q2EF_&Ms`8<=Y!#pP7GCEZ-K3$=%p|)J<l)`8SzDH<PBw zm~lv-*Wx&dCPUPBxcv;%P4f3G@D|>r>(CLGx9hFdreW3bHrX}n+4RTq9hoblZ@A1# z9?SP+t{m3^TV~ACzcZ}SZ^>K)-D6rny-O}Y-G;Qo-cf+XGmF!`47Lb5(gt#e+P>@C zsG@&*#yZ_5b4k?!MvlkZY5@J!SFw9;BO)7VNv-A!V=fC75doRW<F<<A#wII%HM~9g zEe#;dU=_3(r*xl8r>b4i4LaXUx2l7pthl%SK<vyWjqltx#QRErvCB+Ux@VNV=-Z;X zD%t)wYT)IJxyE&FwcgKQh1rcNaDImtTg-pJR4ZR5&Q=FAa3>70y1)cS^D$u4`2*>` zZnh)4I}7~ZofF%ik4z7CgJD8A^J?!ow#9$VQ}}FO+*}cAS9`m(&HI~`CS&`Ok$uc| z{P@2-c4b;tt~6c=HN#eibqG(JWABBvZ(?Qs$aQSWeAhNMuznM<EY#ApvzkJEb69_I za{D~k?OX-_yp^%L5+=?)zfcph-I3VmCRdQQ+cs1CY~=SE+T*@WC|tIC5HIyvFz%*s zJ-#9o=LG0BRV3fI7xRHyXLQ%EXY%(e%#s*1z$2j)(z=H;Uvzhx>;H8=xMfxmFZ(Qr zzGusg`0B2ZpFgbef3ci+-NylSe}8`)?dw&=o)=4r**^BD+q^c&w|a&7i<Lx%4@7jw zyDcrMHB0`+2@sxN$6Ch>j}3^mQCU7s9B(-2bYcu&W8-nY$vjk?Y^k3gWqt)M$Qyn8 zT+H%`Z#x(HDJzEk*sL@8nE91`0!kQ*Xx53-&Nmh^8W!t4hL?v<DlO=*rM7<o@4!a~ z_;|>3#x0@2#ee!lw_S{EecE~W)EC<T6!XM>jlHV!czf#6Xo@UQ5NrP-=-drv0sHAe zSC;R1BJlPxvmx;)76Sjpkf?F{6x5%xbleT7d7M_SgN|1MNsrU&L=iuHabWqNQX*K3 zh39i!-^e@czfG#xqxi{_oS=Uf9+Aa@ISg(!%}?9`PCXW!I`5r9oP3_lLIlsNQG7^o zUsikRqU|ZgnblDjF#iHJ%pq3Z<+q=l+ej}#n#bKobB}!s!{O)F7I`n)K3~C@Ij4co zE&uz;Qrv+#=YVaQ3$E;f6^y>4h|_2IIO3d##$s+2J<GVbkI%=?^Z9>XPO*Kb6L-vD zSQ}a_eM030``@v}?`9ylV{;h+9xaZ2d*oRu??vr|uQD9wf~(%W#ffxrWIpErwqP3E z#SvdG;|LebSMIB&l)e>pbbm|5*<9E}zlt$;z2HZ`gt4f;AJK8<tCNa-lO~ksU`}yt zFFZ8(d|ijzd0`9vH{*Z8&;w+9k6X^|`@ZGl7PQO@-Os0}?|!~GonLLtwu}X%BFcW` zU_`$9O}Mz_d~7-_%6bGHkq3EG+ktxLB(6M9&}4v;DfY3&-sH8pf^oF|#ZoYitg*ND zx1IR*S+VWKaxkoH$RW<z98iVh-*ze-UaV>^^O*;~GtK3Fix7W1okymxGi+_XM6$*l z<XF|-n(m1IhEV*n-M=}n&!YAY`9S^~G%SmASIwRNnyHAG!K5QE*A8vGnZ~vK7Nu~X zv(&`TWu!Z_I9BbKXK`-jY7!Xl%+kHwEYNq}bKieEudv&`2~iqqPTpHx5cl?>c;H#K z$9qq}^Sq5FWiEe+*hmGf@AL&%M8Sv+^&Ycr^lPso{emMRd&CNT*T0SNW*jJ)VJbFU za6??g*pk1m&9;2|2xy=2O1ElR0{x*ji}IES05e<D7ql!j7Gvgf(C`AwcmE$*R~ioG z8}*ZrY@x_r*^=<LMAiwFhLBXqR>UOh*p0PpAxo5<$r67mktN19c2XEywlRjW&6v!L zWemgcKE2ob?fr7DbAI=^pZmGbxu4&C&NUwf+aWRPxH#S3>kLwCKnK9Y*V*t&i|#vu zlt(bdu-HQ8W3x!^<2$H0rSZB2lN|`<HmppyeDjHFci}jmu$zC!ylzbm_C>dR6h7-G ztlL}INEm<EZ8bH29aQ7D$=>?9@HDDawnGxX3Glk;+<S2jtJ3~y_cOY}NEC6apP~sn z*P1HMUR?ruJ-+=zqRX*X@1(d1PyE4H_d+AS$j20R+Ac<%6H?4J<9R#sDM%!8^5z~V z1G&L7LhfuKFj0(~L5D&Zo})ApN4p7)oj&a9jo^R7{C0p43MtGHh2oTuX6;sZgy2yo z$@So2mFj7fGeay`6vIL?3W~EGFIafuZ-nM4(w>eG{P&NG_}$&QO~{XE)R^Z+K#rGl zb*jI6@Og%S&hLQw#Z-KzPY~S{A%r<ubx)%)oTpjnFb%%OwP)4*S(lYCwMg~nztJ&; zWQ~99g*gZ7U`~_FHDb^sTnn@Q;fM?NJi`W4Kl;zv_eZE7Rn7)t%iL#>XYBdsO{Su( z#{VqN_+Jl}vOiDKw|bQ~{$cT|zchNSRK6>9FT^2MY2^HZ1YwK9+MK@;zx~5yalbO_ zmF&o;MJ9hagn~U^m0{NRaI0p-#@F%Qzaf8diZ6jcJ?3rk9*^}r26&bHk)z85pxK97 zAf1>97Sg@7XpwQH?iGBNheUP+FLDT#A*gqIt!7PEs}bW(uxn8IHMJT8g6Oj8Bo1@a zO6xim@RdG~w33ES`n%q^1*v5`(kfwi9NGvi@cU1@3TT}omqt?_Xq_-GDOo7;harDB z3b-SZ&D;+t{=#`2_z8+wMBzh<+wAnVc)=K{(f<q@wC0}C?PqPy8hOvCs||m8&s0m! zF4@d!o3~(kRbT5}+x#f|24o3kd;(tT809>=xkx4iQ&hARbqZ3Lc}kqS$s;ujGXD1v zC&jv5rRmitSl#wQj$%+FksYCUS&Dx!T{%CqI{XyMg>gwIH{t}XjNGk22=xh|E84w5 zGDALkcPHR&|D_reV?L^}FufD7$3_=!%}1U=eXQ>2CSVt<fD4?s<FfaN&Hzb3w!e4C z7tV|9NBJ@7nH&Rm9je=%;OC=-+`V?lLWC?R@3<j&!q0f!hR3)g{_+S0&PWX7YeD&c z*?Rx7FsVdwqn{Ry*W)aQOlqHE!^0QPGBPknJNh^H8It+EE|E7x#5go|d=bzS6OCJt zjcCEq&_(c$c<{wGk%+u`mZ|c{D3eiaw<f-T5^#jnUT_AgA8wd->)UzO@&)(CE>#=s z$<&V;-59*7k0ngf?j2A&-K%ayryU7@q?lCK!IMGm3WpqRtVhud^dE})q*bTmxcuV4 z&Y3-+YMXoIAVASD$m;q;koGZyDThVF*F^SiFs`>|I6P0~fL+Q@ueLuC*+&oCGo;J! z*~7#SSsBuH2}dV~jZm>AcugN2y5GUfUY%>V5ysSh&peA|VWSci(_RGWu*4I8C>LSE zbMFezXq19te~(x$*zG*ulL4Wm_D(U_4|R2U9rVqkl7FA1T$}9glo)khBmtGIkgjmm z-`W$0iN8<oBK&Mlq>yW)7#@lo)8TpIOvk+&szBvJeMWJDT{)D~JtcmRCr*1bdg0Cv zn~x2!_?#j~N8?_|e6;x(^WTtv4<w%I(u2;=b^=k5x_LHnFfA^0q-|l<-y8uv-X{W> z@DW&^19&=WwKfXVNC;ZE;4c`gixE0X7mv+vL4TJJk9E!n@sV0@vG#sNzdA|oF~UZp z57k@WB3YEmy}RV`A{1k~R%;@XRjIhT%W>Rl;m;14vIZO<QM!sw7V=boq`qTJd#O^# zHr{cu8ox~mql>mi>2aWnQ5|)Jaf$)`oKAusyLp*85V3#NrgHGr@N<QCj!&AGr*^2~ zyD9hRXLa5k2_3~8u^+wFI~{rJ_;hM1Pe%!!LFwPo3s!3r6gMQl>K|)agzhK?>oAyg zULT1crOmUOe+=p%k77H2$>Zq7S3BxJ!sT_|%%9{b)95zDn^Nw>Bz4}-pP-dbbu;6= zfxAHqA<`xgk>$V~6f`A<U~4-=0$0a`j><301Lu>cjgw@e6pJm&>aZvD`hb8MKgHqn zzmng3e0z}_=mLy1CQk+VI-B}H0HuAUFLz<mtCF3B0~8rRiNGX(U+*-<P+20n0c8j; z<l_g^ntNTy+7334wH<?ZB@^T*?mN#B=XKeuz>z1Xie<Zg5ZHahU^;eL;%rkT{asXo zGSF+N&7~b(s4`1^?LH#8cn9c*H0g#w9dxp4p-PGJb>}~zWja@Nizn{uNc6%N;9Lm7 zF4|>ANL1ZvXA>-cX6uM09Qp7zOW3_9aR`<ibo3JDeb}1??b1o?S;e6pEO?TSKHVOn zhT$4E4~q30BX>066@9##RrBLXr`A4ccPWjDEo9*v7qbYvK6=e!`2}7aYah`a)g#{) zJ$7t;qP$qx2$?=_BKWz-6&5)C{ccENIEQbwGeOGox@_=&Q;R#mEs+?(HEgUAH$IxY zaJTtV{#&mTvgO2XIXukAxLMHyujO;KSv^0uilw~By$g(C$lZ8d#a;gCYbO~0#mA%h zjA(onXa6Vp&P{xxPgwKG{3t~pS)i{@z}EsDC#PtVBA0BLNvGg+{}pzLa+3~OJdOeu z_G2D|A{#D$te0CWoq?AnbwKc46r1L=VJV8I;pMXBh7yv4H8K;awR-H-naVXI#swn$ zV~WVh3(*D)t4Y~ny;N#g1=cnE<T~~i)Z+~GcV(&(!+p}xfT1zjUnHIs{!P&0xPV$y z0fH`BRX9Ei=Uh+4SPQIM*%&o;RF>tH95>{W6Mi>;*mT2GC#Jrqn6%80b|WXIYEw); z?+=S{R8%{QWj(9w789(9{H>+<$F*Gh&V5Iemg3rKXi)1%<f{O?tsx%Lw0^iaG1}vJ zT+FBf1z|9w9RvE$t#erOECogOVBOC)4BS{HU0gS}?za>6i>c=Nc*I5|moQ8lQVkg9 zlUPH4h5(UZ(cVozG2vZT$W3jjq!}@^h;A0gNz{l6?9(=-LlF$yQ2~j|u_&}N3;)E2 zr&(q$qlzi$(^r6EUs789A0asGSt5W81bvk66eA@1$kHDnbnTfU@)UU@?`A~<JxZ4( zL51Setfuo?k)^TBq{|b}=VRM^V=hsVwf(k#U~Jy_?F9k<XM3j2XAfEJ&wAYvjB*63 zOjEqo!W1FQN0RP>P-gJirAF{pnXko)jD##``FkN03plFu*J9kqG#8%i+{+)2Sk=oB zqrR+!M1LK(S^VKI5G+<8bd))Ks=7?I%N@^2@zvt2{%A-s4tm>RLO9Vn7#<<&&P3{e zR`#j<vs4pT6_wz`sNR{Dsa$&CIaYThyUt~uTDzu&lvUi25knuoQs<hHY11`t#)^54 z)eiC3yY;9OMqF#qGA}aXJjF{)og2VO>KvE`gS-ik?bDtP&UtDt>VA3})`NT1c}B~0 z(4`G)evRQHGGhgXBV}jMQgu_@jhK;tRUNY6()(v$YE7kP2cc^M^_u<aH!#^RJ@{X# z!<)7_XY*Y|@>T8yG=LM;>*h4sJcqw?nQ4@<qNVinI!TAo>cst=>LOE9T1yG?74>Vy zloN1|Xuq$%P3!V-$&0Co?0{Vj^@50%D_F)?n?|WePxno4>!26ZAP$f`!I3h5K6GjQ zT3AEVTlL#BGJ3NQT}66s^LMIqeJ3kC7xDiv=sF2aRO_`)jh}kF=sx;G1wFlbdG;J6 z5AkCA>c2f)Z)l2Am!6j4o_+XSq{k}bz32Lps)s*TZr#@U_<Xg(0L&va#k8M1;b1<q zsx$lEDbIRWr&}Goas9pLaFMBhTSG#E`lo4D`v=a`t4y=4ztlf_fO_mDN6Mto&pX#d zd)4u?x$i5n{qFL$UX<B=Qts=2tEoDmvph#`tIJup125*JEA4O)+rnC83T+sOncDT6 za+=7fix8~##38j?cTIwZ4Dy^jGI*;uQ0n;FyyunJPIvjb!nxi4x$E42o{6=ltgV4j z=;I9?{z(+>hl_RUtEu^-AT)ay=_sW@W2NsoC!H@$!R*oM=|)g<s;fHj>;2;oRQN`W z(QZrN4EroekGJYT1T)R;(DJ&vm(X`OJXIX}QV$6FQ@>YKI7P2|OE>a6ztw(WBu-bO zN%?Z?uBZ6HjiDUJy(j*Ekwc<LS+mX6%fw5qyUIj~r`lnIo^K!mgVkQc=>>lWX~)bP z8ZF9KS|Mx=Hc>R4U$k3|=)sjx+?bPM&C!3n5kZrYJ)y{D5@b<V@Sk5G0xfHC+?Q-w zH2(qQt~kG~hE-*r)p>r<xp(M{vAeHrGmUBNau=)_a&6sJbVQ$j-M~5@Xm5_B;ton$ zT<3lL8b|ch(RN*-NHVE>8jL^mFsBGLAZl*3Hb^=q8U7SqLK)mrcBH5-Y`H1c9i2cw z=O?mJ3f-DaBcefzsOHqE27kXn%53(bj`H8uYsg*51P4?CnLHIinwNlk=84d{4_dov zotIvk)tKI?59y$P3BC4I=^Ogid+<Dn#<V#b>ruy2-PS!dZ`k%BZ#xbKJVM3cK-}@* z`h2?r$k3_v_-unv400%BQlfb1i3TZ=W;YCvRZP-E!2?c{_q7^P4%#6(DK2ZG&B~8j zcjb`+_Dp_ns&D73)>#`(_2)Y?L=NZF!!{&?leJSQN2YUs;b25ziy(WJKkdP0;l`Y( zX6u?MQr0Ohrvv_jhYGT3Pn=Tpp$Sv!u5LpviRa0AM4s8$-U^+A$hvH+_B3pt$6QdE zj}%8M=^w!Nj^5<1s!ooIsX?0xQl}G^NfBS~@qyT~K6)?y@Wj~NYTaeU@|Ux!7LuDJ zs32>jLv_c0+LWrI{bVX580CLx^G3r}4Sg7+9=jikG05YY(UWcH=4ud%4R>Y*sb0<$ z>Za?rHk@`09XDHFbN5^NF*WU~=Ab=9g<G@_(C<!wTo{)f&?)Uo#kp=9!`{KiU&G9A z>8WN`V`A8@pqe-R>gD=TKHYjfH`lsQ&BMDAOAyn4HB_S88nU@2ycJ*qeZfi5Rn)Ar z#LdOErW1|jz)m33uA+L~Zb2Xx@_65n*zG)`NA%vyz9zrZz{S$;B~gJ3o$p<<!}aZs zM#P9{bR5uDLW{q?9NzjdGkR1kBHuy2{-J%!d7`m7lq(o+=EW`L5Sm{-^jckcu8l7& z&ch3T$u!#-w0(C}j~fV$x9U5PyV82(W_Z=Y503p=k6@IEN3?x^7>-o8^rK+E5TBCA zALi*=-`>C5JrZ#ez4TSZ&;}c~+c+1(N6o1u>+7<jkG&)Yagd*hwAPhc_H{?7M2Fw_ zYIB~+TN=TjMBdq~IYQVW6kaWsh*Et=PQ^@raXN>~&thFeIYV<?DkX<=`YVr}Bm@>W zW2^hGxrp@WY`^hLDIW4^=#)`4nRe9$KBqkA7piYP|66`8P&dR1oMQF<QP;Q@@uDFO zk2+pYQp@&<*?SNX&f7WFn3`yH+T0J6UeD5M`(hAzDI&<uuf@;ykA7R@LCXEeENXLq z1<i@Ux*k3!8tj1*w8Nf2AInZkl$csYuyPvZy6r&23bXdYb-UIG;HmBd+S&oO$31jA ze7$5om1<i-^If}hSb3&W<7OU>fPOKa;psFR^82tUp5n*RjBG1F57M7aaD0!L(yQqA zjJ;%~8ts|#(KMo=33BksgTH@R*EP?7ab)#;LsP1HlYM55U-r!EmDy!y#;c92=6f;f z<1?!lW?Kj8h6j|4-!3myKX{sbG_?U<Z|Fi6d1TeHK{G*JsrKlUXYH1%^Yqj`*Szr; z8S$P+^*m8y2^*r`4VuyFP1eW1_0HX03Vh98YkF^IgIJql%OTSPyEf5MmxAVhlo^D1 zOke|@%PSyHb-C9!Z2C9YGTu=U6^+hfjhFAqOfD78X9mn={+EL}ii3$vLgl-jKVz^= z*w&@k%E-tQ+3!at1<ZLV&)AjSX**9uP@QV)3QtorE0-Rp4RpesCa}-N8e{L7X^>v| z9cYS6f=5>E8#*^GXC!&17tg<c4w%zYX|hSZ*``TEBtPH!E}j5WorIbUEC)D~D>Pih z8nXtIDrJq@M2Lt#&mEj}S=Q}s`aNa0RfuvHk?{d@FID!fH7bIhi8cNjl&>r|Xj3I3 zJYBcy3Wcd=l}nQaavd<?3GLbxba2^4ptd4+Xn7HG3@Vp03!4@Sn{MWRb$Y0t?LT@U zeqb%zqvMYvd}Q3FwX)9gIEBugRLh!E6$5T@t>&oJ+E5ZR^;PAPdXd~9>Z}1Hd=mP5 z<lHmexAf4;rG^T*UfAP_gYPM}rDbZwnfv4+Ew8Lxl}d<FTb8y&u|p<Rw1W0jtkJ?$ zbJDL^FFj!H!SxjzR4m<psB&rd%jXW5*8~U%)5D>!m23Lpr-%q?kE^wXg49J|`1|J` zdQt@skd3dVE<lkReuan#@ci=qNM+s7y5G~o@&ix}M-FItx#eLoszw#&5V6L_q4P95 zzV&hI{%>A>e{@@7=np5MXtbRlN~7rL^FeqGoO2<<S8(dht3%FzWDD$1x^C#kQ^xfP zjqedy*9Vh%ACbKq-n-2MbYg{fAmd6X=6RdkdY*Ov6VX*$jo}1!3|?boRlA{6>x64T zi|ez<qX7)<R^En3^mTzj??W;8LijwCmO8Q;+OW?|RrGQ=yRK~?DNY^s66amlwm#+n za?^M#JoR@&V8m&E;<x+Q4f>zJVP>Lt5fVG}seb#iwRbXIZ_*jv#9!H{AFf1%{yjW1 zi7r0kCqg$wIVaIY5oy$5_3qkvacXyEf8+i;YNG|Hht3gL#X=Ojf1E=-6{yEb6te*J z!3?yIUs25}BwTdg6{vQ@AQR@bh10{IpSE$V%UYW|t=WHnPbs?NmrpGX<mM#WTY!GU zVhUutVHy*t@8WK2pS~X{uD3TsEwsyHsiX>ckn@+oc@CoPeNaE_jJ8Hxz#LNLM~Geh z+ne)2Q=hXhlow}A=l|3*G;c+@Xvlc1p%DzW{#h=X-KCTtawJ`gc0!@<t#B1$_G2fn zrZs*Gc#l?pS#LI)By?v2^sMbic;H*m*9+_XHr~!~B|ln$1-t`hd%&67qLEA&tZb}9 zeQr9Ksz?p;TONV$XSO@TL@k5%g#N?y&wWw`gdfTc_rrPr$vuWL4O5q2k?JZo9yQ2J zYn5I1v*~|-ar>t(e<EFUQd#$>P9Q3|_(+qO&G)>25CJi<fDdVvDdvFeup(5OO2;~e z#_k4JL>6d<bv$p-k(B#p7ha=VW}9<iPUgdX_<&ZKLr!+3)tzuwVs@O1Q;h=))s!^z z@^DTtM_c?Er$PgoHloLEe}2QNR=3PE2T^Hd7|ue>?sCD^IEYbKDxI~m5>PO%iL9EG z&-SZ-ER*iV$oB#FwpG}zt7GYWlgdASOFeH>Ur(~>pHRzqL)EH??A9v#oYPY|bsK2( zls$)~p0#;+?11O;&(_;47h>v~Zb+`#bY|c``yj97XR)*!n8*7;mUI+v@aT@uLk2oS z94U{*qwD_aDosMVLI0cbs6u9mqO~p)U*A@LUcFv=7KH^S9`>OyX1hcDD8+F`Ib>3{ z1erzMudrHyWWNTEqu_Mtj#rA%`hkt(3-Y&?CWq-bg}EQBXiteokusg!Rnn7ax&!U4 z)cjhM2)vP&y5|?)oq?fpO#SkjLzNdXQc2=WvlFg)gbE4&B~V4GQ#k87sHw(yqQ517 z6j^cnw~uxo-bII0NccK?RiON_9P9CzX%!%I@MJo#26rm`B(1fT;V|d7L%B)|(`}vC z4dU_kLoIc4Vf^S6McSd>VM%C}#8Iy<nFk(7JkcSDp`V~!Z}d75HuX*Rghl|7vqJ$x zXZF!<RAic)`DS$@D2RBWBOF6#rQB?PBr)j>ev5xf6KXU&nV*3rE8h4^I_S6r;}I>T zbunp(a~O>tEbi<u-6*hKV=^NSTlfe!DxS)p{U*q?=0m*O@p+EU_Z><!>yX31&iVK@ znz7^;^nGK=7^1Fa;Dk5&;w@0WwBow&2?!fn$fzb4MP?-QeLI)&uJ3!vz)kpnkByK5 zEl8x1My3}aRy_dW>Jn*0FJ`piO?GL;N@#3SUs?@V|M=fHiA~ned3D!s?84E1@4P(t zQ$8v`^h_VS@LD5*U<-JA1KvpI_8@u{V;<ASdC)da+n|y9SOGzLHbcfFZ+A=MtEP`2 z2!;gOuTmuM$C`si184iarOc>*kc2*;@{o5a&-#xE*@5{neV*qt(8;_rHM|-Er`AfB zW<zJxGQ0oNd~q%QS?#zrclYy>8qv4`1KE1oFN}3^+nSkdgEN^FQQy|TOmaj+6|}}u zTt)}}W}h#Z8{YhAIla&6ZwzpfdLZ&t!LNDLCrfnbFMr`s?_4u?GIZR3TCeY+595lq zMs!N8Gu6Li7*rG;c=!uLtEWX0zPB}!NV2mBR1)%n9V!{y6@ZzpEkG|sD7~$z^ruaF z9%oW314*X}hK8$smev6dEa)D_`q1<jhSf6NmA#unMSbLjfB(qN{9kj-x~jMSV~t&s zo0)Ty!1&ufUxih!f5T;e{t?=2(S7M^Wf=!zo1q&kM|LCgB|**y6=dhOw0|`EOuIi$ z(P?dC#Ja1`zci^+Y%VCC__tpN_4c;FjZRvRipHvEgB(+1dChXNn^D$l^qBQgUwzT< zj&E+)Vx8m0R~DGmGRu`2Zk$WG5o%@O*+{jrplm(0veN8%wX(v0YzMWn^z1@4MTIi9 zWP(kZHXvLtB)d7R+6#}j-qZLetb{Qv<FS4I%Bt`GiI~2Js;b%l#2Y|tziO%G;we>j z8EQ3m{*@b&+UKvKn)FX30Aiax*`zk-uJdeu&P_lF0WJkXYe>Pc@}<3ks-7U&*wE)Y zc{Lu<pMzaFlYh5=3Yj_^!}qiM-s^1(B@?1t&;1ipfLN6jwm+r%y6^oh)r@~4y3hZP zYQaB|{7-ziL+c7Nc79TtWAIP>9p0uq5w^TK<n&Kys5Q&1x(i#X4qeWIEXJyNxn;Ac zd4*>0lW1L=KN8pY=VX)}Yf=<ba*UQ5V)oPfzH!vW_5GKBvH!ZSo>eugPvMMe{2kiQ z!7^pf-<4&`3WJrU(9rqHkIE~*ua%D$sQi9$536S4_D{UH$53N)0|a(Fo9-4khCj*` zQ!C5MCcoe;&)rZfyO`~%R_34mjTCXEHTF)>j7J$X6y;G2bssvs3sgKacg<gGjNp-Z zRJG<QSFvP&+uqd^$+m^Apk&+Mt`f<%t*%PR=s&Kh2W=EgTmQAFs-~HZ2%`zM?<sC! zu2~1+7G#uG87W6%j+_DmO)7sHu!Huqq*UwbASo|0HG9;*SztGYKUEwL!bB#5YEq0E z2Ic-;_RPfKu;2O5+r-wfb^kzi4aHY<v&qe$Ihv$@zAN{!om%1`)qZPo6g|ibb73O2 zcAl3i>E$Uj5&5i*e;sWfcbjpVSYUx&ovhGj{71CEk6l+0ULTqZ7F^H6Jkh9_3R<$S zkO(9$esn-32Ngy9{FW09v)7o9rK2jBpxT!G00>kZ!9BwhfzI3&63@j_Pv<_Vq}^f| zO>QE8K7Jm6DNXQvPw5vl<(dpFLBa!ce!cht^d9St*8R@OWx~X8i}oHZaj2R%Vt={9 z5ty!>Tjq77xh`nkUy`~Spy4P+b+qYsPAf~u!=}(fCO7Yvh71kG8!<$Q!8&7VuHhHg zAvXPz@5<igS;f(XfbnuS{OV#!L~el2yqhq8BE+r&?u%mS(7@C&Q^2$^rm)wfSDR^u zB+Y9RsVxwJg%VN|>mDnEHw>fOf5x%IsMCs&x+w1zcH#&l7DVwTSw}0iEOD4E51aVh z3vzhvjM(No%+hvP0Aa{)P?6KR2XS->(uG%W#L~%#zbPzx@6gAK@m}zF2?Rsb3_omt zK?!dJb<^hbII#f-3SnqQ%AQU;VvWhdyo0tc8u6--xxaj^YZI@ufY{0M5rv71<{h0$ zS*a2W%>R|VFKH;|kLq7+ZTw{)3DwhCDFk(0SW>3FWeuAh`cc=O73wf19weG!_ac=S z_71b^WhR`Tz7#*cc_6;xzIi2OV1Vv_givcc<#ki(2ki{OW!FKxZ7xM~Tz;X)EBT~$ zN<aN-Fh|>LVI=-~V0-aYm(c!ffX_3!ErPXeeqORnWBMR`=T0zZ+d#_g@w^Sy8#@9A zZ7mRwjixtL&)rsi@5X~m46*#KZ(>YqAA`C+;mM11FRw3cDAFo&HZ*s~OMBOUj9k;7 zWV_Ur@~jq#D(ogOc6IJaeD4=#Nanw));%p)9Qpd|I0zlOvU4dEJN|9yS^pr0E*_Xa z_wC>r+`c%qYXxC09{qcye}ilSk1|30xU=ZwH7{q^GX$@P4}G&agN35f(c7(g(Gb+Y zPDp6$czf3X1}5hdsIkVTNLbx}orWmRiHd8-cMkojp(SDRMME8<kxnRfFTL^tRTTZY zc9of9<J1j$oNgdK&u4n*d<@4}<Kjy%vx0*7h1I;7&|f>cdDJ<($Dus0Bc8$~OC)Bu zQ}g28`dw`zJ*rK2_(Qo%kE1f0sDTR}Uc*HU2mA%={*Q`c{9bFTNnPZBrjQSmTUy-t z9p5-kDLvH{Z+(}-BT?!Yr8LI=SL?qpPXok>g40#TvT?Q};Dti}2f^pWm?F)LW~s07 z&nc2#@MX%SNy`o^{Cl5kjf(vFecz@|t$niWULmMa-2I>Y`{h>LxIDgm)WWCK*6NJI zFW5-cx5Y{K#ArVy5aefn)g#=bzd<;N_-vxOrjTj)7j5vgV7Y2%0DjQNR*OTYW2iq` z7P0?|5~iiynlQ`+T1E}A0~DjU7o?>jI<70pULJ_D=nBCLF8c16_&C#D5UK?{;s)&} z%|C5-^Ln+Z1hW%aHgXmGFSU@k>@OgV1#8^dHh<h+bn;h5sN0KwdKqR#9gIsaZu-mZ z$v4+y-~<&4Gwq(@AwO|t0gK-XEI8ZfkZ<4E^Gy;m1uBxC4Y0r)2ezGSuC+Ict#X>O zD*8GbV1<9_^Pq9Qz&<aQDjYZ@(JT`dQ{_SnX;#nAss6?+_=(u{0}u0YZ`PYj^*WJS zBGR>uze8bdRu02|>Sn!64WG>G$RbQ_XsP;Jwvdu-<43CCh8lIm^^u_sn6^Jh3pWp= z%pM-^<u<iZWZMazgCzudN=(|Kub1G}DbJd}VHyd6fnryY4Z3zQ5h7LKpeSsyc2_vT zj51wbC{7MOZ+Ecaw4^*Od)8afo8SAqH?OyVcWqMRxJa*mNDr|Wn>dv)l{oeDpjx1s zulii|StUUwex>tDypfW9*!QqqA!H`~@}|M&$<44$D_jUpsn_w1Y|n0?JXP<(vE6!7 z@1Cs^O2>Acs}!k_NxSB@or9>Z&yk}F;Rv|TyF6z{;Kt#J!L`BZd;FWXFx<FJ9CSBT zaKyRSxu>LmS0c$M!6*^L7kNeelDML{jQCaY%i`C>rH*bMBKLHa#hZe656aG$^Og&g z^NB!>p=Y4JP!(wD4THCG!KnO)>)@V*-h>|Z9;G)+NlOV!iJN!R1`PYtVfUb%%4TTP zVfh}<CjX}MrsSsKCiAB5rv0YprUF!a#!KT<gnih5qqmB02lSVGJ=4dtJ`G84vTRo4 zwsC&AI-Dxb5|@GF$1UNUaV0p%9;2T4-uRv-zR=@q)M#93EtfLq)7lZX=LdhYb`N?& zdqaC#dRuxxZ`uVNdC6xZW#<*=W#+HWU!IqmzcPQ(LFwpmt6A&gxwDL|cckV77;6yb zy=Sa{oG+@nXlwQZ?mTV<cWNtKA%p&4(+O&zsgC|d%Qjla-aWXnb>wKG+b|&r4lfFR z6^z`I-_+U6!ZqPEaj4$9-nt%ZZqfZg2YDB5RGRvD?|6?T6_G|>vHWb#Yc62U$MeC{ z_EE!z?3inD@ay2#;QZjq;QBr1%`2OCH;r(AJiXn$*13Y?&VSdkHq>v;atiS9MP3xY z65)7Q7#xLYN5B!)h*U%)BI`nGr*4Y_<UE8IA^_oooP(T=@4W=QANEAAn-3bMd1uVz zAnJy3zFGd`{5$zi@{K)u<a*_L270EJ{Ze=ZQ(r-!YsK$uUS9qI?){fB_FlmihS3{; zjs-|B6zv%C_+-ci2W}4+j6>jV;HGibxNO`7T>FENV>#qCq!jWB@}ij(dH?GKDhZW< zN;FSGy~+3;>hOUMZE=Vuiri(C?!DjB*d*iRaqc)GP6U^Sv&Erts1?ySpNOXH^pyH0 zd88~-DdJ+v`wdF$?j?S(qs1(U4<rD8;yu1JtVjl#?t%~P7uUj_Kd+_jYW6nu<gNIX zW%?O-xn&sTTZI`q928GD@}b_Lw(sge9(-=Uwy~WM^y`3arLOI==T;KO8}e^=4&$~o z#COCSL<J%nfk4C{O7~><n>1Hcv(nW+^}6ijr}a5b{W5OLN3<ed?>&OvhDt+!U7+Wo zkD%9~0Z=ZeDO3UK2^E9dLv@ui6*Rze+0lq1#5+XI-gPMdCJ|SL)949W*8XxCYoY2k zZZ~IeScdq7_^_t{b%%;TZK2xxIvc&-N!o9;jgQ%WKg>Jl4{;m6eVBsyipbluhXz4; zpyp5*LF3LqM3Qv#&~H-q2lY#TQ0sjCe2aW=zD@q^{73nw`S$sS`Ih-6`L<ZcVT*&( zLaW2#J?YKgxW!t>l?=K(?htpfmTOa1=^j%MGF?5k_jb?i-rk<xUg;j`-cLQiK6_kx zS9(@@&-Y~XRwv3Q$|uMZr{5uR5zWCV9%$iO3<Xkfk2lC6efLLiKu<t_FSUnbnL#vZ zd-ebJI@B}NtI*?}Xq#x8faPlxXneOZX}11<TTIkW&`xT3gXJZ^_e4Kh$MldBTarTG zgeHb2geD!lIY>N6I7ni96PbzHFPz{3Gs0)$vR9w}LbS?luqCi1#lMM9j3;Q`(lIzJ z+LHu6hAW%>xKW%k?v+Y^VVL#st9FyT8XOd$Dt26gR>Q?Yslv<XvyG=rSu`dud6p%V zCy{>!DI2b<_QDAf#Wa;tJ=?>kLP(DvK#lF^Q2de74vK}<YeE2PUf!J2+Ld;==5X2J zDw;oXxc6b8v;Uaur{E94KZ28kzXccU*>1u%SvT)&%51uB3T!@q-c;WV+zi5r!Mu1Q zs~KD~@W?c};>=^APh3Z(A3l@lmmXkC!udXvKhPsKnKn~#(Kz@{ehIMHvrXPjC4jA* z0oLLN*vk?(4)9nVz-QY)${@6Ro*JtcJrxs`5|ol6`5k#3&pYxv3S!S<&tdtnliP87 zRl6F?+HJDb0~{NFE*@uu>&MCBK+kO#%^&2^y*!M_=3vDXxfJP?Z?uA5XzymP5`OQ) zjiMXxZq(dJNU2MZ?Dc%!BAo&A1z6g+hPT|9*vKQErIw7jP*M+;H<L9}O5tPc(TCm@ z6@Z)tSVRC|lk*UMh~V0}HNG{0HC~>~jU20WrC+<9zK_d)KK~XQlY#G@hekk|p!(2D z&}UFys0CCR3Wc&m!B9D<8&n8t1J#6vKv_1w;u3Mjwcozqt8`nWGuL{4y?gKl_Y${` z6UOD@p5SJ2TDWE$3`f@@8_eCGyE|unn2Si*3xHZ~ir`#vt4`P0T;_fEPkIrzSPmP0 z3;M84Nu+ImB&<~4gJfM_P$V6m2=ZGJr?e;rP5+p-BSnQa%`wpenwUvzzVY@}6D!;; z;$MG@Q7&d_FLVMv0VKQ0C?iBAQ>w2G^U<^m*Ns=dg>i*r8YF3w0!fl2Pm(1miBkqU zrLx(bxAYjYXK4hG&Hcd)0s+KAN%gi+gC$vw;66Zq6fQe8xri}a(-cg$%8zr{Bu}$Y z%<*Q|!lLmC?H}G_ug&FpF*O$Db$b$AeYELZ2wlv%h`b0f(oKz5B4gE^$v~aq?r(^Y z#GLRlkc|VCx_2tzA5*Rfb6m*N@;9BpmWp&I6CO@;O2|@!eELQnjh2bqbCGUY#oLZv zU&!=-_e5ygvw7W_ip72;cA64y`FJ<$9nvkQX3rhZ^n7RY>J&Sy9<?}nHhbHjbK=-1 z$#}uF6YjMrwWriL!W_Z)dFA-KO?IE~R~cRmFWIudD_(u3_^{ix^Gf@FJ>f{%Nv-Sb z*5tQSk3TstsX~e~ijR~T0`qG%wogx1C%@T$;%SO~QHg%ZH&#HIeYqpCXYVxfZB$PT zBR*{0_)4OQ<<{TJ-z|>xiS-somj?b?92LF0q9q^km{pi~I`@Wu(1c_176%VY@u5=+ zZLjWoL{S3J?6qi*N-RJ=l{*(7^(e%}4g?6<^wZzSoG&=BA<+z)ea6E?JD9caWu*9j z*wb1%0$x-SUW_5g+|*gM$+v1?OoKsNCYtTOxj}m}%#>#Tu`rty1>=I{w=Lcd(r-JH zObs^;ql>i=$E>@jdrDf09di1fe3Mbca8ZrbCLe2jCInej-*v_q@#m4A@q{$$Zem=D zYL03WZqw?^IkW_3pO@8SmMi;9gnlW1s)_pq2Gef0F(n76nVxBuSf{#GZof<)XKc8= z>YE*q5z_4tU$qz=&UbU#Q!V%7fcimIfzw=uo9Z>~sZJ0{5J?nyvyr13z!|yfPkrnZ zx*8TpzO$a(yE~Y(3MYr7RUyAF91Rj?9|}&Lof4ShojO0o4}f0)FsIKE)n$Hvtetjg zvyNz^Z-=6o&*AWgCAaMheaE{tRS$Tu7eB-QyAL;WW{Xq-9hi}qKpdMyB%5Fvx$8Dw z+sA^gZui!VJ*&vOvv)=9Q6`c->!WvvG=b>DO&8A3K%QAEuI^|eU>6_w4piKP$C-@J zEG%Eo9$!33dVjk7DTCAQzS+`$O7Y<no)v<hPXt}(@C-vfKNWeR{hnitW3f`F(pc7F z>yGz-0--yOxe1Hy^*4@N`w7>04nFnnhJQ33J-8YB-RNB6B-@kxHMb<`kirdBgvk99 z$5I7?{E~JdBL~aeHD^d>)iI%C@(V%u6(0?l&|I_wbI=Dd`Dd=jGY8*)kpiBu0q)!= z&%f&UYvJ#aI}hr~TN6s;l;{(0U582zJ7?Zjy^v5Vcl^PVHGcjt^9m_7uG5nsxTx;$ zfVf`3CT_46$2Q)ypzm+C$E?MslQzuVZZ3k5ZWC|s`mg!Qy1k2$+V#WX+}b$o{*!^u z@z)OVG$F9yowg*=6W#-V`g<}Ag;}0YNgt0=4oY77XlqH#6-KZ`<PGx#edOp6BfR#J zZBAqS!gKA}bQ7E^f=V=s^<FEc&|7w7CP2j|ALk3^-_qm#!4sh)w)Z7&XBo7~+6$9z zXT1s?cUjc)*KGNyZ9lIlb@sd`%3QRYyr1B-r;81V4Q>j~X$?$&-rFDD=P}xN;#OkR zB+h{Kpmjlopg3EdjWU+`x50s&l6&VmK=1M>dG&^}UQZuj^+gPLV&ca<N6SFEJ)|Cg zkqNB%deGdWf9M3;Pi4(?@#j38bcOm5rO(*?J0sB>Oookj@*;dU_uq&|sdpD@y^O4c z<UDQN`2jJ3zeo{(-G<)<;r*rr4a4JhA|cIsV(ZQ&hjHRYsZs6eN7PfZg^qd|5u4Wt zdFB}$y|-W&T5qQOA&f~JkGY%<t`hyqVSjv%3^Ml}ct|*iT%YZ*v}-?K_*aEX2dwyb z+rA-cv#06rdBy6;i?k5d#0`hVLT#bT0WDUDke7GXH&%3i10uzwJpVdudU%yguqE04 zJ|IF>7HmX<0z$9T|9tyz_n>RE%FB9LePlDFODRrZPc~R?vQ7_6n_KdLQ~kgPeo`B# z5B0~fr3@wM4OB+`@rP2ze)_Jg@$B)fv7_hU0}iPGrS~x)%k)D?`m!uI{a8QcCnkNl z9}I&l5W+KmOKC#s^W`QmxC|luODXM9`aI}^MFRPGz0)tttO8N8&B@N~ZdAHMew2AC zlv!_}hhuM<AGE+vPyib@N}FQd^#oWwn3Dv<Ql+`{-u3Lom;M4tdmc&4sp)q}Tn5!T zuv4Xd)sCg)u3SPp|A5pzdSJ;pSu<NVBO@m-L$uz1nDNMZC?LO(b)bb$d0Ias3n^e$ z#1tWi3tmxXaZGSC$J2Wt``Wjj-yhV^oL@1rPORa2+^wD`wK<=(W%Bo0c};Wei~^)` zHca91&UI~<b4#z@`d9j+nLHo=&heE?KA$?|Afzkzi`MfC?OOM?VJ?d|r&r}cU6*HM zJyueGQk%zR2l4^E`l7CHLzN<+vCib}|5;>yVeESAe6oKBwwZj|!NmE4?{>5Z)2sHZ zx}ykT`Ad0XYL89Stz`{{4HPj9Y-axT&X-S)j^8JaGkByHcaGCy;03Y8N0;_nh@D<i z%ep9j6-7msL?e@*&eBm*=`lL`T5?O$Kc!NC3e!+v13e}7eJk)!L8(iQ?}zsvfq!N_ z7i^Yc->;Qg#!6i}zQ(?vCbit1o|byo;K-hPF)ux>`mTYQH2ePBQyCNKX{cX|VfI>L z8d8_wnK5C;d|P1fPg$u;5?L`}_xQFfz(3m$+4yQPl9%B}{C5S2GvcpoHdu^xQ0+N? ze+fyBL9C&_io19HjpP5yz2WY{jxYtYi?Kv1w3t2^X#Z-1da;DC>zw*om3^z0a(Qwb zJ?^`6n0I4=r~8(_srF67sqfLk{sGz_4Xx^;FZw^yuF$ut0T7peN-gtECU~_UOBixk zh_bI`)Uif<4*-^@!jNX-lzsY26lzL;2^ft~Uf2$p2aJ%DdX8({d)#ifIw0#$JIKv$ z(w61#?uiLe;%(ETP@@)1k=arldxqEDIv{H|J0K8#%8ceCK%ZcO7mS|@3p5h}0DAz~ zVS;BFGQr<<Gr^NoPlX8#U!d%3Saz&o2btiJb*I9PhJ*pYwqwn)lJ#;Z@^`6!P){H# zNK-?KJCWI`Wv%}p64frW!k{7;E!XmoY(j%LQ|}y!ZR;Fo_9)wJ?JMi>+E-B1JvHuf zW3Ds>WISIUN`Kcl!cWn|{@QHH5QiLVG3$yu$Yv#qr9&ExQ|4uwf)6aqTY0x<ptf2I ze(s;5Ky8_4_Uvp_7eWmlokV7TJyu_!5rk{atvL4z@5e@wn;vuRIXnp=Kp%7O%|Fs4 z=x4I;&98m+^_VCIb-I5AqNd18ada2}qV|V*6j^ZUIA8fX&&!CzmP##sl@gNSQmLN9 z*5CHQ565W`OM0stP4$}Yg^GP0)6A}j$5&ywZ$%alpyg7gg_&w0cgB`~ZNM38fRUxP z|Fv|0BVC5)ZtkJQ*s^)LjFNF~tCZVTcIm(p02}AN6<lmw<={n1G+K=<gTWcHQl{b` z)b^7~2PA=UliXGXx2?3&0c*g6w!N+9mND5CWo}i+tY;A}PLX?Q)`30Fl_zX-fZ>kD z^n6*uwmcZle=?$Ip2P%yKS}&mGhvw0Smkej&<|b7CdjyL**7B@(Lb2rw?HL%)1Z{Q zQw@=WDhvGRgedc`buTJ4+~(7qw*ot47YJ&Tt!kzx_2ddmYj#WB%%*B0|5^@fQ}M9f z)Tyx_e<k|4_Id(!7WlVM3KQ*eUDYPPt0}ywv~`>3+&ib2(MQjJEpzLTr3$G{E~!<s zh1J6EIEz_sKj|oM`|O+Ieovz@lA^L;NhtS4y5DO29+|DWV7YouvvKm>_EST;>48xa zv!1kh=C(I;^CyNgD!ps%w`RQ_=GW0CAxfexd%2;B(G6z;b!{e~8+Li{tcO>A-fHBT z2Hg?abIg*7K4x}*Q`ymQQ#q_2e_r>qd@6eN9jc1$6IAz#n1U(konlx_=>TKw_Oxux zMHN`CC?Cbm_eDqfz%wSeOgvjyuIMHEiBUiYy|*0Cjxx`XU;!{8id!uNaB=_!LIJ10 z{J^aD`GI|aet2V{1x=7L<xW=&fq^rkSL#(CH>)h2)H98LI16|^1*XxM^-Ph1fN>Kr zj(}$z01y2uvd8mj;K|*LAMkRp4)(#v!R<o4`wQRS_MV=zfmWV*TbM^^88?l%m*Y(> zPP4oxgQR~D90T)~(`CpA`Ol{NW43MbElw)nj4aHD*|J@{+m<^xBWZ4Cj9Us(_mvAr z^6+32nS0cK$8CV9YZS}(fdp$mUa#^}A2E47C&}*HDD~4m{i2oeYr9MAzD-g;qth=& zzCRUmlW#c!oOU3kPx^4G=@#Fz%#1yA`o$6{eZ>GPHtiRe;?WxEG8#Xh)!yU&(+g<E zxpvZSzCTI_uBXdP0fwyGmMu7gC~fMMsn&FRZ22*NI0G$Z>IHlc1*HRG=`umaxrYv8 z%RH}Mgd$CXlY5hUxTLSEpPk~LVkngk(8rG2(`AT<hPierYE8J1+-phBh`-y;)2{E0 z(l1K1%y8g^_1>%P-x^z%@DeyRJD2r*U$#w{%H~_y_pCbT{5oy<0k3w~+J|;2)4n$p zZOg%bH!s|_DoY2j%R4aO>og^7+P#|}4AEr4riIgMcj^_5dG@~SR4Lv~-47z%W?a=R z@*7h{O7-_Cz{DIvP5GAHz-d#`E`?`q9RwcTer+c&w@n^f76!-XUb8wjt+k_)Ne_<l z)Dl1>k>Gvcb>kV8bK5ih4H8ynW6vMPko#GGE{A~>F8~x#bQ+t=VYC-v7Y8W6{~<a5 zhTH(3+qVF?_8)xB?OI3-S>i)Vnx1_O+4j?03Ztc-7a1uiw5W7#McM^<RZi6+hOFwE zKnZW#;YC(wDR$AQ4~+JZ4qr}Vr&LM08xt5n36=W?>jWf+Y{I2~PrcT&nLI;RvysJr zk3YwJG*6>O>*=eXrk|Sm4<AZgd5tu3)We4|&cD`^Ry#v~xcPEKw(zPxeEAD&xWN~J z9l0;C5!s)@`fzS{)^HVf(Vc__j}g>K?o;6^Rf0RJfzkSrX{GG+CD3{M4zCCF^V(mv z@EMOkeFO=XmT%7peb$FhUu9#2NL|H$^M`rD1a(yKkTY10y%}uk9JPP=2^anOA#VY8 z_zO4vp_MWo1r1sbd#{K`>3=3%4(#(9DM#}VUI*U!&;IA|NpAW!fjOw4(b6b7B4(i~ zUqZFcVQ`qjMV}GN!Q%oSdyk-Ye%{Uct{O;44_plxL7hGBw*P98%DYpy07npi)z>3g z4)5*vlY~>~0EJi{6t%>mXOQ~G<)_BRRyI5wn_m~$kar>4e5UO$A&8dn`IN_O3{8ef zb8$hz#;&1u^G3smf&x>k2Gv?ZaiA+~1l89h@g^|tuw9LCH2!vJ@HihvWCZd1^d0}b zw)91XI8%5@l|i)W`}7X>TgSYAZ3T+CDxpA?c}o$iI+qU1xV4w$f;){(FcH$FBKdYc zYebHe&!5zX!#G&O*A>p~Oil6qGk(i`eGUv<2J|FgVCbKxI{<h9Kph+4Dbo^^^U=Jm zH(KxVDQPNFbVvEJ)MpKN{vBhM@T{-?fNR!kJu317FwYw>bbxt&z`UV<^8f$=0MX9l z`|Cr3ux6uHK3Id%)7!64o-d?jC&g28_5%c?{YGvt+WGU|(6B!}Y$h1v*DQWA-!w4q zVLHswwe7#z<qzVw^=8f|m(EvKt{ENZ@Qywp6ZIqU*V*YYvl@8*m++6~6>ZUaMGa>F zKn2ggo~IAL&jy^Xa1daBg#I&5g&S;0?6CiE90`)oV*w1QmdC8%if7=8p%tGO!aJYq zqyP3PEZ>Jo;w|0!Kbn8?W(mLQDF)!CBjsZa`fy|PKR{yV^NwdwK)2O@I>5S+pihR3 z=#S=K?ePyPc+Sw5>D>0h)J}c4Q_GmkeF;9`B#YP!P@n0;y|ABuei!Mf;WNB~f>>^a z-vVMH4g@I_i1x<$w+(A8{<in*9(vHivL16Ja3pRN9(zCVUK}~LSs#)}EQHxQEr0p5 z{Z9Vgw`)<6mot|%gkGZRc#jnA7f-`$5^wF<JyPom5=y$gckNMr1h#OTK>Hh_(?uSU zUO_0B(CXI0o7>xe)bEZdNM~iJq`x)M326RPQ%L=<44nGBdFP4iDMsu3XWzk8E_#p7 zZI}U^H5?~;en(_y35WyS#N4HR=bG$j_u`CivjqQ+Tv(MTJR=%8b;AUf2)|4AaT-A} z7oOeOj_PM)=qx~eHFNj@1C-%>l=Kmvq@#LaL*$reF6#$>7Gd&dSL9f5J1!t{Lsn9U z@u_Y2{864}XCTPz)x(0VkS|ql7zn4G(0VfXi`TW!^y}b1rc#gE6+btBE?w7<dZdx@ z5M%cs;1T%GAE`%EX%8_5>7=~$QlbgW>ms44xpa-TlD&U(%ugCTm@K8N@xI5-oX^i5 zJQyI=IhEOeGiSl)rvN@?Jb(DnwWlt$UcG7l-7?H7ou~`0qDeRDr7hF%r@Q}6!wv+R zG(Wl6Kj5Gl5s~KXomEQt>MyMQRTWQ;7U10Xj~FTt{Cn*_IFn^sro7<#RWReuNNRTY zMvA;P+A^i)%O&`hbL`>i>B~X7jdgdVb+PF?t=7YTf*dZ(3tGCvDTOWDSp~gU2d;x6 zA9HJq*o;w3!QI=@dR&o`gu{l?ZD|!>pI9?@NcXQ7M)!^?{R7o&-@fU2s@qneEMFoM zIcgDjr|Rv^LonN4k7q*A{b=rDr12e>!jVf8R==)vIcs@9WPZOHmegWimwz3x8Ymhu z{I)`W38Ks2I#^)1_^Ar+0--c}Aq$!|=q~~T8;RpHGry~<A&|#x$5WV+Av(w>RUM6; zD;YYfCXadz9PGfpUnkcQnZ(~*yD#_T$+s)KmwuG~xjNQXajizubf+F>Ft!c`e=V2# zR+w22yVE=^DE+PQ!}ydm`0E;gWz{#EkF7_4fWNXyeLK41MzzuuV<@^&Uzh%QoBlWz zT>4YWjf%)mQ8c09G{9etq`w_qcB8&2{nMTPm<RlXVKcUF4roW^W9!d%{L6L71gEyf zlON#ae{$4oDa68O&S!KncO+oB$=W*)MGo*NkDYh+(gjizX1<?D_fWOG#)0ki9w2mo zA8`BidVnKeN<Q4F7gk#4=|=2?p)JbVYopnAbhJ<FN4l}`;g$a0JgGayEj8i?D2)BO z{Nrm}5cYkwe9h%?pRzRbZm^*qm+U{_1^`q6fF=N}ody710B8UJ8$+ag&PBk;O~VG% z-4!0b=62)RPYJJ0z_I+yez%mx-R8o7OYk_$_%PuVV4|qdmfH=RpXH0o|G=0qVKV@j zjtM(yCbIQe!~Ul%X6F*ztHeNWl+{45m(@_ODb7IeTb!ZZH`mkq^|{LDJW)aHzH!0L z!MVX-b|yecR5IN6&ywHc%1A~J(c?1_dPD%tl`u~CQ+}@X`<xf;epD#Y4WG_`R&t}- zgNxgwngYvZXP=z{*XZKe125>OpqbLWLdTXiMq=!)(oOg_6bKEeQd7uL@~8mUexY4c z8mLWxec#_&b2-#w#SqzVqq9sS7}uI-vG32@eoiAxTb<r7bOFyyeT@lI`W~}$KPfiM zyxIS!>{9KHls5m3<@WDgz*gmd<HDR2&n50|940;2q<BAAy#-Foy&>B}pQ5>3Pe@6n zVq1)oK+WPEj?dR39-lw3`Ga@!1uDFRbQ#b)HBIo?@^f&8rIcyJRX5)+r2}s1GV$PC zQAM{cLvY5pwCQk~n&#uN<s0A(Nx(<`fX)h3&eEo401Om|7r+@M(xwrA*MJ(kbf8+w zH08Zo)044fBi>Qh!wBB3wmr8?*m1b{mfO=iKV{=`F2S$raqKTE%P)@){7p0e4;~-( zYyZZw<<bjqyt9Gct7NYI(0X`G*jT~2EpU)8kTbbUaDNcjeyR5L<qVP?xVZqIu{VBu z2+!~1N53&=kh0Ic!!m(?jt^_ry-e^+5k+4&)B{^(`P~wvpP4WB{3*N8t#*`%a1-8Q z56;g|eL31*VyaEQaM+OVUNtsl5h=fHNj{~i@ux_s#57z}cJgE7?KTTwS;HN<3G8WL zS%=}gKg*(4w!`jX1T1A1b_pIO`%AN4F`V5~cm%RAMX2-Pr;8qc3Wtk(-E)i)X=bp= z^ZJ)n7thBbSsTl|JHFxbd`##9hpzZY``p2OP@0S%!!&o0Q9ZtVfS_qo_S;Y|5#kS% zGG*AnL3(Ya14U!EFI?um1hlJRO3Q%LCAbV*9MHP}U&8W5Lh-+ou4!$?Apu~bd|N<A z^s{^*$Ux6D@PF8U`0jW%yZ8T3A5W{T;%RABty+n_g&5HmHG`HSwu)7;iyEP=ReMCN zAXTes$KEZ9#Hy&hs)R?0z31<KzJLF`UgtjNT-W=$j{BW+?p*KtkI)~>Oo{@aW*{Px zxS%8ijJFau-5jwplh#d3lYs6?R6XTgCB@zXkU(zae4;*o1`UKBFaQSNBS8)U2Ic>9 zF`h?~m;TyQV}Wf(y}Y~r*C_r_&Ez9dDR{Pz`b(vCf?<7Reu9m5Z`{`-$LeJfytn*L ziVW1c{3qSN1qYz_>%mv*tQ}}Cf!&kOGWqQi*l;=dMDf*qNXp3k)Z?oG+4Ph>GsUe( z6;(8M_FgG}8a%Eb*wyT5vu*IhHxmC(e^8S_EIOHIfDjl@1|4c<&WJjDrR5X3fITSg z>{XOcyb6dIQaMhQjP%vQ&`~^2waH7bpt|>nxeC_*mHikUKDZcGrcsx?<fVlX=7X05 zTNA)2Xkq37@dG3Y%_qhIvPdg)2H3uUmM=>N)rWt7q8^J8MOnmC|2~d80#uq#{)IJg zEokNJ?7INL#ZDq+qSU+oGW{0Y<3Z2fDf?;Y$Wo-(Gm7tfflMk?dR|k(0u<#9jVl=G z=Xs#>C8L6J-%7`BRi@fN?5+#&PbA7TJ|{1I0VH5Ue$6g<`sjsTZ4V#fLQFZIj*L+h zELxm@M_$6ft)HaHE-eDB^&^CN>m;iHJ3z$0alut|P9o<7Vw|^U<-b=GpYw@*$)J!M z=gq9`natGsUH35s!hF0=#=-P43z1+>zO>3zN?uwGKF~>aJVn6Q9cA-|j?e<mI~rOT zT7LKiki$_66UhhXOqKBm#3Mv2ahm_}M&jT8Mt3Ikf3zG9`QRJauoF5oo3OciN=l>t z^JTweDK290rP}jl2d(lRK2OfXu14!SE1X(c&HSDl$z8{xe1mk!^6d$*#d@m=aG#JZ z9#j&J&Mf6TxIu830QZ{N;vc$1qF;&JJ}9n2P84-Nx5an=2}4H<aU3`kf+mWHH-Qjq z`coN%f8_cIvY=8QgYATUzsGorXe;i7(T`sT2F@M9dXJ4JhGegYotF+bbE{PES@jOV zTE%bdG-c7fw+(6gkY7JBtb4-ei#6SIm^Lib|Hz4(Lu%-(E`IL0aXR^>-jblE>^70` zw-NnmMyG0L@bVV@c(&T9%2EqPWZjW@-u7Hze@d+~m?mETk^#(cT4M%TAMyjO50%wh z)K7`+aUN*Z=uY4rD~M1@`w8%%mu-j}T;08vx5G9BmaUHOIPJ;T@09#kddpf2+Y!>V zA$`{6gI~x;g<J_<ZyMU-)fx?*T)y*%(Voxd`dZqvkY7!s9(TqnzD9LigyFK<q640s ze>})~xUK>FLza7%z;dwb<Q8#pnYn#BpF=q%tAH)xLkG8x=Mg6Io0nTn9pV>jtnJ_= zPd()!`C5<JFLy=v-I6D6C;x1I(IjQgM+(aWWP~j~U^fE&2Q9g7xTyn7tQk(%TyiV* zTaTA&4CMi%@PCL%^dI_gpaK2BKL^Efe|8gq#__s;kM8uRxx~8NV?C|b#txXmQu0rn zxgLvpM;q>i%(|ibAdm;z0o!+AJpG9i1q@?=0r8)K0Wb)jacQ?US8A*Ww`u%xzkm1h zc}ve(9+kYk2D53--3xA(9zI3MCC#}shweR|E=#fG&&!{SA$vEdT_T&Ygj0K)e^WeF z*<Gu(XH~h5j!k(Va{W}bB6y;RykU#io~J)GngZ5bWdkO-2(%DddEL-?zEZyc-2uZM zFaTa*z%T?DlsnqYC(~gsX^lDnfrFhDN#OfAlWbs(QO)B<_cDOO(Pplq&3;VKt_Q=B zR2$t1@HoU4Z-EO(d%1ER5Np)|e}h~ntg-Ia>C%+YI%ds$Pu$l-VBHX<c=DNpkM2}$ zItS)JOYDHnwowBZd>@LgW87%RM=C=d0df@q`l-wZ#r56*sbYX({>JIgX<2rl?9keK z`=<R3{!K;xT<B%wp+U$AF7UI;CH&9qE@QDDP5eUKP{M+Oe8id(e*3hQf8%pn;OB9^ zE7nDRQ%(ZTN7q_AyJ1=-eoGDLhs2|Mt+U+*T0?THo2Ye+PA^)kv!4ITJQ}t*evk@F z;#}cdwbx@fWo>7oq+bfGGwr@vMeAKZ?ShJ|%l*3rkTg<IB*n8Hm}*C1zO<bvqGoup z$J3p1?i#K7C*+1yR;LyCf58;i9nXT<52S-N!p~#plK`1=8<?nI?M|yJ@!N|0?0`&> zlMeQ~7-*f~xD3x|&8(~M3$BPdYrgC_p9;|(txo*nsG>jWQ^hqN7o1S{D1TG>soDw5 z4halEF?;ClrKGl@bRO~{tKriVSYFiLd9zVc+vR;}@*$U<`pJb|f0pKah%SfVd4uM> zqb~F6X+#t+dS1dee;z^i^hDHLo_t7unbMV&vVTeY<pvA%|M&ZfGV%^`Z>A`%K3PUR z_sW$UI<Id~Bbo!Rf1Ca9Pn7SUJJ&Zhl7DUdW3hN+`=3tA;FsFfL)Rs~tj^=Mz>pQL z9fM-lfPjiG0r;uWe?TEH1D#G1pOT1(Z3o|I7?Y3*i{3{IRrxkA_`(}fR2T=THf&J{ zd~dM8!dzZYCM}ay?xdoOQjs8qyOdROkl}hB{4mJ0F>4P|I%7PQAfR!B%fO8nePLjg z&X;*8T%QV~#hPp3*&&^+;apCq*H+uLp&2!f<1lKtyYuOtf7R+2jU_dgA6vAi#)b8< zAubtS;;Xx5I{~ZJW|RErxgVSJ`X@7^2LoisdM`!iOSpekjk}?q5Os3TCGdJk5!Iz& z$ZX9qWwTwaF=p@hng8QhC#(FH=O^w4IXvfS!iOmra@cV#yzE$@7`0tkJo$i1nk_gF zq{x!n^czEKe=fhY7){j+_@x|Jr=)3}I#Be;7u7p_{>sAGG#xyglwB~SPr?)o-K5+l zJLY-Gt?n8eyRKFn`Pc3tie@xUW=!S;sDap1?Nen6oZP0-*j_XLY>tQ8oI<O4>Pjgi zw;B3>thp>`flv7fFeV?UVC!{Gdq|-L=T$oz<cnuAe~xEj4tv(-q)TlMhYJ(B-^*PC zA8>c%xZQMTJ~VK9()>Q@@`+me$Aqt3+akPy)033`t7Z67>$Z90`wZ3;;b)hmuF(%A zOydvBwNMVZGq&mBrM}X1lpCEQd{kBpA0{nT$=K91f_XTkfhX@Wv({nnk|-R~C3t5R zTDJElf2BXj_JM6AlZs}#o{M@I-U<Jc+`~Ex9>IHYZ1!4<ukyEv1|g2c7lcV6H?3b> zs2*i{c`b;igs`;f8P2DX+HcGowDF%6?^JYXU_)-h3eT#yJSq4veSu4XGgi3ayg-Q9 zStCJ1-rT;^`lj^{-+B*U*UKkIJa7$bLB;TPf8z`7qn4fVj+K=5+pv<evMn%4E__HJ z1RP{`9JpXeQn`-r?`IKJzb|ICzEUz|QDbV`;8bOBTQZ;L<u&0?sYYRENv{#NimU#; zOzJ34gXMYzQ2y><#V%fVG>8|?GKQ9WG<lqrxPh(Wq}eOT1?>7K(;eHGhMJHd=b?P+ ze{vB#k6mX<TElygsS036`@$rhzH=+8&2oV}P(&q$wUV^Ll}TD*v!tl-YJqmac`(Kc zu@Fmg2l5Ycvs*)(J(MV@4o_@#CYJ^@hE<kyMVgdy-r;MHuY^wuXCa{Bjc-WdVZ7M9 zC0WPXTs3dwr>rXm@rkf2Y}zt)^r|8ee_mG>JSdv0oAtKoT~)T9yN$#I*mJWC<==UU zErgL8!<htEZtIn1naD?Z!4~pK$Ke=3mV5Ef#a=FpQ$7{`i;cNaWF#h*rQH~o5slT! zBs>%2&oXYT@lc>hb*#E_WAXC0u<o9Jy)-<I>M%I=>@+xz%3*aMidE&rZ+})ve^@yB zIk>1aHZpD#w-7iSf^6X0UA<o}YS-ZFaVsH3><Wi8Z&-C0Z-m1OPKRYhN>2wTMWe%t z0`7o4sP|9N&}z0m&ShPxF<LU_L@f_^Fnh*(FdGg!=>-dSk9guVDm45Scp&*ppCG!m zjo6;+X?5JkcdNN`m-EcT)oZOLe<J=i{t;1QITP{o#oIq^vGg~j+UcE}6X|b=RCuLZ zq~C#9R9cW0!*qoFWK{^FOMki;J4dVD$Zt!$^!B+EvG7MNY~c^zo3^^A!{f003yYZ0 zV*Q)Hm-HvURQnEp6IDIs(_br%|Cw?27+P*F?`0A0vD6T~(CdlqNLmz%f8_0XaYG}% z<Uu^Ui6}d?JOBU;1AvzRfFA(73ghh=y`>Q!C=EC*0Oz)c$ivy<vA_u4p1U_S;)S{5 z*$?kcA`t|lTra3E5uOUdp`Jhx(I|rSB$D_Mo|&TD8NqjvL+^|(q>s8lOI0$WB;Fz* zrX47$?~JaMO;wNyvOJ+`e;Z;t{5M;y;}?44JxB&ch7GmJ!KS-r$rkI|BwHS~G9~f9 zDWQaa?3^4!`P;P?>sq}WR}J+WF&^l(+8c6}-(rWRY@T^L-D<ZFWes^5dPP4UTF|ne z(|3r{^X`JPi8$u$!!+^65Yt&?rnQg|BL)`dWF_nvdIkTCQwaTFf7+%A<J2as<864A zO~m`=fG4)J0=sEAe$p7WX1W>b;kuT2bDU6K>j5vh7Up7F%WX0Hg>@m-SoDTT^()<m zFE0W+UX*4sm!n3%c+gQEcMLeiyScXF$#X{jA3T^cL#9oAc&PNQm)?+!&2}5ivGq&L zRVx$*=1Cj!gX0e=f51Sl3q<!k>o5_1FZY8%I`cQSSD15DCGi3>vGhMSl-Eak2=oKb zpX<O-0z0M&bRPiA&uh4Kn^3rK%{P$Zqzxw9wUTHnb2ql?8z^%6pHA;2_(AUH92|-u z4!@cw&=y<w4HP(SgJ}iw=0GNpjBF@EGd*N-0LbzR$Wqk`f5Pbs-c1rRy}h5$^XDqY z$stN3Zr{uCPI*bzvxYXWYYW#%tKn>dMNH*(h>sr1LToA-_J(G)I0OZJ?t*zd_KC2! zI$oFxqgQqHx-z*GB-PQ13=8bN?uWTu{*c!~?`cD9?3M)U0=HC0C^C$;_xd5`_69Gn z1qz#Sb{*Wye<1fPgTl|-Z^&9u8y3<1q$HMd$`0n8c|>VViJ0J7JJq?wAj8;**G-ad zm-Fyil%X&c%IdxiPbbBw8okWpLW=q40*FNgmvqfjNvYobu|R%m5fLPZfxB_zX0#!V zaVRgv$t4XWh6@AVc!-<Pf;9Hsdw!fM>%cRpne`4Of3EDQQ1{hDrcVZ0AkH>jjIScn z52K|}y4$aBji*UksK`gu7bcpjUYm@+MNnq7b)kro+rtT-*$@)0pp`#vv>f@_6)UHO zU#>BF&5c`s1er`i5ez@Wt@v<fDTU*7DIzMoxU;AJxU&)K=j4;D=fCVTGusQdy+fam z%W8kNe>T`@*0x(U*LF7%h_d>W;+>7!QJP#bL1I==+$P{}?GR1K6K@|89Fj@a1pNCr z;HBzp%5RlwqDOJ{2b*l!L<Nk>>vK7%o9N_{DS_yT#4Hj);6%6{A1+G`0?j~q-JC>* z5QtZhn9yEOQF0tTNXJ~=0h-+JmEx7(V%XZtf2@J=WZ+x0&{(vkVRhspOw<!$J&B(2 z4;R_>x}mYL-U6&ED5*j2VT%j5nWAfkbMb*t{uCE}Y>aE9ed_aaL28okeMe_SkzIO4 zk?K;8)Z;>q)QmoczJ9>JT-7PHJ7tTatV>h}`A#@;E3Q{pavUJK7-+=ul*~Xa9+U?= ze<v7$dg<mkl4O6Yf{RJd%#dMlvgXFIpvt|rf-}t{IjNtU&S&89&EtoSGcltFryY#g z%^NDu^&Xyw7_{+H^;7J0Zk*eGw{_OHi9Am;g1M2jply2zdF&cTep0<>zWpxq2$j6O zM)<BCkK%eugBQ;#li_iBOGJ-M8oa*qe>L5N<UD_^-QanY$fc;OzQDY6D#x<fk9ep| z28SZ8Iu@gODM5+cd9Y!=*}kQ`)^vTowmfZ%gYf5KY#+PHG|#Ze^^x6h>hcp*Ik&C{ zsCJwkd8+Dqum^8Q+y7OO|HQlYxM@gIy2zZJ0q&I3Gbg%??F(_)@u{H)wn-tbe^n0M zI%h4|3%h2~y%tH66Mbskmb9-+nd<j0L^N%7L2juk@ReC7xBBF*NFA3trY)Zr@kDgY zHuAdywbC?=<K9|b=SyYZ(li7xt>CcF7+{FmpR}zCRuqARbKJv`8Dik}k>3je6pin# zl`LOV)IG(Y++2v#0fyFI{d+D&f86-l_|GYw3^4<!$nOq>&X>|twpFa_l@ogaRsl#; z2Bhh}$P|G*yqm;tdOI$k=fPDb4=V)BVU;q-t7HkS-wGr_!&QLt;H=!scY&2O88)9w zAU?f!np?M$43ieT+n@Wq|BsqXRv{HpaCw@0&wMz3!T53G=htgR7HSRke_pl=FrZ`z z6l7uED7JXi5a|`Xut7QwJDsv6a;#S_9r&7hDJqII0-MXClR>B4Et21_x-+>Ma9aZI zLBI_L+<6?5HPIXnGwIs(4>LTkNG*PmnGu?_?WA{3H_FR3n`EGILq=X1Cv?7bnYR7v zvtBvZ<eToMthip+#_@Fee=9?b>&wXRklN0dIDo(bYURW|fB_vvkvc5Lz3C~yZ5R2y zt~S!BO}1o(dk~(5xg|^M>H8Z6JUyx>EPkqVIXNrs$`75yem9O`@MZl1Mk_?H_1#ZZ zNp?-f=fCS}3r7~j5MgPFvts<smJS8J$5U64cwrIm!~=gB<8jk_f811WVk`^dDK~Z3 z`33^++ng2aYcErj!?Npq6R$}OOeV0dFgay0R(A&UJR@_sN6IpMVwUQPmwR0a9KAY@ zD34q4HC3v_vfsL9lc7_8><c%6%MGIk(wonxI9>UDsu!I3-&AY4@CQ~WxfG~Y=W6#6 zWrRI%>eoeDO#VH@e@0bltbbLG>e^ift{p2bRbu;&B@h$Hb~|Lz(e>#0Yl8s~=<!H^ zE6@HE-`?Dx1Y{>IF@iCPo(seVdjbhcK^@(n-0~zWFd;k5i4pZl^dV}Hpybj$X4Wk$ zsb6Sh(=_pQ$S2Pox{M7FVVVZne@c9Pn7FzD8ktouY{uvwf8Na4U?3c9_AaY1%$dtN zpPLi#IA7B~cVFfo8e=npOhY}s>GP*DoW4KaOgx3`#_fnzVajB>>oCK?#VsZ$r8SEe z1tV9a+j6Oq1w$_htdTU`L4EPr1qf1P{^gwqn5!HTJ0(-Wx+fxhB^TQM2{jd;8h_C! zu9G(2+6O;Pe}x(zzYU^FDf+g;_;8m$<2mXkNwfu*&k4sBSU;W9S5kvRMEMng%`{ja zQKbE0byi7>{z>Ua%g8m7Mn3vBRoB80CPX!N0xz2MwG^PQP<_un1wENs8Hw*5B7qCg zoK)Sj{2=Wqxe+%~0;#9~%|vZG`xx|eN{+1e^^k<ue>}Z5_5E1yxU{CCe$i@wleChL z&ff7~s3oz4jZa*zY?R$Q6`wH|y+{r$;kOEMuYD)4+4(V42D|^!`2*IC?{2T2aZ@<Y zcL#C|d#-UnR_Wf~h*d2D*$`P!B>2bbp|G;5;fy+gtlz6Wl#Jcr6P{H!Zo-wmW<;z) zGYiWTf3Z1WVNo^XfRs;YTLRfm#@_PNeb0>2z3YfoV6KWRpe1TECSo_h!m}F2O@}@R zXK3+<`uBH-b%j15erT8UNxhyl;}0J)6DDl=yQtr5vnl)1kybite8gmyRDlpC)ZlZa z`ikw1pG^%7pZ^>&a%k**S@9~+e>FtXslegifAd`TXK3$#O-$kfmJO=BEP-KTJk+Mt zVw(Mlak)Liec^E#+5`^G&JeTWarQgDU$yhtE6JL)r7|5?gwdMDCvQvlU<l_2fT5Pg zIFocm7{2-zTj=ps8>9G-<Ypqa+vnr!m}KldZsAs)PO3P8Ji%}a6DVWkj=^?&e%0nm zf5O5*!ssW)50>9zyMZt}1)G8@V2L*jrp`e?)^|YGA3)Znw^$IcI!4~6K#QZ2j0D^b zy!kt2HI{J=LY{XCL{b;l>Se~=UIYJ9;~-+xOd0}p>{wb87Q2xAO>)Mf+hh0FeF(!g z6tT*JEU-?-2CEyN)b6nDh|d~`@tz6Bf30w(=;)mKm~pm&7tbPM56me9@};!YFfRAd zxRt@wfq}NrB!LDv4DXoJ``(4E_<bzgg&K@Za%eguI5f$P#cg!*i4SQQ^3CxyS_(Lt zD2W)^3rY`h@#}YQ#l&CU3O23&9F@p4UO-@69`=(hIQkwAjQW3T9VJ&;QG>rUe=6Jn z3RcCX{Efk1TC?|_JBmJWB{RKaXW{(|D(3LFmqqFIrG|;yy`G*tiHpCVKJ57(^C&)s z>mB<c?c@?3iK!=onA9Hj@W(!i4^)F3r=xbn30m%d&%s0f_L>nT5G#RjFcQN^1aT$8 z=fJoY4M+yhU(gM)$$V*`)B6E}f0Kg8-|@lb-qpaqy;D;ee)m&_cttvW{jIXD=U0hB z1siU6Oe_8CuV&YvHjq%_gGhNBA7D3>3^UySbDws@GS25~L=V)ab5q`6kDfzfJc-2G zAIDCKS<Wxnar5Re@=t@-mb92O+=&C~Tzop_GsFEYZo;&)%tefX%~x7%e?s4Qs^?d! zNHV*T?s4LtpvG>WEN#D+`~WY1$`B4yP73UgGR{1W7Qjn_ojD{HX-GZ!=k$anTN#ym zh5ls?J6FAv$F9V1Jl?g_%H(1b#!DA@V-Ihb7|n@WJU)Kw;ZF(ea2Mpd7i@U^!2?0b zTVAT%xv@Z&u^u;p2~rDEfAlj(zLSauR$o%8mzk>;Lk2-Q=PW3~o(_u8ALB|WS&Dc2 zHZ%NuEHsrHaxDD)YzB#GH?%m4dl{T#fLmXB3-|T?3Tl?O!B}!s65SX|&C%?Y#0?`e zG%)34m_X{&q6kqmkjbA{aH!?CaERYmP~flx*RQwm1K)>kEWAKHf1A`IKZ(?MuyZaz z+5_CviZ&Q3kfaWXW&@&YfoKvCz4{hj=g|TvLB6}g@}{@T@_EbvHNi%_NAyv55zR7c zEFcOPd;JqnNDlS5BMiL`u1*dmw%>kp^|+V8@KvC&H+!V<meK8_;vKT`pqlYtNf$}C zxew(X<t1(vVW_U4f9Ywpy82<WvL$mWXcuQ!7E5mHyFz#N!;HTGT}1O#e%eg303Au= zdsHPWt#b;K`kv6sT#pfS<29VoE^G+b-A2>uda`2ewWPk^K}K`^FbEjBVrcP1)#e_3 zX9h$1@8>Sxlg8WAN<GVKrL<2uX>WPu)PB-B4W>nTwb4Ktf8V0KxF?r*2*mG5Odk<s zk_a#7!&#_98Z%K|^pi_w1fmZTvjzwc`6oj2gzm8}QR11|KXPEVr!#w8Gm9wo`Aa;| z?Zm=^`48pW8RiyIqIL~F9=Afkez|1zUmHdz*Bf*qfYyDzt3mg+M_NBgj&hoxyS*C! zRsVPN+q{XCe|GGJGp|8|FU37y+2`+@gSWSTf(D{mc4Z<Gk`OrsMz6`4_bBF;`GQA4 z#eO$4UVP;KQCRYbv$h_Kz(V$?Do<=O#Z$Y$vG-v@qO28<i;}M3?%({syyFu~Fu#ri zBP(8|%2|84@7P~Ers`~X2CGtsrj`V%h{KO@C|;j2f6z~mIiOYfaUxG4h%6N0?@6Q< zf%qJWDIos$7Qi9lQGDO+ckF4xz|o~A5D7@kRo=wKo9YjHD6x;WYxB+A;gAgezo6yU zCy@)l$^Q#@a2x}Oo&OMVkK#+7LNdUBTW}J&@!=m_O{#$%29Z^%wI-ifrL`uI1YG9c zRuS3te<t#4Gh9&QsTx^`bAgH-hBdpAsH-=DbO58s%VBUc{I(Qi)u#hg#yEK&R|6}x zLy;BWjwrI(pSZ_n3DFYQ{4INiELc_aon|7Sl673KPT#EV3QyujqN>gdWQ_}kM5Tn* zB$*tnj<lzHwDI$$Q1zoLahDp%Pp;V#!%BMne=K-}cU%xvABpz`FNY7+qCf2&XDnww zHs*4^%vo;GCq5_xSKoJOdAz&GLSkBS38`9^UEnH%b*Px`W^VbBM#46Q+j)Zwk1ZG6 zu|;$tc}l8BE|kNtO~E1NHl49)GwYR8a&Y8&OI%&ZLu!33I(5sMR2_b4bYXDBIOY7N ze^g0hD&%s$nn<|3iVNE1hafh-LnbX}ay5Q%OpyY9K)OIQ%nQ31xI^B*iP)Ntk3Pde z-W{M$fT!zVloa^}F4pVitoC9U98@I8Q^o|z=(vH?T@8bGdvt*86l^h7S(U^IfKefE zgzGgRqLwMCg?SQr2mCV7nLy0fyS4jTf3GWT4?w&Gyh%WUA3%a%KmxxG&?3|p;|VM! zKRAxWpb1Y+)aFa|g+FB*sWsc&;YfV}soL{myesBj@N_|&6dG0{z{nh|<Nwy<D&?Ys z@)>nA32r=oz)ddVDf=b+nVBvb-d0RK&kvy(;6#3PNz{Dt%X;lnpk&<8xO0Eue;z3> z94DA?$L)*K^M<IM>kHka&Tw-D+Y+Cw%F!vkiC3cFTeV?K#%41&m_(Pu&=9W<c{T;x zEcz^o!4tjvj>_QJ>T;&x%1WkgM2_|$z0{x-GE3d50pVUNIp`&$8%;K-6~jvo)@N1r zC+nr#3xZLE8YT#$`vxwk^9D|2e=Q8&2JmJK@FooKh6Q*d0j%5wU|#^(zztmKMi_hy zaJwj|3e8Kk<aQPAh#Io1DJ8!7Usds0dliuzVLbpkwWqHoYP`fGghD2*x;;*R-H*@E zy_uq0btU7aDxtrLB$*!~Dm55DvL##Lx*ffxhEGWPUFxsM&xd10s&8l5f7=m=pj^l# zuG>QcSi6YHxtI>#%#kJ0dqw8zVNvGBW34J2lU5C5L4Uc?8jT>;r||UzF-m4~HtO|s zOkvRhaBnIU)19$>pAM;MsA~D3aL~qxMK;U-UE7amRxtUOlPfUcD7Z1h6q2^Mnjsf| znxzk!#G}0aH@WnPKzxkEe}oc2k%@2yejNML|9cC<G>K#(5EqbkmPGP$BI3Z<O_+s1 zk8t23^baC4=$vke@bsZHmKEN|R&%jBT1yRDA+wZ;@_~t-zTm|y-R?=zOkweu!=EDU zpz0QFh<`R}CxQuFX9D$14y<Lu<JcFvRzMmG+l|C$dO>bpHUF7Zf9;&<<Ldp9k=BCa ziS>RD5Sy}K*l9ZXs<3hy6`p??sTWk|^_eLY`OfR^s&rcSQjpXyrm-e)i&10a-sPjl zrY%Libofm)JsQbirUeOl))>Es*Y#G@qgub1D#B!k6b4PC)X3^<;SZe#AL#i8KX1&~ z%P6pbA6bG?B8_woe?h6srQb>P`Imo#MRu7e5oB0StoQYS+!!an1w$~*y173<h2rA) zL$7m%BwBz5QUA7hRxU_&A94F;Pcb9Iu)fS<2*rCl?xIHJqUb`?&D@%bkJ(XlB%gvI zzBO4LJf&vM!>Tc7FXP`XhHuc!!!&{MG?;gm#HlnXE+5TKf4v!0JYzc5LuMceQVPj1 zoUe78fg3k<Q*rx7obBKxSOsjh?Y|}~jhwms`nK<KZ7AZR2V$}C9nZu88dFqqYUQw> z{d50itE-mmP0YUUM}d)?y#me8`x|^~v+85bxKckx#HLj=Ig%J~ILsUnR_dpM*t|lR zt3|f1^#+V%fA-xTt|@DdjC&I1RFSRr!~hkL&l^msA1&NoL(q$ZckTQ`sh=WZ6GE6P zL$;dt2FL*+JZrN@O{IP<rki0O_kEM2|7F(g-_&l)$xl1wSdavsm_35+qDof&(OXUw zVuu|Td?Vo#LGbCAqWW}^Ha;pvpzyh%8A<lpiSCC-e_iaXHFJ|Y?Vn!zr1P_HbZAYv zm$fQ5CwSPt3AUqBwF0GF@nY<&?}xiRzd6}8+tgH9NK9GxI)#Ap&ZA->Npw$fKd$D% ze*pl$Z%&JiHZ`7DN0N)qpC?M^IqL^v*niED^^{(>kRLGVSV*Hpms|4cTw(5Z-cBG{ zC5ldUe_xR>r-*EAPu`#7Sv!v_J@g~Ysq_Y1@~xf6lpb;-HqnGRT4XCDF@P&+9|l@O zKN(|Ne!t)C@h!lv2`C?=hW$Pi-EN2bDu%z2+RhKLX*I0AIA2i%liS(YcgOB;2v!GF z{3@M8bbGA)T2YHkAM(S@4nE97C-nP(GPX~Vf8AWB%R=*x4>HzJ4@!1Rv&DA(JzQvY zk6c|G`-6hBQWG1Ioz=Z>RbrQGreDI~5I2_O#K`BxY29@(-QEf;B9jYGn!K89vWhL< zFc~)6d`4Jm3OQS7k|8XGM>S&ieSIIO__B~gzFy7iEmiudlrVjDt#_$oMNPVC3p#vD ze=JB(rj>3Hf}_JT?KexnyaoIu!I75SCTFrUX&k!X;K_)_r?fv<-@SW%;`c*E{>EA9 zi<LVigB&$~#Gdz9KG__edss53ZQL4H8lZ^S*FcVPVP0$kCN1OEn9=|!V*e)L&kN*e z^M9`mwA}du=hO~blGl$7AE@9l%HrI1e{)Z|TQXw(X;^myq?Ub<{Vv4x1dL<__i4L! z^Is*Zo5Om(-|{t@Y)bMhD*p_NneN|b5qVWgS0^)fRXY9Gd+dSlx3iN5o4aV@+tWrH z6;%s~;aoA(pAsy(E)8@LNXOOPoTVqZ<G$ZguQhulKoaPd74-a5{B3ySb~8!Ge*=Ps zl%VA$(YVVdlK()l5k+bFr@(+<ZAy%Gpcc!<_YKc)O`xXA>C}0%iu{n<EU{(nbj{vZ zQ*^ZOpNt(Oi&BW`v`ses;VC+r_}?-;6hpC>dYw%+WEzXgl@_rH@5h-5VghL?6{5@U z(F|VSV06MPaz#N{x^zqv>FR$<f8ojUQni<@_bZdebdMV@vk-7bnT3Pi1M|s&tXIZj zj(YaO?e==i&6RnTI*lz*%k>ViUN_3k<@~C(kPS{(%H?~ku|H9H>Wg@r2HWlP!&^yF z_;OlHd*y#0yex^)x*d%v6U+Ntl~R+Z_PMOi^PBNBz$De8a`ZrK;uUT5f7e-H7%!v# z+V7jOVxvt-wq@mL0N_EhplaXI)lL1lYEFHkTABt7N5j*tb5ONdIw6C1s9IV2T~224 z03ewt5ONO)@diRN0Nl^3=B~frmqC2L8M8H7VakF9>J-3ECA0ZFO;=rgijhlp0gY+b zxeTmCf;9b;wrnZk9U54cf9snjIpCHAQX%u?pBt`taWn(@W^l5072oXNlO<H|g3F{I zfi;GLje6XR7CPOH5wYH~tSh=KvAfDFvEC7M-HizUva=CIB1}%OBIzKZS4~c+BK07F zs4XW@k$gZ!eqO_xXrDp|qdv&bn+-Of=~B{kE=7$#VQbV(G*69%e^#TB<(uSx0;jK< zXBV~Tw)xW1V^(F1iU3Y_fg3yo8Y4gzLTus>iUqZ=%7XHVr>j#KziMvz@B1<_uW!aI z%{C=E0HgqbNCECfy1J(KC?EdGKSCy&6m#E(us4$1JcR!6F1imZ=QQTomy9TE&+&}o z#&G;U<{YdwqZ?8Yf6bA>!FwXCf1px7cafi2dJV*d5~LZmjX7Olhw#PSrk(q{l@65c zW$8sE-o$CL;b}xbROzADzsggFG7*f4=$wyXuhR=>&yk5MPB&pXH&z7-h$ATz@djvo z(URYX<lm3AGkQ|M>GkhR{kRaDU}Sfob1X;E-$CSk1(=FLe>}=-T?gnRrh3}{t<Jq% z3NCx$Xu_v#L0=fj`P@<YiK`Tx&ufMYi3v%DU#LU;ai|@J$t6nyF%XG4B!b$xac8Nh z9Yq3>_WxcKgz)2902Br#<;piVu$R>9X${2bL5|rbm&B166%71B4RXvoiEPnaYT)Vx z89wFdL36#re?Vs{wd&a*tDc>pR=0`#A$r_iv#rAwxqhh_HiwK+`f6Qj1ftNhQ)Hyc zHg$DILI=m@;Oy5a!r_))FhXDby3saua#rA^8JU_fE72EY9414_JuCK)NpVlFe|FsD zUqZZ&YqkxWoVmYZQZpoL_z5XGP%|{Nd<P>~=x5i~fAC5j;w_F@m&jxD9`MO@IdMAO zEadCW%6xUIUs%)2EZtxmo#w>Z^7LssG46OHqAtWCf@a`xec5LhZOdai>7bN$na=3t zu|DHi*_HnRKs$9aZ^pUk8V9EzN`dpy^$tho+ha3*H9TdFcvrxOpNL*@_*412g*C^x zU#@d3fBMql1H#NEV&XCfZhR>|fs9L=Kn}1>FR2kZFz*}lib`pfRZ%;1(OZC;YcDk2 zy6gNn!vLu1BjBLdogk)0TTIk!C6Ujq)b*L$1oF9D#3L^`lvD;AgmohV4tt0T(u5p; zK<!9QBE#5J6|sPQ_32)@E`)U`?z2@mN~(=be^or3?HQ;5@Ql;rVizOe-M*b5J0P(n zkoZ23G@T7{yyP>v6kJ85d5l}<zdJ0PuBM+UQYt+4Y(bu+5r%hSWxp4nON|WUaygY; zFiko#=}UgxU4&J5x8u3WC4EOF%7=X~tR_;Or%9Q-8DcPHrx7uM6qAdv)uYfY|196} ze_x0ot%R`&;_teBJd5%`Q=~igQ}pS^U%>43E}LviMrSHVzqn1jx+{mOO=PPx`$2Cm z`YWPr%(K(LrP;Qic1B`2(`~|$UM{w}iS4#T1zR^FLc9LKEK`}bLq<Y`xtm-+ften2 zCS$bQV2ddLZma{ivD<Pe!e=%JqMsfoe*&<T>d^_(X|lz*0}y)vA_p`tw}~Zl0O}7w z-viKLdR*yJ1l-s+(x}ZoPJ?(5{|^@krZ)CQWUb`fH*ImxefvIa`_pN*zAzp|up|5{ zIU_hZA~|E;?Xd}bY!7Z&Yu&qHeIr5bb<Bsb3N;}=tapA?O7nGap(oC)5my6_f9ldt z7rJA0r(k9>%P>yu9VkIfvf#t5Dq^`zgn6?qCVN)%8P#dZl@b9z_$1f>9(@hgHshGl zRGJl_))tv<!nLYI`3E6x7O|;r_mPZiKBR=nS$Z65;pty%|33X|<99FzWD=`)>FZC7 zns<Bs>BxR;0y-I^qI0s|N*sNOe{p#anbi1Gs{e1)yaEyf<w;uf0*)#rS}nehBZ@r@ zd<QD#&gW&(1{~wFq+YjpO{AO&AHn>Jl!PCj{U^XGlGGdOOR)phY4CU-^CayPp7N|g zd7pjsaaQ^XUhHR%Iy05H(<mOu=Ve`|xzzTE&kL_|2QkDJRcaCuJHm9lf7i9CxV)4< zHYT&6ZrplP+;@>R7oUvI0WY>_cKb)g?h@FF9<d~%tvnaiT&!KPH1UkH4fX9^ai^C$ zv+~cBdgGYBhyFL{0tTx84Pv|hNIe+h5^$F}ypEMi56qH@py8Z7mL1yRpKklrt+nXE zsK<Qh*J+_I7=qf^Po5n#f3|YppdXpYp+ulTyI)%vT~32{Q}`)9%Qp;ULg;~Whxs$^ zCUqD^taKM}ha4soJB9?^B@bPQKZk_eWe;<S%PP<295!BHw(-yA+%_CX%D*~O68bmu ze1JvBK??f*k&PM~5nA|<jXvc&dfxg|^g1xT)R~0kLnx`a{~RTEf9oQB$8ERS%h}{t z(+=nE>1*f6#m_rdyUotdEK47DV7o@*Q?k&dZo%zi5^euTu~Ql)bLa7Hm)KNP<Cmab zrP01PZyB1I%aE+2^LoAGG4$N)$@GC+tcU)>=k=(DgOK2pLnvGM?r)~{5K=~F`+LV% zC#0rPxvl`|WZxF8e=(OlQ%r_Yp~dHYH~zKRr(@08rH8zPxdcJ&O<?}ma0W)0%SN^~ z_Xeaj+qMeNRL)`DOgDw(O8w;E3ABI$N!&LEug&WIF$gX7qk~(Y0t(~d+N|1Gb5f}v z4PrBZFz178bs+|fC+?T~wu9DM1;zpeom$WHc}}h}?XDzOf9R}HE_53{?-X@>$5z~A zF>K?pFg?!nxGjX;LAJUZm<f~wAx_ea+QclPwTDk6DD=+akFQw>{0vYj>N?BVhh&a? zY03-|Ww$;SUU);YeqjIXqC+5@_UUO@?13aJl~-zNv)9Qzc{o_-DO(RsUL5t^aQDtv zC%XWf8c8LIe<=wHZfELFz(4x!Jk96X+BNqkUEzf3in1NdCgy7>_rcYS4bcY==1uuu z$qa_(p70|!-I1;HnEi`KW2d}`O?_mm3NU#xb}Ee6<U+QFB=29Sj|JjN4;c`fmV~)L zWa}ZIGZOb<um5|JWZ`dzXa`}}4{jnhYfWnA`u3D7e@4c4-n1p{UmaSl?cPF8_GR6f z>01}KYY5ru+C06ZB@8gkvsR`%W*%Nz|79m|r<T%dTp~P_a<C&4;iB<7$XT7TN?s8q zOg|t==byvP^j;<Lo5TbK{2q^8(dCR?Vdk7bhS9pK<<lOlZa;KY^H0<s@t1&u55Fd( zWv-C}e-nR62PD>6a;E!TqcHY6BN^8_HXf7o*WSIGP?C5jL+XAm73lF>u9g-YZ0HXR z3TPL{*1knq7tt<`Yyy2Gcq#f4*Dkr-nwGVbeViOvgQAYC?upOmWpDcxh2Vov)Jg-M z{P;qv$-#KNRpXQa;R7c88AOg+_I_zje&NSkf3l%xJR4ft1fKL@l3FiBP7Dw$1CwSC zKqYP(-7`6{jjXJ@%`(9biIQ@BIvbj)Gw(ziMG;<XQu|}b{<7Zm$Rx@7{Oq^IHL5>& zq?S0Tri>!~m9%5>T{L!_f8I$l?tV>=474HgDoKPUaZYp!FrxB=GhWIuuID$?UK{D8 ze_>l&c~`Z6`1Q}VH#WgE%9WjuIqxssXT0|41*2|#1?{0zwV=KfBlUTXfQ6QSw100J z?V-KO&eyL`11oh>M`+mQ^cD|lcAivcEW8`t-?OO~P1|ALZB|#VB+dcUbH)sWb}Ln` zxRU7lLpzl!`>i-l9ef!@r0Z#)P6Hcqf1u<n_tvjhV=mH+ih2Ikg#F!2+D`~?yuU%F z?0g38=ixJ)>apeS56R5D5&?ZV#)pPEww$k?dVBg%4*O{(v5uK>{FK9i!ZcY899)Wm zaM;!LuRDH}zwe#FXe~4(n?U<K$B~meUuj<HQ}3>dUqanQ$O5NpXxdDk>(TGPe;QSL z<@@(67_X56BqX|w*%En-9-6!AZhyKhsQKN%+;#a~8RYP*vH2T77bsVb8Z*CB1cqhK z@~`*48czd5SHSv!bK(`85mlQ?TW2;+WA3V9sKEkETWKZRA(O9|7>zzMOujOF5Roll z_4?qeF<YQbNt{yUXao>O1K`?#f3P7M^Rl&fWn<edAoFu8)PCg0GKS>n`^+_?Dtx?* zqkZSi9wC(ZFj}GcJDmAvC0qYc@L{LVi;Y0$9D2h0*D3gd%l`?ftW_ztYX+)F{e+QJ zv`>E-m~-f_`ewZdCc9?82wp~tWc=&JsQWsD7S!~UhADgbT~r(OU6kJbe^<5f<fw9P zCQCS|N&EO6G+f8H>G^S6jXRI3<zr(7B8_l%S&+$zdp@DG$lhOnO3sjVeDq+N+?J#L zT8h5v2Is^dT9RM><+TSOL#NMr05gvMHYJ+?JDUMEB_jYstN<hsXu6E3eKgQi83DOL z3&;&XHmtlW8w4n30pN51e^ecSy#(A*;QSrY(6j6#x!>l<#P&OvdyIO=J4a{7{1GQQ zV=R}T3@&`5hN2G2$M=UZZQp%PcJ|I){#UWwICh<7h4_9SrHr+|rN*O3yGy6Bg`SFV z|M;2&qa0zS=+x+Xq8d19Uk?<MeJ3E{;CkGGB{Divz>-?v><6A)f6>x5i7Xz|Hz?!E zm#M=i|9GbT?)3A9{<HTps#>q*_{(UFZ>EGC-bd{BAV(E@za#`cDIat>5?O6Yn^<Ch zh1UU{Y${;|aru$!-}WTb{-88J!*f753q45Vdz2Ud<dP79IEuu$^n%8d;pM!zEOiJJ zhw=hsM*x9HkHo|fe?e)9@L)}df5fYEk;x@p0?{6c(IJ9ZlHg)|IEzORC?4eno?KEQ z5Sfq|Z&g?FW;op8pabM;HIY9{hkO0T7NaMQB2&WQO|6w$2`oS{91ef#Z;K(eRuY-5 z(yh(J`=zp395UwUs{4P1BOLZRM5O&~Q(@xK7k(Tn?iozEf1GDxqxw#_E}hGu%X~tU z=IC=+c>lSQOPo}ZDN&v+z&6WDy!#mHqAUs4DXSgKisff={dm5cZdifD3$~^!4w}29 zG+x4Yb>ygqQ(4bJ!f@*Mpx47@hyAUOT&S{#Ze>|7^*WQWg*zSELqA&lznMu7wE9Z@ zl(FiMN-NzTf163D8GGgZeB7*LpxD8s3{vD<wr(?c#dNI>n&eW}x@;sp&|Y8aGm+I* zH)iE+hFjjNHohkfPIb;XA0W9bcM-6Q{ZW)lWgP0vx{?SIuOb$T*Ad&1$_*SAj1j<L zm+1n{=gC`#qjqSbuWw>_jOc5LrdAV3lsHN%9$;*oe-0PB^1TV$1@xQh$Nc*pASQs< z8vujty&!iCe2yE}q65k3jagt{2#13Xcp}EZxYz}Cl=~wH>qrcDVt>rhosDpK1i-s~ zFNg~Rhw=QMOnHmiflV&8j@x4xzk|50x_YG>byeEjiNnEPCR%OCcbKQx(YYJO^S4++ z3%7bmf0AKx!jU)J3kbz}4vjI!)LD{#tXa}yw|qhk=h(?akg4=fQ1u(hTQpXtc2~tq zt6Esbj#631(tGG!`l;cv%R3zpO8jl(UbI$r3jq*&aowt97GKlhn1)nKXjO}-Pm9F& zmqre8|6bUuHicw_Mm#m9gzcbT1=xnEe{S(6fB(~0n5B6#zSD6%1~B=zN^}HS-M0-E zkF9*`rF0DQX|3#hPuebMZDJ`j)r7vQ8Q<!7Kqm}NI+(J$kMyMEldXp6a3TOjse2tD z9e|7ifI=y&i6u6GZG<>#2QH2xWXS=C-6d9)9XA1#34xMPcK<}$2iBf`)bCZZhSYJX zf7oGIC|#QczoO<Bq#`0CbB>fBUGq=8wUg+h_joX91B_GBh4^<VEIvdZARO7l4#^G5 z_Sx2n2g)@@S$sGpZHRv?YUd5IcazKYPx#}yeV)g4dBt^6EY~K(lMMqi-z$#DJF7Yu zV_8<KY540>WgMCUlD?W55KznN1ZO~Oe>Cp+9B(TIcy2!kv`x%zsqB9`X9C?0q;e_I z9tmYnwI;8BZ&zOSB3!Psw)^oZ&(Ie}-hS@56KVIXv<NCDbrZS~NFZ|cf<pL0&oXZ9 zut@b<6Cb)KJ+|TvZPB}SEXca#jzn1}646=_hgib&*$Jmq;}CI+Gs!bp$M}$Sf6|H| zfb4Yd)pk$BK2=}P&Nn(BwFf4Zo`4@n3)UoPoMeGxT1(e42XshcJ7zXm!#5DKez4}` z)+qMPkm($&yT>Lq>`qj3PmT&M%6fdU8jj3T5iCkOP)Fi{<2IngGH!MlW)fhlBUf76 zt294qmKSE?Zwtknt>OIjN^2w1e;tWtYq498TttEIA9j;Bm0r3Fw!q$u1omdaL-Xv% z-rs0R2?NSznTigADpH5?*iGC>os8Um;qm9@9VYMjwB?k;vMino+i;e9Z!Dp8{+xtb zNT%a-vhC%kEUl>(Kszvw1-|q(Yn7PohjlUzpsig)Y&Kj2ZKpGW(&glSe<(FPgQlLD zt74^Zihtzzz*TlQzkWbIledJ%?{Pg*WStN6Bn9NK6jivMJlM$KWvFT1s@+!@*bT%Q z-Vi_Rn5q~a>@pb}a?1%U%`$t#O1*cc+x);<C=$2AEnIZlN?VcNq^uN7UBhybqV{r( zIa3Z?jrEx4HolJ>IhY}ye^1FFSPkD)V_Cz;ht2lOv04S_7;IlyJ-MlNEM_)^(<&>G z>(_VU@r6~^=gpPxTBmJRSzk97zh`92T4jwO;EIo|1vJuEjLcndTX&{jG(Bn7z?;j0 z_UCn=WTgsoX!h32sq7}*W&=E8Gt@trAcwT~59fG)c~JgnAZ-F_f8LBh+);90aj<vZ zJ`?NkE~+qxwXbdI`#$I=-Pt_OzGJniyjSW_O^{*i1Pt@?QLdEG@5zj*fA4<NB+~M# z`m%^6b&r0W4KJxVv*d=CvNkn)g!!_>lQ%jfr!3|5n(4rr=s{qn^}ub#$!~#~Dwb6^ z8=lZIVR<wWGaJrff3+^QzW35^;TAlX#o2FxY0A<`x0x<d^EC6vMu)AL@t|Q%>!W5m zTEO>~rJ3%ub;5FD<@-j5mAEg<!JDe)%4r~r<=l8pbE3#puvD01pxN!be4eLQ2l(O| zhE-c<io-U|inWe%?CClfMa=)X(1B_n#e3y%;f)}*K+>vFe=)Qhrb;RIc}?(L{jj}8 z{bq)cunn=Zr_FTrDXu&GtUeTyNR}QH;}JlK?Qq6QUoU#5C!S>n&GrzY)O4^0O|)J= zv_0g>U~Fd5LQk|dXf(6<IUoLJpjzec0rqgfP6X^9c7O>vayI5V*WNGvsj3eY)m2Cy zM@(Uj-8Kt-fBn338czgc=Msc|RK>}Wr;t&R>CoBn_Z6zHQhs)nMh)9`y6?lBALXj) zjEeMC=n2aYi=7)!EVz7Gejish|1%#s8~!(JU5szf){i@hv6(IkfN1`vD-LpD;lAss zJteC7I4es&dcx>pex_b8o4OeiYM@q^rlJE)SQlHOf8P*`O_dZ0QOioi^R>&{i^!(_ z)ghU;b+ZGzs};B|(BSZr@}A6j?`j%6-$HZBPK`Sg9Q(wR?}eBM`nTFQER*SFc}-8; zlPXXYPd$h!=0gX^%$wnLDA!ssacPgcc!^Ms51Rf2%kNYg+fO}^f|&+OawVgKOWg8@ zaIkgLf0W&CA8wlZfY2oJ8-az+;^il>{PG!NSFKCAAk7SDkmeksv?&EvfY$u#kJcPI zE>%c{6$ELb^thgXoR926bZIolBk8`Q<*}9%v@ya?as0;@{Akl|3s`@y8`sUj1=TzB zM;=l$E1g7-3Py0JGHktY0<HOZ5<Q_zTBa+0e=a=9{BYUx<rkTaT}7U&&f{Tz7B`2* z`nFi8nM!5k4=aAJqkqKfMW?O*uXQWTznkv{9^&|c={!=$%45tz3PedT)$`}-*ut44 zhcDExhD9RUuL$@uY^xnlTPx`FH7EUMwD*4@7;F;Hd{HeGG7qsmYAfs9LQntt&QFK- ze=N@H6RJ3S$65Y^(|?Jwa-%vRU27#pjX3g60Qxkbm&>zHSu|%xAy^!D>p?h*`r%2$ z;NwN*iXDFMYT3*Yjzm*`tBWa3m*uQRt)if@8H?Qo>7juXyG+A+SddsvF(c%~C~e=D z<xuns6HfaLmk|XsA}tMmIrRHzzQ0W|e`6g&-9NuE1isi>$Ee6akCwRf3#$C~fV8kI zT~5MZAK%S=yGFiWLFp{l;Gjq^b2rF<We&HmR^|VaLW}&gNc82CrQ*e1y&vCMAWid2 zDZEeeEVVeU`qrDSeov^`iu9IfN(#nwmJZ0;;%cKDq%sK8OG5T(MC3D5`L*ZBf7h>? z^-qwW?s%!&E|jV=%E0redaHP-5T8_C{%U!+m)j}?0ZrRt7XE!*pTLv7rAArrU`naJ zkzg_?q=bF>`+9U#ryz(SFMVP-GJWD3(B>l3<>O!eHjR$569B~*rprga{H-ap34wKW z%`r2X8|b3+B&*5}h`cJ}(?-!{e?cE&m7Sexc5T~SsjA3z^`t5cV^UQ$&|-jA0yG@Z znt?{E-VI}BJ$;T`*GQ^TQSZLO%u4$l$*z{<DWTrY&CD8k@<eDp+Ud$mm8!H)xsem_ z^%L5p;WX+fr_-PQdjYDia@pN2n3d+_^|~w1lHPnd<J0NiEdO{!DY(B<e>B1J(J4K= z+g2<(9V%$-P%x1e$7AOk{T4>~zC7YG%<d2E9;s5mcmD}A3h@@)5c%YMsG50`<;QDi z<nKOO=F@wIRI%I!X&leENVMXn;Y@~>r=!C6fpF$r2ZOzg6*~WVYY&TUJo~etjO9xz zeV*f|{@+DC_sjNGs!K1me?r$nGVc$4Pg`TQh7}xn@$$9J<Q;hdnO&pB{j9m$(tleD z4cj3k0%FsCb6mb=>0{QAjlPd97IQJUC0N`-3i<=m=9BFnVKf#k>aC3C>3o%`Xks99 zl=JDE!k65hnsxU)`%7B0gYoCc3GLeE$Nr7bS*_t;8quOI0(Gcoe^$1^YuD5x;4*q+ zBljmX?d+Np=9T6a*Uy47GUO@@@6RbkU(>NSL)^<U2K8by{)xTjQqcSV*f{riCg1S! zSBgrgC^;@U=Tte4;Zs5-goKQJN+ELE3d?Nu5kky)(pVHChorEq&6#bPBouPoTIIN{ z*fQJi>HB;AUcZ07e}BBM=f3Xyejd+#-`9O#*Y$Xj{(XY%)cTwoHaj=U+H3rr<k|X? zyL)yUZQ;JOhuL%Yp(pvx4LCb(J=srBt+EN{%3pKgKVXJt%Bb@IM?kp0!voH*AHpiG z3wImM<8CNTyVLo0tR=2H%Gu>lAS>ddNXBS|U=UTX7ImXqd>)zc8h_(T7gf<7S8N)j zZ?Vj`JiCEYkg7L`%3m|lQ-(g}PB{+EXgMZbvW~XwADjB6q4WA#(aXo@BBdOY=FQC3 zE|`4=2D{xcNkTzJ*3-=D^w7*P$0SV!nJhqY8k$jXOd3{@VF2@Wzr4dQU6QS*jIRof zzE|Gim@bJ!X%s{`Zh!F-jDCDq9DjgwZtlP@B<uFC#K40xqkVTc!#}KKSK|ZK4a}4$ z^S(aY==l09?L>;M<kNe8PiP<En>vn3a0QtuPjqPWNj;tXsz#rJtEUd6?>eBTbMaZx zg|mWtUq0^2l#IJkD?0T%?s0jCL~)?_*^d=<*9?q@89%eU!+)XXtFwZP=t=0RjboC( zf(*JMbXDFlX#*%#hDP@(aw937Rf_5#>w6Z`cgf`@J0+bj8#=ti8=5gqmxKYMf@mp2 z%0pN0mUkqkOUl8_mG|I6j~zKAqjQ$ywmVHSKu`JBv&)N4Lr>DURy>8bA3|5}m!CXu zUw+cizHqJ^O@Au8g6_UQ2hfWC<mGCA<e&ZaVP<3yN240VDZ39`vp_>no^cN~>22(j zzq)bb=_s~w-yrs_`xD4zvPB9gNb`~S`p}aNm-3S>$4E#88Rh=^lU+0Q9oL8_@{)$0 z5cy{%5+@$-vh<7$W5AAD9uJWzP<hOIFzTT*`$s`a*?&_t^c=4B5nS`f^aWxC7<Be{ z*}dO%kBWCO3cVq9A42!e_T7@NpFB%`Rs?sR?WC#Q&(Ld8G=4Ve*81f4C27S~&8y4{ zk(x__%?B!9>bTi!<>=Gcn*e42pU~Zz7tyC5qR`#xB=qSt2)a9U5`8)a3XB(jaf-V6 zV3(}@)qhhe<0nI1hYs&3fIR~ZwL5ibR1iv!FFBjN+}J1c@ehCi04Sf}0|5F7KtBQK zc=Txr9B>EFbrom=eu4x6P*<GIb^_|Fzx;7HI(_-)<9(Lek*6k$Vx=x$+t{#bpKsdt zJL=I=&+)PmO6$-k!#i&WK0t&&4wW7Wia!@>7Jqtn=t+TjZ|8NFw5zAW(<LHqpkgbn zKBUe4W0Nkiq%V8xN$XH=u{3i!OkG*#=&-Mm!*8D<?AuzW;Yeh$sOE!$Uk5gHwGvo3 z>ybzq`|=Kd^ywrxz$*Yt;RDGE0PoG#Eft#&BnyNXMVa?)B{PDe)wgq7`eb;KUS|D= zlz(MD+Ja=r&q7zt9g`YPm7jcg^ns+io-(8|bnhkBfv5KZ%I|3&lBqn$ag!llIqny( z_X6DH81wHj$CO<c8@vjdibjsbcXfu8Mh6YxzELgFlg~mM7LU94apqGTjqD?RU-LAt ze)1yg(&MFTnr_qLD&A=utqeRTt9UJ0RDTpN|MO<*{t+jG^g~VhSIwU34Yezqe*2O6 zTJ}?Ak!i+NH_dcikSDYzYSZxB%{-9hg`a<5(OpLtnk)_Pc<lMHi8>pC)VY(N_nmX; z*d3CQk7()NU(a>q13?S<FQe^QM>{tQ45Z~Q)@x1r6U(Dvte>4pdMRd=hfbWHFn>5h z2)ua8LH~|fxmtSZcwpckxt*EnC85>}XL7C_>AAm4L8jNs?7QR86J5t7bp@G953_h+ zXJ1U0^wLv4Um1EV?OeHmW0Hn~%(#bHsLRk3m4e6+)*bFV#rgP^y)yTf73AOQjp0O~ z3)c>v&natx%lzQk^`zR-%quq4(tr0S7KESOXj3rwqd!)-_Cw+LAA_-+H6;a0NxiXx zwMPoJlAgZ~of`@|<cOVBuywld>(JSuAO%P4kb-S5ptuYL;q9Ms>ng}cV-BRN6r>sK z^U<mo$z46OBi%^MOmP&1MEdT@I}U+ZrBqQ5l^%Sku351j{r1Z7|E~6*Nq<*=s(0cc zFbgTYOs#YcdHs}!6^Bk_UG0xw*r10WI;d=W>4R^H^Y3>L%lpELvv42G-sM)VR4CXU z^!(-PFn`6!5!(YO9>0A5849v<#DW!UFM0eb0$z$}&-{v(8T8@1UwwdV(ZBOdE>ryn z0joZJ;aBU~q4|mI@B32AoqubOK2SgY;_#hr^vL%dr*h}<e}Bb0r>~b(we1gfeC)a} z2s|=Ztf%ndDC_*UlcOC0yX569hCjYdKGGFggN@&6YkD31t_9?tGx3kdRXNABl8X%> z52NKL%3qz|Y#et?d#9i+dZO2uIhvZOpo9i|X~~f;f`V=H(JD)d_<!?YRG@vj#3sSS zC~N<t(lklr^~+R6{H3V^1774YWd+=k#}!ct$4#dV6wMcM&0UL-U#+>pqo9TFct5Gy zpt#_Ovd|Ct<sGS`Io3^#4;2K5p(nc>lWvTcPS*yN4b5zEwcM!FhrQjrX|$u$-p}R! zDt7dvhA`>Pvz^oBzJHtpJ?SR;mry4g=eGBx&np;YdDQYtulB?#7({y3@(Zu_SSlEh zD_?=nH_ny+wq}`&o%TJIaD2E%i#kJq-59q$#Y)UR(u-VR7l<eyR>9|D=Jz^2lvX(I z=2>gy=rL#3lWMA<WLH)cU0B`$1{@#YS^@u(T;AcGE;$A$fPYI&D(|RLkg<Cjx=Q?O zLfY>R#dypeQP{d$UQx?<RgQ5&;Z9G}9swau5=3?F!zNj(RnZTXs=qZjPMB-omM$T! zFKbwC^|GjU_8d#CJPM(&T>B*SCE#^EStTDa>OJ?b1D?xiOVDrGkvaLAq0d{-H(*1@ zGnLwJ35)*6dw<1jd;Ya`>*=Ia1sU}VX06UcGsccd6g}nc-7{Awfn(<&KQ79bWGK5B z-_v(=2{mLA_@?~i_=nK!SwZNknqv}3PZ<T;FSGxG*#qaH!@#}#24$H@`iga{yf8q@ z^jUn!{nJTUJ!RF(w~&)VGex(9&NIg9WilnxwmpzclYe+1ssG$g*>8!<q5@^kUtOX# z-ec>haI2Bf(_8i(_TI8@rf$oTe>`ix_HPNZe)Btu8h++b`wq9g|D4xT{OA0cgTNI8 zT=&mdM(uS>>JVEi7g=J<JB%M)ij}G=SZC5miVr27pUpHcOrU+^pK>*32WV2sEqb86 zQ<Ws2cz@fTd*2kK>?&PI4oJeU>C-V!9<5EAEUA3g>9J<nek7ZlDL<?Dq2s!Rp3t$S zaD5vs<o(k@3E!VQsh6K7fNR87!{3j9YX+bzO8;AJqTKEY)4E$hj^5&usPXof(l+0p zP1|3R;>ua?G?xxvd-m$QVtej#mFJ*ByK0Wz-GA$lz3nHKcmAssC;9SW+cw|cuUif0 zOScrpL~WtBngGq=Tj=r4TM9XgG-sBriAsBJse_;h+`GS>Tk0ifeU01mZ}ngW>eRT) zj+cw<OMm|)MdHC0WWM{^4VA6k_eiv=ig)L?3>}6Y2JCBRbP<0q=cF|y`fhwwtxrPw zJb&5O1f4!F`X5;u!Z=~YOi4D4@48y~aQ0D0=uF|#Lcr_rmyfS@s;BQt(AQafTJ#dI zynX2sQhKtg&vr&X=w0(zC~6|5l#~rUk<A=AcX9a5w&%b9m@SPyx$)ZAwZR-%Q*Qv7 zP>9*H&<nPALoXNsXaj%&Gyt&WCwsP(pMPBXr@w7eIaVg{cGcYl>hJe58J_^-o#*`x zt0nEd%=r3R%35Wi*$>K3o=TTY1H!J;)cTWLAne)jB(0>LPNFNwkjuvZ0AXg_sC5up z#`l<V(PP<6iPoVH53im=r0-IDe#yd9_4}EaCu$?1;Wd=zi?|Yf<y+;U$8hB*U4LqR zSd3c#Yu9ly{FHpU1VT^tb-C3s?A$-n;f9X}EdVpVq9BtZUI@+p^C%#R@hbd-c5C?R zJ?JC3|AwA4@TuB~6B|1*<&zHlhw!-BCfe8g?gr}$<TFE0v>lTSZlyIGUC$M<^_5?L z5WTC|RCP@9q6JiJo(eVles0)Rk$+Y8amj(D5$xrFeEDUshx#>(!}(Ihu6tQqgAu2R zlrP&omUSVI%lj14)${Zt&zH9j;pUt`=_>C4rK*)<+>;rn7kU0^E61WIv-;v?TfN9V zRjotGb50@YDz?>&Z61?{&N);n_GB(ASf+SPes_*}YTuK&74UJMlZQ^n1b-dt$<$D= zbh<Ek=xhw&+a3-n81!DqKjgx>qUxB!QIOZUU{-W!XEn-T+b@mflPS|Bttv~hzfe7W z(Z6YHbD<w>CNeO)qQ*jEWzL;7(^GpW*>r8s;BKcR%WGw=ZZR`n=@JAFRX%>MUD3=g z%F~Pw9Emt!xqv9zyeC_Qc7L5|4wcT7d~u`J_}L%-vqS%?xjv=e*d6HL#oG;}N_xt* zRpVd(8TwbrF{wg9=Af6E@9Cj`?HrTd0Lle3-vc|5E%HJPM*d#VnMWbzCuzU|^#eGd zr2lVxFOw#@PeCTv!|cqtp~J$0IGO!`;gnbP*!ZW%tqnKrIr|<#_<t_!R7>C0m^W+! zch9<-UWNaF>OLG_s8KKc{Uor->YHNZ(LM`Gz8APq&{MJ%A7iE3PE2uCINrQV4B~k9 zr2cRJ4$0gi*dl)X;n&-r4|uDH65pz%EH5wbPs;b)+OtjK@&20?C*M}IwR!Bjc<gKr z&{B_Ev2I|;!PF`1R)16Lpi(RwF|hb0VX)S(DR_yPr-dyryi^<NnB&`+t94eS_ajKG zHQhm>3g#fOwDOMi{xLmDCI;8$(RA4@15+q917oeP-Vvw?Ga%Y)L1K-nYYEF#IY03^ zfw3qs%Qu#Y|L;#5S0d~ZwYq<WzD~u&FK)lBF7|<o2-_Pw#eaDU>-l-JfpB7<SiUE3 zm=~tR()t7m^sil{dhL%&Q!%*IT!$4?ao;$YgDPUaSijF+Kez`CeYe69@B4aQrEoIC zgr2bKjkF}|taMs3OZd4Y30RIP_tgqhrk-|b%1x!ICyu%F36^i_g*nN%?|<V<#3v{Q zj~hR<!odX3h=0d@wZ6$jWn(>Jo$ZvTvQXQnbLCP83gwbVXi{DNd2;t#3|IPESmUHo zF!7+B!B}?)GdI2sx-BX%W4;c49OY=7ZbEll)g~Sz>nZLAWkB~5;@U=oi6`vz*nLYX zl8Wt1OcEc~G7*()Ft@q9`sGMfm$<)Rbt_R}N(0wx!+$yvkAoguDWuQZ!jUAO`n&5? z?^O-rQ9DDQE@$RX{&V4WJDsuiK9(+Lmp~uoiB=}$5<03fgdv0O2MvM;EmvY>6VM-h zk0+3kRXkbtOLkx8fhKm}CIvh4l0DI=wkFiO^u9F8d_vSB6T1g{RK!1|9w5Z@t!~e{ zB06hP6o2<IFL%QleP>3B`+4rQ7d(!e3inYp2zXzpeMYgVhr@FF)a|C&)YWrVu?f-b zsyJL~_tCm<^>Q4@W?=cV*yfVFVkY<!h`4ETWvFQLgx^q6r3HGZNXP0dPy*i*UirL7 z;EyMTz9&CEg|<gM;7VQbVqrTca{N<UOj@KtxPRt4Ik(GZ-!hQTg+ch|-!XPeryH-@ zMIiXE<@?eFuZ>oIF0M;ZFt_05IS6ZZBx82iZGRI6k0IG#Z_A#+Z1kO8lM@m5#z8rK zETi1j8$VnU)O7P;T_NQ&J{&*60pSbbi9jREzHlaPHHw?Tk0UCH93s=j`HyEPOvP2O z0DmcL5vm3nSoSV3o#Nk3?j_(<W@O-rBoFk#sN98F4D&7jKd4kxVfZ|TbvZr*Dj!uq z=$K$uabgAfBnR~VmHhCT46Zz|j%q7~FMxIQ2G;Svb!q_zP26vH*r!{HwVR&}RR;l! ztO@r+T{KR@QY$zyL<KtyT(>T3KR+L;YJZZGF<SxmAe}e<&z+vkffM(N0*xPFvZZH0 zEMa^yUQVpV?lE9N;tTL9VncQ(r5_w~aET3$4hGvWbV9oPShcNn_#G`drt_n49bpcB zA4V^v9n}AWvoRVyw_ZEjy+XUev4Ja3o^#8bF7%phVdnE)!8_0nZdv{`YP2j^pMPPj z+hN4)=HCYIL%X^?fECEk_%QkW>tOXr<GvmfYD}~-*n*+o*J(n-s#|7wm<lu^kJ`7p zGB@};1nTHOat0x9Wu})Kfp;b+Bj}bfngYp4Jy@R%GrX0II$&=A>-f#2x7MR}jpdlk zjdOQEUF@*oH1?_~*H8EwC26ls=zn#mRYl7Pv>3XCb|h<_ze{ka-k9=>e`~+ds2wJs zRLs?EtwHVdDSUikS}*Aig;|gfYCphYSKp{D-eexgU+H48-nHUMQn-S+`4e1Y=;f(2 z7phJ4S)`4zMpRc1tFE<(v_r8#+~dd`XniS^GuDdg+`-DUsvvEx${w5l#DBHMp9{<w zreWsIxG<|%YukN>LQ0KD&fswVdzNAAT|D?E7O#J^3V-}21+PIe0Vl>&;0kt9-~c{~ z`Fa+SH+(<Q$t{ydukK_UR(1O^6|7$1RYUUfW*6a}cn7!t5VW)yW3Y$SGV}gye7^k5 zc{mF1m!-HC0*>SdGOcELxPPG3700Xtb{K)j5wfB{!%f$|JqHD<!mZ5i;RfKL<Rq{D zmKZ0&!7PL6ZVpq$ii}dz&2E^z&-KQiA^#^&$HnXy>~}i~>uzL8TV<itb@K*iRYp^0 z9s~FTOaRybv;ialYye1cJx~MVGN=H%hoH+U{{`KckezcLjhyk~qJL52<GWG40HXj5 zfGL0ufFS@XKqtUQfG+?e06pWoNqqog0D}P2KwUe)2Y`M6Uv;c5ZGKe?X;`X>?Le@G z;>#erO>&)Qw7GHd)eu#aywq71_k!>pWV`sVTrZDxGX5T9uUJp6-JZJ1*-bPE)Q;=G zP)j(M+y3ds(B)Te5`X1H-p0xd?0AS%uF0h-Me$X|ocM}KHL?DBH^rY|gtw`+S7O9) zTRzo#xS9wbPpUK&>dyIbwIE)l4@3IBR&ODXmg=~7d9i-Pr$Z#%3q$5OTtf)7G;@Q7 zhz22z)pZ8D99V+*2c#`>g^F`0;c~*%w&<uD#4l%*PRXxFhJWS3Pr?q)Z{_ZvgaxK= zQ0c3(Nbr<C2HQg4Tpf(eev%g0BGF)TreQ<Ee8HQi8K~RPKEWicUP5Y59ckzk)9mL5 zxF&p%z=w1;Fw>G2zA7oOM4cC@fpFq>XO=xD(xz%YAhy2GnTao>TpLp;!(JOx{wofb z!LE(z{1t|O#ea#v!s@TEE3>~bcDl?YWX!cJ>c-fmzbf>vxcXOw{uPmb#jU^MPT6$m z*xfQp#8^sML-^RkzaqB`6ggJ(SCsx0&;E*<zg=Gb70rJI>96SgEBae20&G&lx3^Ww zj_r)C%k=+jZiW6@Kfno(9ms;c2}cUk1Lo&(OXDERmVe`0g}IprW)vg#Y{gs)lIFXr zAljoo`)(I~=+#@5vWUGm-Ev$;SekizCN5&nc1lo?W@|y_qnVZn!yT0CL56&UN<v4( z&GV8i&5g>!N13xT?yRv@UG75b)5&dd+5U4_mSuE;z;)6ME!|Qu-D}Id#CHJ#lw_gt zvN0vKjeoi_U(c0l%@ArV8@BXL_eZRb!Tp5=sNAw4q_x{bj@+dw_4QnLFVmCepuF{q zQ~Tud?K>ox?lBmF>7=_zb-jSnp2#$bi4qtH>x8#Iw~Sbzlk&!i`%OGuLm&>z|5ecg zIA%nZ(xY*GUQG4)SCjJYxe2prv2NY+%&B<Nq<@r2{>EGk+#Ygi8Qa${u^NgztgbEB zsmbbyzYp1t(Ut4zVqJ+Z0yaW61+coV**oV5-lp}osh@vKqXP--Lt()NpnGh8%C^Bg z=UHOxyRKI<Zx%zjU|QdtU+mY@1Be<WXFD$ee-&L9B|p{hTf1}P4f%9DWfZrQPz7l0 z8-IyutH{f+BdF3*T>IHtlYRALe}z(=$-b<U3oiGAhX3rJEduVbD*Rg&^S5gH&;Byk zm*mr-2297Yh(`1&D|8)UZ&vt%?)`bjpIx)@uYoEzpz4o0S8mp~+3p{!-a5IxS&0i0 z_bod9Y$>aIX}5(Dns_&Q6A&N1`GO9s(tk&#cJ{UX-r9NmZ~e{7pe<D`K&O8bx8Ro> zQ?;!EapAg=<Se7gwH@x+?lb4LZ!O(7rT48?%)O26#IZE_>8L}n0=e06?n&U}x*OHM z!Er@`80BN*8BzMvNm2ARjv7*tY_QVp_hwNR<t~Ut!KP9`{r(&Wq%@=Qy0{0MAAi-` zm@iHWqCbvSMQUalM7496zpcn5S>5chxkc`@RhdxHO-r06Pshw?aaXLWg(_}34INb0 zh*h%Cz)fHLpBL30a2rSQPJwB|H2c|G+})~F`?+6SIjbb0tzG$G1laDVNmn1Ug5OHo z9`fl`24@#5WA!Xj!%o|zy^1B^KYxVC5enFI2(BtBur$-8ziHJ`aQzQ?Wr5*?5Z)8+ z7hOQ_!)RG{)v+pDGbgvV6u^Lxk{y#E01N9U4^eb2J3(|APB>gb_!bIk$!^nP9vX@n zSJKV40epg`RgTcy%@C8E*AJU}##OX>Bm}z|V;%%iK{KDZZB|)AS#otmcz^OBJ!)Q> z>(4J2>X5Z4_e|(UoCCxi<fD|V25Q6X0`~>KR=C?vm+~;`b6ol$fZP{=iMVtuP{xh} z06G@X-2vSl(B1#G|Lym;4AigF2j}YGwj|g3j28OsRav6Nl>S&}8?!jRMYv~5(>`;Z z=01x7qTGW-$thi*J_IW)zJC;YU=a6WW(WK_=|cT|Md|_0RpPe5Be*^n7CgQgdT=m@ zK64#@L--iFTdarcHel}O>k_R3^%c8bFw6NN0#kgs?|ZGPN3@J=@exe=e0A^%bJKi9 z9kI7)d!NsMR#>Hh$!YTC<p*9h!AH!8^A)wkfy;O2Yl63#dle`i7Jr`<9h%PR^D=d0 zxRaeCu`9Is=Z|hS?dZ3h_=-WftGf(nJ%03$sA}@yG_LQ0sRzSBgqxJK&vpjR4u-3! zWx%>!j^**`Ar6t#@WyX9KL?Uq90Pab-iFJP0vTDB{a;p{zy?!VAsv*7T1jClewSD? zq&tYYC%$%Ivi$~gXMa`U@GKdAdD1;=5Pbb1xlQ@?h>4!NgDVECZm!}IW_Y()hvwyF z`cIb2faJ)<s>*8a#ZP<k=Pxt`n(I@g^s%mFH>7uAq6cz!m?XWibNk7XjoiGri=}%o zN9^ZBEZ>of!L|bhx)s3>gxSR_7roMoooIO%c-6wY#V2d3&wscEvC^sq=gID_pplDD zzFlXfJr_*sn0pQfn6s*@t05ZZu>QDefs;Awd36P3t2vAo_grw^{CahD#I%|@jM`Ft zqoMf3r%#P5S;Y-3|5;H>*f}q+F|th<IVZ}~)XTi$d36ZTvxKSg>HH<vvtgxgR&t6N z+~3hysJZs@>VK$dO>+lU_^0!pt}$WCpVy1-F6<Q?H4nPz^{}{VDdAb=3siFPY>oc8 z0n;^G&tX^c`GJ2%6v`^g60x6d;Y>V+{mqlBtFHl1v1Mj_y5;4n5q5N9{fw)-V4FGY zMde|0??vDtI8<q|ySLZf;u_!~ygF1?acm9M-PL?Uy?^?L5uqZuG>q%v6@UbBf6>G< zrWm)r_?2TJj-nDFQsB?cuMuYocd*{|%NxKPrcNW>xveyxJWKDXBw*(nAWexj0waMU z_Yd5XYrq|3$}sD%kaI}sLPw%Y(aMnqEzCJPI)CWQf`1Cpoa_DxVniql&i@VWg33pT zcZZcWihp#G&xFq0;!#Bz9-0a25BH<wLy~_|bQ>ZPJ-8cOPwpKc@gS0)mRddKV!Kd^ zZBB<9o|9~m)@^}@8=jE@it@AuwHpjuv_Tq!8VyHUcJaCvi~l3la7Sl-3qx{znnVaI z3zib|dYy^dx8+dVVJcl8LqOpnnZjLycgI$oe}8*Xr43d<-;Po-d6utN@<~sAMy0Hs z7Kn~HSH-{I)3q4897He)H<jyxn2t1D|I%_(tYK1rJt{f&ykIN$2Tke~<7RB+^^)t% zd!L}*o7RO9ksC*mentt8QAOM&CZa&@YKh;{<bbsc-Qjs!RdJ@_MoM9TYoLqZ-3K6u zb$<wi2{xmRy{0tY>*gtD`(#faCg@=`O|->MBGM@DFy6mFP6wkGbr>_81>-8$i1+Kh z3cnWi^YeOEl%L9j1jUR;v&0L()w)pTEa__fs6@uG_5NP>e)|ie;39`g6a;yemDC?a zU!cNXt=%WVIYmk}(oCCAzZw@#hs}MyZGVdln6T$WM2Js^<<<n72vTc)D1Kv8F*%m6 znzi3=dTz>ajcMyS?iWf+SKi@&w{)RgM-0nFegY$|{wPMrL_@sq+q)aK*i-iBkkiMc z+yn$>W<kSFL{C0Ucclguwf`~pJRy5Y|Eg(xm#Q5ruiB*Mk1f-oV4cN-9;piYQh$DV zEg-CS!h9$FU`|}#x=-TepY#`^^<(1mg02tiUYk`+9IJ=vK5j={2p)Qs+6l2Ydi>~i z;j4vT$5t)~P8S;0U$@t!=$mLXXvkeDdGu!SN|@cyD?i_mvno<MHytMI{hEt}9z|8p z|2$%j6!={Ef}4E!eIFP8li<C1{eNddjpzt_`Bn}1)PPS7m3HUc=lD}amenXjdg16R z2Wp<)^yw*2!7=Vdnph*M3>9C*J{qNi2@qvL-n=n|HogD2AaWT9uTeVbDyb5rh4B{j z9~<<ZIwwkp9DVO!(2_$j#LDt6u$Gt+tm9V#8%Rl{0!X2-n3N}s2=D7(>3?tQpXi^b zg1(TQr^d51xqJ&r7g0`tbSg5>0_`FqFLhlZS7vf@DOISWNVJNS4rYuI!*q<OEfT7+ zhN&(S@$m1232;8CJQJMP;$xRbdYkEz*V2SIE-<BGlf$>Nny3r<_UiSTi%|C|UqM>5 z%+*8*ZZOR~WkHd}>r}nKs(<QygXIdYWZmEtJrX~C9fg-2^NX$D#e;eybf6i26K;Y3 z2>iIRqoX;BUg#`gkj)g8u4nHqs#9wMa#PsOF`ZHD5zHw;PjtXjloHaFh3iky7ncgb zET8@aU$N19gg3f?)W!`{O&XXqQFfx+dlLMAk3C<h{A1rGyv%CsB!9`+INukv(SlOJ zs-uG%gHIuDMT1uo4{%S>=2O6Wqtk~?${^}UsffWlTsUn$uga5rkCe{c&eSZ3(!zKm zPjNeGmN{dWN*_R~xR`zwJ@@ma4uW8=V!p11$+ab?BGWpEKJp~XzrRY~-3b`ZB`!GD z$h{OMXg-$ekKD~g(SKV0I*nXN16Pd+JL>LO>MU>rtlle+eTkew!g0-*#KpH*3veAO zzqr1J>f{>JhJL1#hk95X<(*ejd^J|>oU4<-%3R`NUQ%(@;+?|cJ=|SkDb+f<<_DJE z78U>Eo(*$)p`&PiVDV3J@fo`Ve-dh_t^;|oC2j-9Y|ve(xPK95S!GJVir28Sox{MM z1!oW5eQx~~RcifM^wGA;u18C6<w9PCJK;O(4Px%Jt##*2N>w$9_PB*7)*VA%AKTe_ z7qTTJPin4qRJU7DH+Yx#g{aPc!_#2|vCrWr7+5P@iF}Nk&HAirEV=8;l4>gbGg3zJ z6++2aPj_DQD}S135p-#DF}|D5)D_$$v@{;(cF_n&FpS=u{<x!<VxgK!(vj&hp%T|8 zLYR(f;}$a;K7UpeSg_vo`+sRw5?o@vp-x{a#iKH~*376czN!KWZ6TLZ4bc_^vwrta zzl^cxV)E@>rat!Wp;~@9{y^>vQRm_D%l4)ZwJ&4LAAc%chFL$9rzx#o25XA^8TZAn z$VWuOf8zOa3*pJ2Lleid<i;CS(fFHvhJg`%I(Gl|9iEEnQ=SUxQ<w_xlbs3*IuYLj zWJ&=R-{jGdn?a}JiJAJd6&8}oiW5cN{IXY>G0C8d;UI&Qkp3PZdD$-VFjguoa7o_0 zV4R8Tzkj}ZglHIe7}v#Pa^th0TL*LVng%f;8)rX@m(+KgKlS#~7e2ULuZq4Ku^A$) zpYrh*W<h0I3SZ1)nYz(ZL`l)-EPY|Jnlm3^6B`|36Bw;);}q>~V;n6v!H$7V4085P zv~d2NAaKqQ?bJ0a+viy+@%YJ|gJT;J537!1WPjar2z^Z~#m*1|%UyJuD+gLv<@X#1 z>o#TKR&NoJ@kWB{!VKYVkssQ8k<AU~`v`tV8}=J@er}sQq3UeG*qf!<7A)6S5-^xD z?}oNft%ARZ#*e=ni-u0vegVYq_)w{vH#J^MSS%}y%d0%z9q}LlmmEv&eRazJ+I&D+ zVt>=|#t)TWTKz(GBW@bK&a@Dv_<U|XXXVDqDpM=8-?el%pd}@?z2345vQ=eWnkRMh zy~gB1OTy?C*}IuaDMUSs`a{b<CO!uh`CpySyTVAz3`qfNScsIqWqv+%qiC!w@u`2& zibkAVL`Dg&3nIg^?Sje@eTw2VFe=}I`hVYbL3R_}i(psgw_FL#oHTil$=*n9rZa}D zQZuWPiAOACQ+U**m_X!C<0E2K!kV-Cxj<j_6c=h&%vnL(2e)y7vd;y({WzTSY#28b z>aCtcXkG{x=0jx1-o)raolEbke6f;<)N}82jSz0P%&D63x%pn6V(8u#_4%`Yq<{Y4 z&LvWS++1>xXv5~;jUR#q#%x^s+VGrD2`mQvg-GPNFifm15s^P9y9GPg>=FVZa&fYs zScZ^b|6vSTk0I(8pnb$<gb}-#F=%DKB+TdKG0gFV==x>o0I>#ffK6i*;0>&OqK#Le z3}P)piT#mLfp@XSMH??e-xHrB_J6TE8OeBQYsF~B3VsmWfKX>oGKhG@+f9g2_Otp( z_E**yXz2*c4{vG{0%v^3cYxm^n0N-b4x!Bc#Hhx5Syx57E#u#Vs}o>np{1X}Ifzs2 z?F>%IJh<<6*1YP(yGTP^>h#vfAZz<*@(OBDP|s6iPyEk7-&zKsy994}=6~!L3?ICS z4Gd2Hj_MGQcwlxDBLwemLx9`;Ky|)rm^Zfnrizxjxgqqxfnw~KlieerTY{}@cEA7{ zw}O~jAoU?zc*g7!h7G>OCJHh2lhh-Cu?HApt0?%?cTz`U=|TXR!3qx$wa~?IBwy`i zqTLsKlXXZ8V-ZgUKSfBge}6Ileg%KcxPkYwse-%xz;}X)h!gB*jI(%o8(p~DcYHgT zjDWCP88P@En?ATKMZ&`V7J3FXEhyy$ux%JEB~jq%TjW{PS3xn)n{B|rm6(8Gx5zW7 zDFL46$2MhDmAHcmx9nz7lY%0i2V0YoS|SGq=0-Q|0MBMd{N?~-1Ao76y%ICEM56!C z?;MsG6ZjVEs2I^$1Uncfo+nX}PkEB;-;4$Pm^C&|oedd9KAb2WG6u0AodO&7ETapb zYAqL|z6j|@KH+U)iy|l9z9nTKLfCHg=>O;&R*JX#R?gtoZ!dqF{7S4s#I}@nGN#`a z2QuE3=vuqOOBW$M$bTB18T$vr6d&?-@Jb;)#AYz2bOADmB=fehlNq-AH!1d>KQ-4M z8N$thO=V?rJMddGhDfKxEwdLvu#t{;%X0PKoY@B$_TYwFfh=JY&xAp-Zio$>7d}of zp|lC**!Cr2xM%`gl>h@w&4wKVjAsx`L2PCJ2N+|9l_CPL&VNqgGlV{1uGjH&Ya9Zw z-wt9qLK`q>Z~O~uA4L7K@IFF@ty@BXqsIkGzy^4O54RbM0d~Zozz^6SGI$khOL*j> z5YOW=Osp(pfL-)n;K;_|AKOqCh3UK(j0P}ed9ssO!Bb&GSyNcTW`qGd4nKVxJt#<I z%i-;BMJ`SD5`XIufVmJ$=o2=CSaVPt=_yZ_L9oVr6B2of445^B1z6^!&87D%cb6LP zmOx!Y*v5>2k~VN$-16+?FcD|3YrP)3JU=-`Bp@*Ctqh40DX?6u`W)mFvWOSWUct+? zg}(@19ycxE9rCg7TsOcw3l`K`7DI8^-(#-z!FYOK+kXOi7el^87wjHOcZ(_g2^m1X zO`rfPWIYHkU4rx>>v<5ifFX@nv^IeUE|R*D)w~mI7Gv5*JR^L{+s!86eXJYc1ooh@ zxJTf_9$|#zyH@Us$E2bcgk2-TM|=wQoE_t7uDg)ntnEH`yjX}h#`u9J-HtSv9CPo+ z%}H_h3x6*JJ_LPv>Kk4A>ra2oP2|=r&A~nc7P7S&on@yeu0YAwSD-9JUIIg?m*Bkc zA&T%-fDc=qZQ=%?oXAP;^cIf3;GmuUbhj>3r8RpLqo$bOFe_6-OqbT9{Kuo?V(bL_ z83wR!Bvaa|1*Oi;9h{xNEcU}+7AWD*+ht;_zkey%9VK)-Gv)a&QL3O^>}(R(1ND#H zf9v#Aj-6odlmVzafhiH+LISZrH7|96neVLHNKzqrar3oYW4z;3nkn5TS_f&v(2MG7 z`}Nj8<|xZQ+EV}{d6_@v$O=HDfT;&9G?i6%?j6#pz*J2B*qriZ@w8QvP`BP#eD4L7 zGJjvgjk3xeo4Lge!+VMj=;mNL(pUt}!HBM!4>t{9Y4SAt+3nojsxZ;MzC28O3~MDu z6$#EVGU;k$R`7EI<93m+_Sujl01QpKTbMiI@2aiDKLN;wD9Sa@+d{TElxC)mbFxyY zrkoJ&9w8B8d;U>2rF))fvKlWyKu(JGxPRx{_vA6%SFaH@OOM30(^*)~MWSt~PD|G? zt2sVpQgSfgzO#uX$59nXKzz`k!My3NY5K}s_*$rnu!TFe+Q5Ag|3<jGzG|fr9Qagt z9qNM&hqwrINJfe8uSX`1+$zg7szV(%zn$OG{)1J>4;dld6K79%Q|M8%)65o*cYne& z*}y8HiSTqvk&950t9aAFaRlWufD)*YE{S$y3n;xo%&65+qI{rET<=q+as0E%111G+ zv)b_W)qW46RG`*+w=^p-{@$cYL+-{5j7jE85kce-Y*|O#(s46>mhBx$?1jyLCt>RU zZBQLzV8}zunsR;bSSzb7+?|sy>VIhow5QQ>NV8>Q?5^QFW@GDPh-_Rz-@Gw*`=np# z0~>1IswMZD@C{@KM%%pyMjKvL<Vv6d(GM(X*wr22gBw&wumxGGuLH+wwIZ&)h_}jA z1;IRAw?Tc8r=X|Z?q|@_I65P`9X<KFJw2>zt@rV&xO}e}PdF_aMXg@-u75K%C+k#o zjImzxQ}D84O=o^3UVS>(bY=qXgmPxwU!gtX*n(ZYuTcFQFz$>LuTYz&`PXn+lAk%) zfpCG5On<IpX>7pmU@=LpB)ptYHZOHP!1%5Kbw|u~;@<irioN2Ww=-sb!97%|J<-<0 z_|ItK>CaNkT&p+G-Br0R^MCp9N2}oe>s@3mT-OqlYxM}aRky%kP7AJ$_pN^@PxX#I z6`mTiji{Vu;?u_g!bK=iGSa|4ZKB_Oc9c8J*AyrT%b@a@!(*K*^f#OYxSFsYsz}is z>#Adha3<i1!otZd6n)F?sQ%1o7vk|q4f|9Wj?mXYHJR~Y>F^H_^?%WRktvVqCUZC@ zZZ!jrn0(JjYppJ^jFGn?%qcEHG6*<W-yqc}I*6zpd5wK?@&hBO^<IfoOrnk4TnOt@ zYiY??bb!suynCJf-34EJ4XCA`SOaR{M>zro`C&AnAZi_FcvQE;-4C|I{zl><XxASL z{;mbyjC-xg{TKeAU4K4JWcm8A#G2@vmth8~O`}rMeXo8GcXA@x%O7Mv6K!70#V+@% zElsM8@3o0bZSG-=l^MO^-3|>}LaB`h+Q3pRz5rEq%|O*)9c<q?(k5<RHg;K-y$vXw z0`A?x68%ka*e#;{3|+ZS<Ps!{_Zg|mZsu7ruCrr=jU|0RdVhnRSB+Kg`vNpJeL<>* zya5`ovSaXH81q(nKnEwF!ycf+(h@|SVe>QZ{BE`~5^gm}^IE-QgH1&ga&KG#dHLJg zE+%jvzI3-+!?(6b3#0Bh8QUKGnfzhQaG$BRW)∨IHuEsd4c93e&GO8zQfm6Ebs^ zdkcE16bMMYVt<s7yNz|-dk-+rw&p_i`Q+%%<Z$DmuIj0T?7lf&)^Yv~q*=W_w(|`u zr?nok&E5c$s?y&Tqlr9(c2iG>Wvir!%I#VtTdScU%RGY2*bTU?upBT5t+9>));Rw< z@gUl<J`Gkt=xCt2&yTUHqNN3ACq3);)yuJTS5@G*g@2V$8H%<~PZZrd+JJZh?OcC< z8ZgLp=5Br>5hD7>Sh3!P^@{I6+#@<;oas-mh*lwLMH=ARmzZ;{@1T1yhKfCE%p<K& zp;k~idh)6+{G_lNdKfA}7j&7w#GCvoV3Eq?j0nM)qND6xn>Pgtf<554?-_JmY6z8h z3KL*=(tpmGq4ek1+Jk?%NO)GXOcYjH3wAg}ZcKvf!EJ;$M-LnZX^0&+B1WSF%7n)R z)3m1h;c_gg{t%i{m-#~y0o9DxfU^5H`q{J}U2n2&!?ICi)#L?jq@3UsIN%UAJ1!5K zH=GiF1F0zZwg0%o0+Lz6>|%Xl4vq)yrb^{MRDb$8SckF^l<q&?#dl>!FgMP13r|0* zBozq%Nh>1ce0sI+IAQI!FrCy#Z{uu7DwgW4bmuYE;$I0(7qAA?-$p%mB?N?3>cbpe zQG$c!+TKmc#Xo31o_QC@X9tFBz%B!!35$o#)4UN$#hx@vFH;AB5~Nez^-Q8CYpmKN z0)HF+EVz<89RJLgoc)JMYw&<oo__D{y+CcafcU8XyZ=?wMa*tY2-fbIP6hWxZB?zU zY;N%vn){r`TKwssUIvs?iNyWK?c*?Rk!}Ni3He%dhR5bE$fZDabg(`w*p}rzo&KAd z4J-dfvQ5do&aM%~^O1K+hQeA8a`&C1&wtT7kzTBY=LB6)R|czMHYAVhSXlS`x>O$J zIm+lakM%S@jie>K<wb6PRq%T8F!vixT#3Gi+HO*ym`cFX*nZ8g3f0bt(z%r9Fg;K& zo$2`LTFzkOqUq(>ErM{G_!&AGwbKNr=$Th{?Y$;kIqZvwX_PNMxp|Bw#aX8*UVq4Y zdhPvFgmQHyNT5Q)$n{m)lJkT)DD0o|W{OACrQZ}!)6--Z*W=-q&mWZw6NGI->EDek zn@@@@Yxj$*n_;u|%%o55UapnL)Gr?S)_B;yT1Ub>YGQ5PrUu#nNBT?SduyKw_Spdf z{M)g5pvOL4`C6H(Z>}2<ju8v%8-H>#;gh~VLSTp7XH$=?n|{5xo76k5Eqw9Des(HX zXd-F^UN4#(W*guu4xz1k@RA@n2#i|fzbbQa`mr#8^`eU%#Xbyi5<tSZzABxsGQEdW zNd;W<GPP#j<xshT!73deYSF}>-`>MGp%IIc96O;d?Sghk|C4wn;hCX827i316?Pq4 zqD|51)4|ZxU0u+QS@O#_zRZVHO{g(ln??!UMuHt+bwS>!C|mAhY_Ht9TWzAIy{_)g zAo3_Wmyjc$l5kY}s`}Ga(nzZF-5Vv3SM^zGor#L!Vyb(8T9@r18*DZxFE87tu}_o> z%E`+eYvw5m$^f%*L6_a%sDCc7y=;jZTkHQCJ(aJL%<&_}93m7Dr01Ks#19rUHYZZB zZAu^3J;8E`e}Mu&L{fb^t)8F`MCCUmFB})#33Xtq%=%_W&53}6c<C;8VzwxE<$jPK zIRn#ZvAf~b{66uujX*1Mt?SOEGBtXcYx8FIU~J=3LVQKDhOEdhD}Td>%87<e;5z3g znD=Lg;PCR*R%>uf{Pt4(_PE@<xdzrXz6%&Eg1X(af753;mo@6%1)^8S*nnjsHLH3+ z)E}!-Ct9YTt}}n})xh$RHzG9AU;n)2doRe%<5)NO+F+YV9d^4b6VFG26(bGVy)rCF zD;cjimdBg5hNGb_#(#GSInr}g%u}r?&_h1Bj2REO2h`g*#em)u<4D|YY=Y~)&hl)1 z4OPbF(`V-3e$b1?snT>7XD4x+v7S%2fOXEQ0jk6<d@+MxJL8HEs84Ac53-I4PN)ru zzm*KtgrHBMPy7<Isyd%$FsovefdKhjeVSMQo9Gin3%4U<9e-)ew^sL{a(#J(o*3qz z_~(;b`d)Fi3O|e+pr!%F0492`th%ZYfDlH9Uo)uyD||eg!Oe(&8MuBOby@I&-zrqL zJ4(rtqZ_TBBx+BYQ0}eMqUP>h7W>EJxGfyn1>O0G(e++`W*jHD?O^+3<~m1GAVG2y z9fRi4K*{9HNq^<_VT;!t6oaUYvHqpi2KdH@Q7S0a;==M>pMEut99K7<DAW%;iaDr$ zvV3e40ugzNjzB!UL?<AXUZSIrKVBl`xI#+DbbraJ4?IMeJb3_fB(6)1x!n40Qlh0G zVQv&|A}kXcp(#uZTWK>Yy_vV4-NVTEn*~M7@*du_{(l5v9~=CR@uJ~FY*LX<7Kve% z^e%|zhRc_A{EGE-40#Df7gQpOLat53{_k0Pi|zma4k2je9cMpfIOFAPKybV7sCFb} z;#(pkp=8}^C3cEM8b+q_V%ZT4|F0G|fs`HD#It9plvuXjPC+^~W9txt%m4Rn)}f+Q z-U@>PZhwerXd4I8F&G{b8^|Jk6zKlVnyrDH?Hv!!zRqwd!L_cpeNME?fRaD3q$kZr z1q>dZZ4D&kOAtD;lD7xQ(}4_h7Dz=y{^q1}lS9NLULRwrWCGlBJ91u_!%Jm=tSBqO zQeN9~Tm09=Zw*ADH`@p5ifCDRx5yoh+<ZLwN`J&Q3xKxSelVKoOdK;RnT}pS4GWCe zEnn|kCPr+~zlig{OSi~Ozx_>`Zx$HfFiXNrgf5%G2*9^l$03%NC%cGM2oqp%dbewf zfN5SLHzZ&;p^1O9-6Xt}ja-cSPsn>@D=&_riFdZfa)*aE&EnXN=sRzD@$CPX*_O^h zMt_jSJc;!H`&($H>NllFK{kCcSqrLzVDdt$R<zr9d_TC+-y)5kXRV8HTgG>SD-cIp z7@rt7@Wa+sh%EfDO-MB3JDv(|LL6k*GQQxOY}nC^A5$*I>`4X^&$DJD7%R|r;uC}h zyE9THy|uagIpR2bj-mbclNj813EE4nMSp<VT*iUFAI;$Pi_jio4Z@uLli_YP7LEQW z&}Ubb5Mt_oK<UJ0gc|!T<2RmgyMAS|omhs@WcM-#!S*Y{{}A%*O2z;lb_-1<5_xn+ zgB9hcu$Z^NFv9y=Q)Y#gJby-%O$!{|CkSA#<EP_9?E(ij7VmG<5)-*F$soQ1)_+h9 zZv!l-u=?-H+F*VN3wUFUX{$K+^5WzO@i8Kft<JD5Nf4lu5m9VAMq<eZcs)*iX2a!w zopHGO5~LSd%d=v081i`Cw`;Mv;ZeG6;j706`~QHw0tU?D2JF6$3_cKJ(A@6grysFm zYT+Emeot)g(L#&ZT3?nYM5OEH<bPIs@h0O%uacPenR5<a%%WtOefpsc%Kwu4{Uvli zDD3;#h{>h*Z~ye(+%6uVGAxd0t8~~OKX6v;pOc<`QC$6;Kb@{1URUvBPxX(BNjYlE znKy4oU299woDc!(Zt<p>mv~yGu_L1UkN9S{ddohWuTG1xDvb-`L8tu96@RB^0*d9; zyom>y;x7**A~o0EW`16=xH>KFbGlFX$TAVeYwlJMO}qcl;dxn${0K9#4#q6gn1y3a z#wVjS1@1zUP^!Mj1NXZ%SEy2N*wEq49O0i8_z3fb(&)<~C40?=PIFd4YoSoS-l(Cy zAv^)yZNvmcy9g45DI`^jzJEzq6xD?@!QDT3F7V-Wj^bP}oTM;$?t_KlVT^|WCCr7$ zS>o7pI2M?H0BM2tE=`8zh0MjVlxCZ9Q&WHFaeuA)QDM1bxmCGiIf}D7@NLb+dPp*< zbn+poJh5s~rGQ19ZOhZ0t>OB7x|pY%>x0vs(PeUG+Y0;U8WtP2r+-Eavn`az3Y?Rd za-4xIYF{f^81Pw_HQQPSF<c~YF9;h0<90@x$n`EUPqx-U_O|3m&5Xh^!kkIDINZiu zBpfv9y`0(BKRw5Qn@pZvPNU=`%*e5p`Qb!=el63OFHJNRL6`5_=TSNcG}yfRqD`k- z#B{qF(_~eO%jPEvOn-%?EEMG(@41cqntNiLS|{3oa;VZz$J<A5v4u7<UwXMYAXExo z<8q)GW*!lJkX6@};33+F&UOfBUM1&a?#k(7>`Bh7m05Gzw9JZ+z$QMOOE?kjZ=W~5 zTj+Q2%>ll{M%qp5O1J87Qd|q}dTSj?wIvTXy9S@Twn!LF$$!SwqINAGHt8hvOLJ7< zkiv-C>x$WwYKXBwdbBi~Qh`zzT`bL*E@aPK;@%WyL8K`LgWW6Cb>z=bP@=PG|Fn;t z8s@OOGyw}afYEaAr~@XQm#;f}?$1r#l;7W*O71?c4u+sT)Kg)(eRJQqZsZL7ot2<| z*d;q<$`Nd51Ak4E6VBZU^%2RA<)zMtu&(fLBlYS{<T_-4NzZ}owJemHVKYU1BoTx@ zxt#I1|6Po<z+c!tet-4R0`G?s+2l=tZRfgnoZK{S(&!UCpew{6Zr60q`})1VKiFYv zkF^M1ix{(QUIYHKpNEbo<QG%ChxJiaHAqwZ%Ii|iPk+Ml;;7EJXT_GCjg`MuE5C(| zpw!4FBd_<ccf4D7_#~_;Zs=TpUVLyCRaTtz3Dr<+(slf~GP&k?N|@}0rb%?L(%RM$ z(m{rK%@_9pxf%gvQKbfHj(6~G!WQqS5g0DY)gZNhIb3YQ6$@$vW+-e85{yT9H>DOQ z*OZ-2zkgg)iTvL3JlN9Q^y2Vw)s31fW7u=m!HVX?b(u0tcf+hMmVb<eUNH4C2OR!M zbJfcpN+XL;g8zHdRhD?cb@)S^=Rj;&?~BTSP|J&5#wrEg0~TRAHI)&e65hj-4MTR5 z)+n8tM+g4|(N=WWtuO7=%>&*rOymC(?yIj+E`OeRUVJ`ppEnK+Dc5C6#}f-}v6zAd zN4ys^U+Iyo7UAi)-0||JE7Q$=duP&Wz-hX4-BksFRq0Vs*D&*G{9Dv^xg5FqGVaw$ z54S_(u@Pbo?v-Z3yi&9M{49L!!?zb`BY`3feEO-$b=e=GPlQsU%OW+5rZ~lhs^rT? zjDO1MuGFH;myZxS^O$z6H!6$#Wj1eT6}=aI_<~la#;Faio&DKI!^}1?J6f+)7ES1E zzAi0b+7>i)+FmQhK5sjZkiVTbHoKL3XA(AbcY~@D14GI$Yl@Q+=slm^CFwp?Me1Wt z3zyQ0BWb^Wbcf>4@mzA5SUo@T?B9~K*MEbG-w=`IgGd|md2g@#q_VKsY;4oK8n$t5 zE4F!UJNDI@6!!JnZtTl78H_Ha&*Js#^?nhiquJ=!)7Q2V{N-;y-u)^kXN7$abZ&ed zk^I~}JW=vz+;jBN-zGO~@#*ENUv9OPM)+3#ahc`in9OT)50HGxDT?FA=^?ouWPdmE zKjeFCx+Mo9I4E)?XR>K=tEvLyQlsfEdw+YWhFs800oOs8C*0YP9mG+`<Oj`zm{$CR zg~ziNYk4=JhA$!uW&&!?-nI0zxob&FTa{d}ocj&mPjYihAEQxMr{QoRE|J1_2OpTy zuj-}HZ>&baFOz!z1k8?~o8Isq|9?3`OW?k9AKf_j&wzH~$`9Yz)UYF@05@!lpG{H= zO^I_5EHCn5JhY_yt=hvcO<rnvEJJA+G_@f=D^}&-$IF9oh}j@`B<dm~3EPk4TmggZ zwAg)8tZn?e(7h`->DdhU71Cf<ILXI2eZ9Y~^LF7BJ;rX3vN)uRVzfo5M1OlFie#$O z>IRVrD-5-VgB-!NvzfU7Q9!Q0{95R4LL*wI@1mEHf3fg2_XIy5dXVtCS*>Nbxu9?O z_amS7U2h`xES#3RIQY`-7A)9T_pPpJt^C(FvFg(~;1cJS>u&5JqesKYTVBE6wccu& zYAFQZl=i3Z4^=s|KfPW*<c!|iqkn%Z8^gE$A2z-`8p=29zfy#35rxRUn<V=f->gZ< zmST)b$UZ0xV<dZ)vF}Dw)=*^2KK6AgTlN`dOl6rdk{Jf?bbf#Q&U?-~=X^fry6^kC zpKInk_qCn#JhNy@D#De>c4oVV$i9|QUF7c1y5bwZI&?w9@RMuo<+mmdG6sJaz8SP} zt~Mk~F_s%=i8HdBVF!zXaZOemxaUGfH<sSW7L8Tv-cbmwivRwjg?q!#?dT?DN?kh2 zW*D%Vd1Kr^Q@>0{L@MW3kje1VFSAxbdCCEqwq**!Qfa>^#tE)pnyl3Gl)W?U%Blrj z(|)BJ=ed4CSxM(9yJcFHDO`VXO>KRb@O>2g-B5HRaP5Y4)f;-$SHuW-z|hE5+5WBg z45lx}Rhjjz{tc(^6>k<f1yUE*=oAOg!sLOso;0SsooPZLxQ{@vgo@B?3Ks^9p~^x+ zJp^yXb2*JF{NRBB2-HI;qvyT%2j-m5D#Bp&0kbzTaeiU~-=9UgaHM}B#4heviTS92 zFe0w{QXSje`c{54N*+5fQeJu(4&ch4*qIu(h9Q8cs;z)y+wC~Lw8OQOnZfQ3d}K=$ zP!>+2V4<5o)D*YyIib#N&$t#Io)rK6_!6%CxthYgI61Hn<r3QOUGf2P2RHcZFHR3| z`3nfy_Z9hU@^n3RNe_Q{2f};`dFwfVBjI;KTHr+_f-~$4?RsAS+Y*W-&=8fxGHOG< z29p<&wd`fW|7z(1GFhg&uOcXli}tAG!y#Adh318|Px_+9FfmG97Di08uKhYpAne^$ znkK<$s7=1u1au!}Me!XWW+1H~N$qWvbNJFNuyLmqO`l>lVwQh;QVqAF(z9|TSKh)^ zXy*y<hnnS`^^eQI`S1eWGm3xg{^({)t@t1>NEFxYWlF5LATLQ2m$w6Hkgz|OX<)*o zq5ZkF;US`I-R;g5k;ld$N0=0)_iwH$<>81zhUll`Q?$DTyCI~!vk}MuW=C=Pd%F>u zavzdRZ_y1(hUb4%e&frxzIm5)Rnb`~s(-2Kl*$pW)Z#{y_B2bYV@aA;*aczQQ-Wn$ zakEK(8o>VeCe1ahP?&aufJt@!WHONku!CgKt0-MVIX_wp==r*}DezB}dHifvos{#t zc21fPVPi-@9%CA2ZB5+xGPP(m9_q<oQ6@rjA_xtw)O&w9X4jMi{d(xREw^%cVsvi0 z`CVE1-j#~hx_tAJi0VD!`?4}m!F#-BG`*4)Westp#EH<sR)7nog_8X>E~i)mDdWd+ z1f#(yhKxdFn!=7x*vikO+Y1rJEX7IJPWlf-@TP<!yxs!!BJ2iCfF>_gAZ{r|;yi*0 z&5BzpO~QXo6nyR`0h8Nv=rTf<5|#=i3FpTy{`g<`BV6dnz|N5B2n)I~EBSP~dpcL_ zhN6(3B*uH~Ixc^7KxDXKD6V%eC)qK5|7&olBsg&{R|e)sFzJ1fmz<NnZ-r0<m(D#< zfH}AEUyE==6tow^U$sa6t-g=fYTO+D>X)-3hLL|NW}B6xwBcI`leql;+R5RD5qGp& zVsd#JF%=WLAs`eX0gx@0Sy75GgbWR=pc`uz^MOdX3OH>3$2Ay&X8kOV(1h<NjN%Tg zd`%$wofm7(@qh7Bgle4N<bfYPhTw$@wb}j;GBz=ao447%4Y}XRQ!7fa92y<o)3S)j z^oxJpxI?q?92sra*K<BjYJUaS0sD;<XXK=lqP;9>?3Ck!T2k!BJ%V#O*L?&4oFRYm z8Xm7Jt`07iKgowz1jN30dtY7J5_A`F`G9#oM>~zn{#X_~`(Py<o*AI*@m45EG!p)q z@&i9xQ)~<}h6z)=g7jX&KTulm_BAJ_poxD0<Dt3-&YAFZ-7hKh`aPrJ@*kxeLjZ@a zOn8;<KnnfUp4D*qoW#};#xWubo=uU&bJdXUfEGkvm>?z&KH&p5KLz96Yb?z`vm%iu zh=GI8_{2?~5d3~kgjwegkvJ0s=HLt7EVFAAq;3lH^xSqR&dz^dWom#JJZQ#`W~zTm z3M@5sD$|f7lW8mU2mp<Zz(X_NV9Gdo2Mlj1mq1=~mUF*$;&?cAn_@R|1(kT?$DSI^ zlaM!*v2dhGQ5#Xskg);9(lrPVhcf1ML6ns&cPYy!YgYJ(A5<>blQ#R?oiidh6Vz?m zS;~vQntGJz5t;NldsGH6a*5&jZ-9RSFnAl%^f*~}9SQ7M+K?nYMbagZUTdfD1zS52 zc>hh598Efm?;6aTpgMH&Y4A+CNswqZJV#eN6<D;?EVbf+JTGbKvL7_eB?r()&2OKi zi{67|0<)GRr1dJ=FT?H-c84wHNIf9fLzus(^$>f7R!RQF$HxhK3&WNpQoVofZx5pq zhX8WK`}b|p+fk{`ACI+Qpf(u`gq2qFhqvt`Iq56b6G|1b$R&4~uE)p+QW&58)nUJR zMiZsn=4^R<8+MLT7-U&YkEFcDYh|9?KEB5YM4RfCFM%Zt8x3+N3Bb;Og1oaSs{3FI z-@hfo0=u)(9H+$<)D;D6WvqXxE_85F_-6cMFz@L0lnV?2;I{8SB(c$)Az;@T3+!O% zB>w0SqxkJ1TF0+kYC1Gw)NFxXs`5|wbBDs@h(T_)U$+g?+#3)&u+wcUhNvZmA=;J* zKMcY!1e2aAmSH3<-xia~BhRZap0*S>=PjS3ztG}u+bmW#wpz`9OFe&s{Nmd`7p?Rb zO0`)ULX2Ti*XxG2ucUE(|9kVouHEJ_5ABrD27BPbCW?plA0fGyuF)1@2(s3)Is29^ z2#`S6A>0*+A5mDj1Jc*BVG$1^*T@CeC5^|DWqjo`zYnaw4hRQV+Kv@JtOLuhTYj>a zyR=K3ug-o=%h;a2(@%e&+yDLk$?;7(FN5LmR%?}rC!O%hTs;HlQpTn#fVKQOW=<f> z3;Tq^+tLEQnv+_MOAoLE->H3NHk##srS+n+q<voufvx2OScI55iYp9wSZJmk6*&6d zU!;|9LLsM*hQFxU1r`=aO~iQzSQR=czhWN&W;3dc-JiRRdc%JOQhIy+Q-f7#-XPZa z%2Sb1A=gaUU1+B1dfJhdTizg_@x!MgdRO0P!wR2g8n5##Sl!psS;)RO!G8=+FT5m^ z-4LzUBk4Y1O1P_;SI8riql)DV2raaBQ-03pY!Syh<l!%$r<cLK)Zi|FJN&yTOz%dG zM~Th{J|RnlEnj~~dA~<)A<H(6x%8E4-auBTtd0QZb-uV@rh0<6^0YNko*~Z>&xcVx zQGijPw%!-<-5(o2t8`1xJ2w!ya2tQU<>9?3wHUwohElRp7(ZX<BTNulVC$hdwMkb( zQrOw`2`VT1!`(5}@76<ge5JdN76RiTUlxTK=?tw(5ZHfFY`ua~(&!JwONZ3b&nR2n z=eU%DCz5r23|!!bzR@SyLaVd@Cg9y#WLIi2M=PXS<oHf);!64k)}1il5|dpMGb&q` zpgN4fn#@m>|9f+}{TAXPAlnO@M&YFjwTAv+ZWXLmu<uuE<gkO960-b5TQ7Fj<-ev@ zfKS(Djjn&43(x|Bl@q%%N29@{>YK+7$}ub1W~&DQtc%v8{DZDp0=;>Jx0>1Dv&B>D z0s}$>{g4DOH!__aYY}k#ks|;Ewwy@x@(`k6tw#daE(9pk|5MZ2QPE<7$hZ%(6TGQ9 zT3$aa@rq)zVZ*|mI$FHGQto{m_zP4Hr2>&08xen;Vt}@=qeY^nN5Lf4JixQv)*{Aa zRL<XB%jgHQ7VJkH;b}`#lbD=x4Ulz0R%U?9F5|pt4lkoaIMaSR1!*$0gGPuCa<nd+ z6_S^RKkeuQC@$VZ*k;~(u5`$qV}E?gJ&UxaNzsKnEWia$BvyJx@e3hIaQ5Idztv#8 zP)mO&{OasCb#SjyrgMKKL4lg>sl3KSk)pT3c`(@k>@5n6o&Z<IhXUMp+$mQU^+CyA zqvd1;hrg1_CG@i?_%$l3Y4<WnT(m!8)PxMDT^ErSMLQ3%^r{cBK+R!#2<wH9T#R@k zP@cArU8tH%<{D`ui&89rCd-j5qYFFbl%{{wjc}j-;!#Ja8Eu6e&gk^29sY`ft!dJQ zkmY!imyQOuloHy09}*`p<h28(`O)9g?SC-SEWu{SOnUEqs8<DSj)g1~>Ux508w5qu zBl;soy0EPjOh|mY{H6?LgmL{NzD^z3IfTJ6&umsicb&%^$zP5z>dy?jf_Sq9evN+| zHY01pR3Ned^vZBiuIy3RIgX^Xld9PRe#@LN93sGS5wgt_;A0THcx#*gFRWDo;jsP6 zd8)ps*T1UWM$>}ehxV`XIn)*|r`Y2(v$`$}R?>|$MF^N)fB6Xhrd!81H%}-sE%{9) z63P|rOcr7hNp;m_y2}d9z19Ek8I^zi9LF^z0jXcRzbCdW8^Qe-MhmAW(M1rZqn$!2 zHUv`inyv4p6dNDn0>i)x8^eGb!>$H;N4A+^ZBg7iiXQsogfI(z;nQ2)l1j0M-l4g| z4b;YWKs2d#V+0Q-vjBHGVDe+}kh#9^(E#JLVB*3d2<6W%0WOP+2gx{1yXAlD!sP)` z&_Yk0jkq;iggRri1idiw0{uyDma|S_mhG?x%&S*28_q1y)G2P^8g2x)QL|h<3gDm@ zfUk08R>vIOsuc|IiO3>f9nRX>2zdV$GJI)gbVjeQKPzYO7e0Vm+f<_nQq1Xz7=FJ4 zY~>S?&$+PG1ZI4DFmSUvKm~tnuyBu$XazYd({wDxXi)V-;=rdYvv=402=JE1LJh`2 zgh3s(f}a`S!ML!rz*T_{mhtSen3RmshdUxZj@e&lhVu*@W!%|(@(WcyzX*sWe+fAF z8au>O`)<}eZdcwiG2?+AxAT2-&vqM&82e$l9lo}p-j1z#j^?zC7%+cHO3qhChIK2p zP!kRa5MS&&h%BkGL0w~9iT-uQLuW0f$s$E)UR%rJ*#`bciFt+#V*+6t?UO3H`kTT8 zo?AA9%quwQ$n?hH-%&pno)t~wT`LU`hu^h`M~<?RS+zhQxxwBXLQ6=Ft`{#U+ht8R zK(XB(kr}5xjso09EDeA3kvW78(f)g#o~t1g8_kkNCe1XbFt@U@x4-@2c`HuFFjoZf z3L%45<d*3?F<@B2tnO=!a?}dE@D>=r@0q`FSrDd3pqJfvt+eYnDw{IqcfB-Do3y(y z_A_nFPrbD5MwbfG;YWxdO_x9)Q2hc>B`ST9P%T#aqWq7<{*iy&Ka&4P(*H>IA4#gl zDSc5?9Rz3tRO<lRO8-dWA1SEj0JNnT<oR}gg5FV4ImV#8vBHj@usp4>Z|szrsYR%A zje1;r2_@1)Q#sS}iC%=|A)Wtsuq;vInU%ye*Be9`C1Fa#_NZ%$WII8}RDAk<XrpIF zJNtXI3Zc5!mbrgIFbVOS54k!zGh2W3AgIZ6puyX8!=+)<mk|8mZ)Y;;lQ)ynLXIo3 z!cBP8?c0X$lR4cHzlKdFA+D!$+73-zqZ4-H53-YMTs7)9A%wAW$-k>QHTE*HkR`{N zO@T~%hncF*H>=7I{3?sz!f);s*mj`~lt%Jw1gEXT0l$BVa-v2@*3)&Ri0Xj#BI8E8 zm5hV55ff`Yhdr;#mAb;#%5OGRq+3U_1c?fgx68RHU`_t^RMP$N;Hl5`yXVx@Y$vnw zKUG~Z9zRq^{WqRb^-F(TbNa&jb*<^^ESgnSg6l`d(@+1AD}%EBk=?)Hoa_3&v6k!l zALF^Fh~j^XSEv2^+P8n^j?sVrY#D?9`uXu6#WP5@Qdma#kTCssRrf}hXae&H5{+ah z#NkYOZ|n|~PE$Idlct?QzHTGI=w#n;>E>Q!xa)edNyPA&5Hi#&%r{yTIy#FU7o~Z& zRLZLA0rM;O0_#-s>-H|-cIzaA*Tb&VkqBbMlp}xb;0tBz55s4RD)Qds&*4m*w1Y(M zMRZT7;SRplY6O1Gxz7=C|9Yt9I)=@dGy-@^Xqjx<DcGqf4)2<h{O)yi6z%W6U}_#Q zO?IR_dpYJeTneIuH)$0+MWpT9A&|gIr^K}Vdx#wR>t%^R|5DTlDV1bB;fE=OjQC}o zd?<fhDKS~fToEt!T$2>`%Bd-0<t_d51*ju_r{*A{qOJrkx;JPW5qTgzLL0Zuyt`LW zQCGD`dVk#V8)M^av<EB~zf*gVJmp^hiRZUv#j)_7-PXaY1HP)2|BfVt^h%E3{l-{3 z57*wRuzY*4H&y&mh5xr@<?)5REIVg_uugxKv&j*&P+ZCJ%ior9!-gX;X@kuF7$?Bl z+eK|k)b>d3w}L!zj?+qi+OK^5v!_Muuz3}3Ki5ip+Pl=Pz|$g{F|NF;CzC>f;l2zX zd|}P|&+{nmtF!g^B6R$TOUV@d(S8y-oS!CsxOGJc$*~`v>3mJk%cTK_N(o2eiQa$S z$y@Dj>GyO0a}TFL{cdk)nICa_=?$+f5DhwQv?BOb?mR1Ir6~(-U{&QjeY{%+-@QP+ zacfSFyl3-u9^!fNneJfaoQn^i#(U@Kdf<te{JT)ZsObB2ja}VN@3oNjx>5&>(ef;N z(2>5m>c;Nm1m2DVb1~tDhptYg>HB|tmk-2zPv2<P1P9Eu&Ya@uZ6~e>jc!!eL~!%? zw(cjC)B!?<>=5xoD~EorMo$dpPXH1nM?U!cxHWDrwD44DqGDZp;p=@`H`K|^Cm_V4 zNtX-d^TT(){Q*YSr#A%RRZ=wAtKvJWiMPwz)v1*2*dk7CHmle*;XZiQe&T=F%H%q~ zb~#A-e1v@u$`Yf#`LQby9jfgiG_d24_`&Z#$arndkeLE;PR@#1C#8ZmJ`gY$yHV9T zqW<~*vL3j1{>KaIA@FZIyy<cIQO$*!-KM6;igk?-HcUlpyFPR542{YXA97m>ZcJLM zjt->G#Trz#qSZfJEd#(hqd9-QD@7lP`wblBpI0Vabe;Y<xP;V5Iapd!tmm6J@dlZC zJ=*SbA=1Q_mCq=VDfh-5jo)!qjIu+Rur(YfM>0mt4-ke9Wr=zERt_D5N>dj;fAU{m zdpr$Z*HyP$&e>{p+dqR|>H8WsHWUV|@mXtDwbHKHb)K2C8vV<<#u|S_x&|CvI=+8l z6!on>u)^;H#+`4W_3pygEV`(WnIx$icy3hWYkfxLFSkKywMn~PRmZp6^QeNUKcB)h zrZVl!4IxH#ZK+%II~%4ZVQo|Ewq%EDSJctP@X{B{kLH>j+=XWzT5Q}EI4B$uh~Dw^ zvVTatQBcsMO&S97N_&6Syg<3laR!lMNvkO{d8za&$}kR+ezogEVAXi#qasGhWwml! zE%8TFvI7^UB0+njvDRvGdE3s)=9ZcVx_%yka^h{YKe|FW9fVBqX{$LfEb%La-)TWU z+7en&?_Wnm@0_It|6Z{he*y`Pnz4DApImiiJd}S<)0BD`pq_v8(sfY2xWB&ovmyqU zpzWbk7e|`k9<;K7sCf(*&mQKBZtKpq@Gi72t`C3Y_+%H6aMYEzB(r)XVl_cpf6MN< zZ`^SFKB$v(wn@GA^29j@;@I`<XD&AFGiM1vq^xJT4`{SrbqgX=_rh%B?p>7OMxo$< z$QHdnRC+<U;JSaW#0gJrjcr4;k7L~)x!k=}xoX%fLen~;<=Uw4Jy7gkU9*1`xtWq# z_q1p<3*%O#P;>NjuAwT=+}x;R`?hCxbu1BNRJ@@Jc_;mTX$7yFZEYSvX&-^P+5XA< z4M-EH4=bI@`Rq~*L{c6;9-A2$r`{b{D3ogQsUB;{eOrI`d7@fzVR3u7e&V%*`|q#E z&vbzp`2&YN`=MgnBZJ=xl_0yHCkn;90~uQ&wFPqNcsbjeQ&j}FNYGmh(2vSf<rxCG z|EChNabv@~$|t1T%WkG#Zg_X2(JgMrQ|JWWc>f1n3F4Kwh<uUV;Li-clhPkGXg%L? znUXRB_;`OT6jYZtTl03-oWIuf&lgqn<(4H!;){rStw$wYj|1OUh*stkHkl$8v{Gi} zKg>Gxg?~0sSMRU)YTOK&VRZZD4aa%vv+*wm>_EsD$CWSsx)w<_S|Cn@bR~jI-I6?G z8@%n698>q|rkc$0@PoEwJBriOk73pyh`cpK;OKw$q<cD_%w%X;oXfpE(;pKF>L*Hn zVBW`<_Esv~t}Ha%Uzm*A6Zm!jn|U~OA7pYQSWx|vccZZ^=8)aN@(IK%eY}o6T!?1* zd&PR(8sb&dXf;Kz$!Gmg{(0s~&feHZEhohSa~eE*>4PgRW7^7o8edu?`{t;Ldjnht zSKNO9`mQZ}2z*bm`w-Yb(fY9Ktkd}*sMxd2YPZfa)_S+JD7d1vBLCM^q22g(PyUM7 zl^Z6_K8slgfmOvu;kx0R5)~(qy*UG&M{5@zi+)r|ChwPi-h7h1^z4v%^!TYuu43EV zaf<S&@@#$c!=SWmr-Ayst;NCmE|0mz$Ay1jwcg&NYc(ep)P<6foCa@Jg3ZIA<ZOb* zn$>-wNzNnXnh4FqEzu_Lpua3WmR8v{C9c&C6V#xk@%<kU_gbkUs=vd=2Ahsb;gTCC z4tx8(D_(ZTE}A9^#XScR-wt*gHl?SNp3LzCoru#p><9{I|8ltW=<x?v`-bs?&*OhD z3`9-UE>(EE%ZIe@UkoL2wQjdnzCf5kz%&Vp!bn&~PLuy5@cnhmhbA0$y$uO(C+9IN z_iVmxpSw4CTP>$j>wSLYl<mE7+a|59&eAb&cXgf4AIGQ>7At4S-ed*fQD8*{rt09y zl;u58QT{7+;_H0HDmjbskb=_wrjCC)pSFRftIa{VuIzJ*O4;KdT+KdiO19Mv){8!y z+fWR<J38@n4&8*v9)IU*R=;UTXs9st-6)YR^}J)XTS}Rm;%nA&o5J5W_wYpAn<!R7 zf~M3Lp9rP=XiazJt(F!THFjvaFnaus4sr=+d9lbLj(Hp?Qc+wHdTbc_CvATwqF_(- z{y2E1%l7br@9&L@PQ{IfC3`312ZM7juIx3~6~`U?t^$}GF>XW|qT)A^QLyg8D(lJ) zC{uo)!Wz9Dy{1=O7HT~fnm%pX(x#!#277xCEEQ_puhyv<jw&;1UWkIIT4N2z)48o6 zWbUkI>A_+9j9pEH&ZtG2Gy8vF{W!a;uAi6g2CSyXAxLabbyI{}t<lyd&9!-sdRZ-_ zabniMd3L?Xdc}B8=mGIsO(4(S<dz7pnwp(Wf-7d>veUD{&lCJ7mS*mP1xA-*aQSai z<G&kr^<83%W;0sjDm6S;^4{Au!6=oV``I_~&kwrm0rD~RykGg}_{4wvFZ0++awpy5 zu6VQQC@^vmWmuA$dtP34U5j++7eFc8N9B<UbcoAg+jsv6)q2H<Djaj?n=RS*+TUqV zx?7f;L++4Yxmj!aiP{Fv5c*y>5L}dE(wF;f@AFSSQ{r_Wr8LqEwWA%vJZU#JN`>V3 zh0P1ffD%A|zx?qcAK8Bn!c^S+b(ytezH8|_CK3*v=nzPG)G+rX&k8eb1`QV<hi`g0 z$z0Ggrd!<<pw01h<pe6_K36FscVHTQu6w=Hx<P?^xb-6WBQA``)J_xOgJB)HyB+qJ z%!Z#IvL_0DdgoX~JYUY9@PE!f6s$wPUuTbg{<oc_(;RGb%aMP#-NM{4d1{9B`OHu! zQ;}Z>^J@#hujjYQKRvfV*ZmFTI2NiaMsx8Ma~6vq^U>w?MzLLg=M~_7J6FB|T0zm8 zD|`7m{>!DBmZc5f*X}=W3egoPQvCJYs65Nd;;Y<_Hb~p_yH?c1ph#j#!<<*&&kLy* z(%MSzZ8*Bnw99{Vdx&#vggIP;O8g__6id5x_c1>D`*2M0_F@*02Jo19<BU6qfNQ{% zggU#Z+n+c$@;L%xg;w<vPip?;^w@tn!7s{unTQNLnGO8-GXiiV4lihHVq5VLapqo7 zqRm`%Y~7D~WVxTK5ukOoE}q8wYca*I{je(<;XJ4D_N0IGPcTn!4y0`mr4+_R<wO;m zbV0O*R!;zj0aT9dX01Wf+6}BQ>8J4Ms%v!pEYFF_1jb{(eS_sI%uZX^<%80Z!u5qd zzQM~gdmiMBeo~|sKL70I(NoN88aKwACn9S8NH|QQ|2}8eT)5(nCI4g+^<*daDk8}X zkCjQ#6Yzfu^4ynsLbV$Np#NN&6k5^8wDR<-Ve<O?l#bY_SGU6w9>30g`h@B@7DCmk zor@}#J%&$JR4jG$krOvG9v#I0it<Y%+DcdkUcGiVA(#E<)JU+XHj5MQncXkBooT$G zor0YXV+bmf_V)Q=K&L1?Z{H9KvFXT(+x4sp>ym$@aL+2N>Nt1ieAQDLm;Vzn<1dpd zjG-Aqh(`iHEq6P`{*ZEx`$G8J)Gw|)q;w=5n|jo{YgxTtvwy@4E4-{#?q#x;w|Xq< zRyJ$*O-p%&>F4IGzk4oFWiG9tRYdLJ@hlWQ76^$ZfoMTJAoT8!G>tD!sey&tM>d5n zyhVR&e7T_CiEL!0z~d;lj+>L8xc2E0cwnuQVPNdOd&%5`e4A2;nSTm8xOP|c=El3c z$ne}*HF5VqC*Q^3TGyDoH6Y81AT6l>ICj=moQ0epu;^8r5F__u`4#C3&FzWk?-%cf z?C=ZX>+zZPfO4)yxnt>Y?0q7TZ2!DwrnG-y@<?k|Y$0r}g>(GHRX0NsLZ}mUZo(&? znE9vevFK`JQ;2dKe_BY29EvN!J&x+QbL37mBAcT2jos@GA*;VgUj$cS);nX-fP3^9 zvZy9N9L4*cloI}NQ!CA{rBi$6893v@Zs)Ej968*~@)tZ8xR$q(e>%lJOoVk^mk58P zie&j&Zc4a{3S+`&eFk29ZM8KV?;@_Ya5@$O`ga}v-gzF>#zoW~<C}c7LC)O}#x}!h zxjMmg)>W-l70tg-=HmJqFfM0rx|^z=8#j5MXI#kQ>TFhc(mInyD+UyDz1c0;EcjYS z(M?(RR;hdjQXIcQCHCiZ19n5SJUM^+>k{W3NIfMr$;-v@et^0LY*^pEpikCo4->~> zPp{MP%EK#EDUsmG`&rbz&sl^Qrt?*q;g-OS4vT2g<CTKXY9@CDeco5q#HeHkcj(fe z?CgP0>}m-EpgVt?pDd5Pz&@MU>$JbQa68Z{sm=+5B#G;}e*^#0js3y?6cB$8Q=cs^ zeYh?xGJgL%Sa8>fQWWVI0|&fqbv((q^+RR|q`mG^6jh>y@v?l@l({Yi4<6QFS=pgn z<%Pv;;CG5hjbd;cyv~KgQ<%ypq-%%JO(tDp($Tp&QKVMj?4R%Vy;f$&hJkOj@;q~I zlEj`M4!Kk`T%tNxVQ1W4ZbW~7gAMF!YPWu`OgK1==`dVg6?CDNhdcKY<LhFEq2X$S zq@OKv@UWF&vO)i>5l7U%0S}R5R&*fIaWSqp>C)~gZI36^GSesV&nM7#$s!8RYulJ_ zK(rN#GKXM&z<3KC6*!la$^-4a>+BtV>_yBf&1?PFm;Eloa&p~%e!hRytMTNb#JfOF zx5C;5(oZmNpbP}KrkmvNcSq(*QF&pP(S>E3Sdv{`c^|tienFceJNp(}ARkNmCTT1m z%YHp;xjfb)eSfu|-J!kf21R4`%bQ7KZTP5k8lWigdRzNGM^V9(?Saw5q6josur6z0 z)^P0t(!DuFRR825jS_!eXo#YkT~~uBn4DOum|Ahg9-kCv=(YV{|Au>0Q~gQEJfX-n z({`4>MkqM=i_j~_ua5{ZZU6tH)APyz8k{o-^qTbIj|6YGwYs!xY>N)$<V}~G{atns zCvH}8ips$R^|~H#Aa-}zMM2hMKb<wLq!YdRPtbeyj_|8$n0J5c{+|K#hn}y*^fKmF z`LF}lyzGMs5^9b9g<k>+^{jZUeivgki{D9WQYO>O&uXNv^$qwxF*Cm^TwWqfWcExq zJF*cbmQF?ghp?dhV(o-p)UuGrcf_n=bGvSbI|(;L3hzpL3R~}97^GiQ6H6=3a+&B> z$+%<giSLMBTg`uEecvgP^3XX2#;ztpn<HwjhgGu1&=c^g{$o!A3U3Y_vN3vg-rM2f z!VQ(eyXv0uLzvEPl@(L-XLzJk-IYW3oY80gO)mj-$NMnLw45BE4&`ooTLuE>lOERX z7}J4OLIYOAarJYGwUL7}cMyIG^yNKDSYQe0Gw?W;>U4jJ;2tQ!B?XhfXN~RbT>d`f z@-uFYFJtX<&raO%&MwY9Y7_%PQe#}$H2VFkhWrC?n!Z{Vh$)tge7A~9PZ1_hu=V7M z;4Y<Yel?gQYi0W4-t>Er=<hA-6*d^h@UBF&=`ue|X_#FMpbXQ&H%bAL+H>(yJ7JbX zq^<ZB9VdST$u%j`|DmFCRD0_cvx+VSjO%%7C*50SDk&>pfjU=2>C7}*o=dtiwUSZ1 z6+dWWB4VimM4>4m+G2GIFn@f^*qR|iDyn^U$m}-gEX{7%OWaS2b~_0(Ore@Y?k*^b za425`G8axh-gy93#(mec0BKoFa64;$0<Qa4_<w&<6yXYDwLIlcegNbtv<kUMg>oj! zL?O>xo+gdE?%0w+I1jAe?vTUjTJc@4*gehNk;SvK*>L`rG_p6;45x+FrzxV?x3AHF z&|n-5i$Jfv3dcbyB1->(Y$-cKy=I-<G;^3YZ>mWuoQLw6a&XsB#EQ~C6p;nLNV%O3 zxP^Z(NKw54dpevW-UV=RW24BX0}N35A{bk|m6WsZ5oEYr3@J@x!*`j2uEL%V+lx2n z&GN!74BLzC-v;r*?he~aU?gaQ8+&{Zc4e`4POwFYZc7!JnQQ}77V3E+xpLN5vHi;8 zoe=hxQu2K$7tRNJj9%#JjShb4Jh~J7N{@eybS5q}e+dgkhjm*;@4s?kB{3bclUQUf zf*y6u28=~vmu?_ABQ@AWnf<syXCMGLU%LhMa!3u?pDc*u#ZIGTda9!%SnBvd=OAKm z!FDt1g^*VAL-Gw=Aoe{v;-vt4F$;;W^VG5!SpEj8E(w!3)NF=eZ8xXh2&pDtBJ+Ra zs<Gqf+3teqI>)_wU%H2`2~KDxkgxNf;P_AQ(FNdiW_B-jdNG7BtQ2~`hA2xv)qa~= zvZom%8Y#f0#|+>`oIwHTd_emUwwW(K%2qz2O7WO~dT2o=G@wT18hwNz)cq3%7=qtF zffIheMQS<mKUxQ==7lwdYvw?X&I5nHP35J&A-j`LKh`?|v^#5F4k?C0$q*bjRu?VS z(-vb8i8&v~OuER)v@9pg-)^8O98v%cA$#D?V{OnpJzeZ3XNvh!E`Y>@&%wc(^3+`D zO|lj4Csqcn(%l^G^2(2G@XQK#3dgaHCMWd^6iimbg<z}EJ3aQ%M?E<)Ox=Gu(M&za z7~YovHkq@|+{fqO5KSIxA@m7Z0w;-$L!0(+jqS3fARrTm!>KDdKrJc?%0_m=Wny*E zmEFxTHc`F9^I6RFhZN_Y;^;}nEiPw@;I`mG018jjEi@&lHBf1?5N@TrE=D!VnbrMt zT-IPJA4Z`)Y3sv~^BwaWcpiUAOe{SHKi7ka@xhj(gP@nS%9w;5ez0;%ZJvqa3yLy0 zAJ*N&h%b-OTHOe=R!>4qVB{Jbk$I43f+<C*P(avBQ&h7Iihy3gox%P@pX>P+%^q3G zCctdMqkP6f63i$3u;sF5I@BL(h`Wd-qosPf&gYzw;Zx?YxCUkxes+Ig4SfmK`q%}9 zLE$(yYzX>N4=3&pHVK{8b0xa^<s`fPxpLlGW(x)IReCkd`K$Y}Jc%?fOYOKJ;`v58 zd1~rxIe+ar3n{Qrp>+%A4`r}Gp?-^qW-BxtdIQIdl|*y&sN;;Wo9N`8x#)tI4Qv|c z+<APNClnWj=><@Bs5E~r8~Yty(|s<+F^c_s^J$`hFZ+bdB4?p}i>@XT$^!M>ZNH90 zjehyY15+N>i40^5W+rlPpHY@tytH{~oB8kgV3xL+u$M(>-5#m&z&_Gm&VIE3<zo6i zvw*-hld|-p0NA!gv?U%I0ey@+jnzjp^_WMqM0&AuG0*aeoT+~m1M-2LLN2%Dlc7*M z91m6=Ezy$|V-l&rie$Fu6JhdI1oDG#g$TE_lS80dI9BWpG;dFGv`nNBn<cZx^>9#2 zC)pONyW38l2XU7d#J1PMQie!oj2Lot7oN6~0cWPnueVBcDbViX!^byX(o^sasln=X ztdvtQOLqy9Bu#%9YJzLUa-w&;w_=D<3#@=s&6gnOeI?q@FFJ&%v}BPlLPc@!vDxU+ zZl&m)r~>x7QyP~btlLWMY>Q?gYAxktK}NJ`#BQTEx|gD7quSW~P6ewRpFv5tvjUAn zR6{<K`N^`l8f+SRp<5!lIVz5wbc##$_>71r{T$FRL?eIXBUyxOi|fGNLLYWB#q3A1 zoEJT{&rm>#!_NaBQm==klX=L7xKb=Dda!#f1{pQTT6_wrhGBP+j!eqSxZm{@Jn;J{ zY4kxyvHz9oeN|<bJ;Z?Phh<Dl()8h#oYSHz%1j_{Ff+s9#i?(01gbR{7Y99}_y0~# z?t0Mii7|g8s($=rF901}1G-N|O)s2ZX%1D#mEQ-*)5m@r#W*{Jr;rt@PxugCd)a8x z2b2l5beecRqCV6K_efI@#K*~*@+9;H^!#LXR8#><nEvp0Z<HQu*I-!Yz2(x|LlOlb zVLHU~ZKN~135&^%a5c^LU)4RhyC)Yom4Ii6+aZ4ebn1{zKI)3_qfobxUBBXb{O{^r zNbxyvgkG3jc~x4le5r8iPc2rm3~)~7X1JVY+%LVJhtUnMR0|Ln3%~uzz+OOeLPH<R zXcm2B-&C7*>G6mOd}))n;qnLx75QkR3HTufK2_+{a;@bplm}{sdy5rEOEC_;*(>(* zIj4W^xs}-`6u_K?CM`hCX6QMn1@1MLg3jtDL@Pv%ve}=`;i+X)RswT?@3d&QylV~O z@Vy2W0z0>GwxGyRaPgSbk*O2@#dz*5lno_xXd`zcdx+f(A`0^vHW33z!kF-llA_7& zZ}1#xvzhP<lr!lX`Va%kr=eZ5<;$=a!-Icf7#SEZUP($639Q4Lr`cz~&rpEr8U_$M zO2SZ}*|IR~(y-%8g)1v8&DUo?^^7x|wScwxw1(R3=N|L17v4}8++VCQ`f4{vjLs{T zf{kG;3SHEr8{PJ@jXn5WFz+_=w*2DNMWYs$mIkOLR0apZrl31|u0|ieWMSPu*Q|fB z&)2;*wu|1&{#oz)$7K0F<urDN;i*h9ERig^8#wIox}auDG5H?U8TS|qaua?PR`7{s z+jT%FB;|?=*3=CgDU<){Eb7q!S4cZ7C4{ar*65F48_PY7R9ia>97y6IKf{?~t<V`g z660#JWN%z0mIpoA-5XOL6~~G>#if6O;X%q|15tTH?xrAipiPK+NGw^H{G8#K9t_8{ zVK`>_dB7=4_1Pfkl3WQGuyu)bTdqq(isJZP=&pf?IprC)1+4*%c-rA_x+wbV+H7F~ z_V~7RWotry<A93QB*ZiSt+bb$URPAf3ZD^Ibl9WENAEPmmkrtrLIid!R<D2dE%aDL zH}m*OE$g@Eh4AheuW~%6@AYlO&t9w(gP6C!4w2enTXpRdlh|;1aEEqrwZAVhUf?3& z8p;xg3{l?s$vqM%OS(-{9LeF0OZfoIpwwrQIBS7Xo@KnjZ}UAeEu~QUKvrQ$h&X{a zevlU_Az}uMb!J($>XYaxi7|iS=8_RH24;qw-+8optB)yxg?nFS+hj4Ph0EV>71F1h z(8e1qv3+MTrbX3XW;LbnYWxCk^IGBZ%FK|#xr{)0&3Dk&)Sp=~j^bR(i)S`v81daI zW%2_3R*M`X4e~P5-~cuUo!kwG7J608rg2J-r<MyL3+AH#*P=|VeW-u1`ZI9u6v}&s z4f-5MRPk7PbI{o56>rZQmw4OjJV7BLhZi8hX{y(jN#V`}l)zPX#8+eb*yD-37>Q%< z$Qk|-A~!}FWdzI!VcvO84r!47>ZOzAS;lQCjWUGCE+-~rT3!>e1g3;2?p$8|)>j&D zauIp$fBoV^-hC#dy4Qc14p4YSnT9`L71gI3-^M#TklQ6kclT_mc&JfP#_#ES-r2-l zvH^9^+<Xem+i9%kR8hw4S<B}9eq}n(vuZLQ9+NVe;8|rg?ppQhwwhh#`o*JKPFtH8 zPv!6a^ET$LRjvQ2ZB>+AoGvV1na=i<w;mULUZ`$s6X`i&W$u4fC1<JT{wuigZGM1l zU~I}{y61@XxJQ-8Ej8DQb-tt8bz7T!Pel3J&C>ibEY5AIOa)kg`0FG|@cGjaoFK%j zA?|y7@g-GkhiUcIr_9nmyG~^O9D4t!|8hxjhY>u3%DhwDH=9t$<17WaB^*0LR>*Xg z?ldfX`Fr1{6B&QPwd1?$)TfiM_EjbLUS|<iedpAwB0_Vv;00>H;E70vZC6f+<|p1k z*2keCBO1JZV#{~nFR9md#8!LzOyZFj%isG`k|-{Hg9(;jbG2AezU$|v4a;20%4TVN z)9*^?Hwo?u7@m_`a1`~-j?wDDpUdCBQ;~N`-%_Wh60Lv3#i}g(IrTSs6|3ry2YYDb zPZgjvLZ6;V)xtasHHX|gPN!bl@mkgB^GjIa=^}#Ss1iFetC&8-pZv2DoEF03wJFJ| zSQ@&dLi(ov<<*aUxcFXPlWQrrg%ehsS1bCy#h3C9UPIj_?WsCcAAZ$jHCI#l<?g~W zD4k*;oTGm!;tzikv3e?0m#HUlJoL62YeIyWg<)Z?Cab^o>eIgA1RL&hJnU9sz9z>` zUEd4xmn9V<kAtelw~fN27TJ#V!JnGH;wO3CuT9)uEMB*j9*K~cxLX(l+5Lbepyw*2 zbv`Bku-Lp7bg?adsZZnyz@Kxqu&*^fke4VXVp)Hfqbcf-SUuBsE`gm_Kn!JG=VKMR z^g7a>U7-BnVxLrk8BdNh#BdN`0DHI^&__s6;I@~nH3a9iu=(4qdiG5xcyZ54)*68e z2KD@%Rzv@MQG3%a<}a~2&}SZBa8X0T*JANih+apNlANE>VtxyUzvF69-&TSScd+EP z;bMPY3%kGl>f|5IHY-FdW&4ld-&20-zPI-};=_3N#kS2Bk+a;Zr~gF;9xiDSeTL&) z-hr-)Jg@nsSQE$Lq1$e`C-Kjz#6`@3u?#QMTxI<eYH`+2V^*9BHU-8|&vgK7<cf`f zMGOzUyZW$wf*T`-GGhcU(9Rji?k_d)IPrhRhyVqaOfiC|l)_btNwUuRFo%&GN^26u z6%HwdYZb4_I`<HDM(n?b<s?w-hJp)ruM)hdizYOOkp}C|6pD9d9dTEJAjGgpGY0ut zcP3y;GX2(f<p_?{#XGe7AdGcqGNv`tkGKmU=up9TX%--WO=lwJTPA6JSB(%xT{M5E zK|sL_SHP@gl8AxY1eO-PcYE(nO$+09L4>$xic)38N``82Rt+nEvTbd0JyW0<Q#GTC zb=hgYQo1IgUrw(l{2W21b?^;6cw0kOufKzZP%Z~Bpk3KEkkuR2WhD?>^h)Sl+jg>g zm~eK2KucT+U3_0P(|L6{mNL3XyoY~?2@@Hq3pg>Lscpx|c1?uy5F!GQhBOXAmqxj+ z1p3EcMY3J};e3SV*7CP>PQspCml=#{gyg?sL}S|Sk&PHSx=cW}Xq3`b_B}H7aHwQT z`C^yVaS|mvQ;!Hqp$II_S{<i{0Y}&;f#LlfqSNi`pj3*+BCqvv_MySZihqCIZCcp2 zklabPt|UR!L-9okotLs!*8GmHdbM1LfWT9i<hYDsTq997%NdM#y#E#`N$8N{GJ-je z^xj)WQa)zxmji_fOtM^-wEspV?t$Vd@tIs@z>5R}Iix=A`beoYsE`tRbKtGj`1z`Y ziTye`WY2z!9CCQSM-JJ)KO%pJylOc(_F!b~nx1Q@%g7of95%AH(-AhZW&=tKh*)O& z4|a->A;*P3V#<`k>N01_yn_o8y2zd9MtH428MT4ZC2)SHX45+~?~x2EP+Uqz;lB61 zaJJ>yTd+IJEc!6dWd(hh;IgHD*S`I7p#Gi*%$beQ;c>UDH2?kcu|Iz|-Lk)7-2OvM z{MpKM$Lp#-FTC4c7yCP6twSTzef>!5d7)x^T-@&l3P^OMVFz+e+ahQgV7R9~qH)i+ z94>Kn@D@$FD%iR+FF=6c_*2t&q}jS7j^dLU2GhHARfdJs`u3o(9gs)?w+APtWEAWN zTZM}(Ga17m%QD6=(8zzj6{>h(8Zp9tt?StVY@~ehfzs_k;BB=SSv^D8;}I?!NHj$v zQ{X*awnMsKR?m2kaq28!tRt3R{qI;K(tn$UkMkP7epRC}`h-S1r+h-OtpDkJthzM@ zIFVsLHl>PdYTpKjcbl|)bA2_)I(rr=P<tA64SW@7)gl;@4z+(HKgG#o<rqDnO^iY$ z%X#~=Tztx0B8p&6pm~d8NIcY#?1o!qlvD3}dZQB~P1x;Eaa~MF&UqXL@8E5<Y2o%f zV5{q1s$53Lq*)3mpAk_2^8t-p&W5~$nv&1stg$#WPj_PU=*u_+Zgrs-YnT#uQ@hRg zSV&IzoNFaMQB;5b+KM>rDPB&>ImKM5w_$_j=C0|sdq;SB#6Vf$&U)fm@)W<@ESHiG zpYN&VG$*~nBvkD|%v>6?l;H_c;noOXq%%WtcOYi$UsM-&-~Z-^;ab@yY9=yNHM zUHlsA6<zRVy$J@S&S{~G@9FhimnLiDR4VeM7uoc}mVkf3Qy=(667w&iYpept;k2`q zDfOd!q^iw>TOCDcM99%Bo6I1__lC1!WO0%FA~Venhoa7@p?f|&mRJ-hkkeqdL}Qc% zIO7hi&{yxB++|cajZj^(!S0W4u4opVro#APJiU|r2){e-l}JayR?;xMzR~nrSXV0M zl5Y|{g?xV-uNqSz(Ip0Cqg}x94>_|UjX_Q=QWU-MoWN_v;*0z=v)u!1<B~M+v|2{Y zLdkjz6guU72^F7jir%u?1&7?AxT3G7>0Ls-f>%Oi@RnnYea_;GS7<J~1mPh8_TXE` zLvSq?iV@l=t!sF@Az)x{GHViQzADJbBh@_iQni2jjKp%$-)NQ6M~EN2=%_%H)pOD^ zhvc_h_CNQ;i;#3Ut1CP+qJ_4!pQz)!tLGTqBJ0n(n%?(5z9uX1U2$!p{~kO@V<~5M zuH(5g#=QXw>eVYLOl7hClP0Ah(gLv#Cm*eCNT(yv{#G`6zh?LUs2%S{w=dOo%fvXp ziV%N5oCZnJh2ajG($rUERdU|umv%58f(@iVKMS{@s)Xc_CCI+GIIJ$3t2-=)6m{~( zru!1E8oP^@>sCq}QIjUCG-O6Pnj$#hh1q}1=JpOxDHo7Lm_*f9M43TPI``S(Kj6*+ z)KnTuiF^yEgJnVo_Z-HMBKKX18cNy4ogRN;C)%qaMC4vs%vT;B+NeIyWhu%mPh^L~ zL$W2F)^jh}^=V1_l7DwY%r}$%d>LWh_Wv}QL$aaI$-=m2*u0zGg3{9_O+TIsR^*u# z4O|p_&GBwIv`JMG`8pInY&Fm;wnHX&Ef1UhVL!HKE3oKoPM0x6*#<;xY&?!$i;92X zNnx>2F67b^vec|oD~j2Dxpv<D6pMfb7pHU~=cY{I%t6Roa^1|JY0TtHrWhMXQFDF^ z*YH1JO)1Sz=ow(sQ}n0Qh)kj@i^=C-+&(t8asC@`wH5VD!%wAT<W68oQW)RxtQhn1 z0rz1htKz;go4qK!`i6qCB<2~1BJO|g%zu2x9Kv@rRW(0D`JtC_A7<2pao$)cqtTUo zT(0I2<Ajw(`$BCWb7|&(6cBdOWYLU;T0rmOz%zKB362z>-77Snqvv>`CS$}|y{oiX z7j6?7hWyiSeOV*$hWgcFq7ud(MYQUgDbS+h%qID%D&J?dfGmNRPYjn*+%JEgp00j6 z@#hxJ6SsVSRBDmEUA?pE$wqY8gPIy>h_HJeRFgbH)#ldt-o7L+%&excy76XCQkb7+ z?IMjOJolUvU!3-g>4J9eV4d6OpQq+@eCAnOV`^xA<yyr(WksoGE&tv&+Pde19#1R( z^o#wdntTeX{CHIR``q(ZOTd33S7F?`wR%BNua>tb`@`shNZp%*l=;uQ6Y|2WNTqV~ zwxBq3BGeaG_NdO>{8|H4g6xM*?#YWTc&R!MH#XALyL!pFj+=L1eA{#}>WAQ^bzY;H zium>N(p4Ru2fbh6@uq5zf4NJ1cUgmdS8J&&0z?)8IO=y>FYi-jdWwJEi0tal(LCyR zRi6^whbJ&;MJKm{5U5T1nK_zK{ciYE;^FWFS9+1NxLN02W5g>(y5vLZ>3TBP%|TFF z3`u$cWYlz|GxyiOe#_<Qg2}Ht-8?r)ZBLiue#xVSzI=HJNF3Dy{jm?rePSb2;Ayjv z>t>^q=WA1t_t=JA*xP@G_o}B2ub`Vvo1l*klaPyznUI%FhLF3>f{>pLd*LG+okG{i z(@PID^(gu`{R;92U;b^cH|KC`g0kc7ygh-u<Jzy<tIRn(((`tQs^_LEq!<2poy*Uq zCFL`_g@1~zFgN!vGw+&t7Y_}dn*H=E3pt6dNwfc48P3`K%l>~o-=*!?{4bxGmjt$B z+RMz7BhJ^DCwqsgJ$Ywd_kd5MjtS8uS%Zuj5BU$WQfB|eB5z+1CRG5rdgbw?g6uh; zP}ldlup=vHOqsoo@!X%PyR5tUrDd5{?6GWa?UADe{^yn52V`14Kuw@mc10r1*!NG( zs=F|Q6K4)$(H(zjv)tQEwZ6L#V~$1DoYW^)Pb$Bq&@khTf13Gq{t6`?ePK&J9GDuX zVXtidg{3Y{^wJ)okW1U*@1Ge4wdFXZ>b{dp4&Q1@G~a5fW}tw9HU?N4$Bw)`n{_?T z?=@F0{jF!9sd7oZfdR(2=_3Q58FM9-x<h3_3$tYoU$cKVn|Lb?bm|u-ZWgiJW54m0 zG5?K$9tM^fm|$RrfdK~Q85sG?HRmJ#!)NtcTffZN`Z2Ehg_}QBw}%#r1mRAam#DGO zJ7jYl9_xi3?v7xSIp_TT@nq)aUld;MUSPolcHcu*U97D`9CmSPh0?gtI<+*RqMlHn z@w7T@=zf22M$NXkqtl(HFVWsFROWt*)lWw~t!7D@#_b<ltbbX_6{V~_{dAagRb20u zaa)dJP^7t1PzTJrLhnv*ebj}~3q#0n*L?vn2Yj4Iyz)Cabxc`M+YHcoO0>kIKECWX zykLGyyMDp-Y4hl?uYKxLtz?^%QBYg{W)R2R7IT07Lh{q*f+1gxj3r;Owxv5kZONNK zI&)i`^$SJn#c6&?s%rl7tC>$f4JTyq$Q-e@ncv#eb7?m1{IqcRU|RHS&2RSWaRO(@ zACEerQon&NA<{VoDu#WF)0RL2h@||ur(XckqGAz-fqO+{13cGH+nsFhJ*hdeLOld- z=R1EU)6+Szu>17wtOx8U%A2D6LSLgItnK#NA!jnlYl_vWMES4u3y+-2HG0OEYO|?_ zkT*0aQoE~qoiC<5B2keh{E*^v<5z=vaWGNG&7(sHcf5R~CRm2<oIDvC{PyStQ1>0Z zZ2&_+yuXH;oHalnkQV5X7GRmf>nAnDbgC!R`5(=H4;t;xf|S2>{q<L&HzE^%)rEr< zBevF_9D0cg3>F_~GGu)!L;mi3*z)ywez5<adM86Y?VtK4LtQpPH}dKJto_&Ry70nc z#){PuV`Y`GV#wGm{%`X#V>6VoS?b^B4-Az&hDz`3^+a=rvaH$KAj0QrtJY0VE8n)| zHp-8GX;4Q{BSx5kLI%JLJP$g0H6t6OhK{?L^LGA4rxBlWvc(DSIL-w9J~cv`x6v$I z3Xh91dl@x1y*xiReg7)@lGSePI-a{t5UP%=s(_i!{D4p>Lo23`i<>eqf9Tn^`3H_| z`Tim}whEYno+Bq6m84Zam3iyfic*i|dWutjudGa>tL23T4Od@_Fmfxlqj(NEr{Y{c zZG8C)ru?p8b+_jo_nuYT&YPP02>Ks3?mQl<_k9?6QY0aS$dYYTw#dFq(U=Ai8f#@_ z-(&15q_WS1>|`g@*!QiNDO=3gcaxp5@5}H0e4l@xKi=+hUH5g4bM853%*Crj9E{6< zj=M^h7b#7S(k>4^2)JIy;Q^^DsnN~OjrYvzF40UIEm@wkDkz9d((`KYjeSy0zZv7z z&>GuPKZny8B3AZ~dUi!3+bQkejh@Xry0-?kK!i@4AwmI@kgXQ3P3oF9z7z)5gCUZQ z{d96HoN8|nqjxvRDJtn`1bM%1ge2~NRe^s1`~(ENlwESLMQ}(?&g}|wC&@&h21o*G zf%iZz5Ne)~Q4Z=qdpWf!qTy5{6;9*_GKtM4Fl&ywO39`XB7}0q9&-vx_5p988ju5q zfM>fwILJM#2S^$Y#(n?S@xRnl^G9oIZn;#^rZiunT%P;-y{&ffmSdH3A<gK2x2EWu zDRi7_ZMlNONOyc$7KAso#bAHA%$|1#QJn05I#Mzneujr^je2s-l@+NE{HFr=QKZgV z1%Q|p#4;e3sRXb^(lX^mw`IN;aSc>C)EPaJV@uPatxJ0OkmHs4LZFRJ?Ihw$t|rAa zr<~5cI*u2hBYSB3iRD`N$HO^)a3@^`m;f}O5A*?&t=ybt73QnozkO%(F#v~>pB}@R z4ih<q6ZbCq!0I@V)>{+2E>_0L-(CXtz$?HRcmdb})_~I<`$0R0`A?mf)QF7KA<dLk zAxFt0-65pWVS~EIMCUZycYK1nOw9!k?~kSM)1}fz^nfLoU}{sP`r-+H5hi)5>3vXk zu6&~5KFj9k*V?$5QVaBxeNp?q{pE}vfnzG4J3(BZ_k*t?F{!Kem<BtaRitq;=Yw%w z%O%I!%S~;i3%Y+c)ROKs<Wnxc7<8`Y@iZSV@LRJQTr0fc`N-ThiY`|yFVB23I|{sr z6af8hkTpitBYks+;oAm(QTN%bDb`R1CyUIV93lA+k>1@*Hv8mONkGlJ-oWC&A~C;k zkZ=q<{${cWR0i?M5i2!}WXD3qhmrJHycrT>jc<3y<WvZhiQKBc>QoT?_{Sx78(zuo z6l98%opZU+?CaQH@%*zQkJ}M%BJQcO+o(u(*CSJ;@p2nl@K4=;3|mAI%NWE2f3m-t z%t6eRO$l}qvm`E$@Ygc8<m@t{^&a+9k(YGZ4Wzs5s{NxX@V-&CY9E8b(UZ|Xl!d|@ zYzN0HmvQfThCPK=ed~14aZ)`A$sV68tcFuMzM20-nhe@?mrBelJ5U#xN3QCch!3DE zCfQUj=&bc})wivG)*ktm%g;ZndyJ33Km%SmXh|W)rA-Fk_tln-q!bl%bt8=vY{wBV zx_=BrnPI21H|ww_ir#k0Ty}>S9rDD?d9Q0a%ouqDR(h?Dcusb({<pO>;@Q;x>c6eg zq^+_FgTWHThK@uJ>CKN`<A0S0QmSHgzb}{UNX&6Y`PZ9&qYR=Y=UpB0O3csd@pn8_ zs=Stx(ppD0oZBls1xL284d{4o`I;CP*};2wOHEZAs4Lhp14O4S6NF-ZDDAVlTsV)- zDpl3;X%ge^nK<f_?orW>Saxc|!&8GBX`9Avr_oN{OM9qGO<F<Qy!omo@3#gdd6qrV z_T_xbJ9^=NvycG0Rfh&GoNqLGhns&{S!clSoyleyvO&o1KtXHZND)E4jh%2pHjLtZ zQ87Df0?W$qwxjb1GCOvn1lbVwNUJt>hdbAr#9&|6joIM_^J)Wi>EBR12(krsLK)dm z2X;I$7%;s!U@DFC-Jml>#8Z2A?({kg##GgbRij;hxm;PMN2UsMF%-skx#+7U>EbGp zwH5iM`A!Nv1mk<DOx1jJzhpMcDq@U<mPN@94W*szKhZ8>It>YPk8SX^Bs$UCY|hW2 zHLd}$Z(R1BKRE3>CBP^F#&p~lRkTFVO{-m0zC_1=JOEF?4PbSCY5v^Rw&*ZELjIdZ zm@R{U<lGvvll<7`rFpaK!Zkm5JLgEI!ED2#{Wn@B`qV-f+?O^v!WUJH#F0GVOYnab z312ki2xtujLWG7q;eDGt;Z2(&!IxhSPypls5NCln3&dF<&QkQ(Z2Zw#`_uD-1E$zW zsIFN3Ao_8_yp6QNEBGNIt*7GF*?=$Yb9%OaD@kp_cf&SxKNGznzt%By$DZQ08j@3* z$mU4=o8%Y@S!r{{D1*Ug6xAy1P98};#qho8B;VH~whv#8bv~o8Uy7la)$RFZ$#d)w z7E8?Jg35$>i4Jqs3d_-UZAsBf&rNcU&{%uryXCqrgn#MFG+J`E%|rjr{NrmMHc}aX zHuo43<`qUC<`u#o5^k!P$W^PjXAClnT(!xvsT!!QT+anFPsP_l-^Vk@oivluEyC6m zD&_qrHiDk-xjY#;F(nCkow0tEU*{hcd)#p_P&nUOIDZkbqa86D*SKeE9An79SH%6; zNIB%2?=8g6UF^~{lE{xM)IuAgc#GD5w2j77zxiGpUbU563`BOG;J?(xN|a{HmnB8i z@F(u6NzPFqI|J}vj$$PCAXaM++m#|CYJ`T*^lR_*@-J`~TmR7Dvj5S>34oCwjQn8C z0;2(^0&YoxP_o&3T%fyESgBE5RqCqbAe5&;4(3MXr|!u*yse-mWWMt0OffEhHgBYt z-g!&kpdCNiDd-R;)K!#BFa0O5)vY)+M~Y!tZd<z<8D>HE$ELeyz*#?xWA}L&hnKpE z<)*^+QtP>}Q{Fb;SLS72wF-on(MjAbBRMO2R@@{#tL{}jE3qlPV4fMhU~y7!5f`R2 z$#xa(xxf<pvGsHy3K3=@op_Uf^Z6>ybAk9_qxJ28^NTPGH+G?vb3Qa-7IEytDOY{m z!YrO#=RXbyZ+V%<uQh3f?pt(bDpuuP7SgnMDo0cO0ioLx3J*fAPO<2`SRIoIh_9#{ z-L@WBv+gfND3)-YdF<KR9J8(c?LKZ%9zjetY2A=KN!U`)-?r?bE^<|WdG^n$i0cvK zNNllJZe<qp?MHr=rcU#ErcPu%)72?p0eB$l$`CeUZ)4Kvt3WWSlLNqb1B^HR8|48- zko((5=*X-b8P9YI)Ws5Ivd=f*j7Iyg{oy8&6Z93t0l)}|2UvkQ;1!SyK%#;`ZC3y4 zRl?$o-`lcp^jKorf@p4kQa6i|MYW>$S6A6}f&P#0@-Cq$qM6q&aKqYi`4g94;}x@B zolkAC>D60NQw;2QG{Lxo32^dM@GR-a$yLR=ISbB?@s06>DLmMfpM0H<V~`^}n5Wr$ z*f^PKq+ndluo*xvO;85i99htGTy*TuNGNQsHoVhQC~=uya&Q%YSyaYpUnFezVVBo* z6<(>3oJ+hC#<Ap{MDJm%-TgX0uH<g2GWV9cB;jH!WieMPxROw0Q8><s4O1+}`@cRY z!qJmuc*!11ltvag;Ee`ji%Wz|$JHupV;w!2hnHT3_26WwhJ&jW-DD+kB|ptSD4zUO z9_c=ti<*p@T-iT=`QQ+f{;ov5q=nOB)-T$tq%(19af1p|+|6&AD$aRDx(sK=cIk*W z9lqru&%Szpx~IBL7Nf)R2dBptJ1z#%FrV>@-o3^?|8QwS?63ZjB0DLakYk>!vwcOh zW6*BQG9zl0Vd+b$o~%z)Z9^I1V_L3{d$7XbRx9s1leyr34Hc-2e`Lf#$gJO<E$BtY zCX;h^)<VvTtWC(>sI@_CK;S6@>UcL8zRQ5cS6sJo2yoiu9VV$@@h3<OH4egnhI8{J zD?OI+331%KHB6$y;v<omWIQA~7S1h{JoZqgCfU)Qd6>k{v8;=O45Hy{g2`hVGBp@S zchKH=Vew9XNDLbeQiX<tj}c=tb+d!Z1TmE&1H}_Zor<?BI?`0h2F0ywPq$p<h&m}c z($^CViY0OkR_|6Atlo(<Apd>kS~&jdHQ-)2emeKGu4@IDd0Mw4XXi?5*<PjB5O?4~ zbF8z^x37$FgynH$j}~n0ih*7%X=^%Xjv|VAtRQ!P^#1;x%|T>slY(bJjm-#@5E65I zUheZc%lZv$=bVnY;vtQyW|xiR>{A_cgH}1>>wbApC*S8L_m5YsOqj`E2AB@+VTa0f z%z0e?)_P5rWAcL@XeLh-EYE86fBHK2ab0>{czSs%A(wcu>29&uzI<^_=D#mhF=5vl z=>qM42Yi<|lSmA))Xaewc9{dupCX^0JN~<He!uN34c>hqK13Sh86wq_M@dhs+sbdE zo`r3-DDWhkX^8p@VevZzzHj`Z3o8zojTC##Mk;6nbSm)Y@<xyu20f-wkfTXaxQ0<6 zLYRRdw?eq4EASd{2fPa5kgo-)tpzfPLj_KM-j-OroQ@OmC>GxCx%66Rj<73OW8frN z3B&`rz<Zz;NCFChPg^`#y!v&<;9$)Qr%OihJL;(mw;pfZ+w_XQkj}zQajM~6y`v7D zelgYGs!`0~d^wsb{p*YVILFPeBr83SwD*>5k~rqqubKpuF6_+vJ4xml<h`H==T8TJ zcuwCQ5JTi8SxbXJJ&yxPk!NBRn3wcYId9~Jc^=0LF2D&81>kv=&ORyjAvtzm=x*|_ z=xTmR$kh}B6Ht!616z}SUWJVD?u8dw-3t#`yZ|@A6Yu~~g$Hr20LaCGTpTmE!|JJL z;l+41Zkus!k3vSHtK2T*l^%s$M%U7R-qdFrz<;3EACMwb>?7ksg?L?No(6y8Q)2hA z0_SO%2cG%?Ikcn8g$>u47e6)dH?{iR0+AT2{5dTu{FV<+R)(Ep@KW0abN;b6^7Xv7 z^jdFh%(DE_2l0?If#iC1Dj&?_4c4v(>ed%-oqt-VMMC)$>tT7Hj*ytD(6+39)60qf zxGa+$m!BrDED@ZuZirSmffqU;-*ic5+``W!%CA3V@&35JP@Y%3a=z8_Elx&;iaZ0w z@AQPs%B+KdgxcnAoq#P9MIyvco(M6O512>|no<#rj{c5}pY98qx0szALV9C~&%i)A zglxN+Jodq@@-M2xCF$Ji?0qVKTOPJMYDd+*6eAs>G`%Wfj&F9|rq7p0)AD2ln1z56 zi&%IgB-tM(!!DtAlz~Fp-KH$h+hTSON#@kWpI41_qoVD#Ak(qWmr@)q<^8uUk8sRB zw|aE{g6$-mlG;&Y4>E-ZFQ*#g)=mdz8^}?UTF7`=9K<CSJ^=}?hf(=|L|@rdLCnfy z+f{LW+;YT{ZVcQ4l3agZ#s}P69K$3}EM66F)+6VcK)x(FrKmIhudc7)=+c0K=VXgQ z-r6;;Il_2$TWam>>kxx3_j6rmJLQ@p*Cy=DTck9@Ym^b*VZ__CmiJX2de?cnJ>KWr zEYKOxRQP#aXwwdPCYxG+>#@(4u*S)4Yx0N??Xe^_li4~SD}Hst+T2r`HQb-67*3xs zvV<_nV@)*TP--i0pQ=IrV6%gpX)cqW_xolA<{EVEoG<=$VmEHK^whNB!7|ys-*;Ay zIkfbx&?QUiXxY_!-rwxfTejdwSGcW4Y}Re_IQ-B1tf69}zZPA8q!DZi_s_N~YT1eq zdo$l_82L-{c1({<Aw}9lV|8v0xVp-C!I3SM{8`i3M%_Z`z~~3B<M?A;C-0WCan$*< zVmk5T)2K<fYf6`TR2j{DF<fQfD55;;27(N;x3-9Be7#pO5rAws!uvAB{I4^3uzEm) zooFk+kP3qP1Ad=>zo94*WKHY@9NCbJ_l^FGbxr!VCx;-bfzBbDKN#n`*QdX(Sb@mH z`Jym8YkbS&(1E((Zz#}}$Y3XmkqxG&x0h3+8GqcgeK18feKpKUkSKjn$F9{~Dg3R$ zr1ejWL`cFrD_U_1Ef$L_QDXI%I0e;s)OE2F-e_?R_~Rviz8UI;vL$sYPQ+$tel*LJ zRf8_hmxtCx4dIXYHxPUhI{0>$ru1S5i-nc4^)zw7fZ4)&^|Dt1RLc$UDp1yPFHmmc z^$UdW9)DVqkB6<w=K}A6S|AB1gpKykBYRrR70kk7lo=p?fxJ66ic@>UQk`}2*(YWS zaErdD0h$nhuFf3DN&MqOuEcFW$wdZa9}hlTH5M8K!O&0ZW9S!wfBJs(tNK>-v-&Xl zW&L~f^ZGaF$@-L0Q~Jx13!Cf*?GKEviVIn2=hlUIOKf~iuMVqSnXC`1UB9uM8YQp9 zZ%gme!a2cIK8q@;FjrJ-SV}mzQ}}>l$?zlPwp6-*fLg<yf^|oSWVx;$0)@gpnzpB$ z3bW4X(0XB^49=1f75CUXUYeKk_4Axd`?a%!OC-ta@}tg$zNmW`80t6|wV0wir*EaR z+@~Xz3X8lK3$yI~DOS<vD7N|(UHuxNyQJS%`B&+Hn8W44n&3525IR3yVC`v_kobNM zJ)Wa~=o#c;N1gsVNAaye?Q0>|%izh-S?iNe8PBPEcdzchuA({HM(gx60MXc^fN9_3 z9N|6YFG|~yRScwUD-6GY*fENjEe3tXa;)L}&7y9oQEK=%1zChAH+HEWNj$(6Zea}1 zSTmHZ=FY9Mmr>dFJiDppSM?6rS8{HZdK}q*i8%lI`#@d|Atpke5`j$+8P<qjxzan) z&zNzNp{#bKZu(|7*c$hxjM~=4G`Zw5l6K!WdSGd$qvo~iQ?@`Cb9Ju*X<u7<LUzp) zVveTb18>$jHI{@4veJV7C^vsSleCYyeGg@)*?o(#oB{Xd93y2tZ!vrAYC>8aZK+y+ zTJ+omOG482Badz^_{D^ggPYUxI9M&=>u%k4p_=Zrb^dL&<<P+#)}f9Z)&*9Jki%9n z=~gpjj<Rh-KBS&AWirQ@mWsAV)8coIF~pZf{Gr8gjxqlsZI6~kXU^_$PtI<lmN$j{ zr&@yV5#T{9{s7LHU~#ap+9w<-AIg@0l^X*q{T$)BNNnY7h3Oge<``RQCaci;2qsu$ zvRnH~kXX!pS!_ZU$72#ui*aewxlI}t-8sf=?-RMN`XCZ41Uc^1Q~0natnqP+*E9Oq z4W5lDj|iTH`jx|iT%)~)_sD<Se-e+MsT*%qP5XcE>M-iZ@NM&%c0F*?((H?WnrN&X zY0aq|=>vWPBS3r3e6fyL1+lVx+taI{xY7ea6%-G+10Wu713U|gy)yn4CBvL5vS6l1 znaHB9{1YC{%8``#0x^Q-YcMbKA5bd;_K^Ym%De_#!M^_;%i~0&vX+9YwLOFWg`(!q z-J7Q4)&Y0bC)S(>!WcuUOp?!kLaUzfuwI>T47jT1UAOb%0>_;IyxyO_#M+c~`elvF zQpbmnP9V!|^k{Oq%A2bhtFL%1O;aDGE?=0|*pCfprEaMUWq7l9iA+sqnxLMzUC&mp z;mzP(x9+@dAvs8gELp~z4aU}Vzb>fhb}gvUK^4?E^LvbI&((%@dfrQaT!u&vMj}fL z%<N0T?G~bLdT{B?Da7TD3V@#t_md#~wuL(2vc&jIQ~&9krmh0Bz%nooko8T?r-1vF zwVxe5*@u_hu|yVRkucuK7<3vCQ*&_f1OM)AM#h=&PY#icW<-A`FCPBeeRei6Z-DxF zc&a=C|4fe9nRT)L6k@1<?A}}0Na^7n27Z|SM&=uaWX(F831W$b$Rc7$R%Y(`L<i65 ze4KC}XNfT9MIRq*kICzie2q$z;Kx<3-t;JsWFb>*@?k14*eatW4n|;1%j#Z~6YXHL z^H0weTSYBZo@I2e2zVNI*wUNjI&WU{OcCnb*x2sD(D6WSG^(|K`{Q;>8{=;K9tNFo zHT~@iI#UeHI(@$9@;PWLaJ6dBwtw0zR%OBL2lK3BUMakrFL~v@%y66|_Qo(0g~f9t zG0J#|Uo3nVlI*A{Go0dx6&yx_51)-l3>OZfh=y0*OiqE%`iTuAt+4oRBqkLH8O6XW z`I8-CGQ;m3-7gG(BOS2#MI@&9onzk;_Fi=bbI-znvogk1@o;j?{#D|*{W-*#Jq=>q zK5}@>-tXhM{VV9W{X_hi{r!S*`*^!C`<SL$;&ERsvAnN___Dul=QO^nI9RdTRlM`Z zRcGm02PtC>?TKsBJ&|wUT3&}yHVNEwUNQ3cy*5yDBE;^0%3sCt%)P3FUp8W6>I+ZA z$9L&}YkS*@<E>;%Y3x-nA0ckbBaEHJnqT^gm%Bv^$ZwMd%O}i*tekJ{j6d0W-zgVr zI@nTFE;BBm0sl8pL9RqZyQbu|i?rx=9?}Lnu5cdXl-SlaJPgkrH=B8$3zFQWX>^HT z_U|#{JvS+T-A>bJCBl6C_qxEoa`9l`T*bpvolWPdsyX}1`$W)dl<b3E<2UFv3PG=d z@b6nN>lKRh0uTG24#<rsij&cf*xMBs;g1*(k4BJJsz#8H0TI9v;H*j;JwI-baT`}i zVX7uVW@~^tB18j>8enV#xwcv&<Yc_|nt*!|oT|EiVw@W)!`@XadT<MiUvN&{q!}M+ zJf1O1j#vWz8&?1lumDUMC0`)}^QU2s?zC&kj&!vy*c<#WQF=nPY{rGuzegLWm7aOa zdVg3KTfb}NH$~A--x3_M_cpBmJNu(o@_j=>_J{rK{@3V!QM@LXrT;J`Fzi%7^Gx$H zHTG|R{<f##IX8H1!ev#`vxw2i9e5467BS9w0U(|O@c@X8P=E*UUmc^$t5s*uA}%8@ z2iUw@5f>|q%FBSnD|CM(Bt@c4EVVV-yvs>pF@w3hOF6f}QPf#kRU88t>EIYnDZ_E+ z3Opa61k?lmz%g(hcn91EUIH@!6MzQvfj&Tg62JoPz@~G0tjmfM!-jLMZcVti&<6cX zfa;G~l;XJRVWMC9Xk*PD`wba(Asl4yIX!u!0>6R8Fyn3tq1AQx98w(TxR0$wy6}mw z==pizc_Hk`PX-|;Pwqv1b1SU59O8e4eO?N*8f!+$j=Fyw?k!0wf)}#x2^%rCwmH6k zm15sB)ou)x<2D&pTO{MWYNYriY;dGF6(PLzz_fR4K_~U4X;tG3IJhy)$Luliv9q73 z<MyMs((UlGQdOa)^wXosjT@>b(M_{^4<Gv{yzVTWbrf$OwwUB<8pPd{ja7Gs1drXP zs)@VeeuJIlC5hug#)RW<Cd8<V@duB8L8&TJI#(ozNha8d$T{r~J2|Pyco<%!w4bwD z{Z-AIZ?Cx$m&GKz>5wVQc)7tCw-U&#*~9i4bi~#z_W4iZX6x`?8>mb5jzzc6wB$1Z z)>vzcYhsc9672JW*iK<&qA^~wG}f9M@<&NK-X|hrRUvWM4clXYOwYrs^u+9cSa>aW zPlUGSd{b>&8#uWL8>_`srkkSLc2UbwlN8S58;ZTfih<OznY&-*o1X4dszl3~>??am ztShcRo35I2a~@9}HQkrqq-o`qNO^xL>^y^4(Lrj4bWxtqaX!1)F;xe<?lR0b+GLT@ z#9=NbE-hQyq0z3*Ed}wKIQ`Cl6vfsNZ}q;SQTEHga3k^{=yF(9<LqFu(V=2-GVQ|e z7R2<UfxW$?LC)wGpMAue`MYMRqg{&xJ3e<XcOHtptTG7{!3Xp6XgcgUPG7}^^$|bR zv}P8Q6<F!50-}HTG<_pa<rHxQUDEpASo)>JKZQBUHbCo#>E5qxw;&gPYVsMT&TH_5 zXg2&ktCwXdy>du8NO~#=HMpibx;jE8Pc=}5H*h0=9Jz9j%v=4~f^t#C{u28dZ_T@i zdu|y%exJ22PQ>d5V#NzP#6{pB^M{W^+dNefD`ME8apaFbxR(i-UnMug&7rJyVeua{ zkU#tiPIzFfbst~9;6$u{yh=Ky&Noze#99|C;zfyA@x=~#B7ZpGUk=9nDuINX@8}{{ z+@7s`ycs?YdJNTkN)?BIf06a$Hs4BD7*(7Cilf(WFAKj738E%5s@MdCUZso6M3!{q z3(JWehwZT3mpXp`=)`Av*>gc<!Fd`pV9a)4vLcEdN=D{qD%t0M^C|;ijK=Blpfgo& zYD7*w(V!y(^T0AN`$U*-^>6$}3FlT)#q@C2`@{F|hcDCy$)~MZPGl9hneKn8P#?*H z9DaJg7m)N4)u~ri`PYZ=z!LLO1;QL~A9DC~|6PT|XqM68<IV7R6CZ+JIxLXJM<9A+ zi54%;AHDL#BjEObfv1B<wU+3vC}KqsJG6)V!Gn9LivAVI7k=`Xb$R|tINcnuw((zs z(Q`E&qVn)qF>#?L{jPCZdeHHdN6~!K-k@D$ls|Sz^?PADg9(x0IX%y%kroG^K6dO- zS*!7Ss$3r4wkP)QDX%}fv=H982Xw`OpRVlib<G#GvgvJq)vZ5Vr%?zs<6Ul0)AU=U z?MUT5c3?{z%SuIEj$Rvq&;9jTBR^S&&-^WsEzl~Kh|;Qy@#YRtpY<h3_Itm+9Zh}$ z(^>v|5I>Y<?s6yE3-eJ)VY1JseDw6;e&G&vkW#IIY^D}!39`PRGp-iBMxa~o<x$xJ z!DsGt)UG0bmH$7X(^pIXc#gXWu*K6I)RqbP8+!s<&`fe%W5smW_i0ge8UL%ZI~n8# zVzb(6jRl6iiT7S0?A38p=e}~{2DRjDG_pM%7ePj|Px9XjRC{%tc!emg=hrrm{2`8e zL&i`DT_PB-C#bDbyTmRw^7RKmbB`h(@7+#ZW0o9$??Bcvp06PLJ)q)I)jJvsQ{OWx zc5bNCQat45mj0s7%_Wr+>d`9l$D43IDC<BkehvzaPJ+u$7k`gu;%?BbA!bpH>3H+l zD}icJZt2;#+zszOKlBz~YLMCs+{<^WVpaLTk)X9&6Ta?6^0Di-+0A!Cu}-{A0y`9U zaZCSyr3W6j);&q@&oXYn`Vi(u{kAWg8ci$b_e?)YCf}*2^HGU5u@ah2#d-J<ZaQ&S z3`GGet#x|of3l3ZMlO@J)*$zsH5?GPrz!Jqul*Hfrwn1>Mfq;qYXwcW;kdXP`1*AB zFS*xgmFjP^sC=sbqE(u^&8YIeK3}V}ahp|tC93{|R%Cs`It?jQ*_S0RZyibsRq`$M z{k~4d(OynJ&vsRXuA6+2URPB+-Sj;DMv$wEXa63#m}=&Z|GSK$^)OczIg(Y5ER^<r zY}`a<{ESRNyQ`&eF?fiImw|bJ{6yGk>hG4(y=W6V4U?H9KZ5oR9{`Mh!1xD@!rp*? zFF`xGSAXDlmeB|y>(1TSZ{_h?o-ddXc1|V(CVDsi_GKBF^aE|cIM4+UfnUHdfZt+3 zEGj=+Jh>^X9Kq-&htsxvmVOO=Z{%JPnKke-J^%5~!)uszE7wcYT2p$h3@t5`JB$$m z8j-gSJP0A#_q?;!0*v^xPbutMsq9UE*+qIgw8QkP6dvqT1|l)*e(S!|s42QumzL#_ z$v1<So2W`9G&t%<uGp<>Mw^hCuoJ(K4dFQ74qt+Ahd04j%a7n|9J^B@uxzeAP?z!z z#f~8BV<#Aqwf%MrI=ua<k8>5#xubWnqXklM&A(5%bTk#avNRRDfeGNPh!<af;<5mi zh)3od;IwVP3fX`avH>e*16FJv#PcAY2k|^6PVA<z`2u*e$lVImJpTN6n`XmmM(Za- z(2Pe;&*HvnZPnqF%W2?J`uDyY>PEg7JoZ0;XKLZ?l9<GKqVY>A`(<`vJubn@bx}F3 zr%4!!R@qoB)<(K0ru<-jiwqTijWadR&vLCOk!Tu13x{m){KS$DwllcAe(k1#k~-J) zi=|z!ekT8v_HBwK((V(XfDi?oGGS-!E4@o;;`{<Gy3bz@nmQIJIlfA0;o)0W){L+3 z+R_~}ZP*EJO83QVj!qu=<p){Cpc?m3hFx-_my*Y>Au(DK-BE`l$ctEi{N(wH=s%qK z0cP=PtFu@td!7VQy`$=tw<8_HV5W>3Bt$@h1QIz{2rAu-`2i^yN6CPUT{m`60AtJ- ziuok9CX9hY=m{!f2LI(LL7oj9as(VQkPc+wAbWs=7=WW0$2&?IX7r%8a<}Y-(g;Q4 zzLKS0+xUi&oxJrz_U!F{Lgnnu!WY@Qg`wFSh10)w3x|L16vErL3ReZU3(Zev429t& z;*sIU2K#1cy?wJ?gF>%mEEgn3skc^K_<-Gkt>}l;x~Nsm)M)$CH?GX~_r>G&$Tnhw zeQlGkXLq{bjS|QK@9~PY<ez;DbBAvBdzxLICTt_##N8Qh&q!~7C>8q@apzyXgn$F8 zNaB?Pn{aV}O++H1&IXSz`t3%~*L1&qg-qPpkScZyx=vZ<)q~!l^7Ra;S6iOFVdXv$ zWoA`7mE7LiA{+4{_)N-}t$8qlBDj>JzrsuIM)*a`uhNI1Kc11;B5O?QK13G(_vNFB zVpwDV_;R-A=>8{vs0C7W+6<`*n1o>3AO;tPNfIdxQ3pdvKKp5{SU4nym6yh@#nv+U z%B*4Xm1STaARB^yfVgEQRXT!vP)c96U{Qg81mJ)wB!-NGOk&`HH$f9YT;%yvB*RWD zTHSq$#p_u(;qzkQ?{}9zM^6)tAi2g)lG#8APz`)BcIxYYDUvxzblhYfCUpe;hG=NE zOrCz+^nAeC@j;H8@KLipJ*!991?BC^J?;8D+Vz<${q)`ERr0WR9)I=NVN{9f-Gqys z!^}JKcKeaBhmUX#$QZHdUYrN$n5as1+P2?6F?uM+TK$#XeM<Y)m$$-~w5Su<qNv1# zI9be$<Sti#V~w4#;54xLzz1+jbwDyu1mXyw9QX`?)5e0+##S4fHc!^uW901?x<U7= zBa@hzYpPi~lAVwXWlbtf{BH`70h#e4nZ@-&xI%+HMht|bAlxW~&us#`z<=A|-kaM3 z_6p&wpp<nR0Q0Q7hY|i(E(17Ouj^5AB0r=zBc(NeKWvf%*6zLhOrD&Lxc2X8PfkN? zqoE`5YSE#%deI?G=QMMp4C3kBWBrvjk^8R@5uMm-S0=(WzCR+oDc5~oBvt*xtH3S` z-G{3y7=GqY7I{d8NLnd-90ND0+e+%=ELk-yb6g>o<a3MGRn*%j7TeM|L#=8VJz;e9 zBcjrOStTVZp7EZxV^z6P-!t(WQptWhkp+u}4vy1?4&T(rZOlu(+Tit*W%z8>jRXty z@sxOj!0E@PZS;bW*ZS$Wr7waZdF(0@BP7wSb2vh}j>W%1V!H4U(-?TAK(gaQnc*Zy zEZs2D6pQCTVx;j9hyVAR1YMco4~|%gVWcR37XL$PpaTOp5=?f~mKhFl#PSX!DY5uN zBxVQq-|r~~1d}<TGKh{rNHpeufB4jrsY!R-JU2{I$Ko}Rm@qsfItE@MlsxuGrsm`S z{vxV_#hW8Bb$G~L44iwbP~BN5*il%i>#)%%sfyXoIhut#B<b|Oe-vGB?_z3~hLZ7r zV3DS5uzxyg8@a$Y#v>ivdH6Db`kQgFlsA1vUsk!->$@H50hXmn3$ILQ6)rPq!c|L6 zrdUm86=E4HvvzJp9ZyW}4_hEhZrG-BXf0d$ZH>k5Npw)}$wae{8F**!j90d1H7kc` z1=rC=Q=pi+Y1i&3bswIZPHzmLCVYv1z9%FJT{V@~q5<y5n;&suFcli5$KI0O`Rmu# zuhuTzweY^P*)nk^pFFk^bZ!md;Q#;qFNmzA?s<>=Yw)Zm5RY~O7S>8UY_nk;A&Z%D z&ZaACVrthYE@t0g(Nl0#qY=FFJGU<ODWmr@Kd0^rs_i4<{4Q#;XRG#1zO?FpkFv*H z=lqCYsb|3M6(|&nD4VlQRlZ%IHZ9QM6jI9QEHq|O9qcNzZbORtYC|n9h^oyOn#aZM zo;Ug(_xb79%}}0yn-=>$h0Ef{=?eR?nH!-k4<)|Z(CjtyO-);K4c6SvX!a!B2rd<5 zYyZN?)}Ed6$ZuLzcUCn|+T(10`I@)Ffxtf3Ce3;sX?BX8bUfulvYJXH`A;2?ESt-4 zS}kRGt>!FzSc?@dtl0`r-7<@#ZuY?aYbM85y_-i1zY(f%tLHkF+1Z@J6RO_k={K?0 zzcFL2kEy3TX7v%;hi{6lU)yxvOCc$*?V<PH38DAi3dQUNcgXgK8{Nczi<uk>)Y+zu zKZGS9*+f$fAMieXFirS0^yX7@bc#X0*>&@!*0WpdO5!N!I)-#@y`S`QDi8OtB^nbR zoF1dkZa84k6)w19xXYW+yg#3Ptm*DAxova2r4iH_{q}U_Vo43;B=k%q<g6mf<%#;b ziTjmfTKUT=+EH(!_y2r<%2UuNp*Yd9<n9d;G7dWpZu;39#BUsYs$6qr+Y)b>nGQ*K z9~fnJ@$k00^*YpDaGl2e;kw%<%aj%A{8S|A{Zy)cyi4VezSO>U?G4jJ?PdH<%c%8b zf1!R?${GsquylIw6C1pA<{8QI#<nW1BI9huQgwTaG}0>@TYABN1u{tC!aI1;MR1VP zg<tkOnNOCA43VWE^IBe<fH_~D&~m1l(4~LF5EDEVOuD*%RsM>~m`LVknGoWipAMr} zT)HU!j;a;fFt8FfH!8TLH*Z73Ug{x-NVl9n_%%2+zs^Dw@0|Wb6z`sn{L*&AVBVET zdzPgZ)Saku{Z#UQ;NiM3iLIFu*Ar|hs7lR!%fs_l4O3cKsUwXBPS@)AraMc-qH*hG z)ZH4%?F8*-Ee&38w|IWRR{f^CZpSE2oerMtTgu~un-S+L39oMMdAMjd+bahF)CY#H zGMmLyN~E__-zZGQCDBFG|NaRwyiZF!=8!XJo_nmmdB9nJeVwB2(IWn&<p8%=%Xc%n zW8ds;ND)R5U!pT7P^XY)c{R{tU;ah05Xy7Y%)&$Wk2lH_3mGPE_*(loo`%<!mR&p$ zCk(e9vn{Wf64(P>&zfi~tdvWaBd1V!**7*ZrPMtFmegqv8(Ec-$7q$p#+a27#weAd z$GDWD#^{uP(#9?-#g4HnHH92xKm|K_0yG*ql;Xx%l_JMjlrlqDI;avUC())aJ8j*C z*WWSCktc4yICb`=*+RJ_+zr|@`fnrtZjfwF$U&VTX>Zd?Rpf<*%jQMrd(zBecTTtL z1_lhKsPVdQcp$3ufgwsabPd1wE1s6$I*@UVNTHg4g~ec+@r?uGBAOTVar4cBc$=0S zWYjNfZW)2S_AMo~wKwv5iT<?PdAQX~V_sF76W&dGW9~rnbCa5lth39pWm6~?x*-9( z$Ijsrbhy=KLwt?dK`c*8E%OGMX4?;+Dsg%_ajOV#tWl~Hcx{$v%Yk`239G{*r20Xk z!MeeJ_CX`JS(ravHHUm&GK5sug8H3A{5-}r`zMy%@<kD+oRJ`?tL^bN9LM3yav%{A z^m%1qETf6l+mY@p?Rn=cDLG?sbCB)ugcQ{)d~o<Skmulqk`1%FP(;DPr0~LvO|I)f z3^lavPuEN7tnQN(CFY#njU#UBHqsuNV;g0E`B80O4g<S>eHq0oXD0AYQlCKG#TRn$ z3~Ehr{#@I&q_B+1`(h0AF)qztgij`EPiQtHdmWCFIvj*qA3fs@(5`#3Pc^+9TFTsL zRGr|eJI&oX9$H6(Vq6m$TkN}V;DauXv2YO{GaM?82^F6V8@pVigg1JmI%f%Q7DhXN zhIV>GId+eX*pMkD1?=;RE2fiz*%MEg6g6Q>T*9n25f2h2J{DAYH0!%0yvdm;p@21v zpO5#gqjn5xP<dWZ6(*7_dqHGp_sX-SRhI9BQ6-Rj2#WuJVk1yI_*>r#>`eBg2&Z>} zF0Eew?!8f&J)wjBIeu$*ITuvXgQ``3%6Q+=iy}J~%-;!qo?!P;Fd+jbiYd?Zmm)Lx zz80`-DBqbmdfG8Yb$UqIlpL(OS*Nsb)pQih7Hj%uQb?9MXo2gZ_m9tAa?s{<{b;LB zzZo$Vj6Ua|4T*?@2Tbd&KM6<Qs)uZjyF<e|*M;wT*INE)A@7@CSL!0yPZb1zCR}J* zMG3B(1`bj--T3}g8C;yNS+oAm&>O+Ug!A!fM;ApT_UN8z2i|**_ttJkZ;;*y&O#|* z?aY+%5keO_TN|%_M;_OHUXy~h8wj#D-RfVh0TnHh@I&0w0F~$X{faj^)29@$W^rnf zokphb1jU=r3-*ZMLf?W54F-#U8U_}Up6=Na7wdPzktSHQbuh^YCi%hgXo2O4q6d@A zkMjM~olR-0&;ld+)uFB))0LY;P1?<F&`t#xPn8amkN$jpdYj?hu%g`VX`Z`b8~lUE zQfy@eHuP&_w8J#JY6787Tc2$24v#=UXuRoasJ2<qwoPcCx+pU`tN1^El|PKo8wsSP z>t#=w)(6?D7mn=2Ytsd1;#F9}4%vsh4t`0_vpsrw`hatY51Q^Fkl7s<a#$l5=Y##$ zlMvFNKZoy_*-U`B@AnQi_=O9Y`%jH??+1{V%=(Fn@>MB#*3D2<!<6;R7ge-;&F?yk z^**&$mNU%uN{M&;SxWYQI7^SOPobBwQqRBJh~<hT!iHte>Qc?Af?<zFVOn}pVbBAv zS*^{9r#)YUhm~ekpu{6VL!OwY0ebp7yij5o2x6ZGJk{UPMHdFeKW#wh?}(#)qoL>t zL8xz)z#<%-?IAR(_(gWue`XJzwx{ho!N2H}mp#vgPOF0XPCyoa555V^6{Anfgp%jS z-PIYQaNZJ7OU816RL*jNB&PDG=90DrwNHDQ3>Py5^RVhLs(<g|1>C7cok(;hU%S}K zpFS0^g_hg)_8I=j5d1!)4kHS_i_aFmP+T3Y{prr*h70?`&=TwXy%yh%@XoEaK+D## z(YZb0b+5#;j^i|cs(`S`<>s(sg?IC0)^dWOifw9nMWA@=zKh_Ge+(w`PN|c1cjpwz z(#=N#hVwKd9BvPoJX$gY=jZ}metzLOR$-X9vpg;v*c5tNmc4Xd9oA<FLtBV1|5fH> zZnkPl2r;>3OXHa}StK!+vBx+iI#KpU_UMGC9#1})N<U72V>taw9-X3`@OZoDXGt{y ziwfS0Ne)KAP?@xrrnC!n+5CNRzOV&FN}8hHGc8OJ<j>i^^4iUyo0%nt;eO>sXUE)5 zmitM81&wn;E7Yoj*+jAcUqnLrVb)L-kAT<XM~{o_-o+dBQ;8Pm(wdmSdCJR^cx{i2 zDl>A35$dLYVpwX?;#ZeVOu9JB%YDuImS~_wLW0F<=<*~%TLTf}-ah+*jNyYtv{6s^ zzaXtXd;Q*ApQsE(WQsbhXkMT=Ew219ESq=_Z4?B)XL2^t66}0h)we{M|AE>-c*f@G z&z=Iio!cnpsDvZv`t8lxHHhGW1S%MFd~09efN|Y_ZnJHQSziVN4wZEpQrB|3WUv17 z%unnqiD-i$+_)P2aZ%hm89HGqQMe3)Nm2y0D7^h$JjCImD16|GNzymQa;ae%TRSYg zw-33Tk%Ko<hhap6;~}OOx|R$DRfAQhmofzZw5to3v7<#M<B$k=uI4|Gd9U9`v^Fv1 zRgIi~B;K~YUG}6`|J7<nPN#5iyyWr)QR@LZli%Oi%0DAi!6d~2;<&`&UA$a!OnUHy znC;@$9rCS=Dh3lKC+$EA-;Ru&zE>o+Ow=Wl`*hxU6b&9=+3wofNna~3=b$$+iM$|M ztQkxbNA91-v_`|20v_)_nbr#7VWmDZw%eh9@i}eME>h^>sU>kc$#zb!6?k|v&#mVY z<o!i8^I(;AZx%749mq$OD`<(~74uvqUYKOzohCK&-2*aeiRK@6hqAisM(Bb@EIn?F z(pMk*?Fi3C{#)xaDs204D?X#<>vFJ{?zGXSr+49~HO(4(InpOVqyzQIv{u4aY)i&} z&0Y3`V!h57sY0@JjaAFrpjYg1bVkmE;=A~Wx<6(Oh%s04^H$;k+uGk<^ydR(ho;>2 zw4hiOzvD2e13e<G=dLR;+_RG1vr=9HUin!HAvWx9c7WV+V?lRyn8!M*l)S_qRBPks zX730kM;D<%LJzxN4GJD&x;hNuGCanAqF|2#kjMcE7HB11b{xU?1{8Au!nptDAz&U4 z=5K?8p#MuglHnP=36dKi=?2C8LNoV~?m#gx0eIjYyUlxGOAszbYocu`hIbDY_|qeZ z=#E3mTcZD+hsN_jvB%HQ|Af#YY*4J32;MzM;Ll)iyol;&q)%y8<P<MSbZQ=dpWGrI zBm34;;Oxp8b2(u@EW2GCnWn0CN^_WzkrRK9q%ma-?nAcUz8g1vO6yA5%P+|54ulWB zi@!OU;5q*SVYwM%`&J^?rI(3FCIwGXsKa8&(0BE^1HZ%G#a~m6sUR~VqN)5w!zFrR ztKOyyx=^d~J1n0}^wr*@Af>K<ohtYw)a~7NSk~KgUZBMLjWs;pR6)5U*H4b$gYcYd z(pI?=I6Ug_8su`;B7X4f+#1_)lNdbkKs5%^bQmsmu#=vLUtH%RXCFq14lz^;WaIro z*A9UtiQF2<RKq4_-Tr9jj?Kp3Mnfh)OC7{#<Ewt{cE?D`8~zT=&Boh*p&@y&Bu>_H zsRPa4KGHgPvKYaWMaErA<G0&1hhpvt!ga1CtHSz70*TILKN~yarQ~^S?cDuAbv3B| z0jjOQ0%?H<HtMoT5-0m{LLbTX>CX?K%lh<bC~4_|P^&3n{$JX;l84+3jc6r$voIwp zGmH|4S+de)GmYPKqEHNfT|xA(FTU7dS+u^tK_Aj{iC?18+5LW!8NZ#o^noawE8eA6 z50-XbMJMfw%AD~lHhaO3+%W>Rmgof)=+_$pA4?JhYIV>H;^+s_+DyuP(CzO6e>Kn# z7|?k&SH%mq9iU&6a~{k;VoDW-Zr_OV8NC>g_|j7Q&Iuy7-DLBB>ENT7g2TA%J~&!i z79@@I7g1>Kri7=5!P$L0f^nw;(AVMFJ;i9bD(LSqaHoZ3<5STP6f|i})XqIR8{g0p z@_UcQ<=wjXv>TeE<5Dy$_l|5I=^6VOs*i*NYX|$%c49EUF4H;fRN%317~y=!4x5;b zf0K>R$i~NH<L@4SuqgEyk|?GilE$VnmhUH?ReqX7OY);dvd|DKJdIFb*?UKNp->UT zEBZuJZ8og+UcCU$jMr`<^jqV-(9S2;ml9|3n%oN$y)p9j+q@p1>@?=&`a>L@N%V;Z zo!7D9uXWJYQ89vl%4Xh|g)o(LH^o3KW3S0giKGAhsX0A=f<A}GC*<N2t7YYdcP2<) zgyG$v%uOeP@QIsto0?FJEHtSe(MJ+RL;PS#^@e>UCp1LyQPRpSJ8W4tepE2hGxqa< zG1^*u(=3JELD;S|S)kiN(9H||^8nlVmI5tT8hgzzEW2k}Fu+1+u`DmUha0U@2b=MK z#$+={3Kt5046E*s`&KsCVtn9xQC6^S`@k00H$Tn`OWAub;x@sC{d}MX5+}UNBq{n~ z{B(U_np!Cv_UO3lz8CAwt5}1dq4&K$RlDMJ7};osZ_j+?ReWgnc+OsLZYz%DQ}RST zZJC2bQZ*sWv6Ud$<3@L7Q^a*OCTHF6zE`ccFW75;o&izY5O*Bwz!W0lrbCN(S8p*8 zszAyq`JtXh<`6wqeht5d_;dNah`J6PVk9u6zFGS2{dgRiPyBUPL`=@EBA#6H3W`IY zaFO=RH3X51XI`7sC5%j1`W7b=LrcZ|cs;*Az9TWmKOEviL?kBpmhC7vg-Sj$VWs>% zaDA?SDu~Ht3|q~dHje2SPWm;K#JhZ1>9NK65=N|ZM<hwNg{U#WSo8Kc(Lplwn}fSK zPG?t!Puv6kEyfeU%_okEEQ#@a$t_Uo+VwpqHS6}(!?S-MCwCI^CTiRZE8EWOIV_II zX&1bNNwun~8>7;Dp#epvYvD%&^ed){O!{PhB9rt)JYm%0+hfI#;s(qHWNBW|KHLZG zLzu{p*Chn#Ft8^TemH#>A;gSt2*}Zc921ss<1%824%BFZ+AOe-xwTKd46>lg6gSgq z25oTCV7)yDU1~6i|CaW$#y3=Cr;-M-<iv#~jNSoN*5H8QF*y@+V9yOOK3lNVZs2Ku zlL$gDeL;QY^U772`?n6=TXz?yoYb<o+?amMzOTNSH&us+HSeK~-|(QZiDCtNj7#AX zb7F3c(b~6lX7?Xn-%`UOIu*fH%+7q_p+&fJv13UJd`P^fHx9kL#S1Ix2^FbPxdD<Y zAZZ4YLotGb5?~@rBi>9aw9`oC;py*x)LZmm*PGUrYUpKuXo>D0g7>?6s-CS^p#G2k zL=WzoANp9ZU6%&o9w3Os?}UP5u;J6|`n!2yKLT);YUx+84@MK}nGS!(<ctQA412)? zyx2%erP{`W>pjtk_qC)$EOA}O5)^MC3s9~&eX;|XC<V(&4_1kb15Ah@3-%&^_3r;W zdR_O}yFh@@6Qasu!B;=ylCp1pEUR-YcE}(UIQfe+Emk26^@5(Pt+a7eE--E*u&5#o z-IIsb_F!!4dMb~ePO0>W;ddTxiY!Fq>jOS2^#^pDS4IY+-&o%4aZ3+RxG*MkF!Rw; zHeEI5KncdQ?gxHg#Qr>R#xc!*=V7IKt{@~W?rhm)R`2fpdLbT9TK^%pe=zgvmG^w4 z>I<4-!59V&FD$AgJ2qN?rIU8}<D@*V;)bGWWlYa~uNhRV+~P&as)W#$pJbuTGq0IF za`yZ%I$~a%Z%|4J)^6L-eSAYGaQ+crla!$@Xh+hL3Q<{6D4~fgbFl({-}>w&8g!wM zc43-8fiE_D={CA>^7GS^`0S-Y0mCLxke|I&B{;4VC!lP?v~?ZYsUaXy8Y90IDlqpJ zjqZSIa|_@0`J7FPMWeMI+4G2f^hpz#lNC4#f|$R3@o~=<7A7jlMsKPh!c_UwOv>?N z&9fnW_l@s4^Gq*bRQMNvFoxSNU^ftL@sF@!q7|e|rsw~#Rep|Fb%d6v4fR>Hd>3rJ z{{j}7(`vMRB=~ENZd7?hJU{xEnex=&#Rb(vsX6+$N9FVMF0j5X*2;sL=)-fUM~cWj zXT=cFoVY8dLQWOg^SdvSN2_9m>NMui$L{Zo`K#U^%AwXxnQYd7z6*Aj`X9cg9uzdJ zn*9P@`(7pR*X#xCtFYk5z}p3~x<mTpL2TOE&N8I^cxq4u^<lg;dWwuRw|K~X`NVYd z?z-mYjVU^;7>@u0ih2>xZ}xyc`t{#1rQZ*QH*NR+dTm}u-JG;^KzXMrO>lh?(siYt z!!wWb9`v4h?%B$Jo-&MOo$QLQ{SDlk-?NQw9Xv)aIqW`H9m2#IS;l=>kIE*tyi}xu zu6WBz6sN`huSqBaO$5|LS=>lLV+b@7L%C}0-*bpkFJMJ>ZSh77U`oVp=R-Cz3vJYo z$HoXc+DQit@wMKE7BxX5ymkAQ$dWsfp<VV!dQ+1V!@ebdJ7`fJXd6D4``+(ca)TCi z2o#@gy5tby`5(FpSbngv{E(F{UVB^h<p4xLyT8w$hS7jqn0i_zOg*zwcs-?3Sp9gw zxzF{)fV)qBzsk)f9uTT(7|_4z8`ZSk-j8LaY6j4@_vkz>vTl>1`?J64nx~5oYjMJb zH9O&{TZ-}2&BeH*e-=^vQL`w{ZyY-QJ!e{PsL%DTqn+H<N+~mN=GE>X&H?$k7qB*P z%S=B5u@snRs+8iSPcHs;weo_QtYgm6TY;%PK?+OW{$exmip5F7@4R;^jAt<ID`u|D zHkEdSR+$X-IqiHG9N~BY`yt&HZ{`pxT08)jpqQ<)+(gyUf389utY#ZnNlmblkzgg0 zLqv-$SSrg$!1t>_$YpK@D2$2L!@Tpd`?y+1L#s|B{mMsAr<F%U6Tpke;jy1gkgsR( z@U~py^cw!B0WIQznw&iATM|MWvBeJ7^d$dDG1Ii54ajt)feC&TgSfb+J0-lX3#p$7 zpf_h)$^%b|e@l$~n4lxgPVIO3ytNmmUW#F&A9v}KXX`EEXHBykOIh-W_Z)Q=G~K+F ze=>S8+YB4dwH43k`Gv0XdGfjZO!qMu>f7pi0UP3KqcNU8v&Ne3u@$(jPFAzkO^c%! z4$opBc{kyWiAj*XtnOW*T)fonx!FLp)B*UA0Y^h*e_=^ue0J_d*?92rqY9REtlvj6 zMnjrnrD`<#NIFo=BsA&RqK`C)hV<v+6q8@7ILBq<c?997;8Tn#6mvrm?i?dk11cDy zm}LQY8b+!{MglH|kvhoC#z%vuLd0&98Hx!PfQ!XT)ja4U<$-s>I4OC9KGL`Ef=vgY zm3V|Tf4ha>REEZrVc26e^gn%QJSDDc{I;I^*to-IAH`m>=-N-aA@n4)>8#qI;04T1 z=$QMTPRV;wcpA8n#Lk1d<G>l6_``<o)c16z?@~mUe~kx<yYKxU8}A;^^!xvhC#U3; zl=CsC<gm~}W{QwgLPd^6C@a=f+nhxXQNt>ye<4YTNM2!K%y~IhA{m=3<Tzt(W9IjK zf4=|zZnr&e*Y$i{_v?CI*Y$i{kH`If+ihzMZtItsEuOomk!tXFIO_rJ1#tB7A62H8 zS(Zr9=z81Q%zKvX8tVMd!wX6dxTb;6Xbi<<+LHaDd<6YbduD;<gS7g#{$uS;Ev0(8 ze=4Niv&Exj2Gkt6ZwA@C^EOTrSrNGlU3q5T@6G*4!A@7*X_sulPJJX${_Z}vm|l&2 zX;Hqin`=?7!~+xtj}9n|crKtYz5@z_+|0_?Va_b@tq2Pr{zh}Gr#EQ2)JiRX@D3!d zbe}Rmedjj9_vbenFc*@@4JWL0&uaoee~ojVlP^19v4DigK4pF!z`y|v3}~-QHE<#5 ze&;GXU?YH6cIg}KRy|GCp+HF^*ve&P;JyR4tP{`_z@9xj;8UQa(g?^Cpz8-<_)?&| zP3N9_0Yp?M%J4_J%6VHQ=LfIz5gJ9Ch){ubt<ZhzIu*jIY88U(>J@zJ8ajzcf2Ya8 z7pKtAqt0ZSYYsDIb$iSK_U>jSNr^mZ<WhS`=d<s(8AFF?3$4}Ftp8Xq-n4<VR^Mm; zhwNCtimK|<;;gb-j&7CZ>}O?1p53!OJnN5mxM;7byrv!+8PTHIGo5q9)6tz<j1!!b zbWD%yJUp~C<F5M`qjjz}NB19If3rV=l)v#a$k^rTz~x=*Z!{#}vM*0@p1vV{%F&*1 zDDVZ{MkKu<J<ZXM&pO2^dqXPGbm8gWMquBNh5$dmP(VI$8&UX%v^opOj4GotxX=wx z4pi{%(Tf@@C-&OkknZF6t`$Jy9SR^R<}r{55~`g_(C24TU0XEP5x-f_e>Dfb=jg}3 zxXCHh9H0bW)c$&<1a40Yb}|FqW{7c~Tet(IB*Ou@0vwFV?hy>nP{jCdH~Hr0G=op= z={}CCMmnt2(_6=`Qf?oce>t2XJ#@&Z@8L!F+cHb-pRb<nCjY#4FF*8V_|2zz8qQCC z3hrF_cJpmoIqCasa`h%Cf4*-$y**po_0RU^I@^+?3bi^Ta2s(etN@aErVC^2@y&1R z-VH$7yASpqbv07;Jl50d<M;&Kl%qR8?O2|N)GG=k)Hpxkyo%AC$1p1LB2Hul78{zP z^DL@g2KwtpJV943>HhxfK4|*K!N}_91tUqX3pv5#M>b!W_iNBwe~ioXJY6o<>q$8o zjpXU|oUTsOoG{~vLtImiY`!xmb(Mx*G>Z2=-4hf173gB?KIkda+F?30j4(?7hw(Zb zs~zaDHJPUMb>Y~{^CddDXJbv%y?(Da8A|NFODiAQ>3{qx_1MeLD#t>Rlp-g?eb3J8 z+ge%=&l411;#8HMe|l}BpW}YS_UW5v?95z8guu@swBhOqJNONRH{1xJ0KbPg+<d-U z+eiGGMy+N^WVs&9QJHbgv-ji0KiZg1EtqUQSg$1j<csd#b}#fjRHv>~f1iEQylqF~ zCPISM)-2^T3(EE&BM;cu_|RX+yZQ>B4!pf%!%z+XoE?w!f5?pKb!kg0r%N7dY;1h; z^VdWHPNKc(xVb#pEYR->l;_?2?4&t=?~#p>bwayo;Pm4Pjd2c2J+PuqF(*D!r&41) zAN6I=Z!H=_dQ2pyJvgv!TT{KEY2LI0y@`;5s|6P22$MAKt$J^>4pxjt=GfarsMbeJ zSKF%aw~3=`e|8R?>;6|bFSVRTQ^R!SRckyXXsgs?7gV$Rf(F4-78cUj-M3NQpUp5k zuvCQs+u>z`aG|dl`BY$0<__t_tEQ~okKWxEr)ATA-sW<pEXqqjffcMxyOqoF#c2<6 ziq#hGQDRqFw(y3u2Nf!5UiM2ydkSQvzWw2cFXKnmf7um&iNo>PAEx$fk4=OVKUnRA z&t_N*%n!5(<`&h=AkawSs(zv2x)(eY-zxe#noId>*IcAE@W(G}mciXy)NEu4i+}aI z_57Eg+5ULAW@$^7R!`<C<gek)TmxgDC;5Lk>C=xDkB$2^8v%P)_`ixdQ1Ll7AGDU~ zg)D7)f4=P}wQNXMs9)>63sml>0mh*2&!R;DbWsVgu6~5fC<3LjR7PONn-;iV_v@*^ zzlOdbAJX(k?u<0h^a<#=^#te`3UsvmUq_YtHS4Cd2eO~-YFb9M7@!BTCte+^z3@J* ztTL^viu6Vs;R^O&Q=sbg{~*5Te?dG-deQNRe{`ke=$p$jj`pP~%~b`ocX9z&zC`f# zc_#Ur_vlBPBWxG1xF7y3T6dmS=1&un`v=8CQG9q6lseuJ1;tCCR5*@wU&LLy62gEU zEw$|LjPYUxdUt=8_0Sk^lRI3$*0T&)TV;UCO4<Yc5Zf9jBa^_Qba|;|yH>?|iEaz2 ze~g3t8smv_dgVJ+<ZAz8MJeZur-jbfd_U>^mUKP;T^+ETliI-kl>#dk%kV<ZJ_Qz; zVh>o40oH85nhET~4PRg%<}}9r@(uyJ(+xnhZ2`#tHeMHiw8{fkpZ`^q9V%leww3C> ze$5~63!ZS0Ep=Rr{|7p7WoUO{@6n}Cf8=-`a5Gr+)2~0n90z(JvG>S4Qx!el-2d#W zCt~=>zKQH|$c16aw(uvxqt(DkpKz?#pXwe7U1Yk`N|rCw|GqW9aI$yoVtov`f8@p@ z)1$_#@U2&I`0-`y<2}a6BvIcRHlH&bLOwN@gMWJyG;@3F*cW~0JZbKuO=&w^e{bJk z2dkK>8V>`}Nyt^yiuS!dSQ|El*PvPcKx+AT%({ns?;Y%$|B8JNZ-+PpQqnAZHNz44 zW@8b-wQ+_%!;(@K>1{^95nSc9b*^taG*<U~ylS|iD;3oEB*<T@D95#%4}|&occWa& zLW_5;`(k?hiRtH<p5WR{FV<qle+BCoQK24Zy%wC~@`HZq-n&w;z<B0#<7>%Y!-zvb z&9|Cd<UQXPJW?B1cJFoB_r5bmsK)(ThTP-Xo1GX0ig?AzzxnlpFuzy#gEyef^X5%W zzSKDynHnT(7AsCW$C@^{n?KOO>AyzK1z|TIZ8rT_SS`9a677^@84=-ge{!vBB6GTA z8ywX4;X2j6m)-NIIX`lp_%2QPTl(MQ%DIX+YyW9mC2S0V;Pt^Dk`+&`oT_6*(d%dr zsFY*><o}q^DsDs|?N>~S+MU8CLAU1<-r$mG&^73PD~ZvX@}BA5-e;V5ZIM<!?sHIw zy$a38o=?3$pP_kF?I@jKe}1CQeS9eJy78^j3&S3x{3iQ;N^{-7OT!+J@yK4<qToi# zj9*sf;p>e~mnQF_uYDHH8LEvr;$y4-pxW%2UOeQ-+9$iO0dtC;)PIC*p>UUQzHp~- ziSR#)-qh-zwKmYhI_z`DP|9Ez`*a{{W{zE5iagXZk)xD4WB7Hge+a9!qK_|&ENT@{ z#wltw3Fm4w@#ks<%;D~g)%d1YUc>)(Ye4k84*6qjH}oYqt>A@|Dp=1`JM3!heE?7e z0CE6;E^v|D^yW?hC7$Gm(|A_!-seQ)nh8Q@;Y(Sg<(sG8oFdtbTx?Y6!dltY-oLLK zuWH*4t^IjYFg<Vtf83I59`+FAG`8YDUOCxz$E_iHvMhv!I1d2Q0e~U^00Dq_0FVd( z9sz*IuR~Y|?T6Nm0suV)m&%xkPu?O;N5a*~zKyR><M(z_7w%tde6bP6svX|dQ7vxq z;sml3f+S2Gin?_E_m%0+b*`3+(dTNfitxa?&l$?g>i^zXe|WX}8+%3^u11yp?1J?W z{B*|GqZn6E;(mtknf33!xay~`PkX`~4Nhk%w4DeW2$-uh$Y7R*nAT<%e7b$Y>8@qf zbckESi3epNWlQIW-i<vgxKec#T<vZd_V2yJn4#U%%E^~0Uz3qL0R;0c3}NZd@0Ow; zHTL!Am)({Sf6Mo*Yx;ESRdl3l?4{~e9(P9_IkN1=F7d`7;i*g0V-}Zn+*)-&4`2D- zYgKzV$5*d7;^_BJeIy~E87x>BT#%ufb<lRm_<UK2e4yLZ=biII(C*;(;`T$vt!ghz z1<?vy7sgh)z-|p<tFJ@k{f`WcLc;#h!Wj4AK2;M^f9`$nUtc|b_h#{b&pD&s^A0<e z1oW>dvcdTEkw3xnGmv^A)1Yg3tq0f4AL~V)_@O{fI@VGWu`^AzAR!aiwJ9Qm)EHql zl(9;+=x=%yAzMf<{h2IWMM*J2lK#Y9xYH?&7^a<GYBS=^oQI9Ny)2aY*k*NnYKNa@ zPp2;ge^<8$G;SAv{K3k4PiVQr#0*^B%L9rpSNGEHFeQPztmgr;lS|P9{|LT~tl)2- z{+{^rRX5CMd&u2;`ljN#{uJBb<5O17U9R_JZyok7H)^ssBI_>K4k!TQRiFTj58KCn zTgG2(+_LCror||_WLfNf6y0^0Tv|V7wQpB<fAZhDw$*{%+x7ccS*nkCH9r%hNs>14 zb~VJ<uK?klVoY};MJT%CREgQC#}T`V3(nzypE*Yb1d4wSl3-mZ>Z&kGb*=DD@|W99 z^GdSsQGjTVQ}5Laxu$_p?(?+GK=EQ}82!0dQU%a7HzGj=LvXjm{d4i#Ib&Pb>xH^* zf07iBInAoo3)KNVY+jBf8mp#cfKgX!i2Le=KpEgg(D<u5ucXpI@$Gal%AkNAzNgwK zWC^MlvOWC%+~0xs#M|{k?df1Pn5gjl5bTn3%{jMvp`N`eFiLcsx_TK#*L%X65P+R@ zTj?;X>LCTn`)j!Ntc{y~RmeO7I|;1Re`~V0;>&4X*`2w$V8wW+@fEi~`M5&^C(qsK zoC>szV}O^S-$18t;>H$lR82bs3cu|q=UrG^hW3IsZ+slY-i{9uCl?~AjBkjD8J=eJ zX1Bst)x+5@TkjukeBq|(ZTh?e%Xx`MrbO&iQ~79oR8LY}f^c?#5KD(8+-@Bwf5Of2 zO2YIgv|fxo)GPMV$?AL!(O!un86@N=TpI8ijIw`O_?M=R>W^Y9Qy<VKI$)$EA|?HW zm)6z5@}H@oZs?%&&PmUeE~R=&N?I)2d!@@WP?d0G=Y!o!SD0>3exNE;y*+WF)vcy` z;Xlc)(s)&{PyM6qON)v(CyTVSe^|9%nV9~rlRO{Y>B&ITkhE#G*Gg|py`pG$xc-rr zjpCnASv}z~!1=SOSIhuxGA;Gz^?NG<^`O#v^_N$=jO)b)dbDc}!RY8yrHnQ~)RmuC z2$bFcskm#+?H{VSdx7FYS9aF-k{#N^;&+)Am`(-A%P{e{J|&*z4+Ti#f78G`uO!oM z1u<FJN$VQog?gc~n-?#tSv^}&I&u0&>(At`fzrKcE^>vp#Q%Nqo!0-<>=U+?ppV|U zsY})xzh3B-l=QK6?fEZD&GGB0UP-Hg;*C$hKaY)5L+gchdKB&)hJDcapW6%oj)~9S zkZji<zm{a3KK^R(&o~uXe=iiD1~$W97k5ktUyy=j>5spnS$z_czAmo));r1M_>75& zss76kDcV5`Q64KDck0DTE<BC1D^A|<aF6i1-2LH=+=M4<(g`65|CKlW;eu*|qKy6P zhh4|~2K9UIP0qM(M?Ki%X>&Xm7j8ydX)t%I$2Qj8De{3KSB5jLe^e=&R3jY!C6@)d zE_DwU<7AxNK_OQclS?GmN;cAd4P^(>C>^1}!~dmC`feL+>zL|mFSOHAdT!LK+Z%%B z9CE!d3-x!}vOeG0P1M`7f5((dcwO@6t{_`ELa$>z%7?GmVNJN$aZR*%Ef+!u+*u}_ znKx%3*6WNtT%b2Te<%IdvGq^x#mtiITb9N>cgzPas64s>Ce^h}tpc6~?oT5AASIEr zl^AD{H&eLpTBcP@qTQh(|K9ixt~gBQO=5kG8g$HqLR=BR>@TP1TUIFn09^nOIJiPO z3N#)KWMDjc28)lR=WAWloqh^>(~|u(DCP5<s8OLpeo%<bf5D!bBMp6b{00u2EW4S7 zjB6kVYwU~ju3=h@8dNxeA(o83!Kfo?`OM>T0N_-}c$pUf*Z=^B0RRcObb(7ND8$mS zXE5sdGXN;<R2kF$qg|gIaOMC=geASEM_!1yujNnL9pN$mlLh^Cgru8uFE1%UGt6U7 z|A>OjNd%-bf4$VkgG#+HtnR2*(D)pGJ~pE#T>o&(M(NL|nASCksB|XV>}ynVaP8^m z+oHWSc0ooy1sX;^ANhgDy8!e2{n7U{_San%ljX0#Ea%<<GwcPLo&rrvz!J^}Jv372 z9*jy36j}ebmss2V9ly6_aR|peo^{Lei+{M~@DrvPfAC=6N6h0o<7Js%m~VlWUw9tN zMsnkGpDr)oljw<F3-o-n_ZH)oS@K4v=}U$EX>35>TH~otdb4+|eJ11Tv}O65(kGh; zpPu9gFUmBCe!sT#F=;G7r)oeYQ2uk@pmFPom!(BD-`@v?$P1+f-%>RhIC(N;d|m-@ zkM&~Lf2cvkubiOcIE~EwTZnXC>DU->a(dsroa>boyy&R!y6TAQdtqJ@CQh)H+0KdB z$)%2vU>$m-fP{9ncnSZD{v!VB53i^HOsxBJINruTaif}4-2v-X(4P<Tv<cAiOAiJP zoh;>bgrCj%m&L`jr?uwR{-SF(JR$Be4s0S#e@YZc6bU*#`yuKwRGIpHrWu0G4*DIU zfqccXqI8^BLMYIrAw#{*5SrM^Hg54>>1YcSzM7%zrS*_1^z2&d!e71M`1d~(TgqwS znst5bxIpn*X&4;il{6D59)1)C2V%ukj{;eJoO-cd2$>FUcC8`q28ycyvFnvrQfjvX ze?)(rDpfCpc>+c`*AVCG|Ca-qxt*}&_N=w8g>SK5*H%lE{ruEN@b7+=s0R7@t-3y| z_y3RO<ri?l&ky@yYfjj-8k)DU+%0kEki`ce=QITh6R;e-!%D{pP?NQwCwV2P_N3IX z+GXo*+<gs}GuYe&SM_8EiuaNZL+oD8e@g|5r#u16ft+aYsyUHB@tH%glfYaK0U0s_ zEC<B68m}Z`ptxPn;h9zay`2bm&7WD^bW?qXj&~9w*C*)>qVaKsL8uR^01+mB;t9A? zZTwZMSCSsD3cQH<9c%sx^_|)?o4Oh<+@rwNet^DMLsW(O{{~TB-V&cV0;9jAe|Wp8 zn)E0*ABLUusUeD04g8H0Q?alO+wiOUiVu{YPjmUHe)`6{8Va^Y`;KhkNtZjF7wbhL zGQfFS%vkRwUXcI{?pQ<oyLU(2AK$Go_z0X=6ej-d_ZO<LY(MLR+Uo2S;ZSRC>%P_! zh-xbqBH3C9k!?-lLQ%OtlSjI>f0c3uV)G!vbF6HueX55F=~ed+H3Dnnd?XKQ#6L-m z&;ap8XoG|z)Is|rj>Yfi<#UbLIt+WNDoOQG)00>)(7zi+KT|&eX-{T{p8YdbvIojl z`!^d6q{sAF_SKaxyLw5*H?$e)fe+UDUAcks<7vUDfVUm{>qQ3MaG{4_e;+Q@5E1o4 zC23$UV7u$RlC%QFp+{l#e6OT(pe75WKlVy`5h#8&9qa|L#iw3LpdN)7t#K->UWn3t z_yi?lLNwUNUkws~DyI9^i>!O@gxaet^s^=3?Q%ByoZb`n)zsF#t*!lgGWC18chb4M z4g260p6#7Zqk56P9&OyAe?qwX{DOQve?^Z3_r$+hWW8iXKffOf;4Iu@kq<Cq6u?Jy zZcbWi4{Y4{za)oyuEoe2Thpx<0^X_;umr%d{p*EVx)qdUVIP3k_|>bkWfz1L=Y5gj zzKE4WrGxu(Z;5{i2p9hbRMp1SVjqDkPmI6%=#}IcDE^M?0UMR=f0+US&NA0i?poF~ zm+|tyV$^s}<M*Q{Zm(ay$8cf~j8u5@Bfrmj;=jV}?sTfgwcRf%J_O4$3YXRPBQF{2 zhBI?dhd;<S4}YlUL0&nV)I6CO`SJ9g_QO|k<dqI|J-_%|i16?>dcS2Xx#nC-b4<iJ z@)FdATldVIXLx#cfACN6zi&W-7po!uybR0Y^VGI^2avi94;jL0#G~)o6$vI;IxUa0 zAR@SYh!pN6L<RTujX~Bxx5CXbC|}UGfj`6k-(-5q1BG)cF<oqDE$Nzb<IM)9*M2uX z@XVYVkF_N?t{uEL^?9@R?((p7(|ZdfU|0eS)jfBYYZaQ_f44XShA)6Yujf4kkySD0 zT6whTZYzr1xKS6ZxaZiofpf2&?DyD!fPXiZ%f9$XI@$!*vOp{JPGnB~^VbibiY7GQ zUFXa-w#lXehLeDy6fis{Ma*%Qd+%0*tmA~ER-5ncX!WmK*GZd-W;qx0?S26^>IlPm zk@-7B+_85^f8qI)Lhx~AQ`jZ#@bOo7fUUweUrS<a{2xCr?DR<1$xzPIgt(!&6tjVo zrN68!=FMuoe~Ul1um22v`T285x6*K4n0RqV=E${gr>lLn?lye!11b=$yDo!1Kqbal zC*%2lo&n8o?mBXuyt9w$-+t_$z%}!?d6pwGuBILNe<^+JzGnER8S9tSX8oaA;OQav z8iWjj)5S<iw~m^^4rLaC4|ESHoO)UaZax7_(hZp8X0u;rF97?B0z9w%Z;CaqeNh_{ z2Y6fdE%6h+;o`<m!2SB;Y94^&>5jkp?3EN2C>{nl9$oVZt7`1`P_k^Q>ci#M#5!B< z6;hz|e~Bk9m3rV`X<Yr@+~epCeH%O0I+aln4Bzw8HgDTm(KavIu{WK2SUbDjTm-u% zZJP1bFkGgr?arKXe|u7$b_pL6Q^;J@9WN^!iw&(>uXSgcrZX3{#)I;_FwZ_V{r9wx zDR*ML%!6Fhr+Cj|0RHsnQx)UTw<O=C!+Y2Uf3Xq2sF$-iFU)3c?nVQ^yX=mFd^x>G zLH?Y<W=6ipd;2%X{)PE}IoW*5T)@yt;?Y1hKlLCjgz8SK?$GLqsyVdmmLBDisjH!N z`C3gMqFzOJtnhja=koGbg+24+2v0rwb%YZgMw6kQqs>zj@9p?<3}|Lsp262zCw=4= zfBCzIx1=mKU;oUP?H(o@Je)VIH$grrLfftk4g`j7XB43wR-CQsO=5Rp9k6J>FYBl4 zO)yW2uIW9TSFAT_8aT3OATxhMx5uKplYFA_k5s(@EA1}c^UgqQpwF7@6eHp1OW~e( z5Y2xyqk2tDhBz9K=GZ{X;={`u|9N3Tf4id~09`b%R~hM(K0)!x`~bfIu>2-5zS)q@ zTE<=m^OpBU$u*4jQR(-6Cvm|j4W_=0%;2p4!>&%w&Eb5hHTW|x%u=9f*pul>t<4XF zpHHKJn~a`j_ZoZUdJ}Me*EH+5rZ<LU+-K*w>vCjy<E1{)<L2#RfRq7Ls3{n)e+2qu zaiylutX?IkCn{5B*~qb`4_>bl@q{U-J|5%_nVtS8bN&39RXcja>Ry-i>L7e4`EfPo zsO+7y=_8xIHJF-8Nv_%RaA$Cgrln=bIr%s-WiAA750x|a?^vT&_ggj`t9d>z2fv3v z(m~~H!5h>dS}k>sW=NH$4O6kSe~VNP+B52Rnglg~v`W-sxBN<sFN+grBN;!aCbUMX zRsY=%Qp_C~{o2y|j)i^$;sVK_!$FCngtLB@^gSzx`XBAMnX>u*i>?w$CRsMrOq!aR zwE4ba=WroIhwy{L)`Ww&7i0n4Q?eYch<pH-L_UPWlK0^<d{uFUzLL09e_vT#uCFi- z6QgBjg+JZA4=>ZKhSzRBgcoW)i9geP057@}CQO+M8%5?+KSE|#Cm^wUNg0g#7ah@+ zo&xJy0emy|wfkmV4JDyx4TYf>3`L>m4f&zYhT>3bLqX^gOb$#b08@^VpO6K~FUj)c z6tV~;n=A!MB=bRv$tsX%e`E>B%NTK#7$=N=3*kdQj!>juK)~n+5PEbt;Jk`}^WFfQ zx0GgvI$$moSEM8uVDl`Y?q9DYx4<-xN}ORQ3Zv(QRTiJBvadueb?~=}2(<qmQe+3W z*C$M26%(hj6(8+j6%zuEO$f6zCiq!e6N0P_MOn5j;{{c={}XWpe+x^r$bmhw$bu!5 zXm|=+In@fqrT8A@p28nw|L><<SeheMr~fDsgRR?VWmmf|?zyiD_W)jreFJfgZbLJs z3X{r-l37lP>pHmtNbQOQi)<{BmF<+Ut|co>u`v`P6#5>C$u*s&TC^n!Q_dL*5Q=;c z#T1$fQhnNV_p!7WfA}fZ!=i+IoN$b^xhdNYVMrIJ;i$_b^NtD5iU?sm)Ey2&T!p(L zWZ;sB5I7Q{4L3#D!4q(N<V>83(y<DDVbX1)6hZ->hZA_lVOfdJ*wpH1b(m&nB&`Ds z9;qcOh}4kfL+Z#1vD9SuvovJ|SjW1;jx@i-sm3UqtFogIfBx`LgbG|17+x3{-X0j< z2_Xd6Lqx%K5NCi#$tY=7@TK-UP)~AVLRuqek<{ZHeKR{AzmiS~pQ+BCM7SeQoSezk zYZm03YL?&}X*T3&Hmh(1nxP!CW<HKwvpPo>uEB7F2Qj4J(u^DMy9{;u3tAFzPJ}y- z_U{lqvsj6Ge>tp2dfBW5JuEV*It!Utor8Q-osCSW#x^AlWH%)aV4EJnvYHZL*^zUf z$!B@$AnSeT!&{(hU4<tJ2bd*9Tar~`Ms~b_ENg_{@ElJC=ggD9S@UFkb6TZ*pS1G% zrnRd0=Cw-r7PQLvzQl>vHGJChL+u9lOP9v?OzeSse?!Z7N6joaPH+i^0{kK)3~tCc z0S{+5!c`cu)K*$O^%K`GsAIXmjA(_mf7HY|Bs6m|jvpYMVyzjFBLMLfXiepwLDdB; z(@p*7D5l)iLaybp%*nHH;;k6Sq1F=a3AP&gBq}4oWCOR#-N7tCsO#VxgLbNih^evh zl3YEGe>{Dic8>Z!ZnY<}ku@PT!YPc8f3<fZ*0?v`sZEvLOldedZ}+T9fRGB22#Wii z$D;;t{WFa)h(Y+GMP<7fbg9dboh4aC{Z0T$LLJsbp|cSW9$`4qx2USSZN_v-9#oMX z9ls~eRpuDbquO0(Kl!b~RMxvD#wr2_54QK+e{fc`3OM<8A3!@|293TGRkJC4Af0wm zf4gEnf5&1GUM578TNSY{!PQ}Oy^oC4@$b6Bo0*hrNDi7$ki{-MlFeR7wA$D)R+$<f zx!1~brU}Yn^IH$$vbhJ@X{Y428DL1l0_S<qOjn+j*?cTro*_&XQ`%HFv*c*N&oKIc zf57J2al1dG!-3XA-HaL5qDInOiJ>GXqM&)4yqOi++)NKGXeP>ughLSyTm$5G3?HFu zL4v*t#Uw;*nhVBMkASE%(20bI4YY7f!^k;mUo3P#W!dBi+o+_W1H<MD#^w1cbAd?5 zK8J9j^V7VkJETv*v8@vTA_Sk6L#=4se<NQ>a;mQ?_ZXg!Er_t8Z_@0j3;j=tBRPc$ zCF%i!aRuZf+#`5-_5;K|?qTx-YxWX}0UD{qB$5<e4RHjLrNrOv_$)~{t1u~GqisKZ zfRMUxZ9Q6)dXF|vEhWhEsr-&ULaiqt`Bdhj6{ufn52<bh;r%Lqqcx~fgwXvee{0dY zRMY;T4lC4psu*wof@kPrSB$F=WSSM$AsxdL#ONS}T{T$yT(wvN?JjGAaTzfNY!}7@ zsxEDrh$WdO=wwF-xN1@a{4WsXxrQ7Wx&>{9DoFDoZjzc37qTOSN3<w>#Wn;{NJfk) zN}HoX7h7+E&)qgz;I)lfmBp#De`D7tPtGeo!>evbkEsfP)U5>ko#W(M)3^uN(u^`H zhJ;D9I%Xxo%i;>K`5D;Sd9-qTx589+311?i*Yp-~%A6-pXVF55l<X4zc&9j()@<&6 z6hFt79)(b)pFsrBy%AP)Q(A6E0Cp#-DLdd{++ZDN*e6H0dBQpFXlns*e`KCO4z%V# z<hX(yFnxhGLDcKF=@=l{5Pe8p9Rpk?qOaGI<w>?Jp``5Oyv|ZYLK6zaH{-0rH$&Ui zQQ6T~wK%*zGNU;6xrQ-A+V!KAl-6w#6u5$D6Lus+1_(!2;j)a=a8JfVx+X({ev@&L zeuQC2FQiRVoBE?WBCxDSe-$~b#ENWIQUz8rfugP|(C!2kC_V=jD|UeHFSdmqEOy}u zLQ-SIAh|J05KPQ|NJ-4$)`XaY<VP_I<Q%RZN}Xd$kET(G>)4S5E44j7URI0@5IFfw zbxMToLG-Lli^8DQ4ukJ!44U62EMt+gA*-z~V<^CTvgp_)O%XxZf2PC$^$0;pwFtg; z#~Cq|3o`;L=XvswJgzi~k7Ez8Jk^dmY`d_edc3fTBkzAdsg{Cdw(@a>(3U7Ov@%Kn zZNRo<m{PN8b;Pp%fJA$2y8uSLjt`?%_mE<6-v1AwFRk@kti2RRl)gh7Axe|<I<Wl% zfEx{Tc=S6FBXX?xf7@+&W6B&I&^=XUHPAy<DK*f3SDpC%ajAe__5<{?JWY~lLQ-wU zNM%uws<CSA!o@cJ2jU*J?&E5rp=@0Sg#Mj|r{Zbm)X@G0;2eb}mS~I!Sh*JS#U*kL zfs|}Ph0^{~3AEePI9e4ow||oS`8$qti2M{M7y~vpVJiT+e+h}Oqu-^8QDLON#EI<h ziFG;^f|Rot`3c!L0YZ8VRI(MsQDVz5uE8T1s?=hd3DqCi`KFwB{s0HwQQy=U1>cvE z+#}6OKz@P%`Ds7LjD3({1h)n9(orBU-Dar6<r(MTR~UTo8;m%(6axx(WB9|37%Bjh zySQTWgT0Nke*vAd6y91IL!NVeTRi<#XFa*tdWO%?VMfC6!i<pN`I&=;wln*OFV2V! zpPLaFcHqh5GPu%arW|`9kw^lGWSz+ArzCD-+XX|lA_PJ;BKFCu)d}llPb7ZNnt1d* zr=71tBVPQXEAJpKk*j5<ffhHDMuW{v&_~%yl&Kfge@z;w<C@vq>)JJnJWb&+w#qZ2 zmDVMya{N2~?Y6N^8;}!W|8^`bW^^E1?`(SsPBsdSKrc$NIgdHfPOyJyqqqB+2)WHy zB}lnQlRjE+o?c~cPu|pSOm|+PnYL{2<v0F9L2LBQBSGP`Je-_IderSqbpJ>Ia$m-> z$Z+k^e}0FyBfSEo$<l>mxydsxar>WTVW(%D4f&pBXSB58d<R$m&|VLlW@VUB&Kin^ z+ZqalyBHpj;J^eVc9!>t&(#Swr^fFQSNqW&t0X*8xgX!5Ofn}H^_x|DzG~Q;DjLx+ zeXt82?}d_`%tpLFe_=XSu0Nj1S`Q7H*n3S-e~bbzJ@utL**0ACyi}J-d0-kpfgP>b z;8g!s0BczB#Tw(ESX{P`BTqMIdOj3hVdF6P=&Ui-2P{z%ra3x}mO8y_&aheYSHMGx z7ogCQq_r72CSr8Gg12u=aBRRjK4E)zG}H~mzk6<pg^vyzbFzs~+in}Ja0dzQ-dJLt ze=RxRrnzNbNm*SRaCf~&xqo(70=btHbr1O}YkPH#pur3rjdp7vng+e`KeGL4EaCxc zuTnNKPMJ9~y5Ip4+l^XkI$Pq<c4$knlCrY4#{u^Z*)N%%TX!_1&Kq;=7XA7;(`U}c z4NWV~Z<48N8tx+t<I)K5*hp7RN$ANCfAzizIP<JZdQuM4{H;o_m4gaxZ5lE7V9g&? zVj`(BRp_fcSF*qkosRW*R+nycx4(?e6*qee?X@~bn3-OuZPI$!ef{8*^KQ@3!_(jY zY>sv2U8Q|R4W}r5-#4eKGa+Q<6!&!+w7@=ty7L?&fw|jh^wcQs5FXRwI%4#|e+cTV zg4yuA=PaGMZnL&LEDU$H%#_@oNH-d}Hz>MRe1@qvJ@FW;Lp3i%3|^7jJBHTZ>ZRF@ z2B=Z~3?HP|lh&xZl<I&F@mCn@=Dk*(8zU{zt7EX1IyD^(antm7UW(kF9OokPYC@3X zb#7S<uMEZe5;sI-TbT21XO_6Me|_E&I>a~tiMM=Km9gQPUH4!?h>gP=@vbr)7CjmD z2Gf=zwTX3SOXem{K}P&yiYv&L50-w=A)eW(bFA?{d1vJIPI0av14@FT@R9u7Haz|3 zRKL!v3H2tpC5(_#yf(<k#L2~5aLl%rX!Es{Ro5bX^d{GD7l?+^8@~!Tf4lqZ?y7OL zGV^CTCG><VO}p|uNuZ!X3ni0|A_Fza`wJ@lNz?KYj6=w{$B-jjZL}QQhM@>3K3C&c zr`b(nsXSrk^1a5leC8Vewz)Bu4bztDj02egzb6jS4>F#j?gSx1Ag4inBRxT`So<4X z1*=@*a=+<Z{SVT$jh|#Ce=AjtrGrY+1|@RPiynfAfHW4D%#9+qIifSJ1o5DyZSw=W zmF;#^Oh59vvrW9;tCH{=6-sk4b=o#oPP>Mg5o6Ybr|Cg&uMbv?Q3T>I5`^bqS(HaS zEzVtf6yq{o5(vTu0PC{iSPFh(z1X{cWXo^Nia%m=N2)jGua&dFf9^gelGvUVnqc*h zBN+S58(>?o?OlnHhLn+pBXcbFuGDte(!eWK#*XgRm9bnK*8vvI(YG%YrOZ#|qp7iv zA`Wnk&BfMSp8?{`p19j@1P?$cLGs8-kQDNM?isum8w|+6TYwz=f3nbregF{0vou&o zMAlSdYW7r8YK}!he`=P+qrMyqJWm;Kf`Z^hQA+sgWma|z9;$|KS(eO+ZYHSXE0%R~ zqVdqOfM~zH>=<R#Yf3y(y5F>!r_8aNu+gN@qB3ZOe(ji;jAj0u=oX$D=jw#1CS`s* zoi^oHk{y$}Y_%QR$JE+YS=fF-Yay28tlw+1X0+9&ULZ)ee<sIRp^P|u^ka-9s%yVw zqLsFl7%z>RwrrB4`e4_d5mXCuh*RQfaYX3u>m*_cr%j}_cO66hF@wbOKC&*<R;;u= zUDn;%{l$FV7P_yFt|HV*-jSvouUmFlW$oa1zQ?)p#oMk)@@CdGsO$Z83GG^v`y#fY zwNU(MHB=ibf06otMx=hCO>|JQ0)*F(N%HNTS2@Vb21E&#D})k8o1rW?aJw;~iKkMd zO>S2EV}+R6qfPEs2V+&3Zlg_?_5`<mm&!^b-o}Y-D=h_)&Bd1bN=M#8WwuAgT+f!+ z#wu+ERF)+BzksN0t1xsI0loBU{a@^f#d4B#sVcKge}A#OANxvmW7lv|i-avz{by;k zs7uowmE;iQw{9{DM<uss6t)i2n#l4}l=vin9QX9rF2P>7O%8oxE0%x}Zaa=v*lHtq zCRlzxh(5E`Kp5HAc7UV2*cXf0A9aJ#GK%5wgtn|{@}qn7HmOBHl1XXF#;(JnV1L7_ zy|tbEf6>kCg|mA<CMqm2Q=L%!r2&?$5mXYb(pz#YG|4}|b>FrK;}~Sb5$YO;lu7=S z{q>LX+C5?N<atC#(e!Mdv)rZ`Gf57csMMXuuY{EkS}Tt`5cDzIW=$+Pen>7-lG!DP zO;vgrdY%orW;7qZWPkGQA@kqELvZKQnfQU7f0#_&bI(4T$S7fzgVwgL@dtg$3R+tc z?4xz$SyZZa<vpP_TVQ9c1Zl%tSuC>=$~UNi`&2-{VmqVFWqmlBW2wMZRE_>p6g?m0 z)3WJ2=GqcDGd9&6Y0cwLUh_3$Hm*VPO9DoHwu>QbrT7S;%>3cL;S^pzt78-vB}pBw ze`0gej6RvqD<DI9M(lI%Ry9S2{^G{m&wmsZjZ^_li?`WPXPO&y<Dk~AuV=m%4Qy;m zMQJY;y$WS)evR$UiV8(O>>IIXp#zuv$k%}2QW{z@^W*=)pi9$ad1b(~P+rXEn~an4 z&BKY{tcO>iNse)ou^;kqf>Gv(tMF^_e|!6V@#V2mi?IQzqk3+ti-du`36-saF=6~V zbwhPN**|IfI&0UGm-K3MnZrxp3D?|HcU^oC8_%ya2mT@&{(I*HwU;2tFTwE_H@jfC zsN!TO@C>E8)*B5Hmq6`_g)dn)?D!E}1=oIWnl(3!@TlR0KEbu6o$FK;f~U~hf7;G% zsy|^tXzg!<82e1gwT_8xo(fwCAxLM^^g2wl^&UlNdhVzEj?SbO#)csGJ{GJiCPeQH zN)V=5;vG$#xFhU~l)inGSwMKT#H#Yg<gS+|Ozq4_u%VPDKFV^mG1b1GNtDDYCX^gA z6|iy$7l=zF%W)xik>>XG<wpU>e@q1>kD2mIY6^FB^`E0(LL7h;C<$SX*>_B2l~L1a za;W|05^;rOC9Vu!5S6lCl0eaF+CQSvBs8Mc#Mh+NBp81d&?ba{zK)FDUxNO$2|YRj zAxt+$*we!hI`k8WP&f=B%Q8r#)lwt+r#mRwElKTKtbGy3SOR-1(PmU-e;SN96&ruJ zb%bTu5gi*a7Tc4v?vx;jjo4qZWhqP-VHi+N2qpV?MKOBK<?HGRh1iAb%DhK7Qqf;p zl(Fo#Cm=z0ZI(7)MZ78&+!h{Nu-W^{vLvG~Es4I0C@&r=5-%ql+76G8A1#tD=c#NZ z@#0=vNILGuF7|PE?UARSe{TzqQp$=4{@1F$H8&R7Vp29{^@yj)gskE8n3&S|-xJQr z`4`(dqq$KO!Cl3rjM8|Qwgd}Vr&y7#rvy`pUD%QyIaC2(E0apd*<M&{P#SYOyZ2~Y zcGSefHHBx+d@&jcP_9ryRo$cFk|+nHA#OWt(Y7iV5!zQ(m*}4pe{~y4$luN#9q<6n z4Xb+W9gI4<6g++H=2C!7ooyS=BG-9WagkY-iwu2URrk2~S(G4BqHy~Ri{rZF*}O>^ zn`jwnyHvM0Trf}(4uiW=5{v+*onyg2WTaTSz+jb<uRUC%ScA^C9o!lkYftjejJmQ| zSQ#(b_PkwncDT=De~&LpFkY2dR9eB)*m}qFPp}Ae-PKw6R5@`!bfaodtc{Q9y{2T& zbQ%5bQMWq0;Xzq}f@nK#Yc*C(gVD0F<z|zOu_o&g$F^FFc-L6PE%Mkh-ehsC$p&<x zjbPE|8Y{f@drYypfj5S<2D!AATDZE#T5Z{l72+f58{H#(e><2%P0|lb`2VTiST|gO z3dh{bgd=CeJN+KF96-vfX_DQVli<;_4?8Cg1Q?L7Ha~^KX0LV{JoY(YD*H^dFS)E! zYW4&Cg4uDUL*d%w%j^@g+MQ1yD~gyN30LzCXfB5P&7SG>JUAg!tL5v_oC1H)w&w2n z<9yu-U)$!7e{ikYC!L-LJP#Ryd@nZFz~yJZb(a5^Qa(Sukg)3szAx3*uvm0{{W$qt za~s@Z7M5Hm6Rt<LYyJe+n|;}7kX$I*_c*B2Z8nYTcjK!~=egMgxXJ9>PEQe2sc?Pr zg}4ZtuZjmIWNUSNJ)57=Uvz$Y3=^4nPVuqXTI&3fe_VagQ>s?q*P;0}{b=XW<N?uK zX+u3<yXODky0bZ*x(uXNhQD=lGu&wQb*F8zsHp5=!{feZ&D!D6+15^Ua-`_O;bC2z zjadu)^lVM%wPX{~(8I&Wapz{5wq93ATfSesectsO%PQl&j#hGpsI0W%3E%V0AK>b< zk2(dDe>X)Jq=)rz_GS%m?b*yu`Q-1S719^=aCT-6KVv0+Zko?FbjBYjk>dHWO=klh zyNZ?^hU&&xvzzHgvjNGNgQ`+c{g?~vS9HGF-Oin4*1>hD8H3gV_8y<<N8OhHzrU6< z2{F2X`ZYZrnWyQKYe;|B`0no2sm8ni_nD6UfAzm&b<JnpTWWfFC~|%{Kf0ngx`Y#i zm{~_&T~Yp$)@Gtn9lIvH$#_Cz#rvyUv&kl$S`yNkaF=0#?GoC<)+MtB)K86=#C44Y zm~sk8o-TwyMlcqXAM=$as$F8r!I45;pg{<^hT`0z?GbR?m$8yloMcj;3p9k`hQ;K? zfBdB7Ymb~ne<pdj6bCXiu{s41B5gw(V`BbhvUf9NO17Y&UK?YKenSd!mbmc?68g*c zdf0XWxsNuZjX7=Je0#IzcI4*`&fB;Tq<R+@V{B-CL8*4dNig=QqzS%+wCkdBi=l>1 z&4WzQ%(X|r=wecavqbRt>Z3`?`22#=f4B2FRo4Q;UKX?t(*9`IokW+Ds-0D?BkbDu zWOcLVWkQs%78ZcD>%izD5;SBoBV^Y!ui&Y+q^Y^^xcaO4e{YLi-i9=ge4J;(7^ksK zg{@t*BJFi!bQ3Asc_x$*hn38W8Kv21?-blkhan)?xk6kAEm>Q~*u0+P;CwL*e}TYy z6yUzl#<VMp%-@s#I$w-H2w_bMa3tEGcBqkgHEGg$I2<8?jV!<o(8$^gM&@-Sj`MH? zf)6WN;M-5@)Rr~ESCV?14Z{&4Sf>KtFSKvkxkmUJ(jRBT2!tS3ufUf?lh;m_+|uzp z?cYOtr|oHi|4afq*WN}LU}1&6e|@yi+7l*t0!iFC{1!q3t5`_xroGiRHNoRa1}@>j z2pCpXh>M+)i-0kLJiZU3gs~O{WD;#y8)<~ECQUo9g(D<yMnothXB8C5_|$>|e{I%j zJcbnOvKESn#|q~`Mrr4?B~PQ%NH#7iAq+UyJ`eJZ<_5e#bS5dtMJ1Ghf5PhILB0~~ z2cy+%NZ*}@G%a49L_Z<j82sq*G@$FA$luJO%mCB4E?S{B#aiNb=9CK|h~bA_&yS(d zgte_sak5Dmm$*QN2eu@iOQy+cx1Zv?Al13V1u=ZElzi?CO;S7l)XoBSV?;aNh*M3X zIP=1LHLaWrtz3pc6G1!4e<D=biWDZ}33zB->jtWQFW>kL#R8<^A1;Ji$(ZspYcG)9 z*gBm?1`}pfvaPBs?AKlwOK`AJ-n8MR+gTR3;}#}--W)FoQ1|@7J&b=cFWdhDtZFau zjw6RSG-RL64}(5Zy0BT$5oi9swu=vC!TdqLzqB)J`@@s?y?Q;Vf9Xnq$jB>#?2KKk zBmy?nlI?SQ=CQBW=0%!y0a9(@N2{`V>ipgQNpOU%L?v1jaeAmQyCG~Q8E3m`M;k0K zRSW&rs$yO?|DazUcbDjc&J7<pNSiLm)mZ=9Du%9`FYn(u1v)oV#{tp!3uHAwUt15L ztL7i~3xfmt&Ln?(f3PTKRTd|%;@&3Bt%<UyMHbY(dW##spHSl2E(WTeQQ=+KJ=~fZ zWx*C?X3)Pa-JO;F0qSt7YDx@nw~_=^%_ziOYdgv~Iuv@z!x`q?QCC%xBJ3F<(Ow&O zu-LUto}04mfV#+hOD|l~p%TXmJ5;Mjto_T-2N`xl*lg3=f5pkI*ES9QleU}sD`Zs# zl|pCCD^_lNEij~iUn-e3`q9_bR8^9co3<Ak_QNOAvo)aL_E6;5Wp~xg;D{<pa_YmS z*^06Dj-V>ngorC8Pg>7yo}$?ljJzf^k2fU;TxmZjDYZB9ygGLt>eVFV-5_KBbUvvv z{vF=%7pD@fe;noxgC-Y*7A%*}C(Sb}9m+W;!-`?Gm;zQog+|>3gdbfrpWhDyQ+hw% zcwpJm<yuKeO!Zjjv#O1gRq=1r;pS~yq6C=!^wcHRtkjR_F3dZt1bf6kNp9M<4a$&7 zpbIZysGJ`=#Pw>c6#J{|lI;~d0e}D4ecak@50oG?f01snG(tW4Lzoy*J!0qo9xcH* zF%+5Y85Z|6IR2K3gliQ?ytSawe1Ea^u0iYP?F%Rb6Wy<Os@>k@@_Tu4s~R4kic73m zOvSc6>OQlcuDn!2H5%(9HdT+<``4oR7{)^ZZmK@Z?dp4%_ns-)?+Smcn=k3V2i~)O zRxv5ce<G6$+OkD&NhBx*M4qK76!5E0jNz0P9!jm6o@{*?br5xfsYVYd@aPo1Epf2! zJ+q1`_#=t9Tv?GKdr4BFZaGsLSe;GBa#h9S1rJG)y7f#`D*A^FQL(Zjb-|65r~~gc zpp%zMXGMyZ<zkAX<WR?$&UD|U8?)&@Ou8c9f2AfxSA`}nT#}S@eO-LC*w1o5q$=t( z>NGQresgJZ_Sz2(BGzK!6iSBKPd~C`KAZoeti$8o!lO`k%t6=kV#Q)NO9jZMC>6FZ zbCC{NLeEBy1$BtNTSywYiV<O59g!?4R}n3?Yugv|HtG(_hWUstzjTlKbWD_3QN7ct ze^@P>;_87Bjd<-Z0KH<V(pnYe#y-Mi(?gbesMsIU9fj4hsjlv>2O>)S_e0$*rCQ6Q z9M}TPZu-8Za_Za<Ck@PZ-1%Xxp<rqdSm#BgUF0>k+z^R+51gBX8OJH{{36lp<3qYM zrEy<A=r?pp=hX7&E6JEku7c~Y{6(O?f0p3ZvZ!G8N#==u8?dLX%4@s=<NDB#Y~kCr ziI7W?E;POZm^y31R}o(_f2KdSXvY|#y1>gIPvJ+7vA&V_;Vb4-`YlhHTCdgOjTiw1 zg=)y}<Rkd%`H+6UQ>ON7HF!aU&X7U2#hD;$nnZz*M$-gY2wybc*nbz?WaD3hf44*^ z4k>5(SOi_CT`jOsZyF=Z;~&o(_78!PHsSB^76|Df%Pd9MT6*h+ND$4gAX>w8j4X>M z%*XXJPqFN4tI_)q%0v9wFqlfRuhZsrTETFhFslq%klG=8W=<7_w5&_%gqh9xpd^_$ z=u5+L`*`E{_O5F4IX<@HkOuWie^EUjH)B4Veqwl6+5h~Ms$ks;Ib*i&6eh(Ju{J;# zDCq0ZI}@qIHXRC@J&Hpg;#SY!qcetmMT#$NqS*UGp@Q*M=>4rYlZ8j^4||vBABS7T zc-`^~T?1y3rYO_tS`z)+Fjt~@EFXwk=8<RRb<Be9pd5zuW=Xh>)2+$#e^==2;XE0C z-_0<T_bO7THE&x1b%vQwe?9zDvDiOWCWcS~5@(wm)p{8B9j~1*mIs9y>TL22RU}bc zbxR}f_!b_7`5&Z|p@my3=e6i#S`XI5N8a#cV@l@TZ&_u}=k(Y8S9iF-4vg&l81Vo{ zK)Ao@tr?_#{u!NBkU^x}iWO$tGk;&xo0eApL`pM{(UD85)PNtN1Ke|M?|mshL`h_u zHgRr6)Vcho%BGj~Y2gukY#ruRgsc0A<X+9pVU-J(s@#kyQPd%3E4^-MXqGs(*@0a= zb1EtI(unxpTc*ow=~yJOz1lP}_3DVoUg?aS%2i8YU_=cRin&4$SP}<<R(}Jr?S8C6 zhembi<LK&%RQoHgLauN9`TQLs-BEHwe`c?o(n(DH;Va?q5*dgx8ww7Fyf>GEw9XqF zr;Otcsho?vjdxw%)=aR!KkOi{2aC&$1Xs+nE7NJXYGdd)_W&4us?Wyd=8)D+=NgWB z7~}S{jDq9C9Q`?iA<0V~XMY<&VXx0DG-lSHqS(8r58b=DM#C)_*M5tU1lykKvvWDM zfXl6aKHpMFsx+4gdu_2`73O{WnFwYUw?{u+5Y!QLX2GhpXugteR<KDlfj!&L`hk-z zc8R=+@*P4`%}>oO|GuzsY9S?bRLN~Vt00nCy;Pr}w&`!F6Zxgyqkld5?x5fA5zosO z|7oY<th^(oz*YZg;|7~PX<LN7gAECeX&Q1CG<H|Lu`a`=Onv&kUl%P=_ZQBn%|$R5 zE7Qx(O%P{?9=J(=$3y#L&RQyj1;U`12e$%%G5J9cls|q!R}K{0xY)lJF(}!&<sy^s z6E<{h?EbidYW@PuC4U5sxixepzv))-(``}jb_wwAseo*A%=n<Si}w)B&Ezc1a3IDZ zRy)kFs_xv7+EDJz+H$bTrAXV@PlJ89AkEEFL*LyZU0_#BL(=9&jr+bA+^)oz5)OmA zPOU$79pmx`)UQt5rHGfj<B7*zvgH5gdY$QgOQ*Q8U6e@z$A8=Is=s%|Y^!+(c+9tX zNBYl~7gPjJ`gk|&2W#MVbYnixgKlkpXv~+mD|Dq!eZpbEYe30s^N4=5J?v#!$n!{0 z%E%a3{;tZ`)8D^%IxYQh3z#%Fy-9eFHh$Sw0Uo$yVz>E_eP^g-bl&Z*iTE$ET7Plo z(N9Gt#tRb=k$(XwyjQGJz5=ZnQ#+5jwVBeGuW&czQr(m7-vuRKkU|Abfs>p9tLu|N zpYNQ|S9EVX_|l-9^E~Es>9Psm0Q6q3W@Y{xv}jD}JnPnGb7TJDyD9E<ncKz%u3r|^ ze$AuwcbsF5!rq#(q&WWPH$%qs$GH-#C8Pg5Ud5_19e=$Var4^j+C{=RE^8$4zq)(3 z79Nl5TkoD4YPu=*4lH^pa`3MwLGN3uD^%xO!IjEM+KNxjNYE<G>X*Y`GUz7c9W%o% zzQg>Xap=RD9XfakG&*d4cOfgLxE!o;Y10-qe|=K0V7=eW3no)KpY{K-ZQfB$<N=(g z1w;fC1b+ks7LghPD@YJ&Ye82LQIQY~B@qKF1Q6JS5;_7ZO(|jNDyy!Nz!)@iNI+U> zYa<gwS*5KefWQ*KP;PSX-Mzbe_YZz&ep7y#nSAE+g=bVeK8(7NoGte%P%(J)x${~7 zxz}}RRudmfZ-e39uL7OF^#*UJ|7g3Ggz1^N)_<|r=4^S?FYVS*l%kQoSAjOc$iJLV z+1tLZkhYrqyL9?k?xWXF4^e0jV^t}>k2eq$$L9mO#Utb85xYj4QfCwX(yLo_zTDx? zUt=2ABxUMd8o85L@Pc!uqy5vxQ?Hs_LUj9r+`no6J-nNilta20GIV)k>{7^?>e-6X z!GE)5_2ox022Z^T)CopC?>KEA^tz&JIrhnD_UR#GT1ggZ_NEhJ#e!CHr}XUbn52#B z(Y!Z57C#^t2OQ4wIn_>2X1)Gh%N{i^9GU&l`*^m95p~+h*i#R-^Uz6oHL5(KG~WGr ztU)m4W@4Jq{idjQdc`9&XixCOZ$nbAtbb3f*p%1?4;}na?%|(T>Qoxt9~3)mqi)l3 zelzy;^aCN$w_WZdY4-7K_9%f#Z#%kpW_ZzO=W>0QX7S^SXP<^Id@%RayI1O8y4JVl zwW8Qm8|=SwDT>WiMl&)!^2#|)Nsj_Ccb)U74j<YBAI(~>;{MurwDEMX<n`9ZcYoDk zk<{vVIr(U$#oR<rDWbIM;g<2IZNdlhD|)%5;lrzvv9AIxH^M$P88OekAP=mi4im-i z@Z@+)etE<9N8co8S}>~y-&x;MrpagLwxrx&@YK-l%2C6r>%Y*H4^8?XUyP1PT79^z z^DIuLd~T$I?-2YW*zM<y#H(9}TYnqkZf!VuZtZV<7Po6-+jDDAYfYTVy27f?veT6j z8Rb8ll!T##AZq%E!oqJ`mU?5Hql@`3Db|^tboq){^+>58i;RPlO&8g1r=s+z?#Cca zXlJ}oP9fV*{jwgpI^0)Rv0)JWDR@CD>Fc2FXPQlPx-(~N@5GfT+jWnjoPU3yH-4t` z=-7dYt5M49r{=a5!l_Nho9(ktzh{(^S&PS4B4QGT+CDef*k*EwLg~$?v+CbJm5Ms+ zLc-+T{}TPFxA`It9^*E&^|{!lA;XK~AW?a-I8?gsh11@|%TbsZ{7~9Ib2bfWULPC| zB%-3oF|l(oEccKF2}`~Y=6@gKijkmyo>cH9HXCOze$O8kX(WEI8--rY$0QR$C^QZy z$Rz#^g=5332iqs1fF9m*o%Jtm=?XZ;30?P_Lw8l%ttqUBiNmn~#QH@j7}!lYu9L*! z*qYUP;M^abUB?2j>t|LIZ|McKD*7)T^oaR2h@kAxhr+EOT}l6WD1TfES}>TjzNsst zENCtM46g{-t&GmEvX0dCW&cKZ2%2_iE}WSD-Dn`{%y9L^o7vF6k6O2(^{+PGLH$$D zcD46{Q}FV>f*Cu5waYi(4+l>zmp`a?s>xooUWMl@+O+phkBfg(@XWhP$0*!3wyYoH zHgSD9u)wyY_3gBxQGcCnY(+nO$RG`V!7psts-U>E_04q01wX>_p#qK4_;Ko~peasv zwAIAhX?S1~>E}dl40)<JyTLl~Z472gBfH^9;zUfy6zzgjK=8E#&Y`VMOCEvbGEL{3 zt<RTKd&&+};g|Op7?(NpPh*}|g!LGg#&>=A{m3?VJ!Wcd5P$ip>elZzi^X$K0|9U0 z)0_1*OO`#xU@vAqfNoS}Gv9DD@#Cw2q)%^qsl00i%B7@lrKHgkt=QqdrreL#Hhi}s z-200O9@gX2nnoi>HyGY?3q6Ym7IB-kOO-u~nv3|&_N9p)y#tE@n~#>-d-OCHgEn7s zh1)$<2lTL;&wrOvda5+_Zp1VCqb^j2EQiz!ZcT+}=l#4ry8i3b%7w&mM)a#C<(}RH zJHN$Km)v`LHLJpxr3yMqe}o$)*=}U^JG*V;#dqmOc!BgEqL^O_q)W=j%6Ta{&wkc@ z^Qz=s%q5R1cFfOH&vWE#D|=#gP2J4NJX$#%V>0zMM}PFk>LAwP)lzm(*MX564%DT= zo-WOi>kh3;)E<KaBY_T&mjpcqnj<&|`cipM^??z*!;7V{o@&jJ0EgzK)}DX^BS8*N zmo|F>N~W$bV+d2QoZcgTeT{WXojp@pme(CBmmc>_X<G(5q%3{uQPr}<IXqa(?@=w= zy2OsTS$|OQ2Y%pydoTqN;!vXLTx@MIqG{*cZ5r;7e)C!CQeof)zqc`F?-LEDp1URB zr#vsz21nWy)Rg&jO_v&RteP18#0#|{k&XrYvN7g#hLNpR)60Ih3t_>L)&*r{W1Z6! zqXw&{*ZrXv!a^eL3wUK?tm#~%Xsf3Be%}iVfq#+F1>`@*-cSF}-{I8tZ(UdjUzRA4 zD|P6bW*LqAyvEh<2`b&-T$-xSR<?HHjd3!xs{-P0FEu@_c+fLf@^Z{%Y9%KR+0J}r z-LrnO-Zvn}lD-x<l{Jt4)aVejf0#cKb!MR)E~r{tJw4^>)=`jcY0bCeu1D7UdSuuA zynpJEQ+IUreRiGI>ie8JB+sU6U2DpE9^|c?a{@%ztV2)M$amE~EgI?{Kd%XMj@>=K zrt*_n*pI&&3xAQ5?$g+ce0a2Rek!TW^vNmZ>EhCtYlqrK%Vjq_O?jiY>YPIrMfEBh zv4)4X6AXv{C_3f_Ut&_<_|~he4V@no*?+<xlzJU{H|h1I-1~0*D;4*_^A1PhOCa@4 zbyB@bNsQs4odiP*Xg7EGQYZC|N4?6((0K=uJL+Vjte4sI$@7I5zisca*pHI3RO^uI z_S846JRMni?Ud7k12-dnB=(2mM#1dYzBL>7Q;82_&6ZEi76002S>dmz-w~Y{aesRg z6$PL68m`N}KWW!tell@tvvk+S>lKAV(`v(Y8TY+{!?NSAMxC1eSgQR~-CG~MSlVn{ zAO9%F#jmbOX<2sY^#j}B+Vs|QQL)pGr33nlAAQs}(q^st3~X#q`r#AZrfFx%M>k)s zDCkXVlp5<hlPZ5bGx4ZNYB_9WK!49N^x2{K;*}fL%NK_{?>B_h-fMM>vYDop))<7p z^^xBAW45%ftir!kUoRptCnFx*=+;`+AJTcCe7u8n%ITlYLrSq7D+BQ9gr%K9^D6s} z*3_z7iAU1oIU6<_%Q|yjr}`Qy{CDdokrH)o#}90{>|J)7^ZKRFw%mXFCx8Fw#QC(% z?u~TiWt%xK$3CwL|K*?jk97PMJG&XIu-&!csl1GzD|YDfukcUR_kZUgv+;OE=I3SD z+;jiwmEpu+wrBrKk00LXR$BI+GxeLc9<GbH-(lauJmG{-{Je5?<@CDh9Oqb{amBO1 z2hPEjshfS4dEa%X(jLTH5r3W~-qTUuD4T8U^S2QlN_u-xZlit{?5jH3dvg0PJELW} zp(FPvLvnKuUaa$fd%r6<_uj!XQTwO=E;TR^{g!+C;Q6T2)Avgae#-p&psrox?5#f5 z(M%Vm7j}Ch_YOUJARQt+q4cNS;Yf)g{rhbdd`o)qEVi%X_m<Q{JAWSz%4}q=gc>eK z52f6%3s$-l?;9mCT~^xmAUs5Pvb|=b*eA+nr;tyb)r-{mu<2WyP}<Q?d=r~`UYz<? zFRlbN_1xS(ycj#AH>34vBhBaR(T-w%)@*d7<GW2%ZCdGMAK7okwaM?dM19V0LnAY~ z)f*+{)sR=)TwYO)Re%01(R@*JC~rF9No;0oX2r9r8OOTVG9Qhj;YIvAvxrFN_pROw zm{QyRgzk-=4;quFgC|TlVRPq{Vn>d-yYwY=$6B3QFdTkw7rAq*vho2rW94*~Pf&$% z%JemA>=U0!o4Wh_#@U(3ytl0$wP%M_4@6ejxW8<Ts{AECB!6XP`o2$H#WT(6=4!kB z!%vc*Y{$PJySgP2oDrOuv-!b+yD}CVe75#&=}tbsedTtFPg%L~z3J^ov3JL$kNOvo zn`WOxhQ4dPupl@5UORH!d}FR`QDfL6|NBg?!P<vb?WTrV$G!xcMVEy|2X30f-?5f& zSnu0P*Sh3FoPX{(_^jwR1%)_eJA8^gf2wxCaL1wlt<MTkv$t^5+9>4d#;$nkY<1t1 zjozuszc!97-xxB!|18+)u7mH2>2yh{yTJ&lQh2J8@8EXFVKVmGzNRNDM-MNboa?_f z-97A4X+?>w9CPt|ykWI`WytG(@y&MqDeEEI2bhrd^nWqbO6at(bn&O?5AhmJ^|RzY zUz^FZ3$+_5+52pq3(3LfwDQla{;)nwH1e>{8MuG+FHV*I^Qre2Dud~J&Q+ZA&@RA~ zjj{jx*f~9GWNW?ZmScMaBD|to7&bDYfM4RnnLcjBK{iqPXWT4;{^u?)_nb?M+tJL= z*c55FSAP$+2j2`f(ySx2ruGY6AK&YNm-zhOe-`+E-wG7{=k+;LLqd{GQ(6DG+X8O5 ztFnh&GRB*BGFr145L!=6PD>i){mi#xncM&UVULv)xW2a_v(#a5`g0(6U(eZ62ln)l zr-@XWE#L0#`Z35l@Xs0-21^q*!<=7A?Nr)`uz#KRG@kGJxAN7~&PB$2(Oa+Gt@O-0 z<Lx0LB}L3=*xx|H`yN`b?F(KzZtIiV@#x>c_EYmmU%$Vt{QgPeY}n0r&u)JBD*{sZ zD^Ihum}{@2*^P+<Pv38M-Qr(<>+E+g#!JC$WeJenl(N{wwYkUft*TmYmfS!aw5}rx z{(m+;En%+uk!Sm>O5N`%sW5qM=AzC0R@wVrum1S^<_~96g>O^oqk!3jmdcOYg;@3f zD6lsCiE!_|c=^`t6?%TN9V|uz+n+7pTJC$FHx7$!Ew}0CXT*n@nCL%eP|`46XEik6 zH_~PbN6USy?!l+3t=fy{YV(Y9f;cW$mVXc31%7?JgWcD$*Z-uXFA`>1CNp5PF+y2Y zxNCS!WCpiQ-Ju*=>Ii#ddHBJla~RiQnxRX`xg9=jBmGa6;E?*ejojP6AwTc9)F<kf zIKI(rN{IhKIr5s9c<kmR*SD!r<*Y-%iyij!BBm~@aZF3;x1Rkw*IWL2a4DX}(tj*E zGSd-uBUFE@9PxTm*mJ(%(^k2noRtA|$u^4AzZ0)%&SV@5Gx?T5(K0&@HGmu~?}@)2 z%na#W_Ujr5LB}d0>K;wo+-{XE;3T!*x?0!~%~u}EU8)KcZMfH$Z9U_rZNC@9?%WjC zCLPuOJd!Z%TI%^`N|@C3fVC}2-hWXITQ?qCbB~#=`aU}O^6{p=UlLg3?ICilFWG#? z{eAmAA#CR+vSa5c223hNRDSZG@Xy=%O8f8Ms-FYCB{5&^+-};IU)fSs|6yz{jK1)% z2V%KHtFPy-U~K(y%Oi?*@L5t=`sXy!faLBk&O?c-RSE;H&;hG<1h+3h2Y<JMGGpf` zZ#bzmblQ0cwNe%63e6k8HTT%J2Rh-r^AKgZDiHp|y<QEP&oZ=&-Of$M3ur#wNu@La zw99f{VA_xWMkP-jtor3qg;4sOsIn*`KKRe=Np+dkuhU8=kGJT*)Xs0zZ_uW<=x1u1 zH9yMF*yU7^u!B6a`&*QCu75|yoaSLc?(A*fFz{=JP8hh55gG=5%diZy4<GyfNwIG1 z`#VL;vF~GwBVX)8?D>l!<W61?qo(O}RR1<xzm7X?lhqoS&)KtFdeQHFUx-<(n|@vY zw9VaCqkN9cvX;AF!i){0wWpBt@3NMs-%iV!cTwA4@BdSCdBevzHGdJf_SX1p@SLj0 z+KpApMB9_-@UP>TxV8TkMb4W)F&?`kp$k>NbF^$CsdQ+{c}V?xRbbY-d%YoaQOco< zLV|{~pkWp?%!h{mgNC7NX1G<&edsimFkY88_V=J7zxud-@KIx*T{H1p9}lrR)_;UN zY#4dnchUFIbJxQ=h<}vUo&qob*c?RNkr|uj)-y$%o!Xo?RS6VeP|>aWIOdAuY6ma> zzjHesVE)E}tRPbPV!Y!qM8ebc;~@tyqkkuH4nK1LDzkIFcVm9((r_G#$ceAkKHgXc zFpCmu50ge3^%uT;94ieC`}d2w<?r|o__?v}f~G95=nzKBqkkGqYhRIc?O~!u-n@lh z7mfQlBP?v`x|dRO{-Ez>jeYa;ucLzBPenJZTY4!q<&XI~+~bjHlXByVj$>a8YY&nP z(_3OLMK65{8w#`i@As_Y=Eyfig>TDKzz3JMBio)&2^)JA+a4cxtiAiMrK2v<7E#m^ z^sU)<k$5V+A%8GU`*Bk@eynsR?6=ub!tbYkZ)l`q>N^#O#!KD8?tE4+jtW6FKT5~c za}|$_e_0M2GP8a4dkCXZpMvpW(Y)s~P+>zT+c%^vc(YB&*x#RM+*#;*8)4WGNYnn* zXu})-hp+BM3K?yBM8ni~DMpNcF$)`tvwam6LTc8p#DDmJH10ncLh;lO-^#LWve6me z**~lZf7}>U4VNE(f^2amM(%jT*iwHl{=`3jDEc{K;YtOx4NI|R?1@%OaXM_l{`Z=e z58Zbz#_&gv-aX6;ce<05={lji5dSx3Hf_R6??1}M!-he*HoVinh?NuJCCYIF|L4%! zPM5v6et+~IpZOWj7W_=UWIPTtna%hTX7V{BKg?t%<6YQaiy5+Ezx|tWChRvshEYeR zY{%qW$7EN>WL3u`xnt6-V^X%meQEO9;-bgOn&RTx-F4B}kFD+OMcvup=R0ybv$vgA z;&-}#)_*p#r@{PH;T9)ui5C);uyeM}uJqqt{eO*wr%gOH6obF>@U;=o;B}K~yKCF7 zAAkRrem~|$kL8o4;V)_@^nRu7a^2zuKke~v>GE)rPr@L;n~YCDH-U(K27c>QfB7-3 z;zo@!rf*b&luFYl*qd|1Lu6V;Mh`HOi$kUChe!7#t7w{n1DGh#fuRmm6A-v4?lo2} z@P9fZbcz@z-O@X%j?63$m9rll)kT)kqy>91XF+quMIen(fwSf|GnD{hdgu&sLAGUJ zR0o+>JTJ)`8C6AA&@>2om~ikY!wG03B;Y)_KUhLwH8b=xQCFg+3%Qq3UaTWyKY~2K z$PV2lILNyMUSZ&XdO{b@6+FWn26ArC|9?Z&g^`&^Ek<dvj&#c)Qk`)x^q@e67XzMW z$OBmfRU8~NVp#xg8S~S`N-1(5QjJkrTp`;sjMQP|hRO=Gco)EH3~!)@P>%}%XPLFY zQ2P8AVx=7U4N{L$QtT_yGQ`-+xEs1ppw7Dvo?&!gZMhUC5<sMnV==P4A%5#Y;eQ{Y zus!ZSde497p*pX>OwY~kI60y&OSz3OH#g<Y-Cqy+Mvy-F8Ry6F{MXh9bL^Ey9>T%g z2_u7+Vp#rbtIzx8zp^{?yD2#=b(nf4!fdb@p=EA?QQ53CKaR<jSs!>xsCn7;793?h zrqj}0a2UC)L5AN#f1-6B`fegm7Jsc-%iGmerE-KJKYB0Jnrg^XM=LOBz$}4>9Re9$ zrS$n^8yru*%Nf59-XG3`!Mk}cwbk_xSFDk-?zeJ{w?fIdbz|gp!|hNJc3)H5R^)`- zW1$k09XWmityF6-Gtf;sKq;HwML1kHa2XvO{=#0?wTpC+l0PqFuEsluwtr%H0Ivwo za9nVhNw2R+$K&ng2Huc#DFySX0u4qM@P<%~JqKEJy{q?4!DCx?yY`AUw9Icx99OP& z)g#zq4P&`wz@SgG0<t8J7it$K`5V_hatvHxM+CGO_vXyEAGBRqOF3v;OE!U)9vrk~ z^g_dxeGyYmmF$SA<{<G>mw(F3w)+A7!YjGjJh)vLp$^ZBne0fJnOh|*4D<>w*2=XC z$gy>i<8t7Br!YO7ORTRG>)y+R47EU!6ueWYhDsJ~$-;+;lQ=ank!eQXx?L0`GUP-o zbioz3Q>{3$T`vGw@(fO4*T8`KE>u3^XX<{;UbNQ4+6kOZ%vLJvyMJ+W4lJ|iGyW8_ zbcwEy^Vn!iPyIMWWa-7-y;_AhN>#!fK>IQPpmZ$!7+ShkK{=#fU2^mu;t&;vIgIvL zFtDO3a$Hhg<Fj_x^r$PMDiFu1`!IXZ0gOL@53P$!ODF%l*qZnfr^EfiWG~a(0ZAVX z4P;Ip#sRJDSG*2X5`W~e<Dg1sbG>0IaW+x4D=xxU1;jG`1oR30*fcP|>qnHHDYmOo zsbe(jXgNZS>WB$O%Q21uPK2Ad1nxW5m8EufW$ht;u_P*YGJIVh_vdme!3ezIhqNXf z*K05b?zUQZ;|f4JtEV2H>4qJU)b84vz3n#J>;u>lnjkhTGk*i?XVQoMaav~0M)@X! zQVc2J0Re`q26y+rzDonj*9b0H)7VLk^sR2b*B(?#9wq|q;>VC77+7MiFpBdqrr>$M z;&*^20goNvvO7^gQ5xcN;y@QF$`=MgUvYp&D8iO;&AO1c^gOY=rdl(C9L5Gb#P|kr z%?2C74elI$`F}C>$v+AH+KggOof5%a;?o)tR}{mI1Kzh15@MB?BSIwX2l?;zl5W%X z34X!^fcgw0AYm-r1H4=tB5U6}dXQ8}g9*$ruAu2cwVL1%=XHkf^$=-Z_ozCfjHV+v zh9QIU3?*Q?b<w`FcI5{#)pu%Y)Vt?OD?tPF@MFLU>VJ9-VL(f(#W-#ajAMq?uihTV z@nJ2jEn&v^T(c9P0YT3af?j3)YNq37Ct0Rn)c7x;Hsb^UC#2!LxEV}cx?;NHb!}PK z9#P^kfkEBmE>O-X%mCjyFp!%H+x_c6E`<!!Yd8Wdwps+>oWUMeJ3S{eA)rh`^oH-S zPguY=Vt=K|PLH_bSm0A;D&Uq5n+`3LRbUa{<Mg?K%!#Gu!P{*?Ww4bm_@J&<?kqEZ zxxGq3VSsO|tZwwvyl4Y>(JGKa6Z}YzvSI6uh*XzZqW7MxPN9bRA)X5QFyjeuYi!X9 zeYF;&lr_MRQqK!D94ro1Ggn`GsYOZVk1|ytWPfb{w6yd<jB?g6LsC60)WF<+QRD{1 zZ#J<5OKc;@)isrumcZR`_CQ#gS^!T8KFE+p-3~RTO7JYu5sY!Gu<Ja7DI-Rkm24o- zc;ymfD^eM2{}V@pkw*6imHOjL=Yhdz+}u7Wn3&5i&IMRa%xuLUq*Uh3$fM6V)m`jV ziGLV3g-)gS44>FPHEg|HIlK1F8;uNGEH6Jrn8Rtta1{V^pXhy*l6e(#RUUq$JZ1jg zU^-aKZl%nZW`7222V%<SWzBVXZg#qa2JBsKSk{tLn{-)k?|g0fRI9)-HtBmri}C=A zs61`GdtvHP{Ag$I{64DAnh5Qb^jTQh7k@h<ygJblKUUe_G(a4|8G?R&qWpP7>Q6j4 zI+4Lyb_yaJEE+HxB15l}jX5feHNc0UhqdE6u|;WXUyVx)mbXQFYPts!AB<4e8xx8~ z&NMD)Q;};+zMrP1gr{n8t&2&WT9M?AX=`0%-K&4oidQo}&NWK_aRf;mkt@Y|QGXB1 z@Wd-fL15XBDnT5e?#A3gyD|0x%LIMg40w{Ivh37d6_xucIS=z2TFwu-hpMXA008B! zgkxOGv9mE~9jCB;*rAxov$u(Ji3Y4Vz$Jq?jjv{EMfqMudog|kEC_FL72NC0PxS8F zuLHASAzkX)qxlFWs#=*PL>eEo2Y(|5Fd{7B_%V~l$vOWt-v&Mt?qa(@l`h$rh7VRM z^DtMy-)dcDwYw+>k$;R7?*|fnid9Cd5NZSoOfdKh1ggrhv)901YhC5E*~G87Q;imB zt!o=&L%aCh#1d>s>|{{J(C21zfJ^Yk)kBne`VyaM^G`yRG_r~x$rcqOG=B)k!^6YC z!?gp_WrGwAWI95RV1`Kq(F@fQ1PhMZ?V;)BlYpj=*MZUdYYF?nW3}+zm@ZNK0fHk( zt$0liBM-e=3~_Mod6w2vyQL!U4G|B)2*T8okdlU&X<i2K1T_d;W)QeMQxVfnVov$0 zalL%J)EK4w7yYjb))I)|p?}&M{ek87I(hp+;%jUs7~G{q|Cln5uYq%pPpqwCdmC$= zAjn9AP7@OuK!p!y7bA;ygkXzN1$7xu0mRr@Yj9s}h>|^%h`@@PYM=Nl#!fb*hR!sP zM*1zahfB8%6GL$v@Dr<+{yNk52LGqE8xw0fxQ51BRRIzc1R73ESbqTZJ{&btE=^nD zfQbfS3|&BV?5sPeSPOBglh}qUZmLzL+AWIOfdU_nd+ol~MNt<-DG3nHNCAooX;^oz zY}d8#?Rrw=Ug9a-A~%Coxg=D|bewM92b?7s;PSX<Sd~Cd#`tHa9_GZYaWtq<t1U+! zCQjkA--r^W1Qr~(+kg0}=5s(Rp#Zl5KJ7!N=kcy;zW+Wa_kOy41nJvDC0O4e3?Myv zrU>=Z6GKQ0qc}7-Tb?`1j%6aDIT2RyWBrU@pzRd!wJgT3v!;ZsnaW;a@t6ik>D#)q z_Vo(-r-`)ztR$DxB?ZuuxBgq-bQdagXrNfB%k&zEjib!OrGJeEb>YXU6=%>kZ)68M z>FBhRw)K5|Q(2fI0%a5i`E<?MA>K>aY&Q{u_hT^>g%3pIN?9W#tMkd}|KOuLAJgYk zU2r@_$j#)`D?{tbLt1r2*dvW$9ohctW5*=2`iXM5M~;;{Y3WNb+sf*rQyb3cV~lEG zS8HM~mc`BOdVjn`H^x3_TsUlgh*OcjM(LeP0;q(b8)4s;HucP%ITZzVs*5!%R-DzC zZTHz@x+6QR=2(Xv<EWs#xt$w+{H4vR!61jqoq{GUo=u6~OW$I<Lp;Tjj+f(w8-_eY zNhW=qvSG>7*$|}6TTqpGj%a^|2rzH0((7|%mgO#<LVruvk`J$FLZAP}@S6mO2X?AF z4^V~?1l%QzJc0Z|!)o$NbFo$4buz51S9oo<oDhDay*r_`$nFrQt-wx(M=r6iPe{(W zE;3p1WVl<+-4N{=7!++NP=D%}Z13D1F>YHTT3TAS2*55(We%PL6WNaU=8GY`w!Xvp z^@!NojDHNi6q7-R-3|><kl}TzA7DHX?ULfLh$nFN+*Fo&JuGvpTV0Bgi#SYh#F(Is z#P=$FBj$F7+UMq<fu(qd&Q7H$z0cUQU?=o_a!J;?p0$hDD>73Nm~dP&Dgv^k>_^nq zNEC#YVE@`a9nu5-b*9D3?%VSat#}ZvNaYA6fqzVyrMbc4TqE!}-r)=DUW9KvC?h^m zbYtFapalX}0|czFdczdoxrCzqq&$8;^bR-7Y{R-rl6xpB!aqd6!pPmk=eRoVJE&1S zN%zIO%8{8AUEzI%w0WBNzACpSmS7jTR$cd2=-yb#jo1t-a5sL8&T3z3zLA*_G$1MJ zq<^Riix3*nYu`T%REBV+@J0L_m|&dHSm=ghC1ZHInW<!l9XW;1(0~9@=ZXXE8HWIW zf(y=X%<l*~Zepz)hl|-d&pavK49*&$q;`L4eg~Y3A7e8QLC=m{mOy+=DNHQ-wD_2~ zrpbGNiTKHi7eA@p_!_CKDe)FYYnK((Cx69{tQ54}yQZB#Qew9)D@2wr{(vsbTZmqd z>nnP~`|quUr+s^90n3fO=UgS<nP2}g%@ALsJkU8RK`Ei>oA1LIG^y9yWXxbgq+EN| zwL5SF1H89a83qy`Z3^bGAr}0>Q7OuO+7qfC2JY?57^No?mVm_An5|C_Yxi<qr+=&6 z2$6&Lj_OjNrb#`DIg2)ATwG2JaJ{`cFvRC*5??pfDp1uiUg#)B81RBHj&p<D>l)-< zHaA=|RtLKI90j6pQ>`sk0iy@KPuc+zVGFwj{^-h}D<-c7x~8uVd|k44`;ar$d;)k0 zd00J|!8`*frn?1c%a-*b4?-^toqthFImpF6Af}ohSm_37!+39?3VJ^@J3E@&!#+?E zTAmHvTHF?W<wwrhamm^;3El{DA1Na=KYNC2)OC^Wmg0*o+il-NQRElraKa(MXbd|p zFyigejCwhA+c&VxaO!6U*Geg%%pky&;e+m=wkAg5shz|XY!!EQ06g>)SAR-V@7DN9 z&G1{}hcv?h1m%ddWtlR+IGwX-*<`#zcg23@QrM1#p(SH-T*^Q~QE2*@7Z=5Ddt2>4 zCYKevNx{^P#8-JEI6({>V3QCsQ#!;@LQz87e0sUaK4N)jwK((>%V`IMQGpY=Lw^mh zc`YsrX#@<eA7rrJ(TmRLm47tm&Hn}OCfZ|TK@wX?pU>YKL`f#x_-~zd^qbbLab2z@ zfs9k*3R%H`r;DDZL!xs|bC^%G#AxdL!?6{}-5k^Bf$XfNJOkjePxSuL%=rUUOI}3N z8uQBb#^%)??Xli-O_ty3&u+A{N!Mmi5`w0qWEZBW<#J=ab{jtF#ea^LRXKofG6L6P zQdM(HuNZr?F>hd*D{V=JF)HR?#8-Ba+!h?idWq=Zg{cgmdU4NuPiUBS>LOvB2L5qc zU|xyPi=K&N4@{(u2envoNDMiv$vG$4&vBlGq8O7Yn|57?{3R`3K&&O;_+X`E-ju4z zv)1IibS#e0;VD3&t$z>-aP;|!T#l8o(rIG&bbGgGhBh_{POzMo+F$7IS&>+nY8y;% z%u|kZ$B9g$;0$-*e#&2YGRJgX9JT}RWV^?bJNniO=iyXUo~>p*Jt0#OE7Ip2WK>z@ z;zfp2Xk^`gjTE4pN!mX<|NYE(Os#^1cCY$gWCmg{0g1ta=6{TzfPI7$IBPJKH48z~ z4KK=0l5W`>einL4=bG<BO7aNn3dTdCa<U8gGCc)xT|t4zR99kDARGt??QQ)pzrq~1 zFYfuKbj$ZNn3#vhk-3Muh5?t9iomShyl!<xQa<9iAhp*{#(p*;cWM#b|IhrLyUk@j z8VaPYfH38j9)EQ?Vd0R5@HWCiV1;o24H)Z?A;@FbxXrl1`&A7F`rn+Qd>{X}&~ZT2 ztI{R4)Obu@pvW;yk0lQ#LGR%FuEb?}8<4mWvvuX6g@a|8iu!PXl0w!HMN2&&p=d6P zxrTPgvokg~!^ENGx5>7ee-4*z&$^#MpPR_NKu^>iiGS~8NBF9veYSI*;MAiWd|K#q zvj8Yh>5pV~(r0eB{nO!9q(I8!4JfvBQ{+bP@CFnH*c4@9xu{QBp_>Ss{?VDR|Mtv3 zkpBHlNXLyd<{biB#{4dWp^WQ5&zN5X8p%*u_M34!-<k1!GS&>X@)_^im3ylLkHt6D znwv{v?0?XQw*}1(0;LA86SZChwVBp0LNk^M-7~{w+UZ5#YBH~8H)aH-h|1vAK<<E& zR!<TAC7<=xZ87~CkT-TV6pUaT2bRaq`l1gp;v&cYN#u83kLXau`Zwlf09}NfX~({V zqZGa@MzskU(cy;EYitVyR0%^kwZ=Ae;0*yW<9|4m@G76L5RM4}y%wq!1$!|VG*n<K zd@{jL1LXUnc?t5!Jh70Bz!;-l!s@Ke<uMxQ-xjLj0__HlBI!P@#V5L{P4{PiMy2?p z#<3<M$Zfc1;18x*1UUhBj{Bqkb*|`N%c;}tVSADl1GG&bRGknP&@{YxH}dqt<yMPR zxPJ&RqrdF|C;XTI(O`SB#|tvSqNcXXzzsq*PQNkl2%tAMf!5r4nUkhAC+r!p8%9d1 zmy7-|nNkT0&(GF@Ao=1J*#q0peac?%o+@$I?6^gKj}w7`%zF`J>zU(kkP7M_p!Hx# zSh$kFoack~3=7vahw<Xkd-H;va2<_p>3>$jK#UBWJ&&O9kMaBgruYHziy*t==0FPT zMFe>OXUawOp$kQ5)45~*J1ACb4EH18IJT&T-dBr(Pn~8Ef#k8pxaU(#ld>ggOQPuN z?6*ymZomqm4EM2V5&>L=SSaa`xT2^%ycJfwbj-3vno%Yimxm7$Td;4rBv-O-$A1Q8 z>2O1X*DQ)4!rC;pZ2=z$a?_2mo3q-C!iM?#0%<?t&jOP*vJ9nypUY0jfB@)*-7d=m z`gD_4_KjNn?7Si$GB8k?>hgKc<RavVv2kZ+F|ETvc%=c766y|TqxDrU>BPi)hrl+I zwJ0ZdOP1_+r#AE6a(-<ex{@<vCVx1@vq8K074w0k1l#FP{rqT;35-v)0;53Gs0i;P zM&D5CA$cuWkM%lg2CcMrSVrwyn35Ez@J^u-3sd{d5xjsV_oxnc?5S91=XAvzWFwAE z*rFXlu5Lg<Y>cLJT0FR>?NW1V24XgGvhQG~C<b2T2(+broOPP$diOHnet(4VnrPo> zm1yhm+HOB#eLUmMR$|J`Tyx!W`wfv%K<vU=%OH9EkDdD?*BbMx063uoOKeoG+p~W0 z_K>!)M5HKz>EUVb6>4C9LhlW;{F$I#=eif|RI9K%>y7#z;a!g5F~N}r4JlF);xN^s zL1Q;1Wk?PZ^j(SyY$6VeX@ANCfL0$3CG`gg2Z8k3K1tynUe+!MS@NhnUe=z0K6RL| zQgmnc$_(HCDEenV<Z*(+nzl40lYhPQ5YV04HkBCA^(CSs0;}Al><<zdjlhqwvkqt@ zh;a>L;Zo>ZwXVB(g9(RIXJ(t<0N)Acu;Gn)e*){9x))^0%!I?4<A0DFhA<QUyp0cZ zg^`E0o@OY{u3@y$yZn#{g6dkC5+#fOuB-DUKDq5nqIKs&6uAUz3+W+L=)JMtAidKs zQjd#Gi{a6`PR7M=%VD%TN3LvbY`WK;(q9kH&+JV|L1=tae>szZxG^A&VM4{W3M#g` zP_gx4NC9>P7_OeXn}0R>ouQU7^riU_Py$J!HF%Hpv|cUKEdVZA#zv_i(`ow&R+yXM zVTKjt{CHeVERW4Hy5$=S#xQmRo~;BI@I2!@phhUd4RBxdwPn-H2&NbtP=)ags3+K9 zGh-`kKX2N<L8%huxWOzX;A6&oP>nRN7p1`{rs)!tF$rK8Lw^?VB`D&8Vt86CQlxJH zsKhV=<_T^y?IWWX79J6XW+u8uPu6lFm^Jr|?q)oo*$|{L+ThIz1_N02;V3b3X^w*Z zmOM#PKFvTNgE5AvISd34e6eS_&7HXbv4E_LiPltJIv(D$v37@+IhMqYV<%+MihUNj zaa{{9S5wCEe1C<Ou2E%D8O>Rs&XZ{3EHe}fMSD1k`64qOR`V>N_~7M!-X%?Ax?-9y zPFtyE5Gh5v8+sV}GMPX<`E8{0T&T9R{RlAt!bwlRV@a`&<=Q2NJfJ~P#f@-{Sd}l` zG92+`QshpgXV0(t{ZIR2@;gR&sVkNyyx&W-fuUqrV1Jpsf*54?Ly_hZyn}x<^wt;u zY{`fP{9CK$24e0sgh~pGIf&a^Sd5Hlm~lXuGj9ocF$@7qf-7FBhj9V&p#l6tC*$O- z76FdK#qv=7@hN=N0J<_%(R`0(i@JJgsLo(!sH6FQo-AZ4p@k9VJR{A4`qi%JZy}D` zzM7glv45K_RzSDzPHlGfj>G?6W3|1q9*w`TIk&XP?px0bEzaHnNdr*GgTjvh-Y76% zw4}##f)GAm3<XIj=oCUhUmPe<*e4F+ZH_=ek;$M1+FMLHGgPg%1GMkpy<RG&(r#$) z!LX1rjPg(uf+p`Av|U9$w8KqtcmM@&P@fS6ZGUfX3GJu=g%%SixQc^06yV}uHsy?T zIHU3P0yeJ0w_r(+<13zyB6Nsx@pKU4AfAqnIEbg?C=Spz7)6KZn9}(a==12Z*YoBd zhy(Nq=kG$H5?Vt$aX_5<p;b*#@PjTx-dk7Aod{ny!lD7g3pzU)0ZqeJ9N<uh76<WE zm4Bfi5>M6LYI`bF1kJTpbO>6k6R$Ud!lF3nL!n9>455!umwjO8Wo(xP084J04OQ3$ zJy<E&IZ&$0Z;5^aYspRR^aEgNjzJ1a<Q{b?VIktMKpv{U>p$IC&%9v(Q|OFBmnWUz zo~*Xmg*kx6G63Kg!e^``x3fzMa7m7>cYnDZ`_d(K>&w<bP4(Oc#BS)ha!KF9X32_% z)pdk92w4Ffa{<&~pn(y>OI#KBh3Q1!=yU?Q)6-`{v*gGl#3kG!sKV_0PM60$;;OLX zp$2^NrD00?4cFZYEXp4Ba)h0^4rVu6#i^Ybv27UWdbjyB;W<{Gd!<VSc#_f`<bSG= z)k{%QFGe^H7DFvq33CR0ZGv$D0DX!z)w2)^=0+G4dJlsD{7c~C-hz?L$B?tR;09n> zgA{G`y9i}-#c+%t`gHApTo#j}M=32vNVs;R_EPd`d(B}O96A!JzBeGMo#WnPv0tiX zs(mI}NWuqEDyTcO{nVc@H_?(1)_*o3tZjg7)-t^)a|Tx<F))PMhoUslRLnIvo*6SY zYNQ5OC^bq2P0L&xLqww(T7Wsh23G>%%qn(_jMe0RW3s<9KBnXUX^sQ_Bdp?{#BybU zD-h8Pxmv9AfK7&4P>2+~XLLWRkfur1!9<}|^N2mGbw@A?A8f9N$cOZe?tdOlrrA)P zFxqHGh-uA)c`On9)D>J$OnyBR%HLJfO{~D$aF2Hd1H}II4vCn`eW(6^|6~5B_0NGZ zpL{E#H@)8L^@<WZ(y!1@K3LlN%iZ?y{0QRRhlx}6uQEyWb1tva8NcnCf8zT%@ywNd zhpJ7u2HgKvj#ov+b@)~741Z6>R#vS!u1!RZ%nh&g7d-nl^ZoL)X(GPTA(kEJ*&pTi z$R0l1@9}3Fy@mP7v_)GGh+j*7?MbpkYvb2aUZY6P;4gsHGf)~!`#|1HeL{bLmHw|m zIc2<-upga-uV(!))s`Oh;&x#Z3LT|JF<dlY%GQOEPxHpMps!XJTYopr_6+wJ@Rr?^ zmU9IuDbUBOvd);5$p|0P`?1O<Wm2RLdN0d}-|Pl!ac?tbE_ezLgO2P<sTK_PD9}>q zCfDM@-2?1n2d0icBB+D6@b#<=(=ut~InMk|;L*1snD%3VCUEx$FNkGiQYMG=<HiFK zZ1rTvrv!blnq4WaU4KK^2cE}|q#@oR*G}({FLD*WtDBMc5mM;g*p(uLWZ5%<DOk^@ zq&QX+>_8tpoLPxts1VX?d1ip!H=1l2ji3mc<3m`1W@LFn2Azm~Uqq8DdrFW7J=n-p z$L9o9P?e2LLvV;ZDWqo`4Vwq&$uce^iLAgYcpi&lLWU7u(to{JepeaN0vO(&Rp}*k z1`^nQ(quQ@nD*9U65B7c!Go)fwJ&Uw7rvnTV(U!E3WOH=IMxzQ)+UtE9kH0Ac^I#T z&rl|0#Vsa>qJ$Qp5njYXnvs<WY4kPLC|o2#sGv(@Elovx2{d{hbJWCs7vn5vJ^=Xm zEkTNLp8E_N&VLVgmgdm}dqE6aDQ*6W&<-qPw@Z*uarXh7A|05>n_G<SeKnNucBlO+ zY0t!Eynl+$WuXnI!ETon`EVPsnMDb5q+hvf*eX+zq`(93oVxWVU#JQif7{w4d`X|e z$`x%%2_Mi^v3h1AH9<0clIe6wXb+0m+Y)4VZWUHkw0{K?R@d?-v5PO(ltFv;HcWIP ziL4?Z<73UXdfPoHFd>aj0KyArk`rj=&})&soKit<2HrD=kNJKYQ{Gzq9sjJ$-i@n? z-6))q8>pd5pmXWgEP9ce%)k}YF7rHkJ@eu>lEofUZY__C-FXqM1Uj>aVImAS7Mo;h zuP1QFyMHjZFOej{MYe^E$c^iQ?J$GyCY|&?0@M@7Eo4P5ZLZ8*I9v&ZP9mFv-fRnL z_@9DEAeCJ!VejE>ftJL}1Dotx8Td1*4BB+OW9#isKKpzp{JFV2>ZG?R055XE%HyA~ zB8z-sq;z@>%fgGK2;$l7WZ!3e5(0ektq2CM6@MHDTnme&ThQLIz;(7+vYIDqpWqO_ zh-q;Zr74iYdou5txTX|+BxbROz3R-sSoVt)-<pm#U1~O6n^}7qr6UN&+ot+Hp~|9f z;%!)m@UNNIOllNS?!0*WACqqEZ_Jt+ss&mHZ=3QFg;J(c=s&PFMK%&OkEr@!4qGe5 z(|>F90JW(WqY7w$2-!_)qX%L?7TU<wyr9C+RH`BRyf+*$V_!@2M2*T)^XUw%TG9BP z(F+`!4jQ)lDXqwBRFe99n_+-f#aA%3;QT$Kzj|u`8SLg%n@c1GbOOF1RS`wfMq7ri zNc`7P$!=!xA5rbm(RfE@vngMmR7Us1Hh-A$6-iliC#-MLDvX~WF)V@nl|yqz&t(TF zP(6Bnq!O<p_fpfKm*sqsqHM@B!Y*)#oss(56S;?)L;sAW6^%<GFL6R;(D2m-nULoK z3qbM*uZ0!nMN)<kwU)Z-LDEC}<JZzwFAF8m;dtd#x64Qs!GrA3#f2SH@~(*k_<w5V z8MwA0@;vtdkXh)s2YH2SfK@irRuCrB&$1T0ga^PIz6}xBwdc0F0zbSebKx>_pCAlh zz+AY3)D&FAdoa(KkPix!@E)uTI9ZDD2i=%u^tIU$hP>K#6MG7xSlM446_Usk+zY@G zJ1`~31F0=If{$YAdJ2z%XnYh4Wq(GNC0y=_SP)N1ec~j3g{f{*ri}FGN&&HK^;E|i zfgKQ3h>$9)5yS$|irOR?Cpq&j5TFoZK*>XZa)khekwu>3Mgm{isAM+}hKj%xU&E}t z%Fq(X<3pGfelrbL_F}>n0u)9L0#u7G;W=Fq>slBpgP}qc%YrD@_K=_eu79u@sq-ET zMZxRro&C`}?%aXzBr~28;}jQ;turI53DofROa`1MFQn6VQbIk1S|AEvmp1>ANS229 z6rLK2Vki&_=nYJy8BdXMg3H75i{>RU&j^;FD_fXC^Asw9TKL7(`L{%#GUHm?8WUwo z))Rzo&C6k1igYB%uG~y)OMhX4jPNNv4I6GEIv^n8tCF{<0uLZSbIx*MyNW*YkB%p4 zm*5V)3+rzpIw*+3`zPz5NHT)^^lCAlR3JR{G85ksMY{#6tL?HPG}jiwQ-T77r$wgI zRiPs&iFZy;cqljvtQBp^2rKDTEFs)pNsK2;2v5;c0v&uu>ej=KEq_G_PaQ3yB=+{L zwVlGPuh3l*4UF%22pd{<o(c4#cNX{HcA7;=LH{<<#cTeAewe%C$95o`QM|m75TOnN z1bi%uUN|E?P%StL6lks)vxeUi?WF~i*`fmM&W*7u8Ik?e_F>RpJhVO7=qxWh+p~2J zm@HD0g($^^C}l4vxPMKLVhxWH?Ue-@tHW~kwbWf`+}1n{8)VwDmwKO`#oR4s*;D#; zEUeIX55=Erh@B}^lY>7IMgJk5+Lai?B41h5N$w2R%dADp{E@kaxtF(;U^m_?)wh-^ z17YfXDugMDOv}}_E7)!bWyL0NMbb-p4fCF9ix%}SdI;0v3V%vga1zf+^?gV+NAJUP z#F&E1k=o;CSi>GDNx`+PP#3_8ZI<F&O|=3)vR@?oJ~4-(u6gfKH?7${deYkli2F4A zS$rS)pFet%q#;UuWCg=p_Cb`=f++P-2BMTYM5*93PcM|5m{PtFrCQ_#arjl{3)329 zl&7~efc8EG6o1msU_A?MWNWBYd9)$kjb&yQA}gkp9Ym>X$q=Pf#FSElC`Dsw!9%1W zN?{;Mg~^6Ir0PJFnrA(oRvncTJcxfcn~PP3^JS1{yn`TVX->|$GO7kiOG9$bRT3Ob zV~0tTfAO{jL`6ioGAdOLOkl^Qj@M8%AZLk78>dl~L4RNNkJRz!R6TGPev7qmiDVBs zi!?LCRC^cl9QP;S5nDD*@iJ0|@R<Gxt6HcitzAbr1|Gvli;YDFGL{7y?HX!NQR5D^ zx7YW393*AbXLK?%&ZI?y+CmpW;B3(oAo0q{<1Yj!08C+y4F82dAF$92FdhxPPrv;A zIE1p%bAJ%Za@o4F{D*>lfRNpj`r1Qi0)bOkI;4hh5VE@|*0rEbIqbNsS(!v#5&bR} zVZ9CiF0OlO*amow!l?69hZNPnCkY0zvj7-#VjHEcqLAG=No?KdsVe&OuuTu)ZS9>x z$FDukc=x6yckWT!ZPT&^XvunP{TbmYG&U4D<$s?MjqGvOSqy4fa&NN}@AOJMK!gqv z1Z^hp7Vk6%?X)ru?UVwIA%2I(6z8r(o0f|gBca7PXixxyHYLA>CZOK3WH}Z0-8?&q zO=*Nqd<7c7$TF_1y^RE1zJ<Q|;q;6EYfWdPQW2LJy9w>|kJyz$nj{&;y#_I~O$O=3 zJ%0`{lqQFH#AiqnF7`C1451kE1PXl!Yh4s7S@wtk2Y<)&m`_c~nh-;Itjepx-H_z@ z!7#OahBV<toIOidEOGcBJPwm$M%E$RrQ0&q;bc{T3%-TvcS)!YL6np>?;%8jA(}j0 zvE&Jvyyp-AmGB~_pBR8}_5_SqOK=7U*nbn!yr+T$fW~%`fFzG30YOv`f~asGBzba> z<cSVIlIPBZByT??c_U1}E2LeJ<aJ>~3KIZFK)An@#FD1~NuG`*=83=r<o)1TvPf`y zSs{g9$0V7Gv;=PW$>g?20t_U1339@E`XVbAfAIakN&aRcNl5aXQz6Myg(NRg7LvRO zpo@Q<C~5zvKn4tAC&ENmx#3vnugzOZ!n<)v$q7_}JCMfSmJ<ESofJ!+93**3ET_K4 zq}{^Ao~`$0jXUKLJNpSqJzE}t9&tR9IWZ(2yV$d12rB>Jy=S(2k&c7WnrrT?c2A0) zKpPKZwxdW!km>DCttj(H>jT!y{3O_q$*+Ix;ne6-dM6}$6^axut{v76^0)yMNf%9_ zudtR);8LV34J$D0+`rAfyM%Rd8Yx@VR1<KLeJ^F}iQqcmT;wYy%%p$8b_9(%!$gRw zZBwvLeBz5Ypk;du2caP-&K5nx_F6AgrK*iiQY7qMz0UzB+4)#lQIQlRdorkByl;Ps zWlswJOb`rQX-;aW-hl`zUH2#53Ts&Ct3Y}}r$e^KNtrQ&2$)V^#umeCBuFLndsxob zW=|;yqihJHo{}x6y>|<)<By<^;5}LQ%xYvw59oZB#Z{6t2xGrUQ@czuKzsW(Xkq7S zyi^4akj>S8oE(*fS5uWh8}_$UUz&fw2&gIiC=I7kozQ<$CD96aMb@{QW&SQZE$6%q zVu(c$1gjwkhC&bwHVu(Qd3Z+wTI_4d<9|UmN5s>ZrTpgCvMndtkXQ~pL;>Z-9m0AR zy_U`@dM)Q_%9lX7c%KE>?Bgk(S4K6dkLecJq2I@wQ#~&&ssqdHFj&Zojy8Wq>Roym zHU}bL$e&a>^eMa}OUr~0L-~7$11$EB<kfe`#nU_d=Tys9UG|R@qSvS%wT#YVg?S() z1Sq^TE9^3I7qy1Y!ul2}N^1YfNA9QA$8|A}n`$eKUgqjxbqaGNLh1w;0TO#bs>Pc- zjtwmummWRCZ4=9$93*@5i2#4<o1?_&6>gfij~YO-C)_LUqfDreDnfnq0N^Bs9}R+^ zDFi>q$DxUeTZ=e+UZ-}o;E1@6ra*O6S6oM#P#xU`)zNd}I=Tm{qo&vb;<&CHR7am< z*UZRL0$sd&>NrhcDz2l_P#yhUTt}0kI%*`YqY&E56rnmAssvtIt(1S&eoU|cPjAgz zLvVLgfa<6NR7YpiAh=6Ha92;w@f03}>Sz{I{bt#uSSB2m!ynN#Alri~X}h?R79zx? zx+1nc>9QKAlD>y*j`lYZBab}W=83H@f-30~sFGd~S5gzGk~WJgX(3IHe4Z-{7#D@g zkWX@rfSKm7GS<@<4KRN}1Rlepd<}0%L3j$SKotmQ5U5}fsOnN7+mjQ63bH+jW}H_; z;gG~=1{`XwVEf7O9=wfa`3>EX6W)U=DX*vv2I<~7mRH!O$nfOq0&UG<WESJE24zA$ zJ(`I$C2J6>>04Os!ZtaEA6JCs7bZvu^Px%_Qk1ZZafW*qOa6a-Tq%`?S_}X@*-nyV zOp?eH48vEYv^{|;sRcfhHE|WHq}t+2>I+rUDyWj$Q{LK+Z8_upJMCWx4uJchMw;+Q z5CV)8B}kLd;zn8sA*}>Lnv<tc8gy?Cb52coJmHSjgNrl;51~e?XDWgTPT`H2N+wVv zmBx3ZwABfs#a4f-C)N+=@hxZHh7RZ)tJ@^8+)XX)d=1|rLb2j^=*P^t&(K!pP$9*q zL4{OK0EaYg81ZoO&$Nomzx3*h4|MbUMGw+(v=crkc?Lq7`B`sC$o7I#@uu)yl(XLY z|J(N9dxYU)rAx9}a8KQ;h)7Zq)QRmcDNt;G24eezI;npIWP9n5?X~QJZ0|8Ph~KOx z4Rz8iRxZ3nh6-#mj)^-d4C<s-P$#vJv-jYtLAE!OI^#u=fG~AljHzg`uAXPYpHmN` z<?-jBPFf`8YLdl_EGm+1Imz{eDAl4Ru)=f1ofNXUE336oC#9N$hU{8#C$)n*DLW17 zq>@l4RfB&pg_4IlX%Xw5NsSZ=#X-Oz**hZcq^VFRrOBesa96P$lNL#+lYV5?UV&H! zbyDUbsFy;W6b5zD|G~+7M>W;8Z-dgCfKn9%LV#eRfJ&7rO-_IShNe^-U_h!My-R<P zgixeE;GskWM0yht1qlLrKtVbxVo-{R^fHI%w`PCldB63}tZ!zmd$Bh6*?XV6Ui;eT zkL=dN^z*4wYcq8r;=>gy!Kd}lb2?nn<t#8tg~BK$bcj;oFiIsKqLktxN}&!>iror# zP5#*vYIgK%7|Dv$>KMz5p7LLHg2@~P?Z#kr1txRO%^u3pmS$BVR|8=-m%ZSr7NL0M z<MDr|)z3|?C#U%_yOllVxXR#U-??z6h3W3I=eC_egq)2=I6@xLBf2o5TcS2c`<Z`a zfSHv>r-$W7j-LWfZJo`S9}FM$uQ@)`ZuZ#gP%R%3drF3pOcf)1<fHbdOE9rwj;u3R zI`PxlNw;GRBg_ti)VXILsj<<V!+tFcPt$*cBsy#`ExdOl_Sa>fji*m?esuh#aeXgs zk^=^*(CeXTliVL|KQUZ4Pt#yskFO7`f<tsyvh_SwlwP>vF5l>Br^lV5cqQh$;Pbdn zQHyofQ3e>!CaZp4dDh$PkrFvsI;HX~I6axA#o!a;vzBxmV~f!z$!BWmIA-C(yX=3X zB2-Zp;mW(1(Q{6w5je;%nCEU;kZB}LKsoOg?Zd|~qmz6`_n90vC6iBQpY^2mGY4CI zI`yoYnty*DA9!#J+oDAqC{EM(QZIk?aJ-%LJkVqOOwRH)@i~)6_-Whk+v(5eCp7W( zZ~iDc4Yur+{A3y#No)JQUi|!Z<pF=%_A@*vt~ABiep|14K37?A;f&dL>fM8W_nToR zk@PW2bDdJd;Xm6~1udq4xj{;6BsGrGnoo@@Yt5n>l))U%fYO>yb;Jh>r>9)`RHv0R zR-nH)ShVhPWv8ZW@7nPGS=VrZ|Eg}6Yf$AawF|V{3Q79`_9dI9bELmncf5Z(vh%a{ zz%{$D<noxS&fIUxL@qU%G7&|^QGV9n)ErCPx^w2+<BCTq|C|2=X*;-v|9=v$I<2^| z0^P;I!u5HxoqJ__7l-#x{XeRe>a=pk3UG^qCF?HcJ2jNOPs96n|EoI8(IffR>?!}+ z3SetFx<+oh>Lxch^m{ZhFIIny&n9cNS2(ve9>k$447aKh^VaS=gF|_>s&nR=Dzv7z z0D*v`h9~_5C$mTcUeH*YDc>v@lSP6cBlH1N4S9<CSK2i82VS%70a@VoWkaJM=KH~a zo<_}%gx@Z_sY?yN<H{f2oS2M0uzX^<JBLv!4p}&2UEQnWZnCA$U`2o8s*{={^IX+e z9sZbhusWs~DyX=3Z~Xi?Uehv`{Eb}u9?XO{beC?+I*D$(RbLts#VOt2_g7km19s~z zk4cb(JU*zWHG7qCF?a2*Ggw<IG51?Vh4$wyKohBvpCbpV(6V4BXyNFCxu)@mf^k&1 z%$$}>L*g1LTw|_%_Md-i_<F)>+Sp+9YK?K(frtOc(r^S~0+ZA)KmI%PNH__#!@ zUZh5Cj+d-Lki`lc2dT};tEte!;W(%{n^H^Vy`iDQm`tS&vdn^c|EL|Q((2w&?%~Vx z(gu;Upva;6+hm$4`7`+GaQ1%M!TPj&M^}CCw9%FxofT>7>vDf-h!tHq;JM0u7=ZH8 z>xEokNF`@E5Vw79j`!YSoD9$f$Ga5wEM2$7$Ir8vYxbv+ok_J*V2ZhBN-Wu-_ph|6 z^95iCURt{UEgUCJ+}2NFld=56@M#)t@fn(AlTM;#L=3lbMNEP&9)|Cf$<_l{4Ns8? z&0qZ_IKixbJ7<44Db4f)91C2S<lJx7tNKA?G2GTGoywbSG20jBYS7nUQ>xQUqi@3D zax4zX=Nwt{r_c=U_JriECXjM#AA$X-Q1a?0I4>s7;M&72rMV&WMcV7bc(kMq(q_TX zf8w!|X8Q6gD0o4lxL6FY$s12zcfM@6{7B4P(~nHfAB2BB2s7L|b<~4Fv>La6k1Bol z8JsaK*i4b9$hO{a?3S-u<;|KHRnlrx;8Uc?!bqCw(k<Ag_Tmtfz{nbR8O^}GXE%S9 z@I1FR7nDKmkyf{CbJxQDu?a2^w~K^paNVp~Q(XeQq2PaPT23?Vodb&xbEJhX5bVb8 z$V(Laziod<7rg1i8XcNSMi+#3xpzFS@BRHs(=yHyR1UQI`8((^OfEf|TdC!vHw%4k z7EpRIP~mE~W(658rn#h02howW=;1Xv_nusV9X%@(8ysXsHc1Ip5|a*1JIv5PeCYNW z=?b{1GFE#uS%_rKw}<&;x>@jdKlTi4MX~&?f{TA|uq?0<{>Gi7rGEFIL^z}rv!A~! z8#y<N-B)XF7J#oYP)@7YPx<CUg9=1;YHcfTmdB_{uU~9FjM2oX^xE@8-?HB~3yOOc z_vBr-&ySy<@6oKtB7Y>+_Jhdk!Nsqj=|4_0Rh!0s12Yeu=D1aGoQf^DeVCu5n*}=k zShs&ei;AiTCFa1C!`a5wqtXudpzOmWe;#$2@D^Tq<i2Wjv*3Bpp+x~dfA1Vx<S4ZB zz^(FTPE7OJ^-rG;Em|Ed^{HWhfU)~tqW8u+CAC~8BibM~(9Blu_=O829CcEwp9^ct zD+*XI=Ke5p-)`XK5$E-dHnWAo#?Kx1F;IU_8(Z@fmeQ%GT`p82w7ciBZc&5}=izw7 zAN!HbY^h=63den}E2qQra-?3KJ=?G2zV*|*uAlcte(blx`sxq$z=JHL&4V#ftMLoR zzg68i=_>YY%qrnr^yP9hwMkc7>6fkS6}pRt<?duw`AfBIbuCZp-H~5^dJ4Oy*erkc zC!BNZ=r2@CS=_syaIW35#r#K(-;?@K<l9#t_J_A^+~f2f^-#Jff9l2_CY&Ri_L!-4 zxvELM%+<})S;Ukt=CaD8{50!cSdB{^|29tJaZS1EKBD&sSK?NtL8xnxe5rn>;lWr@ zeT9d<`>o-rpeLTAo}NYzLVh&FjJ<!^wrtRQ^KMFJIHP`SDS<fD7F^awbq$u(5!e(h zTz4&B%wlb74UQdids=UeynV35@7j0OeOd2~;FQ|1gIirFk9b!=ftl?`*Bz9O$?A*3 zb=C64Ox9~}cVfnTtj4vDujX%P)k#&Xuj{=bh6a~aIM*@oh)-`vnAvu^vY>x-O12^k z>x({c89q3*6RqPeRW6dtdh$6-+!)nLP4c*pD>qx6<<t9jkhi~y3b~qFshvIU6Ufa` zSN(y@^uf>RE?xHzW;@e=$4Bl^UIwo6+2`&#;*K}F+2>NRn9L#{y*bF@MItrR>|!RB z4daNN<FSy%&LvSoPNvJ1uJV6d$X=c^qS&QT1+Q%BU>q+wZRq{&+}w)r++3DPdzJBH zWjf=`xzFv1bXz}J8qAxt(s!;j$))d@H7TbD&-DfH%?gv;l26B6uGJVXIGJk-1-j&? z7LCp;_BQd9CumD)<G=bx>dT1i+>VfaLN+Wet}@9B=4+<PG(XGC9x8uIvIWa;707mD zd(Y+XS-<E6-EJ1RbYqKwCm#5dKv&miA?WjyEMNDZ@|7wSoeag0R;<&lf}1^9Yh5bN zXv8@$wK6GmK!m)jbjA(eG%7vo4O#Nhgvit$%`+)jP5enR=9T8&LozbaVhl{I9u)j4 z+2G#ln}<!mS#b3g*7AQe{w{uQ)J5ogZv*8C*79b7^DAsR)-WqAF?2~x+ES8|iuL|U zE>fWg)zN~;Pr5aY60w>PC(1G@R0tuR4gy$mWlE?6f*b<kQIp4ZZmXJL3`o=*3yt+p ze#WaL%p>1hKOKLV%E^wa+NXsYJ~_U#OlQ0r+M0${ymjEMy?uX2oKo#KZBrd?JB3|Z zd*48LuK$FS;;3Td`tCh`0Qn(dZ)c14I7Vnc4eNL7K>PCcr8gyyUkmt~|7?GE4t+`n z^&x3bTA0QViL}3k-y03@x`9`*F?Kz+lcES27}ay$EXKK>*r_tg9e$J|Ko)wvuei1r zdC5Hy=Xz>q1Hyl?8zf<IHxKYZ+jj~9G&#F_--xvKUWbIq8a}&T^{$kgG10dT+kfl8 zqzyZJ=R8IKr<Ra6o-NS=0yC-)5?@J*p$Yi%(eNOW=CRZGK(bBGzU@)+%l9|&Iiul^ zW<X)e(1!~Y_n+-P=X%9h6>-fM*9#259ThC@=}vvp-p+qM&Eb-}s|RUJcR~1JhA{0; z(*V*helL^N#8rp0z!~2&8jk-AHrM7YjDJ>ry)Vp54#^REG<<PgEKh~PrQh5O%2pq+ zzm^oE<YVn`9W*77UEjaQkAt>15Bhb27Qf#i+<_{p!%0(EnKE33akI?2=f|9{<HKX1 zM|JoI&Cq|<OC+1@VU6|apDGlzzN<4nWi*^|22|psRA4o39au)d+3rXEN!)XhqqSQn z`aZ_C-8vBY3$83q^7gC!FUO@7i-2U@g78ii7EX8eqhY!A0$UY|hF|kT)Sr|+e<9Qs zLm}nQ+wofmk#S^I;_zFLt~y+P8mm!;3%%>jApU=c&A`Y{)rM*VYF8f!bYL|ovqLzc zQ#;((bBAN<hbrnD#j6jH-ICKK<HkZlJEpgDhqI7=iiE2_+q2I18Z^HKXR8lXy0Id( zJG9%7NcBN#2e$wF9hx%~KiV$4489mH*+)&D+}W7Nt-3c)f-N@>blS+<W?&d<Qe>w} zA$NZ`3He8fmOt8lVF{$;Bm9ls=-wCcfeTt2H7U5m?VmgR5Lu^8+aC?@y_K3W+zGPW zJjjY8H=Bc^D2)?4%bKv?LD>oy*2TD02&{h1vnUO|ohmNlRhF0M$d_O5^ZQ71N>Q5p zrr%eGi;ZHr&2lbZ1J4tK;vUa2tJ+l70o;E*`<%C>H2}_n8<0fx!P^e3KXkvjM?hI= zefbBREZ-_@O85Tj5b5N<0spMEt3RiQm0`!nLGS8tscCE%Tr^$auj=q{O^wl^_dW$! zUbvtu$d}D?M3o3Pe@;JF>}{YOC!=2PTZT&~7Xi17vrp~#cjYOhA~kM9X`}6@7r}pO zKH3$sXv$vB5NZoZ$x={UFDOwVoYNP&3Gr4RSiuEGD8rg|@6Y*2PnwcM&(SEO;h(Oj zrmX#q9lCkoT0`zOFU`3Ft&O&iyQgX$v$;B$dweHzJWnAK%s}-&sKo$76kLtFca2?F z*Lxog5gDhm&|Sby2Ru2vl7;GzRM39_%<rga+(-%@vwxKMb$kuP-kXde5K-n}4=$+N z{(jO|37jJB8j!+5K#Itajk8$@1fmV}el-pITil%;I^{ZKM;5p=b~@E_NFF8QJHo1+ zjU(kN(JlgVOazpEwiAT(-xdR1(2zPg-=Et+f0gttXHDm)W4u44lBh5R3f_M@h;4<- zosle{YjYeV@DZA^MhF?fUW4o#5Z>QX0E`)?31rnCh3H7Tk?*KM-+cVpPlEG+t2@NL zF?bS8A1<k@Z%ii!#Xc?;5|J6X3R)8P*+^Dbx?Hkq$22Usp`_ZpjIqxj8#!c2AvqaY zwIA)9nP^OjwWDC>g#O=vCd7Y3{@C>U7ec1!@mwJJV@r85qORnzQxjHrg5!uwtV{E7 z$sd%v@8G)rs{7b=2<3nO1X#yM$iwDLVuSAtxhB?jgEGVcPLdKHzK*%a_&$X9bSBp~ zjq~2{<~R-MkR9$fNb9YV^5qFFEg9?(dtxmFT2Iua!SgU=2fumW!dZV?%8<J<A+DwW zESyLOB|>S7BpalZI(BtquV5#b6Owfu5=~mmR^x;l*Agz^dZ0@8i7>KFpR>Rn5?%r| z0JS@6ZO%!-@Fg$_&SW#T1(D7UmGcowv7Xw7iC-o52Eoats58Jg?M~oputD6C8!xPC zGj)!@4R&jf8Mz1bfNg(7p#*qsC3a`x(+%Rf85oLc`!diIU7Le!bB9`%ZWs~Q&A|=h zF>Xp5Z2JzXZC-XVsx}7cu1E{rc$oz2l*pP97V>~69<)b^L|EubiqT}qn!fYC+NziG zmj1?yHT8MGiCiIJStg~hL`_Lbh2M0_<g^>{AwK6tJy39ILG*uN#-oQB<!7PNr9h+j zH7UiAhuDH9@;V~aaGdr`W{Idq5QKZ{9+^v5hz~S94Y{B~xd?}e6eqv%613A+1q}Ln zP$J%gwo$_w4>RP9RToTlqt-a<XlmpazJs=UtM1!V;4OG};)@bsI(sc$O^h2lpSYHe zb=1uk1I125DX4!?20}i(r70@(NB3Jq=p_=@qqV#r7~~cpmc7;%JjDY_@X^Y!T8;BE zu+A}iO#)2URyE06vL=W5SPLV!7-k<}wRCMnKu4hYQ}eR-Mmz#o`olk6(t5EJbVT@Z z7#Jl!%zy-F57c6glAilKoi)u_D_5)GhQw;~_UmI0z72o;gez{l2b`YF3MGb>0^!+f zaV<M?aQ|mw!*y(gK}Xu1t$wh5q9z$JX>8Efj@nxs;EGO@>j8({Z|#2>;DXnyc7fsI zp{KxBKAHmAq}hBC;bab;BClG!j$_cKnv&Qbr7_WLNg<#-jv8Bg7SI|J(DKMZut0cN z=V(mjpiO@w@<1T)uJ;zAO(HcV%?Tn9_u28{3%)KnzN%om{wj;k4e~(5nkjJrNy+n@ z-X+SO`CfdpHcz$=U_wj^Jj}rO*6In+i~;KhfS`HTe740g{0l^$!OjxBt(`a!2wU0w z4y-}UG1BZ3eP3W>#GPQ^;XhBi3rKg}_p$vXCNqEk$d?PeDUMfDwUNC*vjd8wd{5TV z*vSLYX?(p6=n?R@c=}POn2+W|PP}BuNaIPgm;gBtmhAW_q&)$OA!6VTNIyqI0_|~& z7DOcf1<Gk~8t%z~3zQS!=NsPoX#9DyY^-krob%Txd;AAeIdT{eP*pTs%d-Evd^?Z; zaf^Rqo8Je0D<;OqXii0J2UwD2%NJbkV72@nZ&;!p`372QNtteYi?>nCrpJ8sEwv0% zu(Mf3*e1(8P=nSJ!$(7rc`WzNYNBFX5>fWoKA6su!%6^>YmP<HlLE)nZRfY(*;3`( zSOX}91C2jJPPN?oOX8o|=}#6)5!h*G6s3O{d&N@A+H5-uiS0MW*ncp6l={NBNU2Vd z_T)(zGe7yIygs?Ia-o#RQ0vTNKsnnNXQ|ad{KpWdC)>UL|7?AWTv@T;^5r~Q-CTik z78ISmblQO7hx|ucW%RHHF!Em9a_V7*53xDntDyCJaFyu6$)e+=dd%?CXUwH1V#t5= zqJs=Bl;s0ob7Jc7$~ww2%EA=pWY3i>V}1RJ_}cr`SWCpD6h2_F3*-YGv@xbICFRq7 z66(6RY6GUCEMtb10e&=|pZr97K^h;h)dT(z|0CFYKxcV@#=IeR3gq(j%21@Jf++3g z#4(F*P*_}10PcAkvg;*RJ`m!gxsrcfbzD!UruZgf>ku%MVG}I|z%in0;@1{KG<o6D z6RFf?43@m06Ql_!Ujbbzh9<vWZbp14R{Br_{FzXZ#h)m`J>jNhc-2}IJ(eOQxg8;d z#QQQRwvw@&;u-?r56Y|v&Xtjn3m3$7vXJf=4~VM0kE@u)A>0MfN1vh;{i1)b5*Mz3 z+bG58wKo(A7_(eaivBL|wOucfgmQ*o?zO=+r+9%P1<oP29v2s4D<)u=Q-zsg5BQOY zVxe7jHJ2feUCNeB^)z=UkLhHe02lZuu~=o@Y(#2`#SnO(c$tYJbjUZ?iQVyETd15U zzlgPGz>9J_PrQM@jGwF86)=DBV}WXu8wKG9D*4p|dE%iGsg5m`D8I;I#Zxl;v^!`* z(hqimY5Z`i(9~<t7O}{M=Wq>hA$!EE)dRD?9@f#6{FBYz7){<;Y|a_x#Ag6ui$RZu zMIi1Q-g#)eAUWt#(C=ZyWdoyo<RG2xi-0{Ea!37%^0kAp-HIHfyRCmO8D2Ya6E6lh zvQRigWJHV?I0)gyF|(Yptl>d>7Wg<Co&xumR8@cqG~Sl%5m##pdNn&kM}Pwk{Jq5C zUNDmAAlU1lo`K?wT8jl{o0_oE;`V|;oyQUtrd2180Z!2hkH!>+k(@EU6|i}+ISNPd znQ-R*eq8)O#zO`CtqXrn4X@Z5H#0y@+6(%e%dQYBFrV;M;!m~GBpbzpxKvcvG7h#N zJ{<3@n$$o|a#BKwv3T$XYLbC66rS_<X!Fk%+9lbx6M05eq2C}Q_og+fiEJB@Xp~}m zk9GquJBb-A7LIK4&5_8IWw}TDOC@uE`5r-`uQ-%{z>1Pv<imfDu`cnE!dQboOqk44 zABk<vuR-HA8_mH-ZkThI;zCQ^7j_Vq0=>(%$5E5qltf^5Pn;|oVSxe~%yyWw)(~Kk z_JV=C&~*p^1mROy;T;%<us;F5;G?u-A0zA$_yEsA@I8^8g~FKJuYnU{puI)>0bg^T zr`-Tz^j2ByJjs8ek-izge#8$a=qk_^t&kz0JOCPqPm1FMN_)W`MDnrT<rV{+5DVcF z(c^KbayR&kXv0j?bRDYrNJBOLPC?)hgd+Xy?*q5>Ryhl9Lz4bv>A#}q2&e3vl5&pH zz8#~{*-QXQ3QTxg(o5UhC=D)3C{Yvy9vf$~Q}W<OxkG=>k5G^V%FDDg?m}du&0)>9 zp){BX*NLJfO3BIuAy6ABH3VHHm*@(eO<iV6d8ELAA3{v_&5Nx?odCbVN9o2j@Y>Qx zW1_P;*o5kjtu>Ks&&yXLaDji2Td(`i(+K|F$H1lGP?tvvg3vGmSE%GyQy0t(xoHPw zfPn(EYyN-xu)}IpFp&7|!IJI6=4C_Mi4b5&7o^lSO3Og>z>wZ*QZN;aSrV`!=Kl>0 z83zqazT|-!rw7bd1?7M<l$`+uS|E^;^tEOAHrc_;|5ua(d2H=3telPwI(7L)4bJAL zhfw0z;Lfw;j7GP51n!%8(D-K~aollumz$)Frr>`mZ5wTpRZi_GNDLXHeAn29j%G^Q z{cM$8%LAE~?jJAPkyRw5s~zWtbX?83gS3z0_iJ7znM$_ZCVjl5sw+9Y;)kk;QBd=p z{!zA5`RsKYBdzOQiGFRP;8{o&U^(>QJ@|*n#Y_u4WU`z@O&)Y>iZgT>kT!AmUU<=G zJobN8_Nw0Mq<<vLQ|GP{Gp^)(d{q0|{$T>_6g#=Tx$itFMDD5wqyz*<*G3484ApP; zEg=ozJ4d1Z7#EuV{t8#(S~j-oQldV4)tRg{4EO|2z@h?y1@zHgz)kwMVAUqGt`e2Z za)RD-+$0Jy(l}G6YJsYzoOj5r*yRf}KcIg`XG6wl(*I%`f|>UD7=c&DBS}AKNQ0iQ zSI(mx+cNRE0^wc)j2j2_X^g=M2>Jw5RG7;4QFdP3gvN=9aQ!R?k=Gl~R3O@P+=C`z zhKf1Vago=X<{u!p99EuSmm8D%5f!Dc|J2LuSGL^c?=={^PFinK+lCn@i7R_8KUjYW zkveT6mbIn{2E%dNc?WV&&}@j?_>Is(kYS1M0?<N%Z^et6z8gN#Yi!s88!!c-fl2k; zbU}&u9(*g@ae#d|3Hv~~6<-@C&@hM$rKdy#VcyG+*3jSpOrA+oiZpruE3&C6wbP*P zQmQ1-SGM!Q6S@Jo!ztK+8E~9}0<eE<o};Nm<Jj1=lAI3Ze&qYg-Y5aCAr_Q~pP;gK zP?EsNNd0Ce(FP_zi}gSc!u=@Z&qp&Lzlf^E!c7o%j^^fH;~?4IxQyHG&Z}giX!%W7 z;kG~K=`hpI)lmv6CjE)`nP@Jl4{gEa$z)^F%i!~<y_o@d-3=Mt$-|%4Gw^?pJ&p|3 zPEvCvh53AG1ZFMe$zk`c6SY-!NDs4oC-PE~_#Ap43%;6wxp@YDW3L<JCFb+=`cLbk z_7+4RvCxEFhfGm>-$YFgd&3{Kw^UiIx7s#iOWyZ_eNcVnuX{J?fQ^QQ$zzuQO>W}^ zT0Pgpv<f%;3<%d969Tz*>0^Jf2aOUcYf;<795>1PF>6-w!{n)oM|U7BpcXY8lmBGe zmHX{)3tba>(o4ZIl#_Ol6aXJY*5v2n?t<u$NLM@;=&rp0kW{nM1fc=Mhp%#GI4TP* z5AmlM*u$xELYkzPd1uKcaka`oD6rrHog_|i;pI|;a?-@0eFW#<N}PWRlp~<OKD*=J z*}f={Q`^;mG?rs=3<~<^jr>t-LBxN)G~_YS6iF}p)yeF-+iIs+uhrVF+LWpZLt4m~ z1|FO$3)GI>(#4E}_r&v9p(A1QsSd}YeGx!AbUdU*UsViW=mq3mCYdNxSivY{jG#QO z`3SUzu-9do=ON2JSi^tb8Co7L(V{V(2gH*2rf|iAuMnXEpw?+<`Vb9G_1TyEAh@;m zSs@;q7V@EvTW|F-m5rRJyR8UFQ*c|~;kE4vU*o=?g)szLhFgLd=Wy&^oCVQjrNWb3 z8L{>P#^tJyD|UcjOlW3-sy$s&T7+*XF>$3l31!blV<x>+%S3;rM_Aayg~efvVWZ%Q z%<n%B6yLTZCNfcuU>VTY1YjP9xeW7SeWL2V^qff_TS7?)SEZ1}3ljAMC4?cps?<jj zV_ocHJja(_Zq`JXe#X3sC;be*i9Ws0yy;YW)nlJ)7)PVI3l^28b8clJis?VDY@skC z#XcgKkrE#n%m{z@JeYniC5h4LbeDtc*%rvZ%E-8x9dgpf06RNpsb<Wy!~4j~w8Enh zzcxmXG*EKXi6|@BYoHo;Ax%4504yi?+8LjuB3^6T7?TDbE3YB=`Fm6Jws060iVtz% zajc*sMID6iZ!!)Krrt%T@$`z7&kqR)5oL+LB-AN~3q*g8hJ(7_`8-cJoxXP~oEV#G z(TB8*RFFJdDeC?<4T}b*V*qX_a7L1R6EKMKmCmD^(1qU^Dvp0sap`a%3LfX9$sQW} z3=nUihqnp0(H1fxE&UA}`!GM~c;>w`X0aE5c1D7Ne$Xf=M0}XU=X2(f1urzHEu;^f zAiHXBivxcW*q}Gy*pk)-;1&g!l5NqGHyzKHubXW#vM0szKc9ziOUtGp(Y6x|BEDdU z_V{RY<ON+-6l}<KJcECtAoy!IR62Y3UEXIV0zI~l#;E~B>x#7}YzOcM>2gEY#0ROy z3tz}MS9)44Lc_#u1FWN<!4PDOfeuV()06@8(~y4!Ia`+sA80`@K>^|_BY{Uh`!3!H zC}p82{rN>e)kRrI0;cAmi?J&wErb-3ldnkO1b;^<#KGrwU5xL2qBBWh9Ly1iNlf5y z$rh^a-mMb27U{`jE%%1rS$1Cmf1&)mq?3>_f3c$c9#|mGdZ_AW-@r=)Tl(y#3&smS z_b-1&ubuLnR{cn8ZakPl$RH`QZG|iZv+rO0C@Iz@aLUrzNLJ=z1v;MJwJ|5b*R}Wi zp3XLCW5i(!Jcsg&_H`mUfPj(l0vB2w#^zyAbg2~q44Q+2#tUrdK#cUMKfm~4E^Bcf z_;DIyhRN&`M5^b|W%BkVj2M^+*m&X{Ve)@j8w=K<{@impp*^POK6V!$?#~6^!fRiH z<C7Odhyr+U-dJd6APBxr3S=o9!_yEIAW&{B#E6E^G#hv5y8lUD(0w@6vq*Vu!pWHE zfTHAMb;UW4hPHD3`DKXWyk~t{9I_qm5i5mV`oIZs*|dy2o%O%;L<0Xt^Eymr>!N?x zF#2NzD39oxz$ZGN<tqpZN7v>d+ipUWL=Sen#sEA`gJ{D-kkb$90fWWsc+j`S&e1dh zndsU$F!YddUL)QouhnC@FS!bXd&4Cg#zDvM!laiB?BvVM@;eCk;=^<JO`dDg;R_Vl z@zIDjEa`rhf)GBGq_a*(?!L5e3S@s64*g*cSFnB5nmCs8wC+iet}tb!MAP9tSWVoH z0}LoBri!#Hz$AQ-R!oY!ZQeS(M2;*y!+t?b3V<nSs1%hP=UWcUzR$w)BK$ZZ13uc{ zSYGiztayfnF9kUYz4i@^G`GaH3M_dtp#XS+o6b^ry?2k9b|&@3d75{FZPb676t)K@ zoP?{y7o|#j*CAeF?BVCFJ22rKW1%r5`gVY&h&o>M*Q4iPzB#469fcG*Pf!INU~*}I z%ci3(A@+>(mL6c`#U~|Fm%p?>Qs98vv{g;;x`(Y>jmQI)=0=sL?ja^cA7<G2)>=FR zOm&6CkaLB%SxgUE=Xb=O$vA&a&TUhkc=_;ySp#-ZC+IZLeg)Jz{6q@ERbGJVkMj*D zPFQhm2;*#y5R8dJ(EHUCEQdIb6YsDsu&;3VE^oMPOiE#JC}Bj$A?F^$BNQ__3F&kg zV^e0@(ZsX@7~X<(B*iF4oK8n8AOWrS0tcUzI(Y#9_^%Qo$^<l6t-XJpiOf?VoCFQv z3S7OKlcAf<4@M2s*mG*>p}VBrNH2lIwbDQg;Hn4XlnfXC%9zP(8OU{`;rPRhY~R|n z2EYv=!%0fK(p8{9kO5<~RWsM^kHaPsBH<JW9)i~IXkRXU)s#cDm4@%#nul8|12O2X z?oWrPe6REZ^U!-Aaaey{ivlw7IkJa-S;3b-U#3GH26&BzoDOHMBJ7PxvW4(d2X?%y zzTx5KZu+aFO7N)D(kz}h00K;C-K>@#415WWKXhLl5WE5w9j^Ti=kRruMWb0YhnFMM zP{(77J62YYawALGXV_R%6{Pgh*_@9R`fuPTryb(Liw_<>pdNoeJdrLO9!6snpTfMQ zDzlQWZ;E5KHs&TA3jsFQm~clfC={7q^bJ-ak~#2!Hg^2vDX#+`q|}|5-5nnyD&8XT z<8Zqi3nBIG5q!2%WVqu1XK86k_RMlMZfr5u947Wur@XO^%p22R3LU)Dp1kcBT=$kO zem7X0vUQI(dryCMGU9Equws+1c8-zsev@xhno(D{te;0dr$o`#S>!^}@qW5vR|F2? zQ~wyG6(fq&8IBk8#(yUS>kalv1f3OqpEnx6RD9XDPoYTd+VRPx#P1pAdj9mt=8Tl@ zsTxIRDDjms$mXvJ-;EWD?)Ao-oRru;Nd7LSP^3kTznp&;-<=#E8yAnNo()u2xyIT) z@se9*^YXdrb6<oxLg>2Z|K8=c&HkqKBtPsm?_SX3w|YDe!>M$v1TrwYS$Zmhc)!<J za`s)x;V6mkq0Ql=l*38?j3y~GsqbYn+82*ZmY+h#JkIbr(kyLuq3wZZfIXG&d;X=v zZ%A>lGR}VpR;CO`!NYlR<d%|RF}z_|Dosi!-w2-QFvkk{)v`S?=eh(qCVdqgd9z=e zdZLj!x8pgZL+O`rd2r3qhhUOqM;$FNvKDFbHAy$B8I`>A@FAo9MXB$t=d{hgNYefW zAn*b<TMdOZe<evkJ(9$2rh<X?sS2S+sm=r}bo+lSHv66w*1TMjz??3LGfV{u`l$+e z2C2@A0QB_~Hal7ZYwj*dxSTAB%O!zZ=Tj9pFQ+=Uz}pI7vs;kZA#F*5PMjnTB!XK! zsR}YWsm@veB*}u!?n7YB1tba1QIfbzL@<yU-u6<eGbaGe-A`pMm$WZK(Sj7PnR(de zW@CRy|8{C6KiL=i2t3m+X+I-HQ<cSLx?!6!Mo^4NDl;T$kCdbtBCyiCSk)?1h!&hW z0Fiw!<b(H?B=c;fX-8GD(p0Rfm<e=LtoY7;X}oho!kwju@k?5IzJC1g8ACoyzB6Oj z)eSt#S!}||c|7@UUD*VQD*fFKJol?4P`-bp%n*_^$GUo?#^y^ZYGAv}QmrJgy7*Kp zG`7n;HMSJ5pq^edOX1@*ExrRJ{!2bzEJF&V{x^yJw*+(-#K#u?H_88(h~_&=6%|VV z7nw!<OJbL==_^d={TF%lZ&?wEijRHtU*y}Sae-RcPX{+5Enep`5A_s0Jk~3=mxq7b z9&WoLCC?dCg*4uNtKq=kD^}2U`rfnP>@)J5TfC)oX%|mG1%)^#f}X2ER`evpBK_H| z*M6mMJC{1Mjm3C<#LT{3--tH>i8~P&SacU8hI%%E$LM?Kz%aPNlR<c%$#Y||FUp-) zsi%%ooQajixO0yVXQERbC-%}Vj@p06_vIp9%$mb5ZAr$!%G>ZnLh)O+&l3HG@N(yL z;6^hyZ1Iw4Z!RK?SKSCU!+i^pUWhyQ5Z=fJg?}`k3=e`#Q9&!5;qSpOOL-D7AUT|) z3697+6~(RGsK823xXr-^RVdM{{U>_$xWjnw9@<8ZfbSwX*Xf+N?pLAUo@;-Iy1>%% zSpWIPPVDmE@bf?1hZ_~cBet5`%NwM1{I~1=6Yz;wI}V0V@H+QSEh~41!FM=cZ(?N$ z?%8XQycAgvUyMD+^errN(Uz~W@E^=w4CTKU-9e?hTnSW4pEnCU;a-qQ**%^fH+0hE zo@!T9+-94_izKSQ`4$&u+F*Y!j<U;|-ea~Uj?prl>nT$w$vKzhnXa4^N*60#wZNEu zmcNV{EMAqj2+O9{l&Pmse^XlH<vPmpj1uG?uinJS6|Vlaa4?zsV=;AU&d<U@cMfMU zWjwcN;i0=2lDzrDVhTHVaAk`h)2=<|U$!fozHw#C9n)?ww?PRZ%2|I=^5PQ0P38v6 zLgJ}n=35+?aD%yJ%C1a$d(mo&#eM=+<~z|tf9{I!H~Ac@8>Kal>iGL)n!TQ7*E=&d zUEMl!-7YVoPbhrg@$?4sEyT1*(TyjTQ-5WBOI5J8OU=#)Z=A-KlR$Aawmc1-h5u%P zg&3@^C0gi-;d?X7#Mpn*`2Sm^^EG9QX3_se#Q!Y`(m(#)qW_W;|CY}b(*M$>|EC1~ zTLO^T|JkMgm*o6Qg!9)W{<BN}N4hhOKIvQJ|L}e&!`t*gIrZnR1P5M@w0ry@0sD#A z-K!_72`MW~9|75rTVH;k=vO{MNHzZY*)%uo99j(Y&~8q=T*!ZI3}51}!nOBsG{M~O zuZR5SREvhgts=dWP?;X@SFl%PlNFj2ps!G_Xp`@cSWo3&r-s>@V_yEg^!sfKTXTA6 z&Gc%>V>Y4L0LgFH1b7vo72`Pd2D_+-0r}I(F2jcJNIf++ztnZuROtg`#qu?zw9Ru? zj-u3E7zcOrz`%cGvVxmi>Nn6B`YRZlZF&}4BPB(UeI(iMO9G|o)R)ywSq9|q{(jY? zo_Wsn7qzBj^>&83mZa%;kNoT}Mkn=oLDSc-YJ`7*^6Du@=;p<f@7w+L4yI<Uj%qCo zmKf|=RO-wnT2x-1YqqG=ofEL|Fq~7eSkjpruvjvhbFhC9y)>srQIDcNrl_Y<Z&B1^ zsAP(I995pOdp6w>T;;F`OQ4ohT1iy3vRzF220l<ZeZzdq922fH=UEo=7gft_iyL!b z;-uW3Eazf=@c?E$L+49mGkgE?L6;qDi$d%qo?3;2KN{fPg{&*Gie2HM+_X@V;gy}; zkqsi(o7;bviz&1FKY{_HD2wdN`2`iY7{nrPuiO%db<y6|buMG8vU$IDmblLc>+G`g znV{key10Ofgc6rX-zJzYX1;@;`Z&c3wX^Z8!LM=D%|VVaG7*9V06{@@sXZ|*9TArQ z_A?psPENP}`I(Fv%P(R*<H4Sfy#{d`RzeE;V|st57u$57OVtt$39fE#l-s*cb#C*b ziwY}>?XxX*x7FuE2Il{4_Vq3LEzeH`Pt8xjihJ#*u}AgIPhPnFCjHE^Q<nVg)7kba zp6_$yX8$?`Ycbw5v4z{MYvBoIu4(5p5)%}L$WdmlcktdM=Rmwg<qNEoUXXpU+La|y zvMhfuAxm0+E;|eM&@%vUvE)InJVujAUrG%>Eo@j}+>8eam=DyOa~|g@AdsYi#*DlI z@yN*>>D0=>yK}B%(qZWUrzM8`Ni)g^WiNx5FiotdJjIU0TNo6(iCZe6#UY+_kJn0* zPIv^wn(oo9^x-@j4FRUmU@r(EIW1`@AlZL{TF~HBZFE(`E%vBXOm-zTj8@>{QeAYf z<6fubvl~^Pe{~0hh>9-%)nn@N9M_xDZy0SGF&OWN`l@v8FS(gtNi*%8^PMi82N5+c zN&|EQ%mYUUm<Bjyk9Z_4o{jBEicX41isFbeim0NK?y!zFiXzWIGwY-E!oLD!yf}Zo z{Ja#sq`a8C^t}RC{AM1G#`bjhcM;fM@J=v>ur!J{YBtg}$~KBN%GVc4i!L)QaV;?~ zQ3o?l9%*1`U~VXkHowN=CG92fYe+nkUfRd;v+acVq#+kg$OmXh<R<vDN*x<w)ZAvI zvYZzCJ0xQD{kMT`11t8F!OkzRdozEni9Nz!egy`!%8UA!{>5i<5}kViui^xHWdmOn zNZkZv@{pcTX@Y_YFCiBNso+5G|MdYUAy)`eQ3SnRfiJ_vZi;xaxvo%Y?ElXPT#$+g z=&cHT@g;WC5XnQ@LZ#6PCM^Hk4?bTA0vv_R-dJy4*~2WylXSUvUdTy6+?apK=*O+; zSTyNl0N(dbg92IxYQQK)4|jskk@f}3siHkf8n^HlN$h35V)c|1?3_O4JN8Nwi*d6F zsE(QBpq(dU8pTr#A6MgU89zCVKa<XU>+s`DDj)-w<wh<xUElQybSbxrImfpRa4c64 z9AA5aRgPECFJ>DpNkCa1Cp>?b)*n~s1)m_3tqJP&gedF>J!-yO>Qt9fn<w6nq(nO- z^HQnJ8P7>l3c}aol5kJZ&>%*pLrLZW?J~(@$bFk@ymkz<LE4u<2BRe@xMnQKlh5Zq zWJvZ9pgc1?^9(1JWiig#Z8;X}KIQ?*AnVKtGWCQ!te>9i5A1uTz1x3ydy-9AE%SKo zM=%IkVMSxCr<sx$k`(NVCr3*(P>RQB{BQ>ms<52;*&rl)cgUk9@w=q%!=IT0SyC~W z)(riJnnz{2BYL9TzWmr1@Ou5%38$zk2J0i4OxDcPtd&faY?aI}PTC3E#dYzkhpBB^ zt+>xH&!~0CbjWn}cjA9KD>~gf{HopmbWG0pviQ^aGx;-a9MNJ8W1V0L(b_z|@^~ib zJBOXy;|~Kod8c^t2E2V;Z@s!Es4nE`c{Jc>_^q_de?jqAgw7jETqe9aoqVGB_+y2e z|5k=D67(?1K=E;k0y6zF2*q0nkqjm9|BLefZHGgpzTLoO{p5eI!)qj^sIkK651)jz z8xq&5rD=Z2*oK5!h`gry7VKVnRgRZS3+@2N^|z<UMLun~=C0&nbu`Am0E^SxzET|g z{#@`VsHSgI2_>sojb;000@E<eRhDA?lhV|}C7t?j&OLWZC@w*|e~^o=*|fRnGJT?7 zZ|w77vYa3dq`Q9^P2q*rK=!a5h-$`V-pG+{aCa5QW7B=Uj7z0pW%QdNa*;dL4S$A| zSf0i!oj!r86Cl)tJxY;aG98cmbQzq6&Dlu9x=+r3#lH6lguweq5ewS2G+|eKFo_EW z<RvDuYP|0#Z2n-*@O%3^WoxH&lwX1-9@7*HUa@btP=0>`gmxIDnRABq!FEfbWPjyO zuo9WK0hUelq5ODhQSig=tl>!~br;FDD4!bK!mO+U{8r^YFdsSh2cCA69F&meSWMeI zH=pHO1-STixy^K)a)LsUb8oQl#C~u`zgeePKfu2#XLwwMHJ>lt))it{BCf)VZ<4p; zEli62%{+gau-u6jhjA8rv_=F*4sF*UiwaPR43|H5?T&&56K@=g7dFocX0Me2XwyXM z-XPec_oNuYw6;_k2ibu+hp{Nen#TLqz&2|tQ>p;}vNZ<k-ff7y<a^leeyBgZG?T-n zcOY}*WCbJ?@~Ay~trk$UcoNI~+nk*5ZS4-5x1)b~D<p6Q{OcD6Yk)87RiOb7yAp!b zH=R}(SM(foW-3N{2th|;a~L`YBX**9B3UBiq8%Bz8Mx_E7~5YJYSp`65G|n3XU%66 z<<4i%=Lq9hXHn->7i&E-!8*Q<5)J2QJ-W*rz_#hW5;v35ai(KA+B!;Wc8<4x(N=w5 zWYd3bMR`W9qrCG+XM03Aa}|^45zntuV)gEVq6Z?a46VGa{H?+vCqfuQSa(@APi(3* z$~|0<RpIkwQfAJq?|T;J6K|EFTk9ASuk=bh{7mEdfK!?ef6Zie@^<hBev0j1OcD<Z zwF^7i@#VieU?g{U{I<F#llpOWHg!>TeszDrT}%L104hK;fUfa){UT0%Um)P*Cg%#z z%J>X-r&y$Q#57A4WBAKH>$~F(0lR7|BQu^IjuF<;Bhle>2S*(^rjAU#G4i-OE~~z; z7Qhl99dIT<dGp*R>*ldd?v?W^-u03{eHz+BKGcs?jrE_9xZAM&g%0Vr0)7W3ouPkA zB-J3i?+K6d1R~I8IhN$Zf)hFmF~E6JyAIVL|NMf>D2$xXOA}9DzXA9RgY){XkMWkd zaY|VVuW)-S@MBT3!!a5meg9R9nhRt`%0FCkjU3z5bON)NWKB&)XT&BcP!D_U4wQsU zzJk_8?XdbhQqTdVEuTcC%sg-(0!V+c!Ei~638!;rn1|VzZ0T?hyeSrW!f4Wa%3D8# zJ(qhU{l|6NrI#+2+$qyL)1;g!i_R~cE1SORQ>-cNNj;ujU))wU7Xw1XMB5osT)HjN zj?SRG3_t(s>-788KQ6k<7;tn`am8h3x<k4%P=2p;JWHoRKBj7#??ssT<~DzB_J=b3 zPrRu_40$CWY{IP|cTP(pQ6ZLraFhl5{_%2Qo2sUtzWiwFqq2-wA+?evc~a+F&L&`Q zW8d-{74>H7$;l;T*z@EyNeX#k*FL4*DV2KPg)Ooq>lvUDx{Y}D(j~`EV||TM?>I@m zuLg?Flk^V66O?p$CLc&<Gh=`4-b;JW=D+$A$dfsXwR4yDzLNK<?jDa|J=U%r<(-xN z>cbr#!91*;Ey|lM>y@%OkK-k5$e`peztmR^mw6nyupumx-ccr>)pK9bloD!Od87y9 zIU-@+6j^md`sTn+YKv{j{II&pFaGB>zfb#5_mk-@IEvpRWh`kITJe8qa(81^W`5es z^%(e)Gi5>Z3ArU{EHu9)Q|`+!aJLC3BnP>{6>;VU)VG9krpx<|?(uK?9+IV&fohaH z?S7{zJf52VOs*_pDap>ZVq+%V@LIWFYD@JKXp&gj%M+fomp#v8)q9_2Ykc~aXciwR zy|WuQZ@+E&e&?%W%tU{?)ksy<ZeS+$9!p=l?1%Y}4f6w;^IW9xysANW=fTh``D(`d zfAm8=Sb~@61DAlU5vJ{lsr8BHs}t{6tDOEcnU38Pq~7a+7Z1<BxIQQ&HJEq$z{apZ zZ6Ln|$XB!6_akZgXJ~9Jx2BqGS&MH}B`r2p_1<&oYkyHPxYvJ?Z)>;k0&`$v_an?> z(EjY8{po||s|76s`8oFaEm!vyTQvQXH2f3P{j+v|@PsZ!2E>#6pFcp5bUismd#@Yx z-B=M{(e}D>)G9H8Bl<Ax91*|oy<-^Q7+@ISgy}3BOl-MeYD@hksUok!t0JMo(0F3g zF+}qqLM}=!VlaRDfcbz)lSPw5lR=YHlSz|ppEra)<W$Jf5I(z!0XcI?#ow}dsy^!^ zl69rgfwgkBH@yR^!;yUMd=>|KhofQB1J}%py*|-jnY9-0XBwm%WFDj+WE?zV$}*j+ zD65WRcO3TLWd{i}lo|9)?+kV(VTNsn-fEK{Z8rzeW&eLc?VYAx|3RH$8{3Zh@^F47 zrlgOfV#t3*%OY5KS6~yjB0S?XGtz0)+2UT%@6~XtZl!_vf-anMpM6(qlVino#eYV` zDxieVqw>bqDad8Ua>lf?AOZ$m=5WR(r=7bQd@rmx!dVGS0T(wJx<z(HH|<x{X0ojU z>iGamK(xOq!5g1{A`xpkmt3QMM{wI-{q}}quXyLDi^UFGD_5()u0#OmCd<mkjQTp) zH^W)$x)m|vdh|x*M%2c+$eHLFhTQ;}vsH$(wM#YA;*0dlx1o;l&hZZ0PTLOUPipz| z9u*5}`<#&T3Tox@3iC?UjAO@-&aH}-5PwIru2s>OKD;`AB(Yt!TwQ?-rd1ucKO(yc zuF@Snojn}}odz9oopBxQ<C?bLoyT2gPc`AFhe>1~p#SHN!Zt;S5p2qOAe3QDybvWH zaqwDglO^PM2wjM1NY!G^2<UZEW>*<(@0jm!>2&ER?JR|nN7-if^-^VJtdn$<mmIy1 zgo@ne-!V>q32Mp<f0A}s+B~lpEaR2Ewf*mkhdgBJWa`-J-0BGI4D4v4jgH%)Vy07A zl&+nBMkmK8cVv)dkU@@4jyc?Ac@u}WQxhG%l+BUPkk6UVl+Tt=m(S(lyu9hItY*j2 zPEQaDzyz=dTnOL^KnBpO5MmCbW5h=ELgVxlb$wEQXY9Qa$FBP}<@EA%-!)rwU$LE0 z?(~evjC5p?V_JS5TK}@2D~UzvKT^)jxZB3RZ^k~&!+jSK%Iv_j&$r7KAhmgG#b74* zZb7JI499B*Vc<+hU#A#+ezmiN3GQ+=*7EOPW!EaXu_dbA$U=wa)MP1*k8vt)eK!?w zJ=#@&D{>@qBr2S-iteCek5SWQ=O-^(T^aUkjC+b@ierjlic^zSvm<4gJ$wQ6<`skR z<&*Gf&Cn{+$_by@OcTTFpD-I=_+)m4!TuTCO!5rpj3a!;k3=(^+0Eh$)9YH5je5sN z$JXI8!&m<-L{@GX>sWTqBb%Rbp2>yDks!B!7SsA}Dd53_eoZ<}E=^`lc1?QCqneDG zx6}@d(5*;Oai=a;wp`9!{#>TqlerwZyt~o-`_#RgYNF!WRMvqb`-00eVk`P9Oe=w2 z_r|vzTg38TNk{_Wzd9HT<_uW=r?+2xWDl2!5&QUTH$qR*0IFm<U@9fX%-IGO%4Rx$ zoDSyLi+lb(HC;dR>DlHRPVZ@$BopgbQWYKk`0I}9%`Y`iv&tSB;2vQ4A8^_4)2X&$ zZ!e88CsHG-m@=8AJLwwFs&MYZFIjfxaXrmLtfX_~e8FzVZpRZMwaLCRDUc{OamrFA z<RaMDA=UA^^L2-Qr+!CFC)=6U?#bnU5rwe6f(VI7wiSX3lgwEyD#u>s&d(r=@}rfE zm8_LVUU1oo+Hr-9t$(sX?-mNY;Djn>F3-fm_mu6K@y_H<x2G$rXglkTYZ`!Gr(Z`y zgj=*H^E6{6lgEvnpVDYMJ&tKs3aXL0QMFO15!1-N$t>z)wdsQ1{X5o)GwL0GJsp~1 zU)Y3>qc141aA{kI$!oj!AN-Vcl3Pp4nW|Jf5WRa}-pgFncc!JoKPo3;`N)C0+JQBC zw^HB*H`F%cFq1zcI<qu0HM7$>eRY=8<8tlNt_QI>swF}#S}js7N-bjiH}CVj_ABi3 zLoXK*bxaL(4a^Pn4Y!|m_6NRysC$_+(JIB+rwk0+17nIa=3c9|SC#rW7NOAf6HheQ z!x(qZZHli%&uGrDbd=sL*!vbHUL522K;e}7QFXpu`T*7d$pFSpgEOuA>?1>=>+0Zq z$6ypoG)p8)6idX;z4kH9aZF5->#PexgENeMf_qo8QEroM<;zSO|I{~s=a+=5VS2}G zkO=fjpvu<Sv*U9y`|m>6FFZ+RNnuD~N})?(PN8pS)b!lB8^;$W?IELW!}#x`V1JB# zIqju#cZC#iuk&6<O=nGqe5ZWJ5bRiJecxW<;fic#m^IVqAI)ckIdsSS9RB^u{)*ve zA<2k|ga|uMO*ZMO7^jAR)^`Jqbq`!Uqo?WH*}@oLO061z-UJtGG?rY_z1<QVPfqmC zRCPE;wI8Ws4rg(2i`g)o-S&24`%nCT<;gwnvIBZmH`X!!5B{(0%xrWlM@`TAMe$F` z#Vn6Jd#ZoTMjSy{VNwY^dp7(H$7E^VqWUAAi&V{N24wojq!#smM=9Su>S`SGUn)fN zm9T(bKeRrRtY-e+`7NBue!B(70iH_{kJ;iRyd?mSufF0~)aUL^5{++h-b94cSKR_P zU%&QN8fThW?i75was$2F-sr|m&<YR>kPG03sWM{oNQ(HG5Iy#hzgnD$_aptI{39Bo z8zLK`8oaK%daEyggo&N>??<>Zd(wL{dLB7o-)Cyg&up^Ve3Ua%(bH-DCV=<$0cNFO zm#s>KrDrbY{;Pgj3$_1%ko}?cPi!0VzZ*ZLi}cHSDS26W33xes$$FWrsGiv^<?}eE z+=6gobUAX6<iEnbiR5N@D50`jA9^3!164ilSO1{@haPl)ad<xT+RA%%If-9WDkhk4 z^`kgz#c|^EnItctYgbKL#IE<Woet6akCUTQ(7hm!^wJZ40yuSyL>WbsXO7qj<T8g7 zVg{S1L%U)9NJJI0Ba<wXEZYm75T;G8ahLgt!J01)eE*3NO@&sQa_9;3u2rchFBW<{ zdkDRW2}j?5^s3G{Jokwuvo*anqxBI-7Fljt7EQR}Tlw}Sm-Mxp`6pN>j!hh~6AMvm zJijS@CZuzZ?L(s=6GgU>Yg53>eWiaUr=zaJ{ceH1uhyT3!B>c`QEn0C(dCilQRNYy zGd&(L2c(x83TL&%S>2BjeP+UEzCL@l`(xi#cS1*hr_On%WTvIlKXRE-dA6Eqzi0hg z?AU5)z!w1?Fu1d=L!(opW3scYb0fO_*~^o~UVj>67%6A|4{F{78p`$!93BkDOqQ%g z7?fRlOGuV?Mlwh=_C1wCmZ^x4Wya7ZguJ1=Q=>wbib$3j?a9(ai}eu|DxS(R+4`P) zruY4S{oeQd&iTG`{^vXA`_7qD*SYrldamobuje`LyB=4+sa~dDqh6@q;^n~w{T$g2 zxw<TTZBPTNf?dHfU>mU7kM-Vey--3i5pPq#w0^;m?BGMDZw>UlM(KAR8mx7VmtDkg z17T}Olzp5fnVi{Y*``ak%J3m&rP0z;(y0M|DDcXciTW8vzil_X{SZ!!a|AlTrm<-( zA+``}>4H$-fwv`a5FosSuCbO_PSgczG0LhV=bl`L8vS0VXX19LUUmF?_RrR*`vafq z7)F^!s4;qDVN=)>UIhok+OWeK-CoPKb@ZV#h+X*<1*$^wN7~1f{A2~1RbA`d5=t$9 zvfPmM$SbCCtUU6XDH3bef(tKxpWV6z1n3__;hJq;!;R$n+iCBQET@>ENA2O?OuY>B ze<1691lIe{Y4CAa@%#MN@^^?9<b{;h@-8_q;5VoTnqqybpa|$B9PB4jr&q_yaa~|7 zC=bd+-evpVTIy-j4Koo}-IMcaR;U(#$wbM;^;~5MwF-)wPXDg^w^Rth>B+vL9jJ~y zfjatzuAex#pi<^fDJXrdK;nayU)^R$)xV8u9Lh-(viHA3{WUP4mM?kSo}E2yKWy?& zQ=GX39fz7A3upk$h%2(!Rs11asb~^{M@B^S6e?0QQYcb5QebHRRDHc{$4Z2M@L$DE ze=Jk=@diaqr=O5ByZYQwCFy8ku6EIL&tpXY>lK;?o6emVD_WT#?9R;6%70x!*~D(U zO!P9ptDop1`*lxWipLXN_(>m|M(#VxNi9=&7rYWiUJ&Npx%N7XUj4eyQruUXDw*n; z;*fU%1ye1qf}SrKJ0xFsir)Z#+R9hVZe%I2K5p@^8_hk}>hYjLos^W)nbJw^Om?C< zr8s@_?!yMGqxT*3OeC!7)3_?fTFt(oAMMeGbL)Dd(gCZMu1I`(HA(-O<=ny1r?w-O zGL}PBNDx|t5}-!N9P01SpPiK<$9nZ2pt_1A7}Oh?ib=47*defP{NogV*-GiT3wS@F zX2k@#dfEE5Tzgm!^7*2yRYIAq??jJpq=-=tQGTQBqO7C@!tdSdYh)v?+4<0!1E;q6 zNmvSMU0L_V`xs;Bou$mbJHnoY8)syf%_lc~{Vr@GXo5CDy%rskh*+`QgT2P2j3C<o z)ew}!ic3o1yhFueWXE5BU;EuCa<F*6qkH`g*@!EFKja8w$;qyxr6)#Aa1L9JF<!;> z845K|pMUew$Dg_P&?anQ_lkbm1QYIh_#&hUZDn2f9PXags`_9_c!!^|go=m?Hc`MF zZ7yw2ZSkv)@wLNVd$%n3&rO?l@a@)0eR}7ot%)N4tW$}O1N5qY{MIAu<q{1?=z{Y; z1E`iBaTxi}Dn}e{>p@w)UI8CzN44axfJYvpTHfH`(@iL=^Eh~+7G>3og|Aegtms(y z6BA|iR1D53Lbarc!9sbcmS-5a{0z$K1O`^3qh!7d?RYM^A<u&K`5VfmOlU``#D=$~ zti>Tzj=#{3IPndCLq;swF4T7wq37`{Hn?nHjW(e+y%K!xiQC|%&swZN<(wDX;f>u8 zpv{uKg!=9-_}oWqL(p25{#lfZg5VB64CNrwAtzCFu|oat#3?bGS>m0jm>+`u?{O5G z0n4HaWfCXYKPE=WT*nH}L^UmgU-&HQ;uPx3AoyK;g=+7AL@Bp`U;7=@QWeUv82pyb zqYSc8ua^WW7leE_i`Hj}Q)c9$2?N$Thvf1TDC>{lC)A1B%SH+P34VJsQTJ0&Ln#8y zLP80*(WY-jO@E4*uA5LjJW^aMY(hP<n!BM$96C$UP1!`VN*R*M%txtGZ)cyTuuE5T z2>Oe)2&fo;N?1N=xga{Z;$s}e9exad8u_{h5~3K>?y>F)6p1gcT#Sot)aFW>wxrx^ zEfwsLSk7v*hrX&qOO!jw_t>S_4gr6G76}!@6@#J&^y;@3g+fKpdtXVJOa;Ly`fc%k zp`Fo`^{^;>aO5i$vY_0d#kZCUbciQDNK-d?;yfyUrrclo2)ZLLa`Ol4z}-JDi$|0{ z!PRzK*}d1@w&{t=?NB$H(D!yPFx*`^WhbYv`!^{(+;A6DzlyuD@65!vQH!t23TIj# z(H^Hfran%#rP-zgypwDVll5`Iwx|rXJzTZmPcfu8Q6woblt_39a;l&h=p<Mt7%GrG zC<>H+0~9Tat$%Dv-}h6T*_Z8PQy)O_p(s%fQuHVe6ddKqQS=Q;xWeZ4&)1&p)fk=L zxZEUd`m;A+RlSYBcEu*V<Q1<(%%UMzkZaCWbl9zY?8S$;yg9>y9fogqmg|vmP8{LQ z)q75n3P*g}xf@i3tppQSElP0-6jfLVx_>8sy3TIc@SOvJbf0~nWz9BBPEWR_+9sQL z4nHDuXSrmlf9-)Wr@Oz9>-Qy;um0B_n3yHkY>ged;x(9DbaY#yUdpB;#M41{Pd0Xa z<vvIc9bu2K_Okb~uCTAL3~%O#PeD6%8jYHJR)nmKRf`pfwTxAX6^s3iD}!tEBXj3} zx$c{occCrNTgVH#0Ii0`!;0T-ZT7()$cWr*{m+qj_L^LTF1;|s(~{t+s4lyXl@9sV zR2$_eoJ-sNfw^q$wHQ)=BIl@XgYBhioo17a_J8e*>0w$X`K<wu=B}z87g|<al;b+U z3cP($>1IK;Y_mqQiTL=Yqc473eEtW2l|Lm{1@=8PD4~>c2aT9=(0fRdHANc|YQZI@ zhllF*+ha|7qQbWwHdEV`cg(85D!MwV_Pjz_l~6s7D`GBenI5q2Om$~dhWXv1<<G+# zm^kPPWX)~+{UbYxmhPQ$hYW2?_^wp^&<To$Y9UqjL6%i=%SZ9w7ZUpHhSz<6ZI2`^ zuoqbI?0D8awkTWl53~5kUrH$NxgSiJe$Yio8TtZ+LluxgW8LnldYWv9^w45j&FaRJ zqWH(cjRNd=CN$G%vX8#ie)!(zXL>8&i<}5Mv^=0=`qALo#Zmes=TPslqBRO{KlXVs zGrl&3gmJ{+L-23#u0d!x_Kenlwn>U!zYR7?Zm6*1Wc*7g47vg7L(d^!r0kGBUoIOV zJye*MyRt}N(QuG$1)YX)(Dz3Fo63ET*Z@Q*ZCZi5hO5tA!8PK_a@TU>^!oj<L!~Es zAN0J)zWj;p^+O}p!Bz4YqhX$yq_OJ&3w(&N4pW`ZRhZw@?3B^jfUie?nOd2uni`r) znwrq|s@fRpG@3R$iI=Y6dQ(Cu(rc32s4t<+FUmuDbiIdjrE34$9p`7yPUW;-7+L7f zS}&B3QV>-TQV>=UP`DaNpNe^WA?^KMW~C*^ZAAjABj9|)V8q6g65M6t<qoRD-uGLM zwWe_&85>t^te37=uNUNhno*8Xw!i{?PmeR<*IzTjNp)1E6eX$>MU)yTY9bPOr&Y2+ z!@T*X_`mi_zc4MUwe+g9kzv+lVwD1w5|tvA*h<0XlrXyLQEOkv*ZbFA!%0UAnfko? zd1mNx&vJjK*fjm@-ms$*d#g7=d!dp$k}A=!f6hn8OT0*EXFlG4X101r?Q#4;kqB(F zQi1|^Cq)KUUYxDz{B%fX(Yya0Ey}Q7p<b(Aw0>*72{#e8ojqHe)hah4uga`EBm1A> zy8cqrNHf{g1(E2M?-%J;?8o*?_Y3wHY1!&6G7Ez)pPWCTcTj_B!%kvtIr!qUmf`fl zerxMmTXtr$s)!YTI#H@$bP>fx-Xopth9lppC^CnkK!^b;u&h}cYz<b6*YLH0tj&`Q zLY%~+e(Z)=saSk0s@_T{c;WMEodm~zYudCCSBGK+=RnPn7Ay1n;(q$Vy^vAG^Fvap zl^Bv7<><1F6|N0+jNx)fQoQG%y?52XAp3WnMr}m@zuvWfyIMCsY0o_qADs1p`Z4(c z?Lf)_>Vf2I-%ocBe%-B8^cy1a&&I+yFPHdgsle|3H?>x>0z+GHQ1W999Z3O3z`OIS z%9_Lzaf_N<ad;#A2`Yh>?sQ(tZykDM@Ed6;xkV&Ncv*T;ICe94D=e>P{=m=eF+wO# z@kp@}P7?Hge=1<v@|`shR@{WA%N%l8!NJ0ZVNqy~J(V&f+;V?cuAGW4T`Ab}4jwZg zl~o3<@%s7l%c)maa}>%dMy6cqk3>l))Mm7#x5?~$?57(x_>Wd0`mwrh@v^M{s+iJM z1lSVxhgIMRm>@BG_ou#}1{01xl#k`Chj+pf@KM-*7<S)&VI(&Gu|^3ct={2bxzJH7 zmJ(Zu)j~}YsQQ?9NiNY2`}$>6#qSLhcpEx<0V=AA$~dRcV3Xdi^<tl+=jH9EYb+<p zfjK*qGijMAnbgc=Nt)zu_&a+alZL0>t(RL4O*s1Buh5lOcGDj>q#f-qq5i8&ta;gY zR#}UGPOEni9yf%yz+UibI0V*#?feD2hrg1!`yIR8nI&7vuHr>GNhJ@ZXKpoiU8ujC znt2_sP&|BiB^a1}n}muA7di*oXq`rU#Cn3RP_w+RVi8&tx&;|2xZkYa5!K>)s?g!z zAKDCN&uZBXC(S4aNc?kVrl{$edtryJ2YQ`<t?s8f%OXR?k=E9Y58Ho#VOXb8A8I8a z@!8eL?Gk*X-?#O{KSt=sK>=Y}PC2dRBOj!hKI{yZI9r@G!>*$#9XY0;w|MH_5has+ ze2?(!Kq9O5E=Trtn!szpx$2ANepoF;L_tXKHOfQOL&!teLtu#Y(R+9`x&G?agG%Rr zUJ9Koljz8sv1(~Q^=kh)1>Gw#GMRhpqgEtH*(pU7FX$ip_O{+5)iL~^&0uHiygfNK zv0v|<qJgg%wm)a9RKIe+Qi7EN{Z@?m;{mITc$<HIdfRltGt&<H^U74cGV=~(484Nf zq4SVD^kGr)R%@00uwp@tWL8Zt$&~<qtC&945BWYyPdpWGbA48uyAr++rL!cd3(2Yw z*7Z*32Z!OQ5&xC{><0CMd2;^He$|If>ZHz;Vqr-UNkK`pBx>$JYk09iZfgmUxW;x$ zSx6~H&k0)zBo<vW(QACNbQ~+*b`;If5+n;1ZT*zA{B2K7^SK3^yJzoazH>EydTu%N zQ2pX*CHptbuh1drCiENh0@?-Tn=)_J-F+|+^jt1{;EHGFD}&lqm3v!Unc2Ec=#Uh< zs(VwRc^|9`A~_r9=421sa_mXpcf?sUCw0S9r_1aj8$xPyFD4tkX4dsTylH=TQ=!pg zX5GNLm`fyG@sK-qKV?Er*w^BJ+c%CY$2i!RT+P~FFkQLOw*8n!sRDDgX4A*G+q&Y( zMgz<_8^v;tDXHp@=@il@*8<6q0=qUF-9HS^owqIs<zQnZoX15;E<5I(nPR$MQjOj+ zGktA$l(=MRUYNc~vWYerUgLQ+;j*3Hfcc@k%2XpZ(`j4TNRT;QPThKc+$DQ^>}sdL z@h8sS?{_ZxKXUPO*_dm2Bq`%bTP*gpV;bk<Wao}!t23FMj`OYUe@t(@)Eb(@m@fHb z6OV|ke&#&?%x~%#0v<;|Z|29QGuNsqLE6VhuAT#WZQ7jYUoB-Q8;<DcN3XUYy1Q^4 z*bv&3>wenkQCsZV<H4MNIrWMeMD4pj7}GS8a3i81bia1Rq5F|Q=-O&~t5egr^1sTy zdb^^ew(|5l#BpI$>yV2i8^F5pfcDbp{+qy=ryb7oZ=;Vko)PtYvoZJ8>FLY)Ur~Dg zX&l4J)Zrj=78x;6PFe@(8k=&B>s!vg5Asd24&`h&dE4F=n{2ax(K;mOUd|_kb>ae} z#*0}9Slu_}Cd!O&F&q(i)wiO=AYy$fq7`>2jpIHi>H`=Un{w~mJ+|8ak&yntYWqGL z#V?3f!yt}CcH;C#mEDe=&b>Nh<ONbdeqlw4UuEBWM8EEzjOlx%ktRf3#VGgay{ZJD zUtGMzLS1ea5hA>QaPIw-_yIy#?XNIuI*;!{7P#A@!@1XxLopl?)9+qwpHwfCmj4y) z4zDN?QkR?Cc!|Zi#E5ccuJ?Zwoe`NMardON|05aCzD>Cj_d>h@IK3(NY<<gz(IE4b zp5Lv<uai3C5Um%woXtKYHXsp7nX$GWuV1*Q1eiUIa(C8$zf}X^A*0-7*^u1)Lw?2m z%pCQKuZS%&lM!XlOaSC72<N@6JY$VUxg95rI@)3d%L~#tUUPX<h}QQzt;dT<^u{w< z)e@oFOYak>kmO4dCR>k3*7+RXPWCF27<k5DL=7$-bu1CdlD`neZZGXQ6O&rg#XLQ^ z)w3iqOa704=}c0NtD<N~v)#`ItC&*G9;DQsQBL3JE?fOmkW;Q1Bs(6McZX1LkgS<~ zzC22vvnFTb>D*nL{G~o#d#f3=GNgL){L%WZ!XUDH_9d56A?5|mAW(l7@$DOQ?vbqB zCImwo?6z!EtR$Ntm6q$mT&X*gY}C&5Rc~UF4M{G4j?WA@!FiK3qlZj$^$fRC6XsLR z_i`bP_Q&y#8+D3FGOlqL&bFLyr;Y4NZ1Ij8N|XBx;_yZ6VtJoEWJ0#TTPf=Dtv5`f zx<!@8c+jR^_K(|kbesA9t)?2|J~^jO)bwpNmGNxdoI7e|vngKmfZ)M<Y2@Fs3t|!Q zbaNVi`B1iI90HcJ)5v1kcL3P-AdM5Go;NGeL7MMpw%Noz0UET7b1S9Bg{Gh#y9M4l zH;|bt2H6)_&u%eX$xH$O&)sIY+A|L{(Ke;j23wEo*3kfH6KXvkn(YrubfDd<#7hot zQ~aP@ls(O`6U+9WMv&)g#Y=u?W&;Irb&OnpBpqTXn$=Pf+IjLJX8=fy(Du{gm;$@w z{m!}?oHoE)(7?z&%=nHdkna;OsUdBh$(l&F4y~{Y8qo31JpVN-(WtbD^!j=YnxmNW z?6j*#i6MSzHz#_%?x2`P%I0&{rBu?9CYkMmr?t|_Hre0C)Ov)Mt{vBOlP)c>@BF=g z_aHeadw-dc07oUKQX!<uzRJ2coFlI8)-^JDkknG>YQs!KT4GHbvrN5$JRV8f>R6^p z-f2NS{b$DQ`^*XTfh*)=q&~;8;hnR(J@lG(W`TORd+BCoh30@Z*-n{gi3vGvSG#S3 z$@!j@xM^H7dw;pnYR>+gh@-1LLd2MVj+#A3zxJq&YGoN0M4cuLxX!QUh~!M1Fmft6 zo|U+{w2~C>I<Ler)a*H;6>mZP&Ny^ukU6jZeirF>-<lcSzcb}QJDfAgRXk;MAL+O_ z!E~}l-7rAvnC3>vjhh*cG_1<Dt2;T(M0((PtVGEp_2Uyr58Rw;J$^L%Fle%WhlZUS zteN|PP_$`oLH&`dfK@}HWUEatQtb5TQ`Y0_vnxUG7JS5rsv{{ko%wXiNprJY$a#d* z-B_Yzzxo##A)kB9h{_~+fNp9q>KwYB>;Zc4xi$6%{S>4{V#|@I;9&CP8^U_)8Kc{t z37mT6BT@27{rxwjZ@qw=Lp8{MN04UbE+bKLN8ND_L0%Yk4*h7{k963XbnDV4(tE_Z zs^X?n8tFJ_fXSx0W~Zi4f?h3?PS(o46~9`tdfy@KX!%mmY7eeXCo7oT0*bOnoF{ZS z+kti~iINC)KhV{0Jz;eFF(v#T%}A0jbl*ST6o-iWAJ(3c4Y>z8wN5&J*)Y2jI7ff) z+~5J)qmX{n8vD7sE7O4bjp>{v^`%Kf)1G!lw-1wr_~}+;JuWb3^95;BwP&qMGZ!u+ z4~(V$gfaamsS1hs?&wkHxF_NDNc%nSC{dDbqx&(){M^Ka=@TR;KpsHIuFO2p5uLZ> zs>tXRf=2BvQS#WPp$}<)jt(2rIWiH+pMm}h)}>V>CD0s$k7!%yaNYx{_AR;dC%Xrc z{c#|e<1+cF2N7yBPv^W;5C4vMGT+IVK0_)2LK~vAXB0|r1N~<tN(9u~dCi%_Fmh)4 z0Zn_&az#$&BF~of?j^&>lPRd!P`T>n?8b4g?B23&RkBmgr6Zz$*X(7~W;To;%a*ua zvw|FxlXfDiN%OlBX<$bjfw`>vh1%W2WT+o6<s2jV?NHPt`-}VSTCr$WXynUu*Yy)E z&9O5)W#m{Amt|I9)cW48#5l|B4<k;-@y$_^gwRNiL&WEDjuh$34#oG6nspshx?eG0 zL|{reWK#GJ#gRvU&58|9YPH58H%Lvc-jbzxb|c%onVdwD0r<ltRo#o}-L1@g8~cNg zzV9_3>}QIpzehH^R%#c+XcyC%S1D$>ns;e0k^FQZrE~W2%g4to_v#7Pw@3&rA~~+v zm%f4#1Br_x(-6!J6H(et@7CV~<I0+7ZO54W0U&hEEO-BZ38NlRh@R=39j{H1XtzFl z#V~5iI1X4xqqW<Q?hP;;$(}xAcclN#YlQP)U^>UwBo$Pb`dh|ynbHEXs|L<|b}rB& zJAv{kSGNCd<2{BH;>;cEkQCAa&?FV3Z6K@j9!y~k)*;!XA7GStY?kXUQ(6ns);FEA zCq@9-K3UIyUNdSQfLud4?6Lh`ysd0B$T!mGkLd=I6Q~r;qeefUMrVOa(%)L}a}=ye z)YIlapg#hx<;a2oW8mxutCKYkkqj5Ud*z2y#Ah(;*blr|)H0c!*{EWNOqi7maR{_q zY$|v;M~Mh(=}rt{04!hBa~h~3OM(Iv$^@!Fu>`e$BC6ECd`F~~U_^=(1eW4yjyTYK zy$MOtMc^TSg7KR~815y5VTB6Jf=4Ce`IM*D#&D!}$s{C?<b;fqyLEsAq+$SO0Px;t zP=fD<5TTg2pfKIoz^o&{9v()p*@>Vs%-;Q4%q5oK$S<&RAStIgI-m-eItJW&)C|CL z{{hQ?&4B|kV6fVw(w-z8(hTa!1X<!;V^CV>EdY409`H(90N$12ekQYz9lbvmxax}U zPU>eC_p?hmYZ27E7gIyGiM?EJ_sOR0>eI#ZONVk256#is<}U(BZrmqteYI5tM<g2? zI(F(N0&UOkEp<&nh{ktS((Gs6s+xq{Zp*QM4@ZD;6O-AVE{o@gHlO}C9wiEI5MsH~ zLYYw>!hh$k8q>b&HlpGvV5``J_@S&m<36r{_|dDwA?Gav*ZkC#-NywNMl_cud!HA4 z75to8SmVQN1^K=b#Gt?xfVu#b*oh?U4K*YNhn0|)NPqYc1lbLVA!2TsQs)Lzw;|Sl z=%*bs5>(M=1bpgu6zmrk-~M_^t;F|8<X_(h8w&OVcwj9LL2VWy+54+xF8u=Q5l77? z!9#HIT!933G%X8&>j22dT7qzMmH_C%1EoPp)q|%ro&`3qJ%2qV+4I35CtZ;jmt^{L z#P3QwFlu8z0uOkV!~q~kIiVXTfr8S1Q~8I!WEMsdm?>aWIK@+X5M;LlP}&xOfaiDp z4ZiX~z@BU$G+=Jz<w-i&wQhl`#Db(R<K+fYAHAw3I;TlEfV<E0+7itFF+BesfemH| z#B~7KHCTsXki7Dsly@e8z|w$vyejao2&iuZ>UGD!c>Wql)q*^4{S2i1L7ty~`to-n zJ>%ID_kUDt6+srr76E&a!5k>008mKTJn#qDHs<4jsaHTq-VzAu0nm^K9tCx<7C4{- zUJB$s0ISh+yd1DUVKf9buukAqFVa3zHje|c9{?Xt@Kyytx6c4<`T)lNF91{qTTsIs z@cLQY>eom@dz^G7TE7NGQ7K=4T5c}{(p@ozQf71kK}oA$bRKs^vID!VVOP!%Wh8so z*(hG-L?9?#1CFGw-##R>58CLKG4whRWkJY@Mz%qS_9u-zN<@$p&pmpKTngm!<a8<+ z@u`T?XD7RTN*D;u)t_0S>$n%u@M)Xb4bDbHt_;tKR&3}*>Z|lJNwRc*S`U$QlOA`> zlR%0U88Xk7M`Z(76-saIZ^R?S0X+E-D3+tVCv}>aNv=K0Mf~7z1`h-P(b=K=<!;^< zH^|HPG9AtwkH*PRm;1_#YUs)C7d%>n9diqx)~^2L7wvTJHy#kNay*fjS*2%54vl9H zBHlNsEAwd+CtZIjH{{TN_=bhD2Vovf@l@1v9xZe&c^yx|xO?`gV?3woPIkZL)ATv& zeA>}po^zDdd-w_pPD~f^3*{QAyoqP{-ZtIOLB1dg24qpbQ>CQad>S%J&hq3Y4}qN$ z(C&gg5!f^6e_hT~)y)xC<FmViT?nYEl`qZY)3!5%_-pXVKFgPX`?9TUjL#Gk(9Nfb zg82-fqGdxEe7UE{F3AJDm=k;^h3rfG-qmnw`XZm^$RzS<G1<NGJmnVK3f}WH&B%_& z@Ey+o%i+0(n^pX^7lVzFx2%}ImR0u`m*k1*WWIJ==4<}4L~YF8@swJuB@6Q-8<<_$ z-8BO`vhB8sQVt$}342_(a*?iMAcA_l&8&jsgXHFPJ*jr{g>q00V%cfsHR*`S`BODJ zOOlY>9Jk9}|FSC&=txwLb}5wx2HwZ5(%lTo;hNti*U(PHpU(Q5RcVvE5Caoa$iyWM zGF<=+VS0!Gqb_kZw>TQ2R^%>VJA$w&>T~w$h6A++Y~pNxOK8B4BGNV2d0_pLLTTCl zMk&OU_{woCSW9Q%_hR3iXS~c&oB*2!vc8Z%`Y3#$o@Bz0EHV}HQ%_Ni<ISfr!4`pp zaiPfnFqpYOrfGw{0)%$^>FIP{9E~1<fdj<x=3nWNapYInLmI(c!c%|m*TXR)Fm3tl zC)Jlac@_(Q)^hCl?6*j6{A$rr_nQQ&&QnXl)&P_`9#Me#KIlc<=W7^O9~kA)+NDCS z^R>G$Rrxfp$y<GV?O(ub1yEbm+rRUC|8S~ih)0X-`OEiXfBD`X1EvpPMi2~&fL;Et z#Ht}NZTbGa-WJE#?yb)$=Cez`-p{Y4^9_cf{49!p{wwbd{`OtzFW*gU%J}PQTF1fh zX?@^x4R81U_?OEu{Xum+)6<prY#=`W$H}@_UQDO{is^L9U)kL@@T+KRTK`&^<iM}Z zd!EEh=c6)h;@bG$kM}<3+tyO|o8qZIuFKI~dT~HE$6)KX%S)cYd8B);WuhVVcEu;V z1KI|EG7jJ0G4IRl+dOjotG%`3eCxpA3y%iTs%ffSz$qi=68WsOKc@5U`CVWAQ$J^^ zVEI^1^U-V8d3Sz~>tIH1i*qV@nRRLNxKH--E}f+PIU<GA7Z)%_BOxT(4sQd_zT+{O z!;3~;%qg3ByMR(*|Bz%mtNN?dM|CF5WzC9zm8Eoh+Qz<!V~?1s;9y@{)+OVR3X+nm z_mlNZyHEWl*B;GTH<GP#5~WKs?5&7#9A>di!Bx)GXJSE(KQnPY@KyVsDx(f&z_xkE zfbkX2ECM-I5&NpDa!*&-=NQ))Cd>=L&D>8b_B!@zb6RvW+JoOe`lH5<d9B9RdS60+ zja{KloOg+jTE@n4lk7{oN`GVK@90(M;4Pjz1r}~EsOg!JaC?@n5u0k)x6QkXy!lM= z<ZYMEqcH=Q$u6Y1f|~oAU(BQ)vSRvceu)y=mzVbYL<nbnw)=G>A+lsnqJqv974{Ti zB7zeUgD$U;C!6HVo-iVm2xT=C)Oav|D|CY%d6t~ETiB*sk$%jMbkVVQYEt96t1wv$ znf8WabT_AUzhDYY_WG7|Ag^>$U49y4frAR<_#BCo)2a4`@48=hFz-)3DC4Xkg*%$5 zb5`dKQoA29uT;8~+QRu+-i1-mmC3r{)b3}@k@dQ|%4yvkri}Vh1zBXV<h;Cp=F)iD z!MJ|8ly2}@G2^w6n#^TRAJb)WerJiE+CU^HB-{VhZTroO7t`|{%``ZjgY4CIh6Pct z{3ypn4+uIGAw!7o+z|NcwE(8q?X%#M)8#QRWSj?|(8^1|nDPu#E)vtf!khSR#!$9% zzH9nL73YADR%heD7-Rs%1U~_Pv2(nSWe9k!;jl*ER#O+xbG(^q;}o<buwoX>Pg;$% zO>~=n{$MQ8A?wZ?>$+cWHJ#BLV%|`1no=$b(O=*VlQ&{0S8uBD#yUkr^CRL{VC2%Q zM2C@k77&|e0FD|S$9KR{@EveOd_y?ouK<oHU&&H6Q|6<BTn{ESuI71vs?j56g1Vdg z_;Jz|$7hD*O?j_~ib2S<_vo0`8IocMnUFm=yK_~xQ7e<E?$$k0Dfv_4R?f2Ikw2a! z|Iah<`}UcDGw{*LZawnpAt4FR@ER&72?u^Bj(@PtKQ%CL+4Ijo-we=gXn+366yyv9 zUVbxhYIltJtGfr<muDA$=bGvg4}OUAnk$N*8(1hLm)N^i-ifIrmwZd}SnpQ0<IA(W z@&W(-b3a3ULt_|+Yp>}}eyDuZ6Y3pYlCFt2T9^7w-}R=wy!VN&QK_;ZhK9woBGD<W z%TiwP3qu*>h-LK3&v#qPJxl9)AoZ-yIj79)x(ZQ-7#x7}n`9V&V=^QeX7U9e83LFL z9Aim;`d)g+w%{<#I{67#1Dv>Z@D9wENX9{nC==op>+6;D^T&eCiC2i%FVUB0y1rv9 zRuX3nx@+U)y)(N;)Vf(jlejVER23XU5LfZ7YiFv8I3rD7*F^)Dr=vj3OzjeopBQF% zV=`7Sgbcc$Ykl8;qm*~qH~2V4&O6xCXv8bn4<qLlYy+Th%;sBNDlg6)U~E?FenYg~ zw&@bxJ-v${uPE0ZEAK5wbkv`=1B^kK&9z+wz$mBI{hXL@gD{>?cRqq^&)9E{Gr%!u z2Gc%(F$AN$hjA7#u2bu7C2Cw=-AO!ceZ7Q!emf!}Pj}XT!!5}G-K&Td2HoolzUtTD zQ+qwf(t;n|?!u{c8#k>1j33gSx8m~7BD&jgj9K{!6-1;!iKwjKZB+1;>N|E?Y9g3X z4wM(CJ8R(#G7-+>^2Cb<u4;(N=@3lx%`O&DsioH4Lv#Y`vXoHs8XEyPTea?XV#XCj zST)T#2Ir@Lh$x@Or^b1X{Q%?zweFY17U0Doj^QsqA%&3ROj6@~#tHy=t6KMCq607; zneH5bTT%vOz0`TH>qn3PjI~QmL^3`B<$cz{zsGGkgLu}ol9=v&-4&2$q|BZZ2Y_@R zaSWc`j!4sduM<n&b^VU>TaBpFRVCUoO&%haobO71nQ@5kfvO<uF>RbO2!gH*(bi|| zD<F?cnLQ$^f+t~5@46`;DhrljXzujbYwR3wv_&ndk$&kkVt+}7sO34950FD@QJ3kx zwusO&mXV_$6%Cx~lrnouJPiybrFQAa&+CC0#$zrPG$)+`;_}36USkbFyh<%9j=!cQ zY2pEY&#{(?B82q_=3<B%a3m4SxUJvK(K@nW@RiD{tI+4^jN<gk-^Y@r%pMaDS{SWl zq^U(!(#z(%42ak9sU5ewZmRUAIn$)fhKM5;)5^pd>oGyx@o|O){HV$w;}^`J9Uq&} za5YI4DKR`ES!)ygQ>x(!5oZylO|--K75Q&}vWSu=F3`K6>7kDF2vcG-aqQf5Q&svT zA$Ult!RYwZCx#g2eR@~Wczi(dwY!)e2}aw?xn`B#<St9KC^|i2rt2Q22g^{C_x{N6 z!@Q?=bxoh28u5{jtUZ|4l_x(j!Z<F~yEV1)mh)+3{U%0D*1?|hj|`Cjb?c?3`2;(E zBJsO^0OJ?V&;?1aq861wKYJ31PqZXsrM#j7@=PY=iLbrKfOr;h%nY{~<Z84y<CB4r z5m2)(HKMwU3LfZ3sfOR0_JhPTqjx!uf4m2fkEI&69G_AGdZdVT%&FtxF@3Qfdo|q@ z32gge2F^Fnfhw#OXY?6FIRK<1W+2;tUjlGeSdVo~pI1f1L*<AuUe{v)IS4Zl&ag(3 zaImXLfjGyETm%XEF|Dgf-W#N|nO5rLZRhvkfxlIYYN4M6g?LepDCrp-2J+>(R9qLa z7$i{#hLO(68~{syX+0K*69-GbC{NTdm?i?wZmGBj#3Zn!onj2zmz^<yGsk*=>?Ys) zF{V_UIe&F#zolLx4K46kF^pZ~@k7A;S2d$vq6x5c7RUH){QU+bVo_n3j9TZrfc%@9 zQ3tUJIB%QY#pPAgY}$uese-KLuORUU<cTsb3-<s^ucQk0G3<ePAL5uDP8l?~hApX@ zKEXQx`KnaG9!4k7WRlk9GX8jf5~vqfo35c(o<J1&sS;fbjI4llKaAt;E>)oDp!L{= z>F<7^?QO$Y6!M>fpA7LOl3{>eZXLXRey~pQfH_WFl5t^t;Tlj{EmaW2C<K-~=v|+u z53d4_Y)t*&c^$+yI2dDbfN=?ktEo*l(tGFk`BUp^ozlDdd9^e;AB$;!zumQ6((xUD z>bfpjMxDNEsX{dL9NQ+@)G_l_%6m6M%c3Ud(}-G47jY==y87$K*1_3y?;l;8Aw<St zXTS%Q(8qxBT;<DSV;d?n)4Mp+1>@hNi~*<DeB?()IZ(-~l!knuNF7wtNnce-Mjld0 zstv~g=!Srj;lQJcJ6#ulRpK%M=ObdF`LqR46du<$_tk)B=m0}NrK}x5kqqM(XBH5V zaMBRhX451Pc|laVym}6y_U>aS{-WOL68i<+>|zE#I)2<d^^W&gAs_PJVRh7&Cp%4^ z-;C^O%^(2RK1K0Gn$G!eDnHEUbhH}cTbcHrA2caA6oB|0&Py(T9@z<G9FM&HZ}J!U z1`R%B^0F<EuROA(ZjmoCc*ptYFX*Q8r(clcUu&7ZM1S?mvu1kPFDQk6HgkVJ5|S}% zoZ}fjq=7rG++JUWg#Ixv*?<~LyB3kteDcevi|hG5baJL-ukfNXZ@!eEz?V_@dj-uq z{$7FG-z%u%B_IfY#qqt2zF*S3os}1FjU{_?KI4>rw;><8IG$k!peo-ry?LN`cy26A zUtPtwC@Ehi&xbPQ&3?I7tZ$_D3o@8?;|HpRsd|rR5H?>bNEH7i6DjX4%ZH}MV@~m1 zTgpys{$-|<*A0MpQVD2qJb$->zQB{Q;#Ce<?Q(5b_%G>ye9+$jqi)YYvot@x*K6qW z{585hB3?Yc(Qsrw_7CbU`Gx`Om?MbzGq16Al8zq`@w_@`1J#BSki}SevjOWqkni!H z!3F8f9}%)!O_!uf*>gmD**=DZWt2D|=NUxN(#<G{o-)ti+H{e_0P?<0l^nPW^n}V! zOfgmhXhOb!;jy(OU<~yPE>G74re|xMH>)-X1M*L=F^XjPFk<FQ5JS{_S`8FSB(CWl zqqrV1v)N$UN_EHrfXC#`o>@l&s8+vQhac+W`cXdoSk)R#@8Lr~<e%{)iOic9`5|{! z#yMv2aaOqFs(joY#w<U2Uw9)5h+f%2h8rK(bKCiU2S3UaKGy^IipBM#V)&4-e6KWL zi8aoRuXqfFQ~vdQQ{)@0`0f#LO>6jk+4Na{u3yilpZx{hq?_@dPgE8?g0JPeT^#!a zA1bAX@~Oy#dF>a}Pjuo}QB*bkD8I&{PSAV#5HeuC{snP}di({21~Crv7gW1*iv0_^ z+2!|t3##q<z+Ysq*L8dT(!Ll(1@j?g{it6HSAOY}$cHZKyZ-719r9-Cd}#fzVv%H2 z$~UazL24xmXZVU6yn?5GK|W)-d<YpWOMgM$!3X$?oAkSl`AP=m8-78S^4=@?(F?%k z{fbX9eSRB%jYGs3epHND#6o_@H0vhj@z;%ijFm#aaQ(!&Uwj*y-v5eT>R;U`ib)sY zQB}%zeW5iNkGyxtvp-|+QCnAbzF(uFqyI6*L~DCUZAnXf*{<;OZslIl=RFqECc?@m z!+YNPTNt<+KIzN&;lA0v+}e63srHLSxm!l-l!1MDyjN|R+gw@uP$6J#y3r65zC7rE zJM(zpXYaAvics0a$jUgzjKq!VdvT1pJL@l6L~bq<zcum6UD?&wz{R)eNm-=NjCz&C zik{OI^C@nIvlGG$vo~eM-qF<_krF))H|F!)48KnN;oQ_+Hsm!!uIj+`wB4A$;%4}L z!hsQY*DdMx#C!iGUDvAWi6Qr<*7Bf#XYwBDp0za=Io6F00oNsJC)!_at>M1KbdKh^ z8$>pFZ2#FT95SO@?tXK^?L|vurP=ecpSLHf$A(Ni2K<khOzw5N0#6l|OVkbC`@Bos zaM=TsIT2cJ8QfET`llRmMzP#7qUT_vnX#*_XLO^RZESSnb^n7s*KWjxe{CUuUiUxH zv)a9>qdXvOV#eJsqUTeSx7ni7!0w*>ia46br_>4a@;lWN=2h}}>l2NyD!dWZFIOz- zaUY)Rs2lXSVKx+xYm=Sps2&V=zqqSkDRBz-Y9Y-n03M8B#9W<e4(hou7#}<4x4UV| zGdgAN(wevfUQ-M17vDF1Odq6wzgl3pDa1{6b#3j7v${DEHr1pvz5do<=Z%IZ@l%pb zM{dkHly`g`e8GshIg=RP^KfwM=4s0VO<Qlw7tM8uH#yvhx#pH1JN2w<p>bw;chASB zxrbf%y5o{=O^~OKYfX354hB@1y^e2L(bRThE~b3__rYT8Df3TDdKcG!Oc^Nq542Ux zsg|#w8=M$+Gaia_czcl2JoiehN$<uS@sr;!bEQDdfa<|Q&QqOB85?r+D(cQGjpjZ4 zz9w->@RPxT9^t`0^~FKAS3WPw${Xt(#{7bt@+um-;ulf}dtUhrl$AHljdk675;s>f zp+04?dHPahOi_Wm#n6<0sY2S|!&g3SWv82BNMn9`Tjt#3jbF*O$hRJ;eGoUbL+cv1 zR_aoD?W_1qx7YG5^290fr{nmGMekk{$NUa7N%}<leLbi)z5e##W6smBgTFCinr6=4 znuwdaC?A*aGgTjTxG~0mY+!ejOhp4b-h)0U_iF1pzW6|JlS@T^!%akgoQL;RRC(p} zpdoQe^V7wk9>>82modK}BvcL0;vML(U+n)hu&1Ye(8r+hth>*YgS+3CriHY@-XF8I zB}gsJY?+H#J5*NXz1gbR1M-ayD37R{X#Uao=tEibjrmHqPov*;3(6-C^km*>2(YZm zxf{Btr?aA9^t=6kALWygJ&`vWk}RvD?}qx7Pu>b0Nbqkz+!N=%^tNo~+p(r+Zl8Q+ zB+FlQew5Sk2;be4RMCqseqH2S%POPY@XqQix3BE&DhvAJ_G&}%>kQu+RJr@D34tGt z8%N7Ty=&9lB%gmgXytK{+#^49BUILZ;BF{mW^jLI*@ohOsv+OnCuMWqwc5p1UB0#1 zZnoaFyDS4}cSHA<pS=@0lF<C@a1ZT<+0!!7_ur#)%Z+bN7?m48{iwIjBRsU{t$TPu z=WAkR@AERBieCNVmzT<WjW0K{XG2=YZtEVI)C)g-!|gNfZdjnAFJ`@<iJ*yyDtb_| zALWaRz*wSx67cmByesxBV*}u2D1j0VTSDHD1}p!(WP(`i2JRl%1|mQ>wnDPx<0&&~ zb5y^$KIQL|N)%B_JY_2-iSl13lwdW8#%^b~vzAznY)95{_HkCz-^X}uQ$(rP+$7B9 z7LB+%uq?a}&iQqr$$$T0t`;kkrYdBGO+=eZH?C}d6lhcdw}~{0G%7Y?8>Jfs8`m_h zXq0V4H?C^LG)gt176p0dh1PTR;C#rCRZO!IO2RIq7k}ew!0G=vjU&2f%r$@up)ZgL ztBO{aqW5m|Ezx?xdh_}<_4@TI>W%7UxmJsVN$j7=;7XTenMF}<3>?Y3h9XJiCpuB( z^(;7la0~tb`C76Ttr+bj=!AAcS&6Dhs)(xKRD@K-RfH1-7frdp!B?OykS$At{r4e$ zb|<x1phaX5Gl=q+^cVHV`3w1r`wRPv`3v|<_>1^s!Knm)-Wi2N0dv(wEN?|YumhyP z1~;M<vI|)ODJ|$Ef#o%et9hq#!I@kubP_6mQT5lQEOqS2lp%DaXp2Cia-+EKO~J|( z2n&6K4nwz~jSvSSLqFm8pTa+dmX#J2xK6MtG{kO6NfQ1kxV(B%rXG`dTPgw1MNzCN z0`&s*mPlT#k4>To4odopHX53$`YJU_*2|kp`pPt#)Em}I)|>o&gha^`s)W`<9nemH z=qw}w%|b_^|NMbCj`fk&DO8NJ6iqPZ>cbbHO{{A)C!u1jrEtPV?ppXHB*S`4%M?<@ zS&AeWad*PXP#?Q4B~o}uuz7WY40k&$4t-+3PN@>kL<I;nE1It{Uy&fkwTGQyDcA^h zg~8D?7gi42iK>;NMb%1v{9k84z(LP{#1)I+FVIQ6Yh>bJGuRteghOFn*d7KaEjGer zSQ_2~tHVTC5XM12pkvV8U)LifNi45e)Zxm&kD*MKD)m2Sp5Z>IjulC*qYa^2BnCz9 z82)~0$SPScxsBGA(nf7dcAz<={JcN4q_8N-jf4XrD|S+HlITy7Ww}Kh_W<mF1gV>M z5aAR^h$YSz=H0*%2Q@%TY_V7?iZa~%cP*Mz9N|Hz8M1^1|Gpk0Vt2~D|NgstT3<>Z zwJ+I+=9A(>HAEFlT1qCY=dOX@Lbq85sGX={2}|(=U9K$r6v|;~QJqk#l9mz)`rK9U z3ur4lCOJ}cNTgXV0mt1BJ3>l-Y?I_F(E!nAd2_)8ac(F~fj7WzkRIEbZOwYga$!5s ziUpknoJ6d|cn4!p|1<kR?t^n9pV*&R2iXT%<?M2n9vj>!)5daOJFrf(PqT1r9Ltb* z-u)xBMKDQhS#WU+cN2UKa$>2{tOS!V%cw<5t_6G#ied4uvKW*|6k0TYi&d)MW7C*~ z@<8Q_<_qNu=L_VE<O}{xA6hWvs=`B13oD8G6ZKPKS$t8Cs{nUG#VniHB040*7EM;7 z;#lC+#SAN&y+D(J6CpF`IQs|G3ms?GvW`IoP!s!~J!^m^7$Y1b5F-*J7=w;M)rr;# z)%|tt2zuybZRu849W7FSXb9UZoUn<j31>h`EXkDr89_;EIyF666*VN;ESX@)Re=Yf zdn^y=M++*!l4}m%g^sWe&^iT+#Vmyq%(#c)5XgmnE!hBHK%l=#q!?|vGC`0V3m<~^ zvh!0i1yseGW&hEiC66rsKYot?(~JMlJlJ)OhQj|Jc(4H|OVtDwt}na=f4a>+kkW}R z7O+%KP~^J8I#3Q<E5!+2jIvZoSi|*%e}k^DW2jT8A&F-31U;@i??|5sH4+sd*({M@ z5R2w2!aWc;=u*z|;Klx0$~9`Sh?OunT$U(pE@r;cT)<q#T*6%5T*O?_9J`3-9)kBm z;0&ED)r4x2{F(+XS9+c7e?jv|@t}Gn=hO02@~PmK2nF7q5dpjlr8<TA$IQ4#-~+r% zT)-7x%TkL1+~aUO6vLiM9ujF0PLyd>UKHU*!^RYw&sBXwz5?J<AYXZ35nn}L?4oMo zR11{EN}~M~{3*69w78XP!n=9Pik2j(Fnma!Dv4G_W{34CaQ^?bf6!~;DaoCzg_KXp zbHX2K2WfKxuLVD*9Hh>P%n8p4ApZ)^q32LBqA^0aez|_({?+|r{VV$g`c?WR`u{#p zm>|GSfR8~(*dLQSMT&(jWfDZVQU5#pA17yu42d-hC2Zvy!xfMZ%Y+swID}~yNU-3V z!L`sz=pf63mQG7gf2k4-5L3abv^G6{B!1h1_1~w5p#$vB<N%=-Y@+buM(#TJG&mm% zforE!+2F+7l;9LPNpw(PS$<KRdl2?_6Z|RJsP&(FkLowpOLKd^aU(^4qLx(}ag8#I z%CVwcAJ_z{V#m9&lD5V={pZF5N)Tn;f6YZ;DiTdmqA8_he+sIKSqhp<C75zIzzj$L zT80uKJyt%=P$&S~j5c4D@Ecbh#=~?doh_N7inc;Et0t&&{b38<g(=0NR-*rXWmuy8 zBDf{79P(fp(gFlqFoW1Z!NC=S=;f7*Lfm*5obii+l%YsAIFVSGP{N(T4a)cm`6~O0 z`^xzW`>ysCf9n@az;Jg{j!-sHTqrUy0eS>&hpw<}shKF`xl35CNYDnSGwW5kSol5E z#>%G}3P_3stZ2rVV-nPUU8f?MJS93L+N_Wu$&G;hppWd%l>a(mNh=n%5=s<b)aA;- zZBRbTkXlErOE#gIq$HvLXE+~xQuuf9>xH>eFdO;+e}T(mG$9%*gPp;$P02*73aDT# z*CedsI>RVrF13R1$98^~TK@Te>O*T0Z4UjP<98k+9)fi!RYA*D{cHMH^vm|66Qt^Y zt6$00hO?3BS%{rZlN3_Ls-Pw%Cq<hD6Si<S!X=Oci^f)<845}Y24MK7?qMNlfjyTp zB|Id=e><3W3_kLIlBe9pMJxCcqzFwwp->s53q6DEA$iD}B}yx#6{ZwY3zH?0Hvkhs zRbfl%1avH(OMwF+YjBdEy~OfJHWUpI`S->`Qx&wrB%%_mxR!7e6wivGO&zY>6(0Hh z?H}puew)Ai>=FLv%C*MS2ivpWV5F4@do)O4f9R7L|HsIu^_pA~@(@j`S$=Fk)<yP3 zmNHwJ^@aTfaW<SC{`WmU>B*8JCZZ-nb3!J<CIbKLI;tX;SaYeO^?2N6B|?<Oi3s$I z41B}NZ6?&Q?NS369#Y>I$5A50X^<k(;~Ds-l}r=r^!BVl3=gUQ2TpJeAz4G8iNlSz ze-az2v)2C?h&hU8pT)mfiQY=xZ7cN|LsceJYw(cO$%apkqTimy_pRKwl^SN7^#yZF znQ%vghlC%d;0ISe*xIUq{hvST8(XOb%pO(3XN?ob(Kj>kvnwB1@{s}iFy~YW-!w>x z=%<<ZA1l{dQAcgF7Wv486m?7zdN>n)e|YMD{_wKWsYI$XNeU}QR3m7w#n91=EFQ8| z!Hnul%EE~qRwJxm`-G0Zn}t`99wqXT0c*uBs}YRWCb0j<@5yQOv247d^r#KBk(8w% z_D!AO|Nknod7tdI?bIhEsnufY8iajoPn<z#XX7_Y|KA{o9oz_^Ye^aC(ro-@e`)4+ zYBwoMQH-p?L#7%Y5oTxOO{LMcREQ+CMvSUKpsdB5Me~r&+vNAzQa_WphrRyiFH1*k z<2u6CwNG-<7tY~br1#lVci8ce!w%tu8*5MGp>LkUyGuW?r+V5+>51)G$3vzXz7&3X z4)2AmNa26}*6yS}u*)(Plhz`<e_Kl`LZ8gRACl%FxrYO!%*2RVgt4`li)cm;K1w=u zC$-CthYWN{!N*9C?rhyJ6nHzO`t9#;!ti0nQpQS{Lh;mg5vsX;maW(~ZGy_WCs)wN za`Bncqr3RX!ZqmZT>LrdZDcCRUdm2PU5BuC-3cZiY3zX^>kxF;g*8YSfBRty*QYuv zv|hypzNA-=6W>Isk;>5_dH4!xrW>`!KFeK<qf78!$3s@XwU+V}6VxN@UWd7XPRYYp zOQYSXEPEa@Fy|!xw)8&t)(<j)-%nQm*!CttJ<M{YaWSUQDYadTs_&2$Bz8-maDLsB zTWGKI_%`W%UVLPsKl;#le|(4Z123wngVX`Bclv~)bti7~k;Z)(X#>LLbz!Y5jfXLX zlvGF4R?RhmGH0vBY~Q46kQ&f-`S@|^wSH8lLspd7x%C9jIv%q6hpp66vDWp3H|sF> z(1H2*&(f)W)M^JFGVo<KeoA`OuXX?0z)k0>SKGZgvo>tIw6TU*e_?iNJBhkxM;1-& z@CJf}<`Xu0%O7}AnbF;RWZ|FaU4P)QGTQ>F+B>A^VwX1%WHnE;@{z^?Vw?>GMa{5h z(#FWTZlyYUwO-W^bU9zG<M1YT9qB1r=K_A6409hfcSqJaG4e)&y(SM?y?TdKt{8PA z!C4dY3{ALz*OoyCe^LMBBLig$@Om=)f?7Wq1s*A=-s1SCNHc7wjPW(G!k4M-A=DL) zSq!m_MuarYCtc{(1$b+jeZhQW;XCwi1^DeU4}z(y9Hp*^?J**p(LC{jk2D?;J7+{V zry0hPF&-ByoKAI&Yt^&}%(+x;=k$iDMS6o4E5rxNtPP{4e>!GWi%A<3S~Ypd>MhPv zx5S9XgeRJqw`jFO{63k~Flv?~4;kok8Gk@#G^};MP2laz)n2>a+|Uklmo?VI7Ru4v zPf-^gvs%QynGlvWpA4aY6ylR)MkD#i!nMLHi||yLZ4@eIrxaUE-IRdVIsu`1NaF)o zvMB+p71k?je;kf2G^RTiwqA7%e0jAx#N|zm4(TI$ya<0$hIxb<wKJ<jjI)Jcro}^6 zd$~$=i3yq!e%Hc`p#?7D|CB*TQImJ_kbya6_$xB|qFO(=1%5B9KIZnOQ8&zA&bSI& z=s|BUr+(g<H6V6tE8(ctlS%a3i}-so`(pUW!u`Tue=p*jWgf&(xjUtX#NKTsBxs$O zLi3QupRm&A1gcipJ2~U8*uq%4V`HnPf1pfN^+}I65A;a0=%<(PZ)MiTQ};P#eHJ@s zLAa&GLslR2l$sQ4wII}MVdl`om+<{Esqs{b6Au~q@)mwbW;DKa|Ng*Dx2rFBy?L%5 zwqM?Oe-p0o0=@k?wcjagLF}*<VN&bK68dg2eokgIk&i6AF8rbxKQFT_i8|&awIp`g ziZHEpVj0at8b{(dR)l%2unBqNXk1|f-EpAxYDAz*U3IC?n{ES=uyE<0csW@nmFnu8 zg~gHy1YK<&vigFr)Cw$>K-i#-5fNtpiC-m)f2L7)JM)l%GWYOGvioSQAC3kdxmSJH z?@j;uum}a?I$U8Nz5NUIxpUTP>_%(Ce(fhKgfB4gn`HN;@sWiS!Z#UsW7!93)Hlvj zO4vQtgfQ(B;(Vm>cicH^!eQ;OZwkggaD|I>$K_Vdq`;h(>Zbv3Mh!`_!Y41|cge0j ze?{H4D@z+IZ9}-M%|lY^f0xq55^V_AwJ~zSjLUde+0;{1=UqJHurm$sAv=1iHBfZ8 znnrm;F#U{Qb5u%VD<)kz^}YnPaaWcJ_S<&Cp!O3b;bT|uk+P#1d}O*lI{OO#u<W+8 z)F->7wqVt538UI4)(G>E5-}LEEnz}?f6cs<#BofzWvaDmD?@U)E1fcCZ91(+(hv?| z;xlBK*;FHP)^;q1L{QZE{}qz*#$Jkq6|^JZbuep%Q<(THS@b#T@8tg*B>jF6bq6`i z4!hBgpsDj@t?&gVK1X)nIX*JI7k!h7KQH^>9MzL7<$&E|N6^<fu}+wWlz1t2f6k7u zQD@C98Hu-I>7P@rkG8699nQK)d9u@VpB719_+$zGrtI4D)Cc4&Ppq^9VUG?ENvU^| z^2QP!2*ElS17Su9zD73nJhh9=Lk>GL@O84I=UW4<hpQQsH_oPE+G~tuC6ut~;`IAz zRCAZC1K4jn2$yu8Y!W_p72hd4e|mwBOh+E|RXj&_TLG2iA{ByFcO)=%P8ji#5*}Ex zBcV)ZO@pk2A2xkG-8#RO;V|4)LK!2QM(L2Y3Wr?7f01Qgq}I4(9mR5X5_<Va${QD{ zSgfEE0n)*k3#VMe!?Ng0R2CmOoK=edF1zni>#)l(TuR}(nkMM3v6Pc2f5xUe(eGcQ z>bqvqvA3KF^14rm!d}<$IJtccJ~G{3_|SE{gxmuL)znog9sACiutxWUH6JO_hn3z% zP}g14Dkm|FO{dVU>s!@)hoveh7!T7_J(9h!T`68iZfyyb>6(>`Jx3;xb$Lh%*F)+& zwv|lq)WtXm2bSXX<x)$ifBzSI?;X`-*R+q)La)+$ks>9aC`IZ+7Z8x%K?OvL5Ktua zCLkhRKmkFecL-9XB@t1I6p<oQ5<~<6A@rV{`v#x)d}poi{hhzge`hV$V(x3MnLT^& zxo6K_l2~VP3eFAJ3&^nkEPj8>b?zbp#$l`YREkHJjs84Md|GT*e@`@zWojX{zlBD! zM2{)tYK4Fv>(8<?F#Z+9v_imuRl7X;f+b@y^&1O~XA+Oi&Omx+nh^_)90{I2HhMRj zctot$<onm&b2pk`7wi?EL9f|D#482dSc|HnpIN3NsLiZ2`Xo+47>5JnTk2>ljbRB& zJBUW5z+G0d>gZRNf2ZIaW2=A{t84Z9xd(HwR+yrrVxBaQF*|)JP5jr`uHVtLR;g%e zSsM*<$)1}K&MJWzR@b^SF#ZSRQk6g)>xa5%4lBkk>f1INw33f+oq_c8H0d@P=OlSX z*y(@M#BaxH9ljR~n@jD4U2|3}lDT#lLRBsBnpOC1^dqa(e_`r#b{d+JXCMXRqqoti zR*WOmnsyr3Bq=>0g4F^=tfye=IZA&!4P(h3YBJj>0ZB$zNOaXdsjQR;5)CVX9$&~o zwE&X!XLIziRVs#h(_SN7@(k2x)NYBUv}T;67IM&dB>5NyVX6^$&w2``UZym2(0C$w zjhoCPM&KS}e-T~uTa8qC$`Nvn?*i8XA-`(`W>|~bq90hNu2GLTYP3q8fr*S{?a`6e zj5ul%Ck>P&B^*LrD}ZG^1ye03Wt}uWO7=*S1;q-~GX7+ZZr4n;rre~~a1!hZhfLNA z9I?70qgSm{PpA>j8oQEb-~i(XWHgXk1nM8o8po24e<L6pwE}q7Q!q7v^4v`g5~*t{ zWC`&C8;rty(I2!@;gshXG=2zPi-Yvm32?FrcSXC}q|(x)-_nqkIs+M)9(6^-Y#8Zj z-rv$tl%kA>%+(3-u$_XbS(KZ%G}NSeu9Lk;5V*|bDjNM+JM{&nEVITPp`IkjyLtfy zwx1uPf2VCyxoP}eG<>AaKvO2|Pti*@jJz~&Tr?g?Jx+#vsTWXUI|WmlDMws1f~BrG zl9eY41TYmzM~~{Hwo;n0Yb*<0%Y>981T5K#`l2mtQzdB3+%zhr&cF;Nvi|6swv19V z(QX=bQj}Q`G(y0J?Gywq*9bR_CaIo#Wbcv$f0~(o%12M@rcP1%a~J#P(p>j(38^?& zDBJkwiF;`Sw|lMVBG=gR_*BwB-<mO3rt-I%gN26#XF9|X<RfHKjwes)R%?m+>--b4 zskRp!nfI0)>4r1?q6m+;#)9pvnU6Yj7nCQD;>`r#nfyl4RaIVW3*=x&vu1?QcC408 zf7-ScWfR_#?{`qOs41Q#CE>Wai`A=}Pp(Ww<#5Ehr=$pGue$gC+I9XiRM2t7HnPAu zvgTvm<WIf>fg`GiW|ugBA}b&MJ~!lKn!}TaSNOipwThH9V#B_JYFi7^Lf>HmkSC8y zcA3{w^6%pVS%26{e7I~*`N?ptH0e5Ff9g+K%2NQ}W5bqiTor$BGI%l(*3g#c-WJ7N zxE)lM7*QTP7i5!%<ZjDt=`DL;8PaGMkn;Ah`Xmnz{_TcB{PMY#KX=ANW<vtX*6L=% zt!Cyr6k?anRx&NKgV%94P6mUI>~@sbJ1$vd+xl9A|HOamb?evQBb$KIy;;VJfBx#` zM9b`ub<5YS{lOvj0oi*_{1uW_%?*~;@b%2shaEe+h~>T65XZ8bx3k7pj=wv!w00;~ z{&<Y>x9@$waWYfETYcDO9sg*V-|Ek;G4*z(_m!3vma}2q-y1CcnC%!?SKCOe3vy2& z4czN><W-Q_P0#7(@*5w1s<5ldf9PJ5%y5jz`N4Xn!U8^1Tzb2@-m_aai1#adbn~|O zq}gMws&`rbLA+H9#J-%dD`T8@)rH<#^mzF~8G`%e9w&Vy<>s)H_|@2u72m#)mJW?o zEYI;O&zl&xTdgwsF3ZK>E&82-25PzW-KL*m7Qt7434Sv^E^9fcx_Uv+fA^ry)le|` z(=WT-&t7g&^`L$Zl{)p|S@pN8nG+*+;k#KDU$eSD{)pP4fy!;!+4LLxde|X~85dvO zhc<4~*JnA-%Ca$3F?>IQ8)iOm*Wl>as|qvd@RrJst5+$y>JnkUDxMO?cDpHC@cKF@ zTS)8vS_0wogLLjL@wu-df31xw%#E&u7h%JjT0z&>J;pb^N8kUxN9z(}vR*zeJ7b^! zk+h8*+Scb1Y*L@aX==EO;?w9K+<ZQpQSEl;l*;?aWL<w;w%@+wM^v?5e=?wBu6BDk znyy;M@MZHJ;FW4zR?Ks5TRtx4ed!+DD`?QZWG<>WW16Fax?py`f6C1h<d)n$s8A`{ zv+;Vt)(8vc){;-pon;%i>dRey6671f#DGx6w~eR@tNC(QxrGA;J%wL39dtfV1$zYv zdiL`D?7sWLoN23R(2fn>ZwSBHT<G=?(As&~ax}U!cnjIxvHZ|pU7w``PJ!*U+Z{mZ z>1DeLm6?y~h|A(+f4$^eS>>h=M&kQLwnOOGz2ZixBs&C!6(6B$$O^En%W|9Dq~^16 ze5(QuG;Q}w7dlDmm6GKF*!EYsIf1r?Ut}9^Z?rc){dF(&aIIS=#^j*QCs#7#l1Swn zGgEo>Uv~_w^opasjjxYAtJ)a(I!9sk`SN{pguDc+8(~e<f8StSr#N~QGtq9`8!Tf) zr(PGF?~$KQXIg&YXis*<9C1m4)s-ME8f73K<YBiTCAY<=k4e!fezO|H_o8#=i2>yI z)}%zR+JI<=_wKjEP&FgzjCPL9<AW;`*Eh{9HW$B+UheI83Qia*eQ`J4`c1lefoO@o zQ<4EbG^bQFe`vr^X6xX}JtG8E;$X{zE%)b6+0UU;{d?&O?c3A^CR^Wy?Lm{0clu4b z#nW3s&JPs$J?1-RTnyjeYYljyK<K~i8@&3JG2~)vF275C^YTW=-Q6Jfb58jo8y!nu z#y4x4uS*0e$VA`hsjbno?GG`fS^uSjBkYguT=NP-fAV1x@6?NJpgeIMOHAXNQOzp0 zn?6otUa8KG6n6dbr{q+cgRvc$*Fo7Sxfg!Z^1x~PqSwJUd(rInTy_o1pVkvv)e9MA zv4LAc97)H^N+>(E>Q8%bY`uZK6X|6C;|ui->3^0Es1?hPm%k6$%_i8vftXq)0t4<b zJJMy@e;v8c&hinUx$uG?GocBMp}(QI2D1Z+by35M_v#x%SsQUaPLEE))qE4H7QB4l z@zxK(Z^~@>bPn5fZe$IJ08xGuS=wqs*>xs?p5NcmENEu0a*J<nkNd`^QdixcJJg)D z-tK1D+ZM#sBzo9BV5pv{4F$r#MNv33HN9<~f6zRt<1NYeO>}mkpgC)(!_5n%?!G!~ zS9OOvpHVA4eP+MwZtcQaZ)3C3`t3*G(qev=mi-LmSEfom=E*!>bD<zgcW&PnURQg_ zCvYGqaWL~KnL-$;BZ54d&aF{_d&sV<?G^RYoAv^XEP;c|rwlgXh#(K@b+y0}FM(6d zf4B1omn0U})c(9#{Hit~5)tCfTwro^oOR3&B-Yy@5B>5(DIi@6KBbR1eg6z_0!7@w zG}%3n5a&LnnCf4Zxi<$;veP$BdjVsIXBLlvocq35;L0F#ZvoKUPmfrx2a+u;Umu@j z@NRJ{5z%g>?mh4LC1U>vEEg~P<iw-ie}L~u_`^q?z^zWUN{52LEpL(gJWlCQxWc*% zbZq&)TLLt8`0K$~V{@a$UX~xQ5s<oG;4!;#v}~v?h>bgiVmvQ!D}Nl}9#$h&U(86a zglyfd%OV8z?*sWjQnn1_!;IZ-1xU_~q!@n`xaBjB2s|VIP)4@)*?9){KLWY?e;WXI z%x-ge%O}5E^hcE3kD2zwMvL;HOpzv*_pl}FiNSHRF?-Q0V5|Ol^t`RLDW!(|epQE6 z*z7<<RscLzebYy6%5Jk`VF@@ez9p}1$@kms?qmgkQGZhf9>3Zxk1o!rBvyYSo$78o zp!J%p-7miYoJOjPYQA5qMa22?f5AY!jrM{<#_e`%!JwxiDVkyex7<f$+S?bVK*vjf zrvba=p#C_Jyc)k3l<NuISplP#{}B~7(01^39AUD74DZd-%)5&V3IV1V(*F@8^Mv*c z*vH?UU1hmFf|7}uhws&ECG--X=7jF0s~1nRMM^Z*iEF4W<>k%mPu`?sf5KM(aT&P) zY6cZVlRB<M0ol0roQDO>Mlrq&(Nkk0S&!x71R!C9dWr;2z37S36`HNBeZ2&fuI1b- zTi=w_3PcZ#=o7%gw58y|1eP!eY-Gf6<sdYNNzsE91T<hHN?@Vt#1<uG%i|8NguzDS zL4bU&$Pp}dkKXHt$lUw6f8`NAR}=&S54w8Mrs8{{;jA+ygu0D2Y<)`Cy~D{RdDZUQ zyoLRDb|q}O8D=tJlX>1y$2a|=_SAk)KFH*n*cn>;+FEWRCW+$JjuBUT?N}V;8@_pl zFV#Hi|E2Bqo6S)k*qB10@X5TJ(3uXmfZqN)q<I%M`hUT_elvkwf37ob%l6UX5&~Rn z6F_O>^?L#8W#A;wjpaymmj7g9xW0sV(LKJY6)55$uV-&qddlU|-t7N%!Rz-b)XNa$ zwwtXXCdGqaOcDPsN=aF`(E0gK`-2g6iG%*>WD_ycH|faFf35`hmu2g2x%tFbW^|n* zRRR8Ri_PqJyv@esf59HK&c7ZWDqR%<jE<=#c{wwW-NN5xCU1_|bbgj;FvFDnl(~PD zsG*N6dlvE{Fgf3ZIay3E#G4g31yaO5>4-$A8R_No@Ppf+9ONIJZ3P@0wn6^4!Bw7K z=+y^b^RL-rVj&)M1ttwI#7eb6lMe-XT%d`1xPMOe_$F}He}ws82Ti<pdh5ZB8BEE# z!r!=|HsjL&-mSs#ZP7tW_aN@uqF~d-)>bF)`pYNXo3u`G131TztjCNG(i-!a8~69> z?!L?8k0!V6e+QiIvMS8b><z8q*m3{-W|c$=c@k*$rq(cI+#hTk>h!+&Q>l}^^o6o( z1IKr6Y!({uf0N(numt_Ofs%i<a66n*X~K?N2T&eSLfHXFSI7NLK}+>7vXA+r2b;1I zJKV_mY>YxP?+XH++@S6{P`oJ<lpUuv{9@eywDak_dsRJ@a+%AK&dH?tYS2+7Je3!R z8H*0wx;O4`3$`yV!~T@(ziMs!7l4=rC<+&D&JH*?e`ZNO@y&tX418656;Rsrr<RF? z+{_prc^bHtUF~)kblrW|qp$72aNNJR*}IE$DlSX3Jr{WwY7Is_6_phOSHO5eyWV82 zMp>fNy<BDonr-@FzCpYUReW|_8$O+rcG3wzUo%b&)oIW5MPwNk0#x3ZtoJYl>npyy z?iT5le<!m0wCifS)|TmBh2T5QFeN+zo*LgU@APZ-MjC)ux1dAc=dnTfUOh4zXym}x zJAy^*U9aKSAOK3sm_9v!B4owhiGVLSKHAv7GW!k=fNqGr6Fr4Gjb(+vV`T<7Rywuy zeYU<;hN}JPPyaaxHXUK&>C@m#{#Hgky{%)Le+xJ?F7x%Oo}M0+e7zl~!g>DQFsJVy z7r)|v>G?;1d4wmsw)xMgAarf>RkqXOmPdqu@H<toDn!T#zdJ9nkUu2%W#+j4f#LH{ zS@Ba3_Lh9`wcUKX-`EA4)LL^Hn!jC(sK3&+$9{QZGxT}j)~)f)=gps`z^-LuwzkIF zf3|=Av=Lz(JsR6F@CtfwuPY!=!>~#`I}mTzf5G>KCD^l6cQ`x7+w?D;wjtlvPnLsY z8=s0h9cy^Y^L^`R&vo{Jx^%cb1W$E`!|;HP&vqYmz*Al0-MaOJVYOR(^XP{Oh5I<v z+K-vzb6!Co?0-E2)N?7K`(puhjVfHHe?17YI<>8J_i+t5zwHs3?|LL5OQGdzM&K4h z^@6@{P~p#+c1p47uvxXbtlra@6;s!?cEei}hwRt>@m5xF-}J#dwH6N9H-W9w97o&G zRNL>h&eNFl9s<XT*vvVr&;p%RBcH*aGsk~`C2MX6Te3$DTWY?(#UYf1Y(QTGfBP`I z_-Eh&!|UcztyL&U4Q*?@zMa(!HcbNhz?srzCwe+Nba85KbN-k1Nq$npk|Q^mD3D~$ z=yY4~RM=far%#>=6YtZnI^}e?rJTHO`}q!RXpWBV_iml)+~?BYIpquhI=L2CCyPx$ z@N01mx9D3_BT$$-R&f2VANRf^e|P2dzdR3Rv1E_SFwzXBbklUCR7S1p=1@L<%=o52 zgRDqG$Ku&+<*Ub$HvhD;GSNJ_wr-Rv+7S~w8?xRJezoC#V191IzG~jq?97X+dmVud zfxF3<&PzyrZmYAgv4LwkIQ&?xtGjqUb;m^Wx|2(5QHhs0@}<G05`E9Oe=OMse1gfb z^JHD!&u7QC%BiR>-O@EkmYNh2IT{M{OSyH;Ga-w*6JC^NZD!c`%%jwwBS?6s)ZmZ5 z^Tm%n&~i6BiT!9lU1@#jgc+Ls`o(mSM$O`fZ8FbYe?A*EfU<_7UC-;ARlc>rLGE)W zq#qg<@8W_*uX)yInN3`Fe=>`>TaMkC=FA9C?LySJw>S*>o=3P=W_ri=tUXFx?M6IP zWt-#@QU241Pr4PL#y0s~%2s<r%Jv0p(Wn8Qd_|vTbGl0Fa)q)bx0I25nW$WYLOsvJ zTx~9X{fwlF1n3sT4f-XtX-YW$(zP6KGowQdd3Fl<{(I+KXrGDZe_CeLHYoVfdzVZJ z4{`_?HI<3p_q%!dOK9AbFna$+j<==JxRyKvh5XFDbl2@{(J70J^OL!X6!HW2(!E0g zex>LZ?B?EZXvLIp^B0qx)f+~e!48w5Jl|NF^_}L!JP^_rC#yzzq`9l|`j=)iL{}^` z4ixKh?&8}#&lNl`e@`N3FFG(DYo{J`lc7iGNgYlFd2H5tj$8;~UKD8i^alAdLzGZ1 z8seAw@=Lotptkiu7|I>cBEl5wYU-DK-HUpC##}XbMF*n-5_BSzp+>u9qDQcshQsam z0k*gY!dmWt0&${PXAH2#ihI?@ex9+P0&GE}-Ad6TznfQYe~i-u>QBYJ#ACv&2vWtm zUGTA}7xQ}A-S-ENO`FYjbK%HO{;{7#^i@nb%}I1S%{g`vM~0&1F`gWGU6byaMag%? z<&LVJjhaG>?-a16E0vhtzj`E<J?aNOyQM3=E*@RoDlIau7*!cmGZYChFf5;RPbf0> z0?dvD%P&jFe-$(g-PY$FG4tHD6)x|xlTbWrFLE>~xNhd2=`B<1eo5bP*lant#9;Xi zkT?xxMbhP?YEDB!>8(}8qdVIM%TGYKuBfJBG~8)OGrhG0fTb>!uh<zl4w0m{zBZ$P zt8N)C=YeTgP&DWTq@7CDz%Q5L5R3&PbM1<wVeUYee^7&K^Rv~blFB6mzX}(|e7qXo zxnx1=eq!<l^z<`AzIzXfCW{36%kzsHtyPNBAclU?uVcN{G;>sj@7&jGVx(w#*>C{! z*u0TDF!|wX-Mzelc>N5$ir)9D4ez?_ujWQb8}R`?&)YvDoq9hRT6TqM%TL~&c@ZUl zU!Gscf9SnshR&q>{dfgGdBXJ^cxc`L@8oTsZ@PoO3Jfxo!O;h!?qR+030K}#=12{y z<tn$&z8r8K*NssgQF;Gqa@xb6k>UX2zBM%>9G}u~70A`AaSaDhk8{;IJf8u&N>0Op zk;l3DdGECz`Z<)YpFC2~=yq7%X|!p11GR=3e~M#2Z|qJ^&oKc{`WbM3_xpMeIVj}w z8V)Qy(y{ZWG0@F>hwJ1BSBS<p04oqNIC+e?qQB`UALyzFyQy_G*EwA@O>OX(_Ya<L zjDx?}i}fvfl)-ta-*?~oZWl2nnqgsFaG6#byV_uB_O9_+PFT}5iIgm}hM_z93zp@v ze|cf@`hCMdDokD|X8|*aF1@eREK%{OD%&C)d=5yLcm-rsgOwS?(({sgfn2)5IG8!m zatAPTM!(d!bG|5|7N68`8X8HLcmw8wNckHu7sP}!E6n2Is$YdIuN#)HaHc*p0e=0? zepYT4AEXM^XPp4jEbEyC6|}g<ok~B`f1><+dw#cwr47LHFzBl+j-J>`mnT?dd3^6n z588C^?Tc&s`0nG?J&&|v@kzr}#|0I8eOHqUlT)dVbJKRFuEoz*NqeO4t5pjiMhtf8 zT(cG9)kF}o2J$qB#5{%m#Hy<Fdy%Uoi+y4`R>r-_nh*20T&||6mb>l=Du23Ke_z$p zC;4K|&&~ZRLl)Bubqh$(AZ$$%iuk9DI`!ql#kSTQXZdRxr4||PetGw=eyV1-6%p~G zo#YwxTG8Hpn;sD5Ex(9p6soLp)e^|<KJZHF$u~tf3*`1*^=j;?0IqC3cVPL4hOR>r zlgLz~#p$;Ou9lgrLatvG`KwtbfA1TxB^jz_-XEq;vouHCPBofqZ*XvB)wGQCmR-zo z6RPB>AaO;uZS|`TAd8Fi0|t=zn;Fwz%GQ~M`iGBKdi-??J3WL#oVIGu8z$?9kqU)q z0AfF9(&3@buKEBnSxTI1r%`+N%`a!YERLkZEEd}mP-Fx|Mq4A0pvJWWf0agLUm++V zTJDZcu-HODRGw>RCqc>)aF&>$8<v<*6$hBH@$y!zOXfrno{7qM;RAAxjR?}LwvGVb zTkNDw+qL#CKEPFZ38SuUWdc}E855-0Y{Nh`_et9|S2}Qv>g{#SG`UeA_NHMZjrRC8 zka(Ri$ZqQb5}8kwO<Zd|e*r(-zcKHGDyt7B((b*ASbSWS3<S_LyW^W|Gp=Bn(_V5g zaS&ama$;}cIsp0=`;8G2@~Q#onS~J@LLp%2l7<8}Hd`9NZx!u{{WVu#Fuvm7m}sF8 zb5N~AU}K!L&xzojWZw1-Piq9D8d<`G3WeAMYMNoBxRBQyz~jMhe`hUMBRPVSZ2yig zuoDf%y~;TeFGVMoFt$Qog#g2`IKur&RW%Ue!&v-FLBvDQsoP;-YtMIJp<J_<FdjlH zuRvl^MCp^NLXa4Re<_4828lt3fruU@khr*n!RU<Z0HZ4#5{%E;N&>O3(w-dU_Sk{y zQb)qC@#9?UoCjmae=EoO-y-n?=Mj8>3)`?mh31}MaNTy6Fb+a1#lTn{ngr3rs%Bs; zt8Dy$I3f_30YYipH@)W&T!_Zs811wjz)_D=Nd&X@Zazp%#3!*&9)Up_Zu{o-w0htz zovFVd>xEXprFCn?SJxf{zPa+d^kBjxU73F8#L|@?9QECge;XKQZTWvr6HzNn?6LPN zFwZUn3|rS2aD$2zNB6|i!ioSlA|GFOsz33rJI%!SL%aYY1q^LpaWt^KN9_v`(GS4g zy3TGnm~`Cnhsn&76yPkc^DG~|>^TIN;)~zwL$)u+Q^q-=B{|Q!3P@F#Fik>74!xcl z<1g{|&LeIaf9%q`&MaZZg}7^6PXt#C2u<fEDMgOh5YpT0%0k@bt_^}K*9o0agsDLj z6T*1g*Dx)~3gMC(P!xeVH_0IqLS##ExM!3W_S#i^Ke0sh<S4Eu?okDit@2@WY)@Pq zAlXkK>9*HHihHvwdhaU^Zf>vNOA9M>t<~5SJ_#{&f5k}HD)jVyK`Q9TTlK2NS4o|O zXt`p<C$)R}hLICG^2WVc8df>@4pGE<rZ>B5@e-y|h`ZJ`TQDRV-ywwHe<sD2t(1iC zkV5chetsnN55ei&r1fiwe}0ul$UIqHxxp&X;6Nzxz=t{J90Tim&~pMgnR0MNg1hUo zgt;She`E>l2e%PHo^?_ie7jgqrnFs=9)SA%Z_I?YygsPbAaqI~FyIEvZTq^U8I1$$ zANK{Bq%9BT;ZYv`-UUQCm=QM)o1=QdPu0W79UXbF)Es@@@zpw6qw$b6+N%9pM0^tB z<a!zOb-2BLHEm!7ATNJGy6eb;Z&XMuUiCcUe=4|>j)!}1dwzkL6R?Ca7s>_lDQ&DI z!sLl}C$Q(cvL_*$t~6lU*ln--r9r_r)9Fn_N`iMQn57wbRS5(q=%-`_V~`WEqMxCY z6EVhnV|!gv$o|cN^$c2vFwQz@Hh^3<h8{L2_uxSfcfKGSb(*dNk>u(VI;9W`fQ_Tg zf3`0)tr{$*C0~&;3EsuP0P;!rYH@@m=+bK2x1<LT&ZA@)Df7g;9#p@;pA_`qhmR}Q z^8HVyu5GO|c_8e-`BR*P*to8Maj$K!3#L_10F<Y1A{d@{#{hQYnRrzJL=qS``(Yrm zM;>^)0#&~tkF=X?fuL5j32UsAY+$)Me}B@Z=V~uUs8G&X!psOw0o5+8u{0tu!8-}` zlZ-zxarFY!ZJ7>)J9qJ68adt*$19;f@wxagC)Wt@1yTNup-np}1lA%~gD}oGi3GRs z;h}<~D*;S^sU^&^&{Q#?4%8r6F;Dt{`9X7Xl-IKhrcdr~Ooh-?C7?FfAgD<qf5Jd( z-NWW5Jr6-O_Y%fky9p?wt<LXve1XbMFvvIoOQQ2IlJIyn6<nk^{E5A5JP>4v;1cGg zkTO_FlioxGK3**Y)#>;dX@m=~#9{Q?>+)&56JTC_McV5;)C99qm*B%RsSoTQANgTm zLys{Sv(|5nk&rT2M(JKhC_GsOe@aY1MDz-#H7DYeLB`jL-V6sqaRice@~TM4ixuSd zx`L2$t!s4)-jEOuMbH@R3Qk1)#?)#*H0}N9f0B(qF>}p+=1mWRGTIMqd*%HAkoP=7 z(%@kpXnr@%(Gn34`qWEU%}HOFKa@F9Hgk2?w8VmvrmMRaAl0s5tmO!Ye+x}dRx0%6 zY6v69tR5e6TV_xa(Rpa!+j<{x3l&5t8^}Ke-1_>Z%~&EZU{pCmZKbaL?*Qpg`=N91 z!&9Otg2LcoEJ&>A;ghiC0mFME6jJ2c4HDUyCX;Tkg2ur*4=-ClY6z|PdnE^fEe{et z0gHL)OS`Om-IXr`Z@GfJe^j=<D3o~dw{s(&JLZs{)wW2VP_cvI7!7>f^XB@Ybv5DR ztImQ1srbL}o_U&1?slymAxR@loyqp;zpzVMcg|m!B2j9v=xmsyw4V3>I*HL{^BF;U zo+yY~U?#2**s`RVe8Fd6I>HFy(_prJHI96){1u6h<e`7X@T07Me?_$;HHE+8ldz#& zaCqm#iMsWO%it6Y3BMZGWB(QF*AxF0*=(cFs0!IV=ZwIJfF&tB2z>P*Ayo9FavWGc zVj;=013)o?<bP5HWG861%WivX;55dQe_Wl;q(5Q^A#fFCPL4Wzo_L<dvK}I2ICgyM zrc+3=<jhT&_OGvJe^{VSmh%>{IK>emiB*xH>y^XigkKof-{(rs2KvQftAFO+=-i9q zGy4RgEw8hgM)#1MjmRy7xN=5IVxDCFi%BBP{z~T&BY!0*LiS9`)pG5YJDa(ItH>2l znn0>vA?za7B}UJp%ij%)JR?tab=sSp1==>%oZ)dcy28;zf7!oN_rx5Po(1Q)8bUbr zcG%nywq9^Hi-(BylfP3=-tCC^>lEGTVSeUxYD(eW>4Mcn<a*+ho=I<1fri{Ehq{le za{h|J+E$JrJxMRDODC%a9PVRb=PjYi*MG&7KW9T@;G=tKf5!&w)ZYFbeBKm8@pp7z zmE{mj=icd@e*k~$lYhtGC|h?tDlr0QrJ!bk$+b22_n$qcDmVRN>pr7iiBsME(Ca*w z#xdA6<4mdosUed79YyXyoJU_nT0`SQ?nCNBvqr^7$w$UVjHg$jSD{j2S7B%;X@|74 zwzG#)hjNAT^03YMD=IQMFgj4?C3`thIIuhL1=HkFe}&UelS~s$Gf&e`)2@$^PqR%k zt1zlis*tGAAFJ*M;#6><I0GCT&I;#*)5nS9<Zv!94$o{NX(DOLtb*|Jl-3h8CgxM5 zdsGBzEjfXBmTs0>jX{k%oVJrHYpPB&0u^;KH<%((M1VWg5c|-tLHMZfyzS@O8A3_( zSfcjce_z^XswMZK^kMKxZt6gtM`xfbQAel=bb{g_iRb>aNz2)0c&alQl78*AqLSCg zrChlWR?5u9aW?#|PZOkF-t<3Tk`?`b6X~-*EYwohF!<06rWo4DPIp)=phZ+3$5h66 z#pFiY$Am;uM8?N_ijE*1B9@`>C0{2lAaf>Fe<v4v<;6l|{psBVxdNn_e2<8Mh=C%4 zBA+au#)){Ac9v9)xRW}ZEcw&bUwe;QLlLIu1*JYK$ZNLh3f~dOW&DrNY>S~hutfW| zF#XS-mgt?U=9(C`jiARvkRjqB@*$ETGHc=?A`=RA(i+-uE(a<HCI{kU7R3U@%?IOR ze?toX73T)J%C4}hP^fUL(5Nu0kgD*meiF2ig0yJ6HubMxzy5HK>eUh1a2Uyw8u5by zqE-{48L`MU=70VN{7gFX9OT9uA_JCx5=btX5{Xd1(xxwM5$W@~qW@5>cOp5k_VHYJ zx^Gtcj=q}SxU*;4uCwRvNw00;Rx0lNe`dy~=8HB+Iv)s-Y!qumYh-IAYvlQlH+SM( zbR)$g*(1fG6rvfTYok-53UQr&SQ0G#{_$lp6+RX2cJg+LP-31q=^XaybH%Iiz3Zg7 zkkd~?uVHJjKG;F5^yw!nhtLJldQo~Y>Ld*05wv)+WBS1p_YV;y1WG;%JgW-Re=*&@ zJ<bLfh#gmqJ9rF!RC0(+hD3(k1Y$yJLNSDm=i})j{{Vi>l0f21?h9;WEm195?GJYs zo-X1Kdog=afl&-GDc~0akmA{o`CHB>&r$!#MrWfIfqYXym7+?~?kM-)Ogvh`iX^MV z>Y7t`Ke(XwUcF-oCknqtcylitf2Kx%gq_A}V&7whVa707MfMhDn}=F7f3}F7NM^}9 zA-kTKgGuBAKe4=o=UadDQStxziNgH-LC?k$gFhdLd~Zi8Ttek?V2x;TqU3O9()NT? z_*P`|MU*`U7D|g_BZt$Fw#O3BzW@K>izww|Hnr4FIy@u)O?yE+<i|Zme_skG5+jNa z&4RO38`4<&;Rjlw=R|v5u!_dP-<Q))a(k=N;5YVRFJS(+{V5~4bzF0Kczm8jwNsKG zX<~m3KhtZ6{CM#gnE+3G?9CjT;5%kS`$m-fGIPums{6SYUnC6ry!ra#LT7@n1vE6# zbI#s^2J^;lpKVN&qJCIhe~Ja8iH5RR1zcx2aAyf3X%V`D6mX*1;CoD<4yVaUc#KTk zr^MaBk>MDy5bRZK61EW=gYCdpViU0!c-$3Rh`tf2Lzu6`P<aaBm45gN;K_e<Fh<a9 zG^49g_fUUOyr`EbN7M{T3#ad=0+)xMgVVto_DS|RzTt0V#c2r9fAJ6VNI$B5v4yQ1 zpT@qyzQ4(QOuJ77<7$yseCfOrZ6D<fQ6NV$0B<WL-{}E?ha9u+d*L*3t~eH)D6RnO zhSkFIVqaptu*}#DEKiy=M&nqzMc@h*R=aywm2_8Tp+OhD$Ns4@Q<C4RCe-HL=B<Uk z`2WX)-}wLhp(xnLe=_rj{)kZs#l<nq;?N9ga8$hC^an+s_aUMmA27SLQXyY(V2t($ zJ}A1~y54-z*p}lf^r<=9M?aeRXR&_M@4wx<)!uYb*PbIA`m~$vqce?5O{`y5pa>bv zT9fGii(ds9B>QISkwf~#(_xIL>=WY9JJeX?M?`GIB>TrKe<(*PVkvquoUKHpG7HJ` zBhu&Vq|fI`pHGlJ?<akZBz@jM`n=Q<gW@q~aNwV2oaQ)|*q4Jb!^~m#VKlg0Y!)^U zRgJ!f{)6U4zeGEtXV8#KrSzzlV-etdHN!o``Qh%t+POZ>|1r#TfJWGOR!DiMFhkW# z6JWbA9wyH3e=H*|7FnavOs?N0LP@0}BD2lrg+ld{ZX)_R6{B|*SY0fhSv)gH@-z3- zh3GHbD3<}03+Cxhtjc9(EYby!tb<%EmL(N3y?gR4XKgYMmCofl_NiIUDrO#jG+K~z z^_5tM1oUBA%UQH`3pbrC%Ike3kb)sMf@I1^1AQu9e+eEL2gy{9Dk@lhOYJCWx3*Xg zQLy}wdQ#kOZRy#bYar)pBEBw{d5AVTpq<p~3vnzCe_-BCH>ungqE#I3Z!VIQp59t+ zrrsuwG}Sa~w)Bk7HMso5a5>rF<VT4CXGzgo#b~Wh#nZWrQPs?xrIJ3*Zs7p+QHJxH z<(s+{e?@$c=(~(8FFr9?er*7SnP)x~N)IkF<DRi_>yj-0BoQ!dWO<3&P~!SdQl(Et zh3PdjzRV~744pZqH_VtMECpoWGI=&2w(XqLb}sYU?(_^>Rqz$26scL3Z(sA4I;tu9 zSY{EI+jna_Yt&j|r#<({rC|MKugqY<bKL#Af6qo0BzE598eDYM;#zN(u>5Ky!ik_t z3am01d-CBU0<rOQPdPJq(r7{6wSjY8F|*=N$tD*9{dA8svw}Gx$<582(>EfsVx?r0 z8<F;OPb;%xv4oln5%e@L(qJsmJeF?q;z#8h#aylBLUG>b=6Q?El4dL_1i8E5>SpEE ze>2a9QU`9f$5<}QDOgV5Jzt(;S&@({;qf+WR7_%Q)aW3|D*f<!aZX$Lm3dx$I@5AC zpNeN2O+|4Pqq)F(elasEsI)LtupERNnsr$$^D0=*!p+UPEGrswD{i(6mNQ9=jT>3Y z+FkQ3GH47m&rF&SkuxY(YAu)0y|15Se^ovRtml{OX3k|6)(VybcO}YYEGya!y2T#$ zeJVceLnv(Q8Dz21*Q!FxHw3*Nb$Mk9?`SQV#dmqJitH2`>`*au_--LWF>yji`n?hx zVcgf27jH}~9F1p>J{pGnJQ~j!m64G97KXXQX}g~LY^U?m7u$7Z#gCI}$6?2rf9W4P zq~B;ZtHPf;XCA7SIb*ZfYm`Q8ibum<`=DRSI0VqomznKFwK6E6U}Kl!#KujLG&>w? zF>@93v;}5+alsPJU9d4K-8i$o=wP|PZrGT<u3_;S)ef;wG81bnwCJ5fh{<^4UQeTM z&SfbnM4mTe3KIL|N9}8%?L304e_Ry&=<$Lnzq+`q9G-b;?Fd;jhBpl8R)3#n{X>o8 z5`5^Lfhy&4@HG8-@<z<-QPS5wLynhj-#Dr?P$gPMPxAoqP<73I{0#z1RTjWE4QBY4 zW2Yqm-1pTe|34ismG69bwL9~ym4DQ|#V_t?KFQbofHvKIRqO*xz^X^KfAsgPBv#ur zDO*I(EIT{DHNrFR5Yxb){l<)QnazWf?eKewp=mi+-r>==p-j{AS)WRddB>x7vJ!HA zM%|npe-``7MhQY%<z$7~Ck~vMeGkm_bxlD1PI|6^eDJ9e_gct;eCre_3Y%QWoLCYq zXO>t9G#A&k)_+OsNT-mAe_0YLH<#FX62bvV8cbK>ZRPT*e6K5}|I)Oa+^14VSMR7^ zRbuQ~@ek%t`hU_JJlQ21b3G#PpVJr0%EuQk=OH`M;V_~+@^H=i(XhCaK%<H+M6BRe zlo8AiMg`N~b30Er`TJ9@@))NzwT2Z-9<}r@`Jr1q^#3@WAA2WRe<R?k<6!$#P?;#m z+-ywDNrBb9r$&7?R3Z~&B*KCCnxcR-Sh#PlAGf+T4}E1O?jtezT(~@1!mZ3A^vAx1 z9QAjGKg_n5L{_+B4OMN-iY+P(S)?cQ(u3`amGtJ!XwxlNGnZLnzw%!wceku?VKI(~ zEUKumh`*4Bk53Oae=h#6H@AIcSdnAUm`MF{KIQw}EyPp#7ZplDKQ<PMLWX{rlphyr z(GS`ic5L>yw`tQ4PT3TD`tjbNA3Vx3==k8A+=~vvn3m@pH`>_iH=31S$7@z471>pe zCLUYbc<DEqmJ>FzZ&nMBSrt2BYmu{Q7C<@4NA3sPoBgqre~3YDdWx54TUL-qOzvbA zc~)Aq=Jxrvi<c`&Sk4%+UYSj`aLlauRYJ`T72bJbpt@M{grnB5BHzF=z`PuIg~zPR z-GLf%SG1g5!m`_lRUyZq;<bV5e2D><kub1l5<o@X$SHENEH9n0$l0hUaxyPB07}Rg z8#5z|iZ{6ue=b+jEzC13us}(s6B)Z+obPYGkVKyDS!1>^V*x4bEON3g7n`vVDa<dj zE3>$&U^xlbG4n06uvM_^E|V-*u&j8RTj4pHW?`5q@u#GMvr%NH$sp6eW4Gas3FrBC zUh^Qs`okBMQ?Ai>h7xWcOWVF4nLj_4EYA5YOpp}$e{&F3t9cn$?(`cyf!aK_?p{FC zp;S@Mk&Dp;a%a*hlc*k4@+RlEmrA6{Gk0!Ie5PGRWk=1l%hp}KLH>}l7nKa*+~2uR zu4d_u{aW)R=FF^LZ)7+miaRnS5=k@!A<%V_4<;#PQ791Y5k)Y?d#qajmRyfL?BiK_ z2;64wf4{E%jF$UO)k!@V+p0!Y!>~rrN19JM`@aKrAix*1^=D8KP7fE`mr!J1J``?I zYf*;N{s-7U1FrL^O&s5jpkZB>Y<N9I+(}}r>--|g>n&+0B=2c!7U=|OAZZHu5cxWh zGqG4<%>rr%Xaoz<4QOSQHLADF?J7(acQ?;hf8pbQATI!dDd6tnym9n6R+#Lv4ZQ=y zG@XhPJ^ur-Zy43M!#=5{rWl!+`)_JLznA+?-$^@|(3%B7lB`klLGmH9|2tp@0(`Ms zfBF>RY;fg$Sw*pBJGNu$7D+hEe*l{^z=8+Ac`%8WRT{OvquERsN|pDhQ<iz+F8BU9 ze?_VQC}|DN8VMg&J{53PA~V)>?2lZDZj025c8_k3l8MT=(JzZ_#il7@q@Tw83r&EK zG&T+U0(%c@h!q~Kr)`mLp@F;d;92m!PA@5UGC7CuL7d6NfJvd`zEgKn8f!Zm#;imt z$LK}6M>a>xL^GRCj9`_3dnOZ>6I%^5f8W}ph$}7haI(SX%k%$%8Ud)~GMo%f4wu{) zQe+B{qN^cYqvd0YU)K$Pn_a0H=BD((9>tELT9W+gm(&y~6UlsI!VLQzYYbdpe`BFo z8Z6mpBTI{X3o~31t^}vqCs8DBl!DaI@g;Bl`S2eo017~BWPJ4b^s^+LM8^N{e;P)4 z#VAL`f12w@@UQOl-*A3GK63{b1XDTeyW&tdfZf8vM|S{KK&ro}aQ)b1;F|1#{V%{K z2;5S{BtMNQjZ%miikUZ^5JSntC`ZRf{R@2h3v|!*&u{ETKP;=g9_z!r&!b2m<|a|| zMHF`x#<QG5UISSp!t<!Gwo`@D^TfB7M1OfjDMzYPm_U3<3P?Y_&ho(8VTb%Wc{%<A z)qoH^aECWX=K}X>zcLrD7Pc1B{rk<eKjk}{*I~d$i4Wf+D}a1@Q+pkFr6|>Cl64$O zvDv6UXf3okiW}{Yt}pW<IzHE;3cmoShM(V;Qe<nSjdUhq=ssw2=TU*QGljD8B!9M& zqhz9{V&bDqBNd{DqFqcs5$%h>LU1>Lk>oz=JOAcdmdtoPMWjZWtl|9Psn=WLP;#D_ z)<d)$`VUGAm4W6)xuY(XRq{J9Pt&WAsc^QR66`>N7Oe9RFeh?fq5|SiuWG_!MlfX@ zBXC(F$8o}FmzO9e$eKwR{EiHsY=6Am&h&ZRxvBhM1zn2%vLuO|=c=YCAlECbVN+zD zW;^Btn)_g!2kgqSXVhh&`!9%!pr}11WC4U@&cSBrJQjmKwJxiK43afNBFOQ)?R=qJ zJV{D+*y}KRoV$;6ukX{$&Jop7Yf+76`cUdT(lEDkHD8o);xPKrM&W%O7=Jge6swO7 zz?x%u%DnV(iCB582|5F{f+|H_z0seJWk(nM2%Wk}pHJpQp#~X@YRw`;Qu>hMSyZUn zPaOtGQH3*2lOLB)SyOXi44i*6ElVObn%P3n@x*p+a@TyZ!|B6VmhDK6)nQ&ZF)Rk< zg%(4bmU&U(2*ACjATolq7JpJeruRBa1Vtc?h~Bz4pt!Gq`!7~5f2~@=it9F#wlniz zcgixg$^o~}YulL#ExKMPF;rTammiJ>YlTfgGo$|t;06LIex238c9GQ*7ZB;asp&?` zMA}EGlm81O{{<c%88FRz{g&*|3|p{XvWiKGIX`S2-YsWGr$(RrkAI^PZVR}f#h{VV z)}ZQ!HS@8`J}>Sjt`<9noySs+j*zJ{@IBoMi}(8vGzLK76e=9Yl5n|wMMaW;E9jvZ z3^4;RRK-T-cIGUQ8Z-|gqEez%fz-fqoj}yccVE@0!N~XT;q<W1s8dy0B|{!@IQKEr zK0D4C>vEI9^Eat`q<{MRgHB)x|3b^l0E7X*1PpS_4&3}>lnzmx*k&~UyRdHeQ1P&Z z8K7Jc%}C%(VTy-+WDbRv*g`C9w2`BQyhQ}g2q%HF?q5*k34oH<5c^Q^k>wLPLDZ<} z^`MDSUXc`Yq4u)$|3Z!+WFJitB^GHNl@i@$`sp^73%I^&_<uNy`}7#yo>c>8b@_oL zS`T$=Nm3n1crt$C_}4Y%*atX!Y!tQteX1(+l2GJ5W`hgtyW<RSOfU}57u3cS3?B|U zyA}V1mU93I3E`u}bGDxgCF6-xDvADz_Kl)w4J$4ZR7eOq0!}NF;c6m&a&>aTBd@n4 zp&Z8?4lHst^nc;ZDn!T3aLE3}{YxzuJRNwCMd3vIVmNBtEu0I?bhJ?kPBge-^bxHd zWgW>7!yl9Q=HnRJH<}_cf~5A;AG;23WekRl0e18RlbZXXeLeoLFJ%}?!B3)2LU`=; zmM4_*n9_kPpqf0K^jL6T59f~)#GQvRjW%-a)8XQ={D0_vbUZ2rrE{a-6U*S2?0b<O z&(!{3t?Ey$e4O<!>rNsk=yx*tU)htZQHE3Ei6`!I?hEV-eoJgsqwpbKW55%)(}pr0 zGp_157LYa*?NJbjoKC5`Z?cA>j^=snfua68CwVB!KJut7toYfb5xiVTS?$yKH4_{$ zjuZ!XD1TH(Q=k}7v8EFh*a<X$<fj+{89$La5xcHqWwbLz1Q8zto;j50n8<;nv5Fc` zw79P{kKMrjS1aCAE9`Ej>_^IwkeD0J2Yju?*c0p#cHJ*2D|#<xJ%;9W%}{i1%uy7Q zLIwhUofx~OV*x~gVvjU}!HIg7p_4)Sk)0aNT7Ud~??J`=5S$q<92W)?9Nn>n-G`~; z_ONIEG|T22u)nb3Ko+{wHt)3oCyC!Mlz5#KLz>bhXGbzi(MciwxYdeSffUKWN8$9} z06QyUWXgT-kBV>}I2D|KpRYw=*$y&Id#v_902>hKoU-oY?pme00Tc}7B|OnO1OthP z_kY@^fXnPlw-7BK(zb~2tKtH&>ZlNOAR2OG!X0IVUXMbO5{PGMI%%XM?bJxa85X}i z^ZP%Ml+;LlsKbZ;NU}a1`orr7G#FA3644>3K$MGL5?jn(<a*>*VNK@gH}JZk&ol?7 z6Ma}wY$vuJ+l^JlDr1k!+}vQ!c`nQ!|9?*$7dIF)%(=PNLN@NZy)e+;HV;Ed*2#go zlIZo8vYk7WJC7kCjO&=Ig<;<wCxr9C-Gix(?s(w5uxeOebRwz^-HblC(O-%VgC*Bq zqQ|qf|5vMxQ!5|ma&OxDz0cG;nZB>=DQ6*_5Ip(BT|qeeKAR#Wpqeb4^;mkJ8-J&X zlg2?|)XR2M#}fOFI0bAh))8xuWf?`B+jq>HuY4MVB$gptC&7@EzN*PY)kXtbLF#ns z&sc+3GRhf(T-nW(_~^bX>Isx5xd${TLX>Yb@}ry`5uPEG+51%uD>@0?fp(5zk0g*{ z$hgbAbYLzpU)%&1f+e67(Bz)BOn=kdi{GC6{ol~C9n&<&H21L_oOoXyXH*xZWJRVx zz6X?d%X#yVm3AQAsap@Q5oiUJ^BmUz1%alMcrdZmib#QEk0b(;&wytSCCy_Gs3!6u zTZ7=KRrrr(_Q@4xJZ*`mX%@dd_QP3Y&&-2NC#bQySm5@D+CmeeeIvKtB!9D_l29Gf zon{03A7-y#9<}a#<ioemj|;$Mx9D!62$8ihTd!(NqPU|&qLE}nqy*AV`oWl1HHZ)8 z8WsMW3d1qOzLFw?=K)KL*kHZjJXQw%U#*l*tvK1gJO0kLSex08>acbz!|;4^f>D`D z^J}RvZ4^Gn5MxL%5DXcH6n{g6p>U>9CvqlLCv%3VlQ<KrlRJ~g&$UYn@+I%<d{BLi z(LE+nB+1!&tS1yszj5KikWfD9#^uL)=fk-+I6jyN@+I!;f0$=fkAk;9>35PkSsgIc z3Ud>D5Raxo=>bh(E_w@XkK#tz1D(+nYNcgG5DtY?!0F&(f&F9zI)6OPF#~X*IRmFi z1l9=43gpTHb_VMPq`5je1Z{{uLK&it&=D~H8@Ssz4In39_uOz!xH8)u2m>nb;xO`i zWM%YJ^hykO<O;A9&cq5N6A%UR31TF54P7|hv1|(!(6@>M9pz=9`MVDj-4DPu08JDW z=xvb1;l#(H`$52AM}G@5gwf%qfP+sNy9_iYp4joVmh>+8(CmTYsCD}55b```2Z#fY z1BrLEVRYC{8VA}{iqdFO>>KPA7!!{9rc4V<%f*%}EsQO+Edni4ic}6n4)l5a$HI#I zEh>tTW0r43)<laCYqCXRYm!BBb-F=FO_idWA5tZ!)pguGyMKLivbd=O6F|M!W_-Uy z;$WaP(Ck#!6+K8m?Cr6v1-|_CK>I+aBGCU7-;GS;7YHsb8+{I2g~}imwu~*y%jdq? zJB2&_d|6^v*;U`%ew_0`*|C`Zk&AE8!A4|YoWTtBr`b*a+Lpi)1Cfxr=Fqr~?!YBa zI3Ur5B(4vS;eT%W9z6Juw7lCi`?_)tJ-a!!dauLM^DRY4zq-5cO0}7}$~XJYijL|t z<MygA!4a0_F?>KEhW@h&5&=^&9Sd%dk4L!%3r{HjFn3-OtSl&U-N_*PErxit;#h#~ z@rj!c;zxup&RBobU)aV1vcvpLtr;;x{+=LaFodCObbpIaWnHWD=?<So`GhKbHa>Lk zmuivOdN8h?;h>^B#HLs`2o(FjhiDgzhJd10Uz^pv416}l&{3)?ZO4kmawI51SK2Er zm$N{Tx3AsHLgK2>_VxHul5I!(qhQaY4oOU}?^1h&*QsPu(oVR0D#^IE6V9{@LhXd| zQHJf8(|?4unPM}V-^%Z1Cfn?bo4yA62UZ5A7`%MF{_~FRx>M#R9NVU_8ve#C7T{R+ zwh2CvTT*^^xx4MsslbG869)y`z+E4DN4i7fEY1#l3s03Wu}Xv4YKg|k&I}#0^`_ym zSL;8OUDh9FDj8yWtsl+uO0Y5!V|o=dXJ1Mz1b>7nh{=SB<toG77cFbCXmTv~z1&Am z=$J(k@49<$%uGV+i1&l1L|>OW!f~r90Zm306)_Yi+TrnwsugDZA;Ts=-K}R`Ue7LQ zsN3FKcj8-i<lD|bu2#2OdQu$qN`y%IW-5IOM~v{9SV+i{oVdoS=9gJ8?FDz5<waCR z+<)~Y_feW^vhLDbw}6@Yyq(3&3QzykUFl2V|CakGclxeln81p=?>Qg;!ksHeaJ#!9 zxPGIF*m1b)^G`>MAJ{evT<i(ALf_tf*004x;Prkq&)I~9!=x0s99Y2jD^FoMO#6-x zOjePKha#DHk*GA%2seLGMS^ZRlR-B%?0;IQ%lT2a`_k?Vq2~@c{G1ili09KyK8jpn z+WRp&kD9yLCPj~-yMGYMkuUY+Yac|KI++NgH`RahXj3^`kU^0%k1`qZDY6hHj-_b% z+zeD~_V?4JX+iHDJEP(3YOLDfyID#XHDA^Qb5Qh+@Gj)U?Z2z@R-O7#p1Tw)Dt}$w z)nz&Y|8O605Q`EI6()DErriipY&!QCqpiZaQTcdLXHT4DFhM$%vh#6&!6Sd27=F$B zRK-i5^=f)*db-{9%Dx6jZ;A1+FcF>(z5P)B0mmr}Tzq=hu@8J>WZnw~!Is~l-=TUZ zWm01MZ_b%r<smmF-itKk|H0uCReu}j#(nVwmd2kwow7Es$6hk^(o8sV9(5HJXxf^K zH4eomd5h*e)H6^ZbK|7vqn1uK6E4)&$7;iP6xn#_@}np6sMpBjSsvlp`quhq!=xO@ zX|li0kzkK5^sCl!=2uG|n0m(Z-@=7bcG7gxby9Ukn*G#$=O1-HdLEs2lYimcnkc<< z*NSuRnp3>;=4;(e`z;}Nm5O$?P;K067PV*Z<5MV?#g(zW$D;fqhb#-KDTd+nJW>4- zoEwQPk77;PWLhW{d4SRgY;-7HZG@bZ{p9b~E6;@JveoGv&(m$?ck%AKUYO)kaeM5r zso!GJ4f9afITi{Iom=HUe}6r%HQ}q=7iFVoJ=!L6mjrTQEwSivP2Z;BT&M%NdaFKB zwqRs`?`%1rP2VTyUdR2Q+DDb<+jA^oiaPwpX$38zWn~PyRzofy#f?cKNb<>(wPjZ0 zF5#JsPq<p(u1yTj!$aS1%y*S^{h4<6&=GYDOHTQG$ipufVcnCRHh=3?vPBus4x?5s z#4WKLNPi7+?6v!+={$9cX<DsjhXTUYFKmq6K6y1PZ}sfYAp+57Uu>PbQCEjh(KyH& z4Ke2pQso(W&XM;jw0F6q*!7PfH*XB{v-P_j&0|#gQLE>9J`3Rf!4Uzs5<Hdxb+Rw^ zOzG(+V%__B+EQA4|9_n{Z*yiVyF2J7n<fLeJ6{lqzBULkn%j`~D`dIt93^t)c{?UL z2cLY*9Od+zb6qv)ATOw8y~~)6YpQ(y;oD2!F-e%VB|xDrmO2NecWkmYD=iks*4P8S zs~NN0ooKQD-N_VmuCj0Ak=se^3N%}1;k{)1f_~M7dRRgxU4QqoTSuoL!>#SUcMz8y z5mDBi96?9YgcFhae=dyQxLvtzb3UPZ)FnxzNi(HGqJANGRW1Sg)x}77x0KIIqP{q| z>c#)X-kU(P*|u$?PX`^es2XdmqQ)3na~fK6c+6E(QAtBXP%Y8Y(8)YDG+Js7qNXMY zMW~wErb;77D1WNjB7$lq+9>{{-`;C~@4uhD*8cbZ?zR8D)@NPoT<3jU$8lcQo!rhl z_gV+(#Vp{z9^JIaB5C0VV`>w6#h37gN<`Z%k{UiCh6zXk3_}6R2L4$j0RCnSQ#NIA z6k4ZbV3XC1p&>wH){w?^PoI=f3Upb?z%8pek9HU|c7G1yp%j<^kyS>zk4K?tQJ^s| zhy=@1BE@$M>Z^=&h|dZ;+Fm-)X3s>+Eh*qLI7_Tt+CI>jJ!FaH8J<!%0$sI3)X6O= z;`L){8+tD+>2Bsw`BK~k`=&*vbV2OS-b@BQ?kKQqz%-jCn^KVg*-}P&j-yoZ7BPt1 zy@Cw<oqwail7W3-X8pFDJQ&ecFRh73#W0~M%cD@Xyy!fOrcyRpK4AKg2HHZI<<P=> z4J{$<>on(-t*QajJX%)_;!*F)(yH!7$j(BW@X<}5tc+ZmBgnuG0$itENdXPdK+}7P zL9s&5wdU7p5@nN>1D(0F3n2ExUOC3<T66C^Mt@tl64E*grGfW}nZzFj)-84B(9}Q% zjt~_VZR04gbfDj!N!*q*vt_o{Z@NHyB8Pq};ccieR6p8lB}SID@l=K+{Pkd*tC*nB zci(TIZz%3lrp33=XIuF$HwINZ0!95!^EVtz7?1oZ{?mXKw`JQAr{rgLv_U>)Jgaxf z=6@4QagFof#@2z3xV?U6yBp;1jAy-AviZnT{KgT!ffDkYxi`M?kp=ocQdx|XV*mAk zdefv{5qn$hR%aU&NMr7)OV4v%!CRuY_TG}bb>P;KTYq)PcL;Sz+>-0q-yz*`=(jxg z!=l;8u5MsA@Wo{J{EJEMN6Vn@pchr$Revv3x>a5bbZ5Qz?)CnaG9vz+z6mt~WAk}W z`G@zRGwy+(vMfh_9WET%z8KuZebN_GH#l>CGLmW_+w5QyI^yzgO^YJi&wL-h``+)3 z;{ETJ%g#JjbvpSbC*|Lp(w?-Ew~hP;euexxrFpXW{j!RAkgtfaLv{HZmH5Jhuz!NR z4SO5@(%!GVXXhaA%S^WSbR7HNzo6xe{28G$|C<G^;~>w3_TPQ23*W8Ly0>!GZ_krX zom7XG{oBIax2Tp=u@$FA*57#I(i8rD!F%sjjYcQ+)4mPq@(<U_PsN?@&wBII<(QfJ zoDJ+Z?tcoTF7FM4u_tHtRKH$OKYv*sQonF}>r3WQ-=u{^4U;SJ8dysSg|^SBWt7iO zp~`IqTMaLPvnSptlqE$yX}`kk^#uw8Qf$0G6%{5w=!F6zW*mF%PSn=_h}-xU7d^F+ zCgO6#w~FPvvGqxL_WjZB4f|}%`<Mfr{$)xhMmMJhHm9!7XoD?Xp0Yq2TYuN=P9*W> z2ufwmmfyCnflpYIX7+T<ptw_Msu!9&S3CXXz`gL4kDFf}T6S=$#kKp69b|0DecF<1 zUCldDW;x2avl;hG=>b;x?rNU@QDa^me%ljX%5rT0e!CNSm;*#rr4;F>NmKp8)7P?| zJxdOIx_yldGXKTyX|3RjJbx^Yw(vx$cAqk1x_~#jCRa8qQWxrjQIn`H2)eb3%bCyV zMFE6wnYkUEAKj$OYn=Z0?aHS1UtZ^QCf@k}<zGFYo#jmb+4~93w&(*@TYXunZe%nY z^bptwO$CLfJFa`H8NfWw@C!}5U2|142=q8}SZKQK>P0n!%N}PA2!GWh<lR$Q&L5Cj zirq%FqDusgy8{m{%bZVbri*pY*&47l`tq5nA0s{+u+{s5RaaUE9*ooKMB`x|d$Sb# zembdL_>*<5Wx3YAtv?`Va}HYNT6_CbeXLAE#<Cs$O#6u&GFItzc6d!*R^1<1@$)n< zGliDBY9@Rtik0chV1L=+m3WwPMzh_T4btkH(eM7?3eP9L!e=t|pbuR&?|$lxm8nP< zv%~A~c(8Ps9sW2k`;uN^r)%~n%P`A@$cYMln8RJtbWMHE+dl5HrgN(CpA7NVEtUQ! zgS>S~^>RKFnN|K&*Iq3!kh}fzd|eB*z{?)5B6=sC4w0qQUVpl}eIbsfc`;sHd(-mH zu)%Q6gA#R%(|+lb;9w?$T(R_1^qQX<Rii)V?wmuA!*Y-O%K3pCccD%m4xyi%Zaggq zbw}XZU1wf|6u+*2H+wJ>4AO5-Y1s7?`uTud^xgM9ZkuaXyGFa`98Yns1RwHOc9uP} za(NC^(aFzBVSh3{M$8*<;K62{nYhdcCvSYXnX#Qe(VJ&^et#CQPqL&M^;0T^M>5=} z#?tR#Kj0}O^!9}W%Xg+e&3vGC<3mD*lU}pD{{<Ir-4p#m`4cb4y?E+T$*0u3wTb<N zntKDwr?-XbyMK}Y>2K}zbOZEnOf-{VPeg4t6WiOb+<)$qKA^BS@b<9-;^qew?$_B- z4n{;re=0f>9qn;6xgEXt(+cnB8oR!5qOEAVYyOtWt;LxhcaLbH)9r5V8y5zjJhSul zEl?;l(U0?}uOX{PMMVv=e4Z9~GtAtF#+^OJOXsqgO3*y-bi*{{z$GEFqb~A!-;MGs z(Fc(FK!3o*Oivu?N{bLXnRysGF84a**^XUS>}4bdUjyi`v1<Pkk@fDKE^^uUX2q43 zGb?!d&G*~;*yKMGWGx-!@`H}Oo2%=s13{<LeK-9(J)3;wHtsVa(D9;fiBu$cuISNV zD!b)bvGxQYE7lcRjStECY)sq14uFi?KhT<YzJE0GYB{~G*F!EJyH$B*DIz*d#-yyi zels^N>fXMn1<yRx<gGx9uE4pFWA-hyuD$J!<6*Bl)B8PiitMZ>nLn=FIym?Ga?_A$ zM!$qkk*)OrbMDG5!MU}|k#9`X`-61k?5*>dTUT!J&%M7KIXIosuc9Ldw%%YaU%7R7 zZh!Xj`rGOBej6P*JL^>@>&mSIbKRHMU;AbB@7IyDweDhmxzZstw|#ki$S=J=R%gY& z|2Fg2m5xJm)0YpwEz0Nz>a2kKOPGsSIt1ocF6R<d%Gbox+tIp8v1g>hU$&k;)<du< zU)z`d{5396$Yw4|@;X}gM68ZfLwBp<F@MFz&GI$%^vN7ulXgR?h8L}XW6;L-^0iax z^w*MkQ^zv$E`7*PU!y;{(s5u8bNTOAVOjl$biy3_dl5*zqNE77Qzv09mUG6Eh9{xd zkZyWK2@w{jDlZ#a&K;8+w}HOycN`o*xc&&}Mh+&GE*A)ui-($OR`yUi0zkbtOMlbD z7vENyUw-YJ9%39V92?fc`FcI-r~mv|*f_n2;#(EjuoF-6&2!eAytZN)8|G0ssb2)V z5LmF2l}43&;T-#5jkA-vW41Gs$vzR^9L^m3%`QNFsQmTWDPPDU)?nv4_3y&k9%`FF ztp3VK$#u@C(9@c+jF3tEm*4u6V}DvrNX@o^vLO5SHTde4ohLv4M$NT_hD6$xxV0JT z$*UyIVXr=s%oKSUamy(4&;Wkc$VA2_aW464ZQ|Ust1?G3E3V3jX71^UIBUcf8i<>v zsmR18L5Hp~<uZMH@b*SX{(;O{nyJiWBGkf&_&dD4e+LQGG-;_LnTGV0d4FhD>QhtY z9^9I)yl`v6_3ccn<CWmJIH{LEMuva8RmZ;mRok~X+8S;#m@<TDwYR8?A`y4Wn|;W# zLLnoqafoR%y;b=z9gxh%!2#0Ch^(t005Z`B2MS+CBwc+Xo;jH~H#XY}GYXT-EJ&Q| zpKT2=s+Z4PN}QXRZ4ET4mw(HwNt}B#+X^vq6d3sOGJ<~fqKS;Jk>kOE*Rw`yGAfC4 z_pi#xXU@HhKp15T4m_AOIw4atYosZYbRViFvp-?(#Z{RjnOi-0e<Sw6f$3S=X_@vU z==fFU(age^c&HIkXn;6N<Cr_fwgj|z35)<)!5>O~J$xm%F!H;-s(+M9<D^89qzpxU zO2bb}PrNZW>hqqE(YG@G{NV*+3Jrw`RcCw3UtbA?pdv}Lb%^WuSdJtyfz|`D@TOxR zLSDp&w0jtbu>#7QwgK7&;v<?m1nNy6Guaq;d!{qAx9HgrnFz_6+?hl|%wUNQBJESA znB~6uM#O%62w>8MDSt&q9&Mi>6!z5<5E6I|z@$5qpNte~|3H}P(`rNr;q3vFZcGC* z`)GSFfz%i1Ma-i8O=gR=(+G<Y2`^$X?J$`w(LPMr)2sE2c^3Zz0JLSEAq$EZgwhot z^WI1t%@`wl5?KRFN}Gbvg&~GMNG#0(!+i!ThuNe}U83tk#(#Z~wX`Y7mkY=zG!mr8 zo4y8#rWLP=^lra-%W@Rm93kZNZa-sQ##ggJpG5JE%X2_?rX|@?1lUa&>C0?k9>&jU z0^OLN<abAb{e;H8Od?YTU#<!CVA_)3i2z>`e)PQ~Fn8m70PW699dcl@Y%tvllH`fR z)4DN(qQF-KFMr5>Z)6H>7sgke*agc=Sq`FqfdqLY6KIj-deO;oLQU_xXUt&y2%z1X zsYk9C1CA1wdL8l17<>+({Tx$?945L6IE{Ma0GXNG*evLaYncW>%sf{w;CB%6IzF}a z4FN4Fqdv%X&wH@w<Yqh~)6<9iHMO~s>4;}zrj*CJ34iT<Cf>*_+9CE%L<8;CSi4hg z4s0VWs*$-1KaByYVp#gC+CnJ~L}mcKLNnG9kqXO7)%RjQ^7P*<`qBERaasg&of$io z1G&C@V@?eZtlMa!rLmvKSTmK$MPjjZ!hEkEo_Q1R0f;?U+lbos6I}|U+d~@Y0~-WS zv&|ip6@Ma*>?gJqP7i?`@?^Ks{vuBw@fju<_U-d#V`%0W62RaUA-dPEWvIIy67I<^ zq7`6B+H4F=KA99sPlBv^vLDdeF(^%T5v(|w6i%;#$a}IM(~>YKE%pPLe{vMQ;Y%I_ zq`7Iwgl=-;00uPE_?hH~nwuAD5%g$?h32Lo0)GcHOBQHk+Tc@RW+@<;{LB!<eQE22 z!3TnWpDBKb91bb=X1CLVFk1@j=dk!xQ~=!{;_uCFp?P4o6xq$Nom5aLJsvXSd11W% zF)GB1M2p7gC!@l>r1939`7L5ga4#TUp84a0AL2gB5ts}>c-i0|U<Os#=_t*@UTd$0 zMt|BBa$9l+zSad%3-j$0$JZ9njL2<iTUX@mh496iOKR*!7^yc7U)w@!#K0h3-eWdQ zLoz3IE6mFbZ>Cw`&vYVl(zamq-H>=6gR@L|a&8XHcR9q=xi$}WC<PQWB&)=3*rH2n z5^<;^d&nF>BMlHpKY~Aq5fJnFKnQ?{0Do$d0Rdj_2sEsx57UIWPy4~%AwKhz2PR6Z zVZ03NYFl9CJwJlzkG{3T=$?2v4B*7r6d?jKrRk&B5r)YS>KefSP7(`XBE4;%#8%HB z^2yXF0^%V4mu7*|*n5I<pNZ(TBiu_5pgi`DpauyAgd7clJ{FlAJ*7=dgW0DoBY)_p zAV4o-EiDuC1p@RWKA~~R+6IG!YRIG)@h**ssZ%9pz%)`qL+NiIK3>FB8U|CRPE3H+ zq=bgi8IS@mVj`^)Q>R8ufrX@mBIpwkX)j_XEfG_vLA(Q7N~sH{b0AAz#5=S$OsFa` z6DFNf7fSDkgm`gVUp}|>5ffnrDSvfg^skT_FK*L&n()LK@zC5+l}Ld3q}5%f8$dL? ziFGu7OsEPm3pUbQDgNCI|K-rHV%oKY-%gs^pW`899t7j(LKH6aR^q#vuE(pL-h3W1 z%|#G)3Ogb`PqTMY9JD^imkOzJLjx~3sd2+9FJ!56gSG}Y3~>V_R_uG%uz$ZeH#BgA zP9>KTz;t`H^HQ=pdnW;ZUSa1DwBCGMqCYU<w|4px|1W>Z9Wm}EKmedtx-0<bhiHYV zB)bEEF0~Lk7}BE&^x|IhRmlR}LXa=!+bS2h>p|}E&O(m2L1*3uaoi0GXmasGyz|+` z!|!mZV_jT)(4Tuk9NrS0Jb!$Hw|4vEV}^r=p+1|2NnQcl0gX@XdyASLuT}*79XdqP z?69GA^<9*kwnx-ov$`>apME$?dL84Du%WZ$3t%U2Ne}DKZVeU1*3rtx+O;~OC-8GD zNHksdn*c<ByF~3Yp`e$c+3v+OD+ro7+E2$!N%eon3<^Ty2#y&R>wkvJz=5$*0aLs~ zm171+<2><vXn>VG2n1k7;To(^0*o3L{{)U5)uW_Sh6TfETcM;G_Rd4*AQ4s{PTL%` zhn0ZSK8rGC{BRz!MrkpwJI|zY#Hohmod;PNxbU+mRLGRk`G&VvPzJ-KwodHt;BU>% zb~t&<I<ur+juSP$WPhw!v{7z$U(4da>@2>S@5JoB2nDy|iQNyr%I^jXS*ht!FLW*n zHRvx2g0pP6N8%lIkFdsQxpay}>(tgF^13qUNX34IsXQ!Dd1^+pSyo!!?U={YkN3T| zJWYPpe@l~I{U*6>fucZ3J`@x*B;>nXf~cdUi%*bP!vsJR{D0~KJPt2@UQDa*tZ7lj z5wj<w0L{N&UC66`+G&5i=F{(QW((qDMwc~eSU+wQu0|AIx^TC;LWKVPlzYO`t?^)k z>GGWy4$$Dd8!|h)1|N8fiy2I7EdJJAi{3&0R`pHdcvHtqI;B|dxCToUAA{`TpU82g zwv=}6Woc4RyMOqnb52lCJNqYdc2noeO@&wp>U^2$epVeW{2b~uqriD4nX`{NUOwhO zA7bX{U5u`)mhc$e!{T<pu2RA9UA(bM7i=i)30e3iWzv!O?@alCf5teYake;_vzIzh zZYs#a<Fd}7^cdyN@+q7H)SyyR8CC#J$O?6UanD8mK7Z#db$`jUJSz@2WC@aGb>fE3 zqD&Yg&c&%5QL0M0>A@&@>M_PNF1B<frENcB1=n7>lG-NB3d6ORtfaSzFn&2lTTbnY zilr_)w^&W>W7XrL&yh|u3Y}MzWA;-g%Zn0YfYiyd>3ys*T#GqLnE`MP&x|=uEhwAb z%j(A&ntziN7y`}>Sur5$Qkma=)&y?coOF_*@7$0Xqff0V^W$T^!FiaIPB6rrSMSBB zGrqWJCz;rgG#M~w?Tm?XB(A`DH6w<fQQ_=lO;Tcjad~G!!mLj?l@h<hEI-^pxt}P@ zh?-U+mlkuF@g8SWDwh%yK#eVvyAxx?P-pNn0)KExWpa1h(%bIG=rBOeLgu6+j5|09 zOVD4e-MG#2BKasYD#~Rwvu#%th+#tADUJUv!7{_`WJa|-GcxjVnNxHR$lex7eC@4x zEa>=5^H|14NJlJKMl`A3WF^V=$G!c^gXxsw>=g%!1v=1jQOGu0X)ypClwR%N7Wayx zr+<8SF==jXl%X>6x_II9q5PoZU&s@^y7vYibwl5N)D8S5dHP2Bu`~UL<)Hhr{ta-V z_tt@vj{}N4WBV%Ep|Xu3F0sTXaVMqc?lV*L&QT{eG(AGbX`CCuqp3d-q0LYE&4y;R z#6FPNKR&duRx*kggFe^(bo(hCx6n1@9e<zQnH`DDjZ0d$$PbScs?m$wSy_9(h4F6Q zZRP{6dukFU*Wk7py2;0C=qvutzvA8(9<=;xv+ifX@G0=hu?xuynV`vQ=!*ri2~jfv zNb1GrVyOnNm-_at;e6u_AMx(G)nPZSyC^wP_CFqOY`43~sKum<f~-^J6s@uzlz$D_ zzK3ELbY0ga{|o+q0(aI`Ome(edm9GAYJ~27+@Ty_lm<6gtKB=FSHE6|%`2x$yQ0vY zIqQ(zm~+9ll#Kvc=iC_c;2f;{%M%T%tlLxru$f(qiQSY!vpvOWb_n9a*jJ50#|gfV z&22MhWMlo!zsgov+sfvw*I-4UI)9zgSP9DMZJ(b7=Q>;^Y=2Z}Y{{XwRihHAYT)?$ zN6hRBk4M>4iqR_>lv~*`DvR3SXq#$9tR^_#zU{P~>~RN2iW&N=Wi<#}fnIOGPL@*z zDY;5pDsW`(gn6)?t8eTwKE+kqRtwBRucXE^4|FN~cC!p+uB$SP7rz7#zklB7?SkIV zAsdw%{FPtec%@uqCDUy0^@vem4)p?M&1FI?YqL+OIVTd4i@dY$ik`4HF|h+$#2ABR zm1K`q6dos`v6*PWEJ|j!p9AF<S}=nmm~B#}(vgF`SKb7|u7DYui!W3i?yY;b`AUE{ zZQwxLEy_bFWrr*ZG5f2NtA7W0(-JOiyOl$Ap!m5=NKtl!8Cvix)lfe8S#*r;1i;S6 z+*=0>QeHk<0XQ!3x2rZ7Xa&D+dq}yu1uI+DbPRhA{6@(kjZ&HI=QMOf9z1Cc7qJaj zs&2s6l{e{P4RfeY6pYKn0g4Ye-=Ff;W#R~B63ozskEn+JwOFVGIDZbGQ>_zPoKh0V zZVJd9ySMI#7SC;p%N<Ktk3;XX^ER|wI?Fi@J_Pm#+J#tfbintN>W)=3A4lb4yU`c2 zDEZlKScz68_^4{#u4)_f8}x-t3M1Rpu|@g>yic`mAN*<?n!O$5R^FtJbwwwFy|wIW z&Z?_apMcX<>kh+h(SJ|Tm`sXYcH&8RtCB>8n1X;k<p9{tdxs`ijm9P@7fM&4j)NW+ zRXsqTw1KN*gWD*o;GD9iGuT<M6aWs%#oSv5w@pccMapt5IC@~Haz++~kZo#DIf3rC zf*-RjR)Igk;$6I@C=y`r^OObEo3{QZ;T_lqF4wX<?I;kmwSQ&xLCQEd|M((Pby;9> zQ3;?`EmfhG-3g{xf_uuEM6uyHP4}@1F8PNS+m#&9=Tj-@>`o^N8okd7eiYlk?xy2K zd7%o}RUL%Jp!JU1i70GkhZ$PA?x+F;;g)T#IoLRt{J-F{O2%MRS(7C80r)%mT{^`t zy9k^Ghl5GwdVg{hTx11IiIq+%%eGd5dx59Q^#m!8l)j+btl`48(43|xSS=T+L+}Sm z0PSkG-2SstdDv>Si8WjgD?j4)>@Jq=BE`2@rBtU{9hz%x&M^jSfP<4MFSFyols9O{ zEJ{&!kpo2?%_!5`O=$poyHmO%upk*qIM~~PGUM{poPPrX*VuDT+lkr6E5QZ8T{dt@ ztXWP|CDy-OPn6;h_O_=Cxk&9^Tve(AEDoqXRM^VFMk%4>D~@987ppG$f@iGZI#@rj zw-cp$?bys7%JoI$l0C=74}Jt2pVM>)t6ko71Zy?2Y>>NtGk2f4R53O~2_;p*rvSiU zGn7#>6@R<3Pb$Gh!1C7cUAAc|a5wO(O|=;|1w8RLrQIc8o^ljCagj3V!q^XYMH92v z19Lg%-lxDmb|%K1n(Y=brl2_=a16k&TCnl8DcqMfhW1Nw1#vsYq-~6vodCCaz<t2l zP4BKMu<R&EbWup5h6Up>7LG207e-ky9$=T1L4Ttr@kvw=7-$<)rho7@6#xd>#nkG% z-KOe;f#4Xde&KEEBW$5^;Sqm~1pa7vi_-Fm#nLgIy&eoS)>j!coWK7Z;|X?3X=*$l zd5)2bowCpz&M!EZuMLj9|53p%XKfyr37&AHd{71ORa>Ch=t!H~%Co`6Sd#LTc*RNE zWq)*27S^Zy^YLZ}N+&uqZRn@{js9o{N+fu|auH_Rt^_v&+gL4%*#cGI@n9RvMR!}E z^5S3MB&+JvMQgG-ktJA*GO8>^5Nu*gIp-21&@yQX-fwSm+-~dJ83%ic0-Bvh`JGL) zr<9`E8LsiRlP4E-z_B*mSA0@w@hUjh8h`#5wk{{~K6a|S={U9y9f`&Img^m%V8AAJ zly@%P2PooT6K_hUi_~8D4<%Ve_@*i;Gw4>MlB@>2U6r&8KB6S60xwV{9fap7$!f!E zR7rgBIi<qm@HADD0K8nOP!-;&O4<YOQ7Tk|=ctkn!S5**YQoD^N&DejN`)uj_kUDL zLU58&p*ozTiuwyarBrwlUZ{#X054Q3)PmQkqV~d<l?oN$8LCm;4ODNii5n%@g|Q1h zs8py7f2fK|pR9X;ZB(ukt?2%F(;EbyxAj)AQ?{*9Uc3k<+f*Nhk17?asAW-(*w!d5 z-U5>?;i}k&Igxji<SPQQFW6BM(0}=5=kGK@(1Etz>UQq78cK^N!1I=i@H3A$l@=jj zduzB+y`Db!v@$@r!s%+ommEEN$|o0&DCHWs&5{Cf;T(1?!rChXq$-9K00mgG65wcs z_HjTCmaLqSLdna<I8wyW)>exewx(bYJ8vU9e+#bCj`B?vwWnGQ>|t-BVShJtb^-_< zw|#24=ws`Kj;zC$x^M(3uHZIDS7W;wi~J|pC1rt3SH(EvyuT>|Xz^56C)*r!WI2|v ze0@(?izPY?-E?*-@~By)NKRxU_LomkL$<gR1%T!d-`!Jiu%*<Y31i3fv3+PgAIdS8 z7;&Ln4N6ldt38(X%6V{qGk+(QSywW49|z=Pfl5&!0%FHA>?tzfjJmbGSSz%ihwJ=U z$sP(#X-W;=sk)WCGxbPmO1au1H}0;U2{_t5SfZj>A;XRWLQhyOO4usmPg}d9a^n)3 zuA*0RBE55q?llFWSMt{Vm!tr;is<#**m&>pE9az&u=|y_M%%s~aevhZ3xPT1QLGbK zJG2~T-Dk<f*0u+|o{aS`TQ|g(f@988oLo5jDcMS(lZ#1XW-fDijZd%x%BXS9{u{Ox zN+5;B0@bawNdZSn4%#tAoBSi2ZA)=OccyxHi<&*YhhA^OYL{`lt~ofyniAyVeVFn> z38b-@qv~+G=@PmqXMf!#S3F7YIC#jO!)xb&Y^;P5NuomeILnEWhMrELDB1o{SyTpx zTfxD$Tj+HH*05|{zG$rz9AiZRufcBaqO5>p^!MVS!SM~-d}#;c0a~9!y!cxKf4<TJ z@LfEuCHh;rxn9h4!KE&w5B6|oE`O$UTNhw@5qK;8;X7}j-+zzsrlRlqpkDF-b?ltM zC6?WvXN`c(FI~8ub6e3DJVPd~HI7F$9`dme53F7kCMhiTkG1YYJ1q_upzFg0;*J9f zu`NozlN@u1*N;=+7$3@LUDy3=i~|LTu1BDtw{uk%eZhInoqcD$wZN+h*~;4=&fG07 zuo?NW9+w=FUw?tjnY#y=)qQw%>FCN4o7BZH`33Whem)W73!FreU}>{eyXb9am5al_ z;~#}rwX&M`R;P=Ji*;OcKU3#^hNAA*I(q|^2vtLo8{XKotqH8nf2;r0|KU`abN9WE z0ym)3h`n(|K4ENp7}B?c4r--;_>`g1dWCPulF#ttPJf(HT!42NJ0%S1&_ORm@$VFz z@_Vc9SD<e2MQ~t`)Sdl$ySp9$3^&gkwyUoY{Dd23xFj>HjtD-(xqFhC{y#9%w<@JC z>Q)jRe-)V~9C{eh$gg$2dwWs%M%R_+3-fIY70_U_)$0-Ozg&GE%MsPmlL<FybDqZt z)ix}77=PkA?FYUJH%+e-;W*ObmgEe?q~+EbRE>e<1X!S1865rbX8t{(W1q#2;#7qH z^#T3J>d=DswH%T5K2W_eyY(w=E+3i&%LHMJ!_d5B>!>l?{xePc0kq5V|E+_$hJ(i2 zSF-2A_ZV;Q%~o6-5?+{pwS2wzhq3EVnSj{LzkfkCkwuCML&EpxU$tNFoiL^>$tXl+ zYMR)5egge+D>K*F=Ft<y)9-`@=523Z-%B$7{y_#Gni*+ebD%&mWKg*JtF7bpy;$RG z!!i*;ndeX2+<2^5{#MxPtF6rSy=lhZdt`J2GWB$97V;D)$-=W=Y#G<~UNgSdBD3b5 zDSryE@x&;KkcClSY+JAG^)mkcR0e-Ob6w5mRj#7_8{uPLY!k2TJ!^ceNG8HDlX}v| zC`a+$YvJb4w&$<yRWbhlKt|U#vq{kg_dv1zm9Wca+Y{IJ3L0NakXbvInS0zuG8_7? z7#ds*-B%1PD}tI9K_?2K$U^ARLTLRHsDIrP=+^@1-2$jw0kkb2dLbYB{V_E2F;x9A zwEqzl@(8+}2hGoe8stGK7-$#<%8!9oK7^V-gihx|FFk^Oz(748La8~>+i2*;2hc0o zNtrQ)813R}X=+JkjD8f=zp0w_t4qAa=OJD!-KUef6TL{wu6sJtg>aMh*x9!{{(mX6 zX?AvHwkG^#-jl@@GGo2r(|pATxq;NS@27$}`UX>&{E0yOnrhQ`vw>BW?8hE%leEZ? zV8$b3{pK`>7Hp-XS5E%KKxKiOTOJ}c-=w#)z}0OWp{5^|?qFD1xkA6JKPXWUX6pcI zR34?O8Tc0G#{}h2*Q0)G`{(6zE`QtO77J*Rp}~wihw~#X_{DrG)y(Ij!%SagjoZj= z#NGVPEC-K@$~$yOFh{|__i_F{*xcwk6w!7<{~^I@blnZnc1B;|b$|8ZJT1~ISPBCu ztMrGAR8&{zXSk1q;}_9Xy%W;ql{3(hTB_bD>AFfY$jDo&o{4ltr5SXjuYVejFmQE< z@2gC69ce`b=x@mu1Y#@K=m+$-L<+S2b`T-V26GGze6#aU2D<BSNff+ua>ya1<}*GG z_1~<9Uo-gIK>)w_fvTr0{j|~mzxc$o&f@zwWm|4+#zv(~&~?=oKK!)W{Fzv?D*HsN zN-rAvJ6@Xs)X{i+FGl@jNPm3a%{!e{-#!@?KX&VY9P{|hgczON1=xA0J^7<uc)ma7 z_wp;?J989L{zJTDQ~14zz_quP6VWH9g_r3%?^KKE=Qp=|C(BV9=_6@D2BV$!Ys4!v zyXTrdJ$7hbb$=qeToCZY`%GgsTQ;r5dh?`YmFN)SDQe~u;C-iX|9|Vg(*?mZq#M_p z!f!{o@(OS0Op7ir1w8TONea^qtv5|1RsKlK<^<k%iubSmk&NCzH8tFcxcEn6;3u(M z6CmUBXO+xx>rHjZfj^SsIsNyYNBiIZku2YcYHGM0asH3wjGx#tIY7qi&nj6S)|;m! zZT?7h=EUB2iuTX`k$>>ryxF9EC&KfO#N1DO*-J+dz&qp2SN7!~k$#0gEQy=oChf$C ztAAb>n|G;fD;+^~9!u`^<M&0o=3e}HDRMiECL~@OmD~t)Ti#UGPG$4yu(f%t`q#(a zo67gc{iy}K8PGIzPnX1F0ab^x*w1y?e^xYo^8=C{>-awG=6}w%-Rewe!A#fW!q(=8 zuX3du8?3c^Tfx3BMR3JTx8w-><n}i|kH0v@e*19kW(e%orvScq{!4~EABlH==RP=T zR$Y2`C<PZ4nI&P?9kBNl(|bz?SbjZ!XK7$GeoEOiF3vjOpgi)GnSXACHj)TKL~26K zpvNY~$+SYx{(qCbrwnQz;<fA2mxEx;QyS3IUdLkl-_WEk^b0;aWf1ca53Wil8Nm?G z)u2HR$E2^kp{cm_BOjeYl5+9SE7C!lFa$sqy8qlUX}&j}4FCSr?Nj0_d3e*Vbl6W= zEm0eax_*rOi0oN+vA^u|sWG`cd~a*|!(N!Cq!!fo>VL5=McD7dd26RH_7CZ_Bx2Tp zeKUppar-rXf}UQwj^vAdra=q#?apNI8#sDAGi$pWIxNOS)?aeF&KH}fK`Xo1?f9AB zK*r-)L+jn;9x>+8`j63#cL!_5m|k_4LW22#&Kk5U-raE&eqzRjXTYYrs5CKzQ|%=Q z2w#DK27k@XvwN(YA9>)yGvQ}<x8lSQOEs4^{P+si)oE!S-I#WM<e2-j!kW9jK4OS> zPcI2w;Pa_dr@6Ry_gC|?ZQP#;JiR;RD251rdTG^_&nH)%mU6y3v7DbR=Kid>>TU)= zjOkx}slbk}-9?=i>DoPw<|k&Kf98h0E1)38+<(MfinZZuH&Un7x^x$%^Ar8fKkF&G zn;|Nuxi()M^K?P+>CX__)pa+;Z_yZ|I^%}tGscANN-g}VYU%&Cqw7D$$4A)oxYG2E z(NohKZ*G{W%|$n+7tf!HOVp4YIzMdaK5V$wQ&h81^>m@&e`pw8s4X*l`VT|s|Mr-v z{(m1B4gcdKzPfBxGP8@^^7qus=!(^uGf@R)qHB>A$s}m%&-1^V-68S$`QoV#P6IPB z%IvDAa7#qYN&V&_N0$)@evwY4>H@tSv<yIb`6e)xYIp^0{Z=poU5Kl6hmIVot`0%o z&A*W5pv}AAtp$673`n{8F@Xcs@C@4ewSQnnp=?d1rU41fz1<Dqs+|xq`uZ$#<^{JI z+Imbdqgb}0G7i6(LDf4BbanVKP-*Bk@`8ClpOxhhUQv0DJ{#<P+<;V=Z$}RZmO4@} z4R){~Sd2DZLc|#A3y=j}Mqbd?{eqtc?yrG6BVx?-GhPY0j(nu8p9_|HEQ_mjMSpN~ z^fTTGI=dMgpmOv312=2nwg`@reg>KCHZn|GhX+d)J9vydqpf=d=Vve1RN5gpXY?K3 zvR&Nt4NwpAPr_nr;5QJQQ~D0?*sdcl@ryOwyWOOlgCcZfy!tgP76(6%;GEP46fW0S zzQ-?4QkxLOg8crbIRCT3-ddCH4u4SS$VN3hn3$F?p5kCuSt-_7II5>*u$7yC;T_U- zqzu0}Pi+b&mgo1kXwm+5s0jk%;O0whWNK3&k(4hUzIE#jwFzn9;2`2-rhZDlt{}9k zQW-KLUk%?v_~<*NI>c923N(gNn=T_b8v3D6LhCAD;}=({O>pAFd`zmt4u7_Cun{n- zr);p5mrs04barz?JYAZcXo?~}&%cFu>f|sPSWpdLq*1f;D`5ps;g4z5llsfqp=FgY zs2lfu+=%%6?=-5G{xUigTlodzwn=UBA(rN2vK(YxN95@Hg7c*cOe!l$1lDMiIU-+M ze>tbFs!|N<Rz=kV`FJ>Jw13oXJ;X^T<`ud`pPNc<3u&Wn(@ol_>T9l~(kPp$JyA|n z5@hSjOn(?h^;5&-(op?iQYoll$C&ZyYh_>LBkz`gq<*9<E4uNkdD^1+`>G1hDwwaY zMHlBB>S@&61d=&ID*Z(R%ETr@H?A_JGJRj--Hecj2iUVT0~zU;_<t{iP2>}myh77( zt@fFY=gjRNUkUr)7LetP!nI<DJ6aJ9{W%k3T0Rn58a(5d^;)3Y?!u#vk7P1)UPeHS z91jev%^GRR3?$AiT$MSNsrV8vmD%$$!qy1$GQ!zN@bJK{S(=&5X2RT#+0JXhS;Yd2 z_cYh_V6S%XtQ{okdVfglR)hO`Fivd5JN1{e;fm)E>AN$-q)z0RNd{-c=1Qve->4gJ zS+lz1Ndp(<<*xsq4!#mdQoyYln^wm;aoPFTBqH;t*-A6L<N5g4oh845w*|4GoUWDT zQ7$mX1txjGCKs6E0!=$n4a@U`B;-&3jfn`q4<Uv9g<l6@(SJpTc~h|Ii^%bt*XdI{ zpqL9lxxf$?AaQ{W9wTVF!{vTd*w+lmgkdN$mK4Y7^~=avS`WzMth~c7w!iNi6PC{D zjBP1L=CcfD=5Hp`=19xYtEr%1dH^Ka2iZ#V#mFkKlVLWgAOzh7BIJc^qTR#DDzb0G z22!WO=@F0(FMnhQjf5#Yj?99oqz*O@k-?B?@72qAV@%-*<ULqbs&6<Q4vF?ew$YX` zg$l?5SWxO9k*SII0RVlN;^cS2?R3KRzAysw3|<-l^k;f+cHGxa>v$I<@v6O_aHucP z+rWYeA#3q$UTHj=S0(KsX7FhHOM-o`RtwV=Pu6Vzn}6v_){<!NC1~^pdLb)lhj}%+ zI$p(iyatXCYIq(iDg2yf`vvA<a=i#}im=q@*vQ<6cLTJ$Fh$8>M}c%gL7yXmDUKHb zw7WC+k^|F7km9__Ucct)Wr(&9GKrRh85E9vNq7#?_Ci+C(lArX?7Oh&G*CDl1R3%| zHqaU|Q-3P#bl653D3rbj;^f6Hq1mu+-n3;xmq42AQdm|pDU4nYY4Bn{qV30kwAh_6 zr({wPJqNPt&CaC(F(3e&2pdW!!RU>UaBub#nluKa&Ax~7^E<NnK1Mqg6;8M3xrYce z6%6SFyAalpiVC5JKxTMmr*RBPf&CB`0D3@$zn+SM(|vy+{+?_iZ5~5XWIu+jrfvll z=WX;dn9-0fZFU1_V-LO<u<6WHA)6lE93W)%Z4;Ry_%6-O^GqkQsmNvzVW=;zg?R`+ zqq*tI^dNU0-RvVY^tI9EKT6~Gkvqj|T9`omI}A#D>@`8Y_bbosl!@6=8tWz$_f7b) z(>#IXII(|_5PCQyRCCj}wip(l9M#Np!dsKKk1mDKXCNreO&iTdrayifv!yadCba!p zztfEwO=CT)Jy+Wf3+-+58oPi<hAsDUe8&6`ab%O^hkzy33j~BIz8e#DRQj@45I#Y3 z>4d>B!5Ys8SW-85K?s8!@*ML;)WSf$iC$xF2poTm)Z6bl=7VU0J*KIWImsDKwGFhF z7?y~10R1<l2e71lp&79Yp9<U4d(wwkLNg-oO9epa<`8>t16u?dcCQcftX9e3J%K>` zgb@%fxI}lx_i0L>G?*r&(#kOIeU;t@7ZIs2#a;{n5rF>!kX9o;ftB}OBU`5dLg<os zn5KWU2C)g2mVA@kpJGfF7YiXEI%pF-V^bMSr1!!zL<GKBvp|(t3A0bG3!p3EM*%*r z2z~O&w7OurE2PHLzzP8)=l5P9yiExWdo1BIPN?V`!y}I22Q_^zAl%5;@D7?jjtD3j zBQg1kPz{mxCf=p(<2jo;VHzoQLG(8~57d8uayBhrp)+`Hs6-kYQ>SJyNNDaQHX=gt zp#UEngfw|fY;uCY>Ln5oDEuNO^f)mMCY`#Bpj$&Wy@`05DJJv;u?1F;x(uTSLSns% z&uMa)Pz7Q;%qMj@kbVKu?oDi_J-~!261!lNsmnohB*ezkz=3&{Oq2k=CCK&)HY0y* z@D`erVCE$<QXKe-;M*(Mgz&)c(VTQ(o+l$EfD;5zZzciZgm=@Nv}ax>v&Dh038-Gj zCWJp;M6*B(*#X;3kqx46Lk7H&w`sZ<S;^_1+=K5#YeayywT=Ds9#=<IWDaQK2wp=I zc%FHUEGW|cmeA9u)yzDAx7P%^A~1h2o0P#O<`sMz0BFrTLCzE_2;lZILvL;`OW^gg zJKSDY7)}R6=Dm;v+H+nj%jCAQ!ccB23-aQ&vd6qumdI^oQ<vyokSdAkopptXDla6l zV+jDXVVaQNiM5Xre)QJknYZvYfcCRYb+VRdJB4s;_SeYJ=Wn?piSt8*G@gG0?(MhV zUIrQ+oe58L{&T=2grD(iZWt8&5`{L@gCzxMhSMJgTts;N+&8Dc%zD{!pdo<nK8yNL z6dQG;tA&|AtnG;mT`<#Z*IGY~@s;N&lEV&cVPJ-d+k=Dx^LRLYu(aVB6Ej@pi43_B zPedSZ$hR<w-{QjQ%ccI$n3;dW@ty`MT<M^|)&p2{VjP*!ZoU&ppMq>LhbBM)VZo$W zi>_cgs?-V3+#cTWWS`{~=>8Vx|4O8fLmT-1%+o)1MG-=(+rW7Sk_KLWn2yOxV-coB z0>Z*cDi-@Lk*a#zyjK^qcX_Tp*2!yP(skyWn9p?#o0-3KlA4(bI^%!M%sw3zBC|p# zn#la3GeBfM)Cp=~j_UX~Gn;i9nwcyen<i!kPdmud*73B<JZ&LQJH^wIc-k$V_8w2$ z!_$`Yv~xUdPWBqD_@0wRX8>vF<&zP@P|pV{LHni57(u(|;y9t<<r5mAq2~jg5dKnT zk`Uf=@dII%dm@C@o{oPpg8a+h;{^F0qql@&p0=2$_2+5*dD<CsHmrDl#=<&~WcHF< z!K{Z{q3b2LLRZg|DMGyYzDxA3fb9@^d_WPx%U5O47*Hc2eR)S-0CKNx+!}!*Po#!k z@&e)o03q7M2Qb-Q17dAC?IdPUg;)(M>@{etZKR#u+*vq5%w~T{^%=C(*3iT;Q6iHB zoy;SXZwPV_A0KYllP1Tcgob#9<Citby_H^LHi%d<Cw2Lfml<9bP~eYHA#-@%$lZ{3 zPlK}v53;zZ^rhnYJCH-(;R^WeF=-{@ZPvcDDIe~ex}x?u1rjas-4fr<f3u8Mm~h4g z5vJfITHwZ;RONq8w(uq=d6V<JNhEi&y*XPYb;|XXwVo;IdA9DG&Dw0;RFwBC$v#uH z%jpn#iBs12EdFEVw4{V|7v??lepPmy0#r1%flDL#aB0&#S~!o^!lM=NXc;_O43AdF ztHz`G0l2gVQ7$d-5SM1pqxJD<<~&*_mj?3S(mHw7hQxolv>;x!5gx6YM~mXo2t1k# zmnNsprDch6X$?GDIgj?>rHl`AmdACT-LPeL^RlyCReKj#HT9>sCRgRp+k+OH6n2_> ze|wokZ3vq$owfMtp}0gfvh)FmN&0$hSocKTTUWqM1QO1NibSriD`aGqbxN~*aq{Q3 zbQnp_X32k?UDS>8P9YWvw|Wkx!Z7C91VId-^GqhkfZFKtpACc!7AOJ6W1O}%N}o~V z?4QEnr{<M+9%fbGw9kPKv8-@ImZ&p~Ip^X#oJeX_si_!C4d-NyI?51nDZb5dr)rnS z9c78&{LQycFeIGKvN*=np|ZGrtPxzX`Iat2$fbWPlcP_SFNu?9#o}hHwgee1E?o&6 z2Woi9wlHf0XJ)l^kg@6<pT?1<`j>C>v+{6VXSa+QL(b!CdoFdyTW^Ul;+=OgINH?s zvh6*rRb0HqmIlM$Il3Dfa@IGi?KHy?H*YZ|9JQai?9yT}B_1VB-Exk$;yzwzGfZ)( zsU&}w4f83HC?V>UOSA=OZ&W<>N4ZwHR;gTi%n?R{vydg}IAa%1;w(s<r9-uF$vOv; zVyRLUU9{6<_A+L1H8>5NO1WH8j4;){tmuBsL8^$0c4o|OMxS$*4M~+zjjJm0<7a)x z<yjP#`-!to;qt7PU4T>v+_?204_re+j01l)q{L5_6^!$+BJndGx-{I6x&9yKxj>wu z73m-&!zKKFj0IJ?#7~|TgNyzT*WEw*@j$XHEnKuUNt=P<x#+a1v1QYHSSz?_3z7yy z(PcF&#*AuH@(-3l?mPD$mt~IPXXLmP-{)9TRZ2|dSP{4^E7V~|fwTV|&NXUYX{UcE zOBokbT9m?pP$f!>?r^NB5@nr#v3}u(EO_SApH}L)A#>D8#%br7+kdWzPPzZi$huM1 zxt}$KTQ%oidCzh4C7pX%%eZh0?t4ndg<GKxF$!Gb6FF|w;*xDimJQC#a%&f3!=)>U z<4avFiIZme;ASkh_A$m?x)M1))P{euI6fBnU#_p2k~neJX<V`OmMEja#Vmz$keXE< zcbMgYlegMBz({g2yU#gC6)NeJXQ6OimRo$AGo1_ZK1=<jse)lLz8=vxdY*4VE`Ai2 zxY5fe7jehtztaCo|CdeA)pZ_0(fM#gHYmB^y4=t$UklPc#;8j}qIdD72dsYsBlib; zf>Yl&uYNp`bAKZG>VhI5*dN}JfK9U*?PLJ-dY$^Lr;<%B;=UBXTMmbu{v^B7EBmz) zh)`Zc-$;7i2Rt8<B>d=f(}#eiXB5ThS(6X56Ol=wN`?AQ*}vk(KM58(FNL?P<sceD zNESbUSw*@iJBuIM5KoJvt4M$06$8boP$2m9r=6gED>}6|TGac$19pnx0i*UEJvFU8 z8jFIH_H8=TvkyPXzG=1KQ~ZtrGLMW+^a5|C18?Q7JS)dOUK5lT^ItsWSIpl(3W%nu z)qYEf{wW;&Q(-C~2;BHdHcoZA^e!;{#X{>B!S?%IBLhI{Z2QX{`)GffJB3~z>~H(S zf6cuCa&hw7Xxlq)<>6bS-73Tsg(92edErkt@86L7x29duobAQ0cRKtYt9)wVOK2fh zD8Rv1KVJD$a$LOYRO@812I!(xO1@QfZ1x1tXc;0}QFJa?W%0L*e)aXGAzugY8ZU;p z>F3i+x~|0=A4=JE-{yZmNh~0EPH%CR@z1D*`!SN!^)D?xPr5f;jYbi!jJZX7jKX6& zVh?&~%|6KeW@~pt>36f*+=}8i>(jfZgyq3m-!4y$I7*jT{{Z3d`qTKs&d6-}l2^a1 zr8b78sQ9Oe#GOSMIGUOje(=*CVZLYjs^4g@`B*KPDd(vYeMo=))1$%~73a}Sfh57q ztpbbuui2HxShyfO|NkN#TKFgQU((?!lYc<}HC=!4Pw2m<sFr_1|21t`{U`KaQXRjm zwj(kWy(GOs1&8bZoJvL+{e$wq(*IRc&CZBNEx@;vvR{`4e;|`?w)b>Q1x&B#gh&6+ z!`Xo*Z!2U@!UTUCfk}EJ0h|S$>L}0Qxo0H<0q3%M?#d<cfdmi5$cV2SX)Z+k|7OB9 zHi<f|ONBe1EM3ic_0H{Nsrr4VffKI}d~M3kcNax{5O$*+deBo;;7WOloh*yog}si} zyGW^XF_EXx!BPr~UsVhDFV-pnG#A<Le_uD}`-SAo3O9e6cJ%%viDFqJG22TSYZ`Z6 z#<%EVeL0Dl%)gtF`gOh*HJ#BaOZ6ArXBFzb-#%^QbMv2lP^jqU-umuoo$#eO%dP$I z((wLO5ax#0Phj^^^hze>S=su{?33U&vaLII8Zb1DMibMV7$n=aXnV?Ko`u@5H=<ny zt_B9$EXsdfgl8!^JZN&uWxsgH{@XPN3o4H^tZ(u_Kf!{^nk4F*qR}1Lt+GgN=7h#z z^gwo-AMG|z!jFNInzkzrdgK>jVal>H6^pHuIPe=~S+R=et(0xBw<G0&%Y?j#$#F2* z-bBIf`qhd(IePAtH5Zd39ww?_4O<g{UGzu0{VIQOD{z(d;-!m=*-CXvi%(RiGBsrL zu@99CWh*QqDtgdOsn}yKZ2}%9XTUXfZ8~;Ib9OdLaDDLjfdBotpHszV;2QfjJwLcX zSoCG|Sau{hH?wy+(~gpluFs^@wW3YczV7VW{Vn~$KmPNE)4G8-CYB4MABnoRtW*^U zTVH?LC(3_BvKx-Avbl7GPgq#;@V$e=F4<2`BhqhsIj5IQK5;(#7GrEfxNAKB<odhC zcN4xLjFzQ>)hDZGHcaP>t{44qGSg;l<BI4t?N2$&&B1r~@$vBqqzNI;J0H0?UQu#< z>S;jFC#%7bZFdFho=<L8^Q8*A6ZD;D<PCpnBIE>vx4j-#$=!`R!r8U3S-N_!Q-UM6 z&|bQFcY80#aRFEop42J8fi7f~nBCw0i-TI&D49u!+s_GIXepVwAGe1SwJ=`dpAg5# zfh{zY_}`5a=I|}dmlofP6XzUTs4DHcAIHxDFQ}BrCvESJ5m~oh7$}iX*p`XevmSr5 z5H%c9I)1hx(T{KIz<SVdv3aq0*B(K1^oxwb@)qzR-D@{-u*0&N!z_ON{i40Y&UfnF zuh^d*gj{)a)<c6TIxMamnS9nm?fh;W_^^P)LO{X?jpy-l>RF}2yFplIW5M0eC8gAc z)f1-siqs)ja?fU|p1%VMm9Qx1^DciM2GZQBB&8IGgA+^woC={=YR@i-#z@C9j#|v^ z^{#Q2U{;?>>_60WuC(P@RlTm>xe^wCR9_J>t2AXdsB*Y~=GG#auQXiFAJtQYOfG%B zJJfYSfC(Rv%s(+~oq+5uY8x0nf2YWKA&OaTbJ#&-AtE8>k`u6RIPy-B>w<qivpVST z;E@GzLJY(SI57P8og$Y7cV@N6;lZN|@PwEEr}o}q#GN9y1u<rI^x<Q7irjIA?52>z zgTf1Z34Z-WoZjKm-Jk|%d1iI^VcC<zDE_Dy1=lAfO)i$?iNzdr8rM$DJhGsiz?ofq z3R$qdGkt!+idh|hSXOyhnxB6)P=rh?eZHI2FnowMvZAZ!RI(vDAsaiPnE3V3dbRlw z)G4-q`2B8F*>DlfE$XnW;_x^>C<!ExTngpm*p=?0jri$CJ~?ZqzOKn`iaso>JuJk( zoB>)Eu~0d%-flj0$!W8HIA!-%<!~o$Bu+OHeO8UMH&!ZEO48!cUUh%xE63SQ@rMDT z3v#HoQymMZbR!981tOiCW*7JM4Xf=Q^|*4RXyvw~w~{m7+zH}z54v#vj+BO;WUPr! zqN51zvANSFCkx0z<Q?MqD+kz-&W8&XhA;4gGC{I37D4<}yV863s#4uZ%-QHg2yp-s zCSsAs7hDxPalcAh+`@l;?}T@0z>PL;0mL1m`xQmD-kHRA!nk|pJ@_hN-OGFvj-><7 zsvhV@)}IyQ&*&|(?i;?jJG5cAoHnu`Y2sf}&!5p>)ZaVIw|lBI?YX{qqwir~)nUiB zDuEkqc-_bcXF;M;X;sn^77~Y=YRs4LRcpGDDQ8JyQduB}<Whfoz6poYDB4J`WZT~* z|BapZfNJV{*Mt=*3ep9X78MYsih>{zs)B%s2to)=Km-Xzx&%X{NfY=<5v3-e)Px>- zKs11gKoCf1p$bGo5lJYaec|tXGyngZd+*$PznNM0o4IRk)_(W<JkPuLe)q}RXP?D6 z`|PH;+zTD}Ii`Q*_jvHqW51K~0`AZCQmcwCDFsC9?8w%#hGq)uTeB<QMV1T?)Sd=k z!0WQ3J97)W@P6ShE-H(KX7cLGG7BT|GE0viowS$2KZ$<iY*yp!{$6MH6qGqMQ%v8Q zL)i*BGMpNRgfKUmItF;H<;x}_AuLTdk%Z@?IDFvBc)owxg4V%CV()QzNI;%mc)1YS zBwE~94<;56spEK&`hc(051+^cE5{!*DsGGP<|AK?UNO^azJ4)a;X(9@iC(h?`B5;J z6?sCkHYU=Wm+TU~Vx$+UPPPiyx<_7-v|L7vG1KoNn^W*d*hni}{pq|^BR*j=LW7yE zvl-yVH`;%#8{EVp9KCW&FI0o95Ug8(Up8`gWFyJro7qXPqc;5UKqkx}V0Tuswk>i+ zpg39&AcfTBYBEFUAh}cVN4c6D5%cV@zoMAffKzuW*s*z0U^TLCFckem`lg<|81*k5 zTg%`2XOUK1P!OUpefOE6yDS^<{M}UJumFj{QQdzsW|@*7MOXB^L~BRwUs(P=CWNfx z0?#Vw%WT`k-z|wGun!ie08)>8Eh7S%R8T*p&+09U)jA-0WVfeZ;IDCwp1o@>rCrY> z<Bu;`@k6HojE=jCQr|Rxyh|>V930mbA*t9)X?yW3P9aR0ysW-(^F`GK;%AuztbSi* zLMDH4LG=*O^p;)ev_O0+(|g$vSF_@AU9(1xAI1)~{9mt=9|woqeWCd3ja?_73E$sP zz?=y5!`CpSFXp!1)>{^(X6wL1tox(XHOL|1`&5O$P6YbmIhgjQ?RWS}1Mmqe122MY zOp41Q9r%jT`%{jRNOm^tl2*!7YgANSFkXL_X>ZZ~CEwya!kUHN(cCLp8yV@qPj*}7 zOTjb7W{<c=kA&w&HEEDJ!^3`;bDf|)!Dp?k=LAn1x=XWR=d>n6hyhVhb+T7@>!t$n z`0^4Wn3>+&%qKC}t-H!jMF+pS=`O^EmBy=1(D;!PT+m4v*M>sk@#RGXH?s#iVcUO( zZ*c*^P3n@2)-u)GQ6o!)m*Y(eIx!jgyn~;00UQBu+0y5b&U@U6@gjvB?3seKGVXaE zc9x7St^|RgZd&T<miltmSysOM^h3Skae^?a>H(3xPOoY`%TOpHG2wf=inF{mrDa&= zWVs;ZEqI~RYVW~&kael6OWDN+W0ZgFpt^LOFsm#MTuK<J8d3u(5avAO<IpJ&-hwhp zFlu#~tk&^?C1AG>!s~VcXZw7@+o~Z=kPM;2BZt>kKBBv$=@xom$is4+XxoQovCV!Y zDRBQQ+H2C?%Y?-?<xwn;(?SQx32#+dGqC$q)M=p;w1-H1u<&lXUGbymO96itG)v86 zhf>4g>q>;n!^V|9i|fw7kG)A%ow~B^t@lv^l~PJpe6^>Rn&~HL5}v*<RXD-NH(;<% zI&YBGORqD`5qo#@+QXu9&bahM&PTxR=k+rhye{$)k0N09dDDzWIjCT!abj#yZ(A`H za!nu+-L4?ynY;^cJW0PtHbH-|)xD%WARj}J#vF2y=4;Z4)b~S(`4Y$eO}Ic9z7uNs z`0jcs)Fh6iqZ}Xiaq5`V#*zNZ7Z%y_E}3&WufMs--fHfw$a7Pv|MHem985<!Hm<WB zV7$bZA9g#9`7w}bmD{=Ijl1xvOTI^9KfW2ha?yN7hll2zKOdcs(>;ItU8(=PI~sSF zrv$Mvw^m|ZV2u@a{T(1nAbV99@b;&u(D7yFH)<awYHfO);yntXqOA*J-Ft=imWD2# z&#W?lLsjBq<!uU}4vFWTISB6lhpIFckC{Wb(jc6{zUY)V`jo+%X)wqOQGxc9nO`#t zevmk^#t3+ZK$c)A%sYRDVbcsnol|1#I>WteQ{dPleDj6|fvm`Yt5hJCWCm<(Q}BY( zPrf{4aPV+cPKn^dzyy@J?IG*FT|pmK7)yo;@Vnl$w<#cED?sP-Q&gbDokhv>O_c_K zP{+6%H{1whlhv}MSTar+whD{p<sGXsXoEVM-nhX6p$5B!&GCQmUMIL;UA2vfz37%G z9P$%><DpG~e2b;_dG%@od2Fpin{fh!8f{&$VGM|naPc7&7w}2wEPWIXyytu>)&XU% zD%JUX_^rxf2~Ld%Xj7nNeEUchKcu#qFI9*7AaTRWnLTy|)qhs1FnsuxiaIFn%-Ns^ zi3T!C95+-6?k#_Othf!|#8#?DZK8Dn`Lo({m9K|M_8=73FtRzEsxsQnnEX<^@bcjr z1i2;B4`C9h0C<=(IKdron9&Ylu_*>juQJfbGTe>?_cVr@{7KmK7bC&VohtONW#(`H z)<Kkt%;Dm)RU6ndBH$hn+zlBKe2+i|yBQswbWWs)FgkzOvnc>FBH?rh?v97q20)aD z5696h%z3zEJCz0nhwucd|HdI~ZC6l%6;6jb${84TR-w#0cRpS|-&tvJeKp}j?CBoi z`%uSUH*psU#m$>Jb~j!=Obl9Ug(SrCqRi7b#lSaCx+RukS3A$o@DSX!S2ZePPj?E7 zkzpAEy!wAO1^O+k#ph=j<9xYEvbZ67ibxizFtAA1I%U}T7S-=5#l@x+siLkIC!u@W zEiuW;St0f@sz1*78=F$P3iusP>1<)8f!ift(bzAj{zl{MBhGw~fGR%e@Hd}@?U6V= z9)3_kx^Xs>vnC`UlCLP@v=EWZxWEP&$uaB<LA`%Eym0+(3M3AvYmVwyVqB6lw+Lj( z!=y1o0!kTqR?AiaAFd9UOJeU~c4DdxG7c}*>!|*82K{5DfpsXOIfCj>JpAEU*%hp| z2%9oiLF|m#qr~|`YBZ|f{17_|2|yi2j8g$0F6f<yiFXboN>>_~9In<Hs(<@%0BQvC zy~BS2$U$nqF_uO2ld%0PgP!y#(fc=pzCO#i*oWLxzWYCUs2QV@iNrab?R}KUb${kK zj|r%t(O8tlSqT!5&9|<T-61S*IpfabR&7uu>nj&~6;x1@t|pHAiRx!D&OSb)Odwy8 z5`3=4$YnY1%UQuH10qy@m)F<Wu7LNZipqbYg+;*zpFpm(`E)X^0JlXrvbNgjET9~V zvSqr_YK1cJAc>xx2O6UIFdvWfuZ%dWm{SUm_^$9(WtIlIJU<>>1+py;)PFv_Ay-vr zNueJxUw-SXVnJy-vbJ=_S;d+%dgRs8Pv^aNWxl4*Pj4t!g;`M+hdl(cWeAEM=$C(J zLi_ouu=|wKVGoh)^8_CcbSxSjnMxv__mEFUZ?x-G?casic&4*(;OG`;S(h^XCn+F! zN#IS-z>{}QQG773JFP;VM1U^Y7k9gqomX=})q*oRtcs@8suoKEAA1I#ND?T!i#BmC zgFJZ&$|?!;^$g@l5-e*43w02Z+Mj<_2^R-CdD4#0$K8KNV5u6q`bL&8?~wzr#YPn1 zJc<b+RYMwT_fVZ4IU=^O2nn2wRd?qqCXyX80r{D^2?i&{2n8!#1ib-|fOd@Y9~Bxj zT=;V3Rfkd?OMpLF9+{<=aarXdz3Wu+V^Is0LKnPHf<hl90ihVxdIZWvMdE*BbOBs2 zX6h@vp>AJvE*lU)cPG0blB2b_=ugS~2(u`y6VRhnJu(_$_CkvV%1bT5%Oj7GAK`6v zRKpcwdUdUJeAq}Qnyv;2k&Vga_%Z$9$cdv+L-Gn9hzO2YIf1<zph&hygzB%dlh~RR zsde~0L>Xd7f0Y%hK^4b`>REs5b3dQpfclVOh|TB;Zs=L+KK=|@7tf}{{X&ZedYsBI z^|_-bSfQt>=kTA9hC0bn;w(U6=*a*Laut3S(WP(pJoh;81oR5^6uAUHfGE=Md!Bm& z$N{}p8?F%Tea!wOi3e613BCYT&_ZXlskdI#avXoH>G<%8Xz$ckc87n`^#m6`!LA>2 zNuO*)Lu=F~9{IlPnQ6%Es8UXtbrbCRCYO|IBg$Q)#`?&2>FdnX^bRGNgxPz7UHNiJ z+BTy8)oQ&DeLcU-JWc6Pl1!L25bTPPOFCgA%2xe!)om@TZw8p#v7?@_=_j~4A~#WF z)1q4QRQu6dYxfNHb;o~>Y{I6c;A)QCgrZH0Vf9nThieX>XJ~mH5Um7ah#*mNuHnL^ zE)}Ee<wjq9P46W^QvB>v(`GZ@iT*vbrS)}s^is?wn2RVb&@fT_LB)WjTvq0Zym>e! zhTZO}<&-*-iO4F@AYS}|ro&QxRPBy^_<9&i?~Z`ls`XThWB7l%mh_eD7GFwk^{V(? zc~ujg&Gq9MK$@!N(sk*2Z{z(b&F*mJq%_})FJ0_Kzs<M{#1yDaScb2kUHD7Q2xoKl z&bsAPOJ|h`SML*Q^e0&XH8bC@QU2$%sZ%J{B6&5%_Bgg)Im;={d*SP`<@&#$t=Ry# zQA*Xfv>~4t=-q!mB#gRQJv$Tb@Qcxw<?_}P1yJ`nuld+W0D)=K)VnVR^v~Skc2)b2 z)_C{?`gw}_SNqR%kpudyMKLGuaG%K*zw!@&KAbCNUzBU_x+vXWjk@rJ+&7KS`-Iev z`A1+q=xB@Vk(h()vwi0O3OWN=ko5oOB5SjO{@#<fp80?GoW3>OKdEAL5O(Li@0Q%c z%sca&OEWOzB$Dmp#oBQDezW)?D>Q8>K1>gF4@0PurTbRZSwZBR^Ht-9?x0nc;wLUz zg<`B~Wa&y(b><L(=6rxa*=@ApumDyU^%BfoBMZfX#0H_U_Wcx`2bvJM6z?EwC4v!; zZO%6os)B!PJZ`VMztrDw2KKUksf>kEJdCy5xbHkS*c8*f9}5!jAoaMyL8#@yriAYO zzd$*IO{v}c2vE*YQ)Ku4bCCUDQ%-kS8z|j_)alx0hAQ_UeRXZKMlD0yPE1u#N?6rx zWF1l1AvvcL!}xg=cR)TP#N!0hs+}2Ez=HfvPQ-um-5r%98GBq+(7`ay53X(Ys2q<r zR)+L~Ro`gE(T1h^cuWnL_+-R~w=4r}?LXop2ob_q`HkpTLCXRKGWIT11>J|RWb7GK z(Tg$J-C>a+jZ)0Z?l3HfG}Ls(VPkEgdQu8BIqG9K<C-@mze9<jSuC+A>_D8C3kmCX z8`ggvqxR^9hNX&FObocsZ^Tg$Vus0`u5OdG!hp^EM;uQ<o`cPNM;v(|ikRFV)!K@L z2@hbqEBp=$HVDn`-baD%dw9)MYfD(cG1oe~wfb#0RGG)DtAu7<^M1(hNJlIe4Tsuo zBzFkEBQ!H=oVpG=xM2wpuL45XH!cBU0}+2<AK#HlAxJFP$8ThkpK=y6F;(3rOKA7N z_PW9iQAiJLrz_kR<>Z0=>IyeOg?nH>y233`dqdFc4jW%4s^K!j=i;#d#hp_=Bk)t6 z4bGEKM&LY<G_a%pNShF(7VPLd(#8*Y33l`wX%m2;z>ZHw+IS(^;5-H{2x$T5F>rrA zNCY^KfuDlpgYy_T4<rQ)@E_3@f<%D<z9ZWFkau7JV}3)n8+qer<DDlNc8~2wz=E)6 zyffT)#KPIsnqsC98V<TTNM~Fe^_r`<F<}eU2T}w%OxSGo!6kwxCTy<y;M{9-ijcz2 zs&kJy#Z6(y$62hDU}m@B*r9$K4QPK{YKv95j|Ma>X(y<dqE{J7T#*k2+PwWFu%qFy zab}`gm3QfQhcFvxgRg47bm-!TYM}%bGxUq2ud!92^`TMC57nxC6n9KKlU%5NRg!s` z?sL~kJCw^%Dd53K0#5x7x`%-rt4gviyZoV=C`4h#EV6($dT{FZ&;id~6;FRrE@JNK zE?4(a2{nliq-6;4lo2p0+5__g>Mce1;(?ih*6~qJVVKI@OsWJ+hO#LmdMJw_k51Z2 zoVqDmzA?9_L){)N-;m1)sgEwS>yz(Lw?JQD)Hrn`G+()!MU`OjebI^qIUit6M9zB< zTe+KIRj-xoC)&6b%70L178-xV(_M<;Bwm#uG<skbps(WsbHU3Exnr?`tzcV!;8;Rn zIQYF?Uy!qXv6XH40>Rn-os~$rTL~y($fJkmDNEqP)WsA1>*{kgjt5ma+c)Nta80+- z#^nne&i3V2LgjAORj*5j-coEX5d=H}XP`m66k|*sqvOMqzkpre9cO=eYuPT^_#Kph zN4h^y7T08qmLp!3CP;Y%{(!ztV4U;EIw_A^XiKug#vM?9;7)8H8r=K@7%V_h$3T67 zp$UOlu!cV{n3uwef%*YM;{pr78ot0_LCOUT^a(IDUZ8X+htik|QZ2(s5Nl#U^~IQD z#F}Q1b=goNrLh1+EXIGpT5|ncXyb;JwgMs_Xydjhjlt4+=!z5}$7A^mP4q0m-eb9) zh7zQBV}cf-E0+jBkL6C<xIQX$Xt9qrZjag-ES-U_Tp;Xu&}N|<LX@Kzx*xC%*JO&; zvg-?TCY2M)*P!By=!LuO;+CGriR%xl#LX!><qNvb=zEaMME`$SP}z|Bb4myT1Q`^@ z(M074V9dq>RQxPK9mDDeWQ)T%p!XVbcM>qVXjo(Ju@0yu8pdeH(f$I5`U5uwC{CD- zc;fnHAE5N{jW^CNjk&WOP&>4eU7xQr5J_+^UjS9*T0%|{{a=F2N>oxP*TJZwA>nqK zs1)I<2j>Db=@fs(2E#QCP2!<k$Gq?b#w5^M!1|53F|inPbYx@hC*0^ef-YkgE9fHL zC6<myen7VbS~d7;Lv9TYdK3NAuJ5fgwv?b;zHqY2!2%*f^lt)Hl&M5huA8D%2Q$CX z7`NkD9;P$UJG>Nm%mqK7bONmo%-@ikii4V?*X;V@oUwoJ2n|nx$-fBer`spYJ;jOZ z?o|`k5Cx)tD+o{=$QXPs2v8a*N%SuV0ZIayiR%}uw5%vk$`@Wa!}1AEc6|~!x+VH> z)Csh=VE=~Pm^fM^_yr}T7_?fdl0~_0h}s;?6sTBuH37|)85WAq`9`yoBoIC1e?W83 z6I4Cqr=Wkia)f%1930K=A|co#=QGXjEMe0l=L1dC2n85a&!vRqgS1NnMTzUoRblri zpz?(^=RFjGk?2_*{T{lYF}Ew8mJVK@rD=Y(?OSKwxmm>+$3i@<8SLf{M1$bPm?%m} z5(r+3c|!@QJ3MFz68+;q@Up-QME@!f%S|XmpnQM9#`*oAdJZL|5cC*xXBL`!&I*XR zGY8F8AXLy@<Yu6`7Z?Yb9KMPLyk6KhZO6*aXlQk+)sb!Mf*FAD<Ak<av9^L^N9*(B zzV+uBCO-0!^)*S^Dsc!qIV&&aeTT2)<L>FsEaNDn{L0JPFV<f0sY=E5u&=wEu8{S0 zNhyDJd;|FO)HF^c<woQovCP;mPcr^*@I_zyl;M^`O*J3!Lfnh!ZKanPX$=>Qo|!8$ zocVm#(s7Dn{W4E=<I;_0WDRS%a;;}dCD$+#qpW?Gs?Hldn~g<Bx}}41C0he;>`a}J zdMy>VDrzpMiN-Mmp@sp+bMMW>ORhyqsIz~X$y6yD?UG}EPo0obFyqtK$UpzoF0MNT zr<g=O<#})ByX0D#L=&?}dV{3V?tE-{yNj`1wq#iFjru8bDFtIbxSDg<gGfH13vt?F z{Su%G9E;J6q9OGp;gWAy%GMY!HUeXuogv9SPN@y9G>G$0y)nuQi@0w*ENR{+W+HzW z8k7Br#B9jReLaC>|2&L(p>1XLn+VT_p@m_j`)|rbZ%dg2ycVh)%K%osjS*>v$8H@0 zy}d1FcJSKhL%@6WTUH*;u;y<7p|@q-244Hu-++~Gw#gfY1ty0;VQ)*98@#sdZvgq5 z$TLPCw-14)-j-$t@KqWAv!%BM;|70M#vgL5g63hsodWa)_$sDxVx-|?Pw${-skV-W z+E=_}wl13$E6MCkfcQ=5F(2XA{`|^KW4Ei3a*>b9PYRb@ad(cVLMI-g#!a(-de<9m z6uRPtUhA4!vF_b{<<OOt;!3)kyi#Xh-6J0<SaWi;`HDL_o(i78p$bHfe<y#vzMwIG zg>2Q0u#k&XK;NpEHtnkmtO;xyyY^+i`K0jV6?gS+#I)@9vXInTE^h_1;O6_)$%>KU zO(*HZD`c?*s?3C?{OluoK)rmbeE6B#Syw!JhOP+s9bnpY`0KbPV&&ScAfZS2kqljH zdsZ>8S7C2dy~a9w_!hmhq(pxNuDbJmL+C0-&NtlB#$~l&f?eF3#^h6g$!Dk{kMNWX z-8}nufFGvQqm8`RNM5NAcOJ5$6VB9fKEhWE{bZZT`rNag#0?y0b~O*UH6nO0=g>OY z%t6qrI$0UIwNL7&70A?aRyJ>kjkhyQ0@twpGkBms+AKbusX28uW7&V+>@R1p=cINb z+?oh@H*EF6dx6sUUFH5I0^hLLGgy!>r&T=52k$uwx&~5r4|S%}c8mV=$BX*{V@$(6 z&w!rNAOwj2fs@_jwgYUZ`L#ktt9j}HC(0)sGWdH@#EDqbx@R}=+IsSMa}sTtBHYkI zHyFmCd;rAwxgph$<xYRF;6OCU0Vc8JL8z~%m>+6nZ6@vQ+ENZR@wDZ+%$7q9J0aMO z`0G&fx~3IqznRT|Z9C~JKh%F7!s))S<c&oQJSJUW&FuP3v1oWz>rUgNs`L9fg~xYN zX(XablE7N><(tC*)T&l_94Z#>bm?Q58*DR()Ai==x)JY&2&I2H1HvggGieZC>1Z=~ zv|NQj+Pe5L%n4Rz-)G5tP--5v_l>^tlU@|RC7p17NtzJMO$@NYwHcmr(ynf8Zsuw| zzh8wsz7tL(^#ra9tSO$jIlRdj*xI7TIHv9={LA#IUrdzHSP00%Q{zEjP3tGS^-SlS za9|gmD=^VB{yu+G=xZ8qSOs&b4p`|1nvArI%yQN^5}HX9n*k4<qHrx;B5djqOACkZ ze8_zWV`~;y=m=unV5WpVr!3s_6nc<c(;8_fgmi9Z8pF|7R@K=BC>)+Hc9Skx`kkQV zKnGZXK0Oq*DoIpcU?g(F6(-%ps$S8`yTL`#Xjd5sp(TG-*4s?3l~?ta`9xtm=t=&j zL5FK&N(e?l8rB(W<5St{Vn;blerOI&Y#F?8n0yFo^&-(?o)OZ)6=v9U$KWs|J4NGT zpbC@`GGmr5zA&_Tnb^pnsK0}MqKhvIZypMXs8vxS?r<!v&agxX`LGAa>ed>2|2#$y zI}x;;IpKf3L*fEz^)``uNYrwHxizrr9QJUDqCvv^J{+_eX!3D+dLLuZuy6D`fuyv< zK}!&V<0&XABP2kkuIZ-lC#LN(DHcEJgv=N(3Q;p)qGTS~ta<Vlr(=%HzT8}4?Y-sD zbfExQxy2!6`}N@g;Kq|=jixT|m8!DPr5SOJ#x8&MP0)%s!^O2y^$l1ToNU|NRku=w z3H6*4w{7T}U-32B93BL|Zs_V<sX~Uz`6bgxF38Z^&0U#!&$f1F`e@b{{o6jmCoEJi z<|@`JnQ>3nZE@_KS!~Xm&RgqR*k<jJE><(|Fbd%<OlTW~B?j#XFYh1R+Eg0{a``8- zHFtlVTk)+775yR3*3<<f%}%WNJ`Wuq0IsZv?=*LXtyCq4E-i~6?4-9n!q#2fnxxUW zTOLhzx8Xe|b{xNO$VYF6eciiqX|wy?xMHeb+wK49jgrhw5|J)T-YHi=&0Fuyx9wD@ zw{6+(2~aO@=4}p6?j1lESv!VSN+7uBE$)BTyV(89(JL|AT?f?_`x$$dCoQYm;&o-X z?ka&g!ew3*tKI5omw8cox^U*Nmg4K8G}+iNEuiT8@#%|mT!xo|)r=cInST{ay0`Kq z%`c`PP2+zA(I$Vp!tTo)6y1M_ZEB*?E4D1Wt#>0^$?@ad+dgfND!SVQgJky253GM( zHrw`xipoNG_tNN-LjCZmi)%@(oRjz0a^pAeKSRvu3jj*CKpoODT7fg&mcFUAyK}Xj zDM3S;p3Sa3+8UdGnYf3XzI*xE<I=5+fPlUJj-kc2(e2g{b$U+b+|8Pqg75taWgqrF zs6ZDV`7idFsLHO$15R_vy!wVR2sD4C$+=sN8(SfYBkR%4PuMuDZJNDgr-cK4)nQ(H zv9Z@%)S{hy*+%L@$08y^ExPOedeq0a9j2C^_f~MvLKg*(+CNGUC9fjdqW4+p5OM{g zEoxtc&Oz=&YDey$qVtoJk=haaJoIDaP2@quK0lqCJc)!y?hDX`$azSPs$PE@VgP@M zEQXXt_~YmBHsmDym|pPnUY*q=Bu;23xg4L4w?}B`2S-eBH3>tHP_^)(`l~EhMQRxS z7;-a4oEdh6`ha{48KVPWhFzxKCG#VaW3;&Fpu?xMFLJqo+)xFoIlf32a013moj!b? z%MN54)#-bY%L3%5TawS<2atb7x@OV2Ou#cxKI#qf3H&TlNH;lBoCC-TRi<7fzrsr( zV)XNvU{|PmWEQ-%Zr&TB-&5|O4y9@EWulHFCzg*cL#7~n!nu$>5v}5MFR~EQ@p+gy z{W{qVnHL@=Ous~yM&>;a<DmPJP4OZ~KxEjdzx&Ujwi8%kr~p+0&#iy2&AfM(dJMm* zKgkADq>A7-btXAsLew05u+AhGOoWQYSLnB~?#WPP@rwFw%zIqaX8fvd8~5H(Y78Er z3qL6@6v>%TpWdAg^iZ(AMnQM}eD3|Cm6!ga<u&Bp1CGs%CXKI2nVfPL-C;i95`is% zkiH``>>QN^zo4JT22+2ijn-nLdy?nyCI}(@JQmmm>KS}Dq9Ur533`b-htJS;WQQ3! zbPu`h|8+k*{r-6MBY}E&{@s!*yUA8~d8Dll8@>mbrR#G7%MxHgzJMRo$<PtfSAF5l zOyYt1lh2b`@ie4rq&GK-3ko6MBiG@*5Vrb0ELiCPpTo!Cx~qRDN&HZ0vN)cE+<ZPE z22~~R<L~455IcIC;S(wqbL;V;@N2$+<cNK*_g^le{oVD9s|Rqfc=l49*GePb5tXm- z{8BGtl4+%p_(sX6ap?{P6_9K@{lrF63|0j8g<y^915$Fx2^cMB*sP6@p=05)452=k zW<_NI`&6~Aqf~zj6nhv)?a)4`1U(;>0n&a-p!8?BXe7`I+^~YMa|8=io+f38Y*Lwn zqmR@$#I<fMo+C8p()_5d*1=U1>!^%;Thi)9!cH#DIiPGu3`hS^;}Gi|IBAOF22!@k zR-0o9v{!CGA<|-N83+(csOd+q$ac_iHMwyN)=iWdkn(?%!OH2Nf2+xjXSj?|ikg%! zhr&48dp962L#T(U(4?#}T-U2wH&CW3gz)X>vsS8OBR0p9a-^n)uLGVaU-W*J)SB<{ z_7>k?^2~Q`%fGGJYl*mMpw^Uh8tEja^ie4gcRY*8D{;E>cu)NMboDn9V|8d!P>iRB zah)rq-pGF<=t+ZT_mFq9NuAiDPwK|XgYvdz@sXf+ZKlE<krKNL4Q6#>^FFDs*B^-2 z-|X+gsnIXTYMspIjwF9N`=92&!&DRQkI|(%@xV^s0I>f5$$WEzs$^8~ck@Yh;p;cN zEAr3K|BWUmf4|}S=s%yf|Ba^0I%B5230k+$(@%e|-UJw2O}wy@>UddqT!(aBN?qZu zox)0-7j0GSH4Zj!5c%Ro$Mx_#zY!-9s$!Ps@J!M37m)R$wK+N*z*w`*x=8gC0o82j z$Dm!hIV|cOk=4&90`CfiUyuy|@quR%#^HredGfr`kxlH%Ly=pN75?}QCe!t^3hhv~ zKz@JsCcT&oNKUR%UBnr2Tv6ztt_HjE0E4DIk62;q`OTmiu+ezj>+}pIk)~XuUtpg% z&uWooxfU<sMY(5uHlreg1j%;~t#^^o69I4ZVx%{zX^}y^<R{!Sxw%1ieZrG|o!y`6 zQ**ZtPogs7)o?9J;LX{^(xY<y?>dIhLwSGlRzlcl{O-AIYxSY+y7!rSHqY({DXJH@ z=@`leJmiCZ(QQ5v@RDuqr$Z2ag-MK}yW8&Qix+1SThO(OuokN=(&-9iJE>Q3y%>z( z*JR|RDN_8ew0XJJpZV9N>qR+5sR*5fQ<;%cYML*^`N)>s-?iyH`rI4=nQS+;x5j@@ zLvJ7kfVU3Volc_p$hTK%r}m}{ad?mL-d_{hyaAw-XkPcK;CBg#5Ox*cGY>8sB>k3e zDR?%K{^0Uy+4ACDKrHczozb?7QgGqA?`*>bzk#U>!m1l74OJPPiT=vop6L8aT->9M z_1Xbrk&N%7JwJR<J#zK3xfSKtR`!3^tx6ts_#YsZ;++<pWY~?*_utbfy>M+i=3uzI zA?!=rNO9BswSIcQW4219mxq>_mwM4t2lKR|T$|s?BR_4zg49dmT7K-^4yg9ju&Q(Q zs25Ybsdm3Eu$VO8`@3joY`k$#89sJuWHN4lzwm3_>_gj-<+{`#2MWIrR+)c-@6qbz z1KeETV_#O3S9~-&at`*q27ZK?rFe-!>P<7ey8La^nkVqrGVf^fU;1!9xLsh+=@dB? zDWs=ZJhW|Qs5-P%TUj=4{KY=*Cj8+Ux-z-OcvrUkJ+$M#vehP@JCqy)q<%^s<JR`p z-fh_XHM_xR^Z^T6@*^N~XKsHsngt~Ms>%5;w?&wyr!P>y1EKgQ+Ry-avdgSQ_s`#H zM4}E&{qu6ilW|S17{kpSTA+483+<S!c0n<#{$OGd%-N3{y2DE5uUtq)m?h`E{{Xw4 z>c*Siw(w>JX|&(`!oR}{ZP+wBBatI|EJ79syiFRQNxw`=5rP_iN#%bt{Nf5td%2k% z=#AXDEbEQjx9rLooRH}_h*)D<<>=&h@hp$AR~Y^gP`h6=<N8dU`nXhNj@t!u0BhXc zJYl-^>Bs6QurKuWI!ji*_O}yj-2Mjg7wV@P%^l?u?)F=l%w!!sZf~jYGrw>5W+@;u z_x7E3xQ%6m6V8Mst9*a>%ZEFc+Aq&YMfBYDJXe`&a{1-css~pEY>#+4op`~k$mjWb z|0BnY<1|_MU}i)mqGy93CA2qeo#3h|OWByZb0%6Pv{*V6bO}@%1+uA)DZ?3uTHT~} zm-Ce6x_vR<?)<phXuWdES|&K9J1=xj`8zgre|!w})MZxvPUU|d$D&$0Avaay)Zm)q z{t!PcXxoS90JFaSJ*|0l7(Q)98Rep=D@qDVmIL;`xav<vz!$2KbK1?GTS5xg=MS1Q zM3?84v#t5;9kOQ~9hL?_t@*FKzVA+8bm~-6yw+XEdNE_d-E~(V+E$Eut>pSe?wRlh zH`tvYgA%;QtoMIcJ>g?v)n55+UI@>uDW5UNy2Q<T&J?fNT`#uJbyfRy?bTi{+m>}k zu8mG!yHS_;yIxFk%z!?|_1a5f*Gu1ZOb$MFdF0yoWKgW925$12T^;9oed1qU`g>jy zu4A|1V;4uReVV)mt~1@NPkiMyu<PaGI%WwUQyaO~F?oN@q0V%p-t;f8)Lk!r*D+`B zgz|TK*gK#I*`yBq0xrZaZs}oM?%mf@I>1Sm+{fXW#{=s0djE<{95)=0f^qq&>T7mI zGU-$ok)6F{u^?HP1lmm4-nHjN?s4Ezs3uj7T!nW*80sfSh@Sv5K?SK#$ohyFUGC`I z6To9ob*g_dxdd;4kk&Vga5xFXz3=(i0P3k6@A;0gE}etE&um*S*<reBA4($-nxX#X zyJbfE{01VvLQfAiFIz9ql0=$1NsEQG1T=eKnI5V1ZoSOlzsFQ<-Jy3{7q!(+50%(j zZ(A4a3g|7fO!q~6A!@8Fx13(>J@-X*I-9EPFus33aiOUp(8p-g@xpZ5ZNGz#97%{` z+Nepp5Tu=LU9ThuWTI)Jm{uo9@$8ccwssP0aa^$84!O2j*`!csOXSdD59c!&Z@ z*VM~8DJbEJ8jqIV|Idx{%O0B7_mcArjIm}CT&ZxCua5kLYxnE;Zm%G-+q$o5%hjHu z=wW{ztsT?js8X<iT+lwn>}+37I2p#{u0XhlDa@F<BiVy%(nCL%YS{Vw+S$H@FvvIV z`;epRb&1M*=HN4rWe9$l3mH?r=S^{7B;g(3xaqqasG7k{P=rS8spid>V1DPa`}kq3 z+n_MO79DA@0xTdj410(upQ4CjIy(u3_IZD28%!t8Y6o!(<v%1WX6veZtg6=nV(A%p zd@&)g6)fRW=2H0<Bvh<&zV2(JUX{W<$Wzbz%rsmR2(9m2X7QIGg%9(h!z$yf6yd!G zXQK8Adfs1f$S1SUEAKTruphHR^FlZt`oOQ9zmWHQ?)^^v(zIe<W^Qj=zyTk{r!Rl= z)uDB&455U6`*YW1le_9(u8OSvj#A6URZlDJ7hS_kWW&W`0M@0u0oc&@*P5eUsx-9L zH!X$J;C#(H$^Dw?6=Q@HW7{K@gQ42D-)he0Lp6CQY>QsF#o;$r`^RV}5@}Os&)_a% z@mV>VmyAT}##x`f<Eu%*E&SZ|dTxJsQR%ADv((;6)~5}8S5t8HhfZ#DXK2cnLQWg! zugg+}QgN#T+ha$#?>l>>Y@IuFwj19z;?pi%uRp4FEAETYOi{r8wGaAfZ_*^g?kxBm zdxqfSPsKGKMq-myI%m|9(K{Kkdqk>JI&O1dTO*nKp0i!b*4do4^{sv5m!^MwfWq}) zaX>NdjpUlSI(%VmFYR=Wq}H8<Rn684zJe5-^v~U#u1$02hbddihoTANm$&%x-ZMm# zCUMh7GYJ9vy|c&C)Fh3by=C;&0*-SsnkhSUhRP@@8$HX}@4D<^tN7B-=iYWk%Z~a} zr?i^yQriU<og4+(QU~AL>C%4>EBC*QwnMjb3qn^9sv>C%L;bvy4M|B`>lAXVkENku z3)-b}KdYg6JpVt-|EjX9`K-dT-`@NGfWl9joAd~7y!qeC_IBLL@7Ea8oZ$bwlE~;r z-lMr&zUe<!5s_-@Zu{NT?ZsGAlZxi?{hD@q1-4aar;nA=_KTGw&qjaI-uzRo|EXwy zs<l7W#-Hl<pNjgY`lFltqnrGroBX4j{G*%vqnrGroBX4j{G)UHqjUVDbNr)o{G)UH zqjUVDb7bheoGV*Po;kJL1RvwNJ{UAfJMgRApHO#>N@4u%EXMN2Q(}4b;FYb3p3MV* zM?SJ+-W$!T#;#*t`%iy%3DwJja9fR$po}&&*0cH3WF3P=f=^rt_Gg0I8q|^OyB@#> zzK@b6Zcus8(~tAQqGGl5ZUD~ZC-d|h#{Q@K-{)Sr`9Gxm2Y1JjT@t=u{0GJkUw@Ys z=3k}$*SHHLd11AsiT?`b|1Nhtf4`ycrGn(kys)&iEA-?1ku-l(<Le-}KjRl@@tDfF zPkQs5o#D&@UOaX@l4gIZN%n+OW$X9It$@?0)kWR8=a)fkST@(APL*LM(cY$dr%^uh zy3f>45>z+O?oXXYacjn!Ja4B9vXfGxE`uij2z};sciWXfZRu>jCm2pJax9gHl`{4K zLO{L0lqZiXlI8`BZ4+aErI`Gw>aECiKXMtQ-pB^&lZ5&|Q71bi8<<a{6fMZTl2PsS z!zUmNN_*ti7-Jl^+%rBg?erve(kn)nd9<@yj5yuESZy^{ioZBc>cazAbnu6>4BfJ3 ztXT#C1vq=xZ1rb;$#0F>qy1Rs#X@`!C}Pan-6uTg*V(;KIHlTuWE~CYU25c{fc_5q zASp5*u$y#x)C`f+LbPCb#r2P|EKXyuOOOetM;#G4SP6S(QVwI9mS11>XYorEB$`|Y z0h}xMA9=aKuPqj-+p<#nO2kmB622~Z@N1xh)x_RS`7OA%x=+>(^gRrm7PD)~sUgxc zCdaM;K)WpNDQORXYg#{gwOZlw5#}Yvze1tBdE&U>qhYqO`CPjvtkp9V59d;yZY@kY zu{jiYyP=}g<>THf^$X*Gje7gDeLHu>29}PQ6g=KAT<Z_z?1WnDS44y{)2DIDJBaVa zX2J4>It2ZS$S^sj9tZock2f%Hwr#kvTu@G`2l+leSw}m6`^}@tqO^e}$gdjopEt2T zttszBSAX4E)CiOxJ-2FhyJpo|I1!^66*+WF?E>0_(FO~f)->n&iUsW*+4yyqsy{qN zf9mtQ_ryjD)<!I%L0btkQIuvu;g&2Uu%-EVz+lcE*u3E5(2Kc#Yi^+%3W<+GXNo=( zln@j3X^w+`z|*TeViq166Pp(k=Yz(ZDmrIS(^H)*@B2?Cf3iAi+jB07WLyv;9s^|Z z6kt=Qa|9(ha~}(h`XqMWyM$)_RBdH>vny;vZtmqCxTTRX-2}I#y^^gx+Rb`a?Jh8^ zy02j8{kOEY%Ql#+W6htPU_v<~D~`k?LBABa9~`iMCH*$B=t$T%Jo&)T4LQo+OYpyz zM?9<EsA=ByZN0G?U9Y|VEZK8xC@*B<>==E}+usLe8u(3h(z5H>dc694Rt8aNvIUj2 zJugdn<I|eYFy1p@M+XM%dT4+dhSZ?|#4tJzJ%`HZL*p*P;5;-O8AjltVaqU74h?&T z@s$yOow4ApAueL;J#6H+F8sZ^BFMa3-&8lh>wmf+D!gL!O?5RN4?K+?=jl^5<14Ob zV|jjga`&b7WXp*KQWnBmM={2M6(&U$z?&cyV_N^b>*o{IdYXQo%z;#V-g=Y{B~uU; z(XFg>4{|!*TGuB^OXzCPrj8Gzo3^PQRiD^@g)CCY{&t;apN93mK#!{S0>jAwRpo}Z z=d*q-f{$WFp=YQJt&U=Jt0?^nnbB2vD=*!d{O5%bIxCritcYwCqzjYFkk)#R>CZN4 z=vkQsLS6QQiRYFu{uhLmIJTkPvb?b|zld$S+n0TA-M&n8I6;~<y{zHstLz?JuiCzU zgp%rCnzWx_uBquwdUUYjDPli|BV1?r#JoaWQ{lev57xfU+rSYa{4WB0A{_qB!(zem zLiIKAEuXV2!$wJfTQP<{Gc2nX&OO!?1BV}4mk4Vfqz@cp(l~WpG$ll7rvW5g8Ym{6 zt{NAZ0w((13n|d(Ee_<AP6zNqkYH<n|9ji!Rd=i)QVd3HU_98`_g)CLSt<oAt5(v| zOX9hWp3Am$kR>2J<Y(Xg77k8Tz?A=Z_uHe2%bLP|N2Y?0avby8@7^|VRgxve?~aVN z9KqbfzSC$f2A5ezc%N+<b{7@mVgJ!+jt!>{Mkib^dDaH%Dh}k8PG9AxfG`Swj9^%2 zu_6M?TDan`iS_yu*ATt|Q)96l$^NNCp>12$t#wQmCA!n}%R$LH=BDxZ8_9syx^O;K z$rCvh=n5RoNN)k6YBAF!iCo7-8{1_a!nu6JL)cW-H!uaiJ(|WRn;O+i6ujtr|FGE> zXzYLUZ$=s@mqFh!lX@-1pzrB_$Ni1BUyc(vj0(4KetbxCOmEOMB0pPJRKXn_{d}74 z{ZD;1<LW~v*QcYToZtqFj)^)|Tg$X+cVy~WR~<{#us~gYB&YeQQ@Z#n>!o5)*F=Xy z*F<QCpC+ZaXe4wMwuH^_>61(yzZ$xRU2U9LZED^8owudd<|Zxs<?GjfuRZIMJupH0 z+I1HD%uHj5ii!$mvGERkaD1@#NeuEmfK^#VMWbuG<P$XJA`Bq9@IEOS>vH2~^OTxu z;Il<B36n|IuInYUS64yRRMRKfIqF)QN#^e>B4<&9Yd6bgYl3oW2nT780=ztg)oN(Y zpiRPoy@zL+S8em@No87peozkF)1ERqUPMBeOJu%=OgdQ-=Ly|Xdvmwo*FQS4!><oI za&2Tp?z)aRa{bEK+jRxNN4gz1s2QX^S8Mo4hhxz1j0Ac&*S_C5_{fCgwViGPI<x7? zLaz7vC?;O~et*IGzC*3|lhny6E9^i-jbJ8eykLD19b8I%NFf1#8g7??3rSy1f$E#S z$vQ-2|ET*y=-2Jn<rTERR!{d@LLVBq@=SJ!Ah@hKi0%;^9#pO%Ns+(+FI5E<%zAPQ zaZ*)<8jPRRgCE;dp<3e|_25VMu2bFca2+`N-U(_KUXU(9=0<8i4+GGF<X|K`W}lO8 zO?E`UU+kZt8<GKkh_P8;ha*jAn#8E=cnPFXjQCNYC|HL(Qk)&g3=JXAAu^&JSfP)} z=6Dlb#S>WO+9+{u>`^KmPto-`j^(8?lM|8BdOl3pOH@g64?;C2_au;oewnO~<POh0 z2D^Oto|j(V3#}tiIC&Pa895;Y1(31G&4^qUtTa^|->koX$_kUCmgAdsR!?I2sY!SZ z9UpG2SZ&0FApJDi3*n~M_k2S5Z_h8$h$1}zC+t-13oSnSaq_3KQLzaV$kdxBDw@{1 zjwfI&)Hys+XYwRWg35^}>b0HN)1><2`}DMr?I}|&@Me12NB7jIE_fduZFa^^+lD+~ z*)t=<bq>UT=uYGq1RyF*jP8TKPIW{Ap6_!|_wbv#lN>N^swSC;SbfpT@!vklVnw$7 zf4{qufpClpJ4%-)*CQvx!-N=V-elx^pNB3{q`~c9B<oh2TQ1iCJuS*TV2UT|J6aE| zh?WfYV29N?f^Y6gE3445h@y5G(~7-+{)eFk(HEtEbquON{fRHq&0~jMqF%yF>*q1U z&Qnj|Gjv4A2}s3=RvtPNc^%nT<`KMM1AG`Lz9QZchEATTPR4MTw{InA;jze2-3&yi z-sbZaE)r*xYyd0vbbt`K7oUUB&{Jf`9uHt6M<azI9C)BS0W3`?fjrP70dR5{9)=`F zJBUGlRmk^|*5M9kpi*Q3q@jMrF(4Pzi;O|oBD(aF!yWjciKRh#*1MFe>wskAB1|iu zO?{}`;)(Z2uPLdh_<M=>=+#W`e)VP0-i@KbqQ`fe?}&jN1AFUW4{IjowJ#-kU}(N| z7TL?_&l^FM*8z)oJN1!7tvCapZS$S)M&49^S7qoxvY<>3*}(B;f$DCt{!eCAy1FoS z#W3M@QgDG!em^8^up}T`1SXQ`Kr2vq??NIsyHn!<f%0Or29t&b8GFT{KiyU7=Y+98 z41p$wjs0W&<eS=y_rH5n?<hmtlj&(m0R_PY{p(?a6#-EqSfRw+^@5}CKat3L?o<?i zAl)RUv7fD<d`4T?QhhK{>!pF&PXE;RbKX>QW$4%B7q90VUL_is(O+E@TQUF`7D(^9 z-}re}m98udTrrd|VQcDl>nAH|gR7Ot619>IlIi`$-;a7zZz@CI1uB=Yt6ivbI640A z`jI3-HZ^eRbC>B}tmdS<0G2=HNZs9k-_At}HLr6>mY<GK3O<NhVL3hB%=8kEJ|Y2f zjuOvN4TLy0VWw#qBkyIG`fI4xy0^AF-_Y_7j$`9!7+-~H5X7+qVlh4{v^FZ}y}Z5^ zR38Az>x5X4Mu~Q1$mB6$@+T%32*J%kbqd##!^g1DD|G;Z|LOb}oUQ-8>!0L*{fBX0 zAkS_*|2xNQGc)WYs^Gul{gdK<$=MIo1sq%ampT8-odvcLTHZ<c7*l+gJTJX6{PDy6 zRL7rIs`Al4yY`hwR%;~2sd3>L_``$I)#|4Qu%0Zz;6iDM0iHJwn}x4>sg{>v>6sJ1 z3Z=4t{``!~3LVIL9Z=|Ye&G0jn~C3r@3Vi7d{!1Mtj9i1yGr7{omW`MhJF0lt?BBO zwR!R1g|68@%Rl2d;lo+40}Goa_yG}Zp#y%s%8GBaj7$%H70%`S%w+`KDN;pG-QF~~ z;Jww>^0@XYN$Bks&+b^3RY2iR<j?g%YOE^y$8C7r?UqJE>|<9q=-DfOYZJhE(=xRI zM+yE_((FWS>D9S*stwfC?CF3<FSY(N4g&q2Wffe=Cc&?|d&vj<>8*JD?PtexHwsm9 zeojB2Ur_<hn!05FTzwU%x`|Rv3@n7-xG>SY&{ERzcK^)Vr>nV}g_!K0j_uT&9?<qI zLQo;x@WRA+!h~|~Tk*Jm+vC47S{{qJL6xtpoqIZxMF=eH%0I?xTJbcMI+A*rrlG7l zze4N$EZY{3-`rgvCzN~M=5iD!ukk!1Ts93ZT#&F>KDYJGX>|0hL+ot~=Rv7c=Jahv zgTm1l@czp^0fiX_dnI+#d8)U^)CNrY6KWpOYn?_3Zygeo0#pWni0x@1te~cTvANKj zhTDsS;qBi|y%*Ek#6_l_K1uQn)UxlkAictXSHCfN2UL~yLs-VuWT!gA?wfbZsz_7} zjw4b`0>lR_vx0sN9N3;NHC#A0dLu9P>L%ArIZ80y&vvM@&Ek2En42OfGKb@#SNo$K zxrjHxZd|{vpH+K**BVqDs=WUMqECVBFW1=%qPJB?3ZHuAHn#$1Da~Ezy(xmhATj9) zd?)moh+z|Eaz<sD5SB<x@`JSDAcoDDyje^rX?gz#;l@dgHc!$eLE*_J?gqAt#P zyg5sn557(BfN9U)-da25843D+IpeX|?z9=a^ulH=3yFDuIhQ4Oe)vh|fYYOf7gc}C z@c2KJeZk=0zeY&;7W*!PtHbk?2zc~93w@z!<yqcXao;Cd8n>iin@>x2^5(auq1q34 zLc5t7Xz#g8N*ml|_gWLt_NQrmV$H{E^vBDk@Y@y0Lik4v24a^LI+v#9OPo*BzWWTY zFH;t=TR&cZ>!|i}7q9kmX?YEswIWuy{PFc5m+tC~7)0L3$skngUCIH|W=Tz>*_*_y z(-VUmfW?WVedJ14CuZBlIL2x$qIUyXlOvks**5LFX*U06RC^RQ<ypi$R#CY}sKh8@ zS3QeZ#}q5~Ml0nlp?xEFIO_78cHTQt?m~$pcesOprxz|Sf`H$LR(~4y*6I6uRcswo zR5&<Z5y?1H9V|!>9z2^SXdlQd1+=+n>>pR%F!HBWY9R-q5+WHNok)oW$tB_hh~f9G zvkt&=jE$Vy_<^C}cEV`jL<zM`J;l~+MzB>(>}?Cj(BzY^HG*%kPnLV$>+jB2>q-ar zE^>W;Sou(MJJps#CbarO<Y|~Y-sq9NWiU<U;3N1m8g19s%9*oTpwK^R;Juvq)GNX^ z6zDKEjK&I9m<B*9Iw4sN80#5~DQUEOZB(*;<6aA6gAP@4VC>FVp2OX_uj_Dkj``c} z-1yYXq<+J*<=PM$$Y0?#N61Yg7B*tIXD~m1y_ff%dO_+p99ph@LSscLdIBJ;ILKH7 zrhXRVMH;nT%k+czbV9}&F)Z8J#0lHB6RV;e)4%{-GM9}QC2-9wKuuXLN;T0(GuR`j z@Z0LS_8)93CyVYklPU-Mvg$UQtscs>|0pln*uVxq-4Wjc`#1ED?yML-<^IQS3;vgX zd)yGlQR2UTTkyZ0DHwOXB8=N#RiqHkg%%YP){`h*#>TiI?V<T+?0srXfQPOP<YZD- z*LQBNu7@q|E0*Otu22dM4dBi7@H+0mz70<FK&z^9%mSLF&z2*%UQp9V{^<nxR|{q; z1k%1vi1@bxoz|0YB5JZtKiMR~+v#k74F*F(>~OwZhs{Pg;LeC#_TH9I&ZI<LmqR7Y zf9xt!{?psLvzG!77J9?#j=O0m_Fw85x|(}w`el)lI;Ii&`s(%UIc0+)73)6FYstNy zyJYHoo!hmYsH<7~+(ho<d~D2dVkXXADZ^EgL2~~-6P3A<yvnt|Mw2$9rHwj&tgPjL zN`ah!wW#3NB3=RpfZvkAW~!NI3;@4yLENgN7hX;*d|6uYuJt;NC7zZCkM<;5p2m)y z4wPA5G#HES*GM$a_^uEp#9dG?Qc(UrXD^K3z(>V^WTMg7pX5Y+<T6-OTOi`aXW*l3 zfHl@==r44lLR|)nYOx}w>;*)B;exRD%j51fm!TLX;k);|YeP<Bzn@Mw8EfkQaT(gE zBy2g_nOGRrZ<5HkA-=6Tdj6$@=gSwbA2sy9cB1OJNE+KV_YYo%wkipmXRa6wd?Yt^ zx<7oJDPqc9;3iTaWn$adPjI5%c2O=>9!h)^)jyDEcJ)13Mf=N0XK<;1*p?tJu8aTY zc86S>34m~E>HEsSH`?@#*#d<xj;(W$@SgXSh}lnrYjMG~iuNL+fOkoXSQq4XmG-r; z#jURz>S^U%Nt^e&h!a)rKTD^css=ur70WTUX6@1_nYCRd-)lOrHgQL6GQ^PheXf1Y zYH@2qqbWmyBT3bwi+iGfzb4@Ela^QN6B|hm?@c0RX@hG?!PHA{r=H3Owot_OjD^^` z%1UN|tEcZaT~u>05?c*5Yz%OE(vq#N^(#sDJx9ds`@uD2@JWRnfT&_&(%o>NqME}k zF(gx{_Y=)Lbv>4i?5U>;fl=&BBkgORi^m0qYa(Xf4)V%Wy0LbD4U|L*3|EyijoqvG zkmR4Y+_m>uwlb%>Ze%EzWn`$=W^BnLIbvxs-)4+`Y1j|Qw%B=&Z81=uZISkW?Lz=C zS1td(($@;d|A)OVfofvQ)>agdAaETb$ds!nD9E79AhU+6f`~GR$e@4>F<}M`gi%10 zK`$c8m>6ZAlz9e!QBV*_M5aK(BvK3kkq8nD{8hp3zWw*@_rLD-UjN-|-L-PqyY{#D zK9y5N)%jBAoZ{;;Ct2#-ZN{lHlu4=v!`pCM7-b}PeH{UoD5HebrK`io+RaEHnds{9 zvxFETq<DQD4wgF*thc_4i68|>1qm_t0o(+;s5KEEewHPFz>Qz$!09k>q-Fi#Em{Ih zW%k0X^}Cq-z#zdrydKWn16UI5LWA})4{l7I;ANr#e`tkP0VWO*!0X&X@|QllyxOX# zqb8o2f-j0HoY2*D_T(=;-xVqcyE^f;iZrR4!H3(!cuaEE?TXMAV=4g`2=WoyoJ>a` z0a~VKGjpeZ%NE>G#z~T(zTGCAwvQ|~Me%Lu!x{B+^TII=z!s`VwV)4AwUmzlFh`=| zYxJE-clG>3=eARMswEgtNOyJpLu`ex`v6V?x1J@wowS9(t-BmG$4wQ)+5kNS%Wzvb z77ip5EW>PfV3imeq;Y&-q%ChXC-w+K2v8=V)Jfuhr}%w3j(Ov0(V~E`(<fb4X*P#u z=DT34q9BVy*^Py^*Eq|uLU~QEd)o3FE#m!@D=Xa|!~3U2T>0b*&x0Uy%_97+Op;Y- zK|TG$$k=Ho3;VozF3{~N<knQfC1?nN;_WC8&xm6(#XSZAd~$MjP}-+OO|K`Qv;yBj z|Lc%{|EewsUVuPp)9|}zpvZJ9Fl{yzxhq!q^5xuju<KuIiClt7M@l%XwfJ(HULS;V zbe(qd@N|T7oH`BWVDES5`S80E5NL7*1aCm#USmGFJ5aKOWZ}!A7LZq5cXp$krE8j; z9ZyK}v!9Vgi#qv@a@HX`uyFfZ6EFq+oJ|scw~ypD7M}A&e0`Dar$H&;>v{s4y@NqJ z?&-N`>4lOQD@&wOvTvZ_73Ge#<+;|@`|GJaLzJ-v$}|Q&m5=81yS4?l4KJ{nu`fh> zD=BId^Cw*d2Vk)2598lAw!Ziq)9?EI+Zb<e#a-X^Tf>ngeEjR_zw7_sH!@C>TJaix z|FzHmy7ACKzyW(p`$U*_IY`dmjKOAOz<;FXl1dKEtjnv0OV3J>5&DjvoJ;rKC~cKA zvlrmYG|l0G_c!m~<<1WZ36Ow;_sspr_@Y27I^1>P!!20;9iv)xGy96WMnfK;hzAMp zxlro9N64pO2LBi~=zoU|`p0d62Om9u{KYuCaZdR04R28F0hupo)dKl7fuqJyA=an} zOs^%e3ldiMqebFiv$pr61vX0;2XJ?vhxQ1!u}3(Nh>J-Vubz~oX`0zD3uGR=uuHm_ z8zj}D(#0hp3m1j=Z;{xA-~hkN9eyDt@J8?9IM!h<Q;bqO?S0wDyKF5hSS`1I;04If z-`ESsMcF$xE44iKam>})Dj}Ttywk_bF&F(UI}#-5ad9AJwtI2qQv2h$*rhB=q&!)n zfNs=!LcTNEbs^F<RZ$EfHszN23~bIC^T(``QLD?LY2fwA!Z8+>?_Uk}Dar~Tc)=s- z)5Db&h0U=uoednCs|{8U^yQa-8b7Ts*Y($hWqXoNPlh)m%9n%t>rtA)9dtL#_Z5qJ zO{7`ASkt=R2OZNN-Hg*8mG5S_^xc^PM3+Cu#_>$<!=P94(G`BN&>thc3crpUbcKrY ztAV(vnlku{aw?^#1o{JM=8$F%X^G=y4nvgFR)ZKieBx3?IcLU{rD2+X`;Q-&)$i7n zStg>W6lK!N-Ys>Kj7z5~mWGbil%eqM$GEV@zqvh?R9XkPJ_hqYN9XAk!Rla)Hec$B znm;-z!k|(3_HOx%*C5$yFB@7otp+O$38yB5sXlrYSkGkSD|`0HWcHowCVHY}^x|DF zmjoPq*y-O_YpEtIoAFwIYw$wb>_Fi?P+0_(xKr5jEW_5S#9zmUI7ij`DnC8J(p||q z9EFd1X39Ln$5W5h<e=;)MYq&lBc^C*G8ZpUGv<XbPCnxnW3ZXT(JC7;lSbiKw^*Ia zy?5oDYh<YEqHismGxF03n>`<v(05+Xds%)dQsvd-&T>?70moo}?%w1b&T500rt)Lg z^Bi4Hz4I-nHjCL-c?$C9iZ7&aDc96^M3>NaXvy8}QyHuzr<1dp-&cq?S9?(x8*Q3j zcb1ztid?RVygTGF?4tC-&spAw$a->L(>{Hup4n0nBf}$aEzeU#r+w>P>pJt&;BIQk z`k?PvddK>yv>Pmcos(%diaw`nWllBR=$g}NSe{*PS!IQ;PpsmcdiR%T_R$9WWV4U@ z`=G|hgcpxt&}o$|$9oG3tnCKzA2*#2v>l0e8i(a}G`}eC`Lt#==;qy!k1nfn?=7bc zNujLKb7^G-D$8vYi<C1E*ad-x5U2%$;>jTB-wuK`*U))?9afP2AY}g)v<q~Cc0mZ_ zb^^gfC^8kYzk^JUpa~^tmQuv;J~ZwDD^_7tpDJ6q_4(mZ{-`mh7nPL2s|qW61z4qW zQ*_XW$7k;t9ei{SJ!c-5+cEAzQQTgw<D&!AlW_O|gXJ(ez2#eTo2g>hLq4iNA!)CV zAh3@VP7n-#7vE|VDrX>YOMFwEicf34sWaFOzJ4Ape(74-&Fe(UtVsbAnPm}FZRuS{ z8OnO+w%Graa=c6wY>s08uk21%wSbR1U`sj(q?5GpH3k9^b6ixoM)({D*t1q(TqT9$ zWk^myC~3=kOFPrDmBDl9rHqq%n$$n5nWeD02SrSO+)X=HTC+b59YuXeZIx7>WJY&| z97A>9{roB`__6Zj$s1$cMemXq_m95Ha`<}rS-sY94c+R%3wRoQS<bNTlP56EA3i5Q z6{wc?K>##T9sUeSix8meA0-akcrHZc#BO8Q1F!La=^qyHX_b>vwmQ?wNkii`m$Q@k z$E|RGCaRYtVj{m7)kldGz>x+wmT1)2UVdWJafkU&$nlph&$rioD#VnY72<0np*n|L zs0z7`fU>`*#9rhC2z6qVv}3rgffg^~*OxB3k+$B3s+Y85n9hK*{4`SLmT3d%b#lnX zN9bz@2{ku@l!-LW08J58muCvoX@tJodm;sYqR6^qjATI{qWR102ZX*MTC4>hF?}z# zgSV3GcIAVFK~dE}tc3t^4m5!jwnJh1A?WoH>F_ce6=}POn2XR-iFpBL9j0a<jHy<N z!!8&OAh^B|^M`%?88w(Lpn1x@bIIC};#Y{{Z7#wv0+cAvmSnd#RFV;7mp)2`!uMH! zq;Z-Wr2sVQRGHSelm>XS7QI-_pYDCWS#@QQSbi$J+4*COOV_9Jw7K~wXO}5z<I|7Q zFPdvo>xg`ZYOK|-Z5B6g&Z3554rq9qu}VcMURG?MbZLG+$Y1bTHq60gdRxKg!%asI z_};Sk_HjfgRYPAUaB<|~5or5b)6qkJkbF9l{WOjQ8&*<l%aJI3smc=<3P~xh){qZ7 zE{%$C8?HlgR~XR_N*5bObcZBgIMIYH!-)Qn{2ETQWXmw(1E`un4~CH#0WKF#G=$8) z;lu#83?o`Y(zA)I4Ao9)y8jHU-A+cW8l>OJgTFp`z5o12yQixkY}dj;&2Y7UH}03j z+q+lDLD{J~YPr7ON!hx0S~Slqt(jP>+ZFvRL(BSEranl|qSjx};_@D|P94n0!h>FY zJF8I9LuDuCF75Z1y<hY2jm?=q%>SGjtMy%^;P0aU5$1%UuTuN|3Z0Rvn%VdD{}J}z zH&>hdS|fhB>%ZsxFEKBl_3A@^oUB4U{#d9J<JIRCl;|z;%=qJX=}E+iMDMq4E32jx zmtT0T*_x>*<)=1?n0FqOnVjE%1~(v!4QMYLa^HYvHlRxzkT4r6+JM?Opz|A$5F3); zfEG8P%WOzzqpq$EsCxr4+kn8joX)H3^s%hxZ+_hlVEH}rmv!<}=S@R@b}&JHti`LK zKg<z>A8T7#(QXm%tCj!U#8SKANigYaEnB!7=%-^_(=SCER~}zvEKm+=-$o42J=6Y# zD6;v~yug{bcv8lfK?u>_O^V`Rp3_CZ0E5lALwEtsKaBr{F<{f*n10vq-^RF;L4JPT z;5U}%&SBb-J><V}{N3n(|H=q}6r1q>`xGLp>LGY7Z)=-{X=|8);=H=u)0b^4ey1ib zo4KurHHajil+H;Nh&M8@*PjSHp+HYgKBsPX{Mf>$bLxn_kCBbH+E#YncU3dWPW6Xn zik;sjJ((r}Qq;snIf%cu-Q4r|+fR5en)0J^6JyZlE<}qvYYZiSF>2D2TKge}+8Myr zeKv<Daq&FJPzhc%8Hf&h(KI)N)FVHT6(=s%-;eIQ)poPt%_}~`iP~VtF@un{l@NQ% zL1luMwgP*9<P9M<+$nQEdKs)=uc_4A4czDFZ(gMsOay}TH<IY3ec;%_KHZ5x7oSEv znDom1XjRLCR6Fs1dXMLx-evV)<zin<z&lGF70^*p_N|dqr=2fJAL|hhql;wbrMj{? zaUoxKPiLtQq;~RkD|eP&FV*Q$3CxO|x@6~l*EUtTo1d@SxBj^(#EGfDwylK0Esj>4 z$$i9Yeo@^rJJmhuU_*7_ljc~d9?x+mziQ!n!<1#xq{@1K6lnfM&-yz_=z98S!{aCT zy+QVRir+`Vu6@&6pgdk%Jv=s}*&h7-q@H4+9|(kiz#3=AXBI4ZbhQQLyjs+B$R#qq z=tEme)Ch6;`U6*i5E=rj1K<*_ePbqG5*gRst;Qdzy^TqFx|eqTisE<O55CYgR)w*d zv}!QVUFZIPe!E@P%WL1RUmyIFg}65;uBP0#S>~(mt9{M~J3Op%o+T|eHMz-)Ch(t5 z9-TXLQ3Txap9-<_3}H7c;__fqEXunm_1D$hyP@9Ap;s;5bNSoNQC!036HA+@{;o=t z5pF5;XLF(fNqZnL$aer2iyXI1T28i;9l|}kK8~1wUh4d`nAbixctRLVyh%KD;0UVH zUDEaY^p~|_vBt5;)rSc!MRN1pgKI}TD~s~cAW&aSZ`F@lEgx)g-!+%gF=?4Z^-ohu zrgM+I_Eli$Ew1)Ve0_OVRb<f9{^9p&F9FN!IV*Xy8d|%{%KC(5QWEpRho_S&UF()f z@kg?MW#au&<Kxv|<}m2G%EIHV1qF!p=`W+4Js;7$xo@p^n<Zp{MM}^tK~+9m(%s^m zak|+#V_GRbx%QNv^3AJY84q*&q5=l+fF%}|`&MKR=zJ(!vg-&~K<RD+2HAoI45sDc zlaH5y!A^S0E5%?S*J#?fM=iG)7tZm#vF$#8QDOd8!j<Kx$;UMw`5A^^JNqTCT?U!C z(<oa~XQyR?K<nyUImuerBs6_{ojT(hDFLq<LEDPm&uAeH>Y9YmwqXqbzW@7Kg*y*U zh;ie?egCNR1ha&U+qrMOg?k*=wbySvo?i^os4z7SI+82dbt?BQU51ccE4x%Ei_g-3 zHHoB&Vikc?q!fL34y_$n2LO$i(skcjw~xU^QY1L*y7Os?VTBnMqzi-!BRky5o{uwa z-+Lz1@zYVn<l5Em({EHCSQ(1PYkWyvVNHK2FcrJWAMCsGFgxxzbozUn8KyVe+0^H} zv$J6<&)+Ggs6$83aNO_9sLK$Bw~mv4u^V_xN-=Qf(&E8h0F3cc`tIB7_@Jjfc-339 zO;}0BcA$WeWndCcgJGo^4@psaU9P1GNp~o3*O!JRg<-_OFSnNMFiMAF%12Ml=}=uR z3Uz#prt1Xq9E-X3*>PzeqjWr`{F>&<6;<IK$nJr&L2qLB2oPPbOX&beiDnmnnev47 zPslU3$Y$jU-l~_5#gsd0t`w~eX1{ddEl)svwmS(&Ug$r&c30#p5Ap4Fso{AfuGz)A zJYjxuI2n#id7c(nB=S{&cnXBZky~E6TqsYNtRgomP;Svb)=;xWk-G-Y*0;w>UnxC0 z_}0IgeD#V_DE*`6kc)>9`jy{*7dl4iK#U4lr;4huFjB;EaiwQsru{k~9=R)iVQS?h zxkyg_r?X1s#_PuzsFZMrln*<YW%MwIYt!3Ul+2Mw_cinzmf}g*hctX|1<~i5j%q>D zz3HedB#WA!n?sIvVGeGP%m{aAhZ5*;w(iN1V4#Ax#~o(NZE<fQ*&q;q*KxrR=nE1Q zO##_g5lcl)k}W0GRE)(4cB{S~*MEg-%o}<12$Jn1{c2D}f=x&FL2|O`xhYgpSC~UH zR8a<3D_2f9cs5jm$6aE&p}Ll^dc9#ZqnsnaN6*h!SI_V3qp(@<<}{ltm))5D;Z3O9 zM_pwvys-MYSkY`GznKJockcZyJ%5=0IWs{1)_UmgqW=+QDRokU!C#^Ar@ZjZGwSE~ zu0H#{fWMRH@0vA!ej9oR`(HTxtIegszi!dm*A_Z`fEye5U`x+pUSqlttGznij&rFJ zCR3aY?{|>NZ*;S;kIyOQ$g>L7s(uN}zs9+g%?2;pT;a4R;RtYljDTP4fX(iJTi7eh z6h~QJ4DHEp6t~y_T{ggd8=$u|JDot`M!M{cbd4Kz4Q%*uo3Rtj*|8JU*li@J-vC#C zfE!iUSb|`hnSGJ}dgcuSt%UOXTSP@z0y4#YAXD?&jI6BRB(eQS<2K2{Mj8+-DN&e4 z``9ZNEmN$zinx1!8<k)2Z8-mSALi?@u~-rHQ*U8RA7;5>WykQG*qWuxSeYi3qRa{J z7ZC=UH{pcv0$UhYLbSJ&qBb)XbP-&C82<}n;g-KK{jT4?jqw05-tt?+ICCrRh^^t@ z_5W`hiK+Pb9lrk!$Nx>^Q3X9jACmnotGD4EJ>>|%nT6JW9mr{1lewi=s2B0_0K7lg zFms;*fn)U-1)H?wbD=mTh#rOFjvR{(;eq$JN_2ZXIu}}Z_>tTh<LpM7DEna~ZRg|4 zQBVj&e2pW(TEPZndZBe%?9e)iW1h{Xp>>K7d*WOww6ET;OyCIchX@;orab%kWq^s} zSe*gq63xDU`gonBnf*LS^&k<?ege9_lbv@}SHUI`%*#TtLsQs{&3=93K`2h)QK1pI zN7}pDd!#MO-lH|GjY<*Z&Ea6a^}Qh21Dmz!WUwD&PMXJB3{bVViyI}4?5nK3l00c- zg3P2Cc(^wE#4BpSAG?VJ`8N9$DQby9s#CL1hN6~#2;HQNui?p+>fy)f(GX>G;_J3c zomv5Yu~f840Ix!EOy?x9AyH3Y`Ffm7zm{@n=G|R2FOu7=de)=T^W}ObY?fxT)(o4~ z0<S6o4#ia_g3F=BV7obk?sH+_?k!O2=a`r~MeaHkeh#294m8q1=Rufsam5}pd-v$R zCI5<l0B;Z)2OF3hQ8%wUGv@~k;@u<a59Txm2}>9IKRM)~%CI3VOTzp8iLlwU?1&*~ z1yeCng~2cvPKF_SigT$sn<Id`oc<&7nQ5q2qgERt!g4z8mm{=$X7=bq$EY#kJ+W$% z)I>9TyEriIXs-L|B0{EnOBt0~sj}R5xNaVQm2cz5eMjV1*sP2x=Tgg4j#XRmw9?FJ ztluLRhJkJ);b1-E-=&L-kn89CyFta>5d1a^BSTHdMr$xer61$0Inn`o$y~2^e)uyI zjOQ%39uU5vmD@-=)&QH;1ijAIR?bD%qk`c5CNIzWbC-{ImU66mb@hteeCDi{?>@qR zKO^!z82t9#i(ThJ3+i~mdj;Z9cBA-2uSyJfGpH_$9fQptuTa?s-UsZ!cB=&2{2JJX zF<|o!fn^G8;%=~sv%n@cISh6WFP{t5$^o6Of=*9B2OiL2oL9QI6>er9FPq(HdlAfn z2OWYyhg#5~3Uu%WGdK&H*>_2U{}Gmd;OTm#)_W*^8NZ50{32Z}f6JhmrKWT_1#Hgk znJ81!$4Q{Zev}tVE!b&pKMzu}*Cc2CjLlktOdp^dZ}OM1Q}x@JTY+&xe7N==rar)n z?~2kEU|t5i@m-PHyi9F?crKuB57zd4Xqx#IPCr{B$IS5Latv*f^?_wqz)@p=7y<9U z2_E%aEa%eIS(|^n4jJ56xVgP*eldrpfEg<YJlE6gIQ{g&w8V3vX{z91dJ5jd7cYiV zOJ9O5ZDFqpb{I6{;r-Fzp_qKmQRC@zP0<}ZepKj03HqQRAE~{IxgDq?Wf^2};iUgE zFYF{qN*5u36J<RAF-nYalQgM+pRom}!muJO>vf^b>{)LwXgw>>3kbBMTLlQqed3vy z)0TlF1s4wZJ0t9QiM%(j)+EHMM36a;Kc<omQ(gjr7WV$1$(afo;2zO&rH5lWojCn8 z5f6EZ-WNm7wT}oQox#pTfk1l%*($#gGmb2ZAV1D;?DQe_H3Qo%?42ur$s$*jlHmOX zRG>paBMsg^rHv3mW<BT7?1-9p37A>fSA4lrrJw;eNDh=v3QYG5N_PrOH`?rV#b>`v z@rA(saxrJ^IsIOkyow+%fXLh~&nrHnGQ}w?CoT(#X@bp&1lw!AIl9#pWMp7VwRt@8 z^kgQ1*U6I}mmfeQF29U_R4PCtE}fb@^`H@#XTrz7LnAJewzl5PkubA2_DD^E{KiJq zq#(bOO`d*`A1^eK6!a6^+WHvs>k^1lJZ}h|>Vl%)7a%Djnw13&@sv_Kdj=Zf>F1G} z3?<4RQ9B4Fdfepc1tn4p8-EHV@(v$=1<5S#Rvsv!32)puJCU$|XzMd5(LuF1W3l|k z`q8sh!}(Gtq^i4?cCDAf`?+~v<b}gt<O#qXEoC?ddTQ|;HPd)3zmnk}pZ~27L+`tT zS)8cLf6gbnZ=KuwRBh_-&f<1bzRI4({lM%bZSC>CsL(Smx@LHh1BUQ2BLyL0iJdU` zQSM{=^A29+dUy4I(714Ks;_TBk1tXEYA2xs{PS$y%-C`{Ywq$=MZl8Q<Z?R8xzhoc zQH5Jwq7PPNtQ`M_G%mSDr}R*Ja;!>*Qb_K44SauD={vOBcjkl28)K#ls@JPqL5(MK z*kE`Q4o9r-o9gTsisY)~<D)*eDzWwdz|}YLEq0O66|OCR$P@)i2wh>l>`OdOgW*KV z(klwlhBKiRLFFUrw=(@ne_jf_0sR5DstR;`Hx&iq#_mND)tKZxP3Dd64EEp-4Q}>$ z2WSo~kB<~^+{X~m0QgCC!k}J71ZE3WxEk(r=EsnbBEGskjAT+Bz7P5-5Blv(HF6J0 z1RCwUnIQy!6yPgv(KxWjeYDuCE~Xf`_hzp$<QsG}dwhMJdy#zZjIy-csh?77dMjO3 zRjDN7nv>l&!kP3Lv*t_h^PNlTmBlDZ$K9O=bza~A^swgOZ7ypQ=fTDS+U@J^Dzm4e zRLZK3Vi=xE*yi|4AA9|YT}1Ls&<E*?bP?Q+SldN^qDqx76=-*1<RZ%2WBrIlPfXv& zYkWjjk^9I1Txa@`$P{w;1Tl$q=xwYA(Va*>6ZGMr>QHR`U8FqIv|>m>6{{#*H)2Tj zHlP9pE{s~l*LQrL#0nzWJLrRqYJV&(8rj7pU#il>FfdBkmU!$WS2a0+47ln{r-+Oo z=M9N}S>LnoS+~Y&-$t4+P1i36t1=aYwMGrMc(H~6q6?!E!Pf>K0uo#pj}UxsC#XQT z3nLrB*Z$R)*!6^bT1WW)%s4Xd30Z=Vc-S{>D7x;&Xe%XN<@?2~GtKd%3Br;NL-9Nv znH7aIbDb0l7r8k)nTxD^8>9EJ_EC@LDDb*}qq-MOd53$Ta=e8?6#kH%94p+5t!_Qc zi0^Gt8|Lm*eet3^a&cnS5LGvH>FtV2e!kYHUYZ|jiwr8hrp%H`(MT+l8ls3`&>l|o zQx!{>YL^~Z2T0ilPzvhcMOx#%v>3#)RmBpvb}7|5APGgEX4aHV@fE!iQ%>^3+}JyR zbfHZdRlD;h3tf`?m$wLTFuehDQifhIj3vX6A|VWdx3cyzxJmN{4csg_h9=3~V4VX^ z`18p7hNw0EYR))sZ{QLj416U-=?X-OZ-pjfGc-sn!m3^ocby!=1u!K^5Daw%qQtqh zc2`5|eQE+|LPaP|9Lo)e5v26zxBmHmO{qJv!i-Lmp#g#ucYv{j6lEYEs=Xb1ltCcD zm?r=Oyg=j{A7eidju+HNY^&RZ)n*(AcHt-WyF%0Xw0N<Se|khA&(J2d8qD+62{O`2 z0lM@2T3fKHz!a%f4_efuZa4I@0^zT#X)l*=e_<+rzRZV%vzPm@<Had5j7h40`gYtb zjo+PrAFtoQRkxG51BfCZ!h(KcZU$Bf^WpV^OmV=H@MEGqdse<XDL7({myto350TSb z=V3x!@AYkHu=~BXg&|8S(rwtz+R3;}lGoJ{U~w`2uWL>$;85`s?!j+9f%oocM_N9K z-}yiH(U)clSww4}zWwj@`H};FI7}X59(wj9-sTBF+<h$e>{^N)#!|H-Vk&P<Wgw#@ ze8^1M2o<2Ro%S{Bh<5N9no|E`{#YZHJ+>z$QmuQ;9xH{$cBDjVbYHn2EwEgz%IBSV z@V@I$M(#JdJ)AX;ALF|<W$Z3IYNQx8^|f?L++BFesK!uId#H}4)b@CP>9*0(!YVZB zl@8L#ZF9?+zG<#S_E=dowlSsGJF`w=7h+D&{nGH`rD)JIs@63|kuCyyiZ5mIrMfoQ zV-?ZZS1Bq!nJmx~^Gp@}(TMwmq;`#A-6^&1cW+)fFF#U6&l;74O~o=EiZ5pxr@Hz% zjy+8OoN1iqde(6)PG`!0I7PjC=*=sG&kt15b4H6{Q}og)S9jqVqeZClz3#%3M!frX zWl&3KX7fheNHF<it!u5K?Doux_nDJ*uDs3~hmI|b+J4TIO>;E`Q#Js`*6Q8v`*#U6 zouQSMDT;~OD7at;Qtov6{Ngy)TsLOirP=)+80At;{yyqLH<nj_>)z4Ckmhd^G4H<H z>Eq}qjR@<@%}h$2%6?-;Tkr?fv?%~mD{?a}Q>XfYK<W8!Ddrd6K8}l~r8;%bn0M!O zmL92opJ+VKbwMg)^QWgZZT|6vUt%u^ZvT{7m2)9T^Vv^v+>`gXCacO~J;!w}58ZG+ zh)JCtYk9j5b6IGAti?9=35t4E9^1=6t7H#U%Tko&ax?EHW_!6%uUw(Dm<%2$<I}NT z$&mftb!olC^FkE!^Ujnz$2w2y4Z%;SbJH*Q+kJbzXHC%hfO1f~@<eRDX~h8{w>pA` zn~iGT(WCUz;^wj5iC2u8v&P-z`EegckpDOBxLuvxLzF0gOo5#f{ZPeHqV<fO)ndl@ z@GI0>_v*|Ix?aW7soJ`zA&Maeea?w~v0};Td(9atv|Pclilv*i<wFGpclzSIQ5mmM z0ySkOR7!~2NtR9XK(CvA^V_dt_1(c!?is>g1xXnOMPY0CPedAKE>nuhdx*kZb}Os7 z$Zl1Vok8$_@ZtL4_oHh$9ky3^$BQF8`(LWf&i&PA{r24KTN^-}e0ZHTduDd<&k1M5 zJ*{gFUFP^+81JrxyI6NN^`nuX>Z9akccH*Lc_W6E{VS8Iz4OEXiOI7btH6Wc=k+a_ zI;Y$T`8qAV+7WA+)lSQ2-=)#Z11&3saYE&!oP{EPPN{0wA_Z19w!q7Ma>xo(eaNVR zC&0YEGi|5Mq_(lmWG7Ew)$~KE@^O}7V**i!BWS0}`}zg93(wQ0u5*8Q7(Z>Prrr>` zrU5L)3O2VDWqb_6UAyrhJ}=(O>veB+li#Tu=!RFkpUu_qbP@R^wD6ED71`w>k|tL8 z(Qd?lvVMER*xD~Qt4lg~%Db+tK5;|c*X$Vh#5E}0uU$mBG-07OSZlw!z1-Z~I%O!Q zQ$^?aXjiVul~d#J2CLyq%e&<~$~noNdoR=I!`0+aa`wX4Ma#uKeAX^=<sS7VRIvfr zgly_0a`^;t--J{^p$JtZc8Jsa<lPp5dchfg;THLx2!Z<Oxp4QZ<J@+A8p}Q@f$t_> zXs<Gz)z^DW-V$Pi%U1ieMdb@~R$mm(ijPtyzF&W|uKsFW^kXppXPwp649@kEqV<8n z^~>$+vlGEf44s<Q4C?nTxi#mpU_XYA=C=&`_padb;KZ(}p1?cu#<&~HIo{6gI;?kp zbI+^0W`jo;*NWFCCvh1OXu-Zjox$KZoxzHkk@M#&$`h<npL!`ZWy?d9r)`(GE0zkZ zQ!ZPr=5`)@wtx$5c*p{JRdVyu^FhmrH_#w#R$ETA4mf6;0`#We?(_3P#kZmohA0jT z6vv7sms*wA1qCsEnbl>6W6D##;_Uf<yisFiY3%vHau|Bk4YXy&l5VXEwSbB!qJQe0 zyW4lIvMgz=j7p{4U7(0#=n*&2CKXG8wdG0H0dls3g9Tb5MfCCB^yt1NUzABJYPG5i zQE5?MR&^xMWBs;u2m&8m*it4&MLvv*k3o1I4(ghWF6;aNUP*W*bv*hKxmX2%0`F^G zpDBtV9(yTN$tKRH|LU<^>X)N(+-0SF5)NeHGj0WOFg<}*JR-uD2U>}aB%lZ0VE^=H zpTFE`|2&^=3xlftuArd)qK#)|O|Jz;EFj9-a9w>OtHe#F!or4VEu&M{Zo;DD1XXQ> zjRjXMR}U?_nV=sPdODzQP^TAvs(Z$L^IB&t;`<83i>$S5E3mbgum3O*xU5X8{T9F0 zW=wU)3G)}PuHIgk^OBki4c^|c)0QTF<7V2~;fSDttU$r(;gGf2eni8IhIRP*{fku# zQ**X7_~=Akf~vN<s)np^gh&Pk<AYTa{+MxT9mv7WEoGq{+pA^fMCa~*^wk!5DIXeh zJNL@U{D0)ryQZw&*wC^jR~RPl=heDAQT?U;Gi-iZ6RRQ@WBVyBFm1BuC2){d=l{9! zs!-h<&7~!ACtjjpF43>b57H*{i2POMY%P}<SET}J&UwTGeU#?=R~SaV9}f1lL7I>6 z2id;&v9>(KtX$$;)gFw08H^~EM@+B!gkiV|X_<<BZR@EfqpmP+_?B7}w#3>B5L<JJ zw5n_A+<ZjCJYs#79d+<ihr%T*F}PPlCE5B4;}_o#I?hypu7Iu75WcrM5KH4BM&%Oq zsxpRvIt6U8hVZYe<XD;jkvo@or3x_wgez1xXb9h5rNwG*C$8pyTGoBbn-#=;Gw;o) zR%GQ2$}+u}X(a<orBy|_b01i}u|d&DZ#NMWs{0Vo=b}_mzVLxXiB0w$aj`{@=d31* z^qe5BlqJr$C(5UwqUPJp2gAO|6~OByEDgDtvLByJ*0*VqZt+i8mm)k`ef^m&ZiMx( z;$(h?PrO&4x2<`9a|Kl#qlDjL7(472KlhW|Ho2c4?VKaqp3*7b^1xd4x{H@bZK_}3 zteC7<LfH7T(sA#3m&C!tYPLq2^`GNZs-l|~^eGF^{7c*ELZV$+Vs<wTqlWmK7A{j3 z%KUxap%J28nPS5?41XPzQ%gUkS^qUot_mGKK2<udIS)L49F$Z`Kdnh)#LZRlG%Yw% z79RRD-oeAiqf5up^FZRDhMKsECT$_E%*&dy)xov3hDO$`aPl~w>{mM}hV!=G+S*n+ zj+;O9v4y);!nKu~M%J-JhzewhA+W6>LzN>p4$;58(d3$F;c5+cZIz-OJy3De<M=(l zz-h5&uN2OIR+ek4Gwta93RjPzB)|Mzbn@<ky$4UO8Dsrd=)*Fn?|cW+zrbB%4;}s@ zv22&ot0Z}nnq1S6ttxPeY?id!7;SV%uF&<!&hNt=j@8d}7rgl45sD?3<gS-hmVZx* zw^O{hu(mh<M)ScE9vPZ^IK0B~b$js98(D2O)*gL-dxpw5{n1T-sQ-kzey4$a>35NT zch%UlZ=P$85>`__QYc%A;+>;VCGOXVTaEtM`VH_;s55Ja=ddRt{>J|QL!C<+D;&O4 ziAn)QOeLzOx@~1}2l}d|rFyVLZmP9Kr(L}C<io_pz9(%}P7~jIvb``?>hdQOz1!PX zWK1W2hF*BBd6}tK<fk_5Ht&>`nUqJeA>IurkPYbwvZ1aGNO1%5*?{0|$YcZBwE^AO zfcCJV_zkFyU2*UM_C6uvq$gF()r&kg8=?=6+>;x*w|-@!Hu${~Qs~|eiTs2g<^GAp z#ZMhnxO}u5O?K!~(E$$mOVwp%3E3*Nwt|9xy+vhysFfQ9vDP!YipqRZb>pq*$==>O zePGW@|9z<!ZNFW#_Rs|wIjV00Xr6J$H$<+%m}dZayiSxYCu=Vwi`1YW%)vU!FeD-L zgEz6X83Cku{e~?p6^1-%57QaQ!2kK(jn*S-s!@dzg`u_x_WUKijhRbZsEBF=1528J z(T|T5=hWJX-OBI)u8<4}cS*bO72&i!SP_6u@DHKw!0u<9B_%*FL<voY$r7^k=C|XN z7^WmceZ*#*8e=EWj2AROzzU#g_*<Fwz_6}-nD#d8K1L{s7e8sx6|TJ*E5{H821q4% zXMMz`Ivqw3X;puI3+_0BMheiI=c(g=X3UWk@&4iI{5VmD7vMxXLx_)%Gepcu96o^v zVhP_UjI4(-PXjX03b1>bl7I-IC_G5)Z{ENt$~+1f670hN+%41D#@h6k^$GJx{szOG zI4On>ex0AC3N+}ib27U~{<=x{^{qHf2AULnONCUSzrGn-vH))v8N|ap2?*kUGopgF zFpYr<{BUFtH`4}K#t%m!8RTPjaoXQU4m=7Hz-{qSXI_+o<6;U=AZWhoLF0kIBEIWS z3l%D}*Dc84$L(dfl4Sn$Tnt+0bxn-%nk1-aw+*)$%pi2{jyBT#iNL({h$@!7B4JuM zG!3qr*T)&yTD{9hyjsFX%!eC)SR&E!gZdSr7(Obj`k;>;0Ig#Ptww+~25d<vU3b2^ z!wgB1hym}Ph9GWdSdgss-8XBASBn5vK&iiDMHv@?4njM*RU-!=N_GLwnmceGKJCRR z1@UBCbf!#Nsm~|AN?fd8`C|8(_f(nHha2<)i_XRSIS8ZdRKc*r87c%XnmkDPf6uAU zBOY@#-D+FWxbONi2v)!{%ic?xby^!rYKuxwqWACe7foC&zaJg7DRI%Ssq`A<R@=?h zH?N`$CTa~F$Kr@ywt|muYy<NM8P#=zftn}Tfu|hDN<bIy4RJ=HAdR+DdeTN>R|Obf z@#dA7;l%MDf#OCuC@|mzJ5Wnve^-W>^dt)0(gcm17cxqD5*L*vb|Lr^7t1zwr%Ym( zz-H;mIwCKZadzr*v{7JXOWRHEr1#tJiWd%5EJYEYU$>ex+7gx{$lpXUzhLMrEcCc7 z%Dy8vCqa?#j?sizm!)yEB3~S+&0kRV^~)rXSIlS&H1i9B&cZwE)Y~)5e{wSmJC%+@ zKS)FzC`?@!Ha{A0&=X!_m7eGw9MhiYJ@0d!dBG`tn%h!#<@tH_j89`Nu6aeN>x+IX z{nyr4>0dH9q?PNfB7-fqX%5O`toSwi&LnfC%(OOr>eAk1oUGDpC~2HBN=(S?_-wk6 z?28H?qqD|(xgev&ub^@Ce^mFiZ^cht6$4$~m+sX*V7uB=z;_mmYn^O&8vpvaC*Z_+ z*=~OJ52e^&cB(d4yh|Dsl1Ok1b%5TA`ZeL3igUv8l+mEy{Y5}7dDLO?dSrZKJ>QQE zC;ODW=RbIkrcL|T#TfAaGIs3-uHHyqpyjpO&xNPfRtS5wHS92vf2%mr2xEcMAphrf zKoRq-z2{Shu3=C*5xlQM4q(EIQk+Es?RQo*sdkj`X=_9ej?7Hn<PlCala}#xbzTgt zD!qWaoqy>Y_U0M^bIupFmVBNtbh7^P%Y{~)8h_{QtxmDAUncb9D)_?DH^RnRB3HcB zTL&XwsvOaacG%*qe<{%)*{GtVM{tNKN!y$L^4`;zi>+emv5VKs<6otqlo;7h-(QcH znX$f=fZ4j_;CQ1rzJ2}IH%^7;a5tZw(|T>woArG)V)|V&qro$=p)zx>XdSLRl@WF* zc!Xiw-^*G&u-5EEeClemBFIfS8#~j+N;PTmo$#enO52iye;v^GlnNx5jgl=AG$oDm z-uj;EcJqpQ>Z)9YdtaJ7B<Fg`7?T2eRGb1mtffGYf?qRxK0bup;vu(_klS(4t<V_s zKtbRf1VWK>55Y)($X;^*j7&=a!2^)}0cekhGFHGcL`6mvUA8ljr!E_d?~vmY8F^2V zkIs855&l-7e~mBMH{rDM&0uF?I7oa6$$@s4jcJ=QYP1NN`h9x-A<#Qrt7UyefL>Ao z-Wt3*VK!4mtM^oMRcre2SBv;q0&=7@!Y<$}$pIg%CpLhXD^wfGmekp8p1>-7o;<5F ziI{Egx~ap;xnvylbFSp-;oP?kX1Q;*JpFuc6^74kf1-+4i}_dsDx?B}wO$4ED2k(6 z-AC4k&&MASWzRQ;UKK1wN_#(FpYQf15!ddozQ$K0cm6BiuWrf%4YgLjAM;jU#C_+T zeiL*dTf%bg7UuT!8(R63p~5QdT!~e(>x5s2uc_ET{8{;BRw3QGzC9<d^AM;`wQGv# zXq1#sf2DP>JT(V&7Wd0-JDGa%f8^stk9T*_Tq!cuT(R{JEZP=9POlxUYmE&;Ahm8J z1XPGTR+#P-8LSxs;;%dy@0d-)_*e`1o*|uGixQ!VBW-q-CaljPgJDED9?IKbZ=&YQ zZ0|6?FLqR*>&k-zE>i2SW7l>f({_Sxvq;NGf78~j(7<dUS0NY5ksx9th7<!qcYh-4 zWi}3sL}Pr=LS+z06tNLRqQMGcMQjjAH00$=6nUBL3wnV}v_-)!5uX-#SA@D7DGPeJ z`w>%`vrmHHJQaAT;Fb=82_me0F>Vm(L$q$r&cu%16{)uT>SW-*9dkTr>Opmb%XOfI ze|1SjI6|wtVK1{xhubi|6dJ4zi<@&b1p3y5M61}_+u|_Lb`V0;rW+cpEvHuf6dJ6J z_V78Yso$`aGV;rN$gZKL@eHz?d-xbZ_V$tNGmyMH0^IXs58ftg)3>oG=1pWdNOpx0 z(G>O|Zo?F)A|0N%UUo&Iq6O@Phtw`*e?kebc$EGEB?=#LNrw`xHkqbCGN8#c!7pE* z)2~?KM3mV!vdxhHs?8corZ~m1I4}HIe~+<Ze^2P%+1y`}E$riqyzm^N*_*hf8$)3p zf2jY2nlch^`uoVgyLw&};0fIVAJOGhJGYtNEMyB+>2+wK<)|*_P8s(0Z=ioze<kT1 z=J%n({$AbxQdL9Yb*Nmt+PV8<x=ANRvwyL;5UM4|Y_EnCn`CEBiv!t>;we95!Vj7D zLni)^kAKMfKV<rblzUskA+yuWUZ8zL3Qk&u!g-{Nqdsj&=`L9QFXuwF4*Za68<J(5 z4!?LG&rx&ehy91NdGsUw4=ML%e<SVWkF<+l@*D4Lh4)w8w6H(9)6%}CCWL5saHPM7 zQ?zC+3f^CL?CPz3dyl@Pfn_8{NUsInpB~4Zyrb`Ip9iXY40c_<q8xSE!KS-_E?QJD z(d!rA*XxI>d5x;0QW)PnS1ZeG#>(n3==d1eY)=nhu%CJFw)TN10?~h{fB%H)Ab$d} zlN@z|v2O?Nm;WgB0=ZRr-*3NCza~f*bAHG3pHN%xnK7OR9sIo(`<JUisduysZ$A-u z42l>~<Tl#E&F!<ENf$3~E%y~LJLH(l5#a7P&Akh>aCXnRLXu4viv><(>OrH#?Hz@; zf!02*ZaE0;V{^jdK10ble>Lf1)f1B99A@_J+cVQZ-e>QA$0$(E%sv?LxN>}B==ipC zp>+ozWo8*?H?Hl2NNQ-E^09>h0tae8#0`ssw`baPTkCT!mA`o<OW+6yfOsg!YSx=q z1|hInu_h%6GzfOxj~0l6&D#Bli8TuJ1M|r~@;j3PUTnaaW^hO2e<3EyxzxE4YD4CP z#)HqtL4*=^o%T#A-cZ1DF1RzhybadLIHzFTysy8^ZF<s3z%cX6zWyq=>3O606PaJ) z=53V=#*JhoF5GPO&r9Y~>vmuh$|>`1U1zKk*88DxjhS(H>Qppv+ERsnA8gV+U%N8F zCQUMgUyO#$millmfAywrarEAYNu3*OnOaYhE^eP*>wwLM?uGY9cowg;rmlWTH4RNm z0ZRs01dFJj$}8+@>jtTmdeBE5ECXP%0?T8tY#A%lscNO_fDLmNym;O&qIr|5Q+|wl z$$`xV5I8giPlm3L7V(0a&=73!3Ys19E-IZ{iyN!LI08H-e{nyRE_Mg)>ircze)>6A z&`2AN`(izsrXl!hOdCuyNO)7KBWJNXmaHUi9*UF9ZR~8$Ts{NR!{yfAPnH#*XzEpc z)ZT}jzuwF+<6IiZ=BRPc<ygIB27Zg&Ms0*m*pi(M=Ta<apP!a4?m8KqJ?QQW@3(vc zo4qcYs(yWIf32vwy>pXF3OytVv>EkZdw%%yRkKj7P34cT^VR-jZZB}rhO>OPx&7o= zhcW_71GCIWCSdrN95B@!nuDO-OuAUJrk&ZjZU;8%x{P%3gbCptY_<t(rC2IO&Xd#6 z1iA%;+KlvOw!-^Qg7zG+pcSQy2OZ7qrND9*+%5pOf32O&>?_`7H)8IahKhlQp`a_f z@$B2|#<+H{bb$7B(@^RWB8R3rSPm08YAzr-{jP$g6})@!gGuO6QZR`?TXthTLR)>$ zs3Pz)5PkvPFV+0)3P|Y!z=L7J*_{sF=zcM`&xkWphn9)^8DVZOIO^>$%_wKjz?IW? z=c|J=e;$&ob>pK7qtZFF__0SApGYZsCXwk|>vl0t0AkQ@f8tn8ARMoXr2CoxoZ#U~ zJuXwsn+w0VuCAlWOkKXPY&QX$&4-NV;r(Y8!E*xc-1m=GQNyT_&*P}^O^5e${?a2z z;Ha4d55g|+zKlsV15;aAhEn%+!Dcxu>?^uTe>iGFz-9nJIeSjOL8$7Bp;XE06072B z*zCJNvrwB`mnO~FgTB%?+1#QDV`TtYLPdzUfQ^6_=Z~MhRKwG^Yw=*EfM}AY{`{so zEruV7TTedQ!XEsG>Ss|G(nM(E7j8D!WQgP_B)?1<!cl?G3d!$82602cZH44lDFby> zf8dP*B^vA?3<22+$!}n@=@=g$Av&mtV|<K+=#8-1IgHPBAvCz_+k;18L^`;m9kHJx z$mRKsXZ?vQS4#P0ifgLLVG7BhuN=n5UI=a#N*xH6;w2VXg{FN%az~IY@*7XDvku3U zJ97Hvim)VO%E7OFM?_2%IS#taBBO4Ze`?7TCkz231>siM>{(2yT1?9e4oz|_KQFNZ zOh=A=c#C{3FRyXWVt%9eEs^-x?qxwM@Myh^ZTY}(kA%(6hdG?4K%=+0TR)acnAyw2 z;!e35W;fajiE2KBMr-SN7=cWSbE%@~xdY@l$=%8iIiw4U4rXnv*n2(6?-p!Uf4%8B z8gg*vZdGABz(sexk2@FI(`3p{@NT4EgU%l2?T`o6WFinJ+YJrpmQ^bUsTZf;Nsky4 z$nnESHd{Uz5n?BP+jLX~3QP!dSY!uokL!5PZ(*<Me+%e`?bB$+Rb(vKS%=!BHWl)> z+gl2NCF)vno;3JxlzVPe7p``Wf0N%Vbc^}OAL>7$3XaE{!bGz<xN{prr9JTfK`O|| z0R5Ht@ZSJY&(uhKGNRe~SD*cU%s-%N9C;mz`8PSgqB7M;J2<`Y(yv4L<?n4V2mj^c zj(nD%aRYCMS2!)~;WEVry!nmN7WPrQFNT`uH}cCBbL3qN6*IHvw0O>;e_7lJ%fHI$ zryB~t_!>5=u@S-Ah**WQBlf`9TZ<bQ?gzG!ZD}K$P0dD{0uGttJMezx4WEFG_(D^5 zRf8pLpK?QXRo9o<t`}SJ;Kg3rJXCC&BkoFKh=aeN$Lt!y!d|}f_70@ut8ESKw|7K3 zzuKlz*vRkyk>);5nc7z2e`j$yRBWoZGZvLk9HI;?P+Thf^lHlstu=LQt-A`+1a;<^ z7<%drbc}V40(3uBW|D4eD?Jg21q>wqQ2z<FQivRdWDs`XTuvZ_|C3a}jB)hvUm*== zP9mP{{5$Udpek{m+=@*6`_1+*R2^<eGyC`5(e?qwQBdS^Xg&u!e;8I^|E(6h7S0Mj z(I<c%#ufJCyhgcgnY{0z1%_kTJW3GBreFc*HYiv^_*7~s54`{I1{?ao<~tThHUrDz z>>hyVndSz0t2x1@XEi@S?(UlqShK<16gOxTH0~K%9e7)&H^jjFy4Vb?#*)pzYWy9? z_UUr^W!N*(6!4~Bf4@;@(EaExey~%<ey~@}y|sG<%Bu)H(PJ-3tQiNJo!uZ{sX&+> zh<Srp;d73f&@--k*aU2?oFY9)dc23T+e&Tgq=m5vG&uRf%1@~_$~?{*YR3}&zlY_z zIyh?_fYk4~uDhHylr%EhG=pq=N^=x*fDS8_v<u4UPexHEe=VzDOuaPY^sC`mb#?|B z*r4FW&>pC(BV8<&RC7K-L*=5B|8~!DaXHM8!&i9!>-DRqu)6+cxAo<K=NB{{o>b?y zA!07Iev$8G+T|O&wKdSnFsJC#H6>|#{5;GhGk&E#Ag8f#ski5b$kg1HsWCYW{nr?6 zy6;{bMdTQ>f3&X^RZ~s35qEd5wysekngpPc8B58aLl5YX2Rc}`plYgG>-G~(@^~k- z>Bis0gJq=y`*iKt6AyB<=>rj78A}?a{Fsn>app2;h~`{!Ei!2R$bQr4#w%9y@I^*n zT}|YRO#)<>=+K)-i^A$(P_oiM{<e1%9W3^_^~`x3e?^hksrMV~@`~JR&N-%8#?l-8 zDw7$z{F&!d?cLUgX4;F(Wbp3Un1Q-gFR2<?&50u2q^H$oU?01nPN}CrE2j}d=gYy+ zpOeezcRrNSA9$eiFzR{d1B@6^4efb}l*%$s{ZQP884oYoCLFFTzFLj>l}Ih>+8*y^ z_0@EYe==<~T2{A5zR}k_cgSwP%*4ZSD$+SBZ&~?UB5DAu);aKL>tIi@O*7XkFXt4I z>y}M^%7Z_(r=o3(ZFmOFuOpB6mamNR*jeFVXP%6EC6~zFj%)wfeKBc@!ew1hQs7aZ zBjzgeAcLBNIY7K0@VLR1_U(CMWpEY2d%_OWf8T+-PG6e03|w_q7hG?58o`vUpWR#O zFxNoXet8YBAwIvK$ci2$PVHHnl44w0|G2BV>t*@fnYN6Uifa#I1$<G8V=op?V$jQ# z*8_>xgTxoTuUR5oQLi#Su1$NNL2h1o7sK&|XD{c+aYfjhR;S(flAOT;$!ojs#djR~ ze;JWrs;jL*3<)#?ont`f`=GPLG1!|Z$kB24J(I3OKW98N)wR73Ix2&Xr$NV$pyMgf zaTl0X6?E+4L6lXD7_43ctS@kWJUF^Pm{%@c@EMqeyZhv!ZPbghKNm5gG>%Q!>u;{I z*(d$;aF|G<BEcj?Tb!u|Opw~~N%{z0f82HknPjM!vH35vX`t6Mz)4y9863D{j8h~j z{o(DnL#JB>^@blw|LiYQ91`eI7BcG|IsGJMpN{Xkx!lkGhah8aNG*CFxL>aZd^s2k ze>IT?A0Md=V{Qi|2=O7>qF5gG+aLct)6FDI`zUL!UHa$XpI~p+2AlU)qUty~e?Q*U z->hD{&C2Pc$&+JW2!|xV>Lo1au4=$9BDHV%9~I+0B7rzxXGKTQ*A3_N29f3YDLqRO z*;fO3-AbJGGdAIlGdxM{gd{!r2yN&+8$?2UnD!RtFMtGIAj*~lr}5ii9qj4Odzme6 zult98H9yo?p8jygB4}^t#|}Rcf7RT#iH6RfxoXNp%3#Fqn-{2Uv6rIAuC@0uQ%Lkn z7fzWL*`aK-P+Hp^Pj6K>L1b3()yp$TdNbf?5rZ1aHV{gCb;natHJ6vT%j)P_&$`aB zmt?h2__*B=u&SVu4tJPA>f9oG<_oRQB6T9kUKTOIUc`)-E_-EKbgIc+e^*K$z{e3o zZz9Rh^M!)vkqx)VM?qy0*$_!4gUSrD1I%{^KJGr0tr8RG`1%`4)lD2}SbX(+qDa{` zVl!Cj``Am7<X@+s$*x7Nc%~04uyQoJGpeai=40%>8IaS<2PT4l8ubo7^n{%HMdx;L z8{&?OQpI<H{ehnZPIAY6e@a}}yJbSnmK5$NDhP}%n7!HeS-ITJ`rKft0qe>KLjB(5 zvr(Du_k}e-Xg#qr86t`frzUl_xF>t|U{gHK_+Ddf*6+dUd!*j?yOryERP8Lt6ML{T zBWkkrZRRrtVGbuLJ92xixmt5T0(!}PhiKMQF@l?xw%XaVpkp;Ce@{aJmpxJ+feF6$ zkErc~0z1OTzk$TEK$SOc9AvCzG$pl%AhmU?JLPfY3=}XvaxobUDBBk2&<){-)Z$J- z_T~{a4JZL$*myRSpml5OeW>Olgs34@bDgb+XgX9=b<^_$^o!<$O3$WtK2ust1J>GY ztThY|$0DlMVNP_ae`x!0;>%<66X%ob)==$~3a4|u#AAnM1{Dv@+~514?Cl{`!^MLS z`EEOHxjGPXQRU}9)PF*CZ?@C;edOO=eR_K$iR1Zo-6JADwR63CvH5vmz@3Y<r}}^1 z{tfhxtHkrKzO=yqUfusv^`_&Ui$U7^9~y%~ZvVrM={Ab|e~EXIRyJ0v1KIiLi@iaT z(kPLKWf^g8lt?Ff){__ISFBdwZb19l5F(xpwQfLUHe|auv5c>s5`V%8-TY#y+0<&V zIG=UStXJg-N=Jwd32Z<tHiWZdL&G9$Xn6yoZa|hB&_=@b+w8g;44u#k(kK&;&8;dR zcXbE3D+}?kf6NZ#uDu<%ci6fLOMDk_&CuWU`%})3UfGy(zWX(8IqBe?Bczu<Kl%AZ zN!Y{9X1f!M?-JgrKiLL5O$Z6OW51_8)w{)`AWv0lUO7sITVZnBukV~XN2$~F<P|gY zV(qeeS3u`#>S|Yd(brYXvXP02NteHl-4VXSeIFRqf8xx{YaS_Al(nXrA9_DLNT<_k z%dMQyQRN+|qRO(+#4<4|#T!#Vcu}5U9Y8K9xL`FnP@sh<q>uI5^7Z-oqU58%&ShEB zOV-?6ukE(JB@a}QXMwlPfL8oG*M|>71D{%+dQWOBKRB(7c5|y;%goIE&Dhno?G%0X ztG0@Me>>rK<K_<D%CG+RRa?-6U#2zSr`Cxkpa_Gy?i_V;3=L8^o?E|&kGjbwvM`D! zgq2{we}Fy05eIz5bC%ws@na1EF?>Z7jR)%t*x>ymXna_IKnuToi^hv}2XOf12<^Sh z1Hi67bzsy<C4~5hbWYqJ#!>ckV69zPE`|@-f5phsGl@v&z)7<EGbWK1qy)S`xb|-B ze#Tb70N)j&ErdPJPy@p8t@?;<xJ?Wppqro!_658NU|-<b$%awJw#&OP5t9`pF6JI! zSa+C<B?#!~t?yv^L&J4{GbeXrrjUF{x&Vux(M>YZ)8S!lWsH;J_1JIVX3sF<OVj`B zf8nfDlE2<C4{HxYjI<uMCdHtW)<f1b8N>K>7)z2NLMk$7;9^NLWJtUOLA?g<Iw7!) z&;K9x-UO<NY}*@e!GMB*iiip!Z7b4311h6Nh(HUif{08C22d2xn1neJ2pAD*MMyg! zGJ{bDnPmth1W-^!1cJyENDvtkgn&Spe*%GjRqzhq>+ZMiz3YAdcfYmnTB&pP*?XUT zsw$PL^Gof$5BCx<lj*}z^Ix*^L;6B!A41DvNiuDz=_}s}7q<#C+HMCIx99QnbuYvv zBpBm5@SRQb(Eu8_StTa$<u;~?k_lYkMx|-rtXjXixb|xP0i|^8^%O~h5kG*@e`)F} zP6&u%XN_r}4G?G9D6>PhM83yzkm6sJ=;@9T;}GPH@%1cmyaIPE74C1qEls0=K4wIh z?NlB9anaJ-B8M{=-fDHF?dvX3svQ3cqsLS(lD!!(&237LG{H*H_wrA1(P@-hY$?31 zzr6o0{*T;yj9pG8i!rfu1g(9>f7`R8vkA+uI$1jMIeC%d)0Tn}L?_N}$bemJyPp_3 znxTpyXwj^io4ZXg%;Ktyj56Hxr_!;9uQ?OW@t2{V>W~clV|KIU=pkEeEM8Ba{viIQ zPTr2k<usg58@~A?e4osX%jZ3u`r;j=Eyd3}J&f1)&VWe_W++~0GmF1$f95IJzt0CJ z=b7=cnQ!a%Sv+jFj*L%6s<^z|OLF|>U7mv1i76y2(xr^(<dM#rI+jsNyuMFH0zP5B zCiShQS#q|O+vlCv4(Oo2SeCN+r?2AGbpRBK7MI7(`Frdbw&aP)r?QsbmsIGK%2|d4 zN*`$3+WhflqRU+h-_nEme<A*m#2(B@_j}#m_(PCA#$P!H+SYkG?cF!I@o;Lq`5{|R zEM8Tg{#(5H!M1nA+qB^QI(mm}<7(<W=T3WE@y(!ul&{AQo+Xk#GStOC?!kN)PlfCm zA8&tOQmPXG4Ed?xQU*F{?|RF_YYRLx(8-r$Kix2CQ;I)!$d&{ge-AxAe%61l)ruQ# zwB+%N+SdI#ydg_M<;X$h8;Us@^yJGkH4kAoI5`=Fq|4DY4-sD-0Wvuo>-G*d-)P?! z+Fat{Z9F8m^z8ZNFwZZFl?VOWr0v_bc{*v7e;CT>tju;sYUB1*`#UbUytJ>nM17tZ zI+StpQcpw7WT<AUe{Q@V%Y!qG{z5&7a-Y^()Yn__I`eD+(TR8(r2A3WmG5C$PaSDG z!9pb-P2Ji`#yIT3Q$}2MvOLIv$xaz`r;H~UJ1b+}Lo0Yd0eZQ6=VG_k;@L#u)$Wf) z;}oWwEjq!VeV6mqtvd8=EPZ*SFXo+F=IuRfk(mXDX#^6re_wCBm;oV#YxMV>qRgJ3 z`@XLC9h5`qbs}8i?Z)XoqL%tz(p)S@s^njSy=Z(@n#Y=DucuI=or8PwWS-|He+k7M zo2U7)n4i6Qx|CU$@(dR9i8s%mQnv40PX})fNhu557KmXkbsf6e86q2Uy?}k<1ubbS zeVf3Ddz2xqe?cA6Xeb<xE>r3~d?talT=MvlnRfVcp+N6vszt?ZL|d_|3hM$s2e0Kh zXR6e}nKMm(6YRMwu<cit?&pJgfB*3a_RqAv>`t-Bd@<p4;_KS2X21<)9sc~$&SYMh zen}7t-Pjb4^C`kBbv_+SC%p@tU}K+2P!>l*=Ni4ef7rJvzm4LGN{8C>DsU$+|Jsva z+a2*)bH$nEbYrQMRYI!1TZuH{kMkUNjAiHu{VT$DFQ|H*GSW7g5cDA{hN7L?Xc=LN zTFF<AD;-Q+ymglKy5{{O<Z<;fVsl_t9~0i5cd7H?$)>W|=CZ2d<cWf-Q9j;}=GEBG zY|)pEe>`b5J?wC_PkTrH?j)Wm)_HADu)TBS2WoTRJ&NW{v`u?Qc1cVzaxC?gDZ;5@ z=(uB0hLT~=`O<~6MO(1>0KlzusTfasSAe^^Jkzw4=&@d<{&aOQ>58+~Xu<4Nb_2>t zc!`ii%O#;IaygD4zW%`WMWRa2cvq}okwXPof7`j^ExmM;VfOcld2zyX28#!OT&xJ3 zElv_D|0Wzu6^;SSU5njni{0?WZjHrmoyCgdv&F%)#i-fh6SKvBv(wf1kXX4Sg%IVY zXyXWVn4vJEK$qse+&^`BzW;^0Vw`0~=_DraO<vwuhYJoR>DWOnFP&pCcgB{EMb8vD ze=9i%bK<9s<nwuB9V+WP1AS0(z+;?R8u_Y})$6n)pEus2vH^US%iTl;Q%e_XOX<B# zLO+v@;jz8ZFiN0xd0Bz8^TvE0r(;~Ua~6lfMWEb!naTakH5guuH}42VA8}4;v?E2f zQ`Q${9f2C?Wj^R<UZc$ZTpn2H9DMj3f4nZwXluTeH;Qx%CC_47oNGil8_cCD!v+S1 zc5$t9QZtb(bBXyAwe{t?l_^6fOPfD7#ebpiRVs%kc?d(dmS4;O?uN>bkMFC{97=tU z6Fht};anP;xnS`{he0}m*dW~KFZI_DT!J>=h#{T!Eky=AjbrwIE^0|;z)bp5e<DIl z+ZA&pWpO0tJ9GnGe5&XE*nn!{bLKnFl9BlQ!Bi_&SOBV`y0XWLBT)_42`;tvEtpko zYHMFUGwMp&Q;D&<#!C1~)$0#Hy&CPf*_pS#z**kqpA;zd5y(>GzWF<T>l?a=?V7vy zJZvoNb#>)<@Y#?#zozR;<7&Zef0sP#(ha3$^Uwq}|Jv(IC$j|isVlxX#I(d94$<BU zDb>Us?v#5waqT%-8s!XFQv$5nStz!b^>&|wU&rZpb3ZdXaQZlzw-Z+#!k+q?{8s+` zFG*)Mt39f_t?F~(*uO>&9fDUyUaB{s1u@eW=4S)z=VWmq6BF^(8(O~Jf0}c}@Snmo zKUs|<SlsQ1gchZq9v1g30-s<|yy8vfEOE2HWciIuVEC0VqqER}y~3twm#<{C=j8ln z)zsFgQ2w=mk95AG0ltBxk`v>wSJ)lxG7E;;raVJbw2Fs<v9&pT3j;iZq>>+lk6Zp^ zo((gygU;*~vZGa|$R&rIf5?2e0ltf*k{ct4#rTmembk<(Sz#j%2KbMp@q!qMhoPh9 zq=i;OyG_N#Uak*<N;%|2^N&(8>bID)vj{(4?L*F7;*NjuVtr`B@JnDUduW~`wIO<V zu{F89RpOZv%ZmtO+0ehn-k4izZ!SZ|ExnrCggJ~MO=xF$X7U@5e@lCLRZSt|;S-*p z`_6TZjdz83gbhQbFVtJalaUSh`lYVPv%}m|f{*Z&7-*_z%*kigl>^yblShZS=LB8w zl)F$@j~K0Iq{@NYU6c01Tqgkou0n#kdd7@DldBvk>YB6~KJ$!Ug*&_hUe%;*bfS?y zaIdTAM?b#0=5$P?e|vp!JQ;U68+UjMe5+@S@iSHSy*Dwc@$S(dE=*k87x+_?vhess zP`!AOJFpwBPJ__7`k0}3_am3nalMLgc8l`R2O8T4J2wBlUoH|~4<Ek`g?Yr7JfqMD z#=9nchR;y=k8r&TaN`E$(O5P=2JT*;8SftcL5b~SF;>_Ve`7uMHjo;1)zj{Wp4;Oz zVcnyN*`_{9b&k+gNT6k|m?IZH8|AbsV%tOUk=><1NsB?-IJ1EkZV!A%f1Qi^>O6nt z?0v_Ye5V&VLAv;Jgb4A{Pe(KjPf5#uyzw9MUm%6bVRv8rq2%A4RPsry@8pCjm;6m2 z{NeKv3wy&;e^GVs{-DD@NBTebRUCS@|9AZV4bmXSkkLIecm!QXRB^VJ{fK|3*8GUG zHtZ}#MG=?|C0<ZHmo#)$TD<4U;d6)IL>o()OHX@GdKn0Q+D1=|jIyX`<-Fu3A3m2f zV(z+@OqurfxurzdewY2O_VoycZ@&+(Bd196Cl`dbe<}r)8#YB(tv4^=^nbQ95a@5C zKZ=a9?e((Rht~s?yvcJnS~Y^ouazrl%9?8fN*4{BHs5u5S9^5G{6u(b6wtpR`VoYz zIORRL&%jCLZc0n-Ycm#C=*B;%qIJ@rEO(=|7*Ki{ZM?y}Ww8JAP7L2?pVM!VQIfP) zwU=I@e=1rrII?wkYa5`{9DQ}4@8C#^=9>C5ir&h0>kVZC*3_q`92*^qFR>@@Uej&b zV0+%oqjPY-X~ENgyM>oc?iOD8aL9a{)5cx7Noy6le8#cfIlE1G{*}(7_knge%Zai( zt4QT@$Cp>7>oIv2<@&98s{PD#OkQw#Uu~~bf2TM4zVm2&Nq&usZM1Q(?NqBp#x!?V zo2ywEM5xLK6WxSKpwkf%%WDOWTv9sX#_~piICm~R4wy>2NYU5g_w%(G2(y*7!d-kh zMxR-jq)^izuFNT8lrqq121FM{JX92P+j$FfUCXS~47-88iNBHilA&aZ{f=(T$1}v7 ze@wBG^j&;eMvvLVTDlHji$OD;kf6i)DvV&$2?*VQZ_HRUP2E7)%)kAY9HwAEZM|vB z4P)tVZzNLI8;Ro&@$WI((lSl0iN^ARb==x?H8TzFF-D{bOv-2zUW~t!;dunM!3c~2 zHDS1?%iS~vV?#$6I|RC5!c<dEYvkfDf515?3)MJW9ih*l99fYN=yR)&tgIK17}epS zih@hrxHQ+Bp=$&|TuxeQM5qLQ4L^j7OG~{KDkV6^RZD|KhHenpa4phcw?fwm(A+Ho z6Ru?1L}Znmz=a$9msuGlZQ}Z}A|H{Cja-)G_oc5$2pzcw>3!Ejp#nY8g5fUff9UG~ zAoH4WA}!7oIN7q%+yN&T;bM64d4UcemNw0;Wp(Zscs>6a7C-8-^yaVYnqOkXnYxO7 zTMfK#Eny9Rfx$6Jl_tpYqZtNATEeST1c$h&^a5ftnPf%gl8ZJIF6OV9k7hG+O#iaV zC0)v2j0L4C@tqjR^wBihk%A4Zf9-fx?nwqT9e#uoIW9-vBU&00b)-O+C5hMLqSGVI zu<Pj%{xG99%^;k;1^**opW$qVg&6IiuBUFoZ{h#KJuKL^<0#BgqSa#fXTFd9MnXx* z`YZ>xf_zU%*@?{#ZYx9G9Vy33O<R3=c@Az9>nvx$*YTMKGrxkFu@xSdf5YwECS)zc z-Ao~~DK)wq_t?1=OlRa5tykz86_>|FN{v<4)JeujjmZ#iM}DW!r9{ux(g!Tqx_ur$ zMWi3xH%TOmEhg58UYKjLKN<l-l$fX^R$TOoogyK6Nspb*z)U_mMHC|4K7$p(?X$QA zWL9p~ECS!A4vvX{>=~B@e=12)pOwvz=f?ou90OHQSiLH&283Y3?M;tGzS&0QZj75! zV+dexJ5LkswVnn2*o9=TyqC2&am@dP4r|m3>Aj9>Zxsn|BM|o@)&|(OZEE%pb9)E7 zMtXkvj*ijB$5WCic2>5@du5t4mx#E6gp7l2;V%<)3<B~JV*E2Af5SD9m@n}+6}lS3 zm(RxS&aH8=X_2}bcz0Ur>hMKKppT<sQ{arxz<Djq;ju7V$ZRZCIo7FkwlAkfe|KJZ zQ)#W6-(^P}=@D>K$d3hz4z)auLh)CnmoUMES3FG|Dn}Jy2p@DPbm@Ub=&@0$t9?%c zAc4`|JOZV!9b|Tfe?ZFCxY8HZ+B;YY3}M=$X~8{UmkdH6fq74zwq!-Bd`A!5%pN`r zD{(H?)V&}b-DSE<1@m_wEoPp!B(G!n+EtY4T==*&-TY`L;Zw|%#&U9k5kKk77?J?@ zROoUf+`AlbF4v(}Z*OpDR*kWWotvav8{xC&AU@z~UKLeuf3A5iom)0+7nsgX2KGSh zhSb=VV`qqjoms$<orlb3f7)DvKfO2aRv0n(z`XRi)Qb>Shi5ouG~rr@P(E$BWi6{C zv@kFJyG7TH{?3c9I<!q7ZlMe`QoO8c{g>~oC0QMM_Z9q|Q>CeSsD7laoYD7ZO(-(~ zVfr)m17~umf5rCU$@Ia%Ky&9RUvV2EowA@X5$u{=oonqKH?zJ<tS2*z=hPJ?3_C?6 zl#V0P#S+7$6dc?-t(+X)5PoUAx<av0EdoJKMx*c&CGgA{BJ?5fr39V?#HjngnRb;{ zFRb2T=N6`wRkLgdTz*AqVvA-bkl97xNO2H_E-av+e*u1FeSlUqpcQHlXuU`i>)Cr5 zN#s2St^ER6>jA%K9%!-*{6^6qsA4^P01YUpQ8j`%DABLu^*x^op1e9&6N!GQ)5l*) zjgi?8Wv1>NKe%3_kOTo&L8^(#mQybb|60+rohl)4v_pgum$wVlxUlrF>&q$vI2W7l z_$svef2E+4;c61Lp0&QZXA@Q2KbRZHSpBnG?&k7_(#|pOxte}+$ZQ(k!L9i635fn; z5=<$i+0%B;H3%|W19JDO7sj<ON?r9#Lh3Q9pGXL#`7I2D$;vvRIDd}OXA&k&-#oi0 z)A8+3_>=Rj7zQ-0$BYt=kw!|h_JqzU*t=13e?1|A-?PI~14wL-HsD;<j-Dk7Hz;(C zIo?q(w3^AS@z8fAq5&;^r3I<6EO6j^1vN2$Vf^|@;zuRGj$9US)ZGx1&o{pRGr;%{ zld+>?^JR8!#fh0x&&sOdRdb7T*F4#Z6C87ELF@5KMRsR&$|U(@<qE4lI%S3&Q^{fV ze?+HDlV#Vd7Y0|fPKSOpHh~0M8{l7(n5tPd<NaVh*B)R(z+!P#5znnofxg%Pn$!pM zX;c7A+C4}UqXEE=Yf=3|j8?4lER-*;Q3$VSH4EkI00gzQHk2<95aq4ALixKi3VUd+ z<WRmiKvcB4hw_ay3O_`v%#kO~5Lq43e<@$d*t0~|o9L7&G8W)4qf=(d1pwzA2pt`B zYhnV)kWl`mQn4PjYc1IRs|4EDlb5z&4m-TIe@}3}tx*^!P>ODzRBdx~)1Hipy%1SY z<1z+K7S)4Ls5@A5p-@r#6O;$GQzoE1u${tzqDAddXlYTcqg%^ljJ~LzjY;V0f7dAV z45}|+?E)1>pw)G<u0*3+cxVi?N-UU)TiOB-fj9)$lfVwv(=jm6VDn7Ogvj6*Xy0p4 z|24cS8q|M*3y}x(XLnD`9}1k88oSM&v>*Gb&?R3v(Af1-YRuB&R~7r6KgTX&3YOh9 z3P%+Sb84l!+GT*PNvg~K9(aGXf64!Sc;SD@e~KI(_<uEE_6OOI!cv39fwaG|xe}Ws zsrC`S3xEBW$jGD0T<*U|$Sn129dUN=191i5@SNhonAuZAB>g?2Ch8*agkoQEa61Y- zt)8#eR|^hk6#ifWDYNqs1+C8hv>LP;{BSjBHRoG>EM64z+CzUYigo2Vf2^tpYObn} zzFUoL)%s@r-8bu;RqKJzzKvr0%}miZGpAO~lxeS;>4{w(4c)kEj`^*d71#}!P`Gzu zQ<`1+B$Xc^YxXaM0k=Ey_vT$sfUOwVD!(`X?K~u~Sun2Qcm{6NoQGC)a3kSR@LPHv z^1aO2e&%fqy3?Dtn^NXie;)Y4xo2<h#9j<K(wk>TDRTz)@|xbCL>m5w{0GS{@jr<h z{dXtd{wUbiPyV~(AsLam?up0$yvIL7UfpyQ=Ir?U)AheVlA3_yn*Lt!n+?C{=tjcZ zEHT=pc!e(B6REM#p(WB7E!}h{S!!&Vn5_Z;zWQrzBEToUira|9f6E)eNvDjZ_<2)E z;8O$M#>7)Z=qgrJ5|@V=0<*YP*w)G}AzTG?a%$>W>0-115vB|Lh6c;nCANUjK|+Mu zz*oxv8thp`cDSz@&;ig_+g*ePS$jn|lIG_oa@khIGF1T_NkfT1CQEZ47;Z}ocKcjx z1__kIG7o}6&sCwue`-(MYR}Y9BA4w&V9fkyV(`vqy7J+yAz=&hdESe*q>=BjOxZ}c z`sc^sZROybVqQ*0Tk_=)@bz%MecSeCe{Ya%wr`7X*4=5CIwe_U+wT8J2f7)2Q!LAU zf3Pk6WvrF~Z>znVPI;!IcdP|O-|VKkC}=j&2QusFNEALhe@!&%eH|USf_+}Y{@RyU zqXMqbvwMSnSODY=T}r*s9hedi0JUTUN{`i>_<gU75~U0W;ENjIp1KCOd+!G}A)vGv zfhxsRFK)GSBWZ9Hy22;h7mqxgmc6bb4(2?wfz00Ybs<8dp45OoBXYOZ3oU><D>TaK zt*E{FSIjbFfAJ`AO9gJVD!<kTf<7g}^tl`dw;n3_a8I>u{S7^q#`Buyy_{=btIE&t zqER1AY#@QYz{L_?I3falu2<3L0#M`0wuj!Jc@owKCcvpVa4V<b?1)Cx_fli!Sz>V2 z^0hn~aCL2Z>H2B*EMUPLups_5S8n$g1n@K&+twxffBkIOMSv+huT(2lQv%%c7hjek zM!&Odyn20%0(5;3u-qr}w@VTj08FS~EFL*>IHm~PIdyQbb3`MSy<1C`ots)kqu}X^ zwnCTE$}aUngC#|Uu3F%9j#lUaC=Z82J*br$yG9ATc7~XI2Dm`V(!}84x-FLg_(eMk z7C}G(fBy*pVk}Xi3qrC4VDgB$4;D#aVGDHp5#)m`Sr8f;SONtF0DBk!>_L&Ih-me! z8hzlqu}}auum-@O8n9XOQ<dc>x9hGE6lRG9Kd!!ES*LJu4md{*iGje6BgBqqPt0Bm zT%?Eyg|6H4y{xTxLs48SR@P_(UWaRy9%+gdf1~f`>ob&0<cKO8=sWox3}+M4EzAwf z&2PE&)^cY~1+$=dz*^qkVljA?6S2vd6PCx}gy_@LorppJq{NWa3&;CrQQ0+^sAAMV zJI2pT<G{JEf&vHiNl0;7(g$1ec5a;~o-1@s0u3!$;4(f-BxnO80f5g2I4dnuW3Pa- zf1RSxg$tJ&n+GnGFj<X4d7IJRf9)72+oi^$fggqiE~JG&F^LXxT?$u1ezxfO>M|c} zK>a`}8x~IBF#fSH^y^?Ymc_k-*wG|4W`OaJhUpcFEtV=FM=hdrYeI+2I~Q7iba1Qo zCyxrfc54*6mbV^8>;NzY2jl+;CgTa2f7LNK)I%z>JVh+U_{YG|!2D$~TPb<eB2f*% z_n*x<3$2B~T!mCTmkvWe0)#fR#(c6Ba6%C8*^!~Ty1;IRj}f>QiNH*!DwQrL^cn#4 zhcN!xF!V#<@_QexHBH_LOnXkSxW0%TNdT)ZI{zzK<^gd1^a0neybX~BT|Kq3e=`O< zdfvoYx)ND+@Uq(gHlh{bu0jE96u4`mOC7{U8z;7j+J!h>5JRyT@Estg!hC{~L5!6@ z0o?_*^EuF6U|VWpn+m9ooaj0Lc0a@}ItoH76LwJ$SQFX%e**bTcF|d|Eiuuh3$(9x z!*9I-%;(L;Sb=6_zs5w1%nm`7f52gR6HqhJa3j!gkUu*eBjYZqQJ6`U8tXj{3B0q2 zK@1_v#DcG_p&hEcjx>659m#re9cd5T;WD59c_8F}$bW?7_RqUN{6opVJNf)qaRSrt z?<E#LD`(~Yq3%CTa=-p6&MJHLJO2L$iP`RxX1!K9>y9C#UR>5LFH3Ckf8tlkYo);R z&fNqIUS0G$1%|R31Gq>*qfky#6fJ8e5+zEA8sV#YM?`vTm`HC9A`;O>qG0P)5mY3? zt%{OYMHV8_vPKpdHoLN2q(NC71aSk5nw_0kHBU(c!)=AARej*;@f>(~#pKkaIA_<K z3|LeDapgQ@_SKDT=P#PvfAzL8xw{X*V+??G*kU|cspDh|4wV_H&?Vi=JbONR#*`9h zULJVQ8LoYf)|HnmpO5_9(JVU`ob3$PIY)b+m+X3qC@kFZ=i!h4A^#Eb+^>HUvia{$ z`fnkG43hs&LW+9AbzeOG=ZE^wkazDsA@S6I&;MT_>wo=Ga7N{4f7qcr#%#GKa!`9W z#5(oDObdlBS(yb`cZ)@YDL}`p!Z3JHTM!7tVns0QDyK$w9l&*z6~VAschbhB?O?8; z-TQoSz?5Xi<M|pJV(BUlYpEQ$+jSF|%@A+X%pkK-tFP?hR)qzXBV(Yjb5#hSGfx2J zQHY{A*on%K+-oNQe|xaU<*IgWVe2eIK4sM`Zxg*+6E-9^M}v8flttJtZ2fB1q8*~Q za(R>3n|>F7c`SN$f6*fFSB4e=u`;Ch%QWCvW`Qw*38>5X=>+>5AQ1D*$$O!ZlpSS| z9AoC~(C+_CryY{9v@yv>4>@Fs6t`WjX~{{*56r+2_lAAqf7n@d8rpuSnFeT2N2|_5 zwsR6y01}J0ZwqMtxC`{zod#g+hqSOjyd4n<Ov3emv%4rYrV!-##jD;HYTKWkQBm&0 zyrWTAj9Q^T*@7?fyRy<Zcfqr?>1axL{#o3)o+ZVeOVwTZ!HHKJ(Nod64!EQ~U$jS7 zjCyGXrj1tae`NdUG5ZvQOy6&5LBg#xvQO0BoL)-_^f95#GH+mbGY}SY^i`=mYgQ|& zjMJgDm)qfD%gCcs)0~6t0iAb%jzT}P0aG2P=#Mh+dP^%c_yiZ~c?pr<R_^+l#e69f zM45Hc-IyO)B`bK7<qS_FvY4n=g9_1$<+Ort>8hV#fAYNCD6=~bmx<zMHw*4uq0DZ< zJ?xy0yN)87x+n*rI<apn1{!@7D%UE~MxS7K&jHK&!A1GC8QM{l-$qXql{Tgq6kxjP z@+U4)hR#-zf_y#}^H?=0JXM>PvMQoc&nP*C_eG|%8L5Kg?AS3idM=<*T-vFeuw&k$ zrt!IEe{bQ-A_sAe+|JK~l_~=2m56}AucJ8?uBn4_zLx{E&$k7btuS4}6+bc6&!-@@ zG>*nCW5^GLu}fE$-sxREk~?xWGPuXS5ZR>vGr|-8swe*@PhEdkFC=eb3zjBUrqO-> zOl^0DKrQ6x%wFYA-`52ruA?chsLlGChFYV8e{#W4gF^?ll~#s!w^t&kw=$`k8+^;$ zdp_uY-}mZ>K?3w{M^ow;6QirPtwMTuf#+mA9~h|Gk5pIf-_<MGx2bCP(#5zi%I<So zPD?n1>d{75-ZJmd<kOX35BV(j(>+dPj#dlay969f?Ou7q5hksSa)b$OjtR)Pu(H0> zf2Z72#yT=?>J0<WxsQc!4!7i4<>c<|{3U4%Tk&G&i$v+?O_>UIiJUEViJppfi6qS| z{TB5seVFYjv@tb}8DeKr8sq_N=D>yrHjGK>!rL2Hrb~eBBd|RLwroiIu&vbdCN;o- zHPAWr4A40p=o|tlmjen`fWpq@@I{Yaf3e=RgcEk?@e#xU%$sNPH?o-LrZS`%6-kbH z`UsvSUCeg<9=k;BPm=A!i9S7kJO1Y5AtCVMlG8j*Tdt?b8Yv2X;a+Cgre_{Wl_E&- z8yIRPsp|-<k+OYjjkX62OQ&&;whto!J3;MN`~p!ki3hNGFR~X4Dw!dE@c`GRe>CXW zGiJz+=KQ}#zpRGuw%klvV+6%({mqT!uaUKzR+sRN>LUQQA2jCb_uZW98=OZw*r8LL zJxYTPJa3wQa>Z}RgG0IGkf=84QUCBPI;Ac#+4)_rzV(y=T!Ye^YNyh&x4G=Jn+8?B zDqGn<9&3)6)BRfr&Of>n;Z^JLe=enshxc+1^nHq%$ggt2@0b|mRJ3kGBs9|IMp<4- zu;h2}+0kCFN?7tM8Xv{tx*-n5BZpDF+>MAsw~@m+EUz1|<hNSW_88q((n+_tg?D5A zbL4^UOI1I>pDl3>1LL)EWY&_GEbV<<MeBH|ZX$B{1j|bfap)01^m<t%e-d76O~1yJ z#KEAoTGK}{B@`I6NozU+Q$m740TBdKQUGhZ7`G5&z-%FT6gGyWDDfsN+PW_pcSg&8 zCGP@=`e<1``SRF-RGzuJ&`X{6-m{|BBvkhq{+V=jRf^MaXXn_*<cG;rqj2q~o`-r` zG}`nJuEZ%edy`#nwDvDle^+`_m4rL)#=Kn_g7D1skcJ8OR~n@=D{K<obW6*opcPY) zY3rdSxNp0@X4o%bKXLk^a>%=iH7~k;Rc{gQ_6)8(kz#0N`oTx%5KA$w&8TH`DK+Ey z;m18U!V<1*uI917n4@t0SwlUTE-BZs6)A70rON}({S!g;y<PpzfBjLF10TU!nm+Il ztS9jG4Mnw-SACN)4=zMvBdU2&wKuYTQelnolfOc%6qYk^$}o6{#*)m0p;q9$!~7_F zzZzJh*!$sNox}FII`%d1t7E0R16aq=2OfilwS($gLBp>67_!LlC#a4)P$MRwI$#^Y zfwqa-qfiUcfN$U#f3Jbs^%K+<<hRVl>@BM8C_8y)`IN`$hxB8tunJt?M5E=M7p-Gu znvR)$vGH3j?V59~@=ejLHd@U8@LKI?8}hWS5K-nEidv(=K2vk2&!OfHN!{(WmC4W& z_m&h6U4vBe?v&^)UGpFEUmyt@%jT#*l>EDsdp_rrF27yLf5-k!Kya=@PMqn_mnlby zk29~+^gsRU<mj7UY3%e@e?I>Ik0j|%`Dnb%V7^ryvc>Cr9B{Wkh)_?ndVfcq)24Lh zph0dDth?r2#rdHSCvgqVt=Q>oncO5LhvrP(LSM|8gNP@@SijnL3;nBHH3JdX@mou8 zRVQ~<C;b~&e_zB+Wr)l!{U+hiETh4ZT<r<#TOI7&>R{nJM7uyjyAAag`i8o#a>c)K zFN?TUVE}i}^CZ^^b<VVU?DTf6Pmd=Nxk)YBziu*DtXn57b>U{ljcq%DK@1E}&)zN^ zCXk(je_X4L@kNntl};wIn6>>(tSF*I6T_SIZYnRWe}5%h?#MIRoX`5wk)ocJ<mwP@ zeEMhD-Y29jtrmB<yN>ej{UG>d6XEHv|6%`2Y<J`TUjFaTj($RFB>W#DX#g{Gj{mXt z?~Hu3fUQWn@eTL?VZ``F_k*H{@yB*YtCl0zD4f5=U`RN8RP~oz_v@OM2loG}ufBZ) zD&9}Re`fFd3tJWT<z6~DN=3;=+&Rce^7B&IXR$Aio!yp6McDh5SJPXBMlCGQm3Ypx zs);0H7D2!tX{pp^rJD_eBS*hXd6s17jQ;keE#1?^6<ovS86)n9>juImegs3&j{mE% zCZ0^)$~u#ay+^L^XwRFmgim~l=sU9>f0V0`e>TlvrPE9}X*5$DaeRZ&lY5d8&5#lK z&}z%ERPYD6m(t^iE>OH4*FHViv_MR###Lcxm|<n<JNXg}F+4%EDp6;+iWq%6{}--R zy2TL#Gr36iTD&_qTAkVrJ*&BrKib>X1U>6Hlb16(VwWf}v2;SofH$7uNxMAfCb)c{ ze~C775R)+17TH_k>Ht+hs=xbQzhb0GvrQ1|Bw?)@c@>FvWFNB>CXGC*`KXkEleZ1? zq_!uf+_joe&Cp9~Si6VayLkKZb)6<@ORIf^-yTaGYC{vV*V!K2FXNjrT{&{Ei+}2Z zQ(L^h+vg^uq{kBQwguvC`0_6ooEqb`0Cc`i#ed2Y1#gRB^S!U)f7+inV5tZJY!2MM zjP#@$?(?Zn{l(HOY2<!QUF4^Rx{?$f<QFT_>x|6JZKph`Kkb_wPC02=n=~?2Qx`mE zdBLeKK7XBMC9Y-9o_<S5d>eW4QOXHRCBwEpF?k9<<N}ispD$(EJE^$2&AgdE2O51{ zSASBZgXCFR*JZ?QZrjt$C)Ly?1_Qf~%LtR$!=^cIwk_5{%0Ip~;bLXED`~_Di$APS zfAm;CAY)Wa9y>l$r~4s3Uk)&8u({2n+273Vv)NaV3(Vel%!ZTObm_5=k2m|<0!L3z ze!P6J@F-ip_gwXzy+MYLPFQvtw(;2fjejaPEKnJ!<dK+~hsJB=IxRa7wk_2>9G`!n z*EZAa@9B0Nw=|hBBH>Ae8>W1KhUI!tTjR&2T#~X!?j?-u@uV6Uwmr+ptjzWskQ#rP zG|)VyvDS0po&QmT<k5_isy)Y426*Zdy0?qNqNZQ#M<*xG+$_`VkarH{H<d2=eSa!> z)Vgub-HtWesCN>5_RHzMAMkcRQ{If+K9k+_CBP~GMM1g7=bwttZ!JHDJcLfQ?7?MY z7b>n!6(gsLjTg>#KlH6`mq@(Q_97e8a3SjP@atn}`Bu#e&%C9@-BFAF2~3|i2Ut4{ za{Vl98<ArN%a6)nbaL~Z2R2e>V}G2Ti>ZOfopDY+D0&X6zT9FUfYOmkeN@S++Mch9 zeSy7+%B1q>8!;2Ql-WvW)bso%|LR4jd0IWK)UmcyzL%*1ddTpGKo6PO)8+b&tnnyJ z-f#H<USkjDon-G^vM5y+$Kqx>i=aDPPvrZm3+z|duF8I{w73V}{h~E6+<#f3KrIfT z(3Z%+9;t5At9&2CbR90~dyPGBShi2ud0Pw%`uCrd-Y4+WQ!O-S|10q_!j6QZQje>7 z+nrHwIySSe;iv8{I$n7(x=THSb48oe)@xPOb>T`+=B2McoOEazNP5^?ZFQgEUqD$3 z$G|cLgb?w>(4B14=dN`f?0;Nz6Ww>Jg_?ITHtENd>FV}_LB}{S-!_$H-Kh|s?q`?K z1<zjpgw(2$x!pz(qs>V-T=>;JMZPgv&e@n5eHos!*7GE^H8wci<HX6WJm;&8hCL6O z12Yhp=y!sJ3Dp)dywPcE!=9_yU`UxGsw{A!J{cWDp>OEX(Cc}zP=B9<&Zp4V_B84_ zFD{Xr10PjOYcJT;zuTLOw@&pfqkn13TnwnZ!oC?=I~o&R{JgsATw_7?7%8K(5LVEM zx%&Fkn-o8TKwoELzj0t7!Mn=@-kV30hoYBDj9({rWZIn^Wql|P^4>hrVfaMLegA;} z3gS?s>xT><fA=pc4}Z%gRg2d&v@AEYWDI)lT<BI?=+;=Mz)$(L4|3EST2vZZV(+JF z>_*Vk5Pl6US`95H8(MA+dPWX%!YE<w21(B<p->oI+P=@*A(^^#cj$vboZKsexV#;` zv1I4OFCAZcoh&G`CC>12YR9JzwlAvfIjTW=)QF;=OQp9q&wm{$*MF18Qpt}7u6R+1 zZlyQ6(pjgUxt0=$0^?#>oAa%FQ3jEy2P~#lKeGeFd*h8(q6C_im*qLr5a)WD^N_H7 z;p;r4Vm^AJBVVTTsWWZQxt^DKEX8~tw_{ueT;g}`CJIg~rPY=qdzr|7W<G}3?2R@6 zBZPZcd8|$Oynp@<_K=f+EqETrxh6MZGGQ9_sm~g&8+d&DDI&ZwQs-^kdfandMsow1 zXVp-H>@VR`Hh;SRc-B&uQu8pf0lk;7m@?K^6<lRIfz>7S5xx_xch50V;yR~eiQm?T z!(Oj~3Gm!eaK$(YfiX8YeS%n}B+%l*(>Z2+YlK?-_J8&KoC2e>tgqg<oB|ixRZao& zzE0=?R%VsJxElS{IMtF>eaJCio8r?p5Z;&k=x|Scxo2=-qyNz8sBpUDE+=n$i?dD& z#p5ux=^8s>vQlp#2=(Tl6%6DQOa&%Yx;pg5M3zg=ztbO)o<&wJ=f}8ujDOIo`o*cm zb+@WEb$`%twyt38Y@gu9W%00=cEQJ4)xAgWe^oqI+Bzn97ttzMewC%4VC!13ZO5?o ztt;e;nONzx^p}uR2M*;_%|I9(%VJz>lAZNB)ufHb4GaHAKBtopR|Tt*u)dSJSwoY^ z2BWG6y#q9iyFmAF&FJUbn3CHtRtu_sJx2EfX@7iRX5yLw^F3*NNN>W>fZ0nL&yGGc zSWmTSAdTlo@0fD$^?C?n*((LSBL$K*m$=Q$tP`Oc2Fwqn;ZF04rAL^OSQyJjDWC=0 zZ*I24on?AOEd6NU)I!4KL_55T>-EZlHRVL(2MuuK^Pv~7knN`uD_RAi{wQ?GmC&b3 z>wgi{XZlV?)!9nuPZkE9=Jcsuy<TPrYPS9ta9yM5CGHz$)^D(JbEU;rnNl0uP<ewL z;})Hr=E7+unoThb>8K?07CUIZYl+KbdYuY2Ghlus<!2|)<JT4}1SIEqiWk`Fzl^BA zV;EIotpQacp}$6!EHeBusu|b5H@+0gn14!aELHCIyjCwP8knwY)e576@wHXQlpBXV zgX-x;13h)E#$gG#UU_)c31#S1Ccd6kG_Y9L`WxakeEbeHO5P%Kse$d|Id<m0A$8Dt zDrTW*AhPaWV=5PltBZljTg)x3XZr+?{iqhBFjXI1k1iUhtt&bmnt^*C1%;``sDCUB zRMytTkmT#t7u@Ls9bHA=`{X7d-oP=pq0;j8Ulz#pf#I&AAAR`mU_(%Y*D=<cEmD{6 zv3=af=5>9xOj%s3mnj-3u4{dW=!NH#psu@PmKV0OeUM}G2YfcX)tD&P4-APllo-kk zuGcFX7~NVQxA%on?am&ZoVT;5=6|}E8Sgw7AGP!#+E<*z*yG~}8%M-7YwXO+;M7YQ zT5z)Q>k3$eAx?E}-QBUa9h0HTp;E5h3;I0yT-CQZV<Bc{x>VEjd`xI!BdzQ(6O&Ys zQ#1AR$|=XT6KRTOVRAw!pTg)n5+);5;Kwn-j)bid9^`v6Qcc6e=-^_MVt=c#dr+q7 ziiA+(uOWN>@WajM^wIR5BL&jnikSZL{+p=Zu@tFt{#b56nrKbMef$Jb-XXc`F5=X+ zMpA#tC@*6qf&Ynb$em=!r>U7*-$>a&*W>Fmdj6IS5w@PNmfz3NNmJnab8XYX<UxXs z+{+9}Gf`rpjeH_Q@<^)KUw>D`q#N*Ez=*#!bT}WwP&1p5qD%9e8E}({b#(EPn{1W8 z<}JeS;rl2EH!W|A&0P^s$w^<4B`AQIf7TP^`7(@7Ggon;F+Yb<aOC${hN>lnx_n!P zwJBna(2(!WAekb>g!}krjEO(YFJuH0K)LWVY-E)TUZsRsr6AbKO@B=9yA>)eu;Ln| zxkiS{2*kMu_>l~lN!SMZcfh&+fosjQTj5eK>=X{T9Wa#10GI2mDtm&+Uo&u{hf!V> z5MVUi8Qd8=A3dF}#}8tJMTk-e6{ok{NRc*@6i9Kq8J?!8YY2z<KXDt=wZp5n2sU%0 z(zS0?Z9LROjJfNZ=6{}Zka55_?b6_p#<#>r_rx`KNjjO!mfThL+Wx;Rup%pa&58M? z4gDy|%&SrM>dp+gP?n_qRqpF0m?H1Y!qYPmQ3pL2zb}lnE$?cNHkLDoJ@qb%QX<IT zWn1@O@Dv5%#=Uxd62nLC!y80IMPvAceR#EqC>czN;Wm2mtAE!qn3CPw=&`R}%VPLu z`|vsuQH``#(o1gi7OgTI*>@k_2;fw-M!w{hZqd>jHHSgSj<)6Hca;drcU_M4Uw|7p zZM^Gpvj2j!fz!6TF30;X(3Lc$%(XMTi=veXoA0{((tjaYiLm2tigo{ISj-?{>)n(S z{huc={G<Eu`hO8o9nn=A%v+v%PZ}5q)VCP{d*sUEj=Bxr&wQYMeW0JH*=8Yuukm>- zt{k~w$&@mFP<G+x%{5!6j1|f{?ozkxDrqTwvo6uo?dFhQ-u_n}+qb>kyvrXI@=<k; zS<$EI(5d2ws)oDvePIq@@}j3_a`KJ(JC?aSa*akh9)GPTSXY#m^)uTrdB&A2&t4~F z`E0JU^CQ$=!I^?v#y>G4A%emqu&vx$F~XTNIcffR;(wU`4U?`K^pB|h8!@99^`}UG zFGY54B3NcU`R{xEBg~?po5pV(TmLKj4|V^qG3z}x3C^e;f$5vVMkTnl<_cXqAr5X~ zB=tgh>3<PeH>-Z9YkHv#B=EG`7a1@`H~n%v=q=DeyloIEHKtF@UcblAjUr=t^zkVo zbl55YbWxZQm&eK~oZ0jkTqFsz@$vk|G%;Gbfv{EYK)M*s1_19s<>Mww!+i|E_t&My zys^(oFf}{3L{ZnSO~l)CKxHK^KLJ%Q+(pl}s()0qb2E^(?A@$hm?$aA{r#+_4)kBJ zVSfZej%i0%&0cc*Og9s`oCgE@=Y_qZ1bU~-KfdNC@>%cov2&}3>@i*owB$~1(@htH z@7y;ztgg_-QGV9Fx4fccCH}B-tV8#7b4jv+FvjsjV!{Z-Q*grVIP3=Eim*g6`SK$8 zXMe(hQce1x<$-<A;OsIFe0O}{hL}wM`cYJdW76fwPp{(>zFf(0Ouo$dWEq$6s3}6B zt5j+%Rj6X`)~Qt}hO!|Fjq+<$7!|MaZk{BiOn9%MurFI|kx;u*C`P-Guh(^M@7hGk zfWS_8MTbHc1}sAI10ZF=<@&GD1v`7$c7K$P{hd@XV4jy>6W9b64Pem>7E+zEfs~%d zC?wIS<TnR5$2tovMW-sMb9HIwlNE(xa5}(e?czj<1#!n28bhxdlf<QSYxV%(TysPH z)?4nl$iMs85RJ-fq^`;?c6_s7&oXQ>de^q3q1Qd5Thz5v(ZNk_@6*Xo=1B$c&wnLJ zy$CxuoOYpD4>S?;E9JK@=2tacdlG_&1~Yeuoxbvm^%kh_c}O5hOrsF$cOEjE1`rG9 z&JbA|h7i5sN2iG_oq6eVW|+O8pL=di;A?vG(8ig*0$>*uyQsq(7yBpx>DfgcJhi4P z6Cl;P4slLNcF}4ie#z`TtzIa(<$ny3cgxXAg&GVRsa<M)SR3l!sQF9`UJ9t!pR*$p ziifsOEI5QC@?f7&jz%t}+PNtjECCl_8xCA<NgX`laxB*pSs9JO#)Ez5f&GP0^o??B zX5Rv5c=-|V1!|GS7SZOA*~Gh2W1ZU-x(W`c7rJ`bxmCZ-stHX26n+8}E`I|Goq&Sc z_SLnTB7hA7Y_5PZ!qd(z>|IvP@?$`GC-7U?4Qz%$CxSU7aOm8qRPNM-ve8$Sk^_8o z;5(y5A;S4J+v}hKxi#ZotQx-O4Oi6urqIO_vjzQw!q#aN8hx!=R8mg?BXNZ?{MC40 zA%xFiq?&|D0rOBbMpT-jsektMWyoJwZ5L(2oJe<k<36+VN<l*{OGeH>y|8+%Lf1v7 z?3z6j(}=mD#gGF5E5|ho5fFfTM{4ZTje?rxTT~8?(PtVaF4W^YGF(mnIt5+<b8h~? zjbKQp{aqxoix_>IU@^ZnM>|Js5r2w^++I*EM>E$h&XpQdGJ(vR*?+k`aBX;AQ>A3* zCZAX!awdOpuR!EtE~*v2)!TvE#sM;0UnrIcqRX8Rz1?E}CqB;(1%+rRbiJ0b%+~ZF zP4q15Eh}jhMzOroVZwJ(V{;gO1x)x#Y7BR*q=6Lb0h#UD%^b8q6p1ZbZAMR#VP}cL zL$~!8TIEC@<$d$5n}0<f<yfKDevwBxP87DAN^6CO@}U4x(Mk*DYXStdH8_+HTxY4} zt&5?2Jz&gUt~f$)2e?`=cC%#dvqa=4bC{r25imSv4qIsD0K}j<Y_Zi7Ah_nzOI%wA zw?<6KTaq#Ga}qZY)RDFVyH%w`_+lXq`rsd{TWG!U%-#)*U4J+7EtR@D<x=)T^M$ht zU3$WZH&`UuHlv_Mb}Ggd^wO5)$GCp;=1vuPbN53L-@LgIptm=V14V#=yy&SIRWNWj zid|#~I)lH2SCODqVm+Pkswl9oUya-C>48^)KI%P<@G3CwchPfVUgV?xg*|x*bS7`F z9EbuVg>&%r9DmSWFuvXstQ{v~tikb@8{ozw1GVt2;C>*x;akD|KsLa)*2y}!DbiNh zSB}k8Qxf`(ds^m6c{TYd_mI%odq|<5d0^?odq|`naHm}SMN{(28)L}?t}d{tGNRJI zC4I%FeT!E|q*Y&ERu<@Rt<w=im$meb_%-}`0B5YoqJPuF!j}~Uirm!nup7&ps8AJ7 z8DoZlPV0;qmt)CM*HhOaua8T!B&i1cLkyHz0mNt<-b$2*Me<0&8srTX$X`|`yQLy- zv=y(+zs6n9kWW`LvA)ILfmh<9(@7EE{BB4$jl~4s-0ci0{2_j}D47aj9bcClo-T4u zStmHc6@O2&CbD681ecILM`SDEwYf&=bJy8WyaD$?Ixd0@!FzI(({MN0Yn)86a(~U^ zVk8g1nfw@px$5Z3b<P!TwV5kKXuu5vvzCbSXBeGFs^vr}T(!-r*9w2&OEX%`5R$^5 z_&SUUlmD92ZZ!=apT>|gMSMp<@Cl4L(>`&60e>IKz?rs0hDr)#xjpIF@DxdO8aAQ| zBJki+()(_N$_r$;o$0RO%imEq2zT-=7%(&K>tOPg9S0c}1X<nfNfK?*j82oxgA9bl zLB_FmWv|cF&DB1}CAW;_1O*I^X{tCupMRF&m(Drjy2eOJV9C`<vyM!G{MTG8fCU>H zS%3cvdXfp{_<jS28!nsh5bj}r92dhl_-%pjmF-k{|6Ts#{uW$WMxz-e(nS`3mU}79 zG15f_Z_iC(<Q!R9PnG1qV<ehk*U{IMTz7%txA9MLXVM~%U?q$;<88T{7)^}YbOR!L z8*q9bJyGVGnaJw;{2(cC`%T;`1Nf0ehJPb!9E~+D+PT5jsTan!osm+UkOPQfBtQT^ z$ykFATeR4~jZb3&yZ2nBT2_q%JMeV*HQXs;UZoNMeDc%8oDT+NdQUckL|mq~PbeTt zV%nBpvjB+^fCvVOd4O;Rd&xcZ_HhA-bH|^0w*oiwcd<vM6tObESkeG&V{Zn=>VJd+ zV;uy>(lP_avIUi6fwA5ILsa~7tpBqNFb~w|{0UI10v?(}oA*RSbt;?zv|0fzCh*LT zjY`;}<znjwDf5lC&8evxRxd1Y)F_OE*Z9n@ybz<El`!u*AinEr3UO1}+nRMhDB8L8 zc{k993j+li&OP6QO<$B!q+SPw#eclj&xB*pKbOzuJ1eQ=(>Wdb>#=hLN|{ahEYZ0~ z7kDW8$Pm`0$*tk<yl%Xf5&4~9;}Mt`*X+ChF#nuc@9{g<AL{+vFn?V~`04B4OZ7>N z`VRZw>HT5n|CU*Hmr*~c{yX*`>i%D2cKu)q8xkF5$hI`Od(s+(FknU{1Ak10%km#D z-y_)C{rIz1ZcW*GOZs_JNEtiPybhSy^+@btE2|gs#BAlk6_n&3-`NM?9EQa@{X14b zTgtNXpq(3Hy$ISMWGq8K&+PY!`gZ|gsEP>pgsvj7(Cs25wis)G-VC~m9|C|6Q0>__ z$xa8RvLfI#3&%1I*#OAulYiWU0ifJ#qG-t@@O?3WwP2OmU~uiCy9jG7+OBrttYR%~ zw(en2h*}joi|`#vTplI{Ois1G-UK6W!`AL$pL-_e9QZIJ{cYlj#nh%!hwjLR6^~&n zrB6G%$~^>c;~z;|j+hmmZ{in$X{JYFNLle)4cn%Ods&nHdTpkjUw_UFP67aIk6zmk zo?lK4M(OCG?Q{sAEu&w?Yw4kP*t_+~2|Sesfti}53B+OWib7Z2h<YLC88W+jTmv#} zSAF`^x=1C`89XG=Tv+Y!&{TW&t6*h?-c_-DV&Swb)oGvt-R62VZs`I|3w>Xca?Id` z@4%+e#+lpc`p-KO4u4sry-PE0KE@=sl39D6lEVUAt4fdlV%Xw`dUhAXy9sPhfvwKl zipGnU3NGQ$N;PYHexa5Q=m6URYN<O=y8|`3wp6;8hly>?L#t=7n0b@&p@*Hq2GZ(H zNkkQB0BTXO4Z~aOAG*iH`X+nNmyZ0?7b$^af;|t?)J#c{Du0r|G-3mvo8{a?d5hs) z8|yLT7BSq@EIO&Bid2h=Pu6SX8P9$^%3@yF-vq@*6o2|LX>5-<i<$qr#5wWsS^1(7 zo32;Dl*A3?Y-0T!-A&&-okP`ZnIXJC{js=7p}QEWFmo09pkU^z+EF8C+{yf8PT8Gi zzZ`|O>2v7D*ME1nV&;BWSyz53FG5M*aM|u+M1YuV^D%}zN}*%>%L1HVbrq#LR`UvF zvp#ZhffIN*<@);4D^|YL+^tA_dGz>b%izFQje+hBF5TU;(`7}4(e66eR6Tq8dfwFN zKWh4<kj(oIt0dF2O+VbxyG&dNRYB6EE$o`-19CcPG=D~Yx2%*(T<8d9E|9$UW!BV^ zs^@f|rnA>*8Kbeg`N|3B0)OeSKyq=o%?iIcf83n2b{B55Q898TdP2f+b5)VkW{2@; z>xKJYAIPj+IaY4n@wr-{Rbf6<5V+!-JbxQ>_!bP)ou0ML^wB*F%1h?P!uo~FUspJb z!Yj6Msei4Ht0>r18+Vtcyry-i_q$lk{`1j6FPz~|sIw29N4L8u?&iGU9eCa}%5ic` z?0jFEuP^rwEJPFlA@vLHp^)hDRIrEx3JfS!^vla>ia0lVWx5b3{QAHm6)Yyeq5u>y zzye><(LTHrECRqDql4fW&7jd(z?4c7P_XsM%zw&TVFPU0xbJ#Qu`v5Y_yXlF&s$w( zJ3t1v{bHA>*7>~28g3g`^DuIK`>?dMNof%L+-$BKeGmV8E|DRg)_MJJlUo&uZ*M7F z{^A}3u8;6-rt8dYKjo>i-ss?S{gvXHE7OBcr3WiYs84H7<Xk*NndMa}DxN{7DBCCY z<$pLNcGkC+{*xmZhR$5FPn6RxWL9drgyp;jK;xBZ8;C=qV`KaCrU&FJelr(1Q(`$y zMnOe>XNm2@DV1FnB{f(4tiy`hihK#DS*g2{&<iz>0#rk6c5)A3BSXgp43w$d4V869 z-{EDr%M4*!Vp<df!adI*q%R(syEP6m+JAyK;_hUOnih!D_4!VW@N`N<%DK@4h)w?S z+TNrIt11_&alv2<j!awf+E&0WRbow8m<7EKO;IxXWHCCaE-b+C(_k_U>5U=zN=Abg zqr(i)16yU59N^0ZU9d^>t;~b|@h!e&3!zt@GWES5i@P7OBgrf-C`O4jW`P1aq<`?t zDf6vqfY6iLnis0OFH&oIJLck&*AZFda2v}j1(tUY(0`=F8nzJn0cMBIDT_AMfPN;m z6&m{Sp;_F*7K4Ndi`M{4jn<kRYWEh{q5DcKo&^Ea=bF#W+oXcR@>Y82M>t@A662o= zn|Yo*@`_Yov2}h@@1XzONm<tTOMl4^@y#Ca4`o;ZLR*<*UM)!$epm_WKVu-+wT+j$ z+#+3HZi5uJkbJr_{i+r7anCmB8CT)mbHeKjBvZlm3J>^?tY<mWBb?7nuj4Nw2E|=w zs#?6u>XlA^{wV*{9qv!Hc+zInJ<6}ncs~9<_GOq=`-9ZL0&lXZxJ9fr?SH(+60)VC zlhlU}(O7~`Y}*QYcB3b@?Eq`di8I=uM|UE7|8B5WVi#dRkM2&odot+JT@X~y6nS*d z#FUCWx<7*<$v`~@MTtDP8{iV42X|O2Ttd`-374n?Yc{+?<Vv1|<CDNT7srnR>$_lN zH6Ulg?|^nwr(#UO@zm&KtbeGkBwK@ZJ)Mjb)s<w&v3Y8h-d;g4@#&92_o!hNj(zr! z`%f<V2ll+{9>Id-;PQ|Lr~cq+-QweSVtk)+vsSv^EyQd;JJ||s$p>D1cD{7+^(FJ6 zrL+rrIL&*sM{kiQ2J=;YJ2zLLZuge{Jnr<vf7t&T8~zzv@+YGIM}OFNeLHRMjP%X? zogO~(M;smfKX<99K!@@v*~7mV{E7bmeKzHeZ>Qvzc-kYIas0{;ex1ITW2eQ#OKzWY z8VafYL4$KYcA5~Cn{>jVd2a6uU#+tT`)F_9Ra_hjDOeR6eG~q;Dx|LpDXT){su1_B zXT_>8b5#iaCS2_qcYoBOSyhA6qX>|>Nh{A*RcWiL=v7t9H#^i-q3^v|zlL`UPXV0+ z&y&KwsB=>7H8>UkdgiaZ@D2U{*n1DCroMD-TzUuT(p97=(xi6;6$=5BrgTI>2q7R+ zq*oCUupvl*5K9mt6zMI20HP=mM2hq#1ZhD6gaFBRf^+Z8y?^tYx%azwzW<v4TH^|9 z@AK^EeRnzA^X~WTaw3?|9fHO3S^%E2$0?OKc7=I(!|Nqg_pTj|8ck_VmxzUdG*0l0 zYh6`qYgOskaQW1*mfteof7!7n*e0}LqOM9BTeUhi93Yah{#}EJh%pqvCDD_ujHTG| zrgV|=`8-Vj0)PJw*un5u&>!;tX~3gI)s9zxk*a;fL@K)Q<=^E0w?S1+6R8o~-v12$ zA@~0o*pbB=nIoCcBZx>>qbDL>c5c4_{>yot$yf(R&XKg$Q*3N>FiI*L_t-Ml7n>G_ zh7vlrxoDG=o!hDVla$?1cG@JR4tx=02DsJTJ^PMxcYhBz&2jGTVWT<DI!1#q?sU-G z9I6J*H`XyyRtf01tTPy!%tLo#em=bKayg)$gPtGC4Fvm>lk>Fo)p|DF%9}{(8AZhH zs0*|st8_5x`;wTGDr-lKf9&3d>dI;YiTjh3x1;taDSzxjX|tRe>qU(Vcv^8MXmg*y zB?Etmcz?z^;3^w30wqz?cE<sU8bDp_3v-l%pUrJf&V5C1s8+rI!RJ-~9p*WCMEkub zx#fi)8{jzmhjtNPsf~$deU&h(_9TCLVNP4`jL%;1@~T&)t#>wh=SgmVF~_9b{q4?~ zE@F||7;nzPmA-(>KXxngALvNGyWV}`{p}K<p?@Bypo6xL+UsCy9dwL7Onva6<O0Bx zYHBOg*-+t?VpK4zrgG5DG=8=0DKAg;*7+OitzJps%(~@V0nJwB5U`w=dTY<eTle63 zy`1ojUQQ#$S0Yh9wZVxEW7em#r+P@9q-!L6By(qFfoV^iAs6}ETM<eQ^d3B!zJs<I z)ql&Zi(nS-NqX^i@k?wTS0poW0auZ48E>fJbI(Ww(A|vA<u>3TtK+S~T?B=(SG&)m z-{d80&n911+x2!;(PNS;dg^bHEuqo6s`Se-)61hz&YeTf6ds4_D%@WjoZf(Po}PIo zcMd`bkJBVwxGc6?p3h|{=Mz66oD*kpZGX5%X+mt5X=V0J<l?W^vr&t`7HS8*${w#- zJ)Wr7=cw-c-W^)@5oivs>TA9}`c|_wN^tfOZ(qo$>ue@>UVSChIqKNkVtBHB7f_)~ zI|Dzw_ImFyZ_a$7DdazUZp88dCg|?-luvmssh=blEEdfu@QB4<wp3n?g-gRqdw-6Z zJ)hqytWFN3u`hP2jScs@JKrsF)RWyE_z|e~?o%J|$8y8w=~mBM+11R;pmD8N*^QRx zH14iG^!eo?(m!he5W)dM2tbf}4iFRp0vI4<0)%dWU<weD07Ah{o2NY8Z)GjJfWJ=w z`PpTVp~|c52#a$X+jptm0ZQZX-G8x$%I{=*w!9t%1~9)6v3<HqM83eAcc%i~qGaEV zoGow9&yG+U4=FH}I`D@J&D?Pfr~kCjEXhQQ-hhkjhA+ff=kvrF9wdw7C9KTy*bKDZ z$y%O#pRHeG2eKHSmt$OA|3rM^pm8_iasO=HWsU7`FH2=DJ9>i-&<-#=y?@Hl#6uj% zg`Zw!Yhod;;F3<SGB+s@m2i5d|9R@9nbe<Y3v#LW=<`b_bZ_|}=F9tcpW;)BWmjW- zevQ)Z$)lr1p8~g0pBII$f$}~>om~n5t`l(MhiP}87IA1{!tGOQuj6bCg_lPba4g2F zJWWzWG;YUum8(gZn1>5DUVr6|JVf-P9j#B_q((&Gl8k|ldnoJ#QQ-ISYb;@YsKN+a zoQ>p+<b+y;SAcG5idn<s%~!3Vij5g!&P!%VzsMn<XJ1d5B@wbiIJD}&r6bD=^*d=N zMa6%&QL5|x5v861`q4FpXE(~mB7b>)O6f@FzHWW@wb)))I`<9hyMKMNOp|6TWrg}j z@6wTaDZVGP<dhE>4X1;COnu^LPT#y~efKGivQt*r81M@&x!pVNdjk4wx4<l_QW(}L zR^M9}RVfEVYI|MxZt-YlB#PzEn>ADvHloFHSIruL;(SR}BD`|`ZX@~goG`gp$@fI* z{5j$6-tM|LKsU}mihl{(c2d9N^%9{M;yqCx@c@I%l7yd%#BAPJ)jk<aidw!f9&l@k zus6)#H1lX^YNtvrw4;(1c7%7dl(%kyy}~-a?O)&Rc(xygb)2T*>1$~W9!aZ%lFJe- zfTLDw(5bfxIB2fnuS1rE^&&8vtgAy>v<#-k%Pnach>Vv5o`2Y$!w-pYz;P?hU6Ba? zK$H8Tw|So?_cmlnk|uX>bx4sWr@lI*K?_^P%P-O73&+a?mnLhJlmp<)(Ql)wjk+VN zjaF!<SDii=929Xbcmw-z>1XZ3rFY$Ln}faI%^7Rl&KK3ON)>;5j@w3><=tb3{*SRf zv;TtsM=+6oSAX^5A1D0{iGMoyGIu4|n%r^vFR&(+&e%?$|3dD+59=Cs#`?K-{dL0M z;Qzme(^78d=VoW^SH3)1$EvB4-FIG=Lg%84q94Jvu@`$XReSI&lw}JJaf6DReeB#a zq1T!0D(FYh$%0qA*q3hq35D#V4d%bO!N1WF&2svpYk#q*KZzjsMSPuqb7&`6c`|Ko z<<lrtr)i8GN2}QW;%17djhlu7ZIKHtho0X_*1wYu?I#)iPI>~!zG7d3me7NX1czR5 z`+wPWwR2nj+|0_arh?rQIpl7_d``ukX)`2qv_YXbm-6@w1<UR`b~xk$|G?Fe+Nu$3 zRai}MNPq3X0BTI6q$&`+-a6vEzb!^_abV@s+h*<(1P=qzJ;sm|mqbTaF_vP+w;qT* zl>aaAZ-ccwe+B&^@1F+bN2FKj{{@h~BTX##5d53`|2CMO%u60ZJowM>A9DZSfwE`t z9&Ry)<_U((O!(F_f<qdxtFgJlwr*xxZ=!EtSbqfB`EA`g7?I;D2ztE%*h(7cZF3sO z{<LKW3sN@ADmM4v?@7&StuaZMRjjkz{>-Ga7R`r>mEilmfHY5QOo+CYQ*?h$lbd6I z!gG*kf5KC6T-}Ja2=w&tMWF7#7lFR|Ju&%V{EpfF@=><^<)f`M|4MLZ?)Q`?@Aw^i z+J70vea&q!K;*`6$%@~SbK`fsfW&Hn-^)kY_p_{_ZL_tC9XmyH%-EOg_8qfkrFr?T z;Fhj8dp!+4sP~)Q>b<bJsUx+oJRQbg$TA^`M`}@?KPSzfGFaEogbbQX<a#gCmXYRa zSjVeD#KbKZ(t1i?h~|33XlqCPN?+*e^nba=sX6=9%e`wZ>20HYZ+uIymk&JP;@OuP zYw33!=7km)a}E6T$EQBbP;*a|IjX<DT*w<lbzs{3{*Zks@W@catBM`Br<Y?RPrahw zx$}P0^{{Wl?jLUOzNz|qgLjUA?QnI{5q7n>O4R)atRKIq_lBxqvxe{2hkbcKcYlyB zqyN=)7v6(sE~nSmgJoa6eFGcqAJdpWId`8fP=AipRanv&WN@}?1-**K?4h@IyrQ&v z0z+(rfPejw{W{;iedC0v6(g-&i&2Hg4Zk%ZJ(r_25Su20&xdo-16NT^cyP$J!-UVW zIdzz27F<9Y-g_VXymU+sY#q7ma(@jr2j2QPd?~6aWXFN}dY}#s-;x-650MDQkJeFo zpL+&;e(ssFDP}!d^77xl|Madt$^e7ApvVEys(iDS4^_7}=P@;6+{+p)s>GLUFj1$a z9b6i#?Q^-=E**-MdDzT%&MnaFFMn-d@%cgRmeSs$O~-^c8Xn$VR~^)z4}Uy-(_T-x ziOH0!K8dO}8zQ0<ka~?PhhUy$Tgm?GJ|m@Xri`9mhd=lvrO=KJjc%QR<jlJIKbrV( z!Cg!W`*O97ZzT>bb}3xjc{nXd@z;5bdg<`D#MDLpkZ^L4#8QJx`<PxUG6ZEb5Yn-| zQjXa1A9_-<QMA2s1l{0(AAgr{8F@1vUf)lAyD)|<u?7V+)^vHd+N!rz^ZR&<{eXC2 zzph-&oSV2*W1(2RIj;F(q`L^TxwAK<!;_%pGFVuxJv`#cG7?!f1|J?4<k_lTk6(5f zTDb&~c#w2=gsCN%$9D@^ZP9Mpw2UPPt+1h#Hsl_J=njm07?3q<kAElKHsIW|!a4u! zDMUAVeEyuy#xlk*l*ZE9Y4{ek`qL<<MG;OKHg%vB4>tXP$9LcIhAdH+tSX`rzv%im zZ}l}G%RS?Ra~+73jP+smc7D5)+;1a}qKA$v1^=`g`Bh`OTjRW2qe)rpvac?jC{zmI zNgIow8E+;*k5fhtQGb`EsLR6CWq#_i1a(=E>a0K+QKO7VQyLt0L;6Y3EfTbbwA#5g zQBQ)-lU9v}(P?YRZyjOJYeb{ZLkM;wm3Ink>Lq4YublkQo)OR_i&C%iKt#<vcfK6a z3HMF1v251cDIL3s-c)ccTB}k*Z`!-+RX7x_SD8gXE>;Oemw)FGs@(g3EKf()d>k%8 zSDzm^M;<o0HqtdH^l^9vt?4?jNvOK}ev`u$rT`8n4~t(L`8-&Xp|K1e{5U+04z4O* zo&jrp9!8^sGm4kz!S<cQ6m)Q6vBV@e^Yd^lTIkd8X>{<@Vu>ZNR_Cz1Ys2XQ?zJkJ z_rY&Vot42!+keAB?}KYg6`xo2qBpg{pP-A%t;!wzB(5-Vav>pnxGw4@=Fwhj;S^hr z=<a2EVu$TKxn%r~u}XWYE!g9!5od~lN8cf+t`+dq-IkFtnt*E_GnxQnoW$(1cUQ*$ zZ428rZashAqz5PtSrlJ{fd1*2xqRiMw0vkBnwQLsmw%yc{$go5L=LzW{Z3xUr;n#7 zX3Y65%B3Nq_mNXWn~`!rh!bQZWL$#~Vz@$%4njG(My)hhw}I1Bjh6fNz?T%^o1&L| zTIUBI_Mk-Ij%tQAo)Lasq|xlPI*pk?@Q44~gqa#>Xt!-QKi4N)i`~T3kTR-0$$_&Y zBw%A$uzxfv&*ywe)U>j5#F>XJmsOC#?Fxu_(I*NBqVxv!m%UMs<}rICZ*VZ=$AkG7 z_hui+L2_Lk>pHIuKXUr<8pYwGV6SPZMd+0EbH3UzozdmR^(mt(d&|a>^=8h&PVKUP z$d6BAb)tNYlB%4?T(dMG8AXkn-de>GBru7>jek-*19ONVHRanzzfimg!8Por>4XnA z3Kpum6AOh%IA|eJ-R)bEU>L6c2&7+dVjTrB1z#9;s3Vm?A;J!W1t`DvkO=S&u3iZe zq^z`sx_wL0!m&tbViUDt3cft-fFeDILJm4`^x36P!_Zakw-nDi8l*r;1LqJLMi7*( z(|??!SkgdaWq%Q=9tz=e2<)?4od%=dn1C&Ye_BWM*W61SsPZmyT@#!bR<A6%;JBBf zyffg52nrhZIf<TibW9kq^)9lB=&h;dL@D$QG*5G&t88yMKdxpLhHs&!5J7jtrWGEn z?miu_Hg&!|9WE{`nR&BRS++fiDAI2_Jb%%pp2(5v_`WovseP>c$45WTG+0F%&vmng z>!$u^u5}cYzcMY4_8)x~6d|OiD>%rJCRUNw<6$;`9Wz;bWYNF;RmqT+_VXJOu5D=< zDUoqA<l>pnL%pb4KYypppa?;|df{-Ej_Kl=#i4SafZWNe&w@^-NE8W%Gj(8#XMa+M zdSBHB`=9)%JN{6@AO+0Sv0FTY8Y;I7=$g#R3~H3n3lj`y?ielhxVwaRc9PbfyCJdK zmS)&4*%AO5So2%L+dCO(mweJ4Pm$P5DPrpAzt*u+{;K>cXIjzwG#(GL!df4Hrx!sH z0(#6s94!H72i5|X4mmAJif4LBz<(>X4SlTZYT&D@%fdFR%LU}{ox+Upo##8tP#-EB zJ>jA;df6V@XnA$&L%7q<1qs<fp`SH`kU?dV-YZ0Z!yCyco~3@vZ_^C~;UCT<(eWBD zqsuAMrSpxJpKcLfJl~4~!@zdl-$&5S#f47w?c}0t8`|!H%J_d@6HPfpmVd^>5k?7= z1LR2jR{lg{6ib*6aRdiFjbYp4C#K_!jQ?V9>=^e>o#GwEJ7W0M{7X2{{z)u*h{5<3 zmNDTlQ#@DxV3H)e0b`iTpH_o>#_1WaayIc3({K&OtLz4RVOsbx#8wQ2iS|P6G#KB6 z*ork|Am73F<y$5iGLdiKpMU1dneLxP$cdjpa1jG=9VS%1Fg~Ix&dgMb$$*#ai)X{l zAkq^gSt1#USMjR2R|uXYL(VYW{Wo&yafXM;hw%&uo|ti|Fj1lnz9PTC7|ym=^dO2( z<wS{cf*0*;lXr1}2;tNFi=_GR?YPp@Mhr~?WN&;yK0G0co*aPh$bXkgjAA6);*$_k zaZv}!bod>_YCKw)EQxPGtj0w#?SB`X%DtzB$CylTM~V?$^QoMX3dGO2=s1crQ7Rv3 zHa)y!KJ;E%zH3|*FPRBHkATNV36X>FH)+Rcu<Z!}?fe-r5gSzl60A2I$!cz?RpqDc zbxlH-ymU2Zn4v_Set>2W{AO0#xuQZVzhEBhW8e<@%29-4L7#Fcgb!<2(2J+x)i zPWWrMd1F_GNDbn@f5#Gvh_>#f{H(a$`3`#jh|gyiC+g$imVTB}JYAD6(Fb=HZ;Rl- zjUnt~SD42H!<_LMh{gR+RfgPiVXNogUqQW$2sbTd7~=?o;D4*~2NPD<nwW{3`v?0s zi4c*v)_g&tEKbZ6%F?8`|FKFf)ASfgZra*-!R1wZQcPRl6kW1*d~)ti)tYm(ux&(V zh`r!g<VbOrqO#zPrrV_lN2c}KeQHUsYnqgNKR@wbW1AowSGrhY+dCo`t$V{1*@UIm zQ9WlC`;R$Ae1EGk6h_$tB;JYJFE?)UDH$#~y51kSbfK2?2pW0ZL0NWcIv%}|ajWT3 zLJALQVDRNe1fSCQs^jM~i*?7G8hdKS52C!D`mec6gjrYSUBI@7M=UD#I%FrLgzOBA zyxh10uzxsyKD$^5u={Gpc~Nozn|mV6zB2DTwjHxG5P$x1Ba}~x(p57qjOqYNQi|R{ z+%f<Z)a(qHz1%ntD427UN*QPc$|O8NK2s^_<Gp;1O}n1kZy{JroIcIla5Bq|O<VWF zeSHf)yPjigAB7$Wp2z%py&*SvOciUXqlz5|{(5v&<GPc1#XS;C_D;m_Eti&R*nr0( zmpNP=OMe{{NW+8Z0UH$RC)l;qp?46SS=Ar0?0C&_Y!Ll;ad`^t`e|4cy~zN+KwP^i z8mWCoin}+-lsI+pU(x?TbT<LtdW7tM-%$J|PtyONqE518(ux02B_pqw>83@0UB&-N zv^+$Xto{0*qj%xWiEDNH74|<$_CL~xcM!>4Pk&q$GTllcozgM%6pIYWKr+6k#vBn) zV&uwQgf$j6sB9!IWDME-LYk;V!Zn&iVa%a@@33wCO+ql{Fzd@%qV8b^nq0q-{RxG1 z$eZQfWm=IEl1E4<!1W56Tx&>ZLUK`qo+j4@5}KGS7G>${FabmJ%dW(Qd-O4n6C+h3 zUw>$f3BxprNGTfANE0#(GGgb~+KnBmEZ5pQW<JWq^8pEJT$pzw%Ywt?HIGBzdB039 z3fI=;T1RSP+d{U^`woAD`6MQ<uJjI7zR;@TLY7us3OlI@Sph94Bwq^C*Mv-gmVw+U zXMBgh!gN{rLt37edn-VH9x|V}39@WQPJfMmyO32|U*OTl3U#=UMOu0O0qF_-dD1*0 zq^AvuYAU>>5((2V5Q9~(A$8rSf99otM#8L{R03Mz>7bFjeVy1gXM&0Euwi#`8(Tb5 z*u(lete%bEe(Q?w&jsu3s`j7RCy=XOFW&@*_5ExiG6lB`7J4f}e;zUaq(nNUfqz;C zfmyBJPfZjcUB<szXFcJfYHFZnK;Yz=<KGF;E7nk`Lq)P{cN@{x$6*i_maOJ{oqR+h zSBU57w#`GMoukWSw=&X;)e_0Px4}omdAhHptZ&~a{&voJuQk`%bX0q+Bef3*j<=;6 zD7>_q*mf0{w@p34@(pgYqnw`QbAR*j6^$8v&HJ`L`5({bFMiu9vUC|zigIu8gG|fR zTo8J15jhd<iC<6W0)K;xSEDpFU!#6DzqOSWlr4RBqhyR-VXml1;LP*-WGS=nC5KO4 z`2mJRqHIuH>s1cdM!pUDuwPGDURblo96s0f!Jbp$vqMC#l`<H%{iEvm{eR1iupNgT z?1NXK4FY!J?IU$%)AHjP><Veq%Qja71fXWuMzEzdrta;R8(SUGT+Ya9Z=JNgarpt8 zywZ*Eq9No<Fk&VQa(2g3x{WJpvGsgwH%{SnNZbmmgmQ%`WaGK-nV^*2C?07UyL%-` zX|7|Ej>Eu@dn5R5&DmVtyMJDktcxql)x;f?PLAPjtgTMzG3B?un|9-sk=D28?hg{2 zBT{rmwK`v}MUEbt5_3#yuWrvv7v2H+`fMU0*rJ&aH31&ri6J}n6N@7sw5s`2S4V7= zV_7r;Lhm#Nnz_xVHFrzIrUx+^4)Bt$noW8D7lN&?YHm#v@Jgg1U4PS3#xb^f58FZL z;>6H%_vm(5o_?2u^&^1db5T-aizS?NWZe}@2p7Z{ai*{IJ>yJI>v%ut(F-X{*u*WD zRdVAL3NCHR^-Ukd+$f#4e1$xmba4wZZMC4uq+=82&!2R$)ajAwn;osSf_J8;DK=b? zi&5{s%GjSfA0i}P1AniHoVdp_U!zkS(j+@QzM1&b*hk0C%Z=ac$l%mY)2Ta=h>Xi0 z^%8ojISga!O@ba7@21#G6sb3tE`20;jEX2PTxmZ1{7FqJPj!adqEmCsb6F_tpl`05 zV_{5-V(Pf2>X0sWpfDy@iEBLAX2?~dug3rO53>ej2r8Nb7Joj{+ae@&1@y@iI_KDN z-|okHO0>mD)ky@(6^k6N_A!7&K9S*EN4>n2@kFa=+SII4bS802kYnPQ;k}=C{fnPH zWF8r+3A=Asa6^6Q9J=b>t)Pc2-b8+m2^B-);@xa^j)~@)0RdDQVIvZ(*!znEK8C*C zzfl$aU=Q}wdVk4lyQrjsQY8INZ-9#XfeF2)nID%-{js*#v`CFUgh5aDU)RqjNI5T} z949)fTs~)bb{W+@a$1EudsA3SY%R$JgYP2cP`Y)Fw^0sX>g=3RWz)@+c>`1U36@PN z9^5zEOevvM^%Yf7C@gc{-JMt7LJ3uGer?B`a}BR<sDJH-{HpT0p-8!QT)-|zesVo0 znSQ+Mh5TeL5P3dtE<gzGK0^on0Yp4$ky&$rvXfpfV+I3d*+Uh9NY8B`q5(wgX%QVD zk_kje>OjPj7SRSG>9mLn5aFS50LvD$1w`;J2l<)Wwis&?UPR_C<hf)Sju<p?g)-}w z$O(6!9e?F(3vwEumfS?-%GIc|*S0NkOJMR1>~N9_x01s2zKy)J$o0kfFzLK%(VaT= zDh9qPrKToI<VH%VPUE7fPeiyRH<3Y!g{;;$FUU}3QcUCzK^JQ>8YdTguvd(lVSe1m zH)DMbDPGv|MN#Te!PIoA#s|^6c^2!bu~ED=nt!sXp#~Y@v8T~%UcGNe!#OuoCsGuP zTJnm3_!`nE!QRMvY?q8cubK6}Erv!;ke=R}9G{s!r&?o5r^N;LScwY(JO&r;Jx!t` z4r+p-YvKePiotxN_$WcLAU+e}8b=Wz^Z!1q=pdN^zlE5HUrCGH(4Pw=@#-29RBBp5 zsed^mM^^0Sg6~7kl_0aJ7e+Uz7w3XWLDKWV_ZvC}?4U|Y;MuPBzW&2yr`B8L`d>&H zcAR8cHNh}6iIXAtn*51`D26Z*;v(QUMKk|-f9Y|e98StKnsM(4kp;JEiWxKroD<6n zbPSG=hJLQU7fxDY<n6fUT{ivw>+_?J6n_Q2vK@`$<Uf;e_6JBPz(iUuB~<e1**4{t z8@&Arq|ahH*V?|W)NYX=K+4r&i7iQt_v{VcOAYp`2IS;K*BOXH|1WbsKc13Jl*LOy zApC_&d)cq*Ws!VIv^|G!t^35x8JiDB9RCqN7@qX;?U&=c6F3{G9rVZ5323VdwSPya zAYlU)JXN_aGXJbrh4RsK(YpJ1KrA$f8)d0o(6){4m#H+I%i*htEK;g8oX+8!-?odq z75Py|rh5aGVY<lBeO&c(P5r%rogC|F@rj>84yyqc$uH0w&O>6Ww=I%I*0%I3$ER}m zygH0F5mh;X7tOa&Ql^U#K(4DMihmtd1LR^eLDs1pp9M(UcAz1W761uM`0zV?1|l!e zwqg#YffmWG+jb+ku++edIa7|yri->fG3%j0tSEbc<h>@WSLp&2v*1HPJTB~buJv_W zUg2E6)k-D(H<B-MtSr((vTvnYTFA?_>wzj|k6DkHr-e93IPyO&gjhTT(tjju9YJO9 z)_PJ?FT`aGr&(w&-1~5>>Y`)Ew-W8k9|BTy<X`EPc)@#Is_kSuuh!&=`M-(2yA_s~ zVoJGlHUcH<kg<EgqG~OmHet6h+;hzRU21yVOhvJ@dDWV`e?)drLZPN1YntWzG?o4j zJ1uJg1H8!LP-ShyPF<{x*ncW>N76O3ET5U&Nz&m(R%^&fp0uqB8g-;)&1IjepgrD2 zqe7WG^!BN#lUMU-TAwp@jO+s&lc5SU*+wC8sHX%_0Q>lv)cpcn^gsETCacWSvA9ot zGa0J5Pdy}Fx=$63o5|RxM))`8(6r`pq-DNOv-Gk5;+Ls@sv#Bgnt$R-83~D$t{ADc zEiTP@Tmrrk>{$1`*j2T`dGzB__3iJOCnGM?lKZ8vk+A1HYXZQjWWkCNrqnNf3L)*E zu=84g9!Ib&2Ym43lBOR@P&vY&oKQDj9c-|Atu<o;=sYqGQ=O|06AosTyBV&hNCoLq zyL~mfB(HltiC(*~_<v}!pq=<`1+;q-zQL}*P5Cb8Md%S_QY96@qdgHJr#Wk{DM$I5 z#4S_SW+a$YV+xK$$(sy_Mnasgfqzv6-9QN#DI6KpI~>JlI>1bJfMXxTM}N?;WuX>d zKF>mJ(-qm}UsOh5VuEpSQ{bWS@6Q4?+R0wDZRnE32HdK#a(_~k7+DdowZHA2%z|G< zVB#r4WHEdxV#M93Cb1;ZkD-b84qH82J#Rf-y%8}Cx0k<TLfffLB;!mGNya?)BpD43 zh8?&g62^khMTEqS^M(oIoe^dxtISP$L`fVbW}H7v`4(4`7SRyrWen$z6eSko3XI{L zk$l7(`v;)q(SJqiSH>E0lds}kO)UTI`xtU2a4rM7Fn!`<+)RFYj3kEvbC^1D3YT=+ zGHL(hwaYjbQz^#C!$dmVj;UpQ9($w^Q2?)oc-msLcd)5rBav`2CXdH}CrpWW6c5XX z{yrlBOhB{0Lj&)XpK0>fyX`UT`^TpJ^=|u8;|aD%7UBeg%DjJPzrW?4!a;;1povi; zWJ_Fh0)>INiil>3<REt8c!`&A$|jftd-}vcT#pHc8!!l5K=V$-MTv#!5cP0}b^~u% z|GIHyG>eV43*S^OK6*gmV~bIeJ#g1bbVA!{JM5{Ve>xwn8YgVxdLYt}ScR)V^u!wq zhY1mNaD&FKfBJuRjj=2Do+JJSp$Gi}P+?0`VHkRr2j7pdk5@7&Wp|EQ;cjBTqlGV_ z?X%)^=5b~jW2#ppUc}|*>zRba`0<R1g-PID_P2pG$=<QVYvk7?jnjt(;=A&-5+#{Y z`Lu2KVS0E7q9%4+v|c2Pk?4;{<pWK;bAy@s(0h6kK9qmlV&nnSCKcS~f5c7Ed6^s^ z9VRq82`{8$*lJ;j%Ls%5-98&|@7Us_kt}`n*Z7&KIf~{^63o;u2a)HpR7hS(6^-VE z7NePXZ&WeBl4a$$PiisZ2VAMN79%q@{t!B5s<?zX*b@Y_-$RvvphLTAfFm_^Xm|aR z`?ON?B;0=^zR-REP|?A*FM1B}tyD<!q3+WSt&?#6IPc}9C&2X?_h}&TdJi~Ms-*d2 zzeS(M7lzP83x4NXz|KDb6fH*<aLRz(!c<UCHQWh3B7iFj1f>c4W%6R*FOxa19A`G5 z_axw}orsTS-hMVmUtWJ>_R=cx#X`&Y9SfC>Hl=^7dK!R#dJX$*DNe(>&kCw*4>(?~ zS{kTT&^#O9jV-=Lay2eQ1nA3%jVowC@SuwJOf^+hMz-cJBuD!TCDo1Nv<{LY_b%Ks z@2%umTZ_?}p#?LQ$k{V6o@b8KuYsflxr`jt>Lv!vd>LS-?(%Ri9DmK@MwtmP?0>Cx z_f~(o-<A1K%TJsF_i~biv>u6g>L2#B>W;}eqQh{V`Sb8@wV}}yhU?QU$_#<b7|xF( zyH`3zcE3JTBfYsiAVk{GoaKyqG5Aa$Uv0RO$1;6)gAONym&JdG+f`l?QkUVm$%$=+ znC7#XLaiRzpRi9+6^$q0dk*4T_K)Yt%!hyFi>Z02&)ruQWhjR*{C-uva40pD)IO@R z`;xBU2}Rq3vzrrBdyErvKjgcc+ZW1J6Ncw1$DB>X^QaD-ukmn^^8u-c&Z{0%do+Dz ztqS@vV!2e1;W)W~XPQSiecGwNEy<lZ(e?&hzwXMzM~4!mZ?O_52hN@U!c$NlJ7j+r z?;v(0Ao0)<)dR{RA9?vQQ+WAghM4^m+Mw;M$+ANi#gD9sshYgxt(HxDRBQh5(JfAt z-jk`P>Dw&ZQl*9;qpOYL^CTo^S8X!azeK6#fZ%V7crDkcnR#8*jvjQ)?WkNnmtW@2 z79kEK*Ky>4Of|~h>7(vO&%oYOvmSqYYC(ElNS2LOo%QVx<5%;_;p;qeD5VRQyIFT# zU13M0)TDlb)rzX-BT-4OE!Kp+TCoqPm?5lxg5Q1qwhs$zem=VsiQ7EUKB0!!H~g7! z8sqludyyg8_|4P?%2UNO;+Wi-8V|B>sbG;McO#l<+jsSBi-6XXq+|DxJ)3{Q>&O&f zfZXS^z+cy(v6eh4xlkx=n7-&QnT}Z!o8BF%d1P3vqrN^g=MIYS4ZKAj^4ta27uE7h zh~_PwmmC?bNlu4wbEQK(;bQt_$<)ZXpHold!!1V4a{8v<<Yum`j{!rX=PRUHx^lz< z!}T@$SL7#qyO;6=q^`qryn=r>eWum)Ot-&u=Yb+Tz2xEFx6ks!zl(GNDYyQVvO-I_ zMgC$TSN@|R)DhzR>(X}yqYFhdJ|U+1QQv_AM(L<W?%obslgA;V%KJus8M9kXi%&c5 zEe^fgJ<#s;@Pww+4~Qk3W2or5+eDZ{CAKe%WqaUCuaEis7bkqFhp>NbsfX8g1)(^a z9|p~h@7n5VnH!mPTi?4~R`+Bmq8#&O<l@VpixH44{aEK!Fzhq`G@t8;&43@FYU+LP zt5V2uu-5jl(Cwzjr4U)L*3R&KabL=o08GIxkjb|src1D_j$YHgd5(R^iSA$E-v+k_ zYS;c6{X^<M33#(?TjGB|)Id|j*AV&Ozm)qg!EFklsQw?I=zj%neRf+PVbZi0@uk?u zcG)t+sGtPF)>A{fZyuFBH2zow#BRF(<lrycR%lVz2h(dt+h*13x~&f;6!OXfL1G~t z5a`8wR}`}i?J5CgFs5r&NY+ogY})|a=6t|63aSFh)}Vt;$N_%_zifLC+s4JB8Eu?Z z%MsHh#femW4~QovBo{GgMqdSNHURR<qV?R?&Emb+m!1HZ{IW`b<^cEUdkiq6Bj%$_ z&2yH_eRkJ?B3T0_nGR;82pH<-xl4)3tK37oFWiPt(TZueU(9*HT66nS8dU;>55O>o zEe@&E!7%dXPR@T!u!(8w?2xvfe4dTAqT1AM*mI^nm8G~Y>%P^d1uplC!7a$b1)gyt zQbk70P+w!O7dFm^ywO@?4vPMT{4pJRQ8Oat+npk<CPj2kvUkNdq8BvGK4*ci?>?s{ zHD%JP_0;Z?W-RSKmz~t44)na(b`I+P5*Rrex<VE5MLU0TYfc!jz*ckOyF$8J<{CZ@ zZ>`;l))|T@5cfrU0EtIfV3~ns+net<P4!`J+?rHFxAigqtw#RCb7?p|<4i<NkK4Kw zgXV+?@s>T_IX?urEM){PYj5sf(uUzI_*2A9;Lf6&=k(l1f)mE+>N%StnPJhwW!u5p z8NMlMAC!N9WJL2^Lrf?nMaDNtW+}U6PKwPJ4FOn+W!oh{aye-jnz=n3EnT)<jdEXC zX1YFHr{POU1eDIO4hx254wP;4OsQA%Z}xVh+ymvxw$nmCS+vc;IegI!zIuGHXxXy6 zBGnT2yNm>fBEp9{fh1O6G~jr{b509~`J&%G=<0u2^TGwvYp!0~NiW-;uBWOiVkj8U z=OVeu&8PL|E01z~x1Pz3$Vg86Juz*BMM9n*8<&n;9`#W)L~ngWj2I;{c=JT0Z+*cn z8?n_lUAX5*y^LE1U8!F-ikaR(H(b4Qx@LmE$TBT`j&m%^C@cGPjhQEYlLc#&P-s#! z!~cJ?E6#N_mfu~CwDFM>L#db?lvdj%>jqrXKGj+ptqh<8qzzP@EA*=<hLWpY_s}=h zb>b*#LlPibM@2T}H%Akc>ntM7@9ygI?S>uO-T=k9rXRzgFB29Y&kky_Mj1TW4NKl< zk6AZ<ZwDMj=U=dLHYJU%0~LPE6_O%%=o5c=2p)wz#c6NymU~nys37@>^V|Z4DlF_$ z*$jz1A7il&CR6dd()lbG4~CbOE-NAk@~$J6d1~BiRgpKAtz7la4{)znG2B@8cO7vp z38^eyRsefMEKiEdFDa+<%NNrnYUGzO3k<|yMXjzfu!D`=x&8(I9WZIK+U~E>Kcs*D zbAY+A*rJRl@@M|c;OR1+l79F1Wd56=R8}n3qv&rY|9=CLVmJj>T#gD1h{!w1^Rh2; z3b<6YF}ke+A?Dd=lGH<1Yp;9)GxnRXtnn0A{6c;)n^mYZV3H0bY6$^;CJ^u<nSsEb z2N_uba3pE=gS`|mD*nb(c{Fn+-{^m-viwv70A&Dkl}{L^nJa-68NlqsU>6ztDA)M& z{h|9R2L%B;WjtkwZ3|(MAJxj*{H(-gRSQ=MxBxKO__f5XYB4mk!>+~H7fTa+1-Pv- zSkOM-iEm$+uH}yL0HeIV6=1B4r%vx@CV6r{Gt)M<c%X;~poq5lgsbqczQ=#<G7t7L z^yO@_La($`Y6Z7M6?*4raq%N>2roU&P9q{giO0Va;;;0DLWj)(57X$d28e)EY+HNg zOQIBdztn;#(M;9Ew>!_YATr%|k{2d{_Ov@HF|22rWOtkwXz{703M&%MPjR5NKCyN! zLP{%-ieX}x%3w%L?~cWq%^81BvFTWaHWo{acTITA8&3u3Sds3F%j;{hu%~~D#lE@; zsdQbP0x0QM=o8biW9!<7>!p96w*+*~@aIn|$>)tT_ZJLx8&5f3Ou(AOxtqsG@)&TF zS%06d1l&PC8I!4iq3S;iHe~Yc-qyB|Nt$}7YRHgSqr}Ue&LXeNc}ag;{8HAVSnS9% z)<mAqfWk`&SpHL1p^j@+M*8bjQrC_O7#3^DHwGq;&V3xnCs+WAr2`m2F_IIa0+h4b zsj01oy6*vkN3s=(wef~Hg1`+>%F859%RA9BiG`d*5H<m*w=DIDz<9|Sd3{}u#DY-y z2s=S`_<T?P{2tgUlpKF8&fY_UCt@*xje3z6xdOD8+4it6TcR9!c$<%1>M29w7CXyV zRgxt26hq=7P|EO|`TowyR-vT=>^nU9Lmxs4Qk3!u{^jIZ(xHr4taO~WKt7?3Q@~FM zdDJ`xD*=dfOrFtZw+g+^%pRi1%WnC_cuEwoPpS-wdYP<=a@Bv#iS|H=SNlZ;TrT7| z$pY7U8LWxvbOL!<CQ~Bx>>+E)I*x#WvZ^%&@;!?1-{C_L&X`OE1GP&|z(QzE$Ce1D z1&;ApBM$jSVI>VfT#`v%AIKzxU=`YNLI90}dnoN{AqXPCod+z-ZjrcrgcY}dCLjCi zZ0SwQ$A^QxT=jokOs1S8An*0PmVSceJ`SIE)w3N4Uaxw36B6R8ccCPN$5rq0K-3ht z;D_;)E7EG{0K1s6JA=IMfkdwSGCBdx7%cO@z`q0bG(%8-js79^p97Qy9w1zQnGg+h zax*)`-x2w5f`-}*i5<5807d^BkZ@W=KBDq>HF>RuZsdOxjy*mg4v)poC%R3mpUDDn zFAi&0!1DC)AgyVZhlA$v2%xR9MBV8Hs<Gb=@aGc(TJBs2jDhcdlA%jh?Nkg_j+H-z zE}xLxa>s;bC$8<=iKjHDMn2;GzIB1~nakY-#b68A`R!TcBeGT2#^~7LN`OlggY{zU zn_YSWv^IZW%=q#NFZS(A-qC&g;>FZ=9I#3Rn|)(ez{WoTn7cdf(+xo0dRZ3T*#{E6 zSZONtt@leZ|GOk71F-pQRwO6d`%bG+OZeB_bHz$WUKYI8S#}w}b6G_q-C0FP!y8(E zHS3A9zlqQA2uz6=@S7|_pq<dZQksQ&YTbt(zw>`WI}b2X$2FGcL75Mfy{_x3YAmmU zFoIt7ZFd})*)0X`;7Obkx)*7U91$VJ4qur0aJ;{^mt5ORfdVZH*rN5@`GkkS^T&c) zAnyfT17${AjfEYa%aB+Am?m$)3i$xzXN8&d#oQ+hiBMLc)T0J)t}|K^zZasOvLYdY zN*;fx&tWj&CpQA4h-3K#PL+p&`Chb%hd;Bz=n{KARD+W8m<<HU#Q+azOM#q=-EATs zp3Lm7GWi7an3rnh3CFKub%2gg1vIW_KH)kb^~rb&+%_0>aSj}v3!uP5S(x2V?G<2g zjE$#6wBx#C^S+A;pjC4|bbm0+?iCftQwD!-LgTEj$<$qXcFTB(E`s1hmso(+OtlJ4 z12nW~W>}FN0L`5iWn&$tQ)pZHh*+a|7eXnhY;2V}&N%W$Uw0RhIqS3o%8XWT*^Hrn zXRB6z<h2wlnJ)=5eQvo`#UXPo?+3WrL2MS>@x#2#KrcztY>G8EmA{Q?fvtNrVfud- zbt;<w)>Qd%$mY(w(Aw1~g?ho^C8O`thUk&fG-ur0=k}jZ8CHp$5cJV33l>OT2BOQJ z-I`a{ysQbD%<n6e`ND0-t>vZH%x<{uRbnw`O5Wl9VBB#uxx2FrZ_1RC*DsnPDSee# zjw;8Sw&_6Zz$e3=x%E~6Qg2SyAG?1_bf?HHCiAGKpOpeGPQJ%805WHVB4Yr~$Cq2e zC&PrU5u@VH_V_^JAkNc_BOOz63s;?%yO}c$C7i<S!2G`H$^mi9Gukbik7X>%BAv(# z>c&8AyGKM56wS*b6QCLo?6Ta#i=i6HLb5~SL#`cuSDMnAhTw_H6s_{@44r?W-ACfS zQMTNgQW^1GsJ6Ki=&8qV!>&d|OIy0ZK4=~Jl~8*vB1IYu>j>d_Uwt+LdKB#Vq2}oA zCdc*=)AyRAgGxOub3CtyM(JUWZh;)Wez9GOWpzxNHO+HE{{sIGSlX6~`D^qKss9|H zW_*_v=YOa{r`tN4?SCovUxR-<pWN2h{t=4)H=yB(_^wsKp;6DW?MQmq2&X2-H9=7L zX4$sm5%)UrBc)w#>otjm%I3{;pW_#5XfNjU_Fv3l6wFgqfI!Zi3kZw^%rkF*n&)^p z0IT9lxvvt?8rvl$D7!-Mw%!r9Fim@Z7_k5T5Pop~{o&L7_lFc46=#3i`$HqzgkjnC z6`F<8jHXTR0YomOt5+tYFC_=?x|-*DH~_C}XqU--TIqmhv}#rq;K;!+$M)qu)7&m! z$^)8tgkeO@Gj9S#DP`L)O8tIOGHJ7nW!qxY38#S?z-WF~*)}WuYs3k=OqH35*Rg>W zT1`^s!tTPmx*CvWkQ9Hb^?ME_3(!btUlJB@v7pv{)#Jp+Dl%eG*L;S%VTQaN*6*h> zk;vty1&_5K=H{8Nk?*x4WoeGrFQ5$_2^A@)c9$e+ExvvBnlD9|O5Gl_+oSF(tp&`; zP#w#*gMeocS=<+Wm<6WX*)qp*b2->(V!K~wC?bkm6P_90H9vpnwypz^9IovYxvpyH z3^k6$Fv47u8s@iSvi`KU4CtJCoaYY_=y9*k;y4hcJ#Oo8CQa7^qwi^((0=c|m^XHX zwais9-0zzA7@0fWlbFX431NoSNIZJ<66}AXPSopRqKGf$P4gVb>Bf=|d?hV>LcsmE zr-!-bw#;b&a<qT$FgOj42F-ydJP(v__oLj`;f&WEaH5*j%WmsT+Hpdsm3_HjHBygq zqUJoL6mN0CsE5n8^&4*(mTzy-!+H`SlI7bWKqMBTRK8sUsOA_EzrGG-)Wm4&JrCnb z?+Ujo-#$y>4C}>cJ`d}nlCJ)Ap+X$;^q!N?-F@%eVLE@x5qN(~5FK28Y&<1$nNR0= z*ahyfl|$UGWk`|}S%{Gk*7`{Idwx`B+%kvt{;R>&$dE4G;L|lm$^jdn)&kDn^J{Rc zr@s>(aL+ILi22zpmFHyTI9KJCWCA;3v0Wr8GIMe;MQxWHGX2~?;GQeh7C=#Ji+W5L zbeTp}WP^Wp-ATVx*9lqD1|N`e9J3-LPng)fG{7fiExG?%G38S!RB$&;b$bKOh;cEG z+B0h@6@KbUJxx=T`Ud@`t^Awt^p!xitPMf8ffO~5Lh4-USBgv1#fEQy=d}lTUJ$_Z zDg#D6;lSWVorT?uk9}fF`8ws;>7`tBa76+(WP5*j^@q-kpKHU}ft9r?$D7M8t~;-a z$L7J&j~1II2BYM_JfvZGV7`ATovpk$9lKc!mN(y@@n6yZK{P_l4=imw#cHKZFOYU1 z@&6|Jid}$WM6yOn{o#gj4>g_8;r`s9Mw^>MC0ejF5YO=e=6imEGD!!Q+WeZ0MFj-} zIK+SSPA_4xLV^pAMC%&@d(XFi-)fl84KkS7)LV;;n9qrx3kfjjdC+uqG16<m;PG?2 zw^koQ-hBOfR3Y$<%w643(a;klWr6_V2H_at41tjl3UqUA!d1c{!Yu-P^75onGbTQo zA)Qf~h00E0+EpXT5z;56nkV8h49X13Ov-<B%FN32%8aGVrF5lCr3|Gk&;w9LC_Plj z%jQB4w$BIo<_F{_N6*UzM}qyNa>d<ewP&*JY-|;N275a=BtBk1FG`<W(<CVpV3S(S zrSYx|81^Wp-BTnPLeivgOMHuR44lP?g>qomkYqqmAv8=PCXY;pyx&giyf*qpqWynu z+V#QWS68FYNlpaGK9^@v;!t8pi@6kkDfUv_rI?iXl-QmF!c1N<DKQ-J9I+g695L(h z>#^%`mMm~)LqR9D2=>~ewe+?6qzHd&e}2N<$-tJ>mid?-W;l}{haZC<mmgDFtYe&G z3@jdYbA363f6%M^;T7GA6Q0iaj5B|A4@ljMfrSnZ{nj>$kavzw8ZUihY^BlUrg!~g zI*y?J_4n@<e!OSL)LCnCd6a_Rl^|u2$N51DPS#u+8XF*KYnWX<GTX<;GrP9VwxsZR zb~X&@bIV~l+oz~7(fh$m?^N)+SIEA|zVt%SjI*_~My@-+WGgAm%kEYOjjn$smlUG2 zPl5P(T8<5VGX`Zu_VE;go;q8bYS^lRMn?q(znur!g7`yrl?U%U&whzaPI7w()&&X{ z(brQ5>T~MT@p<P5Xj~{MEYJ4IlBEQxIrY`~yz|Z`4R+lD%@-Cvhmwy6fdU4<odH#W z_y^TLYiQV7OZ2r#z?ZYL3k!csyr++3&17d673M+78dcsUU>^jMj7)~X=Cd8%6~=l` zyJ}5nw14}CQ(r4}oXmD8EPM<l%T#$Iz&<Esg*m@g%}0%@%YEOG$$ID^jVjwd9a<ri z!Md)X)UKEo<eQSh-0W@*pfaZh)ir9ELn`Wieh01i&xU~dzJ2?u6`6l-O0XVMcLu5U zJ=111N&u;S`_`#06C814NZlHQ0$E@0h-QOL`}lyn3)<4C;+kDoti=KYWV53<VV*^W zxgraEAj~%My2#BtDxbm6ls(REFZo=vRd{>i_F_N>seb9jhoFIvKgBZ?US)~3KJcot z>kfN+<<ug)YNGo@?wfz4O>eE)9{h_p4+^|aGx6MfkMR5u&)xY$x5>DwlKC#XfP7xw zMplo(TtNE5%H^&%r^xSg?Ck=x!QIm*zaL$eI)#Bz#EF|$1Fd7+D@tE0tT6g-PGuv# zM?aInC;C6X4673@$mrC*<oC{L>~;8==-D%Mofqakdp`}-)dhb(39@~#Se5Ej^*Y=< zlHYe?=JpIK+{7;@$g(rF%J0$Wp~ZJT4X=K@lXXHo?EKkqWRa@jx>HR3fVsF`@G|j~ zY^~gh@A{JZ-1<WLvY)<}p785*@XianmYBREVjkQ_dm?<hviag73)TEhGbD;jvHafi ztEQKh{QkqQ=4^j1-Q&f|vya1N)aiCK|4p3;;FS$}p%g=Y6k~63%0ypp^KTf(LR{=k zXOBW$X1QM!Rb#ItYdriQDw_nx-4v+13Vu7OJZ98-$-W?BR@63p=jRO!rR`>Pa@~?y zfz#$7`Lm%@#l1@G_e=+ey2`#l!Q+pF>Kq{Pkt1g?$pC-q{eJmG)!2(XZA1P5W)QRG zFDLDpy4mUN3L#7{j|ad1Ls_!NW$o|-#~=0Q+KV+@5o_p3zdBR?kr8wLG5x}0g>M7x zHL0c}q%uXz+1piPiz6RiLY#)4E8l)GTu-i6y(K1AEqLGQU9}%2G<EHbbTTh-^<nX^ zSWcrjbRmB)v1^I9(&qYP+u?%K>eFU@@gm8+l|(A}R<^h_HRN7@$KKH-wcBqcPvjiB z&Ld<|S=H$+lT4=#?ZNK-l3^YZ2`)2uQnmS!weIHy``Y_{l#fj^nX>k0{2q*sT$t}( z^}e0@G(JxZ?zbuTRo$sW4I6M?PvZD1s`TR>Bhh~;xu4fppebi;3~s!8@%^(;5=Y%l zwX0ouX(>KhBd{*H?(~VVl<hkjg4TUZ=ud(~%!_vG(W0w^HclYnY$R)TC9*BXVU*|X z$~)M-Se&9Q`=yMFmfR11?B?SsjP^}>2HQ`{^6y^2zcX;|2RXg5i5#`jpa^suvOQ@% zeXW0L!Qyi0vv>0<wa?V!QyfPoiP79jGP{#}lEcB?Q}Eyd$F|(!PiHSSP|vJS+(C}S ze)T+d99iv=SjQl0uO22~yHI;P=t7UA>M0vt_lsLduXMh%HWwAJR|bhoj}c`T>&y#b zBkSyQ>5BQ)7titqeqhz_&zWQ4r&1k-sF;80qW2wHD?#rEaIey&Jnr3kC^8Lyld;x% z;aB06U)OBBc^)r;Yi{+B_rCI3<~<*hv;0w+Ymq_SPVgyg`tZ4^)Z^yNXrqw*gwBP* ziZqv+k7u8jWR9M!J8@yq(XT{8jr_5zdd09VabQeYYoeZIcJBM~W(Lf|*{JU9on(Kx zYg;$>ps8OrPA3;rnfER{u3Yl!PNQ0I+%*c73RMo}3DpV}4wVaK(LMk_0A+zPK$)O) zP-eh1GC~iOvXnANu}CpUF-g%$F-y@)F-jenZwct;vS68~*P<UhV9#RDVAf*WV%wb2 z@?!GdB=aPBGHkMbQg?EA(qS@tGI)P-b26n_I8OP1D<_&U;yCFP;m4#xvwd7Cy(`zs z0W@=jV(4KKFQIjkZjv&Y)XWpN6T1^9b-;)LEfpbE%TudWD_kpA%TlXXD^{yO@+LG* zDoutrhs3YaPq3|Ut}w2!q8WAtNWlciq+?4>43v3-5zQOH5PFbwfxti6(UN~2E7dh8 z6MAiNcOJjZxqF<%Pw1FbZkBGIh`})Pu$0m(ALwD}Vd!D%q3dDpq3>u>Yf)=fXz6R| zYqoBQjxC6hi<gU)i<67#h_{dFi0z2$h%t+&GN#j0=_nGrVxh-Ebx8IE<w<ypQEW6L z^#Db3S0Yp-^mr&+sA?#0=#hU=jZm&o#ZZY*gHVQ0=}`Vd2*RGBK$`TQe=ga4>|5vW zVFMEWVZYofCQ~b)thCeqxqh`C7v)DkCt%l}S=H9CCC(g2_)7({xY=El-+hl!EoZG! z0U&|`ZREk4=(+*ou!bFE#{gpvodj$tZNOn7zk3fDZLlE-3}|l)AAWz6DKuchP31>> z)B`$r*^O>xhq4}IH=2cc7HA$3Sb^?KGMMv+h$9HCfW1C|AV{OVU1)-_`+~b~0mGkU zMN;i+!*C-AGiYzWpV@#0VDuuJfVIq)UC}m~I{jPH8tr|WCRqUD*8)UDev4EflcOa} zrsgC1cE154Juq260OfxGh6!m_p=H3R!&rXzrHIN<f)z=oudVB55|-zuQdfg#VDgze zr$gbJM>LuiV4hP4zi`3GMGK0w!9hhUlFlb=gf=*EA0n1zkE?=`CE!w;1}~9_xrmEP zq{cGu{Oo&KDQdmya=u|Upw=(vGjBOO1G<sQ0<QSkowo%*<FbDydcC=aMG3IiSlq*! z1~mlWsmch#2XT`r5YQ;#K-R>;`Gf4G6(y<I?>A}4ZPrA48q#@0z~zOhd?U8LdU)j* zz+a7!Z{#h_8$A5dk;{e2h0WzLA3Jr@bgGKgc_4l;R_jdy*7b!|sN$)I8<Rnk8k7B# zwv!o?g21h;krjV%iI4oVR5}4cxXmf^S><~1CALH(bp%0|@$Bcadq1wWlAl?Tr1{yE z0UV==AkZ#70>3L;)-7RF5rp^A9Elk9x~^d$Oo9P<b>{<lQH9u}SCh&$w9kR5iUJgD z0=B69qv$;>9AF3z+o=gqz9e83daEBG2n9e%VyiPa6ODfWZ4bU#)!OhHNGMO6;X>5o z&@-k}^k*rrthBGpV)O)*9A`1=0^>d`Bey#Zz2*+GD?b;zZaT$v+Y}<-r~}-2v*@Fc z4?&F@G6E|;CQ~nfdN#=l<cZwFl6mfr&bg=xx(gx*;hyWs>mC6>*G5Af9$JN(0<l={ z2l+tX&6R%@7=IY+uWB;I1^f>P;b(`QXG?@?D6?t3PQY$uS%tP@60u|E^7`u&CQ}Vv zlkcZ!p}Bmc2!e1=MIcxXeEYGI-;x>?q?3rv1<5zYBK+k5EpGCoy$M*cL+lgofNIV} zsMbDT$!&92sOrs*Rj6#9kKZ}@M&J>2@0nGo#Y%s%#+R<;jx2Xkk9&J^rc?1iF9-qZ zD+Tm`l^j!f@gb|wyz$A}9_I4(AHl#y?5Llf=gDUy-eX7W_Wlq1?j6?D*6oebdr=Wl zkpQA1s9-|`0tl$sXu_hGji?Anz+ecy3Rt!R(zYN0ER>ZHnv^6|*-8Kbx9kLw1cC&k ziG+U$Lg;)ec+UHt_q*qo^WAfw=lkRGtmj!PbB_6&V~#QBZ;ZK^i+U(`B#6F+U>Z)k zI2rENc!@g=&6Q!_{kt@_Dr}OGlu8l3^IF()T&N^8!T#i_|G)TutyuC$?TP<Kg8$nV zL$S!n>|biFplM%q_5Z5Pf2p|j7_<_f$o+pGEB;U9|GzIbDZBIfn9crO(0ab5BqIqi z#6c*|Szp-SOdtDWLACL4a<=`+!!}Q#fY#h;IAs?M-3O1~f;budWFyQqj~qYq*B$!^ ze8{JqPtY9`@EKZ|1BNy<D7wpDQc{F^O=%7A7sR788<DK}<3<S7KVkzHlS;{3Dm8zt zfP!e=lZ|kM{1vNYe%&sNy)r>Tl`c>A;UPK-gf_|6`<ve$|FKD9t2d`${v{MUz5O?0 zC&xx<-5BDOOTTWhgQJ2VS8z!X0VC=HK11ot=#`D28)6_T0Y&SOV>UF;@cqr6=m3TM zzP(%iiXD&rq|D7t5BZd6Rc&(9{TqK*`AO-Ynjd$Zs6-l8UV^s0{4^UZy>S0v_QxMB zzJogr)DE)*rFU$C=%)JxJK*pX8_wUi$-m#eSK8)iIsR>*+NaFN3VnwKR*$d_+`rOb zmONuSb8P?gxA2MR^|m{&BQLJc!mhV|SeHu)%USc^|CIF8+-m$bCw2dGbBKS~o;oiT zmGJICbTt8uu?q@(>F$P3Bc_k7_Iti?-o2Ho&h;@3@{c)<7P&>g#XG|L3rbjSRQ^d1 zRNJdgWJ;7Jqi!!=+8ci;^)=!@-EHbwj$77mmnridv(-3mtY9W#2IY7v_?){wkAB;4 z^!w({DPzlVzkOT7DbB9CHK~7Eu2$R+C$^d)EXO;crIF|ksh!tQ!jldgRzFYpE=#?# zKV|`n0WtM|j4*Xq^-DrW1tQ}Id*yBQ1wYSL{>jTq^~=Wo6bwG_$X2t&l|z-sEyrn) z7V6d`(y6b%37)J*Zl%5^2<q=Q_{pUV3ZB>(vj&xlw(8;RWK`z0zLI}-T8ypw$fhW& z*tY-mdY%3)bZvd&c2mq*i?*A@tZ#3^_8PjMFioR;(Ru6|%-tP+aA2tJMw`gJTcl#O zMp>P+^jxdfm{W*9`toLP4D%oBSs_S$7}{i3+fVajtSf8qzVwn8zXcQ+*&Ti|+mQV( zvbp<dW6G1dwRo+z@~D50PMJpP`0hS!+cr_1C$pQ!ZVN$GH?H?v+c=8Z3_q#suOU}` zdh$HZzadTZu4>=<@q4%9e*arC;~WXKI}>w#OMuIn-K%wxrfl%si?00BpMYo*Xq!WJ z0>V_rN2#IF*kiggd0^xv1C!E*fceu|*F%;Yk4OK0zK)JzW?z3#KM~Mia{Au!kwcFQ zud@uF@J=2={`pkM=;iS9OZijOFN5FBq5B21zBT%bVLWs2``E9auz(LYU3zr-*1F4v z5qSC|X@n|9pQW$1Z$vWVCW(^U_lVzj<moetSx&+Ft>Iaxj_-dRo%(sZ-p1L(dv@L9 z&=JK;mvxGCzTJP1CIrrBz_lI&m>oZ?kg@XJb9HIV>pLFw>`DFj3~^VNE%EnmZcRq? zO1cF7`i|N4V-HvZCo@+kH>uSQm{pej?qRoM$nleD?(Ot9^ojM+C6lXv>)pQWJi=q* z8hjG7YCmn$_771vHi{luXX3)!yIq6Vejh%wMLTrnqWynb(2!5brO!qVXB5jK%9*|q znPN|;ZA=uWOg$OnI%6>p3>%}G`Z}jzy31con!kN5$x7?*HvQS>)l3+&a#5uBd<;qH zF8YgJ0E0zEeFfgOdK~6lt2T^<(^%tcM=J()tMf{$(&AvSpS+B;HJC(1#K%r8m_$^> z-<^Ii+qr+x{Io&Sr{m+76rXf=a!T%}fv{&VFtVImlA4we3ydtEDtVMfgs~&br%Mvk zQeitIPxf{W!}@=`|JX@@_0PWl)5=k?4}P5g{<YOb#UqN9@K^Ki-&%Pnszf!BJKw<c zeuP$}^};>5<1&gaQB6Ia6qs>D)7#E^7=AXiB~5=7PWw8(Ptnl7v)FS-@5(MWio85P zpY$3w#e9R=q0`HVU5+$AalUK6>6(lDUj0SW=p(Avzw|WyrFg%Ojxj*fZRit`+?twb z_=xEVWV$@f&y?@lWlBY+8_@g=_zUqYeca=kztz9Sa0j{xl`(HE>nGpJHqh|dCfMWC zV;6s_m_4qZ`+i)(r(!9gRkI+W3*^?+M#Be9F<h7(D!r_!ONLwXH2UBK>>{b_F}J2E z`XC$jpsCA}Tays|js=@->f+5^qnaIBhz~9b9XPrSOv#sByRDo1u^W!iUMG+>)-4y# zD^B+ADSn#vx5|W@>dec}u%4*>-%9>S+X8>PpL_m0<?+$=td^(_{i7#Ujqm8MukKp+ zx%FqQH*I}Z&m!&j5!t8N!E^_Wv|xG^vH|5`J3?G!-sI#yZJ_*M-o!fEj<92(a(}3t z1C?{1HlPN&hn@4F`*in5=d|DP+Wz%)+x|dO(NoI&Nh9o3B+_|=1I<l-w_9*gR^5N6 zWvFu6+z1=XxQaUw|F$k}Tin~uK^SJ{Fu5}ehT-v=l1XWk9HTugW1aVke=gOo%UuZB zAB1Sq*MH78Q|?1PIp1iB5AhAtihb#6&T;ORuSV3<tf-ZloDjM@LA}yLo1cZ^OrCXb zwBV|6PV}q>()SU@a3cr#B$Nm9tVe$%fXGITFxOroNVM%75kd`hDx=^A-Inl!I`z2V zH#&lFo;sCTaFgy$2%t`7E%y>vk&czi9ta{zl6l<~ZI5U`r7=HK)p852(Ki!}sA@R{ zLG&Yp6slTYK?q%%AWnUmLm_$LdiOSdB;F=WQYUjg0=-~_Yt+dc4__}cLJog*GS4H( zOPD~RDrbB6d1(?*ROMWc5U<UIKI%1c@GE>dZ6D`;cW@29iRR3?K%T0`zo3P4E_91i zb8@3U67SNqs5zO@G-53>-QC5OdxX=|JM{{mMAPHw_Dt1tw-QXLz~hl%dIfSBXJUhB zLzVTaz2eFdM5%>tRDW_wZ?=EQRj+G=PAZUTa@`9cJg1(SeB|n4!)-!QCT(3^tZa8| z^0Xm%QYnuw*5MmyO5w36Cgv3$Pdi4XWJUK7mymWIL#McIoSi+U{$5%Hl}eL~Tyai+ zuIf#?5mMi6$d!AI)1MPfC(bgx>+$z!K~#gB=uYBwWQhBaC*m&Z5OaUD0soR_LVd$b zbsxIG_2Rhq#{TA|O5o#y4)9x1tlrogUM7TeT#!Eh2}*?=dxf5YEOJL@(pXflgZva! z9yvCc{u1fqj($ROp?c}@AEI2yu|f14q`50PhlZwl9pbm3)R?erY|iZ=^6E``Ju==E zT}V60`FULb=o@3xz6XErUUAP7BB}H}jeimgCY{~T4`>n`M9#={dN5M7lH`TRK%vQ| zAzs!57aV5~KNnRvnd*ivqjgc~dktws(&SlJbo$d#ha|%ZROW%3^cG|aj-%`Ho+utw zV0n$x`ndNMcL(8EB~}xiiYn_VL=?8#nj-U2OUGlGPMn{ZJLiA)a)<#%A@7ge_~SO6 z?pih^?7w%+e$WbE;nh&)DawiJrZnOkJxw`FWJ*2Pj9^Tq>${8)C7FS4LoWDI)G9O3 zm0#?M;=mpUUGa)11XATQ9=P+XJpqo{qp!(tsix0bXK||g(RfsPk3j?X2q6p??a95# z!DN{96169Hx*30-!<V4!x>2>PjzQ!g3U>TFMslFZugEty)|q>WgUK@KB(f%L8@b|y zL7eJAG>Fpg0cyE>368jEH~ceH6&a}J0)!cA%a?vlj(6Sxf4VC2T4l5&{&$q!H~3vw zey)jjW%Nj47PV!f-<*@$G&?{n;jYwiy$HHg`7G>BdNhCX3AIIkXc!}@PM*}yopj$b zkgPcQ>EktXB&!LfP70$D?Px{_dKq_DBlj5kkq4h((p9<qx^RLjkA@_Ch(CIWRkVm} z^dV$r<?`EyzSLOG+ryl*O-J4lNhsLg4P2AC`dV%@;SZEKx&9T`ny`(!x@U++ESk)B z<3CV^z$kwY<Eam`NrM>4w(fdL<a^^t*oq!H%WGbdaG+9kFFFMk-{Xa#-yrnjRJG6* zD8EU)8pKoD8|uS65}7ECRB<<S;EHheb<=OquM*~|Hkm>GbRQ(k16|Ue+(5NK%~qiX znfOKok%pr7Wss=EC&(dJl|0UG^n(O!Wx*kI4k~|)$%VY&$tQZSEJ)1A!**OV()SpG z9`#TLsf(C`M0+%ngNKN3xKCdp?x|McJlJ23a&o&-uMh;98nrTmL?`ATV{smP(FG{% zB%&Vikamblp+<(*p@JwwBSaLk1?QnZG)R<3n&L+G57CJ>NIJxeLloj6B;UP}vZ_8j z`&)kjt;kqW?*c}0gw6>d-DGfCu8p0AR@AB6{0Q90q_>r8;|Tp&Eh3%Ncom{ZVTd9j z;*BGu;g&~Q^y;_?(S=v&7KAve+G9=--IpMFdERdNQOg)ni`$xlvZk-fa~=_v_fpl+ z{IfWdac+rgp0jOL2gH{A7pQMYJJ&o9E-Zf<#>_N%Hp{4GMrOEhl}I<u2$~RSMt2cK zkRcu}_S{1pneLVVFJFQo?!az75w!zZ<>unV1t8XJx#D$;kY0H}lV6M4JLz4E&!#=l zVd1^o<Qwp>mdtAPcJoV6*O+G2cpPn+nv)ehK%7B#;;<TgJW6>o)g67`QzaVa^w@vI z+UH0#Fatjc#S5?b;{<h_i5EAT)1R^2Pdo;#{5&0gB`RmKwFZBmHbez-mV1chv^*-1 zrHb%E5zKK|O^BroCe0f0xio*Bfr8txnEazI<<n>mo4k76%Zrc+QRy-TqS7{3h)Vq- zDqXz_QK`CsO1-0DPY(@QvpVX#XBmIH2#evvZ;^vI6Bh)CVs)=x^HL({K!jRw)9V-% ztqk%CZg|NOq^b4Usv%yN5KmE+%r9<3?g$(znEBRq$QkhpwKG*K-W}b#^u>M17V!u* zHF@0wok+uFvz{Suar7P+1km3gkKstCp(vNvgQ{nBT;t|LQ^#)x-sFiOdCh;z5bCL3 zI*o6MSf;ZFx}K)XF(y~O;_f6UQ@su}(x7Z0*A3l5yUamkcwC`BM2_G{=Mgn1!`?%+ z+--yuo!Lx`wq7;Yc`mq~dxCI?O4n-~CYmr$xD7cVo}&txCtQcz5G^QlPh}lfkARqm zH+ks2j|>fh`nT4zbBHbixYd7NV=c%uh+xt0i3p@5j^o|Gen04I6nUx<Uq&;7$a1P4 z-$;W&WH}}HUkPnKgye(Bn~FY#C_}|ghQDYw`!M@wJ28%Zv2i5AUM~-&*!|LN$cKB! zYfOkRK{Y*yen+%sK5{pFZ@ON`bs(rtMe_deoZI4ths+S+$z^9R|ABv0DW!E~lQbsH zN<Ehazo&4~?%b8^<tb!vWwbN?A(Ri*H*g~f&eRqSl{!Q<4WPE{ANoMdW4gQYYdym_ z$FH7-Sm*u{{uRWV2XN6gTqMMsq<2I^rd~bQhHw!VeUW>GGnxw#3z~_5C}9(!-&m0g zElDf-if>-53s-@Y+D(5)K*ZVT#&4xnQe!pH4^Zko^qX{RM3E!+8)<f!XvaiUa~%ns z%H?;a*0tO)0tdHj$#v(X_IhQ_pufVu{zlK^E=FAFXL@7Z`1Le8HC79qhZ^gl2hgSX z>(}XMWFc<Z8~+?--ZXJ@AX%Nx*<UEh-#3t4MB~_#z7HT3A?|;qB~w>*hX#n5$k@td z8?G=XE{`-uG-q<_5ZTd)AbJt9rBe0KP%rTackUI$no;hCw)iyE#3a$9Y{V}ULA9|T zo1ofcN53PUhjJN1H$*YYkqN8e>J!o`3v><Ji2=yf$^tIlA{zECbYT28?B_X*<S{y= z!A)9=StBAhIu?IHzsYx}5skR@O{g$>&@IlFZutg8D@|61fTzt;4`oN|U3-@sO@){f z(%~W#Q-i3W4N?z5d5kfA=8;@2f<PL&LYF~Ty&>jsy&s^kw3clgXHxVbYUM4yJI;f{ zt#@tgrw7#_T2zasBC9R%SGo@lX-C3t-mbEmsx6>#!Z?2?NJA`Y@U5yhl_PBL2Of=m zE!!HQ1hb4P&c)=SOlaG$({0hyZ;4*q(T6A!M6I0pre!1Q6p9~)8)0!-FHTM_cj88- zp|1Fi(O%c-!UA=Y1?r^HT5_}b>P?V7Y65*s*pLwVT_1fOev<pezECnYi`5~`H~w3% zz}dTMpt65=uVFuwch*38r>Y;6cm4(Coy&v7807QIqaK?4G?X|qt`T2M3!y4ME<ivW znn_j8gosFv;B)z{f1#wghl^9}Anw3ELkjUTGTzO_5^*15(tRE-7Z8t8FDLi8t7I1Z z=Cy+`G8Jj>%#|;cT+L!x7!l^ehi8x%E3q0-Hg$g=`JmF|3?dVi!`xQdJbf0Ch$`#u zYvkUB(yc;G!y$-4zd#Jy*iY<1)>UG68}<@G<d1At8CTLB_W%s3L{XSjR~Ih?s%JTq z>Fnm>h<J&rXF9vOcpwNU6ht;I&@zaI{T<eIfz{E@jI{URmU}fMLaTpg&r}0G6H0~q zACG?o(1(#GI1_8VYJ3fpK=%t$yGW!*Wzg=%H^fq;H7;l$L_=SY1-Ky1#=nTNbVZ)) z(B;^)$_L$QwfG#G1(cPlRpTGh#;El<BO&xfD4!0}ZX6=cBh{uNLlZp%Vk-ufAd0qR zUFQ1rC|@9?>$n^ia6_Vi8x|``m-LEIdA)y=Hc&;LwxEJ5sQ9MCKQf7Y2{Flm9HhFV z-XW-lCLO(k&oaRrJ@GB_^AQf-#iu(vx&XQNGB>zK*^bbt<8p9vwAAx2LE#QT`};2h z?Vlpm2OkbE3Fn_3kG(`VYpjT|#Yn16{(S576ZM#MId)+0vT-j;|K<`mn^V!+(cOPa zVn%&zB~5x?9_xi#G~%W>yZH~7yf4nv4-ECrqfoQ0OQV<OQCXbeWg_W_W$g5w>8@;! z-*Oaj*3Bn$9#LDB_jL4k&u^<QIET=MxfGQ<+WjT){D}jqL)NiFA6u81_<C*}dQ_z& z56JCV1CO)>JmH(r>wjBb`qrC|uZe$+rJ%zdX3f6EAz6at)elNuA3!vW&q0YY-94b> zRh0wF3<{#3BFt7sJK+ma>D~2>+*<@$T(l+El7q=M86sLBRowX5Q2u3l2z?JVK=ulx z*CF%V_)lq*R8xH@UDGEQ`qO_S>=Tgh-w^pilq(=#@5~@yIu?1T5~AH~fhB*H9U=1q z=LF_86PTAED7+>p%&9@#_571qf>hjk!e{p~ek8R;-~8RfLh85R`b;^_XqaCaYMNAY zY|^Z4xz>qe7CKvuQYYT(B;MopZmAsfIHR?QBbAnavEY~?yLyZdZPW3icX3_a`1cA1 z9FNMyY9n<xKb@)P&U4IAv$=n$s-WS|)Yvay4sp!TVfRr!WJ)!n3CiqSv$5CdE=UJX zYc4jBZiobM%g*>(los<S)JKe#zdOHhslOUZZd0kN8bj?w^+~n|KMUG2#N}dx==w+$ zZW+KgptL3f-S`EbHk>##D-r+PczpHa%$np$E5jbs_!_Pq8s=*F33`7*{BEL%Q{~_- zI9nah9>uA$@K3U%UvUY3J*%#K+L6gPTr~F&r1|Sud?zgVJlmv?n8FQHqY|oK<F3-L z8t!v1OSe9)>u&hw;XUd%t%I2}x9{l}J<2<OQ8klQb~Z)kT73WhA9I6IpEl|I(Z}s| zRq~PZ-0msmxyf_KQ)z!Qr5K5Y+q?jVV@FQAO^1B@T*q8Hssq(t-%;Og&|%O{>9A?1 zv^#_Xq00YyJWdQjZrdx`wd+5$FH1O(bReNOIVh<&u{WtVL5s1I!Da+9_BZJ^$uu23 zsYH{Afh~B3`tghybsheRs)?#estKHAk7Q0FCyA2~l<bk{$H;$WY-4<3TxXOr_Av?s zM;e5D6k6qCbQZSo-u&C4hcPLHB0|zkowhATbRmj|Vgz*zC72{sN%)AjD$>Mb6c-RY zFNR4+VMk%RS_e8IM3OH&Cef-KBfD@tG$d3%)Gkyp6dh_9>cVSbsI;ditBab;z@*~D zRt`r9HJLAH@{)fUtd7<8*bc>nX-RX*xNR$P3jsVwh6O{r!!E&GSW&o5G){G8+ky>G zl`+&&mFOehCbG7D<KW^0Mh7FkT|LQMs7*0W5iYl)7qLHL>w+YY&zKe*kVtA1j+bAP zS=k$LazT-&!Wif%N;DT=S6GvWZ&^98pu!tq6t$Ztv66qw63dd-MeKy_B<w`&#O#F9 zCDTO*MAOC7h0`V0r7^M?QH(rB{86%hl7B*3a%1vP(olj+vP+^%l1oBfa$aIyQeHx1 zqDrz#qDqoVLSs^6f?={@qG6I@!cg*1B0Z=`Og+_H%uLEmXic?Qy;-qYrCG9B^{MJ| zwQStR!Igh9zhO_tah~+ge><x(-ki?*Ah3U<WTR-2;y+JFR*5u<H3}I@8jAWzw~E9V zEga>&WL#`lN!AxZi;oGns>P@-IP(rM3OWoEL&U~}T46Ez3&y;9Mo_z2yH`6uVOnBL zyj6*|gC<Seys~G*{&9>}hGaWGd0Lb&%$MMcm<xY%rMbdf1+GNgu9fWz4!l!5Ek;_0 zX!~l%bdtdQaXVJFES%x}#*>A7H;`B)t|)FU8n<(0Ys97qsRcFO2&1t*Bxy{jO(9Nx zMQPz8FZ3VAj~DoE^MVyGouSx1ojfM0DEe<lPQ(^Gc!rFs4xdE-gs$ZO<e-Xi#GZdU zsPcb5pKKXQ7C7MF&Cg0^C9;xO2}6ng$^MBGj3<oxfBsLOG0C{bc+N0o&>3EgLWUYc zs9CL9zFE0hJVvZ}N3(SE=4RoT?J-g@n_`4k3?nv97&RTo$SRAdh^Yv%Bw3=Y$uL_p z{zRBjs9vZ}=*|VP`Pq{T+ne?*{W=BvAnAWt`<eEX$m#Nf7{3I&4l^e)7oj}KJkdPy zJYnmP>8!>x9XlAi8Lt_Zj3kB#V}%jJXlB$hjxwa0jyG*>+S4S~bh2rC)8;0LCgY}^ zCuQ(5coDo@zgUs9nJ8@&O~_N-Q_)k!Q_@q_Q`R$UU1g!IU$I}Zzn3P~i}{z6wyu96 z+J7yw`onnj=lj<xTimXF_<$eH8Ph&<WY7QI<yrB+;kDK@zE1q7f%cyi^%Q!l{#5a4 z-jMkG)Nx(~;{wC6UAaTKeX@f)vhSkC4!zHDRSs<yTb?}gnN-SIH}<kykx4TTX@NnW z-VFI#9P+zpv)Zl%bP_s|pTti<C-Z+3`N@h&iV5q2vtkk(Yw?HYyVdfGS05|*)G)^j z?ohiK0gN_i1`c){Yp?6L#XHE`#q;D@@?v-*yxqJjJS6Wj?<h}(cY){53*_bVc*<y> zDtxC4JaQrKG{=sS$?$1!ONtj=SAfaG#MhMI67X&C&2Si8c*STzmN&%Eht_`p#9Xp# z!YgVE%RC+-EBfZ1AF@ql2<mwBw#tD-AF(zem|UDRM1m+DmNC>Gk}xI)5v6>bBz)_N z-hwDEn!)dYcu^!?VohvCXMObYy%3S1cu{B#$-0JoMtL4wmKfi!7Uj_WhHzVH6P7Cp z6N;0fsjnPf*vy+?Sa+l+772fuOUFs9Y+VSb9<7=(`-m;*XJ9Z72xHj$m#9VVuWdIw zT>5Xi(3!cn%@34xx_tQW107DYGq2pXqpZ`V=f4m1FP{JVuG3r0lOO5qzW?8Lecn`h z*Xobm3cmMG-qHD-QLx){l$WNks$p{G^pXF<CHH#k5h=$%H4M*Wqs)KrJvRrOs<GVM zAo(06^hC!*yJP#Yj@kB$?bvozxJsD$yX`wFEAwVO)bI9N0BS&$zd$5jl`tlvC>k#Z zQ-Ezov@|bwYX*BU<K|a7uKK_A;fiKn+tPMnTE_o#n^9X(KHJRgM*h(s>qYeAeX&gN z=Cg19xNmvY0mNk*E}-Yn?SIgJk9Wo<d@x*1G<YaeaGhx9lwW%3_q)S3xA>c%Bkz^o zavfZ#4#-`O$%LdAAnB2o@nJ)oj{G&5f-g;WDSwn+x|A_&6Gr!#h#kCy(}A7n3!Ba{ zw0ZJ}UR}W#lH%6I(n#0AmFj@R<vouf2<8*|D|;Km#nQd5gCD8`GV>9C$06AZbp_i< ziq95H0oOrxb-=x4rvga!&wTnTC!2wVQhC?Gx77hp@-ZiDo<y9@qrdXBnO-anb{(9r z4oJyQeNuV}-qwq7|7LcAVMk1K9enOPsLMAJ8O*-7S)UY8zsySu*?GsnRQW{Zts;pd zHcz&%*A`HT>8BP;Sv949Dz8gX+&afn9i=S)@WChpuc-p>j(l(Vf)fjN5~;tGI{{Wa z2#JyniZZ$R=|ySuCC7dHsNYNb0;B#ml<&+Rl`Uu}4=9E-K3+h71=xfvm8RE}+Py9f z=8{AOvh?qzQ-MpL4CTA>TjdHw3}UZAE_tUz3P@Yll^HuO611d$ck|P?)`Hi^uYHbm ziZkpX3Egfr`%?$`ytzQdpR`z&^d-RnbmoPf8Veo158{FPXI1mE*s^k>EyeNON~Txk z3w9K6V3D0r4UR>nZylnAfmLhUo##Y(nOi{27p!>-u&NvL;ssc?%pULs%$3!3Ub1(z z8Xv{6`4d_%0n<T$mv~w1lEdP;)09g-tEM=1-WRQFPL<!W@ppjLm6#Ve5QstDcBO=T zu<ogFr?_-M#rCl;nn4F2HC5+1&RnJp=sZig1z`uMSCj{$d<voNP57CPPL<=>tk;1y zz<~<)K^N^biaUq_0}uHWZgCF6M&*O`u_$-f%IEBFAoT}-<(qFk9`rAFA9C@(Lx}=0 z6@jKcg*%)%*!+sXSf4^QXVr3dH;P27u{Q36Q~iBVJ{E;=4So>_0IG}Z=IW?i&;b~j zp``fE-2|`|Q4LjkGFVo*yB8%a26f9d7#AoHs4lY~S4ZJN1Hg31B`=LK>s0xY9ob6U zjXU80j4AkkRNSk=%VBMR%IATOK3>Ys1r_ctl<Td;6SxyD^%$@(7Uks{ToM=ycr37& zsy|((NPrDLDe}GsrGZv}Un|iF*KoN$6ReCuU33keiVJn3P+N%xxCRGErI7`8L-i+T zN|;kH2W#>gj9wm;czC^YbwAtNK@R==>!N%3bC9)vd`(>;FSv}o4;WcwYx&l<fCWJC zG*+~eEe;|a=0tpA;W+Q|K)<Qklvd&~oc9Yh0rX~KNw3*&Kuj6?O)Jp^=lv{D3DEyR zG4-9h3>1J-6;)h$Y+l8uOO)Oil#gqRC*=$1&BZQvvX#LG`#Bk(Rj5rx;6<S7Cq>kE zP8aZh1HC7)lAUY{*l>9+(8qMEvq||UcZx!5)JdH8^FURg>IX&3cg`Ic0i!CbVDi|Z zil|m_Xpvo09n}I(Ikk+sq^D6-or0^_@~u(3aiiss_M>01OErI<p4$h^$!g{xW+<M% zbJqd=%X8O#Y!tEFzBCUM)pT+ooS(m_gKijqdv>j{BI<$9{JqdB1b`xejZ5q--?<Zj zC=fDBvGAP>2hinFq$;!IMVIJ$M@mmz70u>R52A|uzLw<F(y70Ev+1TafX06M%cV#b zTj)f89;odTYlaJV2HGm^E9$CR@3PK)!p3rq9*-SBlU_a>!Ron(w}D<OwfZT&qgeKT z7&ywo>ZeigAk4lVTh+S7nN$&FPeHUUMY*CvO3Yt?`=i$m<t*e*T-iad|LzNrmamOT z<xQ2aHv`cN>;m8VGSC{B`i2cjp?HHZ$NIb~1!*idSf&ejxZpR5usB1jVOL(WEB`d2 zZ*ttTAsq}b_FG<HlYHmQfUt_FqACS{Su7u$k7uv8E?KyuRH6{T89W=2suph83431~ z=@i?pzwFy|(+8LZKMlE<%V1ZX`pN>$eO6E6%*q4f0QH}gf!Ay+aGU*{rq3!IH}6tk z46eq`J*YN5MX>}Gc$9J9IbQ%;`_)x(YR>8o^>@Ma1-79tsu<h>D*U8`bh4j+gKUqt z2Ta*mt=DWnaGT@Y5ua7fm?L$)vD@34+Z;!?P9MG@gjd8%;$`uoczL`yUK%fqS3rp1 zB_1VVlduT`qD8U@IWx(a6AK4JwL-0`<7|(7F!HiSG%g#zkXa)W?0)OwNq^sNmZ;XL zUG29Z7A{xR{%Bn3<B=E<lhprz<;sr1POV03Yc7GAxh&>o)jpjtCebDyr?esgWnw`L z7buXLOSB16v)vJg7qob3|JdcU$--o)b~Ae((Y)0N=~>o@t;9H?c*QjZxX8+(1yv~R zt7`X2N=sfBiWgqnwX$QukvGj4YuD<4Qbpl)xi#q(Em!j^StpUpw7l4Ved)BA+cu(h z;_KoV5sVy03?qdRf+@lzF_JJ@m?%sh$|_ahl5kbH>@LXxu>pp%3|9$8Smho#2K8)0 zr2bd-uRlJ?rqjms49~*|@CY>B_u#F6*&PYKzc^_)@2j$6%TovyvhAuRv<EPhzM2b8 zjrG(#9Fa+<3G?SHyZ!fny7)=7Zi*3ZGHp^@hza!wRSgXaJrF7vqujK&NxaFZX~)6^ zo-(7iBQH^3+()E!d(7q{)0}fKLb9Is1sDPUl_(lxvT%Y|$?#}5Ob!tllWG-?F<tQH zp<>ETEbI)G3{~NoGDsch#Az|K1X=`r)h>dmK&Zl8f)TX;n%lI0U=eB_>cbOdEO+n| zmlL9sqoFL9FUA)_OQJ>172@Pp_Wy@ZInq0|(5}|_kQs8z1H8boK3~EDH(oiYv=1Z~ ziJC(Wg%afB3pzXv-X@+2qp>3-aZIdDI8JS4X9N(zeDsyv@)V9VFX8;l2Ke>*F`hL; zvBUhIuPsCuqIqb4#%4xHhv1uz_%{Q=*^tW5xfd56hXtv=(_$qKh#P)6X2lz3)U`is zm*04KRQZqBN0seILNA8OhK7Y66MS14y2dm3^N1Q@ygLjb@n|~YKl#ekPBLBW*H@<h z^Jl63SI3Rew3nUTT8%KTiyar+A9Orum+g>kpM|o=u#T{Qb`G@XscXN*y9DiScJcxr z{bN_XOBOQN>)10fGi0?hLognKr^nlv5u*yD5~DJsB4#3^a-(9SQlmm<a%TVWWk}w7 z=XmBtZ1FO!TgzqV&~437i%?;2{>H`_9uwQnT4s1ME(>0dtcZ7YbS(tv{LB+lkyH`= z_wP;T>SykMY2{tC#-Bl^Hc5N^7hhz(2~Ih7n)rx@>efQ56Nw4a!ejESvN76C$C{Ly zv=$V2Q_bqat@1Gk7Pj&F7^4ht28ID+aHZGOpX^+={?9%rK7RO`VZ<k|LR8FFf0Y!? zQ+KXfX*?-@u;<hk+*UMShWZ)bLErd$`G)RwpF}c$@!`H}8N)2E4UUztp7a$I#O}*_ zGE8^<F+p-CTzOM_|LuL{1N$29&_s}JVn+xyE|<dJoZqH2@@7T*+l8t>uJ5s5y(LSI z)#NAARFV2*Q%!yXO$}*C*3v+y(8Q7c<U$R_G`QT1J=sb_F%>Q~bBZjgF`d!9H*`+% z;k_<@zqN_dttJ|!^3rz~ecoJgFiH62_qRgEiI0N{FXs2xi@vmB21q(Y-}z+mVc*YJ z$rYxTrhW@ZhEHt@RCF*o{YeZHzQ10wLQOZgxJ>QrlyG3$dCub#tw9G(9b5O^Q|>)* z{?g{O`-lDa16#V7uTLC*R2(AmM!Xz6CGDwyH8t#d#K-?djN0<}>#6W;Q<p&N^QtbN z7VtlQiZ?%gdw+81vIK^@DAJLkWMM01B!v_qCuVqMbcwxXHYNT{(SEPuU6|B%jKrI* zEy?(;8-Gu%(!t&_;W0rf|MdG0*>X9TeuF<AN!_RP>XOC6xqHDkWGrSKkNu>?yvRO( zaXhD3T;{d)g)3HrQu({yz9(u-WL_SAe><skzm&R8g?yjJeh=f+ZF`Qxx`!}>my&7^ zK*faBb9GWZTRZIMPQTS!{Fr{cp!l5t#Mk`8uB4u`N3NPHJ$N&7YHUS1|Kj^rrd-bR zA}<Na9*c}?@r20v0b7_(*Lvfl=^6Qdd)+^eKbp?Bd+!kWVvLcg8wm>#w(u8QQY&tN z-O#ki6JHW7J_5V3*@APFoYn8?=oxMEK$@tN{!<(NL3cpwkmlFKQ<K8RUlMb-{PZlA z5i*@W)hiXHZGR@=yMfVrWKvv~?<aA6TI8dV_cBS|X-EGyDs9~T)Ec~GZrz)IR-7Z& za@qdmsS>kSemiVTa+jc6W%xm}d4#`Q{am@)^Y9CTXXV&LWUW(lq>o>8cwGP5toG`& zubXCrn{-~roNluZlj!l|trg#!{SKEH)=t#UyF*i)Q6T$hvJ_^R3K>HdaSBGdCEA9m z%|bIa<Zh)%B#NA+QIvQyJvB^!ft;h!m;#rW(It0jn5W(}zj$)Qd}QCe{siNXb@zgA zW!B%EXb%Z~OF~PF%D1jhD;k+yH75sXrdcT@Kb1fRk}EXI?tD-66hfXLw^{bfEUJ** zH2hPaN+ZvbcW78!^eY-|L5eYdW(->NOB(4j;Td68{o+R2%v~9E6a3GANl&b7MU4!Y zP8p7t;a0Z7Mn=r58CjP7Qby9uKQpW}Ju;4+dX%(F+DHT$MgFEyma<EMAjXWzn6>N| zH8Ny+W`tSx3mcg*Z)U`&c<w?vliN=9ix?eaUdec1sV71>%(TpqwbT<bGH1GH9I(Jk z5k#0X8S8geB$;EH>k@>2UCi2yAWJ<_!g1#1jEk0dVS+I;Fav9e7g-D;$6Mg#2wKc9 z83h)PQfXU}O5}%{hDo$dNKx{fCOW}W5$Q!nX$+;(U`P{kux4W-Z71>o`H*H~0(=WH zp1i8TPoYU7L&z-}{5v#JB$`auNKc?CBUQ+Sn&>3>u9?GRD^10JMELd@4YH`FVnXw# zncL(+4Z9S$_{>$vL3VfG!ZQ)%2@U-O__mqt<mZ~{NpSTUI5|vnAQ7%QbC7&Yb0A?w zpE(D)*jqMIo=M4YvGA6T6lYFm<XNmq&Riy6)X-0DmYNACk7$IXG>gw%Cl_dh+-Vk` zi6mQVswc*5pV1_LOKPeoG^@{;k)t)I6Jr!+5M+|Z^qpqW88@=OMp0^v%*=VRwuX9I zjNHsIGFoFSHAWViMur+bX)*FM0NF)jU2;)}*_)wn(JHkl!qm=Cw~7;6)MQF#Ok1@| zF6v!1-mJAKyRtrzVQ#S|wJ6HuXN+0I$u7z>hcYJGcY1e!tj9|)iZfXmEx(O?%<!{- zGAu>%m}Y$PigNsPXU%OR@-@x8M9-Z_9r9kyyadS2N62S1T~a;8kiO&;4V62d(#SJp zWsSVF6>X-u#=63yA>;+a1W$FODH)|Xl<297JVZ9o97^z1L&}mV8ZIfG;xl6z1y%?N zqn$`cvW<p+VJb}uX$5%!oko*Kx{$pz(5W<Oq%~PhqcM%9FeCI&|E_BClW8hQb#jX) zKZ&M<RD`^co(5N#aV7g{q^H7VX3jx$zrpfy|Mc&mrd={zd1fm)OVch1zIjHBtg5M> z)-3l=7g>^JH3rh)iZc@b^e;!VD!F;v%uX^^vnr{7dCQCpxl408rCIWyE^5nIPi$73 zIRP!+fB08DB}Qz<mz<`dekVp~CXT$UF_s)7J`<mzZ`CTcxSJ`PF<@mTxp<IyEMwZz zOnz}IGb_XHRIBLX38qIzh-ItrqA@clqsnqkV#7r~7IDAaQ)Ce*vnb8%%4oCvhkw^Z z7LPH1?J~?Q*Mt^Lndpo$ONB(gl7);_jb+RE#P9M(Fj&~<8g*E&#Upv6?Z|^<bKgR9 zjrg>uQVVx7k~Opwc1aD38wu5#V@xi{b#2dZY~T6V%2vWihq)(1$x0#Rrlw+7qae_T zYAjnlQXE#S)xWAv9?h7ru$42~S}SfOhCIxFJe{FrsgU$k2&u3^Igjq_5;ihr-p&}b zR7l$;VI<G|o>6D9ru<KKxRi11GmYV|_WOW8Sz1%l!dA#=Q?2a3Lyx0&xO(W4bu>wu zWeHDrA&-*JYmN;4NE$cxKJ3{go63pk2vyVHj-L?kL^c1`^hibSzp?UT>mwDLp&t!@ z?@{mzq0$C&XVvAOr>Fj9-TC#o@6W#uFWuN4d;E8^o&PV2!^0~}FVd7JAIBn^5W(@C ztHC$qEuM?%%4Do6g%;O~*=#D`erm7jd*h^1X{TMqaj+Yv7GYw#!tWInUnLfw5y=tz zik19y?!8Rn1>vjf3u-sAVzpCFy%c7DGZIfIy*g8TRH$<Hl!w$OO?$TlOmuOj*pv(U z=|a1jw!*82#h}QfaCr@@tG2M%kNrqVy=mgl#5K*YIO4>glH8NGisPl1?C7^Xi=v;N ze6Ns%s3<re!??ah^3(bER;Xk56ls?}pCU;`l_2*0NGv$N>xQAlG^KBkS?IBUd!kdC z<qfAIh20rX2ECJZ%491R7r<_)Tl9(bC}srLicV>lKRhKa1acD<HvN6RI7!H6=9Hu~ zThm@Mp+BP7N^DN4{O~DFjFH;D8+T8g3H_Y7p7!XYAzdoFx7qYf;`d{ZS<JZnoof<X zdt0^o&E#Xei}TmsDoi|!<xoz4Ugi-`JzRNc;Gp(=tA(Lh;P;U4z=;uilO;;1WAVPV zx85%cTX;Xj=v&Gw+G<>Ogjh{|J219w|EIB!*jJ5hB>K;DXtBUAP^0jX^q)D!BoPC? z;k>R-FuC<kxLxr!2;f?RX<5&!(q)nQpHNNCl!5XCE55(<-#rk7eV<EzwVsqy@7PD* zcQtLE{!uR;h*0JYZ+^RJ{y`&2Pui(#tomAp{BV4N*S2zen{ThjGPkbg=ec!hrva!2 zC&;6SyX|A+J9FJmTrKKt)wLIeo@?jx>eeHO`|PctA9v=ZJZ}Y{_uCsJtuQc0KtF}^ z(#D7Em6c>(X%h1WjkWB50j2w#i}uY>&Y({@6zD7TrcRSMJ{a{}1BxxdH;gQ_#f-D5 z2_jdh%<22;vwzFp&)MV5{wUmf<awEvF44EIwW{y!SD!UK;;lpbk4wmEd_U?tt9*7{ zhBsHM=U`w5%zoF!qcTAPm>=XaN)-pclm|ZYT6AYs<X3{j;mTuw#@3XBKwm9Zh;tA) z1{e(DMJkUu_1RP8NHVpyjs{-9;FNAA>OL3%=3jTwq@D*$!9dU}#a+8R{~4$=4-Y8X z!BjqGr=QO{{Q9f!&3AG0S07Hk3)>Hry#V*+v^@wfw@5sa&bXNV9>d)SKlJh1lH2l( z)~o02-<?of*4yrX2i3o1<D4p2*L@;Ag3FDy0ad%np!!mFvs17s5Q9|)R9*zKDnxL( zPF~qyOPS0ocYD-%P~q|->BjWdY|SsxaxbTEDLwpL``f&J!ODs$;sqP;WMB$-28jZS zFTlx)=E^xI(`>MzY^I*-F!y!cYS!sTWxbPWE{HFasis1IX)qXt(S7f3LvgHNM}rzc z%D}*jKt{zDw*wVXdVrOk@|DVBwt2<QNYFmW2yl53_`Tw>Yi~JG7f`axdFOtCVo;GE z1#YEo0r3}=rz$SHKd2yT0>|ufKDnQ!*i__4gNK7OfyNhs;}sv=Wy^_&fQxoHqxp6e zwF>u0@LUjo4B)?*$#u~ZTex2R<ub}g*Qa8|OC=}SN-A2@6}TOG7|?%Ni+=GaIWIf8 z?_0pi1*5N>>F<9Bhk7j3<KoUb&Ygt{1_nx<S^$M5-fW#TFrA%jwz6{b5+!=FSkc^E z)nU$JLnPHH)=6RM<JiQtNye_7Z?q?i)#KCaD()+P-B(!p!9V#xciR5;`}DT1Cr*oZ zo+ZbvnD!U<7;aVjIWc|97>FzRDi9wmzpwsFJV$q3Ag=b*5;(Qr_-mJfZE24(R8^Cl zRlbDV_0vyM`|{iwBSA%Ve7COT>c@^BPO**xfr;`Zyg;Dq@|=}G;86*?3<*K)vH@@# zn7ht@0N;N-oX>ID0Dn}hi+B3*zGK|!O;%6W#BaVR&%e?zcb+%%hW4&Lz<9M(?5qU; z^6sz0ssMgY25);K!{=TE;=s32Mgw@5(?dtfNqyEZg}!ZA^}v#fDTz3|8_TY5oc+!Y ze1HQDN1=uf4%LFAQ6>ZU{suA_#hQYXj2-5GECK!ILVp))&>OtJHaY#wk5$}sWcGKf zS@;D%#e0iBKV;rdiY@t``EgBx>@AGhrHVY*eSBT(r+sczxm3h|xJFfXm8Qw$Xm>is z$L+{z!(M+EvRZ6;<bj>dgK6uJ(Q~G){P1mqLvie--_EQ*lbrT5!RyAWv%23GfTsX| z@uib?soqX|?Ew)%Q5L8Hh%Z7#FsHpvfTf_Q5IhZDU{1hy5QnSG?1Ad^*{fdz*PES` zKMTt2=PYa{M9p^?JI*-(>Mey{HrmnXO3-e!A7A>sW%@G3Kg8c<uwxWm!G6$KhYbjs zCOOSfMusV`>iAyZ(wC|4fuBAt>jANU{P1vt;P0_{U|fU=DW5vudJ!-ez#WK|d?}$k z(gn46g|-K0YO$A`WtR=J!OtIoZCC=-1>i~Q2{aJE-S>AH#@$%v=Y!2tx@nZZ*IG(- z)k!_q3~J)5Dtd<SGp-M(#)80^I&6%ymO$+D2q26tS?#?D2!{jYz~9zqE-SBp_Kuy2 zH<yg;YTB0Pse0N~$>Bqs=_TK7@fv{5%Nf4YtxDnfMRdjIXx$L3IneiFCaY&`?(moN z4;o{CRH+VVf8pdhv+`b#|NR&L4|<Z_yFC4uQgG6G=f2PGlUp~7|7lmiYv|G4y~WO6 zeA9SPj>BoOO|MjD(WaNNuwvVP!j#Sc-KF3&SZ>wb!y0F!jj%A>-TfMGBcO*Dch6}+ zlMV|jzbggz`f>&vhjTWyD_m4a3v)WkA>5Y|wY=V9zuDmw8K<&;_ZF!qb1`R53yr^9 zy5~N(1*L1Y%Qn9or*dGo98`lmb6Qxl&bt(Xyh^>Oyjl3pF-}<^1^ah@ePZaz2ijQg z3aI<5lpy8J;&*US4|nfQ%mAvKAyxaqq6#3yUgf~+@mJ5_R#X98Wa>@l(_1&q$PEir zWbUYS*u44F$$<=3AZ6rlN>=E}0iC*0Pyk3>*WE1xb#H$Et{9}Omq4yAuEg2&l9#G% zTHVK5c8OpZ&Zd_I!vL*+1;cQg3l$6lxAf9|PMuxrbMon%JYXHap`Y?rfqum14gJ<D z?n-Gq1==oS@i_ebl+N=AH`LxUcZ4;u_-Bv}uTtU<Y{2>4zbo|GZ7!&u&1UZePCiAc zsA6@XVbs**?tYfANi_&^4)h~+_wIzyn=#Lwy<EJG%ck`T9pxB*Z`hcAYQx4H0ooIk z=`R~sx}*G1%KE$T7s;Ti0L=~c3PQ^O41v>oHr2BC{p!@Dp1qA-d*!6({!IUKVFKj_ zGN||OidbSaE4OZzz5};%*WE25#o96BT}dn?_U}+|L0qK<D{q##^N#pj0O*FchX9Xq z<^_OH<|OOcdhFMKK_@*gJO<Ftr?*30aRqqJbeqkYkO@fwIDd*d1&ppZ&@mnwd=aB* zDu9DqEeU)PnflWK!kdx%Rw=NaKUuq;?ZtLZ3vHrUIJY=%_JuI`0ztPq*qPHJn%L0| zIUwE{YgUfHN~MkHUZqHGg#d9&cQ*#C?z}Nzt6u|Fsb?R5Vb2$YHreZ8VU-(BoA@=U zo^CiT9oU#uZ6Hu&k1o5@N!O`mPi)MASoe)NV5hU;wDZ5_K!N*QB#LzDBu!5XYgV=a zm$xwomY!|E`Pl!0!va14fBH`6s!1*Tz%Mwf4Y;abbHLyiT$=lw8p_JyB+Y&gw)K~G z{0;4vFdJ}xW52YE2LW?u^vRS?HQQRY^#<JPr46_u!CY~hQ`&$tcGmTrJAmp1PSTKj zv2nkaP{=R5UrVU%*Anu>0(O`ATT?sf<}<3X&vw5~$Qb+TC=gsZTl7q@h76ak3Lsa` z@-JgCB?zQk+DPVA%Amk;xTuB=I}cpmu=A4*JENX|i$!Q&F)38I`G=65)xP;#{rZUK zff4(i&o6kcIfG}<T`PL@yo-mX%)h~&y&c(G=u|jysYlUP<qb{8DJMz&soqNh9YoHi zh!BNXwiRG@Oi6;?2*I&JSJ`KO@q<|I-{6Hl6`8MFN=zPtA9#>hE?dD7*bY@<Yq+66 zilAkGD#sQJzJglfSBO~^x=+%>php!_7FL%Kd$j41{;1gLAu;;5t-1Yg*<j6}K@d7B z<t3|TFb9Fo2A@TIza2{}v;cNM4=MCQ2Vh6!_uF2hh3A1MPzm~uNga4}_Tx8<40;=I zZM<f%a68w>X-+o1kUJLY4*<?E6Z+iv8UVC^&3ydky%~K6+zmBLyJvD4$ef3BDryE( z;?O5juGQ5n1%AJ+ooqsST?@gjNuu?D$<H-|El0RCu&2+jOjVZ_>6DY!W!vc6Gz;qL zrxzkdLs^c18Pvhdcz+eR0uLuT{OJY7PN;+Ed!%Ae2I{hOD_Rp!hJY#$a(96}9T3oe zZ!{wyXAt8rY7kB|AbYv`rUc_kcAC&t8G6sEcS9)8+r2!2H_$NElSp^K3%rltxZ>#Z zKn}BJ&{UCo8Pt8_S7x%8_7VW{yKMO`O}*uyTzVnCnbZq{2cd@{Qf~#g3$nmu=z1wQ z2B{;OKq?3CL+UgLqmKZ}b*$lY4+{~0<)Q2N>ak#4&Cck{uh;B9s>A2^I05#6I4t@K zs0)I-AuJQpfeLU7^nf58z=B7ihZ55IWr`1c{x@*VnKA~SKkWoK0LrjvOAz|gO*g#@ z<?G;EKf6<uB5*0I3w92O1acZ#!>1pb?(n53JJa9hgEeK^xYXByypMwpfCo^2ANf6? z0(=e{!{<XmHVEpaoBj?)fnb-PofQxZP*^o(rC=hsllRflfnpEHM}F6-2z&vag@+pg z4*|*_U6>>=9|XJo>}-KU0Hv0-qw+1x!c{57pr+<};P+waAh5a=K7Z56zy%0{Emt|! z+XJ$&XlKwJ1ox(|s(|hlpmMr@sVq<lQ1ZJlPrwskV5i@}X@P2!HU2NaLh#d%kH_pO z-oRAkcL%34Sl#?C*m=SB1+t{)LsLm#wXM{Sx9;UNDw??GuZ?Fu$~scc0A3N_Q_7+7 z;NkO0PAEsf5Ed;A60x93x~Vx}>O@KI!lZ*3klpP!a1qc4=04Z3O29^cFlzQ=m?Om+ zh>iG8C}+O_ZQ=7zolwqze>CP01s<=Bt9rdO1COs8`{oXaMqnntHxisFr!f9*%cgk1 z;4aJUZCr8?Apa#Yw_%L#4p>ECUVd+EaHiN}{Le4PRssgUv)q!vad2n<>_J<K6!4G{ zx$QX!IqL`f!KY~%Jh(Q05BI2ubk@50;`P}XIQFu^S->v>1C;N?f;I4gwu+r);4SE0 zzOxGa0UzjbvazR#Vf^=k`@q2619iKBEGL6|EVo3k8_a(<d(e)u6|fnPTsu$M4?O%F zxn@DR0X%GA4ZHW%ZT59kK9R#3&H#6S`5m)2Pf;!beauK|Szs=Ic>M>Q<6z(c)J0-Y z75UFWBlv)^Qy)O_#P|n;Un&A&19jVgS-_x(<(37CgYNCKI19>gz-A)Sxh#+fmX6Hc zeEwxPw>m|}gFJiFin0YbG#=Sd8dw45&cHn!>MsGk5tu_2?s#w#KCsQH(w-uN@ec!? z!N9!(S%}NXs>>9AU5qyU6VtmAq`>WnnmsLNfuIP?ZPlFUOmN!|xT<5lEAU_{vH{xi zp8x2cQaKqpUmTbWp5Vbrj`a?JY9xkU{^<p110TRU1v^u&WBgUXhVnq!fx2UYnFWpe z9vBRMdOQ3593>nGW<`b<v(<ss&e@xG6l0)rBC_FmU<*ipg$7dg<$RGZW6i~PeFpxm zQ;|k;s(-*bUzE=ntpw?CyQL;^J`9)|kNm(o-oyt3^#`)d7#%Hkz;XoUXZI%VD)?z& z_PaGj7*JzG&c9?Ag9<b7WqZ^)AT0vZQt_z-RD%zAJ54!JY@;z#6u9=5a97{7NQ_ds zaVh8rANb*aq~=1og<+ipZOhqW17QaNZ2<L{b$+^yYxWX!gxf_;g!2P{!Y`2@(#|vu zl(Xd^1+Kl0g{EvI=JvJ^v9<sUG933q>}kLnQt8KbF0|MK2kMgZS)Q-^4}6CYged@d zPN;h<qXMuOB)*${dWI4YE~T@KvcLl%@%8Le3km>#cuhvyma+3e{114U1Ih~+io`fp z5KBN+_<)WR-HBp@VO<6F%Gn|VVOszd0QDEf-`Zdx=MMey$3qyF49Er9dIMob0N)9f z&LaE{E`!8@S<ed;QNWZDsaL`-0bw(6700=AKzan`L3tDo?1B%ZIGH+7lrSt2!AjK` z2r~nJ8Ua)R%P1W*0f~MCby@i==hqmW?>h#<WCe@(F^lj7bOEE@%+gL%f`FDUk%$-U zhu|m=ZfHN}41`2tI2BQ4AR0b^a%yp*L}OU)pjkOvbRbL~PzUBRS%iF$1xEGHdY+-k z0xi|7VYj|8bKjz^^Y>VUbg&9s>Y1foq!<E!u@jNp5;h5JorR+v=d1wp2n?xwsRAs5 z4-`7R`5)}OX;9P47X_%I>`Mr%$YKD)zAq|a(GbC40<y^_0%47Uf&_wWDvJaacpAX~ z1_UI?riN96phy%E1wv5Sf(lOw5~2u#g5Y%CziK|sm#LXAQ#G$%RbTpd&*|>leebz{ zse1K(c$+-<p77;SX5DDr<%RjzOF?yyy*_^ihDP1=UH$y~7K003X~+71y<VDhdaLA} zMxWi8b1yeMw>T2YfEU4PC(p}&{LNHcKP%g*oqI3$kl3{k+VFq@EBayBk7A}||0B2} z40VD`!S8?19G1A&s~s_hN;RND9$|5RZP@L;`0SVA(D-Q0A#o+m8uaVd+}#Ekk}BM6 z5DxXjXT3ZPU5e3Ux)`CX$;x=Sievh)bgNu<>uXFGJ=8I>a*SM`VLRjrg{fS6IHVe) zc?Es7HTTA`voP~g=DyOyF?-}R$>^)?xuyoSkeygu2KG+tb<u0x+VF8yvH=}`;tn_K zgKIV(g=u1Om$6|F3}KoWTpc#737K{H43r(Md8P0$i2y%%zhs&C+?#&~3vZ4)7qxD+ zy>W~h3o|c4?M>9dkR;)(QVv=RbpyQ|LiCW3#Y5A^s9s1E1?7;aQw^EJRyuUdC~8vC zlEFj|(QEItg_{t4*`j-M5As}p&0)_ll~NsKFOnskRm4GSqE3>ddimOlnuX|=NFp-( zFdnKls&+)Q9Zd)$f^CIBsiV|j*!5CWWTMVBNFSzBqJw;tbO+8V<cL8vbJ2uIB2@I+ zsCM!L#6@IQ3Cb-|=YVLxcJdgX>B*OuXKWu@>0DA6rEWvY@69dYxu(N^E*GQh5_QTU zhp?6Q9b_MpCY*JF)2D?BAxFLB|EpN}584t(JS*ltq^;77*q^N%48@L8RgwSh&86{N z6TvN`4khZ8Le60;p&d*0kaL(y^A55%X%fDu)Uk90@(441CQ;`KWIwi2yo2lqF4w(~ z)2EF}B;S3(50lp{MSDem63s;y>(L{@#LBFfC!wvQ)WfiJv)pl>YZk;0Qz_j+b|t-r zyT4ED4S3{vi0gk~Vl^cql(?x_Nkhwr5jUZgm(cQ|M12W&j`rndL}Aw52<V<s>SZJl znCm>(9k4nIN-weIG30zH3ZFRZ2HP~xHRlazAib<}n|K4?kY470xjf#$8KjqGt}4%U zKTMy3a!sshfPBVkDs^}|ksPp^dpkV+Ne&oInGR1E(g&=jNr$Hg=>tYnqr($PIt#y6 z&e1bQ`H^*>^5x|!ucGB~#LMFD<J#iQh(lSr;m|{)R3!3-Wo~5~!u}Q28cEzf-W588 zBl=6Qy0tx;5sg`YQD>lYqtqf~i$(4-&s738UV_@*!9Gs1hRgQxE#)d((I`5Cg={g; zmE^g4!u(56PKh<S5F?DHTnF2i^aU>aif;+6EJkZb5LLuwW1#3!sxZ>hD)$c0H4Rom zL76AkltJ>an)^FEeMnC5YZ;tcEtDfUwi(fy9Tg1K8>9MvBS-e;dhuMbu&>1^mBgA$ zkOZveRZgujN{uWUNvsohpU@s2=l{|tUJ|#1My+?ft%v0!9J7=|pfbo`trJMey}328 zKjSg5OU2A)Ncut>#1T7vjx;l{1$K$TyaMT^ZXzYEb8iCFMwW6I^gdFRxF&8kq<tc1 zAAeaEcLDu>SS)UG&Iom${7`ZFA^Qj^9Uf=LjH{`Eq+zF>NHeZ7ur&(v4Ittpm#uRH z01+pP8xC!ER3$RRS!`{7);>N#wlW7jDFuiOQAF}XB|wBGorcFb0HP{LAa*(g5Q)GT z6lN75T0s)5b9(_%Og1+R>V|x&=J0vIwnAxJ%#*W!<@S4CrHgEZDN>keH)jS^VP8s_ za=?g&eJN&o0>cpYrG#k#3=HfGg&7YFNmxWFQvw(SSVS@N2rw*R5hY9mV0ghID9ls9 zkcZJqnclz%gVBnaR>07P(Mp&Jz(B!h6s8m~w!`41Oe`>xVDMt55is__;3do$VEDq| z6s8(~FqC00N|}Mch=RQ+X4(Nm7xtorDFlqeuoo1jBQQi^PNhsOV3@+3inpiHik}#C zosH}m`U}o?p1CbCvJ~={!aSK6Sr3`O;)FVy50PTv^lO|kL*_B^D3c!z#a%&1M-Z*W z>0{b$4-mVuM#G>1W7MC>Xv^Ff-hd6vn!-$fOpI)V%wut|j%FuP0-R3b_!%+%$-b}o z4NzPj+AxH8Oq@QhUDSjy$np(`_K#9OBO5GpKl296VaF&;@x;hlNIw?0r=uA~3Ww7# zbNmdM9%SDhz9AHsi*5)fDvHxbwcpVZOWD3*(D@kRpF+fJmc2~NhB4e|l-i8EWsy67 zz#G^J8z@1^B+j-#A~BUZ9q}$CHk@^ZvtN&?N9Mla--1lv5!C2>iMVIlYfXsWtbM1U z8>7@Jq^d>kCT~C%mS2K8k~sSS;*6<O=!ic|>VdOLIQw;(FmhB6UllTa8{HC4T#<-- zsy$9etY?j$fi8?uJCQt#Txs5bI&7tX1m&C<*$zp;RLXTUA0ctztZdGh9@Ci2eZ}WN za5ZQGs9LhP95kVwBX*Q;E4Q|DXeDJX_2Uy_nfT&}Hg4%rh7Qu!Oze!k&v#!^FZ@%_ zthl<^;!Ew+-^aThVd^DW8s!E_yO}~{(%`H(Un1^<_8;)Y4#qD=Z7DZ+Xuu4AB*!j3 z%0M6|&2kI=tPaG$PM0!uHdAJcAnllGi@%-mo}^OvV)oyuM=+)o`PZxS-;OIyGtj9s zPl)%#;@)U?Eqy@vWIsF!b@=s-YJfa$mRk;J&LjUsLg~MbcZb7_OS6=~O4`glWD?k& zv3MLyd-}(tj3wl8)7+$$N84_Hp4BOP{NnBAfic|od{@L6^&ygJmaCO|Z+AZA1U&Bg zphA+E?1Z)}*ybpbX`aglUy2|Ofx>Z@1{MC?`1U6Ued}}l4u`B?r=hc8Ng}MPn0XEa z1WURPkGnXikg70!1<k_|yF?eqv=f^V<=MZ&pedtNH)N`1ZYOWR8D>v^VcI9oW<tJU zaSk0zCrCti+y%}#9cBP|-%I{uh3P!BDvmfMx;U;a4I=&?`Pe+SD)rvSLWl`=S{Vdb zk10XkH!v$+Cb2lF?K(OuzO4}P{IhY^laI#R*VPz_Kg<HmVEUy@S^EeBebgTEzP{e* zLs@sDpf$fJjSnCSm}yIYjb;UC<zw`%PoZrY$Uk^!<Znvj1;}{{bH9B=zX|gjJg%%@ z?1$K5Df;-w(6-0O8y2~NsjrKiNlkEiX~Ec83HKM;E8oomjA1UN%o6~khteaD_Vz~a z&(g(1*MC!tIv{&6(_1u}!{sU;qE-J5Z41iQjezF-q8QZ!EuS`j)o9+(M9Gr(z3Pqj z%hHX8_JS|XkZjEKK7f(0yp6u~pkNHDOFSvY0ku4B`qBf0Rd!SebY_gIg0$S5tH*Oq zfRz+8y%K9mAS}399;a3x<w>Rt@V#U!bJ4GZ1p-V*zy42vuc2S#fB>_Gv?Bxp4D`ft z3<xmLlMsObe=TNz#tH;D0gKz-u~Y*|z~HnymU1AiSe$&vQU|0JgR|^dDuq~KagrUL zt|S$>SrJFi0F^*i?&aT*tt>%ff{88??r*i>O^E8OyErI$lnO=uiGh-1h#5B!)GT$; zmW|WdpU0^ANJ-ON6&@(?Yo(~b#F|dX2BuQIgY8P{g1eW0bMOWz4RX{|ev52nJK8c# zAi(|FDs&*gTs$;y3<&VDX)atKz>HGVj>MWr5DunNvxAKx-GjU5a&i?bub{mmh$Z5z zG3`wpu|$;Br@aXjSAxaTu6uyU%i;z@y~n7RkS%+2Px4%aVB^K8gv6RINDQ_T-r?y6 z^xXXlr`7;}b&7oV4Sz(jvJgE&=b-OS?lfA)++J9H{koff6^a85O$5<N+>E2`@&KWg zMF9=X81)kpW0mW{1Eris0gX)LT?iRlDcNCxBB9~#MVy_6OcYtSmoEv$WuX7O;7iKm z9;5#aBMOU}4QQXGBQ~;?PeC7zQPYuE&2nvdu0cS58c-(^YYHG$f<A^FK)NRAW9IfS zMFoA#vOMmgpuI{KHG8csPDgOEl}`%#nA1o}v)oFas}D@L6lIoJ(+tVPR6bmA)%-jF zt=J1>C4T<xmh|$o0%6G9(n~#rYyfS+)_jCSwr?bK@R!|?qA2~HcA3aN{%a+m4v$4+ zCyRA|m?z0eJ3l_O@F97_7xkaUMdm{cu+s?_1Y!#$q7)GMAr1HDo&rP`*}f6b_d=?~ z2vPco_UY~W_!uRi6O)pF2+qWjA1VMMZ_*)n90CwshDcziT`vgK7U(z!5TTK;_vWSm zBDrizBy<%J!9~s9YpV<I<6l+6<)dxIV<&fi>M*0pNveRziR1@gWTxwM1%DnmT~Qze zbo0APV&qLoF9wI`X!ayk!Rc2xV=$&HdGrncj{!4;JlezmqXkrBw4WcX1XP0;N^BDY zs<G0H2*?JiAu>ue5~xNdZy*Aw2Gc(=k_=P>r`FNz4pf6)#u?iURAclhzd;G8Msyf| z@tqjGN86f?IF<eDy|#5T;#AhJUV$9fXOEs1$gwvv+Cm`5F0i%|rUP$49M(o*KH&|3 zTPS5p3-q-VgR5C^C7qphsQ9)u=E;?xhZAR;AVe%qydxe>Lcq<)oJYFM7&2wgH$4NU z5t#x6Q)!xpejP#_5R2>4{?deq%zg-eevpk)Es)18a)0v%@UVL&poN&d0rA0rJdXDu z{T1YKJd)H8H@n2yZwxd-xu35pH(iOo8cNI;kL%Wc(Ts4;+II#jH%jdh$aXGo00v~6 zshv1`8^|`!yd(YqkZrR<&X@_1ZORKiPY%d7CX%=!3S>KCl<)hBx)*s~XguA2<YxnK z;1sN@1eKmRTL=llREl;id5{L-?l(B+U`!pd?hC%H(sUJCK9X1_w%9F5CAaLPGlEpo zK|Zz+q*5qsszmUc;2Pu%X4)rlwgPe=tGTOV=>W+GevQg`WWqc`e%Z~Rl$*{Lq!M2o z2m(kYVpdXwAeAPNwpJjO28>{TWfYJ~vouHn7Nk<VBgq-=eu4AInCVE?eaSyAH+@m? zli;2x5Nz=YewIG*&*zgzMUP80ePI2y=#UE{1(Qkrv*LfU4u?V0Q|~?XA!Wg3%Lf&H ziOPmSH^D>zX**o@>8$v)m~0f(*xm=?BYG3P9q8^p<aP>bo4wD+Jy}nG(A)nfs(W)y zc&>)9KbUEQjwR3Z%U$rrJkD=eRslLSlGr8jDF*5=N<D*QTI81V27F-CB`8CnuZKwc z;IgGaUlpJAYd;3cs{_N8qEx{bcakq$wg7yAOh;Zgm;^L)75T?hOapv6M*0T-bgJCo z@R#gwe-99=Iyh!ww_28epUod|+`Fcmu>apGpL6VW<d6}czxczCzf&mhzInL=j><12 zvQxf~7TkgjCCM5%G<AyYcmAe!aPP+somX)8i!V;C#*%|?E|ozEK2K7v8^X<plKO^I zH<J>R4`!z{*`7t+Ui>PV9+pDxj=QxAT8vW6HSDm6%HHZ3_(0fyw#L6v<k}_*;o^(V zhfBY!I3{w|SoQrex8o*Y4nKSbaZtaTQRKNMbkgm(c7@Z^hHxe$>ikrLA>452VPBa2 zdBZ<D)|(?gUt?2<3E#CQN{4WN0)6J#D(qg{^GNP*&-a_#Q^su3w|^^24}4j1-Wl=H zE$)sIo-r2~IUP}d?Q>W}#RqndJlT|M>t(S?3QShGo01!?t`c>e^y$qKrF8FX!Kdn* z#f+P;G8zxe9Jf4E_P#kdX#FRPAO1tZaa*2e>|?b3ugfcrRx<_Pt8aEOZuWoazWBmV zbjtS0qesmjx2(6e@)epsqAtGBz&$><budF{L5aukdF6wDQI6XD`6=21(p9-}bRZ*> z=d-=Tr|-+b2j^h%a{hOC2Cug78}v@@qo=eQ?%NuDLfN|A$hZg0s5Ph1QlB3fy+eA0 zwlEpAXO7v9q*t`06h-qh-drguUCLP4$Zb9cT`wHvk2QVVGWu9K`owTE+I;;phrj=a z!bS*r`V0Jj@yGPCH=d*SHHd?SZ)SSF?0Nm>TsOkDw5BC!_*<Ed#7YlUJ$mzPGx}t` zZH#$PSEWg`$E(RKrRZ;0ZoTPgaKJC^XnG_QePVp_meOQ*^Ev-{neo&L!_i&VCPJn6 zm!hvvtx>K0w1dplqdndM+@IsttHeFWdUG_wbVi?le`pTh`dii4#$NKU;d|qYFTcU2 z{X>wFTQtjazPJ4lHIpPgR)6+>&68wy;NL~aVxtohvrV%-NAv+h!c2&CTwQZW#eiwM z`)o34_bEE$$c2}mU{Jrm;&gEmPhFE~W55*N-E@w0bp9G-;=-@Dj@tBeQMv@FL0z+0 z;W}!6z-`;&m7K#N;gD%%h@UOWrJe+dyzr6-^9b<Hdbk}0mywNVm7lhO?6gDq*FS*} zFTVT;8xHWyPLf33$RV{HlURh34$EBY9yeejc5B+A;PaJ`@{5PR!J2&CvVLtv*$mcb z>bUbC`|!Wl-2ao!|7Ad=+`Y=b<IaCMn^l2-cvOhMc%g`!NBO4iTRHf<lOdJ13^w*r z53`&*p!``{?)+`Ul^Y1mpM3tGs>zV)y@c7TXLEATat9p2$8Z+)+bcErtJ{cfFt}79 z+yz4gBD)ar!w=6L_#pW6Ho^!H$U76)^oSJQOMHwwSWBMcR}Iz@Wi&fK>OqANW-|bP zE0Q}93Rrj8@rOR&y8Tp{nA%6Z-uGMSg!He4LaovG?GYLj_33!|=j5et4Bi2sZR7Sf zG>5lgM{LyFzhMiFn!Af)Li%5PDVqD88XV&H>3-5j>40(P%A8-5!3Tfa_+31)V;TF) zW~FFAd?w&`cz@9M!2_ei_Rtqb?4?J4%*Rd73?F=+37&CdJEop6Yfq)VJ}^<vc)qOt zALN52`mOyp>iF#9tbZ#r?L=zpU})tI{*p(1n!4PZxbmAv7M3Vcm)0MDcxzvf8#Os= zb#&bpUNUWezkYnyjJ19BT-959H~VYKtLG#H9!?JjC+@AB!&oxYrtku;t^6f_^}B%U zXI%Lz7I59oS$~W=S>B5ZoSZ#W2R<akmirtQe8^jW{A2`B_&*#xC7>7q+X)g-2=kZV z^=W0PmB##~V*<$A*z)!O0p#-f<0S!?!sM)-2iVu<3UBGU9&t*a_)G4Ca3vxg+?4Qb zL@l_fWoP19ed53D??coNf<F&`5x;^r!_DLqz{Rn4adrwWPKnWc09>3KA+i))oH}<v z4_q9FMO_Cxj0!{$cvgf%M5sOhX7EwEV7SVc_X2n^PHh9gYcbdufEOXu6af4RcR(B9 z7lx<`uhf2Hju9enf>~?sz<w~>$fD)|R{wL8=zEjDHTi^Wt)*hF>py>g<Wq#qgg9su z=7HU`D#VT~Wof1*q2@Xy^djXlA0=V7lcdLWJp+r_X10@4tjkQ@4X;4-XZwmXQ3UXF zyZ$2O0{^%?P75-_qDCU4MR2N&nLQ5AIR~yDB3>7bgOJR)u8OdHAu|<@9*pU?+o=L! zmGw}Pd6-bs2-$l<`66F`QgK=x(!-(_BW<_hlo`z@NInN74-uus7u88H?tlWUYm1q( zps8@%Eev*FG5Nb;@{`t59@ljnIM=QrDgm79T@~Uth*pCmQ6EIh_X^+An{P@N=FEXG z<E$7K2OnvbAHB*q^aQg|&X{0!2P6I<$k4Nd*;){$aIR}KpgY2UqA~$p(QQOApfhwL zUIw@3o5lC?0YC@`53(1xm0@uh08<H(mjLh_cfbY!<5^T80Q_<r@fRd0C68|l5N7=| z`2mlX(2shYlX_Xy1E2F#GprcoV*u?=&?yIKEw1YhfX-o2;Q%dNfv^M1s-lQ5z_R-; z@C^m0(BO>Kf@L*-81W|n@C0Et7Xa<Ju7&{E!J-}&3`0~5z$aEBp5H+H&>P^oT7lWW zm54?__a~Dt2_6kyjZ=IN@a$qV3pN0r#}mL~#2p9+uBG7i0D(0KaP8#pyCA@iQ@Hs@ zfL|a%=QtSD2s-zH`vlkZ9B^+RqP_s`rz;V5;BikLAie;9hklsPHw2G6PKq;D0g9YH zBi<DN6$rC*0Q@^dEzf1C!HugBMc~gPTvsQ+qr{?C0v_Yr2yd{_Th2s(z_TxhF9|AX zoFb=~26!YH%}#&^Plyx*%#%B?2LN?g)EWSEO`1ekPv-7%sN%<Ftx9pk6e>+1K`bf; zDJeWH!SKX?kggp_bt2Y@nW>Z9xUQ#Qv~7#BoSnMN=-u|W5$tSVQDzDuvJujMF)4?y zDvvXQoMBN<A)|$-r5H<2r1KY(^7y}Axy6}4?hjFKAXSB@_b|wgB=UjB2Z*o4;?zmA zTvt2Ty)BE9oH;|L(eAQJgjROa7N#5_vI{bJA?YfAKU#6x3Q{mctwN@5nT9bycY6PT z?E&JHsI0+L$H~=)WdBD?7V?}=TDI$(&T+jSd{bH8HljIr#E1XgM!YN-x!Z_!U=%%j z5zz>utsQ$2Aq2+ljHgsQh{18=*vLE(nJr2W<3TmadLl9TsBSVuvrA&~T;pWOv%www zU!Zh<d{4NDI1M6aZyc-BaW+TnjM76-@D5P6VDtgwaixcB@K8~zN)IiNfaa#eWN{6k zX_J^7y934|iOKzy;5u{|@qyqvWC*jZV9{^t#9>`xx-RjTsEHgy4mfCPF~}gVP{M>7 z5^&HZ)LaGwNcU<mh!Sc9k8YVe;03laH$;7Z3l1<`iC71>pH+qM0mlMzdLImT4iX=O z$vaL&53u>e+59k&y4Ro_J&=LV^7td*p<Ua?nFCU*xu0PHUMR|(5P1)9-{B4jUKV<Y z+5@<u9Z#vJz=#a|IA8##i{}6Gv-F5v24KVYw=?7fRdgRiPEd@M8J@m?#+@*G1~?vn z8lox!oOl&tL!i4zA`NUqm&9)XH{`yBGbRX)17k_>BvZKqM*!j+i<$%wKW-!Xz{dY| zBBq1ny(YoY1DBww!|)6M<kEziOn~5V2TTECY>2uaAkq&JtpWMV%Y0Qpz9_+n_Xe(t zgjqqvUaJ#R-)`)7|1pqM`)2*%<6r83|A;=CfEAvT5&h5{%s%_U*`~s?WHoI7VL+b0 zx6x{HR=*FibTv6?aYGIN@1h4~mH4t#t2@@sS-*l@x=H{paSyXyBc^&kDSUi%q~{#( zfWe~%tJ-rKIXBbJM?M_ad9Hv+eo9X;n$+1NSE&K9iYve2O@bX5d79>-2Xor$uC|J9 zf6bz7L0L`ev?}3LAl`B1mR_X&2fVt|hNEGMTNn58$=2fZZKNl$<peKM!U3-rX~Raa zwk_^rt7wg^(XFUMlR7&{YU>y7URb|l3d`T(uD*&sm$h#Pv;3hOXbvR~{JFS(#|e3S z$24(LCqZf28uH|mJ-z`Vb5S>E{myfwf2~NRA)nkPu_#P3f9PhT$8_H9GGjlN5BYFG zH+%h#43c^2iPn+i6*RsO5qATT`tPJH2Mw~JQi!p1<*Yy>UD2Qa)5sDK`SD7`0=V6l zgTzbV0;2NxufYYd#5rTP0b&m$9tG|}f-p-1z%Sf^6aaiPq;?(*EUv2x7_cnre<YwO zxs5n4z+dHC3dC8IGY9HcC5&MK>K00f5P1#Y*SG`L0P%B(DhCi>D-rGBk&GN9P6;~6 zg*YAR*<Mg>od>j7RBhnkbQ|FZb|&debOFrDm-r)~BAUr_@b#dAi!v<0OJxQVA_Y4; z$Q?)q%tEaH9z1A2_WrN^m;$Agf1AT+0v%#0anRruR?0BQU=h?A!YmOWI)|vj0FhjY z2n2gsK0tI5bSR*R3l0H_xFBo>jCetADG_D`ReOLtfB-<ME&q-WpLvY`{n`?epd%>n zJGib9;L8$=It?hlR3P30%GZa8<6!p`pg$n4X1h4WKo@X|jAnr@U<kASe*oZ4?mz+n zvRTx20Hjs?*F(`N|LdVJ2L(M8g>seu2Lu!cWq1YwAc{~UxJfbYfGz-nwqplCyr@6~ z00d|{^1-o{Gx?L?5TNHcCy3XLUaIKl{QIEQd1m(U3D?yHwkEVFBPiq*2cn%pAvaSY z#Rv*Hd>dUsP{;|p+irtGe@>BLMhFV|m5ZZU{AdN-9>^{hm5gi<!3i<q-AIiG8k~t; zqI5Y@!hem_st{dK&`7!Oes>!*Qv0NsCk2g^(na5F&`8BekqQNk6n_hSo1l@>+Feuy z8mXkMOi;LLAZIT6UgqCYn3jVa8KR0HQ$=uQjHN@OCcjc5uk}(7e}B#g9bUorfvW#% z`0Nms2M#?@i3k_S=0W0D5T<>3d;$n_oH%EvKz_9u7H%L~VuVORv}U*iVgT4XMC|~; z{7OW*pwBo+go69o2Y$$a;u<H)>AMeryBVJD0JxP<Bj}4ZxUMDuxIRP`1HhF^L_Y{K z?;tS|07vuqw?G}He@k#40U%C?vE&DU(glJaFrbENe6n-=uX-n4{;zs3ZvU@(Pn$73 z!Os<@7NMpJERe$;hy@GC3{f9}1^88nQn0g0M`AvBj1RByCj}ja2<JDDplNG{9H{rx zivR1Nu>b3zcKxq|djG!;>fZl4sN;wJ>!2QH{MSJ(YA}`rf6YrjcK{DuZw^sYfU7YG z0yxX@?+?ib&!_(vUf$pjS>km7B*}^L|A6=Z%m6a^U;R$p{qVm6-f$rHzY1RdzY6Z~ zzY5+3b`7Xf9shfMzb^A9kAYQpaDEH6Yr&WilyxzV7|2A>ee{7RIW5HS6f|=wgc?Ei z5V@{0fDQB>e{q0q>NesCV0-LD+ysYT6zA**@&p=>cp%eEP>uvqn^h;8)1J*oh<#RT z9Db#yb~a{SGH9;^R8v)SoiTPc{S0$EGHYu{kio8J?|Zjp9~R0=lQK{X(ufzkrXsq& z<|__7w!l1qhur$>Z{NO{pj>wI=7TH#sC@Z_e0cG}fBiI8_~G+DaI4asAJTgGPn<5} zn(EcYnRUh2#d$x@Mywoelgu%i^Qn(6p1f7v9DaPB9=%gIplxL}y7=`idUJLAPgEO5 zD4^}45xJz9*tXnS_Nu5hq`&P!ICLp;I(p}aWOx8A!)T(rDECcyVRQI}pU2xUVgYSA zMviaFe=C~9Z~sKL5qgT$f{*n785=Izy!U!>v2)C6C?LKu_uSplt%P&=>6T^=XV>jo zE_t?|@Lo)#uJ)=py%qNUy>9a@&FV&7UY+>&gsGX6qTZ!mpMNAwJvnLWUFxmCoqxRd z?e4m^yZRUJFR6P8)sENI?GBpVs1`ojnpgjKe`~Y6(Xao@|NVg(&MIlVl^RZwcb>>5 zvUVgczCen;-eU2v!#kwg@t-URak>mL&Hq3D*<6?_E@6~+O_gh$U$dDUEWTIK5&5;a z`K?8Q1mc+Yo|uz$btg}a!$sQe*ZKb(koNX|+xn*TeRXvkzBcXb1()}w9$5dc|M2b) ze@oFu8oEX*Px~&_)xA@*@lLqk*jVf0N?tGyJ#~{`aqw)N%W8>7PRoey{zKL4nA%YP zlh<!G3~$gz^o-tW>(nk^&`WSV@`fzuoji1dai@Cx-|dC!=GETA9{v9&6{=fT-^14? zoW12zKpC$4JaewWrJ%&4{l}uMQ*{Tne>UxRl6vE?9qq=Q-uQD;1+#~m=0u$)P6EFQ z7d4EYkA0ca-4WJ}A7G-(g^H<-{GC)*;}Plk)!V*owr=G4jpUmJv-|ZMaW2__GNAe% z&C5_@u-VK1?Txh?1+&Ha2^Q5|0DQ@10b82_!1p|GE_TB+`}>ZUzwcY2?B{K~f9hTG zFD$dHz6jj%T-=I>Z~s_)>|b4j#mD|mI#E4rL9@J*YJ1;n&d*cD-@eS}?(!`?DFcnc ze(&U|8<)WH;rgAyE?R)bqws`f^)*0~>rzna@fXneR_kE!2a;`lDDz9kV{64d_I0VY z-@Nd*_414~1`%E=VJ<TOm{=%We>(gN_+zVKG|P%q+cVzyyLwn~k^nE22p2MNi732O zdL67<>ZKCm(gi%c@OSjE#u|gWyuO}ssp{`tN-h+p3{#eq_~H4pp-p+_ZF-+)e&2No z#p08HC)rmH@ALHUOtsZGhJUR0Mpt7n$EzgR#RA|C7Q#!08-6UN9;imse=Iw|{(Sn1 zhi`%1xa~RP5)V916v9h~AA<?!Y8K716?h!OU)94NDVUx5lGLR=As-r0m1-O0g=gp) z0cIsHf6fiXqJr5a{Wqr7hrljwxmaNFabOqq!-k&z^{KYy?)Wyn#z6Zrw|dLo8%5xz zJoVpLRVRRhq6@zi4-bRwe;})CX_imGj(zYYdX2^EgInw0Y79>O>}^hCug#2|E3B&% zrhZkU`hU&#^7i(=UqHTh?}KHdLjyIVD)b#|cY>vhjj(f0LsiJvsOtpL{O$Qy#z*Gu zR9?B0wYypN^S)&^B{~b4Re|s5kzem($9Fgf?0bg~xbJpY&4}FAf6ao=?=wqiaUI|8 zJl`;LXM|;qS5s~L81=!m@c>nIfqbl+Wj=q{!#U?pc<e~Hnwp1m%^l-?CqGf%)t&e@ zd?4Q#9TVH~(k2nB7D!g?X4%e<8YK{pl!!Q6?AyFPb8&=ahgVd!^6Sm4)w(&d-I?7m zQ!&D_z^kZYKR&Eze?5Vp`^iG!p{jY!`Ol3K-bh=%=Z$<+FYBDj#~)=x=!o<_XqXul zu0Hi{NAFO>%tK%$;$kKHPMoQBKY4wz+ic&wZr-r%&RwdRP5HyRt$o~&%9PG2m#P+w zlW^~ahAK;!`7l)M@}Lcv!d?<_e!}~COr<)_+;HDogj%(`e~q?dW|iAJca>^SbM1YX z$L1F(Kdcb@_KExT(m$U{JQ}+^V3ThU)GU-)<+GBEpryW%7R@jF?_=Bf*ngYzXI{OJ ze)92U`2Cl|x}D^%ZkE>kkgm~J;kl9+zw>Y2aZ6&}J>v2G>o+S?mrwQ=j93N8sn$Pb z8QZAr!Dd!Tf2hV(RiTHq_u2HY;5H|(zvyO(<-cRGZ1M7{YM-JM)LPL!AGuqc`?*oY zULwxhoC9N9?(S*`W#8ExwH&6`iu3&+o=S?|oR^=P{}}Zubm>g2Wloh?!5fEemSp~m z#r;xdv-QdYr-`>XpBUpo4Muh~Q{8m`cZ(h|bNhcvf99X|>+Nr?p1mQF@BQ0Y+qt5R z(8UmOe&0~#8(<T}$p|fMsB(*$OHGi<H;x?{SrtLgj1T|*3RR^xORGKmRx;9B_v8-Q z;;RYYd$8fd^2va|gIkU2>g$}MEUHf8I~bgK_jzGzU5AfC{Uz(V+V@9F-x)=Dc|)&c zM5&=Bf38RQ)UeEDQfZ9SjZWb<X4P^5d+&b8cWV!2FK`J6bB?rIyCZwyBLQK`S#fJm zW5<|rR$SZd*fGYOM7MU%aLjwc#2!wfOS{@|%sOGhn$zamZp4m>VZ@Z3J~bReCQRsZ ztPi(W|BdPY{^6d)bi>-rD1&jrFxpFTA;b6Ge{I9@?LW@-H2rGPws~*ldgNzs&B5eU zm6m(1z1L@(gE#LR^B%SIBqp57an25HJXt@iD`MFY0DWaJ{NpmArk}mQpG$mC=!j=< z%s8jZPq$;+18OG1=Mt|FI{X+MZO-W%r}rLipRb;%o=ZeC0u4CEF6|P-3x5a*6V3p- zf4zBl;VJ=P$8p8Bli7_&+U3{_zX%9xPQGiq7JK140b$9Jc5N48$NVKs?Byu3W4;k4 zEI40=V^#?hMw~=!d*E<P4PgStX>)6jVaLo9Cd@hSXcJ%N+Ta{(bbH=#%;$fNQrf%o zm8^ZI8Ui_ECC=%u-aeVS{L`eM`)yVIfB0LfR+~>(+0VKM@y}P<c(!znpQFfZs>w=b zxDsjOnngk9(dbJn?`xhJL)VQXM_>H(arsg2J19PZ;wkl8E3A(-E&kLW^9hx__dpi6 zo-0-N;EL60xAi~9vg`foH;+8uZ28;X&rJ4f!wi0oUq9XkO?ltc_<V{Uy^G#%e>8E7 zXVl*&m%?sZcs}(YT7>@IXu_Rm)t?AWdD}#Neu5sYL?1Mq@aBd0C(5PtHF2JwXo;4g zD;WkDuRIP<xoj6XCQgqunmEGS*}tNgGTxNNENhAmqPrPQxbe{aD@rNPnuM74blw4a zff3>`Ppe;Aaeb)Ck!k;cca*+re+1fZyME*MR=*p4yPn;Hte<EgW(Vr6WOu$O7~T{f z-B2@n_n|42x#pKxxYGWt%UM<D!^}J4<I&C{>h8pXaGlGXm@zbt=$F_uN-d&lCgz0K zT;`k}!{gfh5*ee7MO0YgmGH>Rb`fKKxV-_1ZKK#Cs#aoE`0Qm)@Yp%rfBS&MuF-^| zz}<<B;YybSL&px`d;${bquxb<nk#q1Z(a_>kA>rW!tOcHoeevXypaBT@+rFUQn_|f zV{dUXzKMCG8%2Qzi9uiJ4EXx!{?97(TWL?89Ba7$Gf=0fy=(sYrGMY7r>*%#-woe; zS*n=_r++Hq7+ODT3Sc_<e<#+D>h_<O+Z8jmfD1M3aExvUCtkK{;ThB8ia18rQ%xz% z$Kk7&vF$v2`rD;18=uqZ3q~miqDA`E<tJE8In2Z+UI@K-#co!XPHp_=PB&Rd;e_IX z#^N_0j=q1ln&qptem=#cfgbLbYenbb>9is{tz)5M%{V#36xZmcfAGO8R?UCgm6#EJ zE0v?s{U@NMp=15Hc%zg<(PiQHFE@saox&{{r36M}`==lQ!DFSkHw%9kjOdC*okqt_ zjUjLeMV$ukhMQKI{(dW6zNh-_A*Vvea&R$5>xUbt;Tl(3+j(+yW|P}<xc^G=sC>V@ z(tL2iTP2)?(YiU-f68cG|Bac^x_`7$f0t~)MAMn)MZPQ4QJbPPla+$Bv_HGsM%L2h z1Ku~4Gi}EIejCJ54c8AfG>1RAoIvO8rF$E$2R77)pC>h*94p6h4A+nFf+qU^8nu=D z+|YW*X*&Nr*>@$#X3+OoH74<T_*<N((W%`<?Y;LaMw5%If1m*$nqJ^``MyK(9M;dD z(r>Hy`IZzD=4V0AGdgA5mK$!wv~F!U7H!f0m6<&L_skLciK15Me2m{IdPaB*v(E68 z!LgPGs;@@>_?4fnhO+aKej@bEq6GO}!G1dQi$w{FyTbgG%p%A1acskR{bMm>b-2t0 zvjuqDqwxCNf0z(IBHhSn9@h4{>DK76qR-FU+8Sy`t&4s_C*Czp;U@f!K~4q#J+q-= zp!C4*_<8zUqg}hLIZYP<*)DDCw@uwRPs3fB)?-Z-xT&TP+(6SO9NBOeEU_Y73k2KR zB|0O#>iLTRYp3X2;i1o8_*=V1W8fmP;H_<^yBA^Qe|O=>wCS=%`{@#fOSstpYd@ZC zKaE5^HReT+H!OuGwuQ5w4>Uz5&@GHgVTqT*EuOo!M;DFai{2<A-Zt&W)%aTb@WT4P z<Wj@NRB$@})<L}O{qN)vQ%yT?y?$*+dA|LMxoV+fIC_;)z!9Eq|2sv*aMK=~vu|7e z=%*qhe+9(rriACxt<iRLN5g<1UU0uP1o5&->bZ1Fv=&{+aKbnGFUD23EeNh7Kk>fl z4ReJa9Z&Bxnm|UI^tZ`(Og4q%49tI*kCqkxaf?0&|0_44MgE(+P}cXCnb`mDd0a=t z4+nanz+*t*QG?mkN%RxAnF-wf0d8%Bz-=NNf4Ie105@xa+dOb<^9F7KMFNl4z~iQX z$Vfo+Mc~ma@W2a*v;k4fE#PMT3b^eOxJe1z){4}O6LZ6zNsW<X*KqUxi8n@v1t3=e zEpCjCI~9<4Yt&yr7AKgx0Vos&=qrM4WC&a%z<g;rn1A;YP%jBsC&9e64p{Qd0GMhP ze@wj=oatKl^Og^-<?U;CIvW>0uV4Q8z|Y%({v_thgu_DZLZ}F70rywY;%Kx_X}u(L z;?0`ym4(x3j>A8Xz1!=>+q?efQg!`3UTDshjnipb!>vATL{+_&JUip}Uw=up_kOdX zhZF^xSdTRAVqWr1tQrmOKMma#HueT5f7Dm<{^!UCy3(7*y03ABo-c3amwoPrA?F4; zYyC&f8}EF`P8V5=;kMuYkd-d9mcV^~J19GS$C?HAW<^j&y6oBx?rcSQX1e0qPHs&_ zdB&#v8k(zf+u`D-@|qS`ro!Puy2e`P<$Lqh78c2_-rnp(KX+{4%@+5tO@<sCe`PN4 zWjHea=RHPdU#x9)s@8ZiTcl)jN9cyqMeer-G<(F-W@AzvgNON;&&k{mXH%9P6HTkL zaeXaB%RH>-#o)CaJsZk7yL8x$?qv&%1B#tgT=cF~MM`>5ufR^>`-P@WE&C*-ifWeZ z=F{_0PO10g!u@NeMjk|_eIinZe?^v!=Ds~U5m4O^xjq>2{z=On7lYdGcaJA|)f3E+ zKdNte%=wRWeL6p+ANc;C)Vi;;ArAX_HD`V~w7T3pqOI{#uaIBk{M?V|wsy?E=J6E= zx<s0ji&9IA%S}h^AiR=?l9vWY|F{S{wgTIeZ7roVZh1Tk{89LGW@r`pe?cg1?{eS} z5&2<j8e%zSs2%xX+lKP;o1wi2KZvFYE&m*Pe=tZQO=|h=kk3H}@w7e53PS}493(d& z%b7zm2R_K8X)H@}-&WK(x@DG$+wDj;Z^=m<BHuR2DigKanryqgk4qm4z3uE+kfAPS zw{2}3cd249(_U#!mD^k~f0$t}w<gJDSGZ-`E3C<L$rWxH_Off+xib|7nf8in%G|1o zf((1;nkcuYLMGE*ehtFStdPmDhpcVkZd9yhPAMg8a62njGrClhcPy6;^;fK3m{LpL z%MGj`UYy#Qti$cUP0X6wnw-L2xZR#TC7gVU+j#rt!82lMddrGKf2EFRggf<?;X}I| z&us66Eej9LI|gm*R9|Kc9jSPK@x-=H>t+9;D-}uyO|rU_leaFH3@uf>zfdM(r<5$c z9L@C`vZyf0P?xroOx9h7aJz>xZ;QN;j=j$K=TkiL%;x^_hs25x7t?pG8FBYke7KOV zwr0iksR+8bDY+KTf4zA-C@X#I+Bxp*?egq&;Wa$B=C(t2I&@8p>vr4W(x&K|BUk43 zhn#d7hEMcrOS<K6e=2!I=&f_R6qW2a`|r^H{i(FG`*Ngbhd!y=+;Yr`=f+B_4OH~( zC;UH|be#P<^vH&APnuf)|C|4xFc~cl!%~R8-me9Bh9Qkgf9ATJ9tiZAx<cUW$hUl% zinm*`&VP9EXQ1EGnzGijoMwqF!h4zi%$&OtmF&B7?85%f%4P-1W_goqAAcAA{IJ+v zerI0l%Q5fICa0B?g$v5Mt0KR1ciR5HX}((9d#ls3*QlJX@Y`kZ#LcO~+owGAr7(Xl ztEKfXZ*KPvf4KCuoL+}`cKwWZq09YQ!i{ZCCP9N1237B|==Yx4^$%n)kCI?mtP*;M zXAtE_+@E)IYQic{5|R?raIM&6x12f;t6kX}H-chzaB(a8CczDwC#aui-s`Np=w^?` zuse2J;wGFV>HX#T!zs?gZq7gJ`mR10R9z|VdANi3f8@gKF%&n@rZTAdd|!iuZ^s75 zmT*~}TIpQFW$7jV|K|UF^L0!${!|IAk=oN@C8YN5pQsXJc7At@4aTtwpHSQ;Lz^>| zx<cDI=fMds5oUjnDk;9VE=8*~%_GtL<}{~+OSZ9JMwL+S9hRo`ndV)l?Vr2882rOD zFQ4{kf3EyRW?#1@yyQ#ud9pMOZJL)yvl><~`y}&T>Dh>B$(Ne*Y?+i2QTD?qe~XPH zsixQq_*6@y!+&3@V`f5ruv(7N_H<iH)8<$$hiR=DctcFRGaFZ|xPz@sX)B<$hWSG< zRUzZBl6OV;bkn>Hd~cM$Ci`))iWu9K)sl~Cf6l~j#~_^99>t0|&qe19vX1O{)ye)g z6!hZDnLxXwg$Dz>(_+<SboU+zdM?s%Q@uY{HZ{95T@5>5vud=Ry(4KVZ+dC!0PVOb zRmO8BYWygT-%U`*U@P%j#f%;7N2XSJw7l@IDwx@)UUJydmm_;91DEhf%NTvnnqV6V ze_9`_r5K}@F^8d)9AbYlZ<V201eYja1740;Q(SZKiIy>Xp7X&rQndZ777VSZo1l-0 zufiJ?pUj+-r+hiYerIkaLnDXyYn8NJtA$fsGw|t_F$SLGU>jMQRTUmltg?eGW@<#D zEwD?qGv<;gCC+T=IW^O6f-1(S67O8Re<@|s5gJmLN23l~Wp)!JF$t`eX3TkEHp{g2 zDlKrhFtwW?k7=yL`xK{3(X>KNs$o0&mg7y;wtALEjR(>+GUs9`<4$aK^E3@iPWOm$ zNm2iDjH#Nq=X%t*C+&Xb9E5T`${)tQ*-bFT$W`G@itS}+nZYGcY)bz!-c(K0e={d) z+?iI8Ik$zP=)~?aPgBOM_q6DitdQq6g2!cO&si-`FyDmPU8ao+Sdo{@iKYue>~R+1 zHs*{ld&<-(mzEipY)4tiz*D1ad}$S*vSasS{xVk=9mA5Xc=#QdBQs^vg>#>S$K_}V zRdc4rCnRZ~O%txtdcu?KDJvIhe?2YLVC?4Z76gV`HFvnUOq#|C{tCr9_brE+E{L=B zS%el$fe72!G$D@`8kTHHNxX!A8)b8hmXnFsp)d}y<M7IIuKnSr8@t^47tQUIS6Z|% z_0R37yV)DvEkYPh)f}prFGX7j`6`Rm=_3$LV?;cSOI(ZQ27<>GX=oPVe=??Bgl%n# z&7*mSGps0Wm-IBSHGPD5)0iFXWEO#e*(=O8r@SkmS%fj{DQ#K!%qSa+PCv6-MMpx` zOv;Ly!x+{)yadJiYKlz&tv(YUPqDsCiw|R%Q>-)bGf_4^wEj%IC&fCKwiL#&q*!0X zv!iT+Xjd}vp%m*YG>I^Ve<{T}qc+kqP?!C?y9I{ntkm0Rdmf*O7osFPv!9z&)iCot zUL@L);S_8S{?T??T@=HMX3<mjF0)n{d$W&_Y}zQ{SsukWPV>yf>tWKW=ERF#rD+Ml zUzM;vuLw9(ql>lYEd%$k7rR@mF+!DdUzhuhGVw+fVJEhgxtbd0e@cjoJof!7LYS#h zM&BWJg1MSHMk1s{5&OQTT|9?<)(rEOMaabDT(UE|fRDB0=(4-JTi}>dXExScO&PNk zGOk&2x(NR_*k6cdR5hnqydp(AXKHkX77#v;pnQ4O;%&)+vo8mi2(jO>2r0<{&ZciJ z;Y*_!TAgRKDG}W*e=(Mv;;Aes#v)|gxP<TW?UGo{XHAOA#~!R4XG(F!QobA>nUyf{ z^NfV~0tC|&>~B|MeG}gitRl@`jv7bOV)V;n1^W$n)#ClR*&O3pg)hbg9J5d8vD{PA zb{)U;YL-ZWJA;&s@keh92DK{>v&+YP2jw`(SoWnt!Zz>}e_E)R&S!Z1c6K(ar4uvn z^9(|PJF%tB8w2`4&Ooq;S1qo47BWB$T+n8Z7fwpj;sp76#o&$5rmB^7N#ZqpNVU{O zJjQZCpIuUTNtniBwUlB`d9CSCoDQ-1=5HFoUF2P**@eBz(V9~J+aXbje}u8is1?J^ zI<w2n8x=9we-Im!l4CDhPMW@bN;qw~u!pVRZMl^eUx`0cY$L)BqYUKJ(!#zfW8MVY z7?i9O>WR_(_PItnvD3|4C1_)&R9WnL{|KD&<tZWAQf;?qeQ=2c8!t%Mgl<b2nrGGA z?qdJ#Y!y=~6f4p{Vo3SYLpW=xrs>HJ_LrlPE9Z_Df2)YFw^3X{iiVv8%fvC=NFZD5 zq-lz#Y8qJQm&-v9Q%{B17?<SVm@5yi%bXjHvT>n3$*A3f=|!<w<{Pq@#$X$plKg9P z?ZGFd*zPPs1Lnzg&s~)KtF)Q0H9gAsGXly|&4ew}Jt9r(shZnf+$BL<F;!E<>bzRU zn(i@Ge^UXey6nB@{-wEpqHO$VCi>UxFe1)uJ@Yh0j9RdbZi#E*9NFb>*8JSlC>t!T zK%c6N5kax%%+rp}%>|D;lnnec*XcqOWv8<UG|V@zH4J4XkJcQPTt7^I*5YPKHDd27 zoKm87R?VpvpQtCWOcxZev#%_*ANy}U*Wj5He}Gg?t{!d)bu*}(OD#SjPRrkCl;XtR zVcrSBP=o!|u}ZI&kDDf3!WTp_4$~qtY9%lof>gCrz|=o&39t;*WXpArD9{3{<~)kk zC1~Cu<9kYs$#d6&zY4PlScFFynH}ttrVH{|pO==pw~GG8`Ws)N8H6YA=G7urT0#ZM zf11`kB1BWGoI6>}7o(|SCY(X8a=d>}C}1WIv0KeK?!WuNtP{vqjw0q9r7f2>AI_-$ z5eHS`yc1;WUT^!(|1wXPEmb(!El9`GFvh(fxhjGjjVKn*VtZukq~k3)nry2=neU@) zMHZnR<Ktbqg<@Smn+Ri6LiL}v_*rs{f7#)MN!w`HsyXRm<J#?NrZG?~@fE?{^e6N0 z>6s|T5t>9strBL}AvVXHs)Xqb88<EAUz@uX4B!VXIR<RMZm%r-Mij%1mj0>5tA~KL z<e0E`7Fzk6HY#CdUK0Gn^As`jPV7u`s)t{^D0?_6`7n*FU#}G6uT!F4G?(?tf95cy z2*uViS5v|Wh4>>%)C=b*!7AI?{;XvR#@TxlCB>eON<L20(m#J82k&la2V;kHTPoAC zS<81YX&|e&u%EM*Z(wq~&e|L=mZ+S=7faV^r<*qJ#16hB9M}__1;pFVfSq1=7fQ3L zoZDZ#Qkzv~`sNC4HhjDuTK>#(e{ac&fAE7Y5DE6JsAPAVo&GgrOmo%Tp5ny%tRB-h zmuWY{$M;Y!eIyNDrTK*EXR(JNv@4Z!-o?TLdVf0^7oKN5=OMd>J)Z8E^HD#g_c7za z(Fc%v3AV|NV+kR?$BKLGQx2?Ny&|Jj9n{$1Y$U#J_(J)YmECi6{Hyuhe>tH)Uzu_% zh8%B;ILc(GQvy%<tME>T+h`fUB$`K}7|yif!iU1NK-~BE`<Qy43=K+R9<tWUBtw zVM^i^+Io12$2r+&Eh(0EyUF(-n>}rDwzSh_GhYQrV*0D*Dwg|q_9QIrO1}PqK4P;G z@gmuCX7N+sm+!aED%<49e<Ev?ol~>}W1)%|-o?VMIiXiUufJnee>(<BYkmDI^QKKI z2zxD4uRuX@$E`=2(x+qxTS#*6MP_SciiU5V(AU6!-1*7o4}AUk&U2DSpOQarQKe-5 zeE##e3NKX3+D>vsO?X`&5xW&(^zpE~>6X8%Y2P#Sq*ii;OI3u|e{F95{_t;{`IUWp z52fOrG;Axnl8|YOmkp&>YK2QxyLN=1x%K3Jd~rQVNXb;W9HsI2^%0>|+SbWiKWsjK zJ6o*w+01t<LjU7Bc5Qm2vV}d^$`*@%^?u!FbnYx~x#M_*bK3U^&o!yCW#_Ou!G8tu z?#u?KVsGc0T{k`~e<xq6e|%_8Cse;JC7gZVy!y!XuBUNpP_27UJ-;bAA3wfEEw1BB zwbYw{e^rWz-mmXX4}@m#_ql$meQn3H!Jpqvb`=HPOA9uLP-3j2o!!oVj=*hjIaX^O z$-jI)o$|1kaq~z?cdia{oNM+ydE;@TM*>B~KCc?y7ocw?e_ri|tA(HQtbS3k9A{|d zHg&WXt(zu)q_uBe-%6@lCbTyEM~RD7#qvSJR@W2WwSKyt8b@NtK3*r)UA{f{SpPS? zuuJ;yXJTlr<B#huAF_rK^bx&t?$u`;=N5dwUVnUhm{_rV%rF64<}<f`G})qvv1hP$ z*-^Js_6Ua@f9btucH=}r_3<B9T^zE9Ptiv#&iPlDJI=lJ{Ytg19yY01b}>{S>v*pj z-8hk7-PI?jzoG78^4#O^zj1||qSZ>F_~0KDm-Cl~CFqv>&UsZoLC*d5RVlHp9R5(T zeB4mVP5szh`O#$SA}6>^?eZC2JGmoOWD)ka8L{e`e^7k%j|(o|pT?8)i(crg){pGI zQFgUDw=YfKO0rsK+Q4pOq3TuXi@i;6g(qf0n$Ebd9wL9gbh~`(E~P2GC(l#5SBHW= zrhPiXRiFq7|NGrDBJ|nBzc<J1zR;b!@ISjZCaV9wx`}-@aj9(P*^owRe$i($Ztwoh zjE|d=fBDYM1(FW+Z4t5O#e22JoG+F8mTF9vKV6Jnx%R1s`%aW!@F_`m{pI&&!cxY% z);{&u_U3<3oXL-ykbIFQ0QK3c^U&eK%qCCk2>)Fl?_;_~{(4h^;iCz7bH=*eKK>i~ zrhiYDzn0_^KRwi0e|f#b(Om1{hl?|O0l>BIe{1)KwJI@O!|yB+O%quSh@C7>3+v-p z6)R4jc^2oqa%~T>J?v!cldZiAW6njB9I{^bhK*{w05E&KuX{s9wLJpZtC-Z-C*r+E z0-sJfrOEqG<KhKavts#4lb$$Ff&C#27yD#;Z=S$sgDlp)p{cqz3}9t2FGN-)Vvm=D ze}(P#?Npi^?1>8mfYqU}=vW7l-c|vihiulpVW|3E04TsXiL6@09;XTbHait2-Fo7@ z1%T18uvmv3y@dk64Ea;{hPJAY0N{qXls%Z^7RISw{dRwOlXG`-qoZQ#NzS`L(S;}X zr9aI2ltDK$HW4>}6DW>K)ZW#<pXu@re+BpB`ev?3OB`0-_vZUj@K%lfU+CPu7ZhXH z@#iYnEmA_Gf>JGw=Y#g|l&bxEU&DPPAtq__&&g-1T{HjG9##*HYkD)YnQMER{Bq_r z$@X;e%bBT5w(q)U>63N^-V5gpPYj0UCI27nya!N|-`6fG9qApErl10$i6BVt9xW)n z1dw7d0@6gPAWb?5NJ&tU5+MRoL+^+N5osZpps@uOf805D&bc$+%%1mM@AEusuk5w= z${S|ZAY?MS3-T}M`}|jJB4ZUvRLZ#C^{n#ukXw(h(8kkUP-I>oU`CB+Yz6s9F#~~o zRtXw(djJ;NVBH02zwXoNQJbJzL6Uzz{D^oawK(85Wi3=u{1-$G?Q<wqgDET{pGsuV z0H0Ore|~pswGgVwRIC2=Z{xwde^#GYN=-hcb1Y3qIz!89MYLl(LrZK$G=C#Qi*QBs zOf^GG`^V_KGKLnrkI|0r8CrJBqxs)4v}BY=&p;VkB+H}oG8jUAc%Ee3rD*=l^`y^) zLaB-C$r+HMxt!~X5|BbEpX-UL9z}>c*OLHUe~SH^Tu&-=C_=7rJz3JG*yrVX!mmvc z!pQZ+N{hnlj1jcx@(FlK$%E&u1$IRD{-miqlyUV8p^$801eG~`3d2*voOo;7+M@Nx zX)2*IuEv2Bl7)<*pZ7nhtWd%vd28>sMDNwnNTo`<?s-w9-e&|2IDE?bL23Jer}jc) ze{|3X8YwYp*B>4fsk)3HDu+*&Bb2s*Jhe~iqxas>R0=$NVT}^7(EBz)w`=Y4T;Kix zBwrahuiSEUG<`GV_y6YM^6#Totl!P~+fu~sjQvivd9%NvJ}ds}tNzTq=n<})O58V< zc2o;eUzeu5&ikJlSV<l^VeWqzbpOL+f1B%nVod(ek8Uox5JHcg15Be0p_$qB{<L^T z50OOLSk1JVN#xoo{8MKGUNA%a&{<pflMY99)c_-O*SbNEvj`TRdg3<Al8Cn@Wm$}f zb<pDiF6*~~KxS~c;#w7^!~8LyiFCFzpo$%s1}+c6SRoGK<9A@wH=aQ!m=HIRf8!ec z<vDSdW1#g{1oLuT@gFG5(vo(dpl<mysUTxpt8y#x#w0|wu@Y1z(b7jM$i&vB+(i6G zujPJ81+XH{asc%GdPUjz?Hq?*%aM{)U`2xE2Kjv!Xj|?m-k6L4V~i17@Nq@h(G5YH zb#~w;xI7A@jkqt~n1P5fes8Iff0G5e{I0>S++95AB?4}&3Jr_5ECpRIXs{^{5f4g7 zWE%5B8Q|mBVFqGVHh=^S0I8tpiLfj*U%za!lnn#yF(Pc-Ji(TwmS^VcJSH;bHlAAI zRXiry**pMdCd3v};kIWrrpSDq-DE8L5rCNmabIf4=&|)>4xqZ5r!B@Be*uS&OTt#J z-Lt;T1MGD3bjE-Xzo0=^kP4cfC75sDpQeKxUMX06S}%LmfITfRT8L4oUr`F8G3zn( zYn){_$RW$RTtPACs1Jkrtw~~kcwd}339*+IWph~=Naf}k`&$@#BMwspnifBFL?pu% zuE7+IPc1zy#hKF(Ls^<Oe}CZ$Qm`hYQ*+O!;>;NcNdGqA-bDmEvLWbi9?(kH^P!Ya z-pNNygZX7{lNqt@G6nS<gFZ}p{|KN;2<Qg(T>UKueH4emf~IdDS|HxQ6=Yz+W|8Ke zw&H0Sh~@r$z>uf)sbr>M`yGlf5yCYO-jGI-L(}%R{K2;coBGjKe?_G_W{gL}=|NQl z=ERJq@`X9Ky*y{1jWgw<XF)bU=zShpOZzxXwirYyRq!71M*viEP>Yzt4gSE7Tk~+x z?FNf`i4)B0&6{IBGl4TIb4_=f8`qkiwN)86-^2J62hLoXg9W!64gse&|3d3$)a{0S z?JD2RZ8bg(Zr}etf3>M0>DIitp6+Qq?_<;E*yH|jV}d#M-rY46fnsTF6C0?iGM9e0 z`ODZQI#Bh_9LwEi(wNUepyS=<&M}{nz&w-YxiO#Sz?s`~!N$$`n9Z}1s5;N1d#}pN z7<IbS$J*MQ70M+TBR0YTFsR;Yo9Ya3TsV$)P1^(ArZV>+e~8qNE>y)>-nsN+ZMV|2 z&^_o#tzvA|K3`*Go$$=IbfjJ-qTrlTZ1=I-*W#0V$CMW2G6TIeK|1rQ47VKy?Vr{l zw;Yt+=1ARN!=5a^+(VyT-uMNTpAaPr4DE(N8KfGdkc+aL`VLv}6!NmMWviT=hf;DL z3hkBKBZ8Olf5cWYuu2A8K+5f%L1dtb49t*$ZIH4b*V?q=t^pFCm!R5Z(fQoEy=}+l zXq}OCclGDNSUx!Myf2D(W}P^@wNk3OUoonb64b0&FG*~N&?QB9O~rs0K*6uDelS5P zVg)2Ii3Fa4gEbw4Ut`T+f-=Nnh+z`Rbt)6Q;24~Pe+_|kmMr~+s>}3!+i%<xlq4b` zeaW4EQ#;^o``{N?URb_3u@xeg9PwzXA6)1VoPrgD<pYRFNNIA!!>Ki}utRVHmJZfg zx%4+(U1n`tr^_qCeGTb%-k+2T%NHfSfUKr;LZ+U9{Tzb3u>3HmE5tSkZ%XH*DSdE_ zLogC6e|g@YR0qjT>3ldPsUkBnwUl)_yu3RR(kgUZ2C++;^O=I4cSS+5qA(|EVl8Ac zN&VqeBbe18I3G&|bCM12hA1V?xlg5m_Z@<>vFfnSk4u<_L}i)gZ+2r}4t%-2z*9cp zs2S3n3^5lHl61Q%qtmJ~6B3eqy8-Wuq=n6if7<#?b%JeRb7I882$}s<lGhX!_zXmR zn{EQm7|{J2RO_ZDH8Edjh<fWtECb082yuG3Pc4Ccq{DS8(;#LkjZJt7q%BNcdID4D zj#`%rx2>G0TSYm5h;8X6^Fq12zc)N1WK))<!i_7lA+o7K4R~&(5Udk0F;_Q<vK>&< ze?<DiI<Gc;g!rWdHR7d_Hd5h^m8p>Jq@dxK@TFTQq1^r7{Es8HQ<<CaRLFT)g!sfj zT?UHQo`}S14QR0QyH1sYNz%u6D+?iose6rhMx+KTLc+EIFNu5saY?<`fagd4f<;Iw z4%Tg>Zh(lL*wBGUHh%Z146vK@v9b3{e~4ykS`(fZX#pbv6ft!RC<73&9V<0($`$}4 zNhtQ$b)z0R5Sy_~1E=hc=M7089EpWkg@KQ6*SeGkKK6b9O=x-7L700xAZe(Hx=JKg zVBl@iP*Y_&7Ci76#bZBl*BcHQ>g54V=y=~7c-*_<Xe-u~0Fmxpv7Z2XS3|0Le-)9H zX;_Yd>69uTd)4#SCE2D-NHR777Q{C5sV*J7;W%OJtv;ZVTIFTWi$uVxM4HkePQ6Xd z-Zsb~sf`;=<>%c<?)D)_pYv{{G>9^?N_rsG2V!rAgq(LHVe00=jv!mMnc2GcScZY4 zq)iWd7o?!nhFDW6gwzXjRMhfje;uGr+4P;df}E4$mujkj=%Rv=-euTf7>gf88%| zqJyH2x8Hz4$|ht=9H}eCFCAP9aYM1xc~@d%U}>DHpXzqN$Bv5H-kJk9QZ~J&t|23& z0>EMpE4?hu-gVdquzT#PJ$2>aK1aoS_&Wn1QU$%H*1>%sMP0ndz@rpFf5?;+QdBxm zIyepzfEsS}uEzGmhB#IG>u#flk=|X{DOi<6Fbu+o8gB50V=uv^IaKHBdcmdkO)zXZ ztV%jK1!97#ZtxaF?n*lXf(sxUDNYZj!oazXO<h<B%;!pQIfOsO2|Q&0=5=gBV)bD@ zBEfJ-UW$|J)FW`SLsKjEe+SIxYA_Vym@)^Rx`oV>o&n--3^ehykJkC1d~3ZOksH!8 zrg)WsCf@dmIzd!5(%TS8Cp}|~4;~2SX&<U{LJ8G++aZsoXYS!O27-CpC+pNvTS)I# z>>O-UA~+W!iP~!LmPM*c&8SAOTHV0DZ!54t6gm;?-Ck(a5UUS}f6#5+V=M{f-0U5N zoRm`4!rKh6aE15Q#iE>>@UF;QDOEkZ{s0Sicu(CIR6#TT3363RRR>Qskj@o8QCEyA zK;k`+rP8Vfc!_~@?r==q5~`pUAByagR@KJK4VZF;kJn|Rgpl}$=Z%~C_+Nv^g<XCt zR|ia?+v)?RoZ*voe`CG#NIVm=Sz6T!FFi2K5k6K|-#h>9dGN&T!;<QLjh*^>EGMi} zl-L5<K5xpTSvws19rqtEjZ&l?6lpi1v(&$DU{W$tgVzx}MF-vh!A|)WdxE3ZSUH3q zP9=un<B3S&WT%HyedHY>CS$L|A^_w~Dol2IG_^|JH)1@Nf16y)!3c;;vJ+%#5^U#4 zOvBzLS95R`WFgtfeQF;(=}3&lGQ&vX!Nm~G<hh4aBVZ**Vk%bpyqpJ|y}FZ!nz|Nx zeH-zt$Qh|)EAm#Ia<qS`>+aoZINa3)%i{wM?Yuuk;G@7}RW%>;Z(Z1^;K_R&!HoJ~ z@1l$BpO`fAe@@xE%@Z&&sW<58Vnr^$A4%jolt=DKdC4?&owpd)R@!>&4y>aT>nai0 zudqgrnQOa8+%w~Kir_r^30v=gf$8&R!EmIsv<V7kKcVd{IiQl_<6|#|^pV<-YN~=b zp_=NwxsjXF8-S)*NJR>{K9!L9QjYh$rNadSc^zktf5MVBz3olCc?bT2+Z`sXyp;yR zk~e+r{gCQXl1b9dmEz<|jzHOhChWXr2ab|Aee9#i^~ZmusRlyTORV>Pg*}Ba^N@@5 zDmWGIF9c}99wj6TdQ3e*#-6tv)<Q&kS&-g2SU4<=M|H4H4xH$yXp5&G_?j$TdzP^S zW~}mie}T<}-Q!aIUS|w8bX-BDBL~k*_#tvhDo-T117g){TI>B5`~3LqwM^58y(*dD z_YirMX`T0n^FroO?X8;vmpUjK;uQu~Qu2ML)R6L0j=K1}11qWdkg2y|cgH3zyubiY zO1{^W2y$1-Q7X6#;+0IU&S~&2-rrfIDPgZle^jyW2$nK5)WLdt^74zj1>!`f8)jU` zirHc*5!wBmy<Nk<lff>Kzj{bn`DgF3yl>Pj@Vn<Sd$MdJtDcONo@3ePnEwra<0uZ* zY`O1~Q|WRb@*7GQrQ)DyT^S4cg5nrRNNsHPjsvNZzw;U!y>meP0|u#$P2Mj-k^^5; ze;OOS-+}TWOTCY!^R7&Mu1f|FN#|Xg7`!DmF?UPW){UH(59Dt=@+;UH`4x7L)9c|> zATj|~B{T7<?h^P1Y>3NosLr1J?j7Pemd%z+A?5Y+^`7=Wd<mYzpH8qHtDa-fb8L`| zMLoP_fVA1<OGQPFkRQ7t8g8kiw`4Z5f4?Ig6*)}CG$CY(u>7TBEbE(>7L^qd!yBnp z;HkE-nmmt%jS0@YoM$5w8z)-F$_k{eX{vAMt$&-M@{jCnI!Ax5Zygy^&pF(JKVJ$6 ziSQL)IA9`46O_>1+Gh+TM#2bMQ~QjbL`B#~FK9<Gldcnv(c9Wl6eMMWJGxLOe~OYM zM=(SS>qK23$q;7HvO3)tNIZl*w4YWt3+XbU0$rok%|zlL?4mPt7MLS=38H9LT}^7z z6#^A{Uw45nLYAP8cJSp2<ivIVI%I5<Q%fu2*HMbDPN;ry%6fdldnAlw`O}=}%QDB* zCK%|5Q$$z69krX|s$;9;eJSQRe^uz_F1CpVvf{ST71|-O&fIO11OVEpNL80LUWjRq zzm1o01s8*+DmvEPkKJONqidsgiY}m67YO4cP~&#cOGSM;88Jf4>I`Am2=q96G)ou< z;V$kHx<c11v4B>cJB)$w(1W#;haiBP`Y)|%&KEjw5T4_17p-cK#LrWQe_bR@|HqED z8oEvyf+a4fs5x<-Da`8s*$LN4Ls&se{(GC88EzRJuC>k5$xo<8w`*-Pb#fBm=y2U_ znh1JA51LL}m_FhH;S_zWz0KIkN=Qa0>I&0FP!e{~ecHl|5ln<pw4t`}#RzIbD_Tsq zkUD~eFoky4F1#2)OISmfe`*&pL@*Gb=vCc9x(FJ=GCEh=g(2c1p%=}o?Lr?xL8wC8 z>AKKFF#bdTVJx~?cY&64fj~m<YcDWHuoC9bA=(QUBj^Z;=o;N_S`vUDiI&yvrY4CH z7||oTnsg)zLOps!OOu(zMaV{*X=$>M_z1=546SZf(oKRc`QUUjf09_p$HY&&`yz?< z-_H~yiJ35ocF~TyNTMOEq6?9e-+#$79*CiZb)!)8Oc|ThbL?$Yfs}JX|Fr)CZI=Q= zaF=l((D#b?b(LQD($8IJyBu~K2f&>@@ji<`P3%-SiHbd=A&C)$@tr%^g`TL`Q|=>P zTp>K_#VPv{9g)cAf5q+5OAkG4e!meWq4HX}{p0#4+R_jP{}{vjKiPRhQ8YsTY5u4A zf3683NgZXo7ZoN%GB`*=Bx`QtVvAVgG%h$SxMnsOYu>0Vtag6ubUK$t(A7B%Q6fNj zmR$^IK~d`h@)ak$zMfZ~b1D+9;Q|d2!mTq`oaDI;4lav6f9E#DT8qA96@3mBgeS2d zEz0Y9#xajUO&-LB$bDAzj~lxExyXKbn-ESX`Z951!S_q^WS8S5_-VeO;oSv{xh6Zp ztMs$Sh+D(zqKD(-28&;3y8;HFEWxTI=Ptt8)E%cGUIQckwZHU7m!tpEJ9@@tK8S1h z#Qv8L9-ZEyfAIOn+VrQJI&?2zq1c;7>D|828)po@8D2W!LfhpUkUyE!MNtBZ{_xJ{ z;L8v0^Ia_zgh#U<y;qe<t9@et5_@@*OOz>D6ko(nI|4YPA9^M9rruf4#c|rphOsK8 zYQZa`m_LDSL=?44zoVw3mhr#ToXn5>UUy1WI6U~_e;4lyOmkEJO;2Nel9=8bMaB<Q zbszN0%i(wP=*D{Ntv1HTjXp@fSSzY5_j!DzKl$^IJ!CBI`$o4nW`w&L*o~EMeVov> z)arRi_oxuXi1Www6>Y~wQpzUopiTY+LpAifoEl9GC!3RR*m(t(uMB*_DdUwB-Lt;P z_y2nHe+QD?hl|)T7~>sdFEq|0xXz7%7?0g|)sfM>-V{{(J<sjJoP^5nc$tR&Q4M_} z#2P8U8mXeul03ZJG4;-gA+%lcr#YdANlk(z=owMvb}RHlq%X4i#I0!ryw)R+Hq+Il z>C_ZyJMR%UWz8}0fJXW}yk#v4>|Ag?6MA3}fAnoz;IC@p$?(<gfPXe$rwaZ9`mg3A z|9?XN)l^>iC-h%TxZ{(5K>yXm$^R4jucivuKcW9>YV`dR`k&_iq2`0u3|-5guek19 zqhT?Q`Cn)rmVa@-l{oScLW)X4U-SOQf99a@Kq+*3t~2Dh^^g61{)7wc^jB_1v%k9b zf9+in*bXcj3%*L653j)@_hp9W*)m?F2-2XO6@lBGLa(YZ6)9o$L_BnNel>4<_hf5h zUUMtrwBO5L!;g5E`2C&zuCiDDrAZlCS*Oh0T)XbbUnGS8$<4(?wi?OwrN*SmE6!;L zA*k-Fr`$ULlq7qN)_rS^z5)N|mlhF8f4NuYFP=4XFT#=>ub7JZrng*L{E!r)H%smB zhDu}O74c1Kp;$ah3elQn@uye}OWF{rj=dx7?}L&+HL`8LxWl^Bjh%^NJ4<VkKG?i- z%Dbq^eiqZhe7dbDu#ghUxHy!gbag%{lxlG=$#Dr8Y;bbrbVXR}c?N38^4YUAf5w{1 zqc`*WuRA&vIS>vu<u2bVB&aNNK8np$0@TVe+MG1&Z)3j#@0%@tuA<B@LQ`wGfj#oH z`Xm&D&^W#$-6CN8SBlHry6v~i7|beP{N2ym?BlGl6X+uzLYKkE9qqsSYn)%ZaBoR; zx9K%rUayfndwd^jG;aD{@$a~Se{GeOId@k`AQX@pkwQd`(acO>vx4Gh9VarsRl6A< z4{)7IbT4<!&2c1JpFQ$T=5C}pGASD4R*}D0)qoC;G2Jb?LYL3IJ-I;n19PvjRJ*y{ z?<-o9a#`YGe7Z8Oitos-D2$=17f9tMUh~WbWCxD{d73l*;3zYP-v+I1fARq@j+?`q zkr-7uYsaET3{_u&+h5JQwC-_-@k%nM!&N9ZOVHb(wNAc|lID`}^Iw45edg*h!4^2B zN`f{MH~qLRoV6B{DAy3H)lUAMZhIu2u+BK{49}>=^vR8D70q!u#6vZb;R%#M);P{e zg=+~6G}Df7C}?er@9{OXe=|cw3REK%{*_X&49!rfppfvEJ}_EG7m2Cmo3<z_Cd8u! zYe?Vu9s|(uHq2AC**|=bZ=kO-F!z0l5Yio@QMnI?fIRh>5H#x_(e#xBeWu1*vj9Ip zz`qWL^}6!(E|q$5%ewy`j{7H&69x~7nrPo6jQVBSIX7?_G@?f$@LEwZ*ndMTrj9|7 zqRf$r`{KAhygHgC1D?P6MhDKIHO2Q>8vTZ0s{3IG#~sy#Y5Kqf9rvYcPfVE_xpCg{ zZyz86+&p+=hAnaTD+8UG8aZ+I<KKc2yKZHmq5v)xVM!-8-RqS3HRyEE+GjohH%={H z1_k8pH4l%Gu`au4+jb`*n135b887n{n2R!}i;=M{le2A8NVrAmRDwo;*6R2esN1aJ z2B5XSd;m@yN4!iQ&<<s87$aj>#wO{MoMlrqz}3bf=|rv-#z2G)GZOPdt|3v&PCW3o zZQG3mm5YImI?T10M!AMkEjx)oY1=lL1R@8{A%5KrvEk<77gKG43xBH&)MeTfMei|e zMnl6;=q^g9QghbIKsTmMF|-6jemd{!CAo%fEhT_;bYi<i0t;JNqK?w9U%u&ZDw;MY zco=96#<wno_F<TN0rgFTKaJV4D3h^mlTKja!1>1eegQW3p?fIROUwl;$K?}P*m2tN zVPJ$R7-t?+U@k5!Ie&LaH}N8&MJEJ|Xa|?2YDI8;OoMKv!x>`2Bm<Rf+r$#;DAjAs z86@ZUb)9I!OyS{3OoUuui&hQ5no)92Sl5uAkfO8ihM)tN<!OmY1OiTRrm1jI8V2s~ zU%sgG=^9=nB<Qd%{t`-o7t@3p!lNu)H3W3~=m||atZoQHaDQ35mN0<8Y8$4I0H8V2 zf*T?+ZgPQ@T7?n>CEGBygmg}vRlHCi&<us%Isd-u#|~P7anvzg<{qj-Tm5`07Dem~ z>dA@WfCN(xoND~(XP{Xhx}P$l0zC#Qw`0l|L7Op<lA&RJ=yJ+5>oO(Vbxz&ViwdT2 z&05O^J{6}TB!9zRFOUSrF~y`?;q<&$jO7A9XjyShCnSd7NEqhusM8tw`KureUQhED zfwrwA+-J%cMc<7t_yQd1L$^{&n|m<YhFwh<=Je>&k#$Azy5XM3z{DFaNp^DS^3oGh zbz~nPa@}w`F}4y77bQD6ba^i}Si<dUF%@!wNG&h!>3<}sb1K|~+MyWj1uBnXasr?q z#VfiZCf#tiViY9^Dz=x|baNRAxjGw248L4pyjB!|Kx@n3h6Ba`xK)Ir0>w~h8%n`q zG%`9p6&}(%6h0eJiYpa_$)a6jgmzzw={QLd3bZo93OL}E@$<Ym_7NG8@gF+E^n}_~ zYIc=b9e-g4!YlW`Y$~61gf9|`Ru|aTAHg$d!amhMq8Idpn^Ctd1US(PLf}^^=T<KP z;?kSYrIZmLUy**B;X)ZBZlF60>~wH^jLh6Pp%L1v<8wM+sM{Rj2xA<Gk(n1K6A!xv zUy>rUYUy%KOB|xiYSHvD8Wv?X94ezaF7yPXj(>DE+L-6avM7V=ab_Hb=LlHD$_*5Q z^Y9!w6tMyhjWf+n7$Zc{$IuftqcUiG7dNgG7}+J-kR9K}>G9;cW*a-84!uv=>DX@u zi3@H*vr=|i^|RiOb8y5N#>`j$c9+ock$Omd*}6Z&IBwd(qeP2J^6+Akxhf;+8rl(h z@_$6P46CEbNO*KzvzZNmM2p9K21Z`HYW)~KN||O}=EU*X7cNKHY0+=SA2;pjQK>}* zIMjZDuI9NchTedlywoa-jsMCx-kr6q34a-XgP!1UT{2uJCjJHk;qmp<U>yk0+iPe^ zXl38U%}4Nl%Fg@!mU-wcp0^_CRff&1xPM?I+B(Mh<3sLy9;}S_IB~r|o^Np~_8x6o zvetFP>kXyxkLU@{uMarrgxh<3(E7stIPbZsptOQX(JH_m$uT{nQ%@7tl+`N^kC0L@ zEOH0fyK_uW>IBfN+x2r~p(A<TilJ}DPhTrMIOTaOYrX)qO^joyMN`HcS=ap$4Sy_( z=V2Ic&$=xLALdD+UbpFgnr7Y_&vTIwcwJIXhtA#usbywU_e~_QHa?Oa*AJX9i(^5e zIbsUR9(wBG%o(M*J<4>dvh(a8z#)_oAJD_bWf&bh6VGvblj1K~cKgmgBIw(co7>vg zmCI636KUfuKi&2m1=KiwNuaUOPk+B3@Vp+@zH(6StmOlG1++`z`be;nS}tu*A|wDs z-O7EBZf4S!Jp`9ui6}FwsUWyX{V)?2v5bO7CLt2mV)euBA-3JhEsm~aI@_$ZgC1we z@UC$~yV4LJ)`mqoD=|vQakYpdBiV97kW{0Q@D)rdAOc|-`&ni_GW}IWXMd+9;q85f z$O*6Sb<Ks06RP?lpB`xTf+E9OTq7(`W;bdx<!qJI@78unM7|Gros4`zFz-1+fMQkg zeS8&#r*~_Eg6!i}@rit1uTQOO_ky0$3_V!r0~Hh4dKAYIj@z}{;7a2o&K|`Hgv)lV zpIfEpkz0@A4}=@}0bKd)NPo0vVjSVVU0dT;seh!~GckeiB0q3>nTPrW4Ec3_Jee=4 zsm{|D{!nuoW>dadj;gxCl9qfz=mgHPEWUbOmKO<1R@D*^;G|g-gSIfDwB;-`=j@Wh zwQ~COz7QCJvvltR?kWJgKcJ|tu)IvZv8DtKrAJZ7jVh3;IWnTLntwMw)*(=CSBR;} zJZo^E8U=V^ab3V^bs6_a))1lGrWz2Km@8^1@I_#5b@>~+p}b*6sPa>k#o(xwbGm^4 zwSe)FflSdhIYZenGR!_`5hZJwe&?NX*uXh_%P_W6Kv`r!AOcTz<{liqNoH{ztGF;( z!)Ee4(sxSb4MWa7J%0yBN#_#+0tjW~c<(+7sBe;8#N-V}&f^&mj+&g)A_0W9bNZ72 zGvVp)q@_2-`yB$xi+5IVoab?2%9ppuUQqvZ-#<?SV?*Fyl;wEk4V%MwZwmOc2L$GZ zJowDcd&`hDlvhB&pCW+pI^=2(`>MQQX9pv7`kf5runGB7L4SXl079KRPIXaW#UpyP zM|IJA#pBg#mFl9@3NB(qJ_Pk<aFlI?agx!-KRql|`N(pu^vR=+0_7v;Walf@Zt0P> z?^%<)-W2Zu2~_^f0SY$B?%MZ<1cq!EpRw7=88Sjc*-_BJQOoPjwEi>!gbI$D7cK9U z9ZL10*mC6z9e;E9E-YHEc(ij|O)^;&n8PjOBxMb^21CUH2(jd~&-MtU{UxuxU~rV0 zyyljC<+m&3H8;x{2ArqDc18T0b$ne6IZv2;03q+(2_;aqPloy56jzbq(OBi98S)1C zCItj$=N8v=3be{E6%USPkhvZD0EKBXC-f-&#`(_Z4u6gYlH-KFQhvKZj>CG>F!el6 z<cde@`NVw!r1O;T-!kOy5bzYc8PG69cHVlFe!4@B*G^slei;|AU6-#aukl47V#z5z zKu>e!TWIc-z-X@W<s~PL06pOqkF1d10rt)}#h2!xQicR@`pPrAGJze1fCg;)-``24 zuZy|oxqq)4s`U$05v#eB7cZ`O6o=4!W6zK`j0&|27T5v3D|YM<K#cc=GP-}Ad{az$ zoi+wHB5>PsQIM@h&Tt6I$Fyj(Qf8FAuif!kpwVhkmrYjQP%!6!4`nhqx&>sS*bxb6 z$lxf935`)+uSDHs8<96ml-N;DT76wCnsY#js(&XRs=cSy<g}^Ecl8Nu{v@wD_D%8E z^OVU1<PgcL4S8LhPd@L9J}YHdauR6e3@?#0$M;QO^A}m{Cuewkp3uBOi}TFI4O;M? z=QUP&{e1tZ$eCJM7GF4@p2a<VJ)Eq(xl)!)Rx&}-9nOouebB;->~WBz3^>p2)j^B% z&wo&O(=h*hL)=z8G|$;2WtDH_;2ICp0p~E)3eM;}jOOs@rgQq~=I|O_$fJ`?<&R7E zZr@p?TPcfCs`{C<{iay3Q-a#?d7nTd;RB<<jI*SyP5P)dUq<x0#ylWN`+->Ml{ zV0V!-3=f+-PCv*}o?h7a9)jW>9PM!y?SB+CxM^r#qdm`F*r%*gS_Cjmv{^38KR|{j z`LwrI*ZV|CB+#|D8$H{5*U-Y(wc#sr+uYMau45uS7;A_q<2!x)#wg9k0mNi>o2@(< z$e8l0_>tbU1>&&xkEv?XxHaNg?;n#8?(#RlfYe`^O}yo;z<`ursj!p={Sdccxql8c zM`qJU1xc6#B2|C-17;8e05)^3u|glAEH?-;BBXK*0rWV}R}$j_xR;6uUQ-nDvGaT^ z1ubz*B{T!Az~vEP?wO|+o=>HMjChj?HNb4JgwggjOX<0?WaPNq9Izw@$O-n`4>ZYq zT%n)=Q$aW;<<wwWK+}@>Jmu*?H-9(J!oUDkA_DUoG%Y)Kw<HXZmX4sp+|vh`ds<5c znQn_?SO6pe6FNj*lC=w>5WMy(&@J=wM}=E3789kqB|pHMgT`Qo$RA$PHhT^x{fAEq zKkok0ZKPEkuV$VE1d2b-s>gj>6bM&X6lE8VX^~uHXiEq@!!3@c6;~L9RDU{wFWmej z0FL(ma=|K|BH<V1U<VDK597@q9#?bePihX)$odOo5Q>Cvl!GZWd>0vS9&)>WlKdoK z7wunl!OET@p@wo0M8k(*xGBf&dK2*J#41{@^upL(iUb(t-AB}XpXhJ8v%9_%`82K< z{VVB$JR609Amv>JYQFpQH-8J+T$!$ZYS4~`zqn9OMPWcqd6$yf<A4=CDO?nxsg)ZU z{pjR^`aXrj22~)M&Lf8v%_>~9sjd|g9({v&p>v(W0Yep7K<9Cj6>TU~<fNu$7aF~? zeL;Pm!l9Ka(3{R<kOdtjSTv-fr4$tXVeLZaD1}22RiFx;hX)I~S%08NU0I9wY4oF| z3+lZT4vAEO^mHE7Ea+|iqADe=ec$LCa~C>WC>(sL0w-uaikQ)2{6&I_T9aPUD-##g zD=8dor~*r9J=B=dE|-ha6ttQ>qCcQ7bQV%Ls8IzzrS-rvp>r=4^?mA1EMg?|{QkE4 zak_Oqbo+n=HvUU`&wsW0%ko{J{2ej(C#J(c-@WU3b|*H#k9Kv2X&8Cwot^xh+(%DN z2Y<eM)AQ_B?Ct~F)lW>rPM6-*+`3~3dE)&2=lz_XL$TNZQ`*%xOvBQb-kIIHllbt- z{I{PcuX>cP#_np-){vN-w=Z>kl@E1t|Kl+H^LAd(hC=M*BY)bOJ|^doOC1xpLL(r5 z-hThtnBB8+J$BNFwkDOyneoyI$^DN~Vb8)d+S|s_Z?9?H<0!gu(L9^F%$Rcg8pY$R z3;z}VwNb{@Xm!ci3sR<jM{eXzh-p>8*1PPbccc}9;%beu_8j}(1;c#h%31d9W5J!S zh5vec7xEh=;(yMfeEVCgrs<YHF?}rHUDOgazdQPl{sjAWy}<tBCmzG<I|{u>F%}tr zLedvq!5xin62il4PcRAfx5(3ch4Z8%p47$_UnMiWmsm_6JV}3%&GruRQ-N~z;vIH0 z`?e^V!LaXN`E}e|)3{v!_0I=<{WN^Nvv>$6IA@qOnSVOkY<mTB2YSR?>{nG_v}6-j z#TVffr2n=EQ_+iatPsWML7gQG796^avaQ2f$y}rU+e(ZHnKMaN&`0o|Phe6~4(K$0 z+103)`JtTfT<&P%6w2!1W*t^d)}JLQ*dp9hD~=~L_KEV+sb+R~^)2^+%tz%4uaBqh zmP`RU2Y*Q30E_^1{#WFdIOaZdekD?o-Ln7~+ftaO|F#CBwdF3F$x}w)*{?S*i3fD{ zlf1<(ODw;Uysu!Gpz}W?CwM(S0I3#90>3uhk*=v+{KF(~F$@PZ!Cy0k-Lo91+gj+O z@9+WRK0Bf)B5k*3wdL-WX<McccidWUBx!RLcYkbu4FiB`tVC{I!_)vGP$ci`7(YM+ zIf4WR4AuA<*~GTS3!Tr{-(~dE+-Jjw^hW-U+>*gKKqG%fZr#ABK)Y5WgSk9AfeZec z1A5a%nC96Lt&%iA#23<FQiCy~rnOK|Z@Lm=H#_2_Km8t)J3B%Y@v&KB+;T5Bs<64- zLw}ND_e=v8_8lKWto9y1)ZA|_m{0fS4u%llII#53Z@H5?0hO!#%ncnf+RvO`{B5IN z4!Zb#gCl41x_FI=xpQvO|7Jq}nN!N&Hm>C$iSHYhIg<!7Vd0$n{y!4H)mu5k5b^v0 zbCq0ppp5R?{RB)a6C&W!zY!gM=KsZbbANH${<CBDAC_w!qelOZ{Wrb-o!Hf2{BJ4* zYGnTd;%=J#A2srcrT^?jo=#bQU=({RJmu_r_&^87IeIkYg^$2y%V%exg{P#Us!VgP zrS<gZ(ewd4f(xn`(6D3~E?rfgt?1vd#1RhFN2HO(SZ9cZCly&-XJ>EiFfy9XxPNKG z1DzmCD9<I00-JXhoC7do-3i5lt5aU4fU0yu)h-xmuwr_|p{~$wYD1yzKsfBOr};rz z^X4knOEHD?-A8lAIl{t|yO|^v-fMw?&JyJ}<1ayNpUjNZ@;<V581~Veb1t>^ywprm z2+y}fAZCeHn?XP*?8%H7a#F(PD1Uw?vaZv#90T?}HYVPJ0#jQy#ij?W0s1>lQ5!zT ztwdlcxVv}eG{1SXZ>FLkWoWfYSj^G{y5c{R!Cejo2DENMMK((?0>0rPnJLz9hkP^* zO7d;q_WEc#luQ7Iem4nUx8#RDdNPy2RbB-gYu%jFo7P8o&JsoSfE5bRnSaO@BWdfm z9Dc|9^G$_UK&rhwsF~B)#31fU5YkwVSe%>hNF!-cDKoI|s5fncP?1{j<0+2?9+K}I z62+E2ux+IjuCg5P;k<6iE$Cz9^KR{ubigwC?p!iweUv-ZYFaX9bCfvMYFnaieUvxV zYEWVcZIV~DOC5JcbT8uh{C{TrI4iTkM8yxSKEi80$FVOq%RvFY$5bMl#g_8E$0U)> z3QH^B;|P(>QcU_RF<gJz1#yFX*Hh6#e4Qn>>#LX}49IuA^-9aDnz!d9EL(xhhvjQ5 znJkv&6q>j9xIAT{>$_HCn<AT47?z#V4}~2*QAV|~+$Mc9r}fR75r5RGdPol6aKFs9 zGV4K~?dz8Dz|EFTv(y|LPhQ_+E0G|Za_MH0aVCphIde1VVrIH|`R!(se`Z^GgM2ed zE;HS_JZa{%%*e33fwP&!p2=cUPCgP3GFhz3Asw5=9X{KV*wGZ|*BOoJ8R8_hssXaI zWwRzFuo4*lbjF2!?SBqb#ec?yXDt*;{Mpof4RaB&IqVZ<QNn36oi=m&!bq%qJagu> z!$_>c`m0ZrX^E9JL(Aq!O5jJ}CEsItks!Nrs^-o@BPd|=vfnW|p~sBDZ^7YxGa3^! z#GV)V)z}BK#1MTI6NGN-=5|tG6EN|~%mUAv0F-5=iIrpRK7W*Dv5A#yO%3|Jb<;07 zunU+^zNZAn0O_92=w`}TuU+sx<`;1)!JN(#DMa$&n8;b;q`rz3B4Wu$cy)92W{-?* zrRsh_nNe<eAk+S?e5Q=un#a~^sfZICV=+5&l~^zj5bP(N=#^AhuYV<-fJ!QCR9+k( zW*SYF1>V`;)ql;bwkem~-=)f|wvreiJ$ETFvHtiovP%qu00brP2Xr(SYSIUHf=dH5 zyLs0Ppr%Wakz$y8fc)>I6WfwJ8<qIu!!)DjvcOyWyIz^THfz_mR_#RQK46k(M`ZQe zoDiHXg)T|sR*0q6LQ%aoUBpsLVPR@uEU@pXW@75NE`Q>CsHRxxv9flpDtG(qV`b-( zaO<|DV`bBlaGSPQ$I7-P$JTAp$I1pJ$2Ka3$I1>Rbk-^v$I8GGIvbVT<HLF**787> z{avw4A=@?YtyQB;A&WJsty1<i8R+ou$Ww9593Y>4dsWX*bCsT02A&MibWbJ30Vn-6 z-IEC=z<<f7n(irt9N^>=P4^^11JEu&vov+w1X0maIHtE=g@MkFl#A?HtTAk@a%CQU z3{>6^s52s2x4k@8HZJM2Zp%4VwkYYdX}dN*Vmu!y!->DtyY)L#hI{Q9bn9oN4Eq`l zH0-Hn6tX$ed@Xou6&(JB9_qUkDO1<{#(d3o%YXf$pD7$8Jv$Olgz*6821)l_{o>%5 zd$S{lMB*jD+&9uYF-$#R?`PyN_nI=)H;Ej+xo~6CN0lo)=eTuvUPKRJ*iz`VnWk?Y z_WGDy;?34!Nyn`YB{2Z?Z=@5a5^aF`C(_Bil4hH>!sEjVqwTUl;r#%p(e}qc+5G^7 zQGcvWTjFu+=lKOaM0;!Dz^0Rhb(`e;h{Sy4sWdh`Mg0qDRtA#@*jtJ`y^b*i>@7#0 zN?`f`d%q%2uVTaidn=K?oSsR*&Xz(){Ud8cX=|aQ-qBq|X-i?A{^gID?AeifBFt86 z7q?aoGUx5q{I^zVGd0ZDkfE9*-`w9!8-G`a-X?TK>Yn~6dSXSDPyK4^w`!2@PhP@X z`y-{vjNn9$)NB-Ny45qS04N3IX#5*6cDY%S{d)4r$!x<{AE4A-j-Y?T0LUxG<3l6& zyk_5{POe$VYARLv*K_P<>1jv$IxO9|zH%d3@LZ?_kP|Z=A0Z0~=HTG0gU(<wO@Dj+ z(e>mXFb`;#bMhNwaN6}0%%p^zsBvYZ-Ugu&c-M?$LuynpB8_7#3l(P4VB%7R8o!3@ z;kdq5k@Gt1nxez|h$G<j8)C`#V7OOZI?2Yy;QH$?2+KcQ3bL;?IlOl}s$2IPHs+X- zLWUn<)@z20Iif)Ct&XzRw+G3l1An-N&<lKdk0Z(+Tu9Idz9cK9kuFC%*A2vyyQTfL zjwr7iTu+XY8dZ+y;?NXJj*|8_KT^9M3FLT2+$Ovtv;tq=<&ZEDfN3~h%)a(`Ccs#B zJIBgHR-5Zjj_CgL$L1}q6m%%l&EfV7!M%+GVZK`U-&-AxPImW4D_3bzrhoY1@NYrA zLBr?7!B&U8quqVdIg!3Otokab_x326s0<I8kF1oe&Y1|H0vm>`k~G^F!+h11t^_{} znfIudtp59NMBu8EV*BE>ADNhFRP{qnaofdhtg*R-%)5{^oReftV$kZ)?e@iW|1DaN zX5K$@p(V3a9|88yf-)u;p?}wL*JbpJwIyW!<}OmUr#A1<x){K!Y6c2m_&jm_5gmym z8lZpGe6Qm(-CAMD6m1(`qMzrbWrJqU`@JHrT#{2qyb{~7Hq57NpdbgoBCao{FVV&a z9M%50IMneAyI<!GmkHOYlPlr6cM0K<B(5+2B2{UJ7P8P^mlim+lz*ju1A*HX*Z0$x zIJ$hlBU4G?*cRqfIbeHZ#s)YFuuM@*vurSG38nIjCZ<Wv=;f;4m^!pfsm`_xe4g`u z=5z1Hvo8%bkSW2Ssp71A3J4EZ3H@CoiOWj&JJOXv$3U3R$AMrI;!@6g-Va<6;s_jt z|Bl1_t4$O8Caqj`wSTE;tCXg<mJJO#@AFZ;UZGUYvJa;O1E;*}Ov<<fRS>vz34QmJ zRJ%T<=NoJJ!Z!wV6~=1(RH@FE?Yid0E>7qm$D*qiH$vXKN^`5yV1ZpiV)PS0WOj7b z&ke5*S5=8%%G%}Uoi8~njgU*x(n||xpq^mcN)D{$sd@%`Wq%{M?}Fp!?vc?KjTU%u z*X}>tJ~^&F+#9ssa%Yi|Je95BL@GyAcVZ#6i6o4Mr}wb)%fR;YL)rGw!=U;LyKfer zy?~NUtlCOQwJX9oQcyAXFkciDF5AtL_vK`m$J_4Q8af%~sEo~ZNgM)4x--Cb{%+L1 z8Qf;-p*$yhPJc{!D+dQ^zI>4H9;4pCEl)led%aNpqH&ijD<9nE>PhK5QSk=8TTtyh zsm?j8bEN1t>%TpW?|txx`$Z7oXYO@nZ!?wzSzhlE%1F+vFDm{<&)>L)bN}&57iglR z`<lzp6hhJc<=cbyfY9g3uE$(|7*hnA`04ti*u57hBY%%yeNlN@_8jSY%>Ab#UcmM% z#jgH~O_xvG%uhj|9_$*`QZXDLv{(k7{CN&{ZRh>NA0;pmql;sto+*xYSzW4iT7oaN zcbxcUojol&%?<d&W1f3BCyv!l$)~Gi*=^S*|M+RY0MgiPcdpgtYNrSJtc2vdCIW&l z)^hE(+ke)6;c90BNw0*YxjJ#RQ-Xwc+Z}2ddaEgkvM$}-j4&JgW!JMmd&haMPTcJ* z*oEh$Qvk@l*Y`qGe$9f-3#eqUXl?i6<o29%<St&{Tef>EXa3zG$<9^-Lr&>ix$ZOi z;2d)1Z$l!_c<>(NgwJFi&dFfq$a^&rcStg+-G4f_ULTUIYJp_d3w3gO6^kTew%;bV z6?@XpcOl_9$(I`+dQN|u+~(;?|3e0k$RJN;9(nFfw(iL7oxd|^XQTbU8>Va52mPqR zpUslvr@UD>B3ibRHH~hOA+@<f=R3)}()`K!0$EwxH0Y-uPH`@rzS$kL34L%LVd!K6 zX@8e|E_7c}T5xta7mBPjH+2qfk&lL|T(`tb{ZF!0^?JdfDXNF;>;PzLvwh%p*o3pm ze`sE^-dBCE;<UBT(FSw+cL~=~e>@48h1GqbZ=4Qd_)F}U#f@LH*$W6ct}iBC!WIhR zM~FoCWYW~|skc{DQ<G*Ye#DmO&UjqkN`IOfKlKJjDb{K7PZ#cOj!+)gSCiiOMSZ}J zd~^>A?)<#+m+;tzX6UJQ{pT5P^S!uTJZE)EP32gz?}&B%XWhTQD;}l%X6^<|SJ<w0 zJc_S+NLTH>EjZ)S(icBde}pyt>@+&BYJ06uh<!^dq%!hBxN=i%fb)EkgCAbp&VL~V zHTw~|;ROpdaTf41=(xCJfZ}JXG3;R3aX@*o)fk+}E-tX2B^=nHD%fiDIw*IZq6XMx z^*g9{G?UH@Is|uIlFsx_=oWpFnni~DG$sw+>D*`BiA+)zk<k^nGJIt#I@Aue$(}1R z&%2|Mv~SSCyQt0{qSHaQILE%7aDO0<G8C=Wn-$!VOUlrHF0i=7UKo9#hZ4K0acM^) z$xQ$G<;5}f!k7aM)V@d;-OgOnw$5|0MOC(az2~ZnGi;ja2ezo@D_xg%?j`x@%~I_! zC)F4}7hLpW*GxFLjItB$V%UKu)o49eUL0U^Njcy^@rp)r?dT`T>OH4e+<#>+Ogy-c zTD_vdzayF?Y;eN1NXM=jeQ<hTs&t<Kza!Q`wc>+{Wn<Ml;aLn}k9v8aixR#f^zx35 z8r4o9YK+ZHUyWwxAu5~AOiztsCltlVo}sJ8v%`lfX3x+$kzXui%h2;?J4*_sSQKW9 z$~aI(Er^`b?o1|i>zrI)lz(N*&{7lLSxrh56-o#dUmRhJN(rT3G-DglRpZ?eK-II4 z=%{h-h@ev0&t8OHJa6Q>>YN@*vj}a(hsc|>a@as6gIFwnUDOi38Y#RJ-U@nLLP&4j z_JhUyYG}yTc<2Gkm3B0pbxuSUdD%2G4wO+NB9XNIny3i&eQg1et$(x@-o;XO&G-Xj zl;0Jh=z|~>iM>1Fzy?)wB~qE!WbchCg!|D$UcZmiy`6olk~S~SMj8A~P||Fr>`I2S zO#W6VRT1A8Epm(Ce{CbXAKfCf*p{?$#WyjO7v;m=tUY^qXE-U9Z9DG32$gt6gKtMW zX@EV%;Q5tBDz@#67JvRlQ8wZ97Rg1aMFx};n{dJb`o2`59e$%8Neay=Sg@~tRnl<r z?zj5K$$-N5m|Z=et#bE?y8ZThJ1Iw$Ju<tsHazu(T&gw?s;KiMu_COFc!3wnBBT}L z)OV6M9ZP}=xRk|QVMZJ3p73LWrd0`ZLBpqr#lou?1GBf=8h^`Xyq?)&Lji^O(&UDz z?3FgNT`T?RMdN^3u>%PapS_Z)Evw^)nLObbseR*!ETisF%-s;xkz^6+osvsi!3CUp z4(rBabDL^?sSShKA6jRgrE_|=iroup-W8C<Fqpkv^j#(YtpP1in3@|7XfUc!^UP|` zUlq@c+$%{Y`+sYu657S?J!#%OF)sO0pfFDsl^A)cdA@7UFJ<?v5=*PfGVQ-4kaoF$ zdC}nU6DF=$H`n2xWp>!lNBlP01990G{I2@MO7ibv+uaN5*)_^~3|iV~`G0-6>>XF} zxRzaKntq5OPa*5CRXXHj#-@1Re2!bYt*{rMkG3CKw}0+7^c(AVid=c>T^l7O-8a9J z(fG>E?>J+|GM$-_(U|47z4N+W<21P{gKff)enT{FkNxuz{ey_OS`8hL@YTxyg#TZ` z2N(XklK;cu8<iPRKmLVc<(%lN`tjd!`yYpw*5mg4O&b4^`)|1Xe+>KEkT-6iUgLAz z9&)Z;Lw{#a;qr`G<XX4>y^5npnM4(C+V?!rjgU63-g~#;mznn%2dJl~Vu2LWwdRH~ z4w4FYE=o6`eJLAvu4vqU2%n>QyrE4|q|Y<0TjZoBPUV4&SGkx|ivDzsP#jO>DudDO z{CXKr<Pcxhe!eIw-O#MnsGj8bCp(_VF75(v&VNFW@J!nksR*GG`5|x;^~VjetXR6C zTWe1($?@h_9XfjJBJ_;0M`b(<mj@l|;+M2~bN86_aJH!S9UcI0Sq$AybDk?amiloS zy688MQ#!C#D_#f%y<v}I+b9ZUD?E6}C<rijV_oFAi$p)5ylsJ-yQc6%$BBA+H1^=h zn17V@RdXxWML(rE9s8KK&&bnW;#min2gJ`C>&Qz7wrF{hV-D4!*(n?UT+!H>;#t38 zZbF`H5Q)yDZ2W7=7q{Yo6ORf0dqrbbjdAmu%ssm8U-z>=%%z9%065C>b^O4Lw7uwI zO78Gi^RML+92l(^wYQR4)8UGg(6CpLV}C%VMs5$g?d^W`o#yl2%js}gQ#$6C@L)<v zhkSnQyj2-;@4{HrH~X&m5ZFjLnCZhAm(BaxT-T4v)g`lR$8*-hzO&Ba&3H-ccK=t{ zwAgW9JUrMfllCyp8(mpj8tmY?WC?74a}xWbVA(f5q|Cwf$5~Ltcz3*U?CuTbzkioo zix9yUKRk2r?^VKcKevV5To4-cvmDUSlcvL4hn5nZ5mIa>dki&$&&uwFDXiO%JS$t^ zB9*!vRc+@^WD}To)JG_liJ1bDRT{xH-Y2|ID<kmFz9VZ++=!$TlQS$!-F)e3*xoX> z@2OOo1~K|%f&KI>!aO}<OE}ypoqu^hLtUyb;jm9A(@mG;#{An~Guut@W5(?qx57WK zcO5dsLzWa4erBK1J3egXhlvQKhw?4LfB0{4j%M1Vu54cqxzqR~_xSG}l#9q|Q(4>h ztnO+8#q!gI{*PtB;ZAUVTU-KnTW{l3%yPA&WQ+Nk9nI;#jmUn6lPvCo>wl7eOl*JV zR@P>SM<uRRs=e1E)-TYX7!->B-;o}`e}AYbaK>AOxV;qaT`dy(eU()<r2X%u;acG( zy~k1AGDPL?#v+}0YKF<{`5!+z9*zBGP(dVw(%YUg?bz)Ue@oSHlF1OU*pm6xrn59_ zlRT#{jR{!n+flX{7FZj8KYw4cZg<2qcNkdnw7^W$<eeESAY^qcbor&ta^UNE-SOuU zwuM|aW^qTkBKuOSh;L?Yg9V)*k+C$#rLQWMx6*%%IaX!6+TkASF8(N}{QA(kCGSx< zwdG%Z3$=!8f!f?oee<1;Je|M=C5^tQOBQh-)=1Rt4#;1hG-P$g2!CBKoQknGUB9HF z6*@CqCjp9F*jf>Vd)w03pd&`sw5WJhs}721@vf8M$CfJv!MvHie@b3D^>kVNwyQFC zw7qk;)*&qk+QQAkEwOAYI42vPWYnl`Z2FbzZ-8IDaOrZwCC`Yw2&?%=3xl0Qijo4D zj)Z;7so1kn*{aB6pMOHTFHwhuU1Hm?cF=)lxa5{g#h)s|S!w&n3dy+jx4(My%Kx|k zs1J`1U2N&4&m80bXqL%r9W2=Lwi7(i^=KOo%_FEDMAx6N{F>0T4Rv4eS;ihe^>*Du zCOpM^DJzy8VP7@0{Eg%WkN7VPAcueSr9;n-6Qb~Ul!-5*@PDDo#EvLDwKDNt6kbo6 zSQ&-Crc8Vpg@33#F`^lqBCxR`tZI|Kx08{7qc7~Z&otMq^Tf^l8<OG_DZh*zJ4M$2 z##)iD%h>EwWWaAMC;7UJ-8e<={su*zVGp0;Z=Ydlp5krJu<=jv+-F$*r+CO2w)ZLi z`Wg26Q@s8e7Ju;+uPPLvKW1^Xa;pA4Zkz3lZ>BfxVW!rCURPiLVZ?^}2kOUC=5KUt zUva*C?i7Ffuatt(sg!D%`Q=xYzyB}x-UO<NZCe|)p-~VJX{F0n6r@M`MtTL6ZYu&p zXap355E^L>22`XM*ouING!X?610oQl32YFEia?Mi5Pt{=Y^5NCMv5*Fcop#8bM8Cm zy!ZAQ_rL#t-Z*2_%9=IjH|I)KrE1NuQft-pTTG6#Gj8$2BYoh4U!}+bXMCR7hS<0l zuR-)X*my(y`Y+o_^6vON(+&P{X<man|0PL2ykH*hdU`|Ly$<^}xio-bVcDv&SW;cJ zXUOpm5`X(U&h83a?hRI8pr6AR!HWCS>gjPatXW>7>)wue`(b%o=c=uNL0ekQ9I`wU zZPS-NGvE38Dpq#O=jr-=4U1lO{8mI7nwKJ1R*AHowt_uZ^LO||A*ue_gKGI78D~b` zet-+!Y(M({RXK4EYwlER(36#3OR-<Gk9I1zb$^Dh@L4D(4p#gTrZuJa<W%+2S%w#8 ztA1IGteV46${cHS^4v<o>b*jADt&7NzuYtU{A7{y0V?8Q|5M4+(-Y_JC|n<kXzZ-G zOZ)1kj-FqwS2YM9D1x@&H@U4>@Z$yoGsTsWaRLTH2bj0G+2$*Lmp+Pr^@aL+%Rq2S zJ%62E@qO#;^G#X2-Mz67JZDhOFYJ?)53b!gyY~|6#XghY=90kJ^GZT)^9y<pjMH6Y zo8L@aSzpQjl5|Em;FkUKB3l$@pGorEz|&XYE#8K;4P>@WdahivW**O5ZQH5Aqqi2< z3?I;6ffh`o!UKA7Z`r=lTbqSvyI%HILw}M)BKZ0|I&r>Z(mx)2dLEwyNqbMDTwb`G zS^d69I)l3KqKK(uoI#y?QN+ZRC4g_wTMLe5cex5o38d%78-Q!D*gWcIp7+bvD;(>x zh*@+!`pla~(SvC`bw7&M-!djvo|o9v7*H~Owa3qqp5KV7RU%h}o17;VGR62y?SE;d z=C)DerJL{QCSEHEx^1Ol)>G@9vo@jflq>dcU_ukwk2#mm1x-fl9a#Be{n;uf4^7wB z{Ar9M`Gq6O`a8m5x|@9J0^l`Sc6yrl+r`<6MKssaAHRgY@9&&_y<+;~xlBjj4X?Kf z=MS7c)cE2?nAFhg7V0^7XW1~Xw||!BLF+?Qn;P9T<fZYdW93KE>r@BXj=NYM5@jl} z_T%Zt%4L{Jo#QT6hqAYow&O33l^<s+MUJ~z9D;8v?Z#t{m1{ATbLUUx9g95o%`bK} z`%u^MgyY4-9S5Uq#|Mv<tEJZ+9+y3yd+t#C#hUCRrI(($KD?a!iE<z?=6?`6ttIi& ztY>_CI*@kEuJDGYiBsX}xnt$BOrML#QO6TpZ6F`T3*%GA79wQBR9jphh0C6MZ3Q*- z?s9^3TFVord(xxuqvu{*GK%IvXD_<d@)_B!hxVh@Ia9h0GJPk)Lg|I7Xlc2RjloWl z(f8Z^UrS_0ncXT1+e{VD+<z*L)n7p%fS|1!rr-kvX{z6EH*j#FsQMBAz5K6QHu*}$ zM8W(2KUlI)cdgodEA{_9-)s0kmW@eBN$b;_VEgY|NK&Xa|B~6mn!x{AOQTe`>Snlh zM4RO^uZ{8>%lEUlG!(b)kqX>5hu&=zd=tym6w8cy{&eC;?8FbA%zq%KkpQPn%#D<G z(Uf+B%bkHY-v_R-f6`X;*HVm%(k6W4B7B>Zn|xP4_HF~e<J?%Z;~e(Wxpc>Q)M3Y2 zcgK0OVh2_I6NUSUa@;||e>M@c(}ch5P7}#HP0U@`L5VU^hbDfsswlc%)KlCl6&9P@ zG!V<&s$vrzql@_78-MkA!&_R?WE#H_xOTCIziJqE!C#3WxMnF@_o@8&=7$J&ZQOuC z#sd$z4Uaow@b56WG!JtIfp&rrxsXbeBG~mSD{i^?qEElkKYAI)`#N=BzZnZv^6nCE z6FvUQzt#Vgno#!t3i&@=eZmc0U-n;28yRo(XJ6v}pWXh1ntvqyIyJZN?->38{(rfe z5VD^-A>KugKh#gC%U$9<-u8_hI&~v2xI1ln(d=CkykDF+sc7&i`uuJ;r^rfUxrYba zz7fI?!nh}Xc<7zjbuVx&P~y>UsN&(LAK&lhv}wXK{vN6%dB*t&?=}b$EegNC+mPl^ zure0TgpC{B(to<&9yM)rYg{;U>vQxwR!I4Z_~jTBz)hkh?)|%s0G@IGxWKh8z4%7) zwr^%9Dv#A1YWvnW|4S)^QPPXI7Mu9tdh=lQE~t{LJjHBMbf-!9j_2H|ZO=yg>kfyS z-)->hgiV!bkxmJ`Ibk%s=YD%CXeE3Px&)-LFO1!o_<vECWUks5p`|I;a7yC)uu1*` zheETUd;-R5kLCO?jM7SBvQ2)1#2>k<iNa*_{Bum{tuPs$FEcWl7BOz_aUFI?ig~w$ zamZwyL#;!%NBjCUcc;kTG)HPqoE%vTg)VlI_uG}egi`aI4Ep@PST99{81!8!7iHh7 z4qV%!7=PZ%tltV_8(&usrCo4d-1PW_MUh`$NR8E7%xPLP<Eog6qI-UywsL>jr(E4o z6I-){RsW3jUr5D1Sn6M;V$(fJ5Rc)bm);N4+eE(iYxY8@1_%|hSswD^9Rl(Af@`tr zbkx_0WvK(v{-^7AFQ8hehg7E<r|;7}Eu?(U)PJ)s<Ru(lIx_;TpZaXq0*8!A_`^#b zk6i|tqtY$oHII(m4%ZtGfl${Vl+=c)D6hX^y~ILoxG5U{hi6Sgp=LqgkCm4DbPjP3 zcv279=P4d{%(K^P>V7Rc1^xzn@&|{aOHv=Mt>hzB0e{*q?L+RxNbAqfx|Th=?WH}t zqkk4WyQ`%<yC+f7B~=Ucu?8>RRt{Shx9~hDcAL4C5_Zntvi^zQ`lXdNvu}QTT4&cy zeLJO6!sX?|dQJ!q?edi*=m|cm`^cY!WyjqqfGx68O9phVP_@}qy8Nm(IjG|@yQ2Eh z>6?AN&Bod3DZ^aW`j5`dq`s0aAI(r*9e>hEpi~u>PlH?GujyND`|(lOX0xp*T|3%H zz^hR>dHLmNMQH?Vttj@~_Xjs-#G@YGOc#qF<y{?-BCy%RD#~JM>J|!0uiboZqPT3@ zdwd={<~qLb{qaboFhiQ8Ty?5Aw)k5D1hIYzL2f~i2?*lyD+C#UAcS5BQVT&YK!1>~ zG6>=VL4pua6dMRK13|p{AV>uSISfIzY$2^bs&+I*Go|t1RvLsWEsCaTiN8J%`Tu%d zKx!S=YSW%MSVS}OHhk>ZP&l0JIFfR1I3~VGGB-9{c3dW2S~B-u_|ftG@dQbUxbWTM z<?)1HB$C7V$JgQ~kK`tWbB_<kdw(6tO$cWjZ#?L4ZlU1nJZq`oZ^rfZ;NShnZsMSl z;eY;PcM7&}swIfG%jlHof_#fAZ<pbQ(D;MLmt11P;qE?b^cz+R{z*j{$c~^K`^C$) zo8JmE$`mGhf8bhrfBb$U$I&HTi1z0jIh)&C+xzQ6h|;9f4-KcJfs_l4wtoxZd9M2< z;GH#pJa}wAlJVHQw3NeIcGBr>E2?Ipg|A&Z)Az-QpZkw{H#(2Qi&L{7pK_6FxI9gj zl1g2CRX+^NM4wMZEfVYpMK^%6fU#haePVFjdCtqfxg7a|m+kQ4Mb(^LDOO93nY?zC zQuf8E6Xq)WWv^_<*v7)~<$sX;FTRjM{)>rh$N0v=nWK8IzgR$u6JI1{JHBo#urBAC z%34ltEUYb0q@3^3in^71R`Zow`XXVHoGK-22?fzUN_qX|Y9}mD;fsQ-B^1QrDCO0c z(~v^u3sTk+3Uc@;rSwZUq)@zQo!%C>xvKVH_L8^!WU95mlwx{Yz<=f{|ASdOZ#76E zI3<y8+N$)qa^=%2{uv;4HfBv|I{CrJw@1U??~3wSR=_F=N^KQcbu<*W+&zY|slXgs zOuGlIVp{5%t9u_k&OR3GvmA2#`?38wPiSQ=GfZ`v%t^U(K<`Y+`Og-Ibkx@q52-$6 zdcAG|nPw%KlL}08(tmjiOQ!kmAsePyzpUjCrnyVzqzuzsao%Fv`~D$5rg_=M{nkTb z@nFOG`{s~($Sk4!oN<w7gxpCf2<@wM+*BI+3TVfK3+IfV7>2yD)py5v*A+$H&qT<t zr>+}>z6m))-I_T|gPWL5f9l^Fd_XRWP3gUc-3ofMRH)cT$$vSD2rEi<hKi=-$h~mM zajtlhq)S}5!nk_8z2v6Q!d!fm$%fpxZM^+ampkFw<JaOdkGkAlg|$RUhEj6cH<g-D zX(fMe`V!TvS5?Bvl&4{pms%UJZqe}{*jPk(y<hxx;7~78ajNa<zGuSi_ph{BWCi_h z`0E98*3a6AtbZV>eX@>)LA?_vC!gy1lgQ2#SAnGUPVtrGz)}1b__)`9wyM@XtjtAk zJv-RH|Mh%Cg=Yu3vUuxFM8PH(-5zkwkBaphtS^Kcl8iLtTsTp?f}?;)A{w)9xDq?d ziWdQ&5D|tv(GnbbEGT7gn)#wwV}EWuE7(tjGw0yr0)KHtNsO6MYOKUwyf}Cnpkcyp zOR(c5!E;1u<GfwyQ(z#GV2l7h5($PdF0=-CfoNt7+l|%&-H2)VJTdw#^eaGge%F7z z8&}%c`t}G9>J->OTsNHKLQ8=z#0cZL-FV%~yCYmEd%!ur>$bi)T@A3oSl`jFITtlk z)uKJd7JptE*T7A81Q7ZD_Zs%nH2^rq|8ArZT^(p8mKithVW@ydff%Bak<#s49!3~& zlNf~g+xOURu`!N;e8f}}12)Eqzn)`p&Ty0miJ6OT5TZ)}b(p!k4SfIkT4%CRBR4|= zTqkbb-rPmcW6t8p!Z-;^yO35&7mLcrI-V$0xPJ*h-P=PWRvV5EKQ~xFv2J;m%uQ$p zuYK_BsMY&b$jN>}Nin8@1ts-!PC>oBC>>A@C??t)x$Z*igExtj#@2Uo_v(qF1i^Ho zy`d{NGG7Vtr3V#bV~2K);<uc*Ag0lHEnum|H8{qFx0W+_cdcr$G>}4+GYq@!$D@!x zWPe05sg7}xsO1805V4rd7(Z70fnXlM7Gr-$(Ksy5<#4Sic%GPT<i8KU8>ADdMrE9M zZjemmB4!)=@4=r0_W>%w`%zk;De>(&YntuNC|udxAFd8*BBQ{WvM1GCojKX--d+ZJ zz1F&BJvkyp?IalGT|dTw<f-?h8c7KcoPR)0NDdWc(S-a(hp$tok#XTe5f5mLwumpd z5a+Qg2J}-R_{?NSLGB*~{?EGW24C8a85+<vL>PLaRf=L?%|_1@G+ryvh+!~*_3NOO zh7bHPU}kW-pt|BhT{DXT{iFy!Jz0l;d9fhxS$Fo}OAoht7(*<1<b!Dr=Q_Q6y?^_| zwF1Q$^acRy29|t#tKL+@&<B%U+D$1O5o_HB1>NJmz4$jpFtl*8ONVI*|MGl6>a%XS z!Ix%kVFq+95&Y9+l;9&6`cSgqwE~wI$_CJLy;50pNoHo+EbmM~=GzO6&5DuW88ye> zlG*q}mkX2%x;K2)UcO0#p#_q^Uw<oTiV<f3)az>1foix0e3V;Me`n)}h(9XKcVluo zGJ<Q<Gs&|~9zNRI=tC80O>|N7=g+wdh@C#d$2VjtqEX{eMCZz0t8gw4%r+72BYvl9 zl!h#=XLZXtBIX-VbD|fW;UCuvR}%Yc*Q&mcR9l76l0r8ROp)kzqy`WX5`T#e3!%w1 z;_karU7gp-WmWN{h6O}>D`J#hb#0<*Zo{!8ym55BDr14n6N%*_k$YD@r5H`9E*LIq z%c<#eZ5|PLqMnnW!mz1$!kqIFn8P$iZwk^yfig_v-OWRESE8$76gT5ASVYV-j5@&J z1>=ZY_n@;v2{6Dwr-wLQ6Mt~UMBUjuTzfnvh!fBOz7r8fJMS^CRSJdyBSd_@eY6W7 ziVJWfnqcA#d176}Q3pXopaWBVdqe~!2Pj~w?~Dkdj)5nDZlXtib+iO0UJv9ZPUMH( z)89`&4e;fs#_4m@FJI@<JG}iW?ygvU4*FR@GM^_-{{ZR`h#|%q!ha5+4}uOvc4OEs zya9L`*iT$HN{##ZUhb}YBb+E}KrcTm&W>1TG{=GG1P>F7O!C;!vY-qx9e5vgEC!H- zH}1}*V#=Z$1nDAxC}!?<0~g&6$j%>)iR40^{5feWejn-xXintJpETJLM@fKAfDp#@ zcBBMd8}P#9-H8;T%YOikMh5#CEZ_t&)yRN@u@8Jt<S{bX$Jhnx5?4&%z<T~%OanXJ z52$0#S@$1r<ql=e9?H(p2MzKKZf{CMdm3gGbs!m-Xg-L(8Xa_{R-7&foCn9ssKRI` zhh%*fQr~KlIY#MD?tb)1@C;GNxa)Q<w;mTt7PKV_8RqezC4aygqD}s!VOMM}>-G!N zGfhOH2qz^I^h;lw!m>)FH=oIYiA3joPGSy5*C_0s%kJ8}!4cPYQ@Cp-Y8AjAL}Y$u zv>z8<K3ER8mLFkQ#)0Pu=B?EQKM^VU_IF1PpiBU+d{UeX56T$`$tT77-5%jb@d3#g zGs8@bIq^M4^M7vXX;@Dh;NioMzG?-D&wzp{b3+Y<tD~fi%7cX=gV$~>KcP()Rcl&N zYc;sZSCt%YZ8oH3(Ia}qA9$-j-pt5i3-8Hks&w+9M|g?=mSJb4W@jm%)jnj;rdTkp zpp;axvz9BT3mcU2d0A``J!hJFRn+y;vtq-0S|23TMStk1axE2RJxB=K$oj1IIa@Ko z;uK4lXQ6ui)R!wh51!<<TOd$9*O*9sRc!hhEE5^?x%amh<Od0HUt2#{hK7FUD6}i~ z_VaX<UjHrLVwh6@xw2HVBhlhk@S<uDYD7&}WuaT)g9SCB=jjzkDase~EbqPkwX!OK z=A5cMv411MAy>!6T_0sV*L3E~3g73_X(4*Er>dz}M;-q#D>kAhgxR>dYI^+?{X>gc zwU%l+ekvA{RvbM=#w<12dfpaKEq$&m*QD@gz0=Li(pV_WmgJjT70vRt@vl9uvT(3I zD@$Xs5MIE?X6b*eumeAZ`_Mwc=VV2KG94z}vww8WthevWeLd+PvuC*qb;Ryo^C`^3 zPc?kFq|Kt>Q|Ma%(X{ZBxNE5=y)Sz<)goQD!M6j<*3=Wm=gNlF+jf9;ntBKE+H!>% z>OHU#Fd(?F<T07WW?g=-{L{IvAI{GzZuRuCN5^n(^p^PQ4xSt-o=TGn;=UfNKU6Q{ zw|{ZvO{yn*+`I0^Bcg)$-UVKa7p+rIu(F&&Ig`HYJy}BFOxyb{d(O*hzi9}pw(RL2 ztPF{(Z5y&1M7Gc16zh=mlBm8S-tS{Z_pd)luv(JbV9WLhi_|&E@)Rju{hH;eoKWTg z)jERP_qb?=`U6)uwl?&c!5%q!l}lgMjDKY4i*SYYD>RWZ^dHrvBO@b(NG#r!YVWMu zx`oVK^|xL^#Dvq-8vC_fbSY&aiKNbXg!n|2^+u%qJOVv_JLtlM>KC7+wn_B0Ta?*{ z&Vy=GdxE_HE24Nw?G)mJT(T%qyE5>Nd+5iWtB(6?<$_np_Ng84)wG-8Azo3Zo_~Gp zEnTKBl_fTFZZ1)6lU$l=WB_D9o4-OUpv9Z^YN|D$uNT!dZ-0Elc7K#@^Mey(XCDO| zJFj)<xLCqPoY~e<dHMMWP<Gv}pOvR>7v*p*UohcSsR6+sIX3@kugmVDs%5Q+Yhmbc zT*OwV{HfV61DTlyIqvIm!JGp4JviJ&Bw~M)VfT2l`nzrDd2gZ3S7@6*w(jMHa!Nm4 zu9E)T=*=0#0OysRWrou}eVi@^u2vbZ4t>iW8R;9H{vMINrRX?xX4KPs^ZCkEFwG^7 z-2b-1nrb?vGO}D>odfH<!z%VvaqP}|-b(Hwj`J>7)i>q|_S%?(f3}Ek&K0UtMU{W- zsR?AyU96;Ujt{OsrU;Kjh3^x8e|?QE(`Q!eJ7E&8U2k7|#YB#6r7pzMYu-#p({HA` zbL_xu*;kS88aYjJ(!|wUlwcjW`^m}An|V4I*TMSDrA~pBub=g_vbjF_v0trbydBaX zM3&9qyh4X0>zt3*(Q+xF?S6Hh)y{u!hdKw5gc+Pk=n(WX%BJ8x`fW|{Je{7jSW27h z{bO$teemt4=Nf5nTYWQBOP(aWddbalrA<BR@TtlySNKSo!W??B1c78YYBu8XYcueo zb_)oqU3GQxih2?Ww}dbVN$oeKSHUK#E=1De!jYA(>-sLD6Ql;nx`-HULwJ8M$eIh} z<wzPe9Le6eY+J9<S%yAbMgvHj0%f#6NDc9%&}Bp(0a3SF)iqw_%peynko_WQW#N`< zRR$AP6b2c#K$eK4t%M`38<*krxJ=h|b(f)`GRC1Y+5{;wg|xhcIHTW~p4x5yekxMn zmJ~lGqE}yMXf65FAuINhufczBZVAfu-L#4DN2xu2d#CZScQ57bspG22D}{ukHoK)7 zUwn$2ADBN<|3fvztteZQR(Tk-rXc8M04%>TZj+l12mJFJV>dbI=0Mb6W;oxOIhxpL z0;Sk;pc2u*L@4mq+xOEv9^YiI)di$qyj6s{Y_9eCxLE%oZp8k$s(XL$9h==0#@=KE z{CTwi<AP~IU*E{yoO#*VOZNEFqC$$@Z&S&>I_0IPjtZ0Djx<X~BU#fK<3CqaWEvF_ zzAUBF-I&mccv>2aa1I~&^xv_BUlh#^YOOYXH{RYEO__(c$c|Yz_fQ)<3hnPAV&s}; zoHFo7+a_$48~i?&G%tTO+q%(YmbQM_-=)UNHIae4wS^^(A<ccRNVTfcsYHKTK&!o| zWeKA86C%F!$J=gjM)4Zyob9sj{c64b8h1onQcrgz=q`NCI`G{u;@`}aV!B-^fxJ3j zQUznX^C*F1HCUJeM}dJ4lt3dlfN#olJd{8WkW*du7mWH8gvNhhH-J86dX>iXuLF=z zT(?ry1wyl6B<Lv2a1sqs^qn<JjqA3qx{%rI83~>iW?U8xQShBMvyScNsk%_zEENe} z5=Og<hA8>Ym|4elhgEgU#_&dh7Q$$_=+cQt3}8^1UZ^qcaAK`H$PElZ_Le9Qr{?k$ zl=&3s$0Tn6G0K1Rw;I#-zeTEP-fwsbCD0mR!A&>tCK5`Z@4z~E3c7rt1bT^a-AEWs z#?#y6PtUzp$0L()>6)IrB+<dT`<Vi1Z!^Jj$oJ;ts6cH^Cs#G|tuLw>?HUbli&)H- zpA}9le(ru7U=^^DuG8>Q49nrgPRJ{s82a2@8(<f(@j!p4p<N8g;l)OnE1p>Y+>Hw` z4cN%giTof&<0xV$lod}9K6hgR90N8U>O_7L6Xz^qBXku{On&aJ2{<3H@knQ+OU#)= znw^kZJORrpK#V>Ax_Tt!)^FOi0#*l7=4=QCs%9(A`<oV=r&pDOUSHJG*J`j7hh0mN zh?%%Mk==j05cnN%(9+OquoBO^n&QGLF6R0o#ah)YulbL!t12P4GPLOeDf?5DE)s;Y zJPgNxudAm*Ze?k+38ZkObX_FaXL$sSefYYn781S>Y(IZ+$SZ!5FYaF1!sg2T*ceOK z3DHK!t&1yLOZL>x{V9$Ke9!&}9al+nv@9)Q!Igh}J6J3P75t6(Ue2KSOGZbl4n>A4 z+D=*RuC=*6%b%~y3jACA^I~V;d{Ejhii}|x2Qg<)aM8bxn0Rj%{AjmqlKU5fSJ}PU zr_L{QSq};P-HrV-VrSB!+9131ztR8i5bKpOy1E%>nVal{IbCbRpLx-jc4@rq>$7){ z+!23qhmx?*;@uJJKkK@mH9Dcy?!xKvD&$Tbvi4J-y;D<f92bP2;ce~j|5;x%m&YX@ zO~hi)@6_#&F8d0c;fKRBe%3$NsXy%<Kk*$u*S8WAx8u5AD7WRm;LA{z-Bw4P-fn(r z<>i2E;tAZQpul!(t_AKTC)!&3Giahk?UjE#yW<+?xRN%LTKg4lxZlgtKG~cBl~(PO zckd@*vE+4C6DKL>{xT%L5FEZ<b;HTZxwDKbl|){xvYe>;#vr#XIKmq>j*j@_UFBL4 zv<<SSc58o?Pj}pD@CYyZ>}lAce~bTwNDz$IKlY3#0WdjA|LtE&l<GD!`7dgm#j}6Z zl2`v=JO8A3QZ+&U`=x?^-1`5Vn3|LZcwFX3e>g_JESac(^`ftHMT<Mt^jvvJaPbex zb<dZ&AD$2BNU31i_!6;0tUZOr`&;|VA&PEN9<u(Smq&^p9u{wbb~7#yp<R)E0j-h} zEQZAsu~bv3kw9oI$>J2t30x`6;=O+j6hZW~)GT*vKNm#UwGy!^5LwS3sJ)<^eAe;= zjyrYR@dd>7<J=M2Dhu710iC0dKTT!)>Pyj52{b=}^E;FkPPpJpQQL8JP)0s{0vS)K z1cpII-mFysT2tBfthH4}K4ltpc8q*Go*LVpIx0GD#Cz`4mmgXv>H_eg^+$i6)z`z) z?IxwFroGtxMT9Iw#|}P16bjUP6PULJ-XjWAMk;Ntz8YSzL=;+$R0c7{WJF=-NF@S9 zK{BtpO;dJg!pKtrn_|!DaZ6d99$Sm-<E{Nij)%5toKM7>K-atT{BX_lN!Sup?kltO zq900|v6)+SN!T)y{rpP->L!2Qotgq&cXGy+Z4NB>=RS3(4nTz)Qqd-=aw8*#T87{q zWyl;GUy5Q8bWot80~J}QsJKwSt<)i{%9rLZz8I*LZ1D4sy8#FT-Nb@?GpW{oN%M4f z>g8PwT|<8!yiGaEJFV{;_lfcf9l^T6-Kh2F6{sr-Ieq0Pv}ShU^}v5nqDQ`&N$OpR ztYAAHh4PA8af$AqvHEpN@Qff;nffBaU6Ar>xveV^8<#F?b`ActAJ;aBSbsiHYo7pp z<MJuw7llh8Zh*{Pg<V^Lk6me0FMDhA$qxEy%|U$#T)MSC8oKt+{>BeqNw%^Z>VNfe z!9QgEbYmZ~qOxsz^SFP89pUQ*f5OGzTMmhq6u61LZ=1fyl&*+&v`t4sX0v9E#N@t( z<DrL56|v>0)8X2_aa_sR8vFYx?MEUDyl`JJb4l)0lZF9$K-Iu>S%!!UM{B>|P4WI= z9Ca(&y`*F-&(Rl+kDO}lr`$-y>M_X8?*?jXT;WhZL0GHGoN#}qDnfgoD<~0*;LnuD zamy(>_=-C^y&ceb{_bjdNI>96cj|QO@g!_?qPZZPU66@60byva5T@1$G9wztAGY>4 zFfnK*CPE0plx~|{nBKKa3zZqX)EQEee`Q+in3g9@i|!;pJe8wp-Fd#LTov?#_T5TA z{{X93az~mwRib~XQacel@z9-``=EFibaoj)J)-lk{lf?U_6GBAA_Jp&dzPIdRLc|W z4=iCw(Jn$Lai9t#noqjx!iVw#0?(B%#X;N8S!$$l&t)%482lADLX5`P$4Rj09o+u& zj4OZLXeDNLFGUoE2A1+kF)r*VB)|q#<&*A>9IloA^g4grB-K0-+jzRQKd{Oj5!$i1 z7~ryawTDD4Y3<K@=1zSFT}|HcLl=T^%Q`kt8Nd)t^4U$wxb^m-egSs@n?zB=pKsvO z{ICDgAdJega(jOyV$04zr%0e9H7ge{^^G6ynhG7bq$()#3jz3O3ba2i`=aG!aVZ|< zOC2u<bW(p>`!%6<wFKeLmwd(T<#3)%S~;`+dO*Y_TJ(p=avda@iq6+A4KW4kqT^Eb zwy;14soR1el#R&B;bOaWp!B8jb0XHQG89Tdl-{}{gw>g;$|<JN5i%OIGmV~*(YgXM zVws6c8Z*XwD0PW^Dgb|f{W@e!WqNYSJR6|9+4+BR6*NJfTUkuw5|r@3uD13oi(G;{ zVGiZ$_t~J<(FV*0ZbQboYmjl;6*5woVHwPfNDnez7-gnP%+`C0pd3n<>4_qZJf=Jg z>v#8;!+m4@6yW6lIzB9G%l)As+@6OdBwFY{^{cNqznJLr6sQ&!qZTpigJRSdP)&o0 zQ44>WwU8K<X{U*bA((a=pBPuMBtJZYo#41#vl5;#YkSTV^^0aFaLWf$+?eZU0uES; zV+P2QTCq%bXLT($rn~cTEjw#S?|2}^hzYA>C;Z;an~0TTC){S%l)D8{)B9Tc)9)6z zGV9W&Zp{$rI-S_mEe+L(%&$O>&ShWmuQh*NcWh^SwnB;X(_3WdOwc|({Q@evP&uxB z`qd{H99e`?Y#1g>%;5+w+VgMmpAc)<BmFMs>k0r?thF|GW-tBgi0Q<f752Zj1a;k; ztuH+P_qOuSi<wfvlYO>-x8eV3aq%IvU7F8yZH>c5__}j^yj%O%pTXMuz9eG9%vyi# zTHUG65S=O?KzUvAwUx(>@Cv{MlCae~CghF@v12;4ZPMH^S?-uTc1#DiO{5)D$c_oQ zZF1q;Hrel(Y#}NLtp!27x~w4FEAuiF(kWX$@anQJ{%wnUiF^4{BGmOKw)VF}+0ZK} zRd~juRcp7GJL;*3;FeGlwrH|tpOk;Jsg|U)={tF8Q(|Cw$nma;9I?!Eb!$}~P<Q+( zl6LRiQ!=GYT&PTdL6%t{%SO_kha+tpL*45~B_e67;g+jaHc*FMMta7R446pLiShbs z{}%rVF|~&mef=~{q&v<O#QaN%R?m2Z{>zrAJ_IIeef}=?Pm7O_(cj@j{(*n-e^zwL zI1MW^Wo~b3>je-4rG$tJ<x2z42k6eL4;Ytv#e*;UGW2)2n*mFY43oRTB>BSP+^N<N zieVN|_8^u;Z-zQp(Wc;AKE_bS1Q8<40Nw8DxVTyQ6755a$!#c|XbN^>8vVCv-_qQJ zgfOwz{xC^Cp50JN!rGI-jJtob6W8D<9}FUKu+vidI#613*h2a_9CCgCp+y0TdtoQ; z#7<o4rr;aQxIH_KI$RwufWj|s^FOLkQ}9Kmv3<vAyW>gS@zm_z9}Q8>#$=0OXPfe> zB5Uu>#87+hkX$FkZR~f;MwXs(Ef(os3w-?)iOJsxeHM89RP~2TH4}eDy%y;DsTHOu z-DiQuPjvxHOu65JQ9qRmbU2(8cU4_lDU3SfRhu}~3+>Ary#l%#GPnll3aOrmB^0*y zM>Y(Q8y$U@lqzFMn-GDk*s5sl=Y%dgLalD!ceyH`QiUj)I#l$aqTnJ5RELGu<BcL| zuOU9i3NeaB2s;TSuU&r~GB~RQlHJO>o^;UwxyDHb=MrBwB$RK2`uSAUxkRk<Cy2XU z`kmT?DKc_>#19|JaPZAZq*%n|X7IzQ?eaLQGfi&H=czdJyW;eD=uUtP#1mpvlCVNw zd2@a~_~6sp-?UjXb-A=&sOT>8l&TCaRMtM_leQK%C#Hf_`FwwX{Oq$kRn3<^6U-@q z=EiB@aq3%<a+NFaZ1KmFs%h?sb||11L}){=3BrpY@@97}_jRX(V|hrHN>qt%1l09> zgT6~{su_B>`EKMVNMs*cliw$A?^(X&G|=hckROuO+As4ar+xhQx7R`s7s_J?9QP^3 zalXM6o6F%sPgH*lpzI(z_PY=q_F53mNI&;pZcCxHUwg}gxrd|OsV|^CM`4gl0?U`w z8gW{RxXyV*S!-*57Nq|O6%&ZVu|tH32TK1W9^3fp{Zceg8)a1<;tmyKs5C;me-$bx zAut*`1nYl5+_O^#H=7QDAu5f!1QF;D5P%l~yoUgB&@q3Kh6)dKoLrvT_&T3_I_ota zJ>d?RLwlqmp#O0~MVt4z@~WH4Ik7$i_n!|q3T1I9V+K&?WMpxjryYV+z#~L<6BrBn z7jQRm-NgD{?k+qG3<o^&S7JukQT_lvKlN`O;1M$EI-7`9HNQ|EB2{W6WdhrSRtAm! z?~n87v!Q=n0d)RK><B0QI#7_GdQX23Jpg#0FKq<lK%WF>PgLZx=}F4qo<M!BD)a-G zc{2I-P}$A5Q!|d`OJA5uh&n#=DGyN@sr>oO&>bSX;T(@1FKQ1MNu0o>-ud~=(8^tX z5&8*05|escUyQB{oFm2+N>|lGyAta2mD;?KE8u@jy1j3XlY4nc7(d(#I<_d|gx3DU z@;C`sNXM3hC8S^W&1rI{mO~z1Zw6i!Y<;_GiXG6Amc_ZuAiZKpU$45nclpCiwHXk0 zsdfR(GVgVF*W2ZcnPtXyU#Qp5nKjFd>3#tH+!rPJOwHa5==7y3@)W#x@Wub0TDq^` z{bhe&z0uUt0|n=xpWdWdMKkcLAiQg-pyEAn5c<Wgc5Ax@D<@$mSGyl6>%_PAS1^EK zWu4z3VFRdF*16r<uUbJmCrs;dryd@p9=k8~b^wK1*4baMABvZOw`#s_APBeaaFKRX z%Wdtq*#MfA$(gPFX$;_*GC2+sHh^(ua&~`fKOf_|r!cLm(w*AP+|*|SC=uvjX4v^U z3m<*`aS9Gy7hO40JeYJzabHS-4ODmXq!cjMJ2_J%x7WE+B$?|C!eVhu-h@7)#cdAp zCi<-ai}TFdDM0Lr4s>-K{Hk><1iF5!HU$PuLxia)dLo}mq^wy9cbEh#??B34W*~ok zUa|G<K&P~%n7j@xuO-DKW@t67<?X;{1MV}489g9iCYu=;^L2p9xTw)h<zdXg>~}rN zdZD9dmAgJ%^9fCV+R(%(Q^2`AFnPXRvY?V{^85*O@-%#trFa{Li4b$xf{S+lTl^=) zo2-$3D*3uxfYsjGp4+o(|2kqCF=v0GJstMrz+bdw7C@)ytAD()e@47{#yHHy^~FDK z|9?<avIaWw?ay^Bjl*Vbr6}Ie8BUR=mk&eNzFM!WR=fA^R0*iB<VwQUWjgq(LxczF zL1UpV4C)(21mR%{6$3t(eJL+KyO+3?hfMAmbAB4-w~gXZ=h^pWpw?t3-12`;IFI&i zOtst&#%3F%Q@a!A(hl^)PB`RGsLW1VKQSdcMg_?D1R`O@JX#thY+{?*-r*CCmwnMH z(x#8o`6N$4#VLzVa)5bHbaFu=x&1kOwQ3z=VHuG$%)6(x>8=sKxD1iY^aRV06C{ZQ z<}*M%gmv*LlEi(I=~@*5>Op^tAR3kgygy2R6R$7-Z}FcHQ>A&)mruh4yW`G)ZvRrE zB}BZ8|Jt%}=@5GOQu06C)ITGpI-COoJ=Fh3|Gz_gr;?y=mGF!w`!uWwqGOiO&psVW zOAKUio%_#HCtm78lniBi)sYM0EM?q<go_X#BklYXhLE%|c>Jp`UQvH#DF$Lus?k$T zy->I7utT+GKD6kjxKp(sB*g84a_1({kV%P#w<!_IWrq@N>`)@sCNKaphWK0^pZ(Q0 zM{xVUupEh<ir0eY1(Sv~Z__Z;PZ~D9<N5gtN===avTl3g)wcgWL+!A!K9(LyCcA6j zVRr&M)K6}Q`c*vRW3zvRl9iNg78X)z?d_M$WMTS_h}+2vh1BS&NU^(dK83XUsaPfp z<LR~Vz)!U?saI;B#ZW|#<%8lI1Xyua=zJmk8Oi2bih+}A@?v3jfu>h0c%`GVK$9Yv zGbLL{fSB#M3-&Xc&~Cf|UEh-2sSM13&WnplB7aP@^_2t`L|uOr9dSaHhuokvk`j!E zY)Onp?>Y&}v!k+uldqNtxR<}%C{!p^&k#=yIWC@O4ShlP*z0VYdDy4GC%TyN95s67 z)5R~DLFDqXmIUC+7K@%}(G!yma*uZMAS+a2pprRWg^7&lY8?{&PRjl0{kgLXD(z6| z@c!KJxaTqYhzo!G{oKmh)3zB-%*l0?211vaddSa5;?Igy1eth*?tZz-9HrCvP|XvT zB2vNmCL3Bko;+ywtZinGnzW#CBa0sFKOUope7a1N2iL++FXm4gH|}E?V^7w3LK&%a z66<ZLJS)f?$I-W_<*FauGj4v)W4V<0xFdHw^Uc&G^PhjWiUIN>krM%5o*QlUh-^2D zd-%QQT*UJ1OTBfAFS%$npDslUa>dFSua?O))$xh3`<I7qj6U_F;k&zHy83FX(j<rU zMl_BL<YEWMH>Z=vK4zs#zRPDz^YUu*nH)dT*v)u<E<$4Ss(w2+4{B(4lZFuPro8^C zuSj;bp`?E|9oP1cNBZlY7;w2wJ0p1Xb+x#d#5`wJT!3%SN4!I-&ep-)1)RyVi7$;e zs`Hi$ObRaa@cow40@v`v#EdrEjD%Wnl2LZJ`R6dp6w|%3Jj?qHzI1=cleYFA1P_Ph zm2HxJmPoGB>h%(@8pA?1!%7<QKLocZJS}%D4Tyh?q2puww>-?7)4Qf@vt`e0brTj7 z&DX;>HTB6$TT2D#zH>;aYV581jkz{f4Z~$M@1AblbB&NxlvetI@V6t)&drqdPMF`G zVX4$AdUMOw%55#<Y4eW`?U--bH#pigzw>AKe~^)P&9k}Opa=nw5a0;}=z{<eK^*P& z-}rwsCja2i@S21QB+q@6ksr;0z;Y1S3j(J=U>XD-f}&MJ(Of%Z<hwZYa7ha_3|WwR z&TVaZ{$#mu(Wvyp<{#osAd75Nl26RHn}a4P7Oandy*!dHCXgXia4!M|DiAeIMp@93 zAQzEj;>wOz0M&{1CZn8a0Z<GWBv$0l-D!Uir7Hru%n=;o+e61(V_Y8bXONW4V!pM$ zW2xt%3j)~~|GN#mbT;5WM|_lE=3*K+P~4y|;6Pk49OXg_fjPu5!%=RuD2OGN8M~%D z{J}>|g1(^OHNgy_K92UmYlZg}RV#|O>|ea8cgu?Tb_UvzmK!JJN8>bUfBt*+q&a`O zxZQlA!r5LnUKx55wfsp=K1`|N%`I(?cBt7KOSR>fJl)n*Tr|0v+QVg{nzB&s@XpVv zVd`QTDysJ8(2WP!sT)zoy}!D24VlJ#0nqi=T}i2-`wJp&Vj5ClG+xt{`SDvw1G4J< z+3&13qhT}w)39<(eFlssZW{I$Q(u1wqw$%By}{JS!f2wV)fJdi@)0`CfQH7SIC^)a zTd<tyCzFlq!NQd0*dJF6MEFcKD=_u7Fr<*FW;y26ht#&%?quH+JYWIsJ;0{%h<&x2 z+bvj6^b><|-AUM)5PQSGfPC_P={1v@G8m2Dl=KEOOh^soE+`rNb(UsU7u$br>w9A5 zM**ezI=ASjlC=jM1%r?RETFu<Zb!=zj<RvqrWZcJqouC;=XG|6S&t#36s?Cg(;K3A z4Crzq;=fL<mpySlce-G&9D2)k<D~;Uh5HrANQ&$|B_i%*&(LvVDAwFu)p4p2zgT0Q z9aHvuRX1i(@a^1<KEg=f(CmMV)5k}OCbH$imNaQ%uM2<hx2=p<BU!xNTM8kE8wWBN zFRkGzPZ|c=oagwOUkswB29<;HsXQqWHf8_{CM34<JcYQVuc0+-C61wDqbEvxd22_~ zq9;0;HGzd7UMvaWxl@L=@d9GiFPL~0Ek8>Lk3JnR{7}c3v_Aj!opyi7z0wu8ra+tN zea>^|ngTtTwMq0uEHh9X3t@>Fh=)6cdXX83UyM}945X}8dX5>$Fu*AX3KX&R^_?s; zP|MfHY0P!wfX~b?hIbA4d|up@q;Qx6DH3BmnBBY8-ng!jV2trqt2xs%=sj_Q|C8ya zE9Puu;nvv1>uDa?rsjXk*y=5k;K$L=M}D9D<KS2SZwJ3t1nmpRv^nOl`Ru%nF0q$e zt!l3&&G6siKOr_IxVnj7_hElRzEc>QU;Hm59#;!l=S?5|`d5b0^Vg^0ul{x;{~1wF z8dL0F`sHu*|AXSv*6wSzKcfEbNbhSZYT;x`PS|7q))8DL>u!HumKfgC!Uuml_N%b6 zT%B(86)i{4)_c3pC<JvTj=dzPc%3<;{78E;e(du1r@RNBT<O%l1=n*{4eQgG3mc){ zB84=pFucm*=z2qXJ*quDL34}BbIYYAa`*jg?MWDuqOhEiT_{FkgQsDIOJQkgX;4w= zQpZ~l8)s+#BFBFauv6Tz##>X<`IBWGYY#2E$UH>n*-fmub82k^RtHxm7kmSka0hw8 zXMJ$cRBZ^Q1!wol79aSoHu^AyM&&fG?e=QA9;)MGbA8U#mA$}W>Ln7BBZ}s`uWw~W z<3v(`p(|>t;vpyG$4p0A3sS#aAQ+2k()ESWk5e)fD?@(^V0XLOr(T+4Mv@~Bn9day zT;s$J14UOr7oBOlmq7*EO#mgh(rK)$?R&R$6Oa?!TQFZF+QDV&UsRAAV=#Q(MHwY0 zz9hRbYkE!@r7AAK*;v!PaRoHhnTD_SB@40?ka{jOHY@p6DhuN?QrP$-LkrF{0e!)0 zZ|2iP(IS8MUCx<Ba~jlkPc{MHg4HVLqeaoY_g&7Lz2_LM>&|QfT7%V|%|ja`aNp&+ z1hTKVBElU;uDOZ2yqKDvf!v%7SXoWIVbIo>>`(I6UU`l67o_A|?~|`Zb$28g%StJr ziLz#WA+$=D#WKN7-x0I92OU0FORAobQ12K{n!kTs7X5ZBN;~gmslwbX8+I0i9)%p% zS?w7@oBs}nHUP`@s#JWezab1EXG=;6Mi|so9qFqo7$)<Kk-Pn9)d4i&>SgnHp|9cV z+QV~!el$V=ExdYJm!uOc@^zLF|6Q6MjV*O9TyqNuEB@79o9_jkQ2S(aH$4KF%WsU? zWTSs0fU^9?dz*XcN<bIJAbOJ@#S0n(ti(kO-}WH+t$Uk$>0!WVepJjRE8Ppo%OCox zRUKOz=f{fI`T6`4yAjVlm;Kw%KlSC?$GEVfj#b{4*sUjq5(YmJJun2L?eXKL#BAd& zE|e<JfjJHe65+=Ftax=$gE(aD&yGF{?k9f|OknJ2dGI9B%ml`YJ_hm<y$oU8XyLz% z*xkANj?LfV#>2L!2(Z38B8pN15`In+08SC>jI3{u2%v<398B2V5nj|O(3@CfoVQ2s zF#Rf!CQI)EKk-c76CJDBO-BJ!#GZU8uiKe0fD4odsxhN?Bl+lD014w79VtK;05X3u zu6H+u>1P0;d<AfkxOFd*o9+O3<>$rb?$+a@%K*}tyxWmt^pk)Y26iV>n0^Fc#~9p= z+(nQ4uOoLi57PGnE11#fNFlm7l+Xp`8{Em|)Z@{)lgoqOg~IgY*xksKd$xAtTEf!C zSBuAfaSg2W?}?$LkSVhg?i{vSE((9n!P&Wsor<UGY_we?W*WQh`8kyLFOvks=(9VT z<Z&^0fQtOGm<Bew4<N&wMPNUg75pYZD}5|i^x7(V_(FZv+KJL17e(i$<3@qq6mgU` zuqRj(C?&QVEA6GQ)~bSuM01m{yR-Z7{K4$Nam<iOb+jKRo+a27&?lbR8L5ALdv-tm z6d3!Lr_c~aW&81L;0fR)@fvU_m@il|crSPz;L2A8PpTWk<k*3Ux_#&aoJ2xcu6MAN z!J0s9op+OUqqS06&*aP%P0G8Qj-v%P=OgHkTG!{yioN4ik%u1B->a#rbA=RI;-{(y zz(60z!K_We$M5PKioGAJA_adRv%Oc-Rp$yT?AY7$%j56Q3wb-$zGO$<w^Y5D7Eoxr zhc9d;*hgf_qJ&LqWZvsyk8Ee9<CXCv;Tr1LX^WDG9-i{4n%>GMs>vJ{!xRIXp6Sj? z(<|d#QTpo1HwxAF^6}iUv_xq2IF5ipzNjPW*eMGHyVUG#Yj0(SDwcomarm6ec}s+D zPwkzAmDz8-l_{#&NlSxPIO@1MmTEy|m-3tH>#fXHMIL+{{@&HxlB(MS{N7?RkMbe^ zo+VO0MH&E2eMD#$$#)X!B2?AYwQOvzjN>EZ)YWxtWRw?1@HtPOJT0EFY-}kEyJ+(< ztBr*@pt<)_Xd%~LKL3B!h+^;is>xgyrS3b}H;odTNkr=ok+`m;2c+Y?tj#bV}- z;|kS$?tY%ftgbisnd&)zx<uU9q9;?wyvRqDuf=_hdop#^i>#u2O>?9AiT7Joq`>31 zqMPO&!HKF!zEgRS9Mlo~N);(yucg|Ps@~(>S?L^5*ly7nVKaaEXt!YOyNZn)O%4qz zAy?ig_gdzhuU^cFn;6lq99;7);qVii3z$f;9R{rC3p`Q7;lB}*_2ix*VoFIX*ZZpd z0w}MLWc$Ly=}t90K&>xXKL<B=ade{sNw2V^SLibw*07B_t@{=aDp?_N5=#$%Z<!qq ze#rh*Jk=*{cc*_<Yld>rl>08lup0ziCw8f<H0Nu|?+^Wuh9TG=q?iB&v<K~ADQyO4 z5A*XEs_y@U<ti=BnTf1Muhyke9P0vT{MG#$PP%<q1>Vw}@BQR^h`L$^E)=oX<oDty zdHawAb%WX2U2CaBvu*rsmx6Tx9MRmcx_J5%;kUg{G|7LSR~LU5uQB#$t%S~!>nkU& zW@^mtR+^d0ePUH5@wHl+4K5t5aKG63pd~1DnkKzE>hrt5`qyfa0BO;`UcTtnPTIS{ zs?CbN@Ho)|Q^`ivF)n**Ep5Y|e3i&XGY~rXDNBL02o+DI4ONQb3gS~rV#G0qcZXi~ z#-JQNs-S;o2yn@Y5vL$eeHd-y9tcL)$5>Ri^=G_7cHl=iBI>Z(>A4rDH~d3Nf7!|z zt}HSbtCY2~)|x#7A85DaS?GDOq43elu8u{zq<=GPqOp%Awt+2e@HDrUqh%w_wT2`* z3mG4=;<F(UQK`eDw9qhIP(4<`m*#`hh@m{CIr)FX_uz2q@S$cFFWs&o^6$9Q9sLb& zN-A>Z=8Q!R9AEV~^hJ<M*A!>w^0;Z`nB$1q*`~m(KQvG%gam5EO1-4v23unhd~tQe zZ}Y(HNT3<|SMBJq4y)m&wc3*P?Cb5VPgU2WsQ2_=*cMspUYq4DjySC&nz1SNWK-<v zrr3YuO|joLXEHZuvNz=m4I*9`L_nX<4KmH6Ld~NTvo~iRZaV2O22U~uk1*C;8^<n< zdcB%El~$nnbUMO(A)ni<s$;|~gKN}GV+v6GZ5Wm|e69V1PBrckeEmHZeaV>$^y}1) z<~TT;yK*@XlO6kL$mv_7%iR5&-}?n)5!rt=Rjgy=?|#V0cTyC`L#4>E{_imecx_e9 z7}?H`mKK0SRBK2(4YgrAxJkGWL`8EIZJ4Y%Mqc)#O#~p>t2I=eMCbbTIY^<w2v{sa zx2CG>eN_&HY(GX;^rN*0AnmJ{&%D!U!lETgY2W+xIZ2^mh`d-t#Jj39W8_>vtSWzO zaRvz*M%B*U)lBImwhNZ@`gvDBpfMZ@I6Fn6o?k8=$<op7;U0O!J=|(h5o-P3xT{yK zJFAVoaF2zb`JnESeKPdH(bc=2lY*TAhep)#2T{AhTL8=U-8So=^FlDVKmt(}>ffN= z>_1b7AfPMXAjXA-a+n~i_j*>@GgE)XRRj9K8@!U}XA}ExTPzwgpM9Z|5&3ett<KaE zQsAhDCn<0$+e;_sk}LlETHyoIsi|H@NzgYA20}AOY-9GwR1(0la#Un^<EZ0iKWgip z`gkCsWuiZxfaOhvOG;Fmp7Ki$9~N2ovxe}CB~F9SGbQ@uvh69mL!;1VyP|({0v#ii z^doB8hga_|RJG0AJ*k)ZqEUsnQKh`$TkFJ7@?fh6?MTSh4Waw~>YhUPtGcz9(vw;= zMJtk8$iOiDQ_PrX@?eY`GS~(E&3%5!)a5{7!D3JLBNu7g3NKf!q3IkIINj+7=}lf; z_jLn$p=K%EAgJIi3{4Rwy~=;9?G`qmztSwV7OLrvjRdbDdogc_$!+v*A?85dJ(?nu zW_cu2bD{5b-KLSC1G4wUZ|}O>B0+CtrLSAAD018^$)~_Crp8b0Q>tLUX}f@aV1fF^ zbt7bNfifYvA1qj_y3p0^tLfOD`jGt6-Yr8EOEX*aDR3Y2&~zlEe(!%bMRTfBt~(ph zpKDCpuYO4GUo6nB>b~6^=U3SP>nKfT6VwkV;M%xuhg5qv-yo{K+D)kHCN@X-^#W$9 zhyu5mFv`o1srs*yP5DOx3m(GI19kM~nZxyOT>^0<;y3T8h>%1n3$j5li;U@DceAZW zp?UsnYt$rg@N;Hm`(=L|BGQuwO!0Rocoi2|x*eZe)d{_oqeB*C3n$o@Ph|IYHxNg4 z8}5sb7N&5=M2;++nORi~36_5ii02WQ!*jpHG>j}b%&Z;>36=pDQmj1)sl^_H#@)Jt zttojI&8m(4=PnEDet(|wjRRlrVKlRPECf99`m6Y&2VuCkyMlk%qx*2+q*4edD?cZ` z=x+8<Cox81<fLZkXKQgZCj~!|Hc<Xc2q-5%DIVlbXzo3k|Lc6pV7bSIY2nMjckv2$ zLQ%0t0H!4DmYA--wYUjqJBsjmVByTPu-)Z0UHwFH*TNLu7{!qVx0!$=L?xAj5fyvO zt1lV7USA)0>5zY(y3hf`MwM=D(F;PVPoz8<yLWro%5gj&XV&NSJMLHCtg|_}X=j~F z2UH&DC`dmbSH++9VKbO}{X&;AP^4><^Fr5#u@_t+jv7UALT5RN=xP+TpTP>c67x)= z*cdX~^Jg)qv5_V+@3wF4XRw2F#73hn4hARKMKmy~ZVG?&<8tPqaG*kd4qD*3E3prM zXnW8?i4k)y81U@%-FkaaTA(tJjv*MQMvt(dJb>hU&6rJ5(36;LVh$+eSKk}qKp_BR zes#<U_g~HD19<%9L7=XkhiptRJa_bk>AwJbF+8_NV2(yx;wS?Ejaj*q%YxSgU5I>` zm3t$5QDJ{T4<;-+cNbm`R3z$}%(37l!Em4_AIg?lQ7Yg^qC;)PZ3E30RL$m~z2Fj& z`5@US3z{876040x0SBVLNh2#m3KV6|xx>bg1?7lJCXLKlzLJPahHzjK1MLnmu!7iS zXuzen7bOMS5Uq_3b~Cg<4`QmZ!5)S(XhP&+P7Hsx3uF*ij7B-pJRpS_W;D8=aRg*1 z&M~L*Q3Q2~6_`oGs6Bc@D2d=bdN9;(wJ$kgYr$SRT6~2=82>w+Uvk<7-Um;L_XT@R z*K#)o9tK|!GYwr2pn1WVznaAd({-nx=w-6Cmo5(=FlBcdL{J={Ez#cCbvJaNi_E4v zhf05@I(z$g8oT$_>Vh|jtbiZU8Iu{~!dA-}>;(v5GGk|1D7+{cfSYJ;Tpj1fP7y@y z2O5az{3IeC6Jb=gi^7Mx32^;on9TMd87|-g(IdZ|cpQ)dOaV5an<#4NHPz{Tp>}ck z7fP1SgV}n8vtflj_3l*hCRn>%p1_SnY&CydYyT&2`RM<Pz4w5MqT9BG$w6{fNrHh4 zBB7Dgl0-$4fMgI55XrI0NKOJG0!j`Fhype_Lxa!)k|auOl4NM0ftL8H;N1T|_nz-N z@4oZyeeb+6&KUGsd(S!7s;cg)uD!Z;7131$K;x%ia5RJ%XfWFlF{x_U71cLcI&FXD zWsMqmjd!4CW8~l!+YYY~ZOlRDwhQy=h_=;Wpkb7OXj=v-m*>5x*>XU9d%)Uhs}^i* zSvxsDr;9F1+z%udG#UR2s2_0#M~{fYM-6I9zoBM_RQW3(3yR*VmiQ0}EZ1F`h^gec zIgsnpYeXAgs-9huQQ}jlt*9G}hJ1faJeQ2>>p_{A3K5f#Ehr;RK(wix$@&4=>ob`c zAgex;sTGZSf5c}=*1-+;DUL}wc4Rah=|GS`=AVSPyWVWsy?s%RKG=M9L9njut}u6k z$;|LwMxdYQU|(Ubq1Mn@R76{>>TGKpW~*CQp*b@q=3u|;)HG6Cq0?IN(ZPSd$h^yZ z)m99{@j{lMFC6E9`VKqZk^+t&Nfs6Ezreo(uKq3bhq`|nkQ1|2tNd30+#y7EqcG=B z_5W$mSpi7&`M)61{|*?pdKI(f@<LWIMAn3~FPuB9ZCDpL48ED`*&R=u#`_5jvMLJm zSNhYuJ!lOvUrnAWx3ZIm?|y%3KAZjQ{^T+_T~yIDL7v=Apc@7$x}2(E=O=O#ytTEF z0jRt+?fj%}g15FF#6pT_K@xIKGM$sqb21Q8#0HSZH_u3adZ4`5uuH#m=8i{GA4gXK z3;)~<&JvIW@`dG&N^+e?MnZ~cfXH;_x7AYghKqzZrT~}F{M9GieAR!~@iGIz8B?Ee zOhh-PoB&67gAI?~5K5tTQ52lr{bY87w{3X!$7vq_sPXWMQSLBK>aT~>-UIhof`*>w zE%#uIpL9|pc*O>Gmrv^%ffBvZs@qNBV7izvZ!IjY@SVfM)22$)?>Cd^A=|vvAE!<N zp3YWzI<_|wPB!fk?*xBEigd`Mi-d@b;qh%>Mh_lo*fF#jz{T84N4>*ZW2_%L=Ssbc zP1(s5tn?q*YSOox<jx<hbtVz%bwPSGq?xb2{mTuvVKZaUi9K<WDp;kVAvAx~pogb2 z3HTmYz1=q4o@Q>VP@OmFue?Zam@H`7HXMU?u6s#jLt0B(OH+T#!CUFU1AxNMW?qI) zQG3r7jE+@)HDA5BX2p*Q(}Y(T1K*j#+!7#JOKu$G5abZz5D`_RlBj1VFvpgdm72wz z&HTJ=*mc5U0RE<d5qYJ)$wb?3UAr^3^I6*PF!HBuYr*J&vWDFxb?VfWDfv8Q3tJ0Y zL)Vh3me(6>Qb2zhJRBWW6abuZc5}}k>R<tQ<4CSg{n31tCk#hL4#8~<hRNK(*`cLD zuxxZJ4>)7c*<EKeG)&gBvkxf(*6;ubqxd3LIyzSPRZyXIHmWH7cp&>FMU1`?yg~sG z?9Q35&a|c?mC5(5onX5%D|4s!;Jb&hr&`fPKbh4W;O>8Az#9dr66fQuEM6bB3U3>B zcCc5|vzrvnAC=4*EghB20?b$wXi5N6YYw-BS2%)39W;7&`gZHapwR)0aW)uH4~ok` zu`ei528|D3-1R`S0G=rNK=TSTD9~hqrWrI3+LGFaRe=w&3p;R}=Q%e@;9Um1kDr=u zPS9J&R78K9!FM5!4~X@}?2NSSj%98#JOK{ABjBuD%hQ>1HH-Mpe)tA)1Lwc{b&!qn zY>dFxH<=wSS3)jB?@fYvvLx4spRF4NjQoH^G9^6Rl@3w1uW0)4vkn#ZgH348o&(A0 z=D1|i$9IP+9Q=jRjcRG5uQf|I>@Uxuy|NV8$0vX1h&NfJ$5&QNAtT6JX)*}xMAg8~ z-0-XBOXOJ@$j4V{(g&izX2@5JO5zEPf%o_x%9W6u-Q!DB3vm-#V#o?t*bgybt0i`W zEt&Q4DNu#7;mKS)yCpbrHo?FIzKa4*=n#ZWk)iKiCtoGo4`6qwGA|mHbZ~APz6zZ7 zS&@I{t2Dq60=%IX4QP?@QExq9yfLR|CnJhARcjvtzL;Q7_tuQ85Bs3R%IM2`X`;9b z%B^0Lg#&si>=bhqD?e?Xbi_UWwYv=c(fpd7LLg_<`|)MH?OYBedc)p__$vOQ)e+{a zmuv`n;)obD?T&pe2kzts0;Ib7RVV-QM>KzJ!vhmbSD}|R6ydwtkd$TR<7n>6jM=;F zhnh{CQAG)p&yv`S^Z;^RbazqN4<z5*MI9BW1CewCBx&pnAoL1^U;s1+Lc6@cY8Zh_ zVu<3dWJ~=uaa3RpkQP7EQrQK-n{1av7k??sfMg;_`Zx=>4F{(Hdog|6Fve({wWNQv z-u%<<2-DTs<Z_`(*-h3~i*TXJlL4u)HcC@FzaFW_v8;`w2cKc&F`$15BaZ}qIgA{{ zC0nJ4&UBqpMD3veA@w*4<U?TO5umSzVd6ml7KVuieF5zAMJZqSF3si=Gw97Wm*_yx zvB`ZF-zVjb1bwrVH<-6!TIw;F$2)%$hItA45*R-_sAt<;q5-|b<`SC}ur=8#J1P#o zOsB$AZCiJ{k4+8jCU0@Yduyq2x_?SjT#30Isr^pNZt{b+D(t~~sp~(|X_wU^-SQP{ zwKykv;y2#h`xpEV!HY>)*MvW&{v_g`4$gI3EdH=Y<@Hxsjn12m`uvnX*8hJ=n!g86 z(SMNIEYABw?oUGgn_<V7KhmWV-)_9otaU}w4y3yP-<0VpM;0|kMsRoE$hOBF=Bt#z z3H5q((Kv8UP2{h1&^3qa04FZ+VM+igeolfXT#(c`CoRuO#&Z(9U-l{7eFr!dzit}_ zpOW-|(eU)~xzy%ddgENm{Fi?`+GnI>@p+`(xhCvfV{x9K>%8{?$a;&nQg-FFRh&+O z)yBYbf!C=OeD@p6OD9*#yAr&_SKqR{%xW=TofE8ceWrKAucc_6ozXDU&6YTHVK9GU z40mRXyvjqbdavA2>Y9;Hdl4(k#=d@)$CK*4G(#!r{84Xt3P0{FDnfru_6u41zHqz) zjXUfYmK1RR1^zjRjQ<<x4|V@EU;&9=+EV{t0W1vJafgL}s{hY}6D-+rKA--S{yzh@ zDlW~9;(%Mp!jwhDz+f0B1Rt#p(6(!6QC^`^o|IE+8|K5;3IHc?ccxNCt8!PWk)A!o zNS8Pd0p13v`s6YJP`H0_c?|@@N!x}K@Xw}E&o_T%jx}H92|SzDt<|vnViYL5Yy!{b zd}GeZY5cP}*4CZ_2ryFQJW@X}a-XtoIDp`+{OaAy3!Vi^wL*M4ux`5@7ztqkYKQa4 zMX!NuFmmTSlJGo|44-ZjSU)DO0S=IiJ}0lAN2;7hCKGazrvQJOBn=daiRP<N)(<rt zV96$3K8)it^{#j~@7S4yvhdw!5mkzZKRG0_th^ELipd`Sq=0_5^6r0^2NrDXk3<^g zAC3_B<^ydbk%qO01HnwzR+3+=yxZP6koUN~TSga*db{;8j6-=V*|dP~uI$m;CZWoV z#GxDq_v)=mUI%|Sw`ba=So2lQ-BSau3-%+qtEbcD#=Se!g~{+<*>u6@>7n^!?+qOW zKIqg|Jk}@l_^pP-y6N~mFNi6m$m=0?ccI98^ZG<4pVjB?Jw-<tRUe#VsJ^v`HGSZ% z%=LU>9|JXuy+NmSwW@}>mi5Vn;n)tF?`l0Z-!swx0S|v5Fb4!7PU{X;({rwu%S3!F z_BOFB&`U#u3EApFvNQ)F1;U3f9lvJ;X&;@<!=Mqf+9bPNmj48jFPC{4=uiQjtlQ3) zF(3PYkg)SdxaYL5(aBkO+}h~x=;M;hB=M6k684GnvCF`4MQc%~NJ~EdF1x^|jhyrK zB3FyfzZ-w^)9``5g}7gYdRtyxbk(b63wXs`w)!XgpAG(GRC$D&rayp~-YElfpS`yQ zGKY8iGGqjRK1~`;TwG5C)o&4V`>tS@+KMXT072>E;UXE{FO$FQe0;{?Vuqupeq&IH z1ZM*EkD(m{A{G^{&L!hZvM2BTZuwi@>Z0727;k@^@}RZjD#JQem8~z@bG3NTs!+pj zr*2o$J&}qJjgU&W4+scXFPIFq;N{w{ZQR`ul-{r-CO}%=^C)9?&BYJaT)@4jK5L&+ z)i-88(_emRBBj#*CnKA4E?H22-&&9C+Fd51tFR2|8r21v4UhfwN|<)jz#iQ`+kRxp z$<%+)x@pk~<u}74-Dzsw;-kO~Q$bSbDYWuhT7&xjNA0MSPgRQ>(LO#WOjBcVCrynN z`?m{PHCfB5w#r;PI}EEUYRqo`CaDq^;^)fT7=FDnHaR)L{<uZu1%|-wv6`7zQ(DWm z!|l)oQs8CmdZDXso<0fI!^6M%MoVu>IRAf(v~2#DdIdmu2nZVi(YnipuJK>=NmU&@ z{Eq2>hzk($03sM5Dgi`afma3~lmUcwi}Xpo-+B0<tJC(qEr)yW`mB{h7gCSLzv@dI zv(Ydl#XwjCJExZ`TDGHm++3gVHixuq7d+C?lO?-8ah&zHKkTz+Re_K4ms+w1|5ty& zWpDPS`+YtNn}jkne|f6EEZqU7;7h$X4>x~is7mucn8Taw&6~IvvNF8<kVHK_*@nk@ zYC<9-<bomt%U%_Zv&Ok!Teg=q&3+fW7UJIwXIgjYqsxMYP7!)rFQsR}LfEJp@G*OR zhw_fZ4FVYPK0}2~d>PWS8=kr3H%WgRh%p;m_XSG6OjH1Q-bobM(_}VmU+C%rmNkVJ zx-gInPVjb4rzPu3-Klk6|BEjI{o!i+2i|1wq49z4pGo9)iCxEw!C~9adpyF0Vm6S` zdpeU#X3()@rj<|C{dE52R6;$<wfzbHqLEDVEioC}xeY%x*k<mE96b{fe-nRR*FWGd z8c(rc=74$0zTOeG-RXe&%)X8Y+tz@1_r!TvD|kgPPcAFh!Ly}DV>Y&PN$t3)TypD< zpXrLfA+GJ0@h>N1?&PcKZ}2Y{VeZ7ASdxW~MN%xBIJh;juYU`3fkM2S<J!xz>vNc8 zmXxKwWKWF5ux;nA|J2x+yBmLp?f0<bW|~~mgpMUqEKE6I-m=@bhPenqyeHx+iyLTL z)eA#W3C|C<e@glNb|aJZDMr}^@GFhW>Q6W2Gap}ixz~Mg(v`1Ei+I-bd2_loW<}EF zeQ9F=4Dy`e<z7jNKTENHk{yOU+_n<GG<6UK#T&UxoM(6s+NHC3Sh{~K^2M<IjW}_l z1exmk)xJU5$<;M*e)rqmpi{%=uKr()M`DOP<_oqF;%naQ%R=3I(Y;}ft9~J{HJ}0= zIds|_<?_H5@0(2t^MF2k3JzOC8*eJqTa=8>)J+llAith@FJuP171lt7iIp)A-}Gij zvDP6er+25Or96PrcC~+~-a;OXJFd4F0riL8NI_7)zZIKq0qE7YW7EO7&2?6(b}+6> zsvV5aSYnm>3ib!2DuGgYwILj4#{{gH4{UQ(99$uXDYz<_m~;y6zJ@JqyiR|a^-eZM zY2{?W#E+RkgPYa3uhzxG0Qy3H`P*?9Q%93W02Z5f5KhIE%vyhe3b2nqnn)wS7TqRA z@3_#Ss^+gXyQ{_5`_-i64d2tUeg9GH$KYj~=P{xccT)_msuson3;u`T71u3Yy+5Y@ zB;ubAR<>EW-t}`D^;g(IJ-6!d9kD;w|4Ev^2RDj6(3&<?{X_0gLjIfKY1$7T$CKXW z4Qr-&N8TD3^mcz%+V-%#p@5l<nz!%de{IXyVVJCcZG`{z$hoWHExT8&f31Y?%$@Ra zgOXG7sQDD7ZD<f@jaB^7e%2W!a7G1CoKdFd)Z#hCb55N{v7b|i=ak?X)pQ=^cuuXJ zQ@rQYd6W=9#YWAGu%fWl7bGU~e`=DQc60u2xP5VE4=8_vO)2kI3jjs%mwU2ZN@E-3 zUE^hwW8G#`=COu#0{p=Nv%?OgBfn}Z>RKwi9M)r<1e|TF!Vl9ohaD(J7^^GlT%+cL zZI@bc{4Qou;bY8R$ddPk;~w0+!%p-s@b7>dgntA5q3)jsR9v!%Q2r~{*)&9!tuW_r zbblE9_dtJVA0W}^|AIvSJ7C;6CkFoLh3vx+St4*_mA2(989N+-<7UBSkLjqcAMciC zyzj#&D^zq*^UU4@#&A-lZ8%uQ#`_wbU9y~KCIl~8-jf|nb%;^reqF)>ULx!WCj>7M zc7zjymk2wQJqHAUNEezjPWkNeVTS-)K%~DeDfr;v*Ci`|s#VAvK$i@xtLHxvgN1L0 z^4aCbjuCX;I8guIYqWYYo1}5gln=aOd9Tsq$t=g6cwbh>S`l%8ba*nm0gysBx2Axe z|C8AUVD<1FYp(*NtR#3L^fiRwY?;hw_3ykY0(`nuhYGMEpXfW7Bp+N2p0pHLk+N<3 zrql!e<Dr*-3QmUIn2+G(?NS9Nm2S*C5&O$;OPT$3yD>E)#{%F?<JgpCGV3vEPg$V7 zm$Iy4J;o1St~9jGD@n77Mm<b^w*kD5$6cRQr&xw=5WmsC2H}1^%@Uxn{fQFN__!@5 z_C|~WCi5h0o~P(Eb^6tjn;P-aJ8G-Ojo9_$+kTsWTrLMw>I;~LO!AQ_r*WT~6H}=U z>w#&F`*Q$^1jx8wm<INaWgjR@al^vip@!PDPl?N8BLB<mRqV>}f1A)RvErl7YyESA zOM}<*!omF|>zu}YLCd{{%w~s-Apct{KAQ$s(}$$=>Ohb7z<CLo#UAQUa4=J_*`WLm zSdepn99ytI{Q=EVxUJu2)ucsPet;@85H)|SIqhS9TZ)%zrhE^l$3*?E-==-%QP?~e ze3^Jo;OPN}-TKF|;fd9vFR9~W^z8NZ&=pTdLo4KAV8NVB_V?I*Els4)A?oRF-)tj? zR~^dHol0<n`87;%hxs*oYlDB<WK24kPua|W=cP<6YZusj;`1gwcFWRVVY~H<Op8B~ z($b%2sx|sBY`)=mV8+>QDYDH^VSB?eZ<IZviQ{K=3Z{D~rQG5;_t&X1u#kh((e0f# z!_lW<^NX$zzAaW)5So$xW~-{a$<NX2WE<V$c+X!j-^o`?Z3erN*0S{mc<I~@o#S(V zy@1r6r;iot<zX>R(voNt1w{7&(JUZ{0R+)57m!T90KqpNmds2*zyS#S)Mh-rftLXw ztObOFz^eif+XCWVK)k<|f4GQl-{QyYXwG=51PpspTF3b0v}`?|a--t)5y^49Hzf&P zr=0QB)RXY1ygrtiRT7qg=g07u|Dfo9_y0|vy88d$L;>D>s~(;~<j0E9KASq_&**(u zTf*cbV{B%t*f-TaT?O^P#tR1zmiV%S!W+pC9xbV4357M%9B?j?WedHKWk0(|IA1|M zxRKJE7gNzUatBK0NBN+A|4whj1!N|1=-j1>7H9A79ewaRrQ3rdrz0B;i3}QlhS<YI zzZvX}`e*;^YZ<Bj+$+?AnLakN&1O9fyOyXc;m^U%k{R5x^%DF@KgrE_5;bxBPRw$W z4h9Yt7hr)1;M4l})$!h01O7D{aj636uwmkuB*uR>Mtahfm@U@zfADv^T4G2CsqKt& zKvk^NWA3jjkL(<{6*C*JD-ZmCgr0njDc;JpS!3^Y&b2*WlH7~4uItx^rgWZcea0Ym zb8P|1XiVPkelbAuko^j@HsyFO?g#O=xV$d`M`i~&zx#F8*aKe4-tl+4eu&)6r+US1 zj5yiyv61VJ6SO|XPL^l=Qci{k9K|vl&nqXF1{_r~8?PxR1JOy$#<R+QOl1K_dCbPY zl$iic7_;$)G83SwWj3BsW&$)R%*M;gi$cJWktwtV7=_I}HjINcKLF@rMwlRNLN?PW z>#M8~plV84pJRmp)l!OpH*y!=T4m+qgT#!^7?w5ETNtdNi+(cH&((r`nFfpJV1J=u zZtSdIKbHdf$%eTV(06%%A>V+WaI>1%13PR7*{r?=dfm-xPQb5~PC0vl@s{;-rJ&BZ ze(ne8(;MbqgI>i4*#<6O$_uG<=Dm@jpikb8B{L6q?Djy%?pP2vanyi^u4Uo$tF>AG zT-ifYLpwiVu6S>2HO}1+X^JbXw<EPvwd@*dk~Yfk!3^F@3H(TZXIxf`cFR|)9pId_ ziT@Y;55YZ5TZ=dTnEI25e>(W?a*v_!zhh0Kex%1~5ByIf|C4Z!RIb}%>(C!^e-iTF z3@@^3*6!q8nX~~`EJm(&K(Ki9c@Q*dyp6%oktC`pscm>Q*!+_v+}&Hlu7bZ(jqDr? zI>Vm7YkS;fzFJ{_$!I7LT{QAWAC#CFTfv7)M<u}rR9V7z!KYUN5qw?zl?IH4CER7B z&-LvZ>d(p2b5iA;6apVN1(4O}WX?HB_80OzQl{ygtUo7R0dlNh^dMX%<yc=erAECe zSN0SVU1YlWB&5#uW(x!;%!*lFz6xm@ZZh&%-1+iw?C7$8oxc=SbWzd7Sk9L;gUw;a z5Zj{3F{T*<=BhpY>dFwqL(vIGL*V>vWV<-r_?@S+QA2^9v`-li6OZF}?asfN|3K3e z|IfMqOtaAR2)eX3;PZ#~j#~*!fcK8Oztu)oR)x!1UCg`m^s;Rhyk*kH?uOZoN6u8j z^78UDfz%3rv{wwAVYfS+@4Ki?OioVH&EBPt_R|`w^KP<*x%S9R*Yx^r>>rEtN>%tb z6xG2t8~lBSo<Dy4SSRt|i?zP~!IqUUl*pg{hy-hfRzMLWs9tOmHxV{c`3hm#(37aT zW?0ZB&NO8sg)bjg6)k{DML+@_38rxx*?d{C254q~)Ci&^(3G$V&;QbqAXWx_h)P6J zHM0jn@dC(CzB)fhgv`*1baoo3kp?Dk97th#(bL;UF>`yC4syqnK^tR*pFfWmEy(Rk z)z5OGLs4YSi^0A4nPjrWzT#MZ^gGmaP%lm<r7VRnFP0vih~jH*!ZoFAB=_aP(xThE z8XHZ2>C|_XonJ^r!NghHG}S9f3S~W&n4GMgxSTE<k!_G{;5bk@5EtX_6IBuBHxHr2 zQM^vJPS>mPCN50SPLQP$?i1|ez=*AzC!38CF(@8X2a3g$)|1l{&r{lyr5aZnzh2n$ zs*_ALr8KKFg|t}j$Zm9Frr5{WjfCBM156En;ki~ray7OhOhxYf%Esl76`{NR<<YXg za+ax&zbO&_?p?dXbX>q=F!g~4op|~!X=Y+oDL8G(f@6iO-_S&KG*Xr{M<^kus^M7# zogc5F(WI1#=wPHQT}~i%!>Uqi+L9~BWt@H=6VZvt4DuZH*Exp`&qC;At^-PZ6VV@k zkr|Af4%lE5(ay*W8cw#L@cL)vbTZ6}>|9DzKK&QDywxJAYnAJteWsHUQk+05H#|$D zYq+8)O%UhR@5$vY93iU`#kQYAs)l(@?d-1Z*{{gutshYhQ}^z_#^tR9w!AcZB26fA z)Du*^$D(gY_1nsSy)HASq?NYh&M_N*23=LsN?)SjnC0;7iO##-pDKq@dW5NGQibJI zg+zSvK}4^lE!lI-PBknk?W$p7shu}XwsT_AmL{(pNb`9Aj28FE*$0Jf(N+@uhw@)3 z0*0b5r#<^Xy+vLBODRST6DVP(fvIJ(pH*bPK%=`K#-vke+v3=^LbRZC;-u|=scFk~ zz!@Ri!hscK)VbZCEKkoG6lbBy%ysY}B8TGi7Nd?-KQBj=_|cBu8<!l%uZuim=w5S8 zL#_h@-`iLFx!?uuk&SfLwf8VO)I;wjYl45jlInudTL1PfFN>C4%ZWX5ITD__eyTE; z7@YcsN=#))V!298^~Yw)NdcvQ>M7-6DUWE+@y~KQE2Zah0~&SPH<psZV?SP%cC#7& zWcTFf5@X`;XZgNv_nv#}(-&d-J3SUu0}OvR2^>wkoI*|uO|?{KtyEF|OVa%0U-T=0 z(3lClR5N!$$&s#KmBtzk1xm=lH#hDdjO0zO2$x3Q6;IV078dJ?NwiFVZNE$3-dN)7 zv+CwYX3;f4gYA#m<$L)%b2M||?Wx)E{n%qv(td-{)N{yQIy1B0MXB}%j|Sg$xPx6f zi&RfcQKAL14ld@hMgXZah%ItnJx0Xr#<dqdI!-Gt&Hns(C{K0sutcV{!dB;bLsMzT zjwIRueHp!ix9F%)m{Q$;_AReD?&LL>(7~l6y3q!*{tq!etpk>-LK`y$UQJ&@i1@Q{ zRh~r%MWl!+GASmOwR~DZ7fRL_QcCHEr+;o$8#d!w{gUABAG5KO=&(Gz^ljRy2+3B2 zUqV=dTO#Q6yvWDVKumF3scD&cL20_(^eADHm+x{;?l!)f?exrl+QPnL?Q}cgNy1Fy zFR~W#lMtnVOe6WP&?h!fq>Afwm78l7GWLjPVH{m}`E)_O#C>&zQB7jHjf-XfgKBc! zh#+}?W^0ln*Qedtr@IQC_NhlBb)QxAORR<xEteS`I5tc~P1<mTcDT}m?9vU#(!P{$ z&VBe@rf!+=`9s2g@ygTua95NhOWLj(@)KXzK$FDJOLCQrrS9<0N(a_lQX=1m?^KE> zRXjMIe`-zm^ZB>ouce)sI5iKC(a<4|!5i)m%+&c(JhI)fgP)N>{^TpL&K+wsbWmJi zEv{mQ{N$Zfa6l3?SeZ-1tFb4uCyGcV?dNF`-N8clqf}jg<&_x|nF(arK~wPYbl{0& zz-d+H={WLqec^Nu6Hs^9G<h^Fc;d))S~YySjykQ}2^c?U8ai=IJU#3UaJtYW5^9=Z zK?juxoleRiD`c#Q@>e$aHchkM$QM`Vy1wY}`Fl)-v&E;0QKnEhu<t}OAC}(y)kLx2 z;6+E)HQus+EW5<hUg>60(giP?7`1h=vxV#xvW&OKG;ks--7SQ=;Oi5kDlT?Dki8t1 zaYRhR6{LroMQ;~8ePYzr#m*X1`6zaMwml=!qOKNhJwN&ovRBSB-W?-z9q9qH*zAJK zOpN-t*r`MIidn|HVj8X@t!m&^3!_sGYXY~$$733Qc#wO}7LMQGev^74jf?%g&r+Rg zVeAp;)_(E{PQ!0w74BreWsNQ#Fe&B->&O;qZ<Mg5FNI&(#)SzFd~L$|Mlp3e=M2fe z3Hv6%bMQgd{Vql&-Rq0=XSal-2a`xK^eSqkxg^LGw~5@J^oSEnh~7ahHuE8RgEDcU z6#f@~j%0xoT^$P6>=-<a*F@@%KS7S{WPc31n5*(mOWq+LnHt(^5dL5GcX?}0R>y!X zfLL-?YXV;H#0qPFxg^<hI(V=&vE3rpyDZ|_J(s)DlybyyU?b@L*>hd~^t!<>lfx%k z<*P#rGk%|thmYg-i`X^|Wu~gU>m7GJr&qRr8i(foSBb6n;tgLJrt2^DGh{-kPVj_s zr0cKMH*7eFdtLW@Qca6lymcD+Hdq~zwmti)MWIE<>?o=BbRrQI<aGUFcn^24>2Wue zZh-UB_w6HwX~ayF5M{bfMpLKMJ)?!+eLwvZj@*Bs^Hf)6#h0IQF<+7mW=GFrt}&&5 zu*may$vrnN5Db}GR9cD@)Ml68+-lh&U>f5NnTk=+S)Ma~Hb?EvMX8e?=bC+q1LMK+ zJXLl$^s@X?k;I^&-%B#Fu)b910tN3-GJ9Hi$FJFA7#F6?4Duz&Y_(-eK~c#NlV}Cw zAIf!oZ>hb-DBV@q7vwDzmJ%ffWf}&5L)%09x||EFy(7tDsIrr1HA*n%OexgzLhaeI z%SwWx!XYLR3dcW`Yx~|)d&^Sps<Y3?*HC*4Qc^r*-;mdmU-~H_D&hB*OqC+rdbY0* zlPxGJ5RwtA&^kb7{LGs~hGE+4eH&yIhiklC^1xiYb+>)4qbrK=<Z44bI^F|+B1jdo z8cpSW!{oO^LT%1+f?t5f=ZCzq`%#R`he5N)?`LgGo+UOyxTjQj8@Hl5F*0&n4v)5q zbhSThQbxgEM{U;UNc!n&)Te9vZZg;pz=+xm@3&Ez^b7haYt+Bf{=64OxjY^`8}r^g zMt3mJkt}K?A!@%qN9$lX%TwTgqvteV?R!VkDBXmpM3a62KUa<V*V?`)hRFd4VO!Vz zws4bv5kCu!`ZwCX^9&6?AOvmE_uG&r{ak*28uhQVeP<bte~b{e?c8t6H|dA^*=tBA zYy19Uz#ljziLy?J5~??MI@pxkdiZGTgD$zMDIL8!7lWx+8yYhtx264m2wr%9%koV` zcZKWXfya!ve_~yXX<}21V{L_r;YriMaBZd0FPB`mpl=gB_BB~UIosT3<2U$dD~%^; zs^O;2v{eREzA*P%=7UJ#%#7#jZgfw#UHu0rhKst3?WWzzjU|ken#$4jwfw)>o2P@D z@B_$Bh>pZO8L)ilWRxj?!t@1{IDqJc{)iN7fmTLQA=qE&1_j`rlAn+sUG<dlWc3vA zq^Ks0b#-;RU46-u-}9y?wdWO2oNB0E;9=ll5b}j`uyUYskn)T0;PAlkpzs$ET*nK; zsBT1RU=@xd>C}aKF;8Z6C2GBSJQ#+vNis!RFXDOI^Ah$MS`+nu0d*bKhEhUZMJT^e z$783ICHLj_<iJv+@zB0#1S+_hI7k4CgO>h++e^4fHAN*&TrcFwj<!Qbq8boo%|3zq z1e>^1to6*EH?RcgE!12yGAItW<Xf3k194qP-07thXy7Ty2|jio)%4;Nw~@$K3|j(x zsR;#|5;WoXvmLR2VD-@=sC<NaU?xEmg+Jbr1XdWGi&Ac;3TDTHlKA8JLa_p9Ka>w* z|HUTJG+`s1FEQ2wZI3cUI0iZ5PLns1`d-Bnp?6WM%_Bk6I8BuP6i2*R26Pt+hS&_8 zCN3cez&W8lqQP3+%-AsMqrT)N>vT&ofY_8UHe=&+PiMY=)FzMg<g!jvE1w{qDM=%a zuPjy?U4wFMwhr#a%OpJ!z>=evQ3K8SLF%}sq>Xq-%;*JFS95ZZ5U#R1Z0fCN?aoHa zK)T5gamf<i2KfeoTCgpG6~WrPj#zINLrtM7QS{Z9Cy0y)9Vm(kbYB<-8V30UmZ36G zY^V(sc{Ou?HD5K2G@<mRG<<bDbpmyqIN~^b$P4Qi5F$r{V&aj&{NVh++<Ym=d(x9$ z8u*zTm<K@P3E0rwUsJoa@8yJVj6P}zO8za?ChxL^!{wv<Z7TY8^p4SzD~&hgf#7AP z1A~yM$k)-X#!JjJ-ck<)H#X&`qxuXrU7Vpz2WlaIQ!fF|Xvv4h`zpY#%0naiRsoKk z$v{B9M5&|gr(pTr5R;hK(LQy3KAe~bZ;a9w<Ts=Hx&V<7lL3#s5FnB<Uc#sG76uZ` z$g@ZFkpUcx>EK>SM%?S@x|%*DC&mbfTb9p^=qm#_U8V!=kPKj@>Z<#!IWcZ;j3$1D zHd1GQ=jY7NstIB~kjPxR&IEJIE>T>H<gTd>CM%-l*q2`3`%QqvNk(1NyXRCbwdiab z&ebg$3cQlJGB5DWGya&VDbbAX%n@z*Mr#w2s`8%ZZsNVG6WW|HS#R$yy}dl2fn;83 zC!%jBs)L_6JY;AOtA@)t*lC#A6)-bjL59_Tz|CCjs+pNNk$0=%2$zPdlcRTTi+9FQ zEb6^EkPuPx<9;to|A_s<N2<`laQPJlj@|562O9VO1^+`Z^4|Yc@=p#Y-t$Xi_;&(f z-uQ#+lK)ije+r&R173!IO8;MgC*A-Xed7^3u%Qyh?`5?gGgfBwH@6I*=<APq>v%qY z_V*MQglT}C3hLcZlF*_Ng8nb>0UyA`SxgyPbV{8QNS*y<*MQ?P4$TF_Udo)n`=F;e zV;3*bKv)1rN<)PUfK)lSASpzBMlzj~Oy{H!We(zuJdaGiK(h}z(sM`LpEdtlWAuQT zV-|4Uq14W;nxo!e$)ELQw@OY_lt<owY;`jv;*$d4LL+>_TZW+|XQ2V)IUHc#(`$-G ztbpTJoN%JAJW-19Bmwg2I=5tj8$-ugEND6^Ic90&K=UcOQ1W=@o9>Gk=bY7`0w^US zOHXt-vY07niJCk@X)bMvh$BkeSC9YlrXu8mX8DYQc|QSHt-fzY4h1_)^bN^>e%0Bo zOOZtFIrr7vK1mEH<;;NZ-vfNxwgYQRv(=9j{B}A&tG^8K8Jn#pXzFVdCp)a^-GkJA zAF6f~HySnAHT@hZU$Hv7eVXxnWRHV>LALCp|Hq}7kBt5u0%Kx3Dz=P?%~o1|r-@m; zP$oB30n%RUL?1a8-oZN+rW$pB1-ClO9Nq}@e01P<Ev(oOU=!NOv4M#9#83#nba%0^ zSkSYEh)Wt8rAi3^#xPl+xI0}CSzEoJ`l@SnrM>-Ww5@Bt6==W~mo;Eqf6k6g+;{n$ zYPk8;&e?v$RyA`$90{>KSc~1|89NfGnDdRg*=vFDub1v+=4iVq#;n_at%j>kwAh@` z?EkK)ldQ_DgsJk`<<3_t%>#&&Ap!UY@bBgn-dwk^TI`<P9M)fOE_R%G=8bTplVGID ztLe23HH?FTTP507Z_|;_r@GmP6P-(iaSMdBnj>ev9kvzk=6t4WU@%$7U-ivOCaV{~ z`@OsC5eQR6A+16m6^1K+_3wz*6S||8_4P4F5!~JxVYA7SXg9PrnjZbsiBg(}FFM1N zQK?sJ%S!!xRWGEi%uyowX1LW`cjj`-N|$~QX%Iqapk5Z}E^!$^zG)W`mEmW6=*I6Y z??Orwhx~CPXm$2_otD#qaMe@g6B(BDM8ZCp*cTk{hmRTWKzT2JWUZE#;vAT4<%$b$ z<?eZ6HKUW$N_>iGV>c(&_AEsF-;X5T4BMn>M~B#DOB)O`HnC5oeUu0qn3ZQeoqNok z>h(=vFl2g?$FpOQe?^DQt<+0S{8A~8{$Q~*>M1dSK4oc#{vdo}^C>aW&uiaY^asl) z7M~J_vS!e4w!A)n+H}<~aj7B`y8RO&CoXFgRNd|0ANng=<Aeg;lp~Om)Hfk8Lm1$b zlJi-;JY?~>;Uft}tjFH%)2ZmbJ5A_D^O@cQD!Hglht-0c%a@o|IOP*H&3+6B4(f#P z`pVpe!qNFh){wR`Ej?_4;2WCkFK8~Kf;y6#Q1<a#0fFv+#h;|0B^s~|yC!GT<2v_6 zhL4$JN2$6`6t-j00X4i0x+|wcg_v2g)@94n+0tUzbNpm?Ri;FslcIV2DZ2ACu<J+L z>2_)PW)LPPWvSFHfj0R=Y7+NIiHpUjr!^-eki822krJ52G<|zdi96Hf?bmyFkm1+K zuB=*2weSajUiW?CnLph#I;bsCRfya^_5TSRQ4XLf28|$SdO)KF8n?>oqb6}fqmyZ2 z&~Si87Bmph2m;15K|t`-F%>ZCmeF0Ohfe|HV+|UxTLvb{01X>xHbC<b$QcmEf4ZN1 zY8dj-i`R+5Ad>Iog46ZlcnD%Bz;gD2e^*}UV}a*?7erCDc0|>*Oe+ki2Sx?DFZi6Z zP2%UD1&gbG*K#tfE`Qdnz8CR1Eg!XaWjnAS>x-=6+k6x$SFJ+C59)<v(NW_{dp5<y z&{a&Kc2~=;>5BQj>Y&`<1qdy}%+e6nG~3N^KX~!mDXQXSiMEh)^r)cGq;7liadK_* z9_Oonrta$Rgq5_0kHfAe1MP{(O3ISr2uBhBIlS?RJN|yU)y<WhFUDWeP?)!e5q+2M zqne<YV3;7BxHLhUMl=#66(sdyJoqqZJkYk;9>L?p?&Rns<it2ZH-SIFnugnpb4Yd3 z%I@OS6)U@E%@o>DCy0}-(|`EIeS-}A%^m!I#oe#D0nyN`@1*WT<pgsglEybmjIp-4 zh+Ev-d5Kxv7WI-sHYF2|n0_Ba3$c+Mlht_(H+zb2ZHMj;T?l0^>Yu&)$#UlLO=xCo z2ZfnzxW9y%2R@7th6^Kt;pHPLP_ZaV)E=r4<$=2FWaxBZf_Q@YuYT2k4dotCnaCM` zjxZcNaPoejcBsL6<6yh&TSbe27k-`}Iy1fp`|?c+UXC$-{tGla{I(})-V5#t@)%nb zJ#~>MGo&(5>rVNs{lr@$HQavQ#+X$u#thfe;RR{AaH*pEg4#UtGitUuWI7QVC*nQR zb@Xh%*nT}-mEZD*PI*zeXEV;&_9w%C#ubikgle!)nNfLU7gDcu*R9A24&DgywiMR- zdWWfyGTV+(M2XxfTbpF}yLn#3iQu4ki1$-rtq_;&6zY|XwudywMg_(smZfiba!SaG zsFZUJfdI@_W-Ikd3cxlTJNlBicL1R#f*4m4_a312ne3QWJ{^q6KkcW;b^`-{oU%*5 zY*pxgr|+K(O$pUN@>@lHtJd%$asLJcI0z0(hm2VZYbok7J-;Ns4u(dPRb0qU0c1;S zP*09Q@#uG)lE33@Nj}V$_!fO5|8Oj4+gef|DT}T+$%^c&U&5d6XBVZBypp2vAsG5> zh@ADJYQc{w5GtsD^_lmnw>U+AN1>jFQ~CYW5CR>R6jKxFW2M5t0n_izmUcSZ&&8m} zN}KeMCqX`5;q<)>97Cd0rYaE{vP0Ln`XqRaZttlSOFhgo3(j$TM02B0LYVvD8iN^L z3|&wT><p0Q_P!1Rb3vS`+99N(u@q4m_m$q>nR}bH6b}NA36%EVb3V*}f=hGX0tpL! z4L23u7J|#l#7ZL|;jFFUb{_;(f^%HKoO-nM>93=12DZ;;-QxmtE6Hh|=O&MeJ<A=D zwe$)ED5;|M&VVmj&yvpoil~&ch27*Hy8(tO1aT&6f94fZ8xW5YEjoQ&dpc27BKO|L zk2}3HGu|pf)c%A`L?<nOZ&L5Q%}(<5{uT(WjRE@#tw6mAAS$pelu$L~`ceKnb>`X3 zfKtkE_^tky{7BIvD))UdUGo{O^v}vsRfRL1Pov0o>9d_IZ~Y7{<<t(muOe=<s`kcS zffCD`jNiC&I(}az#WLzs4C9*uao%2fUFL2fO?!-O)$DzhuwNH{5~T!Lcwy4YiBcH> zH~~~Alt%(sX7mV32UXH+8WcbnfP2DnbP214=0e3G*aM+J5pP0zLU<&C<wD1yc2VxA z3X~X%QKF|Cv4FBd!BGg594Zini#mBixIw%@#)i*E!A8hN%7)8E$wtI>femkppq@uB z7((nwG=*1x-IEW0J&dw%9uKO*cchxat-tEYh3-Kupe#|j2<5=!pyU@!!Aya11nju7 zq`nf^o9Jv*C`zH3ta%4P9887BPEv`&Z{BGpl4h!hdP31G=th)Za~XmwXrHJTZ<BC} zuAbNvhIT-`L(w%OgX8eo$z+LGbT+C7eC~Zd+=43XaG}|M5dk=-Y)4FJX!FR65~5Rv zBTDRbbTo>oxi>fyA4=v=bR>%9K_{T95b7`3iT~R!px#~R`C^3m@`@^rynh;@8<^Y4 z3XA#Lnf@WPleOf4)x?<wD~~2b?I0EddkHh~Wtn{$u@BLX2<sQ>#F<30489kz56}## z=@&x8rbLZ@^uDALg%kR(3MTX)6-;dXw_Yd(-q7#r+8g9Oa2F(>W{n6pvXhlvK+LA& z6-%aS*EHXox8+Zt#3m%ot6ONE*xdnJy&jqwbpc>2;9jnDRj2b0V8~<mW^p5V?)~-O znd#iUlMv*6mF*@XK&O5dI|a00XR!-FOZN<0*UXTAN(CcC{D9bZV2dsQXvKrA=|CWh z445UE7SJVt7+*Ai34j>WWgv?!n59H2M;eyQ!X?mQ8m8#A(Q{kP;B^yk<n~0mOIrE0 zfOy)4nt?OS3cxDPS|LD-)fHrw=oV_E<|S<IpXA)=bgk+IH$+TL+NMJ<8Ff+jo|Dl! zEsO4dX?Q{sMkxNSo*rk+%`C;sGp}fELLT0IXmG2sNNUIWt0D*8gx>y3&(}6N%w1Mr zzg|t4(4)GY<Z9u!F!~fSY#6KRY|$|9onH&jaIs5aUQOo7D1RC)u{-0z^Ile3`6E>? z^hFbK0PzXq5e3#3&5PDW2_c$-ns5RrPsopdc(8P6Bq|Qv&2UNxPVr7I9noQx(cb8@ zD|}95EQ`NTE>0q7J@gaw74#i6j#I!FynXzA!Z_SGqBy)bf;b#1Vk&$pLMmKGyeaz6 zh}2*Rz9a4wZ9RjhEm|C9j~EZEBHqNAqN%6zv_`w2Z=w59xy>pFmF8$fbhC0$98M;G zfh>#fMXUx|9?gLwMEynuBZvd32n^A<D6c2@8DvxJ_3WOMo~CG7)K3&0!Y9}|a361z zbPB&-$`gWqh$cnNA&`M_gzWgSkJyjn572V~VZYQ-(4bSC6B(=!D&R#4t|`R{AC?uZ zf?`4ly~ren68keAkz;MpS|~1rV{j&a4wTZL{D>P%gKkBIH&X?%<4jZellih^P0%u^ zIs`0mlVBRRk=2(O`xu>yGHiwfJK{}~G~)WoU?tHNC`fZjuql4i1%JY$TUcYX4{9I5 zhhTpJd?6B?Tzol*Oyby`!RJ!Iz|cA<?p@(4Kuuoz>Hu9hJ;T5hC`4e2Bg^i8%Z4>V z-$s=otOI)qP8ofPux@A@lolfYMG1i^?g<6f1#O8^K_tJ(9YnS$uFMt4ue5$TKrt9M zrz6svZJY$EuU6w%%T%*g69MBM#xy+L;PDrqnu`#Ls0&VfPAY#raDxHkCP+~J3$9>S z)O}PWiWIelaz+uM$<ghonC4x76j)7(I=wlNxE6mf`tZGMJ?+Q1Zl#s00d&;r206f< z{od!Un!zmy6WdP5*M+Fxu8z_+ku*7t)Mh_kd&vz#cv{|HCnllM4Zp8KNuLu4!oeZW za1?<f{nM3|cl1ENC^X_z>-+2DBs36~gd{sYMQH+nt-G=k53=j$tkgMwyT*Oa=3iM! z1lja+whsIq0AP6)C!~ObMI@rM<2t}jhD4|U%daClkL5Uz?Y**+0LC_ioW+)fMEC$} zx5vo2!FogB9jjtP0_Q`6(l}~ocR*~)F&k#_<MnO%uL>^!5giwK)J>a?6Zx-03tR&4 zHcCaqBZrhkJg}|tCD9*$U2}qi3#2IJ#2JUA`h7W~DCOex%H!z-sB@mR9=7Miq%To( zM2XkK_)}&S!=rk&%4ZtPHG}B{=uLa>4T>;|N%qgoK4gkKu$+H?J#}7hdQ#@<Y8|B8 z`3G&l%iQ}a^*?0HPBbvmL;J19+n%2HASQD^Vn*ydf4mNmg?2Q5_1FK->``}dRmFG? z-nHyN=FXOWuOusEs)(RXo4eK4@qO#oyBhCmpPgH6BK|Ks>3gxlH?*YZkk4*cwhK6Z zF`6=fh;xI$w})FV*{^~s@?KEI4}u!ED|?Dy_wqd3<Fs5fJEkQj9OAxz6}j{B{FoPT zIuV~QH_}!X=B3krefARkLg=LzwD)Zrh2K_tD1715C49E@csebX<hif(Cpzw)I;<u- ze|^D!^!~$#X0B;(&9Ch}Bk+{)yy*EXP-}J4$Mq3b?NBu?gNyYo5T2G69otErHcSLw z>8GO8;_p$S)56-b#3)gz33AMG=#9PgwAnaJl0^t~$3s7VkbnQy!JRZOaj7lqW!lSG zEDKle#f10-O_u9wj}>l(l5#$c?}@A_xW{FpbaLO_W?6tCX$s|OQ@Xh#TvM=g!?Y(d zSmajltt5t;0vs-ACganqx43QeGoquMw+HUaiAx7>Ct21MKy3XtgujJZYOJ`p#rhe9 zTU|(`bZLKosnwcqb&XM!w_rLlFTIv`vi!@Zff@1>2#n}-yh)0$h3J<I7NWM43{mM; zJ#CWQl*0rAo3dP!^<4AQ66{(skKm?|tA%dIw*NwVani!E#pI}1sPL)%+qXP|$jv0c zI071ENe6xMTvaz<@^7^*V29q#x*UmvrtqQjZU0q&&{*bGvovvP8O2VEfrb|}w?K1- zNrUD(Xl{ds2QZmEK)MmDsID!kGxHQM<JN%Tda8K4@${06Oxgz5Y`6uUL~^{&hH}UN zLNSd}`|jf!_DhPg)I$_8-SmYMixT~u91j!*Kh~pF(mNx^9GZS;^iup=3HaAz35K)L z1l|OH%YUplxn3NbDcQr^)doG(zlz<1I7vBCR0~KD786zB>INDH^C1{e#i+-qBorg+ z7fKM-gHl6@Rx?h}OyEwiN#hzNMXuH`c-q|^_NeNDSB@+1R7{?v<li;g!4@0}6|Os^ zTG&^`3GxeRJ3@ZR?|MLdZT_vk6|1JGzA$lr*@(0l2S!+hS4B{T10nto1!hOW7=~8Y z*YsgjG4{yIiN8J~@?ACmcvHiN2k@qpuaE4`u6yG?fRpKlPOF;pR3@jh4PEn-iu^Dt z>vmT=0n>dg$^5YIo#xikNJ0)@qlDXIZRy(Qv?bfVOLk57Pb5F0*Yuh9dB$(B7Djo0 zIU6j<*M(~6n!Kv?$kyi=3}wnr6fB34xc>^Z4khcR%vQTJs8{8Z?I+KjE*Imj!ZZd6 zc`i}_cbE}sS17O`5*zL|e%3_2@(Lhp$ky5A*Fo}^{CJo~9r^RmT}IF9s0%x?+7EPL z1yxkpl|Z)BS$U}t4c_3(tC_~nkko~LJpjwaG{zG$_Ef{-IG1dlMP3JxmB6x%<qO<_ zC9E1f8=)@zp4IMMMAl879R=hasqWk07zA?+36?99Q~=}wG1Hhxhyj?Rfoz>YzI#q6 zk6-!3g|;i63+0{5@1-9vq@2|Dm15=~$8b9_`>p3)4L4O@dEu>gx&G@PN!q@DG7~B- zzUQQ;8zEN8Kl6l2k{~`1oFjhbgK{h$(L_b&6Dfs*ygDgZg>m1=V4+`s9i{OwjSMWp z0Q^E!<r#jF{^MDnBx(ipaa2*_AkM}_uXE;^>5CG8IO!YQyk}mLn-|O@&C6|YodH<E zryAuC!4-_idIpq~=Cd<${n8(Qou~ru#`%fYcH}~M9<r@x@U!es24F`Q)vkLFO&ZL? zd(%}gg>@gkT4WmR6^;+8*3_o2QB}QT9+y{pQdPq|Zm^d+;9#e6yE2q{+$7e1xxF&c z!mtKzKkr@TVPV(-x1SiL1X3kJ7}*X7mvr*`KdI&Frr#}*j4^S)+^`~l>OEwGDIEA0 z@n1{Srr%6_|9ANR2MNjkPsLsq^XR{(CVL`H+^OjQtM@FJEwJAolEwKw|NFZCOT^@B z&$S(00OtaZE3s|3dis*i$mjStKZkgrQ6^kVIEib_>z1Qy{5ax3J_IT2L)gD}pN8#l zO+e9z6>wJ-@i|&7GW|k-fibS<TA32HeIoq~yf+CLFaqwe{8tL3MJ59{nncR-1wZS0 zPZH->$;equ8Rz$y6d0xgJsM6nJn21sR>kpaN}g*X*Uu6I!{P}k0KIzVehNlZstJ+; zVkS<|c~EmYNB~O*N5{ZY#Um<JqR^w(1`*YgfcSnyH4H$@sGT=|0WkT>m^crxipJp3 z*&-7dBQwV$Wkt?csV<t12v}%YI57JCjg+l&*H1y1yZ4L4jkgVCJ1#072R$QW2`aMa z-&1=Z!YxT)*q+0hR?tMP#N6n|Kh_^fM50+fGv1z)p7!h&RvJK6`Nw`n5=9AFUmN3P zT%T1``1($ecKy|VlFokFv(+-CoT6T#9PlHk6=?a|G6H;EsyQ#We++fY?Q)1Y^jC=U zI|*l9l5AI1#9p-Cvd9cwv%=7;?FNZQrzqEa_j@2ZHLCkd;CLX-Cvj=I5*B%4Up7A~ zy3e@wC`WfZ<GOdnx+c)r02_~i#>3m<lSQx*+J><_vAgPj4jaKzzhwIBY^l&2sL5uL zvh9xU+V-W6?uMIHds8TVlplzY#`wCp3VpV6M1NLPf!{yteeY?6crtpbquEfCh`K=Q z8wOFTHuDLoKIU<IIGdDH6w)FNJipjG)5b2Yql+b$*MeNTJ}Xvzp^52Ncz1HAx8*3i z?g?p~IjIkSQUz_Z7a!w0=#s2BU1D^Vy<$SDf8SR7sycPu4K?Zn=G&~tf?Gz-1EB&? zc>ImuCT0vBNV@!Rk>QNdjt23;K3m_b%--vP$!i@pZAh`w?2(OE>j<89q&U!Yc(vZM z+>R6lnh(Eu%ni=vGXLzewIZ3kC}ZaJ?I!G7cxb|Z2bJG(hvL#^HT9KW>9&@gW_>Gb zABv_QR2kf7fR<JVYAwUfB>R&KRTR|=Vi{e0cf;5}_2!urpD?ArmOSlJyI;iko>51U zYw>uY<9DB#V7x#K%jxKRtizhr@6mZX$ey3s+Rgp3?hha5q3f=tZE-_G;pz)4zMuBv zdM!79uDlO$YI=hV_iBKULD6C-dLNo#{d9`uC2_Sdk>ki{S-u>GEd_6dwv}9}@z}`6 zqOC#Clpk)$NI57C^hAb{X<p7zbH;zCu7v-tdv3E1Y8k*fR`%79UM5jos^9skPW3Kk zvG%q^!$w5=r82r05r5hk5i4Yj$dURQGRN<KVC^Q%3*$6YmE+vL)+cfGcSPR`kt}_q zezo|6jM7FL0mh>rYWpfX(-A>yQd~Z2^)SB&4~0(?-<tc2=f6FD^iUX@s`Wj9Bkyfy zH_tC9lh*g%U-UbfkvzYwky_sm=~T?Rpf07B75yb+zp%eY$w$7p_H~;pyOq6Vg_SLT z@mm$2_6zKN{dIH{5;-?gr*Zl`Y#YjWqNw?H!7DvMM(AmFO`8Few_J$4YqXdkCWnOL z<>H-`xni|_KBII=b&rXa(>`+O=a5VOKBOW?Xj&_*{q^roSG&>2lc5uMHg|g6Eq3O; zx~k#9^IlFF%u;t^SyAnj@fO6j@ZT<fYgZs1UyL>p4r_OAi%-W0@SBBgUQV4MvZ@Ze z<nSbn`R%`&|3EW*W_YTZ9CEXj;=2>R$i)9UP1P3i%u9dGpj+vzunL5KtNcfrO)_R- zP`y8-{*N~uRo>1>iTxmlkc#+Pn}wx@TxyKI*}8Zg*kT29OAgx78)jkb9EyH_96^`- zpHj2Frbm#Qg7Zqgqub;~sX5=ysDQs;-E4)C<=`g(-8wQFU1AYmDvsH(R`O}B2v3qH zVVew!#MhK=wpx>(agJwPrT}nuzS+7@niCAh9bP!&z<XbS050IX4q(Lnavj$Z<e7Ym zMzp}8tT^HHc4j9eA{@sGiz`=ueuW2Er!3&qe6y8`mU9DGrQpyAA0UZ8b+>xdld!W% zH&$2<V3ZMlsZrx>RoHpeE&`hXeHc+)TYsss+0rJK=2LVUiqJXZH#L{RWyKvQ63Qqg zvh*cxjwnh5E__LYOVS`BhXQ(yk@c5iR|xkQ`Zs*ZiOYaPR=q!roh3Sd)+uKJoc!`X z*n1DKrkZVGSOrA7fHY~M0t!-;CLmovA#^DcIszh1q(p)cIx5YA(gYHb0Md-~5|A#^ zLJ^P>L|Q@%NCFtzzk~06zW4j@d(OS*{@?kYd!PS2&t91|Yt33y_Uu`+X3x$r$7|~< z^`j^&R-7W;Yy43oa#GWO0V|_7C=H#RBHIG-!?#Z|;B``Rd8+1NWjvuEV3-;OhN*s_ zvF8AAdEm*i#mQ&V%&7JrxDDk1yaS*bfE$S`_~GC?fWR(L2f$9}d{>g9kvb}MTuLu> zn(MgC?p<!}uBNU}9YEGHzz`^FQN~vf3}V@U-kj-=muRJ^1ZnJl=<SZ#G1?_dxAbwi zZkvqe{IPu&P+JeEee$xG^=j26phJk#%RJ(_ggW;j>KrO+j!tHRUS{_(ptVxEB}KG* z?PV+7kIw+`0bK(nB(n<wLNpLY+;3N+R}Lb^bgvCY^E8~2Qhe&V?cU62f9#uS`g9(k z^zt43yD`~kyVtaTpGhEjLO)8ka7AO@<L(5R7iY{P<=<S&l#pJHb%=q8AGo=0+ZP^) zURx{NFI8D<uBn`hSk#ut*;u6eSr0WRuA>&8b{1T0x6l_1j^+iQ%R5jkiE;}u*<Ry| zm71cX>w}K#K!^R<vq<s@#G-}vtO{iu{y5iO;p65qRl_2GRWgV#eXS^o<*nBA?a~_$ zhec1AoIVS>RHl8-7C-Y{uk=RsK<)DqqVLr{etJu&cJnK20}y>0C9a}1!CL}w!Eyty z>`+3M&^n#k0Y8OVJ)euc{NhfQWU*;IpOrf>c7owWOXvo`6vyfL4BxPX(%9k+c=39r zaB=iaAQujQ|6E>5^sz18+bJt7A+ty~z&|uQj^Xpn_v?c6qEPMcpBGh{denlP9QSW7 zyh#9W30k8Ul0QHRmmEo1;O0eiPSjVA+^-&!@u6-vf=TDqy0XimMuE<GU(DJrdN1Em z41CY4Y?hcg-)@-VoL7e$oQ3w?ch38al9?R6EnI|ug|%9gMt}8iBw1UbIsb+J7f^y? zwQt1-s6>$+L*YpC;{PBt;{&vm>3^$A4PD{Z^N7Ey<v)&M(>_22CI6E3e;tLKFTxfA z5q1lL98i0pmV_UH`|qN@?p*(@`v&l>46M*b^d|O?9Z0Gi*WE<4KR~l7E~o`ThGOBY z(0%lO{YL<%9oO}|^8mAyvJKRNz(g@CUxRZ_uAlt0h`#vP(D11P33~}JjYU{6;6*YQ zZdnNZ5DNPm3_h9p`c%^*+7|FXf#OhJ&R7sKHCxe<UxO`AW~SS)6=Cb5AL~ba4Nf}g z+XA#6<)shsm@~YUc>(0VMKP&`TStX{V4D_yLyQ6U6=+=gw}USM9?NeYE5)QPhTNjq zIFhOe#l|g$*idZT*WlM(1NCPuxPHBHYsG&n%f!{3QKF0eK4j&eNcrBiU+AhLXXes% zDT=G=*8X<m4NjZ#B`DF#zkwZniDKlkIpZYnzfEaPkzvbZi<1Q0{WidB&3yF%dLZ(D z=FLks!(Q{lUS*_bjgEWswXWKMR14~@CLLrHW5xBX(3Y=1K&2!cNp^O?kOfMV@O`}$ z1dKlV0E;UHV2J@ND}be*C=sxv(g@s`AfS~$@oxyP-I6+PPu+0xkw)|4-W4l!O0#_p z-y@#wFF=}bK(g(gB&|K5U5(9xko!S@Qj+oppZd||?ZdC60a_lQl{(n}e-Yf87F7gA zGdJ#g*8pQ^!^I+O*Z1?8vBGbXgl)1uK&OBtK|lv_21-PUa*96QU>ALC6!kS28&ia3 ziMI8_1EEwi&l216Y%cFds3m>jmQ(#Kn>CuiP`GvWvn2BC4j?HNwR{#J0nB56pC#XY z-AM#UH>}aH2Nr~E28&XCpCs^(eHqkfR}cj?A`>d0FsZ&mn6Cxl3D7WQlcP%Rr2Y1U z;uH&lkG>V!KANS^XMJub1Zagapq)WhXc$n^C#bJW%uuo^mx{@CpfVyBf*~UNEW9s; zYyR?k`8#rl-^)W%leKFb++r?&bRRh;c=p^ah8t{jV)=tH0*o^AMJ~OH;m@y>C@!Cd z=-ZCGDXX3jLrE_b<rhXPx-~sS-fJk`32nqHHmbPwRgBm*!pPA4Exc5qB}8ot+@1Cw zDZ+Eh(rmC0;S-Ya$T=ZBy|xJrm3zZOPo;&hY>C!jd4ddWlC?}_OKpCCO_txqVnn38 zWSE%5l%(jszD|ECbBip`cy~iIh1$*7R>!s1v`)qwcBE46j5lm+t;nOfEaH7}-}}0b zdsnk&>x~Vr2SAGi*x#qbE?$0H3mb2QDu6u3eHzo7<f6yOS#q6Un*!av?kNk6whvQ} zi!}${{*WnF8F+7E>?Wyy+?Lf-blA_MWoEe9e08L(XINrXlDX18*v})loM$n3SePq% zz~^nb+ob>l$#_d}^5)ar)lM43EwjD>ENVzbQ7!qNwtM!&*=bkH#NE;+`SPCO0Mo$P zoN*uH`rC!yT_qwiIEd{`AuTj&Nc(ZlM6Y10x3F=w6msqgk6E*SmPgNPmD9~}NPF9i z6Gk}8agpP9oE$`6TnHq{g#7GIKguLtsUlZX<0YsiT2XMbqN^%_iFv2S=N)$j+anlD z!;?9bcBIedjmw;d3N=@@UyCR4j|7%?GC6ws2Q6<)2byz(-(CyU<gSyCPmoB;<Zdr{ z?JOFN;+-4qbX<miDhBFt*BP#EB{?piR@}^!4rK3u%EdD-^w+I;1-h-YF;q>)+}FEl z;8ibda$s!MKcxku-IE|HVC=PEG<(8CE=;!80rMUYF%Ofi4rAP7CB|X|)f-v%1c=xE zd^kFndSC25Ypr;R9~VvuXN_|~bZ8_+3s9+?hMpp_Va_3cbk(J((6YokxSJSugs8d{ zjfw#DCXN$l@oy*S@#6w0XX*(;sc=jPg$OJ+lpSY)P>96xkuTuRA(H;><U=MR2&V;S zq5NU?zny;wJx=t;?ZLOAv9wSh96Mqw0?Q6%!A&9{k$*Z7olO1v&yAU(V9FtjF<5%2 zK5hn)6sgL8N#@4oAPz7kExM*3+2*4Pzt^yl>2X~MsmO-YWDy)Myfn7qI9c%b;fH65 z446JGHQK#PL>Y{cmKx2TJn<#QNL`J2kDHi-NmB0%55%x&sZsB75+gAz>QgKsf<#YT zFoF=?z)P0IDI=z$8mP#DxN*2obOQ~UfKkxui^yVs*gH;K!jx(>((lm|l`#a(U|bM} zpaC@XQA(TBOn$h&U28hE8IU{v^!D_fRPU$;X7X4df5V6{L#zV0CI17WW2CTv=YgB; zeC?^et6XG0Tp2=wXb(SN4B;fEVcgWSnM3FfPlZ3ABPU_<{(OAmo&s(IelS+jqA<UC zvCz?fzxruXg>74>Q9zGP%X)khCQh9t!VpfY$r858f|mAI!!=;yw6?;%!%AXTnI;*a zLbxo93cOAW5-F)Jj&sClA-E#FqXdqjFAz^-#5K7P8F0%mI{~O7t^i{Ow~V!8f|}#p zF+CVzoH^Vw#;(9NcFJmfFKxe@@a@HZcaoZae2!n-m@$*u&lk~}0r31#8}Pp3RAIE* zLg|;#CtLTp+27}Y2a>0e%enzUs|iR{ldMjv&L^UUYytxkm4<Jx$FJPAzLMHb#}3&_ zx_ep>q)$J{or-;e%#CobmrjVmPu@*G31Ujar`O{Vchk8+AyH52g0H5F(3sgf{s^ys zyPM7j+KYOU;$SE%!4G0^Ll$lzb>F(DIV?(nCMI+G%RQx;PKpR@JNL^9ryi$gmui~n zmz7I>(d}=GC0>`6-~vTb6kbVx&4Sd9dg8WqOSbqVC^8zr{AJ~62H&RP$97+5JwZB2 zGqH+z<G<atzMOhG`U&m6jcoBLP#hh9pmC$W{DCx64@hCwK<fISSd%O$wYrWgr{EMw zFZE%dl(w0lGzUfX16czGWSh(&DQHl<zx<vw6PL*9>esv05~(^T*jaWf?pmv)>QIWf z)L*V6Eg>Yrn2vpneC=>r7^up`T_w49vR#iS6TB4Ky86$h8!{ksuMC=3Q;%4GfbwVu zsU7vCn!a)j@-)esqz+IuqMVduQbp*@px;L<L2fjI13yT~0^0!`gV~YpA0PT5kse~m zM(V+jPDp(N1s7z)Whzi@?CsEJsdM9nLfjx|)IDQg<@M7`n?~t4zLEJi=Hmhi0s=qU z&wcTAz7dSvO>avWEUWgtAu@1(<U!|g_O|b1nthk$^dT$X%Is~&owv3}<y42;IyT*+ zsq5@lUQ>+?ejlrJ``YR@ceZ;^#>7wo;?s9!DQ_$5n{dw+f*HSG*N%&K(4DKOx|Y$; zKdp9W>n#)8`nrN=N1sn~PO!DNCwC+=H`Kk8ikX3YK{>aK@;_LvmJY0c<5O0RpA_jx zq~Afy#V5SranT<~FJn(Pg#O^#uwC!ES=Yc$X2y*pe8iVF?b$pk-_emK*TMRAcspD$ zoM1WUBg$%-Y;9`8D%3hT+JnYy-BH>zl#$pm9Q4~+zAb#MFv{)K{TIS-?$C+eZoc{Y z{)^o7E1Onm;WmMFg+beYt2xRDLRbSox$>j;RYgy)gxAC<pSE{?4D+vpKZZ5>JeoS+ z6+21GA?06W*itqiG4WJl0{l%g{+s0qF!u{|r%yqBXA7_JZMj=peebti$BjfEi(J;2 zNY6N9(IxWu%WGZBCb=GoRyl}U9d7cz9uJgwx2(cdX4v*>XI<HUOZ=p&WpY7}m}5@x zotJ}|55L%cGdtFFTXA%=+Y|CB(5-D)?)Jse%`cu+ErGgi!z#Bgk8bvQRy73%w++i& zJU*`ajc)4oEAL{D>`PJtqZR;3K(@aPcO2wz$DDZdrEle>%eeAG2c>haeqh_<&Jo<V zv!B{S^JH+J4mugFv(6pte-sc?Ys-~N8uS7*2>$0bg7Yff`4!%te%+llF2@|WV#&*b zl$X1dZrJA)Q+qc*`3rmC7M@8a_}$A5tp^Vp{2fmGJpUQbZ;UUJ<~-5zE?wF}u-!El zW#8qT61`w2gfM+np?0$UwUp5t-$bx@u(@g&grxPV=8{d+Y}Kcwe|b0QwV%sW4L<c2 zou!Cc^;^2F_o_2GM-WOMv%YR7t*auGw3k&wP(5ER&laS)b8`P&=F_q>1m-wnJP#gf z3r|Hw{t{Lm5*H(EU3HN<8TISv$@y=J&DZQt&eKYxdyhG0WuEJvw7!w*ws2y@GD5nq zmvugy#xZM>$8q2Gf8>0Z^0{s`y5?(jnyDRh&Aa*^B!pIN<(hYM&UBaEOog3{3X-SW z*aE~v9QO?Y&F8zP=nqrRw3@2^l!{#W9FET$<JjJ==9_X9JmQr_Gj>gR5IsKC7T}dp z%#g8idi6V<?KYVwqqo&o)BTo;{)!-{?_1nhWwfLtLqL<ffAwfg9yp&V_wrj=$;?I% zP4}V;(FzXqEt64|i*M+@Me&Z}lBW)|x%WLexcAiou;Jhig>i7No@a#J(cF%{bd;Fm z=$ZJYN`S#RsWWJ8U3&8|U+~#@72%F7MrWhXsJ!OUnFozVu_)cSQ5nZ&yqNT(4thpN z*fg{B#L*b#e^2*mxmS<0@H<pf{fqdwiED1_gV?{x{qIOjiJVq;`E!EouBJ87uD>Vp zpCqb1i<~w}cKnZ${d0-idy3o*nPJnCv@KO!(i3wI+swye`Z}A|&XqaLxl?}x=t*oy zR_UWL2SPF`uN02P2ugq^0J+P}vd2X}tvN@#%YwqDf0f;kx*I^$7MM9uF;1DMKKvdw zT?fnrjyi0wrs1F0(@8&Kar^;fxphiJ;Hty6U307c5$*<vEA*PrF~%v~)M}t}gaC64 zTJDBy>GkC!EqQLpj-TLTjM+k<2{woA{pMCGT1GYX)Q5COT3EV4M)L+?)3m@GiIzL` z!=V5Rf2&9qP_XW9;&m)FxA$R5)NUP?B=&bnrk$GMbke&gfP#!UZkGw=DrzrF3O5;9 zJeXgIz*l$6(x!YzJ;ncWP_j!M&|kaJM%0wfJOjdqtC?R$bAv?bfvJPhEszw=VCUh~ zVTNO{tI7WbW$K_Sj<k%xCsC#jMhgzx@(}?&f1RijFB(dd`~8&OP5lH(l-S687G^-l za)6TF?GcmSEe4<hfCK<YeD%!rbH4!WD*&$mm;)defVK&%Q38Xx!*(<eBaFQ<)t)9G zl!okrOM%;WH?76VaPOa1?>{`|{8i-i!U-T6xB<+^6}k5<kG9xTxdc&>18|lI`|wa6 zf3h%+%TIdu5kPO_K_A<@Le$4d%{y$<0Gf}!?A=HO+x0cA4bm{e_-`x+c}~-KPABj& zs%d>%TL8qa9c`KV+_WasM!l}-9kHDeHr)p~-qObbRHD~mTl-r)NzCvk1^sp`rZFO~ zUXR-w(8N<|d7|auti!hc2Q-L#-wnt+e`-azd993^5q4QTB+c-(TU6)I?w@yfoPJ(x zbdi2^V-iN&!n<_9&-iHcAU!-~Va$7Q$t_TS;VWde9%_-ABT&+e%BFK})K64Vh>tdU zv5DG#`>2k-tl@m{dVPYzl(M2L+JzyF)B=Rsl#s)fUlRJ!E?<7QjNWxm6tzQ?e_ID7 z-p)=>%Q{+?mEk;`M`w17eOSe3OjB%6KA$+j_X_(i`WD%%+pjN7udl}hUrh5VD<fxM z>FHFApWiL$2B#^$CKDiA-^dkQjo0%LnNO_Y5K{peyYqX-J*hKG(DRwl6jMpL1<j!( zeK){c`An}*_!Sl&eG4jCKqO1Ne;e>q25;3HGK{FG#Y;W&iM*~x#ipWAwfYMg26cO7 z;sdugTfBE5H?$MPF|=b0fHnXQ979XOi)h71T6<PMzBb09g4jq{wszHLW^Kie%PNY2 zVD6pkiIW2DsxO=&Q7D$VPy!?6UzqBeNAt{$37LR+I8?>Bly;G%juyxtf1x5l;dU(l zBK{p>_DpQy-{$@|B&x<j319x4AoRHw+9<{3?}+>-i6Iwaq1j?N|537kE)h%Xm%k++ z4h>-@VI_@A`w&>=*1lgsibFf5uXP_it?pkiCUiB+9ut9MEw2oU2C*9x3Y%LekCGZh zK+X=w7DH@Pby}#0f&t5;f97*+5lyi?aBMK(zA_Ifg8}Oj1|@WX2y+JN=q(1ox(w}v zNw0qjhYFq&u{#FG#$FkWrRZ!q)T!k7<J(bEFU9Z}6V9dKBX?hiK?ONPyy^0{E(5+e z6-iYRR8OsrHoAjM+5n0q8Vy*b#Sn@U3=kRE`<U~$(z=NzSn8pje<EpLh&sBDj-7=s ze=ErGhwzcb5DgHwEKu|dpb1;$MYQreS;FJV+&3FDde?%Dq|wjQ=BL>n8DE~{1^Go^ zL{k&YL1mG614liU-Iq`C4GvI!!0kQAcdAuO-50Vm!iU4GE~}gb`NS!>-GeqdL8Vi% z95S}|$g;6eAJ+43e|Cwp6JnIs&P>ro!{~`WhOVGbxOXQ@9(3k!<%#8QEdUTJmJbO9 zhN2ukWr^?cQiX6VfdcR0SRWwt0m9O`QM_ZLy}1T@$|ZkGbk}KL07O#8`5+p@_myIy zu+PQ>mha*l2w2p<Vk}gRD}Rf2*;SR81l+=0b+p<M+wRzXe^zoZjsS0r-ak%W;#=I) z0dnxwnbLqU>@g8XfP`K#(8lI>8^ZIi1%d7m5)Oq?-z2kvx9P#D*;;796GJ;L%%o6Z zW5O;VZ45eTKPU3IlxA`7qs-7w^d~tre?Y#^wTR&Rf`Y2C=Wi)rn+tx6H3HIbRuUdV z)mZblct;zAe;4<xfEqdO#N=<C3x_uL=3rm8+zHYP`pC#iN*)`7(UW?8wjpqA_UU~i z6N1kJyFKUB9Bgp}RE@zopyC)w@`SVP`Nh3l`o+D=!Rxyb3R6CcL(2MaECeXGNH}z= z7>>Q)|FUbwkJpN3k>s?6_xMr92i$=)RjNhXXIf|if5kQ#69SHr_KywKor#5(a^!Du zb^B!>B}slpU>g|@iBjy1OkA_Z1STLy93u&P$(T@=iNG$kO`zZr&>4?%&$`Q4Wnaj9 z1lEIk(N=vZxI!Jx@EBUkmJc~<LU;v;omoU{!m%`fls?U32$%gC*wMv3#(andGbu~Z zm_Xw)f5fYqd~tGLduY54!%C_Z%dctxLRA|Y((ozjMYQxItre4#4@qyOEx;%9w|+<u zSDZmU)o-@S<g1g7CnW=JENb<^@=xhugVn94(!<(`wmj{eV*c0BA=X{_3U5qfpgD#n zK12UMUdJ^X;#Ga|QLw~!SL|U)RKqdy16&upe>A3n?(l$u((rv+Vi!hAqw&PvG2#ZM zPeYAikC8ZtG16#c+B;8V$0TW~9pAe^Jcsdv^AlSzEE<h8duNDLm@Ta-S`}XM;qp#Q z0|VI*r|^4;Mji)7_=N8(6MHaa@CD7rqkBq34@{|M<FP$vVjV^RDnJaz5VT-N_Jm}O ze|@HgWh);?zjUl+B`e`hkzxn|*U^2iO?>=qpSrza2w^6E!*G(NFt8X^1~LdI12>9o zpeD=W%n%3Ed%8F?%9#r%$eK6?IB=tQi9wi)ivhr+4B{c_jNHHGEKNL%iG;J`!Vk}t zmtve`sg)xBz=Xhg;Y)~Mt*r>V6Sbm;f9J|i!Wl61P;R0zt_{wMh*g)OM;|9X#c1P1 za2)WISUbug4ySNm5tfm59MIGLGX8W>4%{oCb$9MFlQzAD7ZVKOShB@i?55yRj-heP zm+XxSnkUeX3gpEW&1k)eQPnLAW5SEyMr1v$zzrCk>yi&gXZ9#}Mi3BCydHJ*f8G_d zlzO^+h$G-ogh6*0C?hunFc)k`NX*@!0KiW8oCTaEW5St3TOfJ%&=y3>m{n1vOb(@D zPf(0NmC2z+h;u=f{E|3IVm>q>kkmuV^XgC`=M}Rgij?nfsYBBNi2@v-FsQC8a+P9} z*bZ${A{*df858av8W8?-B8=%_f6xwkcF0H~w3Pm0&n;A4vR`{wzd)ZIKj`HZGYLtJ zk*D}{hxAJzyl{SDY6%lEH~ON=S{BD(KvQ|VbS^Cx?NqCpihMi*ct4Y3NZttd+w1cn z16u%%bXUAQn2U_2XCp}t>Af%mKRcgiDQ@CZ-+mEj<hR(gaJy8%h6pa2f7Kp{=3twm z6Km$)MknS&91!Og`ETJKL)jGr?|$N8qR`X<+KN3u<B|jhg*|$H6CZoUK&w%oY)##v zIt!1dP}&);jWEsVg3VYv?pi_rsfg?7rE(-4>62!3DPx2ooJ-=yP~AOo6N37(>q&pp zXZa8kaF@4>*s{!<%k-{xf3g{VjCy%#`n>+tn{ezfz&d(0XCK3$wH3Jv1`_uS6Qgz< z2HZbQu(9yxSB>fo@fHrQ75OuSe2CTnI*6sG@S@iRAPXHJ3pkLu_!GLfM&1#3-6?ec z2&kopa0!nUj+#`G*<(d@{{<C8CLHTt3{+-4`6vw>I}c<T?YLxre`Hajf{nBp={0@K zm>>r5LmZbZk1X2Oyvncg5sHNxop?F-WUli{>!?}n@V?nWTfk4B+h6NGAFRB`wgFC% zX=+YrX#_Nw#$MqGl#9-JQh1Ty6ll1S9=mH=YxCfuGbTP;KweXR`Bh+`tOSlBUP<Ur zv)K$%5irtA1!gyhe?U3TFWL&pv}rzta$USJG=3gL2lVI)pfJAKl$!^~rUM#;QWt(~ z(mL#@qgB99pw1lmkZ^T0ihgK3_f@`vMIiz!00=ZfVxUW{6RcIkiBK-qLTFukklsY* zz5FdmICN(C%Fs>*Ad`&1+S4p1<T8@>&l>w&0%ito;ZWy-f3X8Ol}6#cM&!)yzOpgl znI;XXJ)`1;H>a@=YNeLR*4I-$GYama*9T)IB^E|N1q&jeDMb;`g<BeEy|>s`?J<JP zY^5c9?LZeSVQbg9VFRXjj_X3rOUqj)8ZbEPe@2<NjNYi3`r15tU$GL(&1*(OTD`4- zrq8!LN)m(Hf6)vThCw-uOOGv@tN)Amw~5NkYBv9#`j<)nn-CR;>MUdalmODnw;0k8 z|Mx`xb40>9z--y@{71?D$;94H1a^}8u$p!1Xt7x6*2(-TV8SSRC#aRXH31+PouVw< zk+PE0bQS=2M)c5~34SV_5DvH}NzJmS0rQ5I8C;{7e-*()v$Dl;XgfkFi*rNDDl!sT z3P46DMOFb@13R=~0%s0w7_BSvImP5?9GV<~OQ36j6QvdP#Eo`HSw<$|vkzVV$O-l; zz|YD*v|%jlhu%|*%wRr{+ZJU7E)1G<>d<d8X>Ki}THMoewLa@}Y*EGf&>?e<qBvy1 zMTfRee?aumiY0N0td{VTN?1+P%UV}Wdllaw(*j4}jnWFj^rhcA-D5(UQM{lyOOVI$ zLHFilfo<GV_hJVnTfhslf9qteoZ5S;G>`a6*8;ReJ;?K;CoMh360~%DFuM(ulHLwX zpCuz^PdzyAW*H7NwU1B|)N9+L-7V!H-FN$YfA&WV?8>5T3H_pN0{}?+#Y|HglB9S? zFCEPM;UkWrI)9EK<r;u|i-u$fj8LMVQGPSo_>u@{X}2-q!FF4{Z0h14lTRS4uRM#k z#~-=S6F*|Q0GCx87?&d_LE(1tz+|2^AHoBVyNK!1lwwlhfwB>=<LDHJf@{>#`ZMt& ze_BQnStnEgGf@h7Ql_c??atn}0jWMf>h`mD>!Z!4qs_^Diz=4D<OPr_P)D0R$loe; zim(z>(6A9PCT#Z_6WWfATpHwAM2lshUbo+rq@c!dZ0Ex-1J}`9Cx(>iDm$;E7Z`?= zSu1Z|N5k0jA;&z~pFsNnMAM>HdC#Lge-`LV*Lg@W_F87pUBH>i!yXJbx=zf%dLD6k zS>-ppRI|7S{|Sk&`Bp;0yVebj%<dz7r+!Y!N`ARWW(hydBH{n8-MPie=w?D*3@ZAI zvu^AHv$+3mM!Wbeq0W&zr9B*LSjqVtKjkCNvq;={QD1SUQ;Wgn1>dUsM~(dbfAjCp zbi!EcjO-sc`<?&hibS31H1j+E%hOTMp?OLc`{5OA@S&B)zJasE)eZXZ-DZAog8Z7& zEI*xB=6n-$FHweY>FUN1pPQZiu5@PlOgEUm_nO>HcgRef*td(f>V`Jz+uJtiOVM{a zj90|oudLm^vgk#VBVdh-GVu`-e?b>;=uB!!FBV6vxcN>MUS906?#$17Vd68Ur=#*J z9{SSa%3{HtA>OJNCPu<J*nEI=&n+H`w%Avhb=;Od{nV#PJj^nQrZmg?8H}Z-{u{sb zudQdX=Bj2t9+~c!b&k`56@1Iu-<j<PB8F^}n|W6@h=q%Nj;utfIc<zWfAoRs0df=g zi5QFd_03;N$k2fO$E>2NYGPcH^N*SQ?W<Q*nUj*Dx36AOmE;tRZS81U_ceaR$1S+i z(Gj|iGI~^$l!X2<15*oU={~x1l!+FSst+N^qj$P%iNUL168O{h!p&3n#3;y70C}3= zu!)CsDWredRZf=K=MVVOf0(l`K>ow2KTQ_LNg*1;_W8&VTpwI5dY_u?j7x&6MeQFU zhv2s03mON<_r!@(7&WZ}YV;8(;Ot{;eKYISM>Iw>r5IG0p_ho<xPD9yJS9wkPURSs z2bYTw{oT)xLNDUhG5VTS>Q$PhOlVGGI%Y||lmRV3Bx88heNLcRe~Imw40RuRG!Jne zqpKlE7eY;(#>8p}(tE+(GzE`^NE2^jXf*^+gd8F6V`SiYS_E3O6j2outd)HN%|*<@ z1gjI6(Y(YGOr1J`5zS6a#E7aBj-XYDftYbkA1bsQ(E<b36l4kEBcd=J>Viy}KMB%k zx5oLIZSyr7x)2)Te;g)7!=5RG{qU4Gd#XJaTnfTIB9xU(gIhpkM}~5ed2o4%?1)fa z@=cs5Trf72k!*s~g)gWp<6;ql5uprZ4IC|;5Zk~4l_#PxTk2CxAzZ{vjF0Bj(GX># z7p6*c>ewCxmw|B8=!*`eEa{KH?W03!$ox16LIv=Ix8PH;e?W6<-CZNp7~mnpR#$nD zyol0B!(Wi?#OwPZx6)v`Be@UTYcLDy*-T!DgJXMcxH5QOlqwb3AEysDid5ww8)0Bk zsx)LLTpU~~s^JLv5w1}qn--`pmEZ2p=PL(^CDRYv9dO!kS`ASQ6@pfM>-S9D9@mE0 zQCCnO(b|exf2Bd6^f$r@U?vfD8eDJ=qBIVHiPKQfWC^!B1!cl<AmT6v7;$we7BnC6 z7VaXZP^<JfT8t=*bH%X{#W3PpdAmDdd<UV$Pj;1+ni}oB&K@LwRabyk9lRAg5C~Bj z4P>oM%8}SNeS>l3<?}419#?MO^d<)r=Zwj>lCd|Pe>+k%@!}?A-P3Xn+<NwL1LN-X z+nN_b&guEInU>D}GA3IjW8-tlvo*$K!{qsP)9l%A#$>x>?Bm?fP8kF-@&Z~wfA3|U z7GBo`D)IWbh*9)F-CN?lOH6uMjKWfSrL6<sXP+3Si|R>r3_P1%H-;J|+da)~d(okZ z4>5sWe|{Y!oD?%a`<AGAiQ|Q?CSJ<~s`@%c)K3$C&IBs?T1P}OS(P)_&Ds6d&-)ib z&g%8G4y4W^jLD$nti)X3&PW8Y=7I``{>)2SO+14M^vY|I^INe4DQ{0b4jv;n8e~^4 zR)%~GbGA5f)%EMHNAZEO`4d*=61H>8Ho`hrfAjU?;k?>Q2Z15YBa1ry-i;yL<8Mu7 z%ao%~#k|_sI%n>2)5Mii?UgCc%CRV~xlu_+Pu`j-+m=y_2cA{Zm0Hdn!w1=7{joYk z1Z&9M>w>5Mh5i@N4#UT)vTs=ua9ZccnEz4e!rP?mvOiOt7i@^W{)&H@_#Z}@d$k2& ze;0KBX1o30L}};VCJAa25q|w0k61%+I^W2R@F)D7VA(Ij2O4eV>&~(5^y?!DA4xD) z$J0mItq*A3kSiM^<@-`%pb38KgV+;;+^HDy)CEwEqvq0qltF=eVLe^(K_fjoBzE{< z3Yftr0zveXlq1Cla^J#z(i9M+Mhjqie_OskLRsZ6KCq2CWZKFM$_D`%)X^PEt^yNc zttA+X<BxBEfSc=>Qll`C>v_-woAp8PZ%OoTwWKunI!c~Qz&spCn<Txy43x++^<m)M zJwi%aWlsi%-1tG-7Xn13K-cb<@3Wi)X5v6_iv763-94kICv_e^ds8a!WLw%+e+L@2 z9x9IQ;5Sbo+pY|9R#Ss5LBYodjVb%+UULlEQMU07P)#*BjVY%lOXt!yc(s)qNlQpl zUM={TU0&e@(NI=~z~-PGn!yv4l_CDqE=vbn2FuHnZ%qO>_c5)*ut_WTy`)}@97J!d zr`Gi`?}%7Of<kQd`h2<}(8RLcf6LpJ9s?rCM}td^<u~AC&@i5w=%eqaKNJPM_n3Gv zGMZzJzhzrKjj1(Ex9XZK2+33EUd}?kqm>N=U1v#tf*YGYz9M4Q6VOZ<+M;Y8eXw`# zXrq-mtt_dUD%mm2G(K+Y?Sq!C^l<K=q1%xUw0ZWyh=K+yP*BT5^b3mAe-gTNce(nE z<D66Nndu|DiBnamfnSX*L@Xv)gYfMF*ZJh9?%BJc39sZQc`$<r7SCh`HW99xGmdJ@ zuE&W)9RS0h<vQQ$_G;~L{fV+q?%%e|IcaF3J_DKmh~Esy@<OF>DqXdXk@tNpx<%q1 z;@myfC13FfmsndBq}shwf04Fded>NWOVC0hUJ_Zz`9mTr(SVROrTHGxGQ1g<W%BK3 zd^JYIGe}3?XXS;LknNH*@^b}qo&1*y=B`sU!;U&RHcKDwJeT<)P{ZS^_uPX&(CgAx zuAa@+k{dSF!IjUkD;sv-<mE>LyFBa6Js;d`75monjmz?WW4qz&f9oJIfS~&DOGAPc z+hx>eVb<W5N~3cC{Q^L@`>-<kSeR9xqvpJm(dg#A))$Z0wI!d7mOY@49Yz&bT^!xy z7;*80j*3SOguWdLrTTFmTj9lE+syfzrIISj>tSv5oF-n~<aA2b@{qC8jpXKQLH;9~ zebt38D}Tz}+~k2ce{aXvp+sy^tm7>k*>`VNB-K3s`sQGn_Q%29f)1JrCJ*6*{><)Q zxfkZlMd$k5&2PUM_|~y;HZ$bf0lVPJ{K2pO6699;?d;I_zV49tSQ;wvXcR^X+W<c= zdF|Wf_@4Ky3`UzQ&I&0VB?issv7^4zolOZ=4+l0Y&Rm1|e<@aabAv4ti@Dl|pF1zb zqAKP_k2`uwC{{XhgUu4XPPJRljkY@$X(xKIwGTgY&WJ&Mof~y^^psPq4B{RKCE}$k z-}8;1jW>Ad430s$Dps0vi+7@mTSk!&JTs&#pYV;#$9rAssAO>F?L@7#jK)0hjFqko z=NrEqUo6!Te@O4V)QQS$8P!PO=i-%f%dVuI@!L6rOM4|NFUfK`Ed<0DkB4;V)Lgs! zr27;D{wI8ox2r2MixFwkoct;3;O@_PBr(o3r?4w9z2wLkAJi5X03V65V}KgqEO4AS zx!-%4WpL(*JPie{6u3e(mKy4eOMojxVUIvVa3nZOe?(#mM`5n3OWGtxp(~{#s>{0B zMPmBn>-)F$tBZtfhS?-N=>BnX`r@n8;`&{IQP@TkNtwFRCtcl66(MQ2chiIP*&AKk z7vsNNDQi^lO}kyRDmZmrH%6f!;`LR#w6tp#Ec1Wh;uWnhe}Czta`8pmJ6y0Hj`iU0 z-`l@Le|&rx%+<8wWp6Cf_&ocS+*(_ZM1xXblUZPac<H1#EVdr~#AEB}E^`q0LZ*n` zH^^tO7T(|FG`o@4yQ>v45ne)$9tVqpjUQg|()-X?=Dr-NRBZe-#jPjqlH0SLyBA}e z{`!^t92aRx%l&InIbGu$doH~~m)r#LT)WN+e?&RdVl3bEy-^8WuH46gm{-88bkX=; zrylPuy}cKOngw#=#r79TMkS27a%BV1R|B7BpBh_>h!=w|GU-XO<hqm%D7^yar5}yc zU%VPFNsc+65;HJVRBn-5ZuQ{i1&Neb!$rw4!d$TfKW`UQn2Md}iXNc9U65;fI?IMz zf6w5ydxD<(od+5hILZbVUk&8X?iyQP7B9BAm~eBSQ%~G2*IXQ!ng$`t^(@i>qS5|o zz1*T9n~MfFdYN+Pi*CKI7oRH~mdV&IlxqkHEL^I#BE1ojv`DD*L@j<ZuqisN|INvK zytVB;Dp|r}PoJHuu-&xMiqv<=T8T4Hf7rHFth>19Zi8CM5Ewuk;QOZa+#9Q-ufN&B zuLpp$LuHgt*q2*;fn}%L-5$194O7mjE~@w}SP6+5$cMfjgN+K9XB(UlV%U~<*3MYi z;vY*4F89J^bfa!#*WLa74H|m+GUoa1wRN{<3%gM_vFic;L{LLFAMXM`(8Ee+f8)AQ zCfIc^{~^(Aw?w9mM8ew~qo23uN6!$pRh7uX*{+GCjYPq>Ik2BM=dGj&+n1G&iwL?U z25clka-5BSn#@~?64v@JU;QQRSCk3qj!P8kq)l@!uAf2UtS$wq`4y!>>IL~*UTj^_ z^}w!c_@8<al$Ik**p^m`5mogoe|ipibc+AnONdOzVo*m%|7EctQNN<skVkC%&M#nh z63sUf``$X+{0y8=1&9LtGcT*I==x#Twf#Xiu-$yQ^)p?yi3p5}0b}%!3}P5@1qNZ) zLRcwDXFgxO=XBwe{<Qr!&7URgEo{Umw=ekXpNRJUbr2}@%h6ovlbZ$pe~4PW9__Xe z5HWZaJ=?+2H92(rU)MkFiVbf*?Qh2WKmW?GQ6R0iB{%(<CYZH^RIQva@WT8byHsRG z3_?IP8DsGOUKjZpQ2)!?frstTPYHWDUkHjTX@T4rIuDFzH6OG@&+2m2Je#;L^y|5{ z<aq^~8==>qGjuX>y%4nff6b2lgN^vj_I?UL^|ME=f21<~or;$0cPfoPlsNps{v(f_ zKiKhSxnA%}p3nY6_s$=>8-7bQ82y$a*#2e*|H01sgRKj&4ZMYZbz{D{f62_OiV2$; zhWQHpYBP6=(kg5{k#g$^E9ez>(-)xBF|R8(=6<ZSG>?A!IQ3&qe|pohhI{w1bYLQ% z@|AeoGaZTa&ajuxuoKP;oqGcO?Y*y@JEBkwg_q7Z*0Y6JT^Ho+kJTnRvi=MGFQ7K@ zxR$fz506zZy=95}pM-i!lB>_g{h1=!sV&%%lJi%I|6z0nV?*?{QT!{`|8-Ql1~<dT z8Zru$r~s%p@KCt`e-D|Oa@Dil@S?BVnnlMx)<n;;&<~zS{qSrT(e1B+jRN8ffcqak zYna;p35fYcxyypAZiKqHDk=ChYyK<*T=f<ph<-ww7(F|3f?et;=L`FcwBF7PK!=j! zk5wRmI{pZE7W&21+^QcIJ*(h~-1v$7srmEZ7{6sa*I$2cf9)ju`+MtCZP>~bj-%l; zr;eLgYpbhke~EgM>1e6le`Vp4YdNUn<&a-|KFtM<R_X}Fg-eRgpKi^+Ibzc7!r4;w zbzIKR(rW`vsKF9ydm&iZCNzJlY*I!=Mnz>ymBq}w8m4Y;9*{Cz>>=V`{9cS$@c#Wh zjHMF#Gd|txe?9LkRd@j{s1J$s%EUK)8sbMTm<|T^5}zs9^7`O^4at5=6JQ2S3@{WF z45tauf$FEFkjS?(DyLJSEq6@KI@1I;kV{U3`UUQA85M!l>bev&vrHKk*3^dtyPF22 zURh!3bu2nPy%K^fz{*~hN54)FypMDbe^M8$BDaDAe+^Qx`)u<TxIdHWIRUyx?Sn*S zzHvt?7$h3_<RUXO-7Oq`tYQrfTE=SJ3_jE`@fzT#@1~_oK0roe{W+MMDmVt)dWm-& zzA#h1H5Be8-g5Zjz5VX#P36JC@QZcR?BdAj-tr3%Rb3PwX|~BWr)8}p3mt2bPN(sp zzM#SLf2ktDW;^M<s}6Qk4%Qt7u3mdg9&bwUNQLSGGkoBH^CROjf8_$doZ|}-u5v4x zq^eM+;VIrw&ks5+Y6?N+jzd#>+%^F>y3z-EUn|{GcwE7&-I3my`&Pfq+dSmGybt!h z?^um*t#8k#_nu>7nU#yilWS$#<gy@gnbO|3e{C>qNH#S??s4MRw5u&~z6o!gdp5lz zdp;;D@TVKbRw|=c(t4DKhvcp3w-hFd1LVDoGvvpS@0|@`Rhwa8_PGkR)2q(YB2~jV z^?@bI#~^hTS#uSJ-YeMq#ovcl=|<k4mba}Ip0Jat3M>OJnc3BS+FP}qCfMPIR~biQ ze`+d}n=9fb##W}s+PqgP?-!4)Y*|zdi-SFE2M2$t-g|hqoO@!ex{m7B1$ot!iG+)c z_l6*Uyc2P;EejvVy@*MWpDK6!o@j$;==YZ*s$f=4E)->{%1u()J?v7E%e2nTn`%De z>3FhI`of;X*7Ak=mC&vN3$0zBuhUhFe{0}?>X-l9g%_CIC|qk8&oL_;CKrEo_8^T~ zt5jMf73bEva%_!0`ffjnH<$wQV((@4P7!4OocN{qYVl-znI-h#II^lAeTAWYvVCyR ztDZKaK^Uuv^l2MjE%xj|W9bRC#R(gE$r-yo6-M^E(J2%DUTWx?2hF}xW6jFmf6$n0 z?2^yTz>iSjtG6c?VO0h*U3KsE&8u9!z~&rSzsihsUoNy67&>rq+%B+kW_Q@Tz*9nH zr~KZB;zds%rSS_e=6ZJ81xZ-Pc;$P^`f1M`^a}InYSCyqmmQ??GB!}z6LQN_g1%I7 z+{&kpsd8Mr(jwY2bL9by%X=e7e_rlf*H*bpi>=jiwj9J!3lhAw^EJV3ZJeHow+{Wi z?>kG4%(fOlSf)OmRmyARX29v!yL>P}7h+nLZa|+_XTP|b(o06FDd@pAZ^AZ#Ul1(N z2o|UhE6Lag4P<-dsnxwxQ_fRU&Q%+^0SmkVtJH^88o(+IVf#~4_rDNif94=r3^@vK zeg=2d=2fYdX>Y3jys@o%c3i$Fb7fc{(8JujI00OTEmkqL^H>^Q_47!2Ju+a~qUG(C z@pCiKuVH<9Y}MQAPIYB0n3uI=1wR}U>k(Wd$6vCtGAv0qvgzx=73;B6TM^e>u{SaH z;l3BFD$ubyFbrIG#zq-ae{9QI!aqCQ%QC_o=&=y(5nEm1*HWQ1Ii@&0=IHHZQyo|h zuDfQV++3W%G?M7=;oMvy<n85O9heN}<tX6?vS1iV^z}%I@#v_npsjh0PLL$!?ZmFN zub&~F+b=E)Fi@^~zOaY?F7$?ZqO9b5mSwTWnDQV4Hko;%4HOw@f7H@ER)D|i2E8oJ z;qU<b1zV7`K^U@Ku-wU9ov0pMKitB~5<84Z`ThC3G>!ow71nT!{0O%J?~7KYh4K;S zFwTe$^`sa9nh+jhE`~)jFZL^c`?Bf073^YJukzgg`#|ddFIT!d<hZ1NQAz!Ar60E^ zP_>Wv6^86Pa(ycBfBts^ex)x&eNPw7Ow`ZAbCB1)p^nlj=R#OBm+Y>;<VAW+nym;{ zL9cm}9!@N-SAU)HE$o=FNa`pSNCK+}{DTZ$qkB|-CEI~S%SZSiX_Ze3a-oJHpAVkG z9!}<c+t#};3KLGv>Kbrs^?k_6XE{lHZ%i(1{-U+GKUYExe<4{$yz0j-wih<q?tZm* zkww<7KlS<0_@gks-9o``ZiUZgE;pxZFeBMcl;lx;({{o@sr?&$bhmsKSDtR2iGo^f z1lK#=n*QUVvWZ*>t%>{;LEB!2zH^iLRe}k{@zz|4_j6Q3Wsz6zfv-*^$P;t=UzyZ3 zJ9?Kt7fg5`f3I|kIUpxt5BKrQ-GpW#@1c<-$>Mk=4rW6&@$LT4U-JE?;mP;5`yYSF z*Pm8bSp1>eBA!#hKwI5!9r{i@=iLVwIjucOvLJp~VtGNwb`SUCOFr1qUO{gc_x4ME zu%n=Ybw^T+xsD1$a9w|GsK2AjP~nFZ-r@yau3I`GfAqn%{p3*pP?vHOM;3*}Row-1 zoe+k)IujN(rK&57mZLohP;$TGD=t@|hb~MHDx18@xaK3Pu~(HFims}J=w*)#tL0A8 zrrg{oJ3ZRX5R|P3%U>%i-AEL7>ToN_337Z3iI3yA&r9Ttro;LMEpLkil#AIc@jE-m z8t@l0e~dirNF81GTD%Lc9#huoNF<o*)-{`BFN*~}%2rZ3RYFYca_V3ya877`NT`+# z+)Y(d;wV{5e2$uF8S1Z*?p4-lO-wS?O=+IPUcMH1$&lZJs~sAf$ggjzYsx*tpVFF` zTi`s|e3wvtHSp0JrCJW1pbl-6^X)~PU3Ir|e@t7Vk*RK9b1v4`ad}%dfG8GEOk{BC z_&L;{C*7>vo5Y`;mzY4`f}W`#UDsa3fiYNL@8#_)0Yq_|AN&igiKVCc?C+cjd{7sY zGC^9|?bz;^1b|nqUExvregXMlDQP(Kz1HiD>8P*?W?K@G)6K%|)8_ig(Li6_@7XFD zf0`4b%`8(Mic@OP;~p2YphLeZnWx=KV^mc7mt(&2CRXxty+17_VY;Vft_@?^<0n>O zILW-YQbc3KJ{y?<rv_Jx-DiX<9&U@5irnXhUL>;N+Axh;hkGsParSUn%sw4i7dL;k zw@}?uOCb`=20e#kLhPs?zN9~T_>vy1f9}JK<{=_6$7%(MBA5__LJXD%DqS9RxNUtB z4vEE5L5*-|_*M*-7HUt~;~uMegslF@kVDSK9E7Rzk}u(85C_bA3b<(uEP9`Tn2qQQ z+h-@@F?kx<^da=bPRwa*jcn?WvqWPYJ3J{?m6>dXbA~6yG*FW-;Dj)`nu13|f36Z8 zF|m{#8<&aNn3mr=5O#I;uI^7HmrODD{oVu-B17b-Y-nc)VIgK>2wI1KIzrhM&x_+i z*oTD*kQH%mh^a_B>Oc0ztI?rPLXQ$3;es%Jh^go-DivNRClQ3BytT>GFpADPwnt4= z{$G3N5C8v!vTNQ)tC40;gn0OAf93Jte`TT}6=Qb{eTwXibHQZGiXYsrfOu`j*858z zL+((v))$(nnM}8$Ct`>K=H?!1CM&iI(+VZw4X4RsIB`UWmi<u`X7U3Z3gZm-i4tH^ zp(kI#=_7PCr;dcE9DbNEqG1%3btJ?qC(trGA+IPMyL!QH;QlFv;K~|Ee?W(if4Cic zt&IQCT6TZk!v*}+Ba;Hq5L_Te4&#LwSFeh(W2)uw*T->U3N;~-b}WaB{_MCCjE7b! z4Vv9wf_MbC0<Vh39*271is3t2ED=~%C@pRUv4mKH(`u%`;}XU~>^je$#M>W%4!gpR z-rxZ(UaL;aK=!{B@E*IEe_Fe2*sZFNKWE>>t0w-glK9ezM=}sn$#QHwmdD0sDnXS& zNAS*lR0eMde>}GD`wyr$(Lfq16&bfw`<zLK;CkPeUx6&ojegnG)|$}3pyT6qA63X3 zA{dYT^8Lq{H&II(Diay!QPZE)giBzQ4D`)miR@njR1YSo((9DEf80lv@k%i3l)BzW zeb>>C=oja)S)SF=kL>RP5S)%6ZBELS>gpI?2?iaJ$hg9~e$gge8=&WYj!|Sk1CNa- zL8mLSe*-`kbh2r47Oqs|RNs|uXiG!oBjda#aa|7O7XV}eSLi?lwcMT3`Q%$b#h3Ex zXa_s<{cF=NT{A-le>{5@uX0$pGSFo2j4gM+XFON`GLp5or|AS?-XY5GfpmnB4$eyE z%iKElz6ZL?BWH3<-`gwl=NNa@=6mq?hkE(pVl-cg?dmX=aiqPbVx*-)d}7RFI<%v% z!m_zSd~ytI(}n3U<RChRsWM_>kCLU;1&`xej)ZW-S*ZR+fBZW{!oMf|WxD@1#Ir<H zneLwm>)uhSI?4V2F5O=W|2^VW1x>-0u75}V%e4PA;>?>9A<HM>EbNFRet>mt@b1)T zBhM_7MlGbkCh<Lf2B|yZ3cCYi2%w$O&{|4o%EYIB8lpl%%m#Jb)dw@M@dkukWI`ss z`cvy9Iy;?=fBe<-X^0ldVmc_|PJLOL6oYRW8tO|s9y}<Ha`(Q+)?2O*c<b}INP|rG zkx#9>s%mmx^Pnrz>nAVWFvw6I^u`h&cv`2XSKdcXQn5p}?%W$2NZULCs-HH>Meg^O zyE*(2dZ;AlH3td~8uUQn(}v0`WLEf7tCdfIx9C9ie~=56tGuZX3C5n2eHnHMRZ&h$ z`{7T(nkZ5jkXxeDQh4~2*duMjk@fh5QGnSL{-jO|MSAwBH8|F32@(Eei@9yMr5;bB zFsFYrAODto{##P0i7ry)6gY_<G-2zEB8lm`8!`o^R47g5%YG^eL?W<6Hs+>6jzPIz ze<#YCe?u3?U`4OLDP_$egJY1|&6Kj{P-+Qc&%`Hx|CKzsHX?>xdV`<+-j<eTSCA^A zIOyJ#CMkpF-~caqeB%hdC|2oh-{n-Ofg<HrkB(`|T7l`4c=N7KT%DV;^;F53Y_#&+ zyOcLd4mu$Nu8EiqR%{z~0l>)bX>oRxM`3Psf7|`w;>occfR+T%^eOP*X>lA73PyW) zO@yj-U#zsdmB9g4Yw1`?>OW&kkEVuRChD4?NH4~UMr#?TNk#~@@cnCImHTC{0qvtb zz?|lK+pyog+n%;D5iP$z{6n9D(h;3-NpGE&XeusMhQ<e%|3MLJ8?IbM)nFQtDMI7h ze|EP#`7JW|U$OXF2MfBD8Ug9EJ#KsMQD^L*0laaw)?wA(-uOaIvEr*NQ*7MRVpxF- zinNzM3iXzVE6&zw8*Zc#Eo8B@@Kk983bX|vdmd||Xch|q(FKy|``%Y|g?wMO<^-pF zs!UdiD5DgWahxrXzPAWab#9ci%zkalf7(Jo)%rGy<aAK<i<{S)lM7rSUdb%Q1s3#k zTkF`DXdCuX-pk_zmnpoNa9SDxTCihcY{n5q@~YarZsiFW2xL^-uoTdeQuC+4Tojl+ z1zuPHU=9Evz5vACfVdkFcLU;XK-~WG#Y)3I0J;F^0ig3@rE0dBXNV+l7d;J8e*q?j zC{o%Rr=>w4!#v<t83BTgfY3cy?E#w)pS?Pap95^Pl@}@HtMpJAxGS9*SRE>tpj)0Q z=K$AK6IJ2jW$d}f=F-E3;L?-|%VJSsfl3njaNjUxa5v4qu+XDWC8F%%|D|QehBA|H z<Fqk->S|1Tr-&~x_UckePm3k)e?>YirBojeVucD3kKuk{HsA}Iec@S5DhyB&A|s9q zo~LCLnMJQcLk_|%z_X+OG@sj}Av#|uYhXeHJ*Kk61r-pKJDP5{QN(Gp@y=|kv}knf zJ#g3NOi&di!(3qd*0{ibGz*^Wp`Sqar3JKXgIiNBR5qYLIxUF;&&cIdf8Z1#2eP)Y zr){s((t-vmKoRING(gHrm69w^i@SiXCVQb$vh);qaOvHHO;7W!OTl$!uEEc_@_GiT zlLgVA7zWG-tkMNl`r<H)vL9x3`_`MwZlAXLnd5fbvsjNxKeYUD+pyXM|F17eSwLri zD3HgJvRqLl1qE_@QkD~ne`KlfF_d^du2_5m$FFAn*=b42n^+<!;eaB!DM0&@=F3LO zFL}`f-3{Q;?N3l$%c;3kx&K{9klfG532ubsB<<dnk1L+4>332~Z*y9z@-A;pa{V}Z zZ}M(|U=N^$SHXH-cMzz^Sc2+$jyv$M&FN&5`)>il{yB4@vMw&be^UxH27`^yHBNhr zSq?BQc`1%-W{TK0`~p&cQuz_80>|Ii!EEnYOiEmEij}HlUQ2Xy214%z{y0k9dx^iV z72r>{D`zG0H;mR+K(28BaslFmhgSl(ojhO!D{e|$pI}AN7r;PB6{LiIgj6m{C__l) zrG#dLRCXXVf7r1+e>xpkyjSmRvAotcY*6p)N(mdVm(Ky}mfEqGRVbkj_Ob*ejKN-( zqhupUNM%#D^30rnkUiK{)91eGyjy-y(KbwYz*exZaNe}B@8-L#l$SRufM>;03~w0c zpQl|rcy`kL`D*zMi1j;ViGfVcf06%d<XvW%_s73x{SAr#e{N*2<kd%C^Zv};*tswn z<gPgBu5s(qU(5UrReyurmo$)huIn!te<S@ro!q<e)_*}?qjpdS|NpS_9#Bnn-P$O< z7nP<U<V8gU1S}Nk3L=P76p<2&fP%D8Ly(RLyn=#A6ObkXQW82LfdCN^0TC2TC<#RA z1VYqcBK6<FfA>H4JLi1o+;ji?ojbm9#~EWW=UmS$d+oLNUVBZ}%C-=Bsk7P-yq&mX zIFU+9Egc6%!NA*5m80k8fE)S8h5FA8V;hcEzQF5RUusX4a^I~Qct7SAj{z^tDD4w1 zz#FuPFBuMu*Yfd971VXlT!m*3`?VKBTXoNFfom`9fAc-x0_nYYjeGH+9(zt7emgDw zc2eGRs`%}c2{@Iyk)?$K2=-iuN2E#5b_`CoAhOhYcqD+FtvRFo;FtS{9}TPUCc|N< z&i!Ifi?4<w!9GPCJ-7(Mgydx_3k)6(<-t>eeG0mpH;E1v0jsP?+-bsEJj8E3U{w~` z;_<0ze{92vGpe<1_M=JFSz7w?T1jX8j`)OO4Ewe^&34$uFot!To3=1qbU`&~M|4AE z@y;;FNHxKk4Rde<w)ZUqXVz_g+R(7Ug<T%v;of^<i{6VK!(c;y4vcIFXmM&7Q>+<F z;U%(%^DhoEzf2(NaGoAN#er6(y&cvu5>Ct>e{M5eW5Y<%D9m5A@M0urQ^T9**Z46Y z+RiZdc@R&O42}5<kX?}t(6Fab5ogqK+H}b-m)_xx+TobEowKw<!!h^urT2ceZyBe5 z$iYZyF{9Y>fec?18|~Y0?*$NllmPAHaL#!Uryj?K>Eh91;Us;54XZ_?z2EnTAKd#a zf2-$WH%9IrE&ZSH|2F4A(-E|Ep-WvMAR2M_&_)0rdJ$CGzjzc%d@$Y0wc)*3U0nM> zMmUO-c5ZQ?q}MPlu48|=8tug5K(T6q3~v-WZGAYSME-&cx8DA6wva@9l}E$9cka;A z(dZdX^!Zv|;vqB(kr#cGCOKSf1dD|Te`EARbQUESTZa9L!A7-QL_V}!2>+r@N!x{5 zZj1^|dzd%GcJCt;Q@m*LaF)OQ-O+Xl_k}ec3_I=T@cJ-xn7!l*(B#%X3_;TzK#SR; z##o}%qIq5c6F9+S_-aPo*hI;*?ewU@m)Bu;b?G;g;nm#bGN($$(21JRRPz%pf1v_b z3DU{%0yb;VGb>+Zj`Ar$xe=eXuSO;xnA4p;6$qp_JEG6Ry07_eYXNyv@z_R+CiG9h z6~VLT+R1f%DnJw*!+%wm12CnSOS_8zGiZ+E6d<*!I%3Yuku>=CQl*;_Ba+{;ftixr zslY1$Dh%XVKpe?uVLVp8eqYQJe}F8=X8=g;O2#G>PkoyLn(1)sE5@k4xmgpM3>>nB zckhsX>>~c>lHuyVGo;Yp8PX*8aSEb-Y@@DJ?Q&gP&F5U1)VEw?M-?Q$m;XG+;dA3^ zT6w$tsXIx<Mm@A=XQ8}T#|76MPwP>x`f!%7B*Lnm6$X?eus?uoNm{8~f8j$@Xu9f$ z_f6qFYM*m)ydOexy#|i~6CbgVo(Xwi5=Jf_%afU@8Ozgls)ydbnS#cCc`G&08kpGq z`0Pz*U%ell;|~od*K3w{HU048&bL>BF?qlAbwBG8gMJ7NeuAqHDAxIWe!eRBxw7Pp zqUN)SBIg;&s|s?&Q(k5le>M3YE}Ac~i(VhhA4xLsns`S110bsfYJTs3^5G$YlVDB4 z6nx3&C=<<PqNaHo9&PgGQjKILA--;&Bt+w{03cGq<MFuQH?0oO>y_m{V<|$wy@x#J z`yOKZUb0c@Sk{e5|3&9vDLGg7(3vArjZ(KXF(nZ|t;Ik6@i=X7e?61|*CzD+O}VV* zu_-bBZ1rKpC_7q*wlPfXN6&}S$9(53#h1*73^SZE>Q1*oPwOa>zeMJenx0J=sCnR3 zfp*YjDGBDaQvR|d<Xb-E3v`6<I3}8Zn~?3f`Qs-3nD0=iYJ*<>G1rLDj3bkcn2b8T zwhQT=Z`NO&eR0CWfAdX$_7Ag0y_BusFX+oCwFr+}<S)MRVUyZoV7seCoWVK0Kn_jQ ze%J4`_jVLV-&u|D7Y1JRu3FkvtI)CGaf|OQl)80OtH{L}-~LHl5<KGmfs6j0I^&aQ zY)kZg!;7k(Iz7{0AX#kzp)-A$DsXcfKUjOR5*s*vs%;$HfBMl2`|2C3spZ!}sg}Cr z$=CBAH00}`LoIc=QiFn*r0&2%tu^x`9ue++zd!$YEl?i4ymonRdF=*WMswYzcIW5& z;KE<iD!j0&9b2>4E%OG=$8;w<^@M9SRHq8@j^mR*VrJmmHM`&8QJ4{M(YQv0)LM?3 zvV07=VR+<qe^8LbLcUCP#%62tp*eM%0MKyvZoQ@+>$-WKc(%{e@`O1XCRu*z<%+WZ z)Kuq~rKWsxH{IH9N3Wu83vA)~3;+D}_hjHtRV!hx<?_hu??Zr46vQ`RFfW=N^G@L; z@-h$#EN{*~P%Q@#I5S>0U>KAF1ikl}8TlR%xjhgdf4W_rlRtYlf4&{wep*-8j)=)$ zOd0XdId<}7?SlEzA3oyim-`duj6aMjGxI~&UzEDK=M*)iFSzryY)*ni0W{x+aOS<3 zq#eNx+eNYA&hy9~jhMF^mcs^yyDUVm&PmB|^~IaR$|d0QwS2_G;qHsHx)*hkwsv-u zlq+45e~UK7)g}2CY%lD_ompEO?!2(ehhf{0SZo=aAF|tJu5o~hCrPk>HMZs(`3r0- zoAoa>wjM3)IdRfpzi9S|m%FPL=6yRdJ12K3By=u6Y>k(1U`aG#PIVw*PO|;3Z5$#I z!sW!d&7F+-n}w7~w|oQlZTUq|NlYvxe%enEe<K;9w3t1tTx^>FkpXf=zJc!Tm}?o) zd7E6j`4-bJuiIIGrqsVt=d*HDtMA<V1<uSFak+306)E`Zyz;=nbV!f->~`}>)tC`6 z{1f`;hW4$;y9Vzk)SQ22`45?Tf|cvkoi{2ZeCN)8Dfsa7rnyJ;7tSqdudjn?6W?EK zfB#fVm^bkYD~Se68qrE|?dLkx1z-L8=*>IPk9^6hZs46&437+!wE9t+4M3V@Oeh_I z+$HbPN^L}%viwbtrbUnHUI72vXlh$EsXlgB$uhJQw)IN;0{GrjDGb?U@IX^mZEz`l z>y;t^y<jGjF?DcVS@U}vAJ=@9T=Fl;e{3&mwq(^_1|rdvCi`tG(oGB;ur1$hyk<Y9 zMZ1E3^{e3*O$-|0y846a8y_tJr}TNr?M0K8tll!zC4-HUPd9}Onw=d6Ea6K73lC^> zBMOYe;i$%KTw;qzBW2f4b3^Z7K_+y!_ob~E*7Oc>_ao%S=j_r9KzBmZTl|qlf2B%{ zno0~JvRz~Iu48>c9`R+bcVk+2)<>_;=J^vxy~jtV4oWyohdxGBNaj!YaA}fc>ExW6 z*S475TbspF>)r#`X1FKo$lD3KK4#|a{?qF_1f7YuLI8iSGw}xC&J=05d(v8X%(8TK z4-<c*<G|$3^U}(D_=>c$8^Bf9e^YIkb=7aF^Yz^@j6_7QB9j|@PhB4S(l!MO09!z$ zzc*>z<6$N?D^#a7I%Xyfkq#J4|45x?I(Cw!JDGu_dv&58OLvgBTVOSRGI=&^>wr!q ze$#x7p%uzN(50rnSu-QxF*Ci)h_&~6h3jXkm&>gdKROte(2TX^BYqiNzj7iob${N& z^JS6`Z^EaKx}tqLsNIi^Ry!a)PpuPb<QTv7$j0X!3}Y=`>R|8zQg_e+(h)C*V+Ke& z3v2$Dm7JeO*}S~t)SA!dIs9R+{G?pm^nc+0BY53|p;YttRsVs<KOOu+ZT())ia+&l zFwDKoavAG?+^wRT{Asjw;O{8@1AqJfc6izKTHZ#Hae1Y2X8Ddux|er)%}dj0izfp6 z`^=JOJtgdqN$>((>@P0<o5!d&n5rBQ?c2S1JKgcviKn~G?~b5Mt&Z(pNjDBkFE-s- zIFd4Z<U;z^qrbSNv3Q^H{Ug7Y{^DML@yfrr!e6}aH%BS{j&J$D`N`ir>3^@|%ZvWz zy??c@_pkQ#{-y6_>Mz?JEBbm})q0>ZXIv=Y{SHXHPxu{{CujE71NPukuY0r(UPv_l z?xg~*x3rroq~JpNkLH{uOcc5viYy0D>N)1m;eHC@J=&Tv-OXAMzuJJ#VB&<#mkC{D zi;G2iWDsYRX|XUbO*T&d*ne;m$HtTMpaY9WZ2trP$6zn(zk~j+-9HWZjwi}-?c?77 zhYyyd4GjE;_WyOz@jyvh{hfaY|6SYvHZU;of&Ou>MWaiLGia8mcdtzKE8iXY1-X_U zS(PTamL&e<QS$5vo3-#Wt7AnJ^Ub%%Mf*IN>96tk+_*~Nt0$fg@qb;#xEiK!arsXi z)hIEIcE9zNVs)%j;ncUpQq$<*TVG|*r*Db-Pv|j~KEIX9zm*Zcl|ud#N=&8aZ>175 zIW~=kF_Yu;EgAobvr`9>XX#wWA-u-lH`$ok@hqMDxD1<UABb8W<tCCmE6lamq9itv zHEE#6eSAs>P<|`N0Dsx~Y}#*S?{Ckv-=0N076;WzO!a|e9roDx`>)hbCj~-tnqSc? zMUP6H8}ap@5KOu?l<ZY<b`VIWWydgX;h%EnxqxJP4SZT}?&=RV>(FjG;#py6Ip<zp z9bt2I=-kK_bLH{pt7qa`BN@z<$5jcjzFI-=j5g!uK3-s4lYdK|ZIk<`c?{pGYtt4~ z=$+dAICzIR{iOZHhRass>`ah0sW<6VBWAOFB0gwi%4Cj)N$XpR07)aD0h2n%2vf_D zRnqeg`V-(UT`OwsC}5PdK*I?0<8c9-dTJGG+o@|2pro`(BdZ$y0~<Vx-6g^)8&hk) zI_TF<h#h8+Qh%d;yEeU<RlF7@8X7D>`v_D%Gb_pR%#XeNU;pJgxg61Xh=xfb$w>e8 zkr|tfDQTknkL(z8P{OI@3xK2F^m!f8istyhpW52X5vq#crJkm}Ef;=>e6nOz-|Vgk z4z5MMCPRFbwiM=yU(Ia$4u8`>w;a|f9@3unP<v{KTYtXVC?hM<=)070w9$90l2U|$ z#Mb4tC%5IMpKJxCPd|w~CiGz+PTP4ea_p+<P2H<Z^qj9r9p#%FQ#|OK)VT4@FnziJ zX4ml4-!vL;;FEaE$b{L+Yx6s|nj(G)Uph-X6gl5HC-t;qyEnVY_D1cN)KklT1zG=P zoJWG(?0+_KVx{guWDPi91Zc+a^Vi=HS_v~~fOkEA9jH(d;P_tQF#fnXe=j?bH_ogw ziC+ejFeNxsath+>1p}F7?>4ihyhoTyED)$5n6Fo7PBfJp_=5qF)}C0Oo6-lO7FnOu zm#qlc>M$S*_ek~f4$B)S%v5YG-8x~xy=el77=N!A(bP2a*T88VXXct;81LMy&dDSn zSVjEx?=j;=heg)m$qWAc7(p6txb3_@ClM5WWl_19+i36eK7TAqm%w7DWY97j9E<Ly zufOf@DGtyT#sAS}h`%8GK;?o&iBAdld4Fz<GEHL`Y_!IP5etb~R4zfr$_QZ2(kzDK zi+^DcrdY!x7Q+`siZzY<!eaK~d^8qMO@oW3j`$Ro-uEdCLBF_uPUiaUFO0EQ0g>h( zB~oEMDJ??be}wR&d7Sw5Si*G{{YsE=Q<C8ldwa3`c`=-{TYKM%mEph~r3npB8sU58 zo7-OpR=)E$)fbORIhg$V+m4dbA-tIVnSUv@%FIV;<~P3!_QfZkJXE2vE7+$aZhywY zWJl^L>_o%u=c!wo$NXJpHIG#s1$66J8)t2A;F0pWu)qlLY#Ya)ht2gYxk5sUK&!9$ zKN%4hT@57Prwkhr7WW%W<VqBBw|y*)ZW7Vy<wkvUk5>D$V1}Kz7-%q&4hV!rUVnp$ zxPqB&;@xDB-_VC|(Ga!IY0KkUQ~1Y_Z>8Fysr0~7@b=w5bb2G&KKe!9a9apd)kG81 zl2;C|EnR{!uKC^n@J$91QUMY)9oCv6KBjyxT|E$z3JWf6+rB%=QQ*FGiO%?_!K>Zd zhW?0&Zh`{#Dv*#)kY84Du<qDn8GmZ&4xrR&`xqbHbO%scKxj`ue(5!{Qct<X$J_K+ z@lyG3bHwfe&qQ-)$C&z#`E(wpUnlgTbccFG8B8D*;5t(}p-di;09z5&iS!A54iiXb z)={vPgUosjY-JylKZ5y!n7j!#+AB1jCp{+(t?x#9sQyTe+vDr0*H@_U@qhHGYmb;= zU+Z|gC;1Nw(NkHO>Sma)1mOMiz}lEbC<C|BGgWWSG*4-FCy#vrH+HwXS2MXpxXJDw z59`fl`VG-jmEE{IWq++l3#y_tH-4pkqxfIjUf8ZxZYg}4VJcmiVXCJtpI#Mwpi;47 zYyy760;c#<N9<Dp-;zZ_NPmSP+FF)a`Gj)A^nc+0BX~i95uEY&RsVs<KOOucWMQLh zMfl#|VE4Q;DeW(wQ*QjB_+JR{e-C$;hAgxO{af@uF#i7pHd*=ry>b;+b%Kbpc(`QI z+~7tIm)j9@gx8GaW!m}LoNNhIt{<zi^L1)_<5ulf4}~8{fhYIiRDa~e!D1<JpX}c@ zDtk7{e|aeG)mH7?>TT-B(3c+e-0X29FaP$CHapp(C=Q;?*)wfX+B1bK>@h#^p2tM_ z-l3YIza71QJHmfEf`1>e<;3q+H3O!}$?&rT+wAuzW9~d0`1rGE*WhGJ>HwDKD3GR! zX&rRED0FGqwH}(iiGN7^=$aeS0+x~y2QRcXU+E57c0_6%am-)9y?x;GgE;Xjz%LHm zc9O7Wh}1aa81V`Icu=7QXEU6{wK04iB)MqB9(A`^Sddl{r?2)u;C})>V*k(e|8$_q zV(C=r-=M8W3_-TpAOCZw{|b2UP)XXiJO8=Oe>->@IGY}psDDMCs7y9mNvRvT3wVmV z0q$D1lD6W04K{qOex2Gi%Ff(TNi9EJ&)b7L$}R#+aw9+K8s+}I&k{)0>Y)+-l85rG ze2KDq3!s#Pdkdh_qI)Zq#J>DXZvb1E(B5if{O{GsHV$Ui1ct4b4*>ZV{LF7h@!y+6 z^?t8rCTTiJ`hNq*)MFQ6ZWVQod#HK12Zv!75oS$>Z-$L5GPi+t>}>;ucK+TYy7POF zD0{ot4PV3h^{&0u(QvC<Uj<F-*GXM(jAGr$f9(+!7W}<ORKdh6k*jUMp`i5I5omcS z#_OCAw(LZ@_Q>ft1s#r~RsiVE4&-LNH?9t8j|4I|h<}zUmM=VCda$=abO4YGmKe-5 z?b6Mws^>-?GSjrjkCWl-W-G~pY02<rV5Cw{hIh}n`FPs;IyE}_Lgf)V{5!-RoU8h- zTmAam=Wb+k+4n17`4VS>li?Xb$#DFFC|Ewp#|O3QBnI*KLx$e5s9#3|c5i^c2f!b5 zN0ra(W`6{v(-trtQohrJllm68sjX%zZ)h3YA-<9KYAifjL8-;UFl;+~v2R$@xChsn zW#?P)faoZ!r={4kgL&gdJ|=n?kyI!UCC&Uu?l9iaMMMm|dktulHlg(4ut;%jLPz;^ zUvq-{56j)W!Uw)H3?Qt9f{vo?OjD@b2j>jnZhuh#&QIQb#I^_gj`n$MVm%9v!h^q@ zL^iwX|IpP}Y$<dA;`IZ59uIqPWWZqw+qb-&B?cY>-Vfn({mJkO&X`Ya-oPnz0>gO0 zpYg%cZR|0?;IGZv@^EGU77A!+bDjCE3eqFs2E})UDsOVeDAs=u7zBJ5et8Z;$A(|F zj(<%IiGht#W6Ow;&7p0iC|GqPO}Ry=+WubsdJE8FeGtDw)tVhp^Nz}`zx!KmkF#!J z6N4C7rl<`Ap(DV<Bk)Jv<s&44VICen#Y@jL79MGtaBy&Na<D#}e;zD;?fwz_Gsc-G zwc@p2JYz8)YHy2x>AC38ksqAsb(CKf_<yrQ^iUg1sLfhcZ58@QzwNueK+Dm-KCKh| z06Dp^_`K?#*sOC-en#Nu;1t4SkjX&S6CE(B1XpkrmIhtuU$3X=qNZ>KsxTx};@x@! z#Sm49b2^6aMyJeC8W0790-VzkJQ00mF3}E_>!?Xq$!Y6GE6t)EU}cUHWW-Y`Uw`7~ zp!k}$OORqHzF)A8qK%4xw>cv^p_=d38!4Ai9XR4Ky0{anJ<&m!tEsO~VZ7K8r3>Gu zVt?c0S7g^(vhcnIo6(EfqI$AOkFeJdE1%%BE7q$Vo#hg=6Q8Kw7+9BuCn8#ei8WU8 zB0)S}W?A2N29;hPFfNI|$bAXrT7O<q=AkVbDcmaDs>y%^s0LUD2veO%I#?pssEgPI zi*38#7Rw#a9mjp2J9dqe&P`{hb9Qq6OtDF+zwT^<S=Dl=c@eMSA?_plk1KgP53A?j zPM)`^>9N^%AULPAWr`zTT}-g@TdR(5O3k@pBWlb23+Y{4m|wko{s#dPeSdVh;_>3x z3vc*-)R(9$U;hDRCdpy)h)Pj~CA?otJ$O0im6b-XpI*sb)=v8)+gghfbvu0d#^A^| zpWl5RiJ1MqG`UX84<0GH6aBdLkPminM<LI2uEE%H+|u%wgXO(9?PVL)hU1R(EVHz{ zPo*6<`lBj8xtG(Psb+<`ynmv#D(F$J+#xO~>baU(GIG>f?}}9u+85<Mk6ziZtxt88 zJ}kKth<O=vV|l-s(bww=NZT^~618(GS)no2A(kfulL2QJ#dX25xWLNilcm=?tt9v5 zD7F$6*M-X>X096}uard?RmM10t?b<JI)7q4<%$gfq$ns*))82lw}0tWW>FGi=~zXH zwqE*awJeh3jdrnFK5T}Wx$cLwE>kH9fjL&qL|?1)IkBF61xg%JB<?rUo4Fo^G%ACX zj2=~)Yb(03EMo@iDdVD;WKjglbY`v}rN^7W60Xx*N5`USuMb%*i|6FEuH9G`GlRV; z^PqsUC_-h3z)IBSrGK)il2N&-Qw8G<EefIG3ADst;y*RD@2uSnS+5S+<#Wwav8K`7 zU+?q`uXsX~&2khR8q<Ux%8ox=i+YjiBYhygQN&>Lq8IFjsX)KQ)>n}`#B|xyr*!H^ z<oi)a4c*R%ezh%nMRG`ZgBgD~9r@yg&!Gd`jUt_!7w^ErOn+$u7F%CLYzVHhA2oHp zRao8#RyO=vxbjA$=oKX|>0;5!o6w?HOG1JVr^X)+MZIY85kCO)l<%NE)JAxj()ukT zmqegW8BZ2-3mE<K%WX!6FHAze`V_r`JvRD^Sb3wV|FKKX@au<_H%R@D@6`DoQh;Vh zzNq&(c!1a-Vt>H6D1!gv80DSC)}qLu;|0@@L5r<fkwK?u(~v%kl@n%Lc1LQThHA8^ zt4_?eNa(56T&FFR{77~)S^h$MuH>wcj=YlZ2^l=Fp0D}A%f8C@M?cCqZE(w7WOr=L zK;wIw;FfzwOwAgIywR(@Q{NI(vjnQ%?DgKMPmZZs0e>lN^z!Z0KaV*{23^_gy}olA zZy=7TQtF>wVlN4Lc;r>y{i@1z^u>?-AHJ!!{yDjZZw{#?RNd>9*tVubN$-=+)-tWC zl%oc)&!pa<u+KT%c2u5|@xJvu)rSz#-~8e5E%hg0yC#BscG!08i!yk_z~xnku$`mw z^V8VQxPKVVHI7}jokQ*HTOte-Y9|TQH67o{9>c%GwWZEbqUMlPyNcp>S=Tss1$X${ zx!a}M`M2a-MYDr12dK1iFn9t)sIsKDB#zeot+K7Gtt<pS!hy(hj8g&p0m0M~k_V}r zG}2WOKg3qVtIr;(!8lAsk$!Y7#H+Hn@O1D*o_}tYW*nfFk#<PYqz+O<S5y}U3yY74 zi?|;VyT(1u*&#xZCJ07yw<@*rx1ME$2FO#RNU0<t(qz{v7J1*Fby}c<H}WWhi)uw( zCy~4G_iI^Q1UvX5<rsX_ebigjKd9%aEL4mFuD4BxwTQR-$vufJA%-6nOrm#{Vvk~v zc7Jta!Clo|O4y<}+t{4=oVc9(Ik6J)5^<XG2ArxKsw_j?L+nGGL#!sGagsgBoRmvC zL|P(wkt#_FB+IT0Y(|#|RthW9HG`e$a>s(=dt(jQVtA%loQ0jao%x;Fodu`(rnshr zb`EcGGOkc<NwwJNKdrY(mMNjOKXvp)Wq;1!6=kqfHx+jNponOGdQhmNDPQsP4dF?+ z$WWNf)?tP{^(?6ZYZ+I>VZa)Fc<UfTkXlMI=@O0yu^I40OK(XsY^X}49IR@b3rFV@ zx7Da}{f6%c9Fm8`m3z7+viK?^bxV)8a<yuY#Z|{u->;4pX0he6WdjKycs{V(vVY`o z=diB{tMIF^s|ZdBJAxdA9VH_7wF)uL2lxdjQ!7a`*xtA_P7u4kBtbG#lwnF$AZ1|X z<19Jku?eIWk_JiSdd-YjXH@wR%X?u@mPp~Z@~WyORjDrsx;E9h%Xj2<CN~|2j}tc^ zxleCAGr0a<O=3Z@<@1}Cviy|b8-E*9nJwk{Dd}bg`Jb{wf&*L3I-h--2ZU@h9dj>h zH0qpnnTxrXJ0KX9O<(mg0tBbBX>+eAKrk;exa#Eu2sUK~=3ah)U|i-`-gKPkxOK5? zwY*82==i+m(?WTZA|Sl{BvIaU1`u9-$|<MW1I8E1YOi`31A=o|t-04dK!30(^S|oF zRNXA|H}~>FqZsvO)489X0_}NZX7KFO*O1_EN3){U%8Zxhza}Ye-!>}(8eeKN;cCX8 zVkS{0d3Zok+$P6XKrr=}cffl~^>h7<vojqTR!6HdVyEu=U(3GhQcwPZ3Hs#spsc59 zzl?JE<GPw>8n2H6l_M?ttAFO<uU_q1sH6uL^$n)`JPTBsn;(#V8dyC$?|^iGq(gmX z#T}40A#nw3+NgUtcn<h#4kRj40;zwb>3_<9SIK^{zQ5%E7v)Z~eupqTjpuL59ea41 z#F>AM{l6?GjSiq8PY2Ncf3lKx8#sLpc-o2T^>2^8qAr$HT<Zh<LVx+&KuffH*N$&O zTk5OICd(}wRDV{Vd^`PEKjcrtJ6B(^l$h&}UMy*lZ91XTG@g{F*wo1z7z&Pjm1{V6 z_0?%ZaMYqzLVvy$TZy0ksACD}wH(Kf2BXHvR|$p&dHXyyCzFJ%7v)91@~1^E1|)<& z+b4lN4DzlV9+Fkl)PGUKCpjq4Qh<OzA{TiQLi6^yFd{+P*GpW?|4g|RCoEky>@VB& zhfdRQl7kYh4X`WP82-q&?P|ESCjM*qG~c!tQ`#J^=Ue3~`896s@YSeSp=t8Lxw10- zkaoj}tADCS<_Z@S54#+HV_Zi_nm9p&@kHfGJA5(n6Pxfce1A^#)W7j+*x>k^T}YX* zoY)5b0b?5ey+mX?;iIdO-7{&2RU>(Y8?PUnYg5+`Y3PIi`q%i4VFkV{HCdJWQ2mgq z&hW9wTc?_)k}Rz68i;&d(O?X7LA|P;jY~h}`_*&pZIXuK4cQ0txAwnJGs+k3KU+dO z@=Pj8L+QrH2Y;VbBd;Bvcwr?cV%AAb2)tWu$lWxzks1Ak|Ld?uf+BM6ZLZK@iqWK4 zmAC%Vr;;Ao8wya^`<#u;T8RFfwT(I#(Y6Z5W4m>!L`&wWdGgcUm$elR734~sC!*q0 z(wlUC!?t>#yP7zQ3!mGazpzyYV6H=2|Lp6(THW9^MSm=Dz}fCDD7_5fj$QQ$<qx>l zqxLeQsQJ4}<+F}3MZBdJGU(x`+zn&ZN_q^US~1*h^M+^Bb$q*X*+{`hW$4Z%C(*mD zSSruQx<$I@anQgV-x)-a_yQM->(D|k*&O%lT6ifmUk#HL8lFFQ^PT#KR@QJz_@-lw z;Epz#tA7vP45=eXk`v#-TOcX(ZDxq{)ocwVhtpSzoSP2#Ve2=;JM%NYLP^aeb<*cU z7SbbXs(E)SyOa5(0_3)iQ!i0*+PT}uV%7$+ek1fL2H9WpmPUS;6nh07@qMzKj_7xm zD75+0Q|zmK0z;nvo2B^IqLXh7?Ej2uI3*tp>wl5;8-dv}N|Eb~G+Z41K0emg^PGS6 zRQ~ZV>~8ETnT|3s$-2e%8h-iCiq+IZC^k@nRJZY9)|Lmwdr>`k3*5dx!#P(kK`kb+ z63*tITv6kPQy<PW-f8r{_sp<t6!jpl3MW)_Tj{Ii89xT%{AWlBTA{s)RzZsM`=!vT zNPo7jbDgAB+|r%9_#wt}KMpcr{XnVvVt<7``Aoe-GEvg4sHdL$>}jWwsJmgoICoyi zpQ?Y*ixP7;PcHksKqd$;L&N&Rr$|<o^+K{O;`Pw>@e+iaw2`l%o6o(O?hDCKzWUF9 z!oQgBgNnB4ZFN`A#FQZIRUV6xeY3B)gMWS$eLxx4JN6t%c5+Ow-=f9fP0K>dU(%N* zpH}W)MtW;w?3Eu`P59^sLA)PS<)N<~kI)V35xfqhc{^)Dp61pS_#OJCdRwzun~+^w zm!#*19M5*IcGX;Kxw)KJ{FNIoxhw6s+lysTc3n>)@Xe03d`oe3ejRFI*<^3xz<=NX zL2|`k*7=C$^ps({J><e$n;>t6$qSP)w|!OTTfUC>5N_&LKATLI7Y_!1d*B99l5u(O z*E5rhf`|E@MvBEa0yTG_#wZ1JJ9U7irx;+l$h5QpbVpMF%Zq^J&`BWNA!axXU{t|0 z8U>6BuLDL!qCjmHs7>7jYRaz}t$)%-S2d^-_DC^Xe$dL~w>Z=>a*LaU;gx{hp?OBj zS4*)fh@p@y;pSE<1|FsZ$tn;m<S67RWRVe;;g;dwIjGCEwVz=`JxRSwJwY|4@=&i* z1*joZHR=tjC{>=+NAe>L0?|AD{FSCs3tGlWmq`yvyrgfWKvF#^4eQ<oihncU7-CD~ zN@GjoNMjk|7-F&Hwq&>Dv}BE8D-@XGl1T+W%!$?XB;M5RC){k;>VS`}9Tf8EmNs(v z?6NeOJgtrt^Z$T`Gg5#vYOMcg!Dh)}$&$vM#-7F*BOt@R!?PtDCLX31CK`4uj3exL z7+08fm}Hn@7#~A~I=dp={C^ebz_H^|;Ch1{$wWQIYAZbKax45?J8uusO}9zV|1{8o zN5Jvjo{!5Oo=QX>6rK_K65$t?@~tkc7ZZ1HJ6>#Z`pxx-+2}Q;V83bbj%2I%(wHyB zwzKj&Z;r~~*AAMLVwO-@E+Hn`sq9RNTKwkw+R7UmndLv;ezD!I7Jn@BY7b<HW%Va~ zx-oTbtHEr}$cxYaaP;Lez7iTQAY3bJx5|4jmE=Or*-j`s{ZLcLp!O5OD({_CQjxV6 z?1VY#araPFlYHh|AfV@s4W-Pt-`;+yu-VYbeES^`ZcIp-fdG}f%?4mY1%$1zLuMer zrq`yQ_sWbBaMWjJsDBc*JRow_^*$@f9;qbIwaObO9?w4R7Ork`Iq~>UO}&UyS}z5K zk8f)PRZm~4%I)q>+In$fXv}YEk_w`wyw22q>E9jGrOIc${H#N8H@fqLV%?}hl+;dC zH2756Wbj#&np|7`2J?+S)l;D#w$}<i#!pZ`Ec%Vzku1b`W`Cm3q7(0JY=&<PKP5C- zb7%eJS{^d$jtu=N@LF(m(#Y**=n=wsjPfxL4|xCp8@XND1LTgCdoqE1BR7XVK;c;V zo`sZ=o7o;9drZI2;(^pFeA1iQm5Z%$;ZCMk`}csvH*fX;bJMHun1F548^#`BWBO=d z4@i77#soGRpMNmU>FkFTJMTZ>-XQXYYk5xg+qJ#uCy(jBXPV|DzFFM!y=?kuFS<n1 zn|FJ_HPc7$ELPra`x|*&l+w7pmHn!dHvatrAVyw}@$0u#zb&c$(G{98{N$dIV6NtM z!CaB=;-gn!`idLw+q<xWha%q-w`1;w=e8$RS6JHVK7YL*>RM5HaeF!X{;sF?iPi5y z%1F!Olit%;TWrUp&WyKg&VT+nrCHlD)RDWQx9Qe!=X<t|X>C_?FujlFlcYS?#nP?x z^2_Kg3;t7TnF!wb2^K-arNmp1_gA$twK+ykcOlx<LWIh%ut*esR(?id{h4WLly)ne zYf-w`GJiIjb%XnrRIzjH77Hh<B&R9I-2=s5vGc4B9Cr^D+r*Z#H3<dy#?G)c@eXTr zJ!G}u3-E|-WP2`6YG+yCZvCUHhjoIp^;p*&D}pEB4qsyCJ0GZNxQ)-OZS!sndL-q_ ztkWTlN{t<8)3@fC?V0+WZ+CaYGGlaK8??~Ul7D{n?zW*<1%E)Q3U^>;n=us(J9xV% zS)#}6MV#}e95eB(!e23pde@HldtpL?F%N6izeUmC?$oEp_>w?Bw%wz4?eGS;Z)wfj z?&iC8M1z|@(rmWe@9f%rG`LAko7-}?-?f`C$o`%d*x9+L{&=f%Rb33`dSRL4joAA4 zpMU(GDrUcIH>VebDxXC6lURB+O^x8oUat<_g8fok;j>cDROEh@en0Wv<NTMN(l;M} zb&1TPzA<AJ;;}l-xq2N_aNwZ)j^#;Y)xERTTMGp9w)Q2PpMC8fqMEgpn;y<1$@zGB zfLjB+ChBbMc+a5HgyxStYeM@xCI8-_5`V<`2Ys+z957GVbaP()u20m=aBA{D-WE1} zHM9@7*q@@#j^EkzXc(Xm-tJw?@3R=w%qVE}Kd$5Da<%2^)RL<vsfne6>zrIyAM?Vv z#lB4X$$te_kTY5BzmfbViEk&u_-i-k{OdoB@)n)@CFiG>j|b5m@HZf_(7{<Q2Y=Wr z!XM<k0p$in0^tl<C<6>3ehE^l@ll}XLgBMF9bgX#4&>BKuqW|a5E<^HjKrc#=QJE( zj|ex(sacaA#K53QxQ;U=m~8Q6^EFx{Xxa{clL995&2==YD9|xb`Tp%&#LGbjU|0h| z6<2TsIfAyHi*BZ<p{8qeoFF++&wqETEtIP$JzRkt9jFa#`w_K*wA3H#*diW47y9NL zU>%N^$tq8j?hq4#?!enjAu(i?Y$Z=89n^Q6(-B)N`pTRl7?w+5#W|_i_Mnw!tC(v7 z>SV;zYA@pFpyrykONbUIzF+z-5gHV~x*3QeY~zT3&|{&R{d#wa=0U>tuzyC!D4l8W ztWXQ(CMuFVG4Q#bqJav>L7!Z)!(T(xLknhw>M5ZpHnR4BdIKWGF_?_*Z?2;Vp}K3x z$MJ8_l%OOBSOsA}jwlCv3DuiTZ9r5w`jEr>q#;CNknkk^6_PN6TThR8jgFWtY(mr$ zta0lZ5fkX0pdm1<mcWk_e18)05giw#YOiTZxkKg}z(9!mP?|MTiu7_Qy$^GTxE<sV zrpFQ@aC#>+3FxHRDI~=eC0rA65%K_<)*p43=pF>Jr?(Ogk_(?~j-ln}-a61T2^YzQ z+4;ALXM-}}5jQC!<id=6H{y?=vo#SHAmvci{=o){9BLegIVoXJPk$yvlAW`_w}?JL z{O|}TiZyxa30W0?a%L)%tOUFJ79)ornxD!BdlPknQdWbfv%qe_-Lp75dLcn^lkg>| z+K&>2QpQF7f$Y-<?i-JMDFu2nv~;$kX-Ha`o;4VC0@;mDn~eslN9h@ZQ77pYQ1`iL z<dC#HJ!$Z9&L$qs8-EnB8VuYr?!g(e{iAA-212y@V6Z{Dl3%d(tfIZ9jmnTdEYHz6 zKVQYR8+|C~gFdX>5t^Sb2P@h(@E8!R-}OJHIXXZ8PWpC*rY!;i{V^+Pr|E(yf%4Dh zIUubNxzM8i<|atGqY_zsK+nVF#{rbd%5KXI%C&s(ar$%Uz<*p}{g9I^y=E}#I6V)l zH0KOd<>*y|@N6j$q9h8o5{&Q1_ym(@FM*NHke5*uF4Q#*<Tc2BX#VWm@dZe>lz%XI zwj7MKfmB5SH<6bH(g{)sEgBfCAKEsAAO?vyjdCu0R)gh2pZK^tnV>{!$j4yq(CQG@ z#WJApU=N}6fq&*k#6v<4?sHb#JG35}XVZ}EeF7E-y)*j(NeRWw`!owU^lWAXo%JCn z54<<$bDBkuEre#ZjiYsDAq|Lp!ebmXrwxnNnL{8cDkyRd`HM><RBsMZkH{f>#u1fa zSy0TJHW+V0F(Z$@4Y0MVaK$-6h+uTd%o(y84Lu1TsefD5wy$XUlYF;e;s_!h>YdU$ zSz`@;(~Pu8x<a{0rk!4a)I(ks6*>vi_EUa(>xF4H$`ICaqLhC)N_(S1aZ6SMPQC>~ z%>-kd7k<j=Zh^iTkrTI6I$Gdgpr1&*hg+)aD)1=~;wNbBiGD=uXz2!vBu>gnGA(fR zLz4vvPk#|0Cwl9hBcd0!w7rNwq6?ZRoSi%N^cOC>H4%NaF}S5u-A*0_iM+5%sN`{i z91b&&S8tP9+}9%=d@DV|pphxAHw{IN)Xv~AbNE2=)K79NbdB-LfsSyIhfB>fp&tO$ zy{!!)Ztnc(M+<K)WI?st1NCa8mJGUUq~@4p6@MT;wd*8pa!BherGeQ67EBQhuIQG- z4{A%Rpmb_RPLa_Lno}{UU`=eyj=ko`PLoi*XS376aPHDG<T#)!+<&69M}v%NMoO}! zd<znTG~a0p&OwTy6M_NkH6yNhZFp_za?}oRT7&D27D--+TB!EbV7grek(2%kI^h%$ zRev*rNF@6e2z?_EaRrs@#W_&zmEbhHif(;+9a9vxugFG53~NiHQQW{`^4q4hrGKI} zYpmM{g3S=rbo6R?aVTojj*NZwo+(Lr6jg_!B6_r?WzaeBkuN0?ecI9vXbHG=H4$^G zz+shgk38xP8?vvs*%pD*Zk`~X#Vzd{)qew;aDmu{TcUo}yHhYhqg*DBmcuOVV6SlT zy>MXMz_=GU&?$c8Q8diozQV`_7{s(`P=BDI9&|L+d$SE+V?8;I@g~A{8i@#Q0FZVN ze(Q;2Z{8+`Y&uFiw*>;hCmuILl3Z$QMikgJ-)a|}2>{twSaVT~$RYh*k6SD%1b+x8 z$RRvymmDgL!ZcrNOYgLK;g&+;H@mb8AOUOOiV;Cb7>-<BKJ^@`&H1I=p~89}BpK>0 z-D+85P3|&pvGC*8?9(pj2oQmfEb(h%nc@sn#E&DmwWGcWeSTb>ah4o6Ap&_Eg#c(h z)Z4Gs7`HT<v^lC>uo1uyAE^{Zc7HL&A52kmNW1ww!I3=578qP(z4-<(ZF&gyE3n9K z9cPO9cbH<XJsFWY?O|78tpLe_dShC%aFy#{^&2hxq>!(*3lakd;3HlKk?)z}l|Au| zcENmr(@J=8%i}h4GPdbGQ<`)wsveQ0TmgEcC(5<J0@XSMA5oA%615A20)K&r)#sgG zvjtoh*`r;c5tt7&?+_9=*}On0rby3#YWscFu&el)0roGLu!K0_qBC&u&GzmIK?V*L zBia;ha)?83lz)K*xpl2(WJ!z;hk8e#{DJE>5CxpkdMj{UDrNAWfu8z2Gxah2loIf` z-AGfip46q^MPWk2d*1=C@_+iE7<<@rf(&^yJg^OJo$_|I!2*6582G(^wHnkYWo{DD zFA4s*f)lnAJO1*0+up(Q1FKCGQIt!~NLnAd2(Dv;+FsGM$N*mdOF?pQ9U~Py`s|#U z9W2{1l{s7RaT(AG+O>lr2-2Z?=Z5?{9fapSfcvP(QBOy>x$=3V#eeEa+d=JSjH3;C zR3_M_##(An`c}b&AEE*3J%BR9Rr<{+I#m2j9RT|O4_mBubBd!AaL)(L!L6_KXEj>D zm5`lKwB}e=vxT23><QF64W*5%d^|7IWU;DYJE`3~=cr5`?Fd$fTjvjbuCq`+W=qs= z&U4gklWC%_{kmWbaewvDvm*C5w+yY4=tpa;mENm2S}32zk7_sL97V{ZpMsO@D#Xiu ze4T7j51_Djzyu@T(TI#5P_Ls{ph|1nt{}S5GC{v^>zO)Z=+6_DHU3VjbE!=f2<m7J zSsCAt)(=vKx0z4^$Q%9A9!>@*aol>24}^#b!jG@tiX~(@2!Cha#>K}4(eJFEp4;#M z2&He?y?=d=v{+=oV4mxfbK&;%SVv2;OeWctXcPpqr`Hh*aC%2HN6{v8XfXZRv`0+@ zA*Rp)d1=PbmRx8B`4ei{FW5p+KwZG;DQga+J!iS>=rsfkPEQ^`jb51Bu&3WAtcU7_ zU888hxs}e4v42;BYR4BkPSPJiYv1Yl5vzkN?JF*$<e8HVUSP5jK|pKH$qXzn4d{6j zCxg=L=&6Km97c&=1?`@dX`tw#xZzSN^z*pgl66uvdCHcORrBL&kSbWykRnIE)Q`DK zJb{v^ky56&LqQw#PrziOuo?1*AQ-C07z54R)w3(G7k_@cF=Mq!2n;$3mr?}=4ZXpr zTf~bfIz0avFs<qfTC=A=B%C6bzr*+tZ*+G05Y<pfcs?bq0-i5R&xW3zn`(k&6Qpp& zs&x{S?4hLj0c{GYfd<d&w?GiU<ZHe!>NYVFB@74up*ew02r8}Fyg|9tCUf%prVn&| z4w1WltAE}=sCmfgYuXSTyv!u?X+3Z-g-N~uWb-N{5tw(Bdlulc@;!*&s7m{y@gVCO zFd1kASf2h6N}f9oMqYqCg?jqvJ-bW1H0@Il$yjT)LyprOY9_x%DC7LrG2-NwU(PAe z$*9^M^bnJ%0#gGrHYaG|uHM*ld*P1ny(K?UE`OUd!Q_r_y$iS~GV|CUKq+84#y}iN z;YKy%wihEDaNDyH7jUc8A|KrLN`xkE`zz3l$)!y#-1ah)%x`MhTP)CX?CEVl6X;1y zQekkj5t74f(InlW0bxJ|TC?+=Nqz$4XFt&wA0M+d_G<lBgohpj4@#O?m>>Q04F#2( zjel-bv8C9O&H7iH5Sc*2uqsb)gqF>P-gW}Z0%O*lx#%WH3<^}EBu`I+&dqfo5%&o& z++1H&{`#+)b&?cWQ4xtpn+3&yZS5(lWT7XM?oMJTBF-jj@{W@_$^af56k})WL=kV3 z8GVvpu}-RfA8uuhxO5X_t)g0;reG_}B!Bu$@&O$``xA*kIF8rompkS%$r3;a(e_bS zQP-JdnMs~934y77So34WK{%)(Jii}RGga=`%p{+gBnuz}R(p&&s-J0X#w5v10$vRd zc;>>jPOHwzT_**g#F=0slW;M~eP-AWs7ar+uaggI11GI)yVpoffWWMPHX|&U<bNJO zC|yk3RVIPg{P^G??Cr6xk)@+nja0y&WRg*I#%$j0b&WZpzGc6D^$)<aFIS^uj!1{1 zecv`C$#E*Rffe3*3<Y2qKXVwC7lly42g=)3T!|ulW42|cVdGg)uS3O^M}*V3rR&FF zO;GghzUCH-91UQQ8j-W2n36*(Gk<knqeFLgn=Nw4tv7K?4Knyo+D<z&LPHzApQ69I z;+8(i!jhrrH}4933sj7Q<n1f2WD_0&@bR1`i<}!MLvjdju8&WFN_wDQ%}ALV<->Pl z%Ac)iOTBz>ZXMuVtTGq~8-K1s4vf#*)XNx|Gq8{V9jO?2K*;Z3?Vp9L|9@!KatfXG zP^8-udTGqc+u4>Abj#xv0|k!4`Q+K&+jBJb7nRRdih}g~5RKD6$>fx+Gg?lsXTrxF zZ96H7w#PF@<Ssz|r1YK42}FmybAm4{!;qiIRtiogH>b`4!4XYbPKC3G2Flv^<!hp2 zJ1;w;$kF8R4L@`XX2FD_L4OVze?-3J<iFctkMHd?!4YSsg~=Nmcl@0UI-kSIYdMw7 z4j}q5I{*V8k-LIOgQA=Ii0%a{aY54Xk+SQ+#1Add3(Nt5Uff~!A`AewdIjq&a(Gd3 zxTT;Yz|;|F2{1(;$RMl&7ANrI+D=^aXuFC5M@L(7h}NUFZfz%x8Gkfj;X}A*uXhC% z+!&r6v~YX<<lKi3%l7>RS)Us-b<S3MD!>w<Xwkj`2=U5}Uaf2N`s^J8;Yt3Oo|xkf zIkiJy4av^yMGt|wwSh?E27RK%qK*PJ=&+|Jf~IRyXask-(y))#adKpC2aR!;JVhJm zM}O)sa3zAH?d|C;pnr<S59XPp3=b!EOty7RL~oi2P7l6Nc$?T3t=j;3HeJ+2(cAsy zNetLUc`&EoL)uQuGl;!21?E9>7b$0e{!DKh)du=pr5;g|eL;sD;+4@hhECf$ceg+# zD@YSuaixl24%|dauu>@c;sEhhfy!<m9?ZNgpv>Na!J+7tcYjXq#M_-RcXhmF==R&u zsZi^!=!a12?eJSfwN4i|Vo0Zqoo2UxJTB`K8hn>{wR7<Hy7P8x-e=GC%?I{TH#;q# zefFf%ea7w-1J6K${Q_faTN0P`o$h0&i3j=$e*+Z^&tEVQfls=i8katGX5T>E1x18Z z)|+H1<y6#$@PBmXyd<(BW0JSm_b-7IyxH|xBXt?qsdA)HQVLcgPL;!jMIS`qj6BOY zPJK+0>cYp@vb*qia7C&!6aze|StO;doOo6CX(0*+g@?k@AxMykRAPwls5aNV$4bPj zvbpedute%Jbg3v(aF=I%Eo%{{zDOh&BZT^yRNZBJzkim+MW{m{Qh{+Gz>>O3viNt~ za0u*s8*!N1aA0w3958Me`!p+skHSU~h!)W0&^@Tjqq|R+B~rE3pcT}r%8;R!lJrSa zq&6(3D=ikpWxx@=Z;OQyO^qUzkX%W&U8?aeY@IyOtXsMaEovjlv&%Amn$v(Y`oI<k zBao^?LVsfY<EA+}S^wGt6GA;lwWIcuPLtBQK=)1JP2x=Mo5WVc8L)H;%Lqja>x$`e zZt;el2|EyWB#bRgH%u_>bl84|HPw*HMvbKEQXQ#>12~)o3k3@~3waA!ojIL(cLdtm z+7Gu2w2QWLw6kx?G5Dzqq*an12~JWb4U)`BkAF!;T~b|SEV;`EYj8h?B}RBhXiJ$P zMa7e9yU>{$RA)vfHYSc93yX)v?Q-n0?nrM*wW>LH*HU$`ov|@oYe@^)Y&jeY_pihT zckR06?Z%R2XSzOj*<fwDxMP*#mEx4{|L79yf@0^eb6uP91NVj5@T?#ngdl<w!HZx; z2!BBY^+gE+1W^J<Bv0$<bF2^!2oHospU;uy12;$jA*_EOlA~3uRgMurbtQ?D=1H4% zsziyuv)R-LOT_-(Y?}0MHk)>GMRRSbF%+m7B>Aqico4e*Uo^+oDWKDhNZeTA*fs7> zw&+7!2N=dwe$oPVD6WXpl7+c^6T=b>(tka?B@=d#;k0+fX8z@x=?dwJZ=DVkU>v0; zkv@{Hk;+I~BpzKUU4C5=U3Oh*T|wprYv=fvOZIOs7Jje$1xdhM>h}sM$%d2#^!oqa z$koXa!@6_0UAkScU96q6{m_;w14i{HxnTe5c$Qs`o&8(v3=ox0TI-s=-}!HLxqmT) z0|Zz2=i-!N2jU0f=-fGT`ttPcR#k2lPK1yO?+4BtmW5d9R>@YyRz3zxz?}fO0OJ7u z0HXl*0M>J$$WyKQbS*3t%N<9K&5sx6z;of*@EjmM2p5D8!lutbIQX1JldXtr??FCC zttYv6nZ$$R)7U_~`fQPk|8m8wyMN^4E!m2AEbjAT{jh#xs)}9FoD5yx_=WpJtVM$Q z{E^2Q0@S7V?jUtu6%HgPlC_9MUncS}!;X5IREqVyKg3cbq%Rn$$PlIWkutjE@B4H9 z%NDsg(m+?veN|Q@yNf`_zZ*Of*Epxh?%3YgwE24?x^zP-C+P=vA#RAXh<{x_f534X zWF?Dvj<vmWZe8m09C&WlaVt1Cp!~$cv&NU7E0}$~dhLK`^3!wHnQwAm9Qz<D7x=GK zVDEpzVh0JUwd5>f(?1k>fN?S4fFqB-P~=I*LFz|>3UxwdZ;*|YVK`E?NR8MHx82dS z_Z33|KIGcjn9<%Wze@hsRewoEsGlyafu`Y}0+B4Oe8Aly*?PQHyj6p7J|K#UB}E2E z2j~T`5e@=RD+_^#aG1ard9an2G2E54ReKEe$ssc9Ov+G9uch{eAOlQ=k=C#V!0o!r zHpROmwj~uN5vCa?%uuCHlMZiH%XOhhPf6EF4Ww;gbeNmzsVJ%p+JBXCZel2UiccSD z&%8sLBZ-lQx|Fa!z!*iwN_9zL!Pv>JNo-MkMXYD6=Y7vO&-kOjBgF<hS{3SNQY<?+ z=YD#Bd$=53+Cx`+F%<8@0t~{uTW1(hsuW3+`TTNqasvY@Xp1$BgJDE9VUD<1cdQ6D zqbmbjL|v_{&~fgs(0>*qVQx9KN-@TO6I*LUIXTc?DEeG^g?e;(nqP)RhDU}&MxX-( zjQAITQ6veQ7GK0-z#Gk1L#`{>;lyxJ^W&6$fntO2&<??3rH>pq_zx=4M?b4BqqdtE zRb#S8;{HLUnL*1)q=5YH+N|zN@A?N7hsce`rgWtEG(_j(v42zlu(I-YU%iyk8;HRE zw$m3^ei}W99vIoKG&Xo6w4Ybt|3NW2bx{Dj@AMnt{To@=a!QBJU0Zfpceyt4M^qJ8 zJ6F5p-k8hWL@Y$LBpG01Vs|-r*?+pYKAZnUG9x7eSJ%Y_8nfgC&;4b));*hefA6&- zv~`l9POSze0DmxSDYmqWxv_QxJJR)|E7<4uj?MPhn#s}_n@q6vLPq+Eg#7x^W(e}> zi|_HxRvSmq2X#5Nco>JMN+ej<QS3~YH8%Lnb9&kC+>4t_c#4a^ehcP|J}qmv@2H4k z*QH#(DERP4c&q65`sQ-OX$HvnObMIs+sa`ipLE1U?SCc?YX|Y94(r8(fbqT@zNp&a zZ?a9I)(-oVqOBIs0bubnzU^1TJ+e)b)(%oh(bpG`0N`*g->TK((|r!{3h*=|1EtXq z5BN@vl^b@R7}a{9;cf<=8Ma7(XqK=E^i>Ym@<9bll%IY2d5)HE=ydfJOMI9jt!=lt zVc!Oy^?$~3q3tg*`aKW$>R>s1+e<M+Cm(dY&Fn}qYTFVn$+cqr$!%Ri+`*R_F3MkB zS~%C#yL&Q=SL{~pX|aug-JKget6iC)Z%aD2g>9L}XRS*xJNR6~Md7Pnwud)*cWW{L zV}CWV|HZm{M>W-SeZ$hELug{71P#52iVBI85PwApQgcL#aES%Qg47T|nh698pcD}a zUCKd41f+v#l!y{g$pt6@!AKA>G!dc*?}Yn#p0B*`U*G!HVy&4oXZO8l|7Ok#zx!7$ z4@vw@*87ocYkp+mrI9uv?r%S#oQ$osozQT2J^i*6+bH!A1ZV1NDHf&TxdprGXWtl9 zo_~Qo4@~~^vZ6Oe>hD%;%*^Xf$6$SeXQ3L9(8?v0-@u}6%VkOl#&Vg^genNmO1OS) zJN9deLz2FqedZTubILCeiLL8Ov0qhS1U7TWef^;F1nk!%<?E7eR5<5hWwGTJrG#B_ z5TX1TS?cmXccmcLau*5Kvy6bw!Y(PZ-G6}lS}9gsH#BDHvBtH!&npr4D~ozbzyR7) zLOG08P3*mr{r+lDc@9=J+gT`l-W6Ha8#GGMAglUV#f-940+g^})e>?kwr0u}9Y941 zO^QXBh}hK}y*0cHTc_NlOWypCeeynnS0Nr-jx6olC#P2Kj0VmqMC%jm3a@uh&3^^$ z%a>9saqdI}T+=g4wGC65+=}hqaeqTlc^<a@p0kjJNQLtiipAZ*uf<2wq|_etentc& z_bLSG`WD(~XXLSO1(jPD79#tk+${9v%$(0sCGVH@S;(0Y%59H*Q-7Jmk7#*s<~p$l zDD60)pD}Y?ldS$CBkzXo&A*@guzzCvwjQR)&A!ni=e^9xOSL_+91L=`^-C%C$lqY8 zLhg?Hs$ZOUwdaCd*@0XYO0{mrR{7aibrz0GwF=n79Vwo=KO-et6ZKj*34W|-1{A#u z*AH#LD%nmPclg%2ug|qm62$c69HBfDn{In{W9iSBtrpnA!(g#^i7;`9a(|TEtLyOX z#lFxC>>H52&fgWz=P5@Md(S$YbJ%L(S=a;Av*QU98!0gog|pf3>H9(-U`If3A|)z% zgQWf{W0iK?e;qUtL5TrL4OMe3R8rPgTR(X&`~8i5eFqEw0)C_Wgz|^j)3#?VN_QK` zspu14k)?Xc_LSRFEcwF5?0@&m`$CJb3xMz;OqkeCnX^5sURt|Dj`pCp1K2r!bW`j= zqk~#ji5&U>N}qh@<<60e@`o?_Lm(CY<o?+ZdBOq)l9yq%%}zPJoARR6SD2g`v67>~ zy$aeHskUGGq9+3^>(5z+y@=lMk`)PuJJ<P?8>jeR&N<U-VMu-%p?`w^()&$H?IM;x z(Nr>?Ud0dXi=KNCee@Me7(!QgBH+rgO}V4Q{m*5NjzSNTZT)}KHY#=5rRtdFAI$0b zk>ganBmZWQSz&-bq*U0Xm)GGDb6H~70NK47B}e;_v<cAK>6ztg=Qyc$mmSXTQ4OlA zfR95LH^aWKcJ1qw7k@vo-ggX&R!d?oh+LQaS{$31;}p`(mFq4eW%tMj)lpzJ;i3X; z1&Dj(*PU2zH3m&lZMlC6>EyY0M)>Zs`{N&u&!5{gKJVpy@%|(Yek#Qp`LfCTv(?-S znV8PXrCoBzC&cA}8G*)T`Ng~Qn|J3IKaXBXseB0iC=B@S%zxg}^I2;Zsd479YSq}t zzR+q7V+UOg+Oxjs6jpR(fMsVQKLfs7p<WhX0;Ni`{*LXxAHH&O|J#48rW`YqZSO1z zJqMN$9lFbIj)&Bbf6#tghV{N!84w74N(}J9T*dztCk<4*c~M!eoMb$2n*e-#b2q0a zImbz(n@iu3AAb<EupOFqStxN1o0TzM)L@@jFIQD`aBI_Zd13907!}qRzRcoCK>=Sz zK7YDRX#Y@bTR!X_6c3jrx|{Bc+3V!CfQ6i-S;$$bp!;WH9hWB56Y9p>_Jw9*xjD|3 zIV~0Z+zS3rRy0#)zCvkL+`r<Z6RQThP|y(h6#fhCM}HH(zhcc3THRUm*gDNec~z6^ zpdNYF`k)12NF0u|Dc^IWQnk|J5bL^a-C^&GWt0CvpC|zz8X}WnTSDu0!o8QQH;@`U z`L!@I!n+mA`a@{dB6z)G89=SRECbs*$Xji)J=vC3c;fhsj%MQTu+h!?7yY0r$(}3w zVp1PLb$>LtKXG!~?5F6u;c>4QpOz*5rF4$<nn~5|EsWm%%WeML=h#h0=g%R0imhV% zp0&T)@>Aa!T1cGH&r8XVDu(Y=M4l|SRO(sr?2fU{{<|yUX3xvQ@5-CcV)^@CrwvcP zJKr)iy-4n#=sB-8;d@fN^_l_U2g2v&o7oUF9DjKqR<m8mjQG`m{+9`5usxxF$#ZLy zP2rs*x*9qd*ZINwfxV4gzWujVEoc9tHj&`)e=8e2S<F$WMa`=II^19W5k(kD_Kz(- zV`cnyMXlx4iJ%E>%By?MmX2*D<Hg^(Lv=Z+(r@17i-*RThkvMSaAaK?06##$zlCm4 z^FJMDeTRR3sKeX7430b)G?A^*NbcWfA;&K2-Ko^onOx8p{cD0VEt`|d=;_SQANtbc z^sBeB!G)y`xNqiva%0^i{MZD485<md2V=LKv68C_!fp+y>Lr40pY940{%`c9f1@Ll z_zuaHR|u^KSeAtrW_cYKb8KGkC~$mPnXR5=p-X@0BF_a$8JgwC<n%nuacbX@ulwki zdtEF1*EY-%b2TNW!{)^LkQx2)mxYc}EVIJs+{^g<jqlW?wx!D4F%RwdTDbRWpzw%9 zn^5%rC5xH5&*z`HU#$`*uJ@H}6OJ}t+WEhIb@{&c5xcu_<-)D3p~uC~Z_oSYr_=kn z+h>0l%nwIjaa8>O?=ffb6`ncrW27MLSn&BYPZ=N473CI0%Q}n(MjoSz5#Ji`e%1df zxJe}V$GOM5Cs>J8tuqlf5it=n5&BM4{D5c1`ahSvi>eo?FzN7(vl0&!4-^R$3ls`m zA1E9sx+?nL|1Pz5A&&x=p1_Wgxc2q2Vr_p$KEW$qy2h^!8+SH1TrtU;-3#tM>NA&S zjA!ycTRm&P8Y^<=)%hir7@Z~6nV!#oXNFwCjmZ15<Yl=fUx#^(8T9P!d6Rbhnb)ir zHUID1x_X0fgK-0<VQWO}Wsez~&laCWI_f&=o|$!+J!5s`cjB2<qKp1n-sel?#P)xR z>=oN9q#=As#xZV7{Fa0*ajC+paq}JGSHE9P71a>BBz8&Cax1vXi8YuXYhTRr3662g zxi@IgD8KEp@67)H`P8+)WB=OsF?q57{z9fMtS$pCLlWxi+Ej-$hEk&r1CuoldF{mW z%u4cvtYl-PmbWiS&V2dY`7AX-L&$$hCPrl$v1BtGEDr8ZQcI_2@SjyXOycszt;A!p zEJ46dUU5J3`iOPFc5p!xnfdd1^z-7g7tf{>MuggB)#YQPm$jE<XTJYGesU}L!EM#G zJGmNL<r!0wCI<rK_6hf_?-^S$`@eo58zH%*G;?ZZ`wVWzZ$@jT>@(%F*k^zKXVT}! zfBs&AB46{5-_X8`S~PRL2X2}F{Z;Jzvr7I7sEKyr*!4a_K5{<mR}`1UmO^I2XZFk- zo!KyRX87zD6Z7`sJ=<zHk$xjz!!PSxw!19OLnVB=8Yng*+_ouNsX=_{;Ee3&?;ZW| z)50T?JUQO_HjyEfA+e!N(Qkj_@1nRwqEnY)V{CPFtoZ8s6_pmHW$7jVwVQ_qxIV86 z{m*wfA8>JQe-`zuxuf}6Y{Keis!CNzj5r^Dd%H6J>pk&D>x|`%#fLS#H+Uy@iVuy5 z-w}2f|L@Opa^AAuD&At?TEDYFu|X@f;|q!_zl#SHZ#ZNox_8}wm&1Se`0s09>Gq2M z*LS+t+k!9G>|QlF(B(D!fBKjD-8)QAMSMI?3yW6vSEblWNX8o~J|T-<#2!v)Znnj@ zzGQ>3_9gqc{q<%jh<N^bbF=Ddus`qbuc1Hk?Jx5D_XP5oyY9YqRBS)>8e^`tyxj72 zd3jkeIGhobb`sfX&0K$P9yCrK8nQx0Eo&)_Wj~LJQF!xLDM#NrGr?OiSd#G)se090 zIaq-~L8>NrBZ5ye#5B1{x$?n2j7&{;vbRt$iSbqQ4#j(Y@Hy{$fx*dVgUeQ}8mq0Z z$dhzA$TV?Vby1QgM=0%&El<4Bgkzfa$C@YGsLIJpv$ItfBW-`@D5NFXs;}qUF|4e4 zw^mlu=gVI2=dW^NgaY<(JktEJc|s%$&bhQQY@RS_C+BclY$8TsPL%NlnR>NFV$LZ| z73;H(WXU0=aj|(KBpNW`T=47b49?SabwYEIL!0M38Rs>t5?iF^>=_1{CP~YxoYQG{ zus*^hQ;rpKRfvC2WVG9?sLY*V+|vvsFFR}BdGBU?9_!%wtjA>AeH=3M0shX6Gs!C8 zjoTL7MbbHgKOd#~*1LMye6(+Ss#SNm{Gsl}1HN9#AJ+vK9QNwyKU%uSv@Y|$(e(LB z=kHDzRnEQPe}wzAi=M)@r?31dua%tj8zyJn{vdbVF`|F`#)p*BUy60b_tlIqK6iAA z8hn6_iRrj_+QEZABi^XUxt3;NZ7koomGdO6{+*rlAsdYdt(Wjiki!p$6{e=qJd43y z$?A_v3$oT7h?K~2kiTQnkrp!5;omi3?Ir;iXhxS_7SFMFe(fsrwd<cey>yWy+sZQ% zX70W>?+t%Vn9Djb0beP7E4TY<LA2C!|7({E?ux1zcJ@}>7{7blA|&TPFH&rD*L`B% zxji<`20M1|Oed9Ua_^2KYVB;695&_p=iqx;_A=&CZlt9g{vLCic?sAzqp<B~`;>8C zf@anH=s3FS_~}H?<=F4!nwy3tcnKS6i2&`MKKXyVbLG=_H@tswQFKziS6Jhx(*7Zj z0-<#m=WVV}j5@&#NB@51&kw%&Cq%y9B}?{inusd(ofwT5mKC0$$$L7;uj=;x6ZhVq zZ&gb-4^uiTVg2$-uWRH|Q;VTvhct09NZj+F^CMxI1xcqRUzz;Zz27Hfwa%K`n5!tu zI(vVLd)oAx@h>xzBGMWA?WilO)!%HN%YC_$Yce`vtM|n`b~bwje>KkAu+?NSu0?Ln zmXQ*b>t*93%Gc+--E^hVm{XDFWaCJCedoNjx+F>d6qj%><TS%bQ=ZbYZf*}l71@4u zYDzx$x^1|RQkUNTbIadCufIC-?a;H|TA_alc)QO}q7$SWl{vT5&SO{P=IYbh<Co1i zRhqGqd})T7=H8?snP4}@5zW1cLlVKZ3|Y<oByaiPC`K5vKi+#wuo2^jW<6zSeeik4 zL(NXxOOlOZF~$lzLKq{~E1T!s)7r_)Vw@gi?E1#_94F-7xMfMsWLl-oBdJD9PGo=D zckCmP#yuR*HQmAT|GO;Cc-lQ1o?N3IM>}nc?IqF1Eu2Sb&DK1bMkP*48ru4jJjswV zjg+TgMCbltT-B5(W7Y-7GX82VQZVa-H5jeP#e^ZHxwDL7%~Uc*c<wUeh32XRU!2iy zohM7$z`2{|Z=EMc+6;nNW}PQPQsjT+Bb~??p}7dg7fq9dWhD+JP1QP2o}|ucOygP) z3zI}R3u*l}KGGyv4lB*w#z&GQ#`&7In$RLM=gPoqnj|jkaI7>}<@kFU?bu-%k`m`; z+G>2X#GDO7Tyr|9MSjkQ5v4hu*djgW$cVKa79ycJ7t`vomSX(v9Hq2WTg!j-d|l4A zG<n+?3BCpAd|DN@O_;xvgHJQTwyoo1I3Z~o)|S$I8P12aRZ6tR+<pcTIT9beWzLAP z7daBQRr%YS>CdzEX(QG#vV0ZJswPN*@LUL^M^pUy=Dg=$oHVTxmnDJ~2llKB_G7H1 zDcStGx-678zn}B=Sp+i4#!-L7Tsb&^QK4Bz&J}(l9xTCeNK3bMTyMTH*p;yf=^vM? z9=x3+!T6?`Wa}v1D8cbg3&Apl%+Z{EjOw(Ln*LXpO*s$Jq-|3Yw~Fz%F+4Qay0s94 zkK|s>RSi~WG$L0exbFoy8WIl<WVC87l7~csi43+Tk+LkpF-}9<@<e|dxBVaT8iI66 z#7NHJ7)qL{Nf^1gV~mrUsfie=xvh+C$kaH@*0~*w8e~rbMrlrl@d4>{6{9kz44P?G zT+7zEoeVtEB)(<ioC#>$YyEmJ)<=RQ#NnrLZHCv8_H)A0`msJDBr^__=8m-#CaH30 zX+-N`Inox+!?b$q73qJu{Iu1$=#6vJX|Y$A4LIFt@-~(d{B@kaX^S?)(j<8fJ1r1v zDbL@^sY&axwv^@jGFGiuw#?}<bU<pNh3A48otheyXtg;rhC9+XK6=v}3hYqdxMiWW z3|Uc@Mdwa3QZ=o}%j@Q@Fcvl2lcH7U)EM>1k%VQrIWI<_W_y3)veaB`nlCm+$b2i4 zf=RR0)Je*f3EsgeiC`vkV<L>j&DRBcGLn&hY#l`h<)5eqZ{+MwvqhFA=1K+IGxRjM z@oO0>M%vk|NCa=daLKun!3P<eG`WfYlUr^=u2QfZV-VSClS0`lV!nl=pN6+FmTVN| zjHO+-F_r>}f1ZCPZDTCaxRWECHgC%mH#gzfrx{=$t!u<^g45n$jYS%F`<PryoR?zc zU%i@d^GJyA#>lsRB-gl^12bNj2beQXBk#m}Zw}UDppbXsytf9MG7cc!le}evAqJ%B zp6D$Ze1M^%c_(Q|G588&L9?IYEgpQ4QK#8YURL7-B4d9={;NY=l4_LXyh__*b4j96 zh$-vyy(`VY=8;6BFlR39jg7JNe_MTR`pvVCZ3)XLj)`Wh9Df(XOfyz$kfdR9vwivs zhROUBksD?0BhE*j;)2JApaVp!Jejvt=QaV*w-f`EsUfnU)TZO7T2k+<A6^(A5}tEr zNNWaOjaGk{Lo);dK%*V*#D5ujuIM!VW&i!fS>29LrL#MD5gUn^8))@cYVu!Fl7c#4 zG<-QR^#6JJ$EhCs&0MzD^3iaE-@a-2(@N@7)|C^Z8%gqQ($-9a&)7;@eZSbGl(W1> zZKArdrt{vofB6M<KQEicH;Mf06@OTq^hTmjM3a9Dg%>XTyo`#E6z=O1zj&D!i6~dK zz8>9sFmeeWA1M;b62DlKbY7}Yyx?uyiA$wPTgsbl6F&10s=qVUV+>93=i^F$=Y887 z+0fX8-Y&tD3o0D>Hu`jum!{c(rc+i5lA8aAAzhvK@Y1qG!WJv<36sc(w5Hi@5|@@Q z7aD*4oY@if#SSsFG>Y~5Hd;OTDpj*#_5~$(+Y9_%w=Hpw{P9|vdW?><SKQVU$IpuI z&pl@8jgAXgDxfjDJ%#JU&z8u4JRo1DTrOfA72SI&a=^gZE>7{!cx{rpqlvRloc^DJ z)}J$W!eOtH?N&5Ca)&gxZKHOo4v6#b7f^rRw3^7ikmYcPT7T_j|9jiM;Na+Z+-!Zw zy}qT4P}Muu51)7Elsh_KD>xMInRKtX>!A1~&R6cebf5OnR>;C!I^WuPef-Bn@J3X8 z(jou&!T67qd&Tzt+?r4JJsF1!evOWoKVgwd_lln0P;T2`4z~mqAa|D;3Wv$;wab4* zGIQHX&8}>BF1s8;;D7XR<I^Qg?F*QI2^+Sv$NTr?3bBB#m*h5v(B%7Rd3uBb?a^0R z;3R#;$$4{pPU04?Hex{;Qqt6+;0$<C#-#aunYh~`Y$m_=>5UM<Vd<P$fWoB<cYj#3 zCSFja`*scuxOVd|SDX|5CVCAJm4tsE{49BhswWCNIqw)6IS}@|en^xeLFmWoZ9Qvh z)U(ZbzqHtPl<wi@?ZaCq!=LEJ-QL%$7+E?bB_*ue8=qxCG?F5q8{@Hx|7Hx?67%lc z-(#BK4;^|>?$?QZF4>oWi~e4D_1!A=_V1_<&St*QJWc=E7JC*3>JEXe0|S5HaX8?S z8)~7nC?EwM-v{jsw1>}B20V46M7TMd;h~ybeM-MA%K_>Rg$ct5_)K{~q8lYL-OcPc z^ns@T_TCNn9Go*wIM>=iI0C8sHEHw@e(s(_SdpCnSw`ZO)Vx1@cT-6C^_CbOhUjeO z4*zyVEiT`lIR-0B_M~OxjS7FWZ!GW0sebfROZ%(;J|`BsqKOZ$n>L4s@hsHxDpas& z2x+XWlH2qv7G<EA;18jDeKX%i<-j=Cutf+t99uDW2TrQ8AN`vI(_I%b^c(%fuA~eq zS$5X`G`B2r5tSH^R?+Lo|6&Wf+Ort$Vi6k7D*Dh#UzLg0>7hOyNXdUUvo9(Y-cgbB z8$LDMOd#D47_Y>(5X<cipb+28=TZ0I%N3qWz<V#k{dD+#mDIv;i9QyN@KqUawSg2X zJo#|#@OOfkJ?o?U{du2a{X_7cHvRWenQ)0~-2$|!#l2iA3#L_daatXqofRMdz=p$k z0_qNYwd&fOPl-MbPHBJBuUr&{)GI9b@P*-d!u>S3rs~>4Ua@{A;U(wbN?OTatAB;P z5hPi0JE~Myyk6<43$8Lr?ox5UJpxnVec0vv;F-XWH@DRkUA0-3DJ!?nS5cE0`#ZlK z+Vli0wZ!HX=$||qx`Vpd^M!EQRc`(43h4&?wyQ&P*ecaE^MHT(M*1JF0&EH1nCE{{ z`1*O%<^vhQJF=}hKM=lx#d+?M2}H`g+OcfDVhdS*{bxsPN~~L^uldHe`Cs&4DP8fa z{1D7x$Y$-0PPu-`R+%&6scz6QKq04I3{!zHHH<w}yEbB>qks?{kqxH;nNy#U@Dn}p ztAia^2`_a8qX>WDrM_VNOL)1qeuZ$_U2c8N)u@WDLV@5#=S(+&U|y+yJ>kH+j^l){ zx8R1Jj-8V&V|nx2%vP4Z-d?eb>OT&@Di65P-b`c{20VaY{ceuxzX-po2*?#I6$P}w zul|6gBe1%d-+|TQ*6-_~RA^yeFT44X+X4=95@xr4-wb~_K?}PDORftJP>*0q3X&%* zXo4kUw*_bDXFDfvU`ldc#v$8(UH7gyN2_bvH})Ss9BC5&O1*R1)Y@1EV0HBEb1TW; zDy~(OU5o#}{f!pKTkVHt$z}HcrVrn}nt$3}+I$;0@YP^fHUjuJM{<+At#|&|l6-a( z>d9Q{Ihude;t4L&Hwm0OLm1PVI`Q({_^Hg?A-BDw9Bt?uxnEbh^<Zq;<{lQFkLjiH zrwM$q6Tr1iE921@A!tr>asD@puU`Qx+wd&g2hESTLS34~{1HyH5Cp-3*@R#=DVTlE z^{&w0&0ur;(K_z1y%L8v|2;R|0HTOB{#o{feae5&wS2x3BMX^83p;!F7d<MSw1WPs zPN=)MyDZp4Rl@9TllHJr<z!ev6z;eUYYWsW8Jb%DrXsQuu8-#_gl&SR2@A%6)A;^m zD?BXTWY>pcI?a_&+6ovPAT6_UoDEN5K^0zxzD^U&TdmwOk3q>a{d(f95UGdoy0WhC ze_wx_=Mx~svaadl6S+>Xes6_Tr0PCyIxH0oKOg2Z`6cje3&>>}!;N-@Ivk-PbiaUM zXPN#t+Lzqjus*%QKFS}ha}zFFMJ?{eU+;YJkeqqj#Fp7JlQH_`(+1cc4}AnZW3>pr zLAW0cx{(vyWe>T+oV<IIBZM;hoSC3>QB;5Q(3{EM$98-Fj=XbOe`ChXsEMAI6m?Nf z;d5&#b)Tl?K3u^ftmQW=>?NUaxYb=*K?GNX@LVveo4hgk-gme6nPQW!+DVyfRAE0* zu9Jq>s3Lw3z~t>Us+b?B)=7tJ)Q&e-R%$0d1+Y+knSahUywa{Qeni#PJoWE=6$O8B z^4q2iEx-6Qk2?gM41)Jyx*WRW7Kp;VIAC=*%;Nw~2wC%}99VMdUlnBqX+Yhg<rjC^ z|BCy=rfZRb+crPKcEJV)T2c}oVPOa8LdeQTrPO1Zr&y4Rf(W^JGAe*&)_2e7-DMBL zuQVqW1u^mz(pOhYPGZp3(j8}rc#?k<T}s`kIkcbC=DE;6$<9C9;Al{@Vz1z0&3Mr6 zhTDqR`8`lYp!oNe1N3#H%-`{zVYQKgCYv8Ue*+gQ1Az}L?rDw1r9rU|))agEM{!aB zYcK0l*1H*F@`K-rT19|h#07|ZGWiLF27B}hUcuD5p)#iA=oR1AN>y3aZ>N7*i)-S3 z-&zxAMG%-bDSnMA^!)h3$-2q1$^B2yM)X@>h%N%rqrXxGvBqAAegw4AU-=8j>SmKY z7Yr6keRsFqMAoc0tvNBG54^p*0IU~A*2Fv%IO|~rRjxRTj!<qSE<&eR(}J)(_~_f3 zzDXQ-b4!p8=#QS(dI=({rw@NlFRwpPJbF`o&{kMr-xTt&AZ=4f8-X9c(yRqx;URli zaC+t9x#Cfp&fTz`Yk6}lT+5sKnr1ndwe<RyLuE$;x7xk%I`L$Z0Llh?R83H5r	% z>3v{9_)l0Wj;cKxew~uIIDSh0aHXJH<dX@4@Fn4NwKjn4y<f9Wp6`G3u<2<Nv~BYx zRvOgric<z5ixdRr=y7+2YHJ4kU3blosy=v2#V2gh=u?D8n61FP%1iK?-Xm*zo2mt6 z<^I?6p@k#cQdu_e=NtIsT3js3*3!XsUQ5T+f6}p~ZZd0<mvgqE`QTADRuE^{%K*gL zBg{bHZDoqPz*~3(hU$Oa>;K?h{IGm78NTK%`>;X~phoKhFFeA;1;Kmto8}p|H*D2q z_fYKiN**lmmbG6H<OfYjYsnz~m$*u35!#D8@Iuz*$)x3)xc$~^;{F({iL?5TxT~HE zh_IxiyN3!<mFf@H#90Yy-eX~Lt@if+C&<6v+^AG9ytL_hqSt?`Q>+(j-bU80d7J9G z=IyODZ^N|eCNYzFjI#|<huey{M?sM1RQ9(E2&*Reg78Hj=&2MGR@KBx0E^OhB<I~_ zbmoJmg0-?&h1SYmYfbg>V{591H(s0er4`pJ|0;d;ChezHQ(Ht9ECO4keQa%uNQAG# z7TJPX4r~isWPE>Y%~~~qlAy4Cbx}sE8gNSa<e`8?&y^8^8pL|n$E#a*BdEEtss*!j zf|@&PRxnc{(41Mxg4q|s4>wkuV0M!5!+q_fm!88ldhVz{wY_Iv8(J-&)X3w?!YdG~ z9n<rjKs(Ahm&Y}OndOr*J@fjIKNOb5B~joI*rId3#esiCf@oZlPtjxoY&?T8aSd~U zR7rWN<rep0H%!kw;qODZokqHJbUymv0E%*ajAVI#5{4hmVe*~BjzIkpd8rjmkKpf^ z9y<ctnI)UYwS_OiliEG=LQp*+>^hf}4}XH2y60Q$SZWY^lr(&Q@)69N!%RDec|dna zdG!@dMX-MX6B7%~|19>^AUT~_jL6fN*rX0mB75fdL#c!XDwm%Ecfyfx=cDl~C20RB zsjYCb0?wPm___%W0}**u<&lqIE~aO-JhBj0#q``Ev=UhOJgzj%tDLm#nKu_46`tMo zzpV@o2#2!${c^a{+~Ye_BQ;XF{9HJ|gY_o@)8l_nfbMi%`9QaIT4|1~w(s5SQ)$*R zu4CfJLPM=zbW9GiqM%l;YNaluL0E|QeV|3)Q()pHTefQD4k($BsoQs@TFT{XmHw*p z;!FKQkRODS3-f&-Ob{#A*97n8+%j>{VJutKKm!Ww8ylIqo_PnZha<nY9E<J6{8b#k zOC5jrxc%6Afg?D~Sg{5|ls1$+E^sDJHJ00#!lw#(10k-N-q34Xb~U#^9|s}9N{!oB zm&~M2bvsB3y8pSl(>2o-(j!fo67IV~`sz`8VAo20v(&#QVJxK5b+QLwCWMj=>r_7i zJ%$r#q?P-x@{%6!mcoDe$E$C^O3TpU#0h`QFGB*QQa`sd?=Bn)+jpMq!9iqLD`IL> z#We!7J$1hb^bN|)>&(l8W#F!7CsRxGi{YJf1KY~GN?-@fuTaA2qtF@ksC|$RL?TU{ ztFW(xYnhmOxY|x3>%a+*trzY+Zy{ePd7QfSLUUvothnL+W8IiA*m<EEwj6ga+X;US z5;AZ4((DN@%k>j|Y1arZVf`#$niSz>g?@@JZ4Mkmq41cnE|*MO$b5;Vhld=YuN?O> z8K{wvdEJ-xo^YU2KgXBmN;nNcNB#5AeFK#WSzad^)h?86{!>r>>T*n7{m)*)S9d5z z{ZBtZ#TgP(|Km<jAwV|jf9?=eTp@p?`X5z-%2DWq`X4Sq#RXDO|Krc>_J;MlPF9T$ z<=%(=Fu$B=_Z||U+|CtAhy-Qc>GYw&(Qp^{ZOEO?e~3&Yf|SANKh3Oxdx)d1Cs3rR zn-zjEVSXJXWI~XKdXz2PRRM2JZ54vPLQr0(Pd+RK!_Q7u*+B;&h*RBvAHIJL>(35+ zcZNKmOwv?c1zZH{V}5xO1Q}M3IsyyAx~pe@z|;}RO87A>_tgDntH=qK^3j`}!+9_` zFYBeYszGK1=xXP17TiNv*p%8T3*}c%hNwrKhufT4s+eC#30Ep$6VlWy;mUouDq_m0 z;<qQ{J3rv%yl@k48?D}31~-4f@ch6%mxW~5GHATf>1OM;6C?UlUgDpsUJc)QJgxjo zEwxo1s)L|=ox}HF3mATJa{2&t9AbT~UMzw0;K|v6C}+qQS|ClaD*_7O^_X9m2*4^^ z^(c3Ea|NuB+A0m*gjm^~!*}5v7=C?n8V4Cctl)8yb$7_d6GxQ)C3AoI+n{cUmCNOe zKt=>s0++vEKysUFo&iTdFXu5y&MbTAVMN~5ihzgkznC5yLY)h=C68+je}rM9o{)9W z4+1NNJD3lb!U0|LQ+CiU$Sh=><lG⁣bi3zj<8qTd*TMNuSTP7PQE5Qc4+o9d4Sz zbh@x0=r}3wWW{7Dd<lQkV@e2fhlqLHvv6cNEZ!5M4DE+l>0I;Mun#=>a(=4~Bo2jr zCdrk-#ju6NJf>vaJoWLg)c5ZBTs&k2Eqoy{3*mP7_dLem&CD7SiO8!d-&_vIV|wlq zWL;UBd0ZKIePzIoo)8PjmS9Han%{&A;muw1x%R9@_%|?=0$YE=lP~6T9RxuImLBU4 zF+X9YJ0+gS-3cdF2JGtz(Sx=T%o4bbWcU`m`SrZF14|dWIYwH+vd%&`$4M&=tc}pk zQIc_y;665oi7g7qg<s8LR9(${pf996>GIz$kkuT9>t^Nvy~ri0yUY(c42V*Wd?sBg z3U~r*&tvwwnjL?D+#>R}<Z1*EScE)oB-aiJncd_zGWkOG&=D@_QCQ5BE3_*j?|iPt zzXX;;9yfq%hk?wla~r$(9nMhqIO$PIKoP7xhjDi{I}D`*J<$Bnw`FVi`S=WmxX)@C zhWVJDAI#LZaDY%x$QDQ%s!QWGX2SV|1*M)4Y3Mqk4uF4yDr8kT>7U2VC;TmfeIxQ> zo$HQ4*GYNpZgn^aACcE?Zq--`H)48xY59u~3*(>H*@sD0d`dWhC*|3ddltefn4TAe zhXj^=9v2B8tq4%*nb(9Wp}HI{DGoM+JzvZZIk7yUhhIs&;s6@_?<{7~way3nPRffa z|5yOaVtRkr1S2=r&OEL$oK+dnxXY=@L>uCfNu|a0%<v7jx5T{XuTXD<K%pi5SH53J zLi{i?efhmD?CEi8cvkkeun~(-+Bh%#+qtGd+C`P3o2WBr+;d|?+<E-lAS!vk2lZ%H z_IHFNx)w2;mCZ#+{;5M48JUhC6~hCOp2z&+ZMT0<eZ}ssr|Tvi8W^OUJ(K)S?iRDz zgIb!k@eAVC@Dc3pYWlGx#%H!J?j-(32-RU&7Q6ciJtB!QcH9JKi+8w8)t$CLZa(H$ zWP57ikxEe;O4}T_XL>Vo(!=kGEj`j*+NEF7O--wrn2888H0?$PN4iV7d{X?Nrd3aD zMAUy7n!dpEM0JTP`i3>hGw+zGn``}vE6PRjsK{z`tc1&m;@?5q2&LRVS!jwGi0!MR zTZePqk(0;#5Y06n)Q?#k-|{Xf7G<FlAEUQW%FiViPBGUb+iK{GQ_M(YTOCe3+@FRR zIaai-x#lRf%IIA(+hZHuJ!|4E@4<#KJU)N)1o5ckECto{1Z_ZB8d78r&{VL|XOekS z%wS}|u_E#28V9PA(YtiEhdx~=YoeQHs8G~|`u!Mvol<@#xowKsgC*4AtU77iyJ)dw zo=|Pb^}ni9%n@v8J??loHv_>od`D%Q>*3t-yU!3ECEmSMJTgH0f(?C&a|`EYBWQny z?{2Wo^>KFi-TuTBiFX}}Mgz1L*xGs=KAf9|_+dDUY7TLxKFwOX#x~c)ZNW!(^QKgb z>QHSbh`%J?bt#TKK}S)j^>pGCQyuB)S)|=u<3-(&RsNDUrC8L63aCM&1&OtoV#*<N zJd4zuYmQU3v&wsT7nF*sQJWv5y~uxOPbDX&pyRt}cGy(0kBvsVt(xbZsis-FFL@Y* zUoEPu2E9nxcsf~Xin$+o(6dOZImDf+kX26S4Jr6Fp!93d^^}cglJ%#UM#z|292Cwi zMOYmx+T0vsOPw}yiDNe!)1C3kr-+XvP3el10a_(i<|*z(IQJUjs-X*+-DrPEx5g`< zCK^kcK2v--K)a6pS&s_}=O!Tj8oDH~%}sE=_>5CTrsTU$#ruP_8tl)fxJ}{Q8;Djz zmn=5lotl@W%WY698IsJ>@ZgJ`<-Wq2G^Z1&`9`-WY)M7GTPVvjL}AL(YsJ9<+IFmA zEl#FcNs}&&r$0d_Q?dh-_l19V`cg?*3?^^8qTeG_@MClg_H8xJF`Rp~MYzW3Ru-FN zLN~;dYtYl=>~qPXQ%o=9nPXZBY|=J*C?59&T}8=0ll*gvX@D&C)Dmf)&quf!-nzvm z>CsQ&Px%pjBurl`-W{ZIu@1F#yD8=_r1mkbYiyD}JpzxbL8nqS`X_%sNI@UYM7uoE zxghkhv321>_IIWocKLMjmJ`d{hOx`%lAHfCIguRopUI`<KPMicH&K?l73H6M6L(6? zR-)7s8+j$Fel;j{N+S;^RsC8q^@TT4i#%{PSqBh*BM<zO90Dez*a!7={*HcHE%reT zowB1}tqO78D9H3}n2vt~)hw$)dAuq8AeEKXuywpiNuREd_m&J{A2y=f<Gp3U1Vxv^ zdrO0f9z7WEEe|G0`cC|iObB~cqPkD99+-nAAM;E81XR5yAG?r@KEZK(99%~3U0wHM zIc{~6{A!U9a^)#KEcLsiDbmqnETuE7tQ?_Z6x9Ev$qh$U%4&b$2C?-F=|T9R#Ri_T z;#f9HJ;IwPB^A`CD8K&^T8zBUFWFALpSFzM`jmbWh_OV<d5k^i4AZGXh#Lhlzci)W zQAM)$O$V`G8_{F%-ZKq6j-p>a$~e-S7%WxYuXqRZ2yIRtIGOwgh?&ApJ*BGvF}a9l z!ywj|CM5&9Bi?^|zJW)VsD7ci7tjup2QDSw1GK4>fiuZoU~(4u)N|}<h{>g$UzvNc zR-zyIW=hh7v?@x(KgpR>On2;1J>4Rli%0T2{Ps7`QxHU>>UcKan~KgFVDO@~=@;=A z{D=V(L2nfA4bq$_4d;@5!ANd6kvuX$o5Xt8)19Uq&5(bOJp2@z-5_dZ*3w;eg%(`} zzx<p>*f914wV?)`Np3imjGA&hfHd~>(`$CKp-N{Rd&SF89cw{l)u6wU_xUHQOgVZW znVx<s&29&&yRwdT^D;J!)uR4=j7CwG`W4Ts5pNq1KT7^s?tU{j^TzSxWAsIeL@kaO zUUn04*zkW{68oGHE)Mnk3Hl-V(1qlfDaRJXO4dXVuU1*>7Ha)tv=Mox1~lffMudiz zj473UPMaQumpMbsk(y;HUL2%pV_WKQ=<u>?M4;ijJodTm^z-=8e~6o<X1f&C25IZD z%l^rZQ;t`VKAuIpn%$hJs#z1yd3`Elje^D;Mvi|tlYD8)@gg$M6EtKuJQXzJ*StRE zv1$QIj7ZHg6kFAZ*bKCl1Z~qVZLT}7<?}SLO;sx$r8rxcP>4NMi_`Qq*r<hDj~4|C z9oUk3oNL?B9fzog@S^i|3GrCmF|AF2LKA0!-_AWR_Lm}2kCX5<n9#v_;v<$kZG4d} zSrLD)N2Y%&XuVZjon1t?QVyL=c3=MMXoAEV&E~X^eAB}P;9EwgiIEbwx)cNXe`q{x zNi7|{5>s{$p=UUo2T1hEor)Sue`v;FcRWw6QVHFl)reZ=d)nwAZ+mE&0)4u;$0%W- zFA90vQ!6>-;j`kQy9S{O1vKB~pQ_Ef?5Kawvj#f*rOrsZFcg#co*jlrDbF!rXto|s z2*35~d9ht&Mqu*E=!gF_A^3(txm79-N?KJY$C<i>3M{9Zei(RtfI5`5?`6M~w)Cwx zie3Y>!&pu&{b;y<5yITC`X>9XCN3O*!Jqg_TERbAZHl=M>1R}(&fa8zyMYR?MxTEq zGtMNlr<iAvmQU&G;r<y252NZkRVp`>wLU8T0VYWxiwuL}s#IiD$F||Oa?XocN!@y> zn3Q{7OfLh?{c23s7&R8<s*?V`<X+&CmpT;xEam=qfEe)_+mT;GQ}8QCrOcRrI)_X= zHl~|j^Vfj>4}R;Hkf*Waji-{oL^FSX)gwfVf)aWL9R+Bi!_WOug7|9`bPX&>yz5c) z0>T;)SB$DNdj?Ykfbj_|lp|V=s%c<B;@ulXG+20y*bjW_8I+TJ_d>B5EEFKvM%DMg zg2cOS#i*s+i|vTxz^$G^fUz%uTe%mj5HzEpIItk`?zN&gSh#~wGYVpu`{RErhDgYt z-XKLfi`$y#y{YC|+0S?wCBLVr(kEyqO7`jGb5l$u<QY#ti{|-b)J<909XyPpUlZ!g z6STYlI6S79A^<r2_B4n1QuVU3U+{*M{GOl^tI<SC_NnC2DW)1y+tW|9ImC-9nYHmX zZ%EOv1$D3*y;lGn@1~ft$X9=!elpD=#{p<>e8clr0-*h>8tpCs?b#`&3IJ_C0NNe^ zw6p0vZ$$vw+BIlZG5~G86m&%fTJI~n;D|N4y>BKYT!(_#V&sw}XyqM>vV$}P@?Wna zh30f`YFO6Ux4i92eh*POkI^022i4#Rqf>#XGy+E$0JE8>oEmgI_CbFwPC8sC8DU^J zD<**1V0`pz-u4ZCcTsJA#AV8{faIz{8V+k%P2W3}l8?A<G;7p6Z}Y3v*Kk&?dEN)0 zwo5vjq(j%j_xTZrrDoFwXP7|pv9rldQ_S<o4adM4X5OC47o1^Ql>PEhoA>cbj`HYT zKT`m>1av1%Io?1d8M%KXvR`Y{k$A!>qUDCM0+fC=+Jmz1pX9D7$6CZ6BNqxgSpWy{ z6SRgTKm*nQ%@8|PjSCCcsX;Uw%}O?>AEqA9(xvlGDf^Y7q-xNc$O9*mwWb^+kx#vf zbeom*=zH+WCk5adkUTc!Xn}lstVpz3$(Zh&rTdy!qB7=$&+vaEwg?dW{gh)iqTQ>= zuo<B0h@ndgTT*4r9iQP(bd{_gR8-kY#Abp{-6mN(FYVKlI8(Jtbu1BeiQK@;P#9}M z@e&&Z4Lb+Lo2{}pK+z%mkUT&~Jpcq42{1v@PvbE%U}8*1;W1KRqD?=5$H;++K3xot zkpvShItiaEy@r3O=J;Fz`*ykq-dn(~OW%a|7O-p5&*8lR`)d<=JAnSNrgSH2tYHv} zE&$b7udx8?cSm&rT6==YC8VS0SR|MjBOQ;8odFX^WQy0=Q81B1rg)5nQw3mZXB5=Q zr(8Lg0lN6gy4v~kiSE_tI!Z*K0O35bEj9EF;bo<WK(K#b*?dRp-mHOFyl4Xe51;|H zDU4;J!XKl3rDyX|;ZM-MlC$p>n+Iqnl!z084y203)YAjPxgp3r4?o%F`MU@wqv|Yn z1(L3We{hm$sRHof-x_qj1i*(a0zAdk(hr80<sjq@tCQIk`t&RK3ulP1L{N`n`xA5~ zrQv+?$RK~s9y?S`*AU?8C9g53@Uj*}z2WSgFOJ#4W!HO0XD+49zj<U=jiyl!olmZq za?}C9ko}Sustj<U=Bs;;)U8*FAp^8uShA;|aq|a9YF}1%C$CmTD-$(dgT6>UbS_zJ z%JDqX^_U;B`GdVgD(YYjdRA~+l4<_nO63UlZ!Ld9>#kt`c2gvt(j&sl?jjBwnZ~ov z>EqH++E36&$P%^m?E*ACY-oBHpeexS(#L373c%)bQ;s6YWg}A>yTSygg@5}GaZ+m3 zKlyG7+UF}%w{RZpkgkQ6Ddr($uotM-U0AAjmhKy#jH*@{s;e6Pg3LIPJU7Km#h$9i ziG_c2#gSu%F1OfxAL=nY!H<|IrEn5#ASMF&#G^>6dDk&&s?n`f_NMK)qj-)#alMqn z#bn_r<~o1?E@|v<CUiT&2KuR_bzQK5u22{k1RF>Lxzht|pbuWu8(F%qcs~`juA;8h zp!ZV_1t#B~a+F7E8M$0ze>29BQT8?H<CK3x{>eL2(C0GI*U68BYv-@8`c|W@BwYp- zg#gSIA~FpX-u6pvkZ^gY_<G5+BO0lHOv@Y~q7IIPCj;DT#Aeixld4qSDil3Hxq^j3 zY(_QC9snVp`abIr0Kzj;E?tVCDQ&`L)Y7+v`!^v_hO=4hyV|%Qe9K8<sg%oG#p!=R znjTiUjt=gVPY?$TM*#?HOS%jwCIgi?q?E_=d;qnGq5_m6I8V%Cl^^32fd)tFa+U;u zz>^e)f3hZ6@T9h69h&qup#JO}S#`b|bLIRivJHx9Zxx|-F0|On(4;JS5IZy?J*#(d zC-UL)_V5zEuJn>TGZs5QuU&q-u&sYvzItvK`W`uQXhhA0&BsqYIox_^z9y>S@aEJ% zXcYWTJN>k2*tYIeL!-}DM}0FN>&?9l)9QBep8;IUX#;;-m7uff6;BTTI27V$acRs^ zn^M2?;?5%*+zj3iU2l$C?Y)9}UixNN|Bc=CY649S*CiK@mK-_5&>k6Vp1pspL^M4y zELHn@pU2z!U)td5T<cfKEhat7H?LUH^7*i#c4L{f_w$u>qZ6x=#ee-bTx`?H*}J;^ z{fd-0F@$TPeuiuHYhU^v^SsB0t$lt=emgX8ygl%}{hnK80WV`ON36dXgWXfL;oLBF zwA<@bx7WM|D$ns=eukL)&E0?fMWp#XZDKkLj>ShDU)w!UJZIc7t9@~Fn}rkW+G@cp z;p1}PU`udGqg2Pe`kH&q(sHwoh25*S#N4m#9-+>T|F|OUI7)N4w``zM?N{=b_kvv_ z5{=_l42hM^ITm$0y%|^eXFerd$+Kju0i4+IXA<{u`G#Qw=EAV#o~nPTPut(3<M#{# z-mMqj4_<oTHA0jL+UxXP&FS8BdiR^><Hx7QTmIojj9toOqEq&am`EFo+#^!Dqn7q0 z|4g}mM2=x+D0?ro=H5&~KYvfpXEob<8X4XAj&b&n@s`g?ZQZ*_b|1!*PIaJW3&iiC z?L>&_hQltkuTSu)sDFRb^TL&UE*p%DyA0o|<tq%f?7J}h<`Prdhw}+jNff;pL)hRg zvu9fNp6|lN+ui8gJ?7dP)w&nncV2of9iHM2(dJ)7HqI>Xh!tv7LJifQ7UzdlGxKwm z{d5sOuHfS8DTv~@v)paMts<0cQJj~?tv<9Z)x)}WR^fHacglZ~B=uiQEqc^3>R0R9 zIR(9zdh#)OTubVquL~x+(*f(=y|*Jr?vkihqHeI%dh2zJdewUOqQbdWUx^?^>KRKd zT74aY8+Uecn^vnWrC~izN#j-r+Kw7!z5AEK-IjZli1oN_8n<3Xc~k4HcmGsy8IHn= zmdq>2M&phK7PNmfZxY5`(YV!p?*Mg&<|LnbEHDVUbDc}S-KoVG6mB;nEE?w+cpmvg z#O0G6=a<|DEe%9nbS$Q^Em$W%IBE6Jo74%WPM4Z?j5RUGfSA3c&fZiobjxaABG18{ zx5HGF?NK&#!f!`Y$f3p;bj?t1X>d|<{Dh;{S2-n-UfO?8!1=ovP02tz{In%n0*-g8 z>~r^rP87*E?ZP#_zy*f-KLs2<6HINuvHu<IWS1Jh`1}O(ICx~gqiqKQnhMc(GtmyA z2Z-17h}jbfyyyxbU{})zdmun3)ISXfNU<dTOGY)MYjw~{ILwz?%VJfi=!F2Gk)!51 zrIq}ZAM<|)md+oSE=Usok*oHhKHv$8mWp01?aJyt@kZ?NS3>jYJ#Fd{o<|2{oQ6)| zxelRbBY*y;M(37V`-*-vR~t|La^c&f9d{QqE)0sc%aV=G4;a<V*3p%oJb(50{=D7u z8Ltnl=#eE;{=S=W#&SQ^m-aEUc#FGb`%F!$EXIFl^V@T-ceYGUW_QxJV#dwfIx*U3 zzL-|ETa25UN~e-O^qHn6-;H?dRhdF^c>C<+uua(8lYSQJ^Owp`sw;h%$>x@&kmky6 z&StAt01AhWlRj${JJshc1+uh9O-+2%=CiY@oh=;#C8C(|ZddT))fdyiVu2F5RFWMF zD5-yrc-veIMBD%(;sh@?r;_dpw7W#SHGl<5NVD0C6u}$eRMM<K)@97NECI+$<fo8i zGts0B^m5YZo<cMWB=rK0n4?e39*E=pbmQ%)R%hRZL2*<j@gBH<#|>=r0#F>XO(DlY zaRh{NZG}RUlA#GlGRRowgrgA1u|Y3wGsu5&Rx#QL<k--bxE|#A*fri;0uUg|9ux>L zZ3+RkLN}RUUIZLg#pq-KDVDess9us}>lFbSWYc_Ou-!_7LDL6UKpP$E9|x3>EQy=- zi1uA=I}vU2=Ad$P=sPs!iqUG6jpB4<s6QF;A#NX)x4fN>(UdDd$4XXjr2d^?wqt)E ztoPGsn#WPQt)~tUv8S8{r0D9QI`<G!@dGz`87gDCh~{3J1$J4Q?igBDiMSIt5YM}z zFt!2l=^gDQ79;GprK#pPbv16_8ZTGrxqMs5rvzRLLQ4-3TZpbWmFgfy&!2D%N4^)D z6>oC$p}w_VPT}<_Xl+5X7lP9fLyUi3HNliXN(omFave{8b(H)nmw$HzM{I+5JAy>m z-#}ExESh$C15eFD{R@Gol@rW-5XVOa=v7@}cEb%G<|rVQWFLM65)yWU7ySUR2sgPM z1T3V`GQp+`nQ&AE@$`R3dj~j~1?YCbx$h?L0!ZboNmIyCKx#F?3;{H;#b|#$5c6Ct zaSUWV7f0*@S=txR>k}y1%KlaYgm3(hJudm^BN^zInds=mMIkm{pf4)4tQF)&c~8?W z2k__>>K_l(@10<30KGoN=vdHLA}BnJE6}UalrEsbhw4-U8r2EMb3lF1JDMjT%>%Ik zR$vYg4+8ZO3A|;2Q>INd5a55<7RtQ=lx*GIG%pY!5~@Q10{%=mJ^(}1grf(LL;Q~% zUIAJS$Qe3FOayWozJ`=l1eaw8C-uza{?T|zs{-#zZmuJK1TSX}#-Xi1nMqt<M;r%c z9#RtGEk7DMp|x9h$Q<-BtuWDsd1ugvV2R5*$H3SHMo%zalek=#5uAS{=_K0V<_4Y* zi8g#V48}Q$%l;+6qEiZ=nSv(S0mcnrJiP50?E|naLF*Z<42;6to~fk*y6p;|9dCd! z4#*RXbntux(64||9E{0;UIQ4sbYOXecBYH=<jG#ygk8g!2}d<#qR6c+>~H$?2+iO^ z^ht8I9L_P+zZx+g&xn8LT~PItL=3*8g<u~DY00rkNP3kuy$IB%)4+P1OjG)C>J{r# zwnTlYAQ@`vJKB0|?s_e-x7?_2tZ~I?C31r-PPwVZo7!m|P3BE)7*j!b^wRLy?FrNC zb{3<nC=%<nl$w;ZqfP~A?kqw#QmE^+M4H?@sD{=hcErsR3L<~F?M)w!Q-`c^1;8|2 zQJh6Sx;qnnIs?>=yBNFTA!zYCn?4)>Awz}w*8<P4O)yPBVDt;npFj;}*b$i^FS<!Q z3_ul^4eY}LP>^X-0&rBcyUEQ4FzSVtwE@Q46OQsAQm9_qEa1OZjD7?7m2HXPAiuiT zc;2AXxQMbz0#tw6(UcAf7KaSgxdRvzCLB?KQLLBt8!*llquGFwV@o^;3W4!Gq>K_= zRx7BQ%|+-s@MgC)(E_|FPvzYJmFyzV=6e8H$3y)Em25G=+yrFRy`%X9SpkJ;J;2e2 zBl>~dMJMqxfD^MuO>R!0boWj;5&@}iG5QOjiKg%j!LEOwMK#rcI-;viFvY;j{9^Pf zFn>vgEeT$_h_GJ+_s85q%Zh+5r(RklPy!dDj|1rh+qLadJ{eMWKUh$cJu{I7Xfpw# z+7T@Th?>Z|0b=k@h3x_MaglUWjUej&p<F@K9VeJ>K%d&XwQ7``SS!X~6OQI!@kcMM z3F!G)v=)DuS{vdkkdd=Dd4{0*zmsAQ7J~hu&Ni<CLQzfgpe^I1Lv`u^|M-NX9N_Qn zrAYz)oFa4>ux7Unu?aMwvp4=@4TH;E7iPtf<3Bv@`{|F(@A>_jpE#G2s;2g9M)xGx zzPX~|MP7M7ycl0Q^nJE0`lrcMtNLI5O3KJrzGZ*?B9YO$?e1t^iZ5m5?eGUc(^-9Z zV)HLcx!)7PcM|^$>9uS*^)UbApMAg4?IT7$YU%pd?q3~61AKG{(4<RfzWQuhQHD^8 z+jz6HL=yXUou9ILdX{wcI_j+mhmS^dSIvW;O~2hnoR8m_(pl1vU0zS$fzhTUpZFBN zq>z7lxREj-PnVByfc5D?nr)pYU6qi`b*9Rxhp$i?gy{&3_7R0KImFf3>{?H%j<wA5 zlka1YJ|d=w)WiMcnWX6l>w)lA#Ot_;)XtJnzerug-<j+TU+N*v-(#i~O$ZI4cjBps zkC3TiMSIoLBc*SNQ+*;Fax`%#G$Vhf{4alA-aH!WKl&fHjWsnxC}oT>)+{L^27{Mo z#=b_@QnDo}Vn&3L*AUZ;ExXKAmXIQvXr1a!gvnB})Km;b$;cA*d(Hd(`JCVRo%8+c zch2v3IPQJk`?&XcpWA)j=gc{X4fz%d&@q}?mtlDZLtCcrzx|*6-~LarO(+yxvEYCF zH)xkO3yt5efv!(0)*@6*v9WPlInest=eZa_7H9g)bs>x642v9SeR^yY)}ZydN||?p zvc8e%_#x=TgqXAL3ihmV+;kmeSj&5D0U3Jsm!E=2-az>|$X@fmTEPiOP==1jRj5{g zIyQm|NoZq)l=YPlcbEU{R<SxWkv@MvD7bo*pu_;VtmM5mgPfeNvT%fYhwjI3(6(6E z!8!vSZNc?;9&){pVR21Bu379a__rF--SuxZVk{UMa(o8LA|WcQy-?z1`^AM_haxoL zy$*uB)(@2Lf(#E{vxtEVZvhD$XzTi>&3}eYQ}fR8+B(Q^JL`?0sP%}uc@uv!)c7x) z?Bw|t_xXI!`5(oL);t$MnR@=e!~k86g8gqs`FH=viu}9(xn`_0L68%pxM@M}44z9Q zWP$H5-wE{&6(R+Y;ZEBGWq~31@5ICkjTZ}6#F};27BaMo3lki?PTuQK$S|qD+!QiA z%dij(0xChUf>yPKH{|9U?q7d5Z*%AQZqOiP$G6u*ZtDBW?{$~gLAOHM-ib_}i@e$a zkp-dgnO$rEHP5i{ym(cLZ5bEV3YST1&z}FL6tW$j&|e;9drOqNkF^*CPNubI%ro;W zk4V5x2LxXj330_)i?wjA)b`x@7C2WAKGI*FW*Y$G>azCQfe&y2HVJ>jG7DS5SG?Cp z)Fy<W66C%H5VErQ1Df^8@VEbIltGon_KO5jK^1ZvK9gpaIe$(Q*b0Zr5Jg+IaIE<F zj3E$&auLL)Ey8|eCAf#{v=de~^{x6_aiMBxvwRxugNrIeiBP%U1<im6M7<#@hflZo z4Nb)gM17!j#bk*kObCB&@oce#r-DR_OZX|M9H{f>pqi1Z!g?d9Sp5I16`^`04)WPF zP#zDt3c6;I33*!2oF5ZxJGpV9pvHl*@&v1SChn%-(GkXTk%cT=2g;`)i;60X4afp} zAVE`5&wM@u6+Jia2+}QdA?84uW3~xo!Oa2AJ3;GA6COVV<!pbnCF`yalo>IsGlHxu z##)5RF2Foas{!iZ2jP@f6GU5}@y0_vO%P7qEQZ>*A^HfS#<UNwjUo9pi26aaR{cr& z1t=5``*aIas7K~yv4nL21;rB3(D)Ofc}j=$wh(m{%nwy8p#+)`f}+$sAKLkdol^hB zX8iN-e4N|7m&bqnkL^K-xSN6s^C{285b7hNznlWOkgm4aCAda$3BRDE@DFu907?q9 zE#o8xG$upVC&(L308>D$zhb;g&@yFtIzwYJh=a<kOiCHg#Ts(6zrVZ|a&w1a5e2zn zU$c-9kZOw&C@glBg(~FV393KPf*bJi{8ng3(-g*61y4p})*CN>h-_uO!QZ!I{}r+c zq*(tS|7n1U(ecF5@$&dYFZ{OoJZFN(VcKIU8(YOYxKj!KnDz$`JOjRp>p$0trg5*& z&$qZ;ZyX$#tyhb_zxr_XQu1WyvK910nz!DV-re)tVzPMe_u}53Z{NzQ?Vow;Vl-fL zd2~8w?<{ObsisDM#+__MS<^m)o(8|G{#SDot9=tsjx(7a8h7&0+h?{DpQuzIOQL}z zWQUVS)*85ShFizxDQY-9dG1*aj|=ayM%zG3+koqU->G^napC4*Fw)_eSX*KU3SKGW zPNY;n>8;o%#J09A+a%+TZSRubNUPbV;}jNgS?)=YD_^vKx&VGNn>aq$^_<leRhFMW z`gp_{k2lm4yKp9e6%$h4Aa&n7du?y#<KpZOJyw+Xnb%ITb*p<No)yb<Kkxfi?)!4S zr=^NzeFk2r`1)Ic@A7=l<*G~8XHbG>F^^(#H~h-?zMVp02AB3GVaQ)z>mdjEVZSo> z7CkN=mxuCyN1<fo>fYZ^iXA`poTN;27e9X*pRGtP_2xw{a5E+<!ms4#pK(3t;c<Gw z_5>+N?O-^`W5IUukK9ZOJ*zHXd0mGiic#>?(O<D+N)UA$Jr4YMK-fatdayS9M=4=T zo|o-)?vq#=QmvNhppkwQZRy~lQ{Vu@+mkOdIl7^L;&^~u#&jy^7SFV26)`sz+({3% zXHl7F_Asq>cqZT2r^l{9-MTy!mPPnY=)SYIiNZG~ez|3pXHUMwJW=0=q5aD9nwVX@ zoc~LRsBp{bufohBx~^aHA9c<djQ5?jb${kGvAcN<tIv_ac;8#YYH;!}1+8mX9gZgk z=2z)|xc9b|W0$3a?2G1r`aU{3l;$-wd-o#Y3!(e&+NSJ$XOF?zyX}N8#Jb~4hn$$w z81J?<JzWobdZcf1g}R3Z{bz&KUZ7j8_Qp^ci%>wU3tcMK^f*LEAFQ+oqzQG^OYbTw z`W(_b2}42eXYW=M4D-DDX78pGnyz?F&fe{RAT-ejAJ6XfXO0je!<P=ZFh_`y$CipU zI6E*V_trK6<UFS4!OBp3ayIh@L;-Rs^Z3EaVst0qp;F(+L91YD8;7S?`RAr&4wlw+ z-X98+rOna?yJzpR2usAs=%qu>OmR$W^V;RC!7I#r2P;Wv6Lf9)P?$GOId5=c_HGt` z!I_YCDER;o>EIzz-xokDp$!hq-n~PJCqy1uDpvOhr29oC2jQ090k@|v#@|^xq35xS z&hky(Cs%7i3~aF4ku`XUdGcVTED-7Fkx<{ahHh1_%^V7|q>bhczL~w-NHC(44<!#H zYDI~i4OUUvgEXeq!OB)3(#9jKzOMv--HNHjeO<Jz>$`fmT=Hww(z_t00Y>)r+6gTW zAG)|-@;!yx9mET_tlooz<;*7sD;w-*Z8-4yzJur`OhnC4m?Mo$Gwzwan?dL#DxX|> z7r?Z_<Ur<{9%1ygL&^ITYEzki{3_$G8wPaVwtD3dyplFGd}jWTFquYoG5END*0G#a zjYlJNKd#+dCRHb)X&z6Pj(lGNOi>fBbes%{vB^V8*Z~uoZwYsenUGZNh>i`)`kFNH zg(8AF=xyK>Lx@f8v9KK2PisFw@qp3=FQ0J23%$2}TwW!Ohfe-*$2>@9$Ji8QGX<yu z4nk=ngaQ=Gy?dfx5x#{@&&rs8fsF<?!pFW*&QjR68oDr3Qgt-CnLz1=5=4t|^~vi` z`6(LcCpi`2<%FGX)seSf$~VYS!U{m~2bKeJU{l66W*>g(aFLcDM(%>+KYRVD74Q16 zmL?0N#ZN7+SR)OZZ`7w|D%NN}%{S~*;})!ujzCp!S8??!;Z|$x;Dd^Pt5*oO?k;fN zS8S_X=~#^&D6QC5L};(im!9~+ehWMe)YzLiE`L<6(lnvq&XcH$ZRL>i7_5mL;)VX$ zNLT6LQfQRE_sU7fgMYC>Af&<au7sr#7V56=n`6bc!j+DO=CEJ(uA7`O!=rnDr)yop z$bJ1*oID|aLB5iZP%!&{<V?l3e8R1v*ofqkGwlO?Zv2da=#g)C7cH(GrfRJT4QRM9 z1*6>orkl|ezx@OZQRXixmk;06B8v>@xNMa@n^-LYSNQ}i9Dbn15*<);$(KC&16sn6 zcVW|Y%7k&I!mxAQVDCZKHVKx=aId0ki)gjNaPM)~7Fe~$aIdC+V503ioMgM2L?_16 z6y&DTC+@A@4Z|9tXo)YU`hJnB&!ZO_dn+wxC@GNkROH+Bcb_VFrG(G#*WWQJczJ|D zz4>+WTlvmin4YSw&8yG^4D6y2c3~<E<PEmd|M)h>-Im@mv`6Nzzt0U+Y4<gM4#@uz zQTy=oQ#P?^W%vGnbIC_iJ#^l6(Q;RbIUak>3mtT@FZgd=sL}kl4pe>qTPLbB|1E(k z%lCDq#`Aq0sjK8$jW27E^|MCRFT2UNm@lQtJ;O%}k*{WrJgL|DzP8loOTJ#z?PRAn zN9&MwWW5gc`)yzUJX=1i{q<2&%=dMl@A{VOX)$SE&xJI9xZa`xHM^TfwT6=-F1QAK zlHZ}BChW6XhO`<O*J7=j1twSDEF!N0F_pYeq7|q24?Xo~E?txkBzP)u95VR1wq(3o zr#fY|XiLgdt;QT*uAS<-dgaPnEvp5ecl`4S1+K|I`T{}@Cf+T(8ju(gYT10c_Lzym zp&ZA9pXft>?f)mXRjA7^^_V{Hjv{WO`;N%1CCVPP2SpJE3x1~)OAS3O^gS&sALStZ zXPPsRr8CVG<c^u<H00Nr=3Hddj8QOkXldKfQE$rw{FT4U6=$Bk-<V_0&aL+qc)*)= zdEfL5Z>5j_y{=oMIPodwrFF&MuQ!h}n!wgZba!Td!pgKcQ;U0dY`l$XfcX32#72yz zndy2ZvJ7l$8oRsYwM+1khtjrwFtvvCD+g@|^(dw{oTDolp>VO~|E-vJ{&$+URma!! z-~Z};|GTLnBSL=ap{k!b)2ZE=&i_@tN5TJU<drdWty6pL=j+6vjk_dMhsv14M#Z9q z9hfeEi|dPCUye2YIx!K^<$oygpLfaon!=9Me7O8s!SxrZ6Vr72OmV)vWm3)redO}u z8X?H@_19e_XS1ft&(Vb)C1Hze_y+4r&rc^Ngt{Clocp30O&O<#UQfx=I||E8eSiAJ zPQbgOo{{V{noOo;^E&R)eKt-^{HR%~y>?E29n+*zY2op6c5x$@-a#%i_4_${dSY8w z{WH>0jToJx(6Y}N?LjXbgSHsF66^Y^PFf9H)N}|koYEu)1wU--qL1WRmY_+Ut7EnF zk-(onUr#ybbsRaT`bGnaZpggU)b6L|Zd1>nnx`l3(@iOh$B7fTTvPv_ntM(0KX06W znh5AB?ItZ^Vw9(Qi#lx1<-q8s<@Yn_rWY0!i4#t*{q;!C_Qy~@m%k!8YQ*dgUdDEn zJ|A19o0cvbT<O?fmT>KJ@0X5jOpIjkr;x7Fmtz`q)5=AIf(}?&Lh)z)&mFHcVzh%l zNq70P$M$sXctV2OM$>^hBuBIFx?MYex=6b)F<Ykt^+_A|^>%5*7@w)f#B7>wDC}r1 zYdi4sMeKxM*N(>{zFMSD#r}pQ#L2xoFiq0af$F53)v+A<2)Ni-&~d3Oq5QMShmNlr zP5Y*!=^cJ$ZHIoc7N%b)c75$8`D--EOpl{Tu(CGipXO&K&USrelPoZXFGv=D8cm|p zbM%gqfT?kMQ6DJ@(<DAUu1y-+AJg>N`%_0L#;}`Y>KKHa9+zv44+vZQ`OLjIY=61l z<iW0E*_-a3KGsvHuHIhOnDKhLM-Ln4TdtXR;HLyuhnZ(m;&OH-?Fv$C=E)VL$jr4X z^IK+wDMs129yI)}BwJ79f7$$h{R-Thw(DMCrSyWQT8b{)HDjd~ZVlt!#I0`yD@7JO zQ7O`F*R&NKxV6}V-T(8CejqzR^VmQz-6Z#E++KodMw~q1Ch%!y?Mm<#PP|&61V_!R z&FHgfdYm1>G&L@oFp?hUOqjM~i8Jf%SjtSsmDd;Iyekd1H!9x2o8YH^HkCAJbL(%3 zdL5FexwF|VRHdrh9H-z*-nLsFWr^VAp)9v^JW-YkoHr;-70zCir99`ETCxE%%!a&= zIgKM5FsE(ER!k)vS&gY=Ll$Ey*^#A~cw6#TCf<&`iTTo&yp#FTj%CE$VZ%~m?!dA3 zF{5l)n#@JDKvB++TA(a{$DJ^3!_sB`eu6LERG-eFt3LFkCny~E881WcQqJSnCtQD{ z!hGg=P{{R=Fg6tSBVXb1|7VQ|^K(U;TO8hxpmxO<dkiMudG&K*OfPMI7~!XuFQ@SG zSajs7UIt&x@vD>q|6)?hE_*FKL!|W+4*BUe%a1{G)B&kiA1;P}o_gwQ`O#|5>^N8I zog4FnHb;Z}bi<rpCGVn`U3oad@<?;VkJPixGZ*DgJ(V&$LaF#s^{am7;vP39^s7vM zYBxG_L*C(u)b$S+&slyvGMD^D>dS|Vr%%}?S$;&!mAsKEK4q&}*6-(<==FOp&X#C) z4O7;Cz%|jyVkyplHp1+haoKKP*TjIN<v3d@vuk2yy8+ik+suyQKd)l;e~e6Z`7Fg} z=B7#c3HR^)0wX&}JQoXu^}msxdxJ2t@eyfpy>>Gmbm~8yTH(RluCiCYWmA=w!f4Zl z@bpF@o>azWo@7bYD`9fLwXk?XIYs~ej+W1Pey)8p+e)i{8iiCmc_fv?@`JD{hID5Y zBPv~5$h^8g+k8y3W-l&NflPckDHbon^U2sen_5<tE3{GF|H@oht40)Oy^Ejaa=+k7 z_c?j1pozS=6>k;1oR@Iq`jZoBL2oGL>AE8M4fi@4_c|awj@VZGex;@5#R*%NEuXzc z*bk3j1<gBuw`NqmulkS>{$!GKGquWK<#H6WZAk}JvkOP^`;jnsSa;==cA}~N%7a-I z``OJj#n~?tZ@RXmH(pF{>Q7ho_%5j|N~;(meE&KqZF6+tsJG0YwAb}+Pw==WFC(5< z4|d6A86(m9L$8uC{i|a3*FLnid{F%wr*0#U;+#=`o{>*`tsag}>4<t_t-uq}T_I?% zVDwkQL;?YtrX6#Uf4Wj8Zo74{+mCL)ABJMAi&fj<Go&2;bzG60v3ymD-;X9SR++Sw zv~)_N;(AnCp*!;seCAx|n5jquiU*i&E~m$>_@^~u!uJ7W8}($Zes8f};hI9l^`x}M z8|l=4OX+<>>3>oEx>?3s(1BG&zul|i#b9oqzO=kJFBdLrv#7?&R-Oq<8<uMksv7nC z5iI8C3R4w@1=qm-dRIkhbI@SiwV?UixDoj{Y1Y0OzaQ!%fsXwlAfJA~T(>`7OkcPr zS8@F+uC(UE(Q6+ZTR*&njoQ*)Umz&Wd`Xdi=ZUAkmf%&{K9Xt4sge<@s}EhXt9oDK z^r^~8DYE#_R^H~UI0V4*HC!&jQRU){aE80$Y^z>Mvc#&$emC+&EL}pCw}i61Ud1_7 zY3Xo6-!2TjDp%y`r0a_Euh^cKX`xmHq;^LY|3UB~bUm%LJ%LyJCfsiw4+YTJ&M@JB zK$WPNH;i{AgI?D)ZDm6;<Mi**km2tvsI|`5sSA~s;)~eViPMhHe(?|^)2e2LEUVq> zaE1sk(W)%J8)y*~<{sJ9J@+4;E5S9nF-zbx0i6LXT$7xaOch?C8_qLHj}-MHzKYAm zHF*|`+ZNA;7iUTHHSOzUXvb&1WJ&RV6>XM;$WGU|BAw1|$*HGXoc6qdci4q0i-ks3 zh3cyHCvB}&14<f-cIou1r7ItiICRZJq|?>yXsT{hv4ad>xFBM8el4X?$Fqv6?coU; z;|+ZdR8gfOFIgsKbW8K4Y<h*ehxT*<Nk4Q7A|&%`GYWMatNL_296@6rLm!uaDnrT0 z3_H1uJFqo+)v%C#i_;g_T2{C5*6z>ZnF?ZSm(Z;l1ZWQ`z}5<@&YBf^)X;I0h(TnP zuAk;=uE|P8xACU#t#9^tEAsGJgTm<Ekyl@&`SLa@vG5u<psG@8R?N*?-%}wi<=US3 zj6Lzgd%P8RyX|#T2{QcCBoP&VwHk@du?ktzarsphLiVjrLt<;?RY5}I-Y!+MA{z(X zVp1Kxeu<QfE32{+()Z8~uCkV#mFZ8(h?M4Q*=z{a-GDV|^y{RriN>`lcHF~hNhu4t z-3V&T#c4?@OZJCk%u2_VRM`lrxYbnP&dVEbtD5!uu_mHXU>`1tTMmDJvO_ZN5?U5k zb2+H-8t$*0@un&bX%ji^Vgu8iRkfqpC-vOGDumG7P;Rh%8b?xDs=ozSbwfl!Eqp8P z<<jY1F$axsV_asp^k6{MOz0^g>e0m=@j{cfRS(5JzFps(;U_)VtatChJJLdgrpnDs ze<|Z4^hc1~bRbf{|3vzKDPZHaZM4`duE>8=(VKzz_6D4zwp{Lo7!F}h%nyL)UZ`}d z>BJea#?b9$rRW@~I3xR~17CZUYcJrG<SsHsv=nBw-I7>iw(VuP$DWmo`MJ<e^^md; z%<A<kh<&`esFNWr7KtzN7b<$Q5Z0cJtJjgs-5N7Q2!#0&;kjFXmvsi6tM0|!Up=*7 z#pKchnHC!Q<I4QLoI$%PSuu!<$RJA(UNSJ<VsP_l=PARqdmfmb1>=o0vP?@cYG;8Z z!%a2K@6DH~r(ebf<Ac*q-Xxe6jyBQOqz1Fly=esVM(JfGo>bOF^(s;2h*X@u4o46C ztocnsn!m~ZY3!?ia^;9CIDKu-eRx-LvXO7qG!)&wc@Du&EYgK`+!mukx|k`=-(&yO z=;^p}#8q6cHfIy~dFoH53}4*-X~l-6a>PZPvkoT?WOlCqAf!!PC%jdC<fEP=S0iO# zB2H_GK_d10Gq*}54J=16jYLdt5IoiBziOTxg{@siADLW#PRTKLsXDMVLK@(toJ#D# z1$$z?HCt8DG$jYGpq(!f=Ie7PA{#i`?aI}v10@(Y(JW&r^!*rbO&R_!`==8h?UW<3 za7#KI0AyM||KXLE>yG*M#<H4rL&~cNy_7~6eI(<mRD-lh7Uuj3OuU3UKk((Y)~}kN zlQ(d!Iv$39P}p~V+!q;At1-om?vm+~<EgTC{;EX2dDW{}qiH`YOdBgYa7L`o-pw_2 zOv(NX%t0r+=vh$TC-Qxg$WRqw97X??`g9T2tyN=z<B00kK4SMPnC_=@f=j=e{!)oQ za%mlF_h@V1>Ob{4+`9GS>IC_vqfH0amp^GNW$v_pVbxS7wr2Ufnr$*kKLZq~p89-l z3ZZ{vy(v5~zOYBq`0>Nx$dz2@Hy=$zI$ipeQ;k&&Dh=oJUzDuem2S_geTGf@Rb<Pf z>Mj_)PLETWlFE0bRa_v98+&M^Ra_(V*)nyMiG{5&6K#$Oytef~onHT&tr4PtawF|D ztj>jh_QHlqR@OoHTV)w5qL(-XZ?QTDnx73*SGl$hNA<)U`Z=W@VP2-?hO{@AK-(L8 zcvWd>dx+=Pb{5u_;M}wLDPj+)!-OFinT}S;Vyl~Q2qt262WThL2@%RV!Bsc4IYFS8 zejqY>^}4H@J945m#Zl3FBr=b#Dh5*k0`aqd=H6dky0HK~ya!p~5Tfao!p0uW4XH3Q z=efekU0Z%$X~5FFLs>}o6w%USU(LQK-Mw-WHZy!>*u-S6HKThAEB#UEeXh>rdgM$0 zwsnlLS+EzewPW#H^{s7s-C0lmhm5litN3pkZhrp11mUx(aD;uB<oy31QH=e!+y9$? zd`QcFf^OWV$IbdbkvlExDH>)C=Ph4f3>~A-EcfT#i__d0^H1S$Va&{4t3Z$QPn5jq z2Xu7;KYcuIXuBX;c(&43ZNFp6ywc}?4!z;sia0fFY!+2gp<x{-eW3dgJ?k$b|8K$I zq#aBh$ho5I?wt~-Gzf3qTU+j3p!yMiHe|1lYQ=f4<{avG9W-E`h4fj9e1%qv+HvoK zNiCRCw)us@?RDLKSJShBa{F05?=P9BY+^QkVB#C<ekR?oDyrE*EcmM+8>~_J+QKen zS1)s=Z(L!`#R9)eZ1m%`<COko=|KJdvs+A@FQ&MKU&p=24q^~%?$^9UhFpw)v^A6` zD-JKdgQb5Q5}A|zD3S7Tt{0v;EZNd!x0H97alx$RVAWSkuPs%DvEk*o5mJo-u7TT6 zPHWV^nANgS^i@c;Pvyg>`=aNt{w!x~zqnM(Kybt6fQI;;tOHe+$jH2vksg~JFxG%6 zvf)O_sr|p@hpkecww``^@~`QC9erTDY{m4B=Aegj&E*m|FUPj*;1606H4mf>-KI*9 zsp@P&f3aa=!DBPmugr_jG+vn(nGqo*T#C~oyhM!)b5ySF7(jBOKSV@H3~kHO#P(Uw zES@X(iqQXy&HO+LQf?~z3S+(8F(mIWu%mHUE9I%?{*Ng!=Ur>Q1;vJc-^H~h)=0F! zGu<4G;2li=#<Bd=e=uzxQ=^#QXJaZEcQ=#u>~v7zCOt-8^~Y$DPTsXY6zfs5Yvbc{ zBAr|BY|&G2sk?1=@dBpI<H$`(!@LjV*AIZi=fN=;W6g{|2gBQNP2_L@&8ONXiIIEl zp2=T3ufTGz8nHCiLzpvv`h(I^e)XejE~G7(w-c*^*HvUMk38u`uREmIU+@RaoCL() zjN4?YAZ@ZcNTN+DZqUwCPR&Q6O(w3#E>9lf5+vis?D7P%1ZjRzrnsHTrmT@Cfg<HU z5{$KjBoeYu(Zzfumcdh50+MxVG&n|cYouGp=6QKu%$8ol#Q>FmF~fdqWJ!hOee*<I z)p<)}eZ=YbA5}P=Ka3-igHJvrs$em0ky+yd0#45sAs3*6McXP%HEu>KsEr55Y~C7q zs$(-!Ui+EdT|q=tnYi4{;~KV&n=9ZoHJPuSp)RmGZp1CJ8RpyMnl9WF)BW;2oY1lP z7sagv3d%M63K1HAXc(aVsi{p!+5{6M@f)W5_1Y@sO8o^<5g4+hND$h=D!nbe|N7E$ zvk!iIZQ*@V&_xGK`}-uDi#z4U<~p@)L(g|=oaz*4h*bew^j$8F&8ckF=3cX>TzSx0 z1gqm-%MfrrV&s=?8N!ES|F%DGlIAzYv~PTh|4}0Oo%UgW_Cq{kvw+AVCYDEb$Yji_ zHeE1<tv$9oDwyIJDgL$016t6~CKP$<TXGzra5~icr;*B%@w-t$65ply8IKsk3+9Qg z7Y&K0;!hDSHW5z6|9B`F{QIl(MO#^L<cWkx@~3N3g0XFZtqt3K5=@z@6u&(4g<#68 z6nUDns$Lm?tCFUUi<H;J*FOZm`}IS@*v<k`IUDCI{ipMQcHp(XxEs4*e}Agy-{_w< zrxc`5wMr}oRDO{uM>susDWv9e!!TZC4@_12VnFdj&g$!}=Zq1jL)ZLn`gq>n^WH9$ zwPyp>Z}M<Ylugb^uFsUKNW-$5b1LikgXl+}-y0-<OMej^G})}87~Yy0DKx0DSw$iI zdS;!d*N1C#Rs`+OapP$}YxGdD$ZD`ro4U82g^nC;Bl?S4AIb9Zp+{Rp+8#9+UoJBx zteKzs{odhdckz{MPD0xI$zO)F8&~+rAAYrMcrt4-8}Rmx=)dp?WumqC$@A|g?MqxZ zt^$~UDed?b{uu~nX~1!!r$~+cx%Uz<FJcCZwmq72r>)WXM+8c=hc(^GL&w5Q&*MDZ z>R_$yk9vYAVGX$M@Wc=;i<$8((3P;xHU^n}URh$+6yvMRKJPl^b!`ru{?JWFc^$=Z zqd)YjCI9FoJR__*TwniSLA#X4zv0lD9%HY6Y{T)_9g=#8h`<nI>b(qC{Fv^TPKL*Y z54&gw^7xGoIq8P>%66P2T@$H?@CZF(rdOomIRnlE<MNG%4*KbRfHFR;v(cmegD34p z9{-ZVT)Hbz0&q-qpQlsp$<)TBXjJqZytS1oX5l0|d$F~3i@mw6heKghlqwjf6KJY` z|Ejfh+1~Gf2N@D&0)8$Y2O#D<B~F7leeC|dUyTGGnwK#IN&x(9Jeu`Jh9?wfBI#LS zkgkxhizqE%ZV>Jg%p;=bWNF9q;<`sizoL89W?EWXM}QJX&gAfLkU|8K_}AAz$)352 z*@%js3#BPuiF+|RYC}_`=jVSJ9d$&1>!Wq{L)|FZ`#E`N3mCx9mg623)tB9p&pbLj zTp>S$rRVzlCmm!i64!c1M}=tysF{SQsNeRiD@^NK4VLO0d&~wDMFef)=Ja1fNCU90 zzBWG*J*Pu6P@4f;Tea+2Wz0cn3>EMhQPx44UPKhjZtC5=U+srS)+EhI<;wAY(Q`($ zvvjXLv!kO7^tg-1@xj(sJiy9i?r|R(Mk>w((Yp@>`X>>XzX{USjSZICoDEE<JtV;~ zB?!7okbMdbiP5ou?32-!fX8Z0RMc`-oC?t%LXWF+mN3^L^aFpUGv+!TVjP*hm{0&> zJem5Ka(T#pGwmMT%NVksLyzNsJenc<HcCqllMD5KQX#^E_)#DMTP`r=1QNvvCF1$% z`}fY~5griNwE9|GJJEA$Gm}_Iw{_+K{q^Vj_wM1Dofr+M+xPk$XN(5a?RyPQFD3(u zXm0C_BHcs4z-JE9nJ|L?djn21X09hbI=YmQK=;yx0+B_J+k4E^w6uPIt!;=__;%!J zouB`EG$(~V3sF5zJ;vnry?aDv8zC}0dX7w+(5$7kwtfZ3namf%!;M3)g^13#8lndu zjblt&@14ydWD+8eM@L^s;nD`jMn}msq?%6O=%_gjsi6}Y9o;~n(!8McRi+`eYFVwV zLugAI580@wdVox4o{Nfq+GS79VwOOT6(dxMt+yH);4=~QNPqta4$Lq@-O=bd2ioQ< zUTRR>r)d=$wIR>~hN3O;9@n9H^8xu-;(ln}43uVIbX~~EiK#^FhN7w^7}B;j-#beo zND%8nqUS<rL7Fp1qN0>2d}-qEhK9fzhCY4vkpBZ5-XAkGa_<*^{^OM<uYqq~?;c=H zY8;bH@-s5*^gk$#IQ|?<nT!WFr=FDJbFgj83DMPeQ%}P9B+^OMAAT()`zX}wzP!_a zi@#4Y(7#KU``9quN8ULvQ)*k@Pj;vx&WkKKM6^931*89naECbaDZk;dBH)O_gQRcH zY$7ViaBjX{32`%jHIX5}Yawo?CEgWq5{UDn3kM^XH)qTP>!R%U*T*fkvJeY~@bCF& zzq|1q*xzwG_2wq7^=E+AsfqVn68TCbFI=3FFKM}vG*}GE;W}cF@#ty{T=gnmg`y2( zXHL0GpdCaPXr!&`XnBy@%lHN43v=ZcYg7=SX)A-+NJl__h#fZNz5{(Hb!7}2=?ui8 zCiYVO5D0PZ6>?lUHj)^J><_+**9U7hvqz@f&!8iP7IH{~m%($WhbGh#Id^&Rdk;es z7!=_qE!G$zTGLjZU?TxQ1(jk<RhDyC0xNqM;=rINH*B%S3gM1=XiS|&xXXe~gN(e- z?t#_*q8r(NB(F^HEN-U}HBx>62{NYK_0b`?oqMT~as$HPrzv+Ugi6{<FSgDG&_bn{ zQtRXgwu9C^j7VUs(85j9R*h;I`1xWy31|`K`YhJyBBImGZXwINN0y^6qII~B504Io zh7ecYc~zV_3`gubV!s$entv@J*DMWAE*XA^AnP`N-q0v9eJ0oYb7sEQ*M=R9^KwWC zWo3cy)BYhQxIQlc#)N?{am!wMT3i>@={Mt^&6mLksUY*gO|CS0UcB0YRbTpw(k=nk zK@Qq4gGp)sI6`*UfiVfdc-&H<2p%f~SKy3$#u_2oMBI%6v1_GR7RcL@+ISKfNMOIj z4H{E_4NzQpRAe!JT7E$X-rCDp1XnhJ=2HV6Xe){8MpDZ~&@i<o45_clbwtgU;<LdO zX;6G>z!v>by!slcB^BI~R&xZ|tHo7E{TyPP|A#>Wlrp}I<n%J`0~gc6k0X24s>R^F zy^ME2zF2hz$v7MIz_l1tUu#qw!y9@S#lSItk%3Wci4CwFHF_2QhN3M4_%DVlBZT2| zJ&amlt7tWaWSjwVamL=%KN?icqNlDeEV~w55Bz=6LIl2vKYe5rjYd33{So6-ietN= zhTrda^FqE^6qNn-q9qnMA;AqVSq#@hyiYYNoWd&Gv1M^PUC$tMG(&d5A6?&1J%DI` zhJllB%JAxxx0~1>ji(1B(ffpBG0s?XJ7gd}H&+6GbU3$BBSa2feoG}96S4<h-k=g_ z$KHyPhT5nQU3lOvl?JU4Wq4qNN`rccIeZ*yYlMiy$Dy`bh$h?;YHM->P-H&~KYO+q z%G}q&kH`j4=8+Z-brj^BCRx3|vzHNn2n1{atQW(z5T&WS7~~u(WG`H_hcO475Duv# zwd8;exJ`SgCsAB+lz1uLpYm3m4POkGLA+1f7Kx1343U68>tQ$p0WyH<B9Dx26s>L| z=Q|<C?fHv1>Tc>hhO2=(P>N50$HGCQsew75V-wqVk+%;WBpgykira-~5;2;8z_K#H zk<_b)k>gJ6uQ(@T>Pa+L7NuE?PogBsgI!YtIY5UHo3I$Z1z{*+<X|aH!&4C(O8uU_ z3_QgQ#@@8ZL;Mlm4&U3$$fT_70M9@aT#*0|O$}TC)@9fy7J0(x*Fr`wv8+mvS$Dg+ zgcPTW=)(2vrOs=h#n|T-c}TQ>3vU07Vtj&ptQ<Hq>n=?)u1J|aDB9TGk5$G4`l!OI z_@k5>S+>Vw_$EYrs#zaa*%=5#y*7mw(|rpl)5D+vgA!cZ#c(l%Y-;;ISY;Q$4rQ>9 zdI>Qg2|D&Lt^uD#n<23+;EYnN$Jc%yI9&Z+G`W^!41&41fFnp*Otn0J{8bM_7>E-O zxlbCb1VvDd#?&>$01T|}VLSxlU?CZ#!A$TZE+87Yq*-kN-_^?q0{B891*E||a2vd+ z7;g#kgxFtZ-4Q=)EC%e-f})Y}>LFtAuRV-L;IddqCuuMPbjO|8O_c_>$+AtR+{4f> zgqjDivjE!{)o4OB|Jek8rnSGu&f?gKs76z22;d|Xl1Cb>0FU5q8Bs?OMmxdQS$Ad9 zU?FcmE@mHf6mFyn?&x6*1A0OsrKG_uFavkOl<FtfED5SixyzsxMVsGXBVE{XsI$fR zUeH&XeR8oz2Qe+&{1O{!%U;Eeg(FQgLk!^lJ&XfDk7!6eIo}0;8I4-gty(U@f2H_J zvGu10%+UvhW9vyRh2Riw>^KtI;<E6zQhYtdSB9-JHK2<g7LL71YN3NmxUo=Vpfg(> zHNTH~@W+G@ZtN&B(4H-gI=P41h~Y+}P9CK0`G@fZ_%5~<v>3h}k(8SF7|Tirccdlu zV_9WjCk}fI8TjIV(SQW^$Rf`JeNvo@Smeo}PYQG07kMG*lQ6E)A`gu|Dawsq<k_N6 zN^qqXc_Qeu!m*X4I4i`1wDw?2^GvKcm4{DF;b8q7*q?CNXr#UxR|-{OL{*euz`%d^ zGVB2?j4iwvu7>!XnmCO0!?EAsuwjC<wjEVrN}WP9tAa{@Jy1&9Da5s34Bw2PiY(-l zj7!0nsc&y06*Q>F5gX$DhEN)nTi6G0x{BXRNt6J6dKue+4jFdT)Ic11LX;aX$ZMIY ziO;Yl-4-!I3pWIbZA)t6D{P4qI}_ItjdVssd33^vswuai376<)NC6!%_N!TUqo3i{ z1Cyc~jU@hmCWN){!b1|@7-22CkVa~ug2AaP{aA7q7@W5950*>^pW)7(Kw8?c5hyDo zDpHH94X-SL4r%Nru&+mONH?>mrv}u4bs=`r)W9=fU6QS~RAWZs!w14r|2)N#uYm9q z#I;ruUkIUtJ9iX0?h5F_Crj|l2zN2Ty_-ZKh(h;&E_6;gf_s-$3T_hIyYt+QxYU&{ zEGrMJ#;u<~j@tlo@Zb`Bn>=k3+h^AODwdVQJB|Arf*f}MV5r=y_%^sZ0=jqydI2vH z?p0EpJYqj?rz!Q9ocnfgvWKyQ@(+xgAjmWb)Sundv-0jR&{~ity<l8JL7IGrTaSd& zWHlClo;k#j`#f;A!diIkB9tkoty0aRk>fbvDC*BX>OHvuG4Om3Lmx1Paf27b_ab^z z&5k0Y(bfCm#O<#cUn$|j!0AhiJae?42%`HGGVoQ>VPP8mBX;zky)L*iV`}5a?OG_8 zTD(RSS32tm|6$SjBU}2dPr#nLp;^zQpIAqK!=A&@WTAzk#wu-l*XKqht{tjppPGk$ zjm(u>fW!a3WtFG{*iGz5_Zp{mBd9{LFNTNK9oP&UCX{uPtAs|1qW8bCRIrv`FoR!n z@-ekWEQrB%isx%rXrNXap*PO;`4(u00yL*(uXC<!QC!)*c#}!Q0t$ZqE31U_d7uq{ zI4>GUzOl&Lfqp1a9S$*3=oF!9Ux?X-P7$k4gcwJ3iU|4yGTDaRhs)nhwbJ4$p&Ux^ zm57C1@asJcSBlvtAYzdxkIogMMIe)%*loCD$IPi#Xs!k7b=Owvo-W1~%IoyN`R)?D zD%>3jo}L=`4R{Hm-($@Iz#i3IivNy(aF+t5rv@GXpM~59u;fgz8|Sr$I-!YH0(UO* z^wDUX*FNe5rdk?)e`;U{kS~hfO%2jQ?*R3dYBrJhemd*N*a}-4>dmM@8X`V!E%jV1 zIYTnQX5eAgA}<tu3TA%`Vo-?o^lCeW=>bRJgLGD|cNyMLE^U{#xpyqG6DQVxSj7u? zPU2$)pehKxUtm_G`<f9(d91KCy3UNc84jUG4<e}Dbo}2djJuBq>V|Xw2=d<0EtPW3 z5F~u4L8TlWVg)yW+L#bw`08-33%nT)mOwak2m;=EOJ#$|4nalwTdZ9GHE@H?XZaQg z$F!QLIi4x{xF{`bj%S8AlL|(ET1fxHIFon@&Rd&u7w?0M4E%$2nBH!SQZB_uVC^IU zs4SKghzGF_Um$TwF<wU?KC`IJ-g^<(vYSdLU4ny!Q|@vCR5w(VZ2l%vokrptLdh+> z1{q4j3-?_R8h?S+eYF>lYcZvE|L8%$jTW`@!g5o2hmi$S#p)>Y68!al#3@P8Wy+mc zv0tS6F3Bq$Qfu#~J_XKg0+beO%n+4A14CHd7mM+@787dL&vPp9xk1M1&+ZBp1*yUG zI8!Pb%Mbz#7qyGRwx;r;k=|3=VW_pM`27NL@M4WzpSI9IAGVGF7@^im@d}{!X135` z4Hj_(C0>Gu%0HoyD<rRf-09d<-VtQz0(LXpa)@yPsk#6v@zkaU+>s3;Mq^m>rwnh@ zfvfmTQj0WHX7GFj;%+K65o(58TcN8>;78Y2KyV-K^3o@D^r(o@Ybq5CmtZGaTXFY` zpe~i*Cl_`K!=DW@eqycAEUkWd0o)4b^b3Noi5NYH;5-Som%x{QHT;c0DU5SkhLqwW zM$aJSHZisnqzu^&pI%!)Ana1FetC%p_#z?Is;Y>A9UyVm9fnjzSR#1uQqBQT8922H z!93HheurRAq9JJz%t!^y5GXgH6a~t?X};eeWtj|HPT*M)?zjPU`wmw&Eie%Y$rYGv z2k+0i+e4m{h5M&}OYu!|u|{yEVtf-kRtm0EiZ_&x)qxjX#Y<4yH-V15j3TgoCkT}& zN|bgH@KrBE3G@|bD@+Y60(uf5#U%btgpWw`7?xZJ%A~bF#+u{U_PBr=q&L?}bORlw z-tV(!C5jjkjcxtD7v3xd7Ch|3v5%t;u0m4(RNuMZn}8F4u#g-`87m5pO0G-;qj9$u zlf}{ZM4Km;w}Wqm+2WI7D6Su>@h2p06b;EB=RZr1ss1jsraZZ<$vuf`TuhEZ&kJ+O zf@TnE-|9nC#IkVnyX8(wyDF&v@VhgV$xbXmAfy;qTmVu--4lSeh&GQ4K=NRY02GJ| zsE2l&k={UmpGfC3>Jdt!FgTvF$&sCn`?he@7ab(RJz#xFgNuSEZEPz9i_+S=1Rxk# zDrowowLcd$WkG*Ib0n?3mwIG1M;rHz57|~ogcOnUU!AeR9v59ZYpn#Z!*F9?rqjWd zo#2Cw05~F8D3)3&gN9x|^Gj!JfO9Z*a*6>6Hl?+HPxiqO3$pMnEB8_8hcK><z&HVi z{XCtXm=6^~0+ef7yQk$S=Jy~*ft(*;^lT1Yym-H(V_IhaIi1WVo{1G&Q6{GFuC7vr zx5L>s9mB9>=eHyGPbQR}prxQ^ZU(eHaNbW#(VUqUaD{|NSNM*N@5eRaGd}c`-uMUa z^A%=)b`vwtjL+};X^>f_j#24?gvYYt@|g^Q7Y<rQea08ExRFnINi?tc3iYAGF~ub3 z2{<)Q8z#9F;-s?qD#XbztnuiqY`!INa$3Mq_@YGX&jK!suTHeCOF%>xB=OE1R$aft zuh1LOO^}C5qThD|>c{Na!YJfFryEXdowpKyT{v7ARbT-oZU!^&Ksp!pA`V;j#qZ0$ zKbIxAG{`RILti2a*$csUoqr*^;0wY1SN0;omjYK#P}wzB3c)qe6~bJr@!AGy($?xC z{=-d^fg~y&T13h3?sAAG(T|qqcA;cZ$?pS?fDWmP%RE)|gviq*z9}L`pmSzh;1a2S zE{FvK_yi*l=#YRAVt)%@5JE{nNL~e4Rt1mofhRvT5a01=3;cHvBZ}0fjH=j2-S_jP z2@VSts?U<dgqtU^qmLmP`xxuj2hoLFq?X)eNfGY;#c=)a2r>5J)PVAYx}=~w90GQV za*2!K3W%3!i4K<C>eN4<Ei)gxe;-hP1<Hh>zAX^M-CEx)*K)vPs1E!~ili_U>j6L- z3X%aZMWBW)fPgfaP=N)(cOhn=QKWnfEU9|Yfwx{XaK@QYt3MAoRM$T><aQr3qc%>J zA5Z-Us#qF6Y$T^@7k$LCvX+;H(H750aT?#hivp{grUy<>OiF;#P@NMh7^p&jbl@8W z0aI`y@XZ$iv;SV>%pL)z8)7^r5K`bB2<(`6UKN$TX`x1$#FziBB<7m2%)_IVxu&aA z16u&&r%TYxEd$0c1T+CKej=c4fbnwyH3f{H3Fru5{8B)DfR@JsS_HJP1yl(be5s%{ zH82erL-JYo_@BIxQBs(*_8-fCWFLQ(SOg*}jd!G8-2fA!0=JBgXTyM764gZwxn`B1 zERKI~2r-RNOL8bHf7dpIaHN=t1Ht|(%@@H>IR4!sL^fqb7W{M1JOqJwBx>~dAYKo{ z-3=c<5ziKZN}GW|AN(MSYQ<<x<_+K$d7kKg26X#q0abXrUIvwdqh2b1#;YRIkg}#! zD~yPx@)2Y*PK<2K!ICQ_`QiDbI1nN&Bt8<s8`!qU3xw=#rUon^`wK&ib3b_}Bjcx^ zXdR9Ied|FslzMBzD&rI6ViEAAxx4e5(FWqxY01OmE^G~)aZ4ZTJ=ne#Jn-vK!Y)M7 z$A>tGkwFxFGBAahy$GLw5C1aqh*zg#)PCQ3?+8862Alg>J1Ff!AZzWAPaam+=4k1c z_W2iBY58W6NoEvML5mw106U6QP!G{)oY_syl53V8iMxuQhBqtwaIWIF1Gk<O7*DyI zBcft8a!C0H*yaI52(A`l*~m1adQjTsd~~521PI93RWQi#`#kV}37eh!n^=seF6f!h z!$Ogk-FJEIO8F$dWMB2CAUEg*0RfV1it$_i7x2$r-U|6B^v<uae_4K^hw+=FsIvUT zh&uo2P~4aV^zbhV8;F%KfF2MKK&5?<G5XmZcAAd}una{OXmMi$R*xY=<rl;rZj54e z@$9_z!Y*t#nyV9kP<#{#1HL^g*gxfd2wm}F$!*GgJG$bDfNCOs$6Dr-yh=dPc4RkJ z*PhL2pXkBr64^)FC!8$n?4ZgpHzmu$r5N8QzW|$8gyO`ri`xC6f#@NEKb~}-8W2W5 zd@)|#!`J}MU3g#*0pSb!{{o%a2`i`1EQYHhCO`dgof`0e1lCg@Y%j*U$}Mc49|#q^ zwWizgMP#ocY@JK)A28~J;A6t|^sgg53~j_C?wZM>HWhrf@`5b*oDiS-1L>^>y~-vS zl8Bd3<BMGEPAIR1qEnz#pKr(h4xM^k#DaXIvN2UxZeeeuvKMuhhL2e^=vk2oDU~5- zC%C<stQZY{=r8TKu;&kVWGa2)gG&B_(xZJ!eDm*BmmjUP-me--ln7ma-qZ{0bO84M z$Jhe<{zV6AR%8EVHp{sSpI?YNV`I4RaA;*m1mv5x-0&iJeKx=?`DYDczaQe^gP%wf zO!c1miD;w=3azy4WJ;ZtM?A57)5{<OUjI@S;PqU8K+S>AA1h|2+@Y6Mdl-x4l?_Jz zOY^{40nK*>G{!<F1fQsGo-b}hM$^9k;YeFL6ny{l8J52P(P~ri?C+6>T?yxZKJ6@< z?DR4;xIQcM`}Ch59^>u#Hw@Qbt-f)L@9@%F_d=WxB<(x6vb@#D@!a*ZRvi(CCVpx5 zbrn>9<Z3?jw@{sU{*5<yxcKIxT=1RpEiqAN<NQwDzge&O5CiF^%Nm#at8Pr#MPe`` z_t}rGH>#CwfAY47TrCXu<}TdJQVNy%RIc7?9?<a*)92RT=*gPR@;WFTOYTE=6<rTS zVliSlXBJNDrfmhrOzSS#cWdhG66i1<Bzm`hJtPvfufutqWhXPtam*}C0ku8G>vuMP zOLD;>o8ZAV=abfVfBf3}`B%Nva2eW*(*B;BHJHUTKl_`6Zq=;4Kc3=E8@)2veezKj zA(|K&-KL7DRUt-y`*p@X($&NN!A3XwIU(}qa=YEUQNBtR#<6r(-PiOfOZT4V?X!P> zlJ_b}4qv#9M2zV6DMjoiUQloJuF&F`(O(>b87M@ciSi91MW8W-Nj#XAZC~QVIadGJ z9__3ak><mUe?~JfwRnjqrw0a$LbIm^p)SZkrCPAXpM-x5rNY)2C3YMC?S*?^xZB zUec^hU?;0v`!lUDOO*DQ&ta6oBIZMXXl~Z;qnFfcgV~)i$8Qieh_kA(-%E?tI6`!B zVC?tt8`;KJm}!XRhs6<SS#*S(09Hq^BPh!WU}%mT{n7ylrkQPgnR!G2Bcf%sA`%6# zYJxgJ86kk7I0X6u0qjM#aTe2E0E<QEXhy^dV7CZI3Ci*iY`Z$gm;Rz|P;;AqJ|~g> z0zXzCet=?}#*`WzKI@*>;dc9sRB7$olacg5SgG^d6H)X)z?{llyhT(5TP`z0hcOn} zaSBAALwVmY9BKMN-@I?yoFPnLwDX+{gk40x2<JVT99{asLwP3*Ig#{({&^>~ILh>4 z-@FsMI6LW65LM>{(5L+JPUv%gu=FVir@=W#pMr2Y91*%Aq*vqE(iI`S0S8T2g!G!6 z5V|6y*X7933n4w4<3TTk^m?3COnHp+9U4LDN?e*r*Cliyfs-+e*#X8Oh)Rd@ZW(f( zV**b&->D!JU5TTZbg|HZ4xH=5n6F^mc483((C2)?1fF!hQ%LZ+5|?3r(p7@i*NV7r z(shp3h?#MSj!LTW9QC{%f3e~2y<t1%5~iW;-f$pO788B@-mo(>ioiP1^t-v^VGH?V z{40l>EvE71G5@6NOGQ8CWP_z??eE0(EGg|U(Er3^&IQmvt$j#bZ=BLD3%-X0IpF)W z_Hl8&KuWtPXaNZ_K#R10_BY~sFklSE4%~Nm#)&<S8;ce#bOBC?hLp<sn#(n-fO8JV zBvb?sjm;XmVn*n*BF&x@2b(1HS>a|!O1F&#`mAWPKZVd=2wLL4xmQQ_UjlcewYyWo z`%A!1+&AxPa(@<Bn%Yj3I3*j!#npvwU++FG-BJDJ5;iGN4tlYFy*>W^s<GgM`}cDu zQN7^R&FszNFPQGmf7?8|jj!o(&Oi2vQ}Qi-Ay3OkYBjd($x*M~2H$bZ=_o~8nBDq| z)n)|bi*e19p1%)AI|#=nS480%ptdYq^F22dgDA$GcztFrTnrJK`j$RDI&cczEz)e# z*UC5otV_j{k3eXDJIaa-nEf-rLfMurg)$JpcO$gY+A$Ey1}GEZa<C)0;&QRd;41+L z$DYImR7{V$pGW@@=4L^<HNiJ^0^O`5dpoLd7fqF~hTa~zp{o%I>xAmdW?PE6jUn12 zwcU?GwsA#!r1{nY7pf!>_Nl(t0Y;StA_8~oU^THn2aH314QmhR@f-kgcvL|+B2JCF z6E&<epsS6l)`ovA2$zr3tTuy>Xb%|h0AL%Kvv%n8?e;&;1{!(b^>qK4Jgu9FWhu?= zQ5J3RSlM&?lD)cG%S$P5CD|_v0^Z-JfKh2HOnQ_>5~Y1J=(_9vy))|2>vQ3d#>m-U zg7;@1B)VIF3ijg8xkHA5zzJb4Dayiv@>ZHHGko?eycr3GHO!sR3K50()#7uaLLNmZ zZ#*X>f}DO;Cr`L)aHCNZ_xgV803D)ii(e$`VlZLhOAf?Pz~vv_7a@iQ+O6o?{_d#6 zv45|K5Po;4y0RtyWAN<E{}@L${y)Z!?TG6*R=Lc7pqNRrZ6LvOnZC5dcUIr=atqS% zrnl1@lIS29*BjEj&K^li?6vxyxxkG_1+|5p)kee!EnKp^YX>IEgQj!EDo$*FT*vjk z6Y{gBvbq;ufum1foUnl81i%7y_T65KRPYz>+r6-R)(9iiukhj$k4LrSL(Jw${+X=g z1%lXruhKtG&4x(I;}p-+b^i%GJm<3U=-ZQCn4yP>7?I!35w*Xbt0UgVIJma{hdl5< z$k)XG5e#_!=F%j;nQqWSg0XUG8#jVI11#!r5M=Ys_Oo6UkV=IZJEvVLV4P#;YIZ{I z%nP}r?ur7Y0%8Q<6h}y<2T9f}pSLph0EZuc%}}?F#B>XSIDfr8#WMm5D-Qj9snh`h zb&{vkIO#=)T$Ve;ZrqR)z~i#Mnr1)|y_po~$^;?hao3!mgOKQvK$I_l6CwB+NaX2J z_ti8M4!LrJnCtQolkc^vcfU#RS1IzEEApG^xc_i#d8WYO&0nTGHK==j@rEq<3gjh! z`Q-TvNE8%V@Y~6%-vUSx1hEo83jQxr-aDMlH~JqZ_9$xB2wHnnN`+|DtgSU#v#lbn zT_Hq+P(^FCl-3MtRZ**Eh}LMeh`k~xC01fbDZY7sKL39H_+8iUx{~`k&$(acJg;-^ z^E~%?u8h+Qty%v;{Gwt>m#L6>Dx~dyKS&c5qWmAEmI_J#4^mV>8Njb1y*}h#-%dDR zug!WUb$1jAzD|hpbb2Q$zLu00y!4=G>*o)aL>S_`_8!;pH4!zn*H&!WS)!7lp^NF! zqOxnjHwSB(5~|k|ek3+1z)Kcvi+r>nnqArIcO`x(i@4cRCOuM(f4!hrRAp6vN(+{C zBi)Qo{PNV=`h#mrahFFv>B4@J;mq4M#5V76XqGz9V@J}zg<C}fe}hq?A}a8zg~!$u zTDX@K)k#PU($KlZTZSqg#OUbG(V1P9c^&%4p9^o?(4sCtyu9;Ni`8G{pH?GEC2<&x ztq4!_ZjFF)Z&D`L*x<T1UWZbDaEf6splwSGi4AS>{9W~i&bRmhV2w!5su()MS+JrR zmDDVKYI=<Wspr3F+7?)fln&n*I`z9M;&Tx-T1RmV0}mePdqkD)^EM%h1i6xb8$S8m z!()kpUXmG!8OVnM3}cVpr11N<Eq^e5k#Q@sJ#At@<(H~J>&;dMyr-6bX%n5;li%P^ z05L$$zdIM5^)r;y{Fxf@+*d;5V0wf-d9m7ShH}xc%I>G*(UnfEj1$A-zyF}W;#0Uv z)IZEZ1f2~sV!9RX%~p!0=3jKNzl1Rr?!+<_&%Os1l4c+oPj+ODV*i{~dvKLVWK>=- z+@xPS<N^z&-qmgX+O)~RRSPyuf6~urKYA|}^Yhs~C&~Q8e22KQ5AurNY7^{{-AK=` zyAh{o&qcr0?}gc$OyW0+%-^`bk_s^wdM&D#=X~@|T0q*WwLAXD;>Mqo(u~bz)4qQn zj4K3z<|VwM9thvEK23ygNgqGLx3o_h;rpSF5%H<44}|zs()SGPFzI2Je`<elY1qRP z>@ecN0QTBYGf(wAcvsPP8=+X(_Zy-3v5y^Gx~68IdKt`5c#WS8IbV#>$vBwDG{Mg* zDVd^Y*d}a<UhRa;gy|K#cXzjVHZTocGSxeY$#2r4E1y|$77$51bI)?C^@c~yG0%#c ztoL_lty^-R_=i6#$Hi%ce_XDzGbPJ@fb)*%ym=S(cR}Xa@0kQCz5T8myNRjD-#k%o zEzjYOf5({4e@S_@<T<}#v;Oo+#zFb*r(UVbpdU|M>V&fXFOgsT9$VTc2Ga>Zx%)kb z=tjNE&b8$l15$40p+Ap4SZp;|Z0-O1|B{=f^F8o6C&WSa`2YIbe-t%s&1+#ZTY2Fw z8J?4U4-BOkr1nG2J)hE9dO{5Gjy4%a`uGsTOZ1-Uw0OAE0NDAHM%E!%;pfu<vwDng zt)jxL)~vZ-#0q=`ox8L*vATD*6X~`Rv$~e4J+DsrMFvfhTVMEiRqKGnKU*b4!65g= zfj+}((J7js<G7<#e>OJ4L(1O2k?wg>u0@JF_Y<bRJ29(S`bRyQB&qX-Ek4Sc0rfSF zAx_1n1i_L@JlKK7Yeck%Hz@Rj2NTE`R|^Er;%fPTTQJ{SeJe0u<34GauVLQ{7<-8a zGjL;AEg|KcK<^g|xBFgU^z<ykX=@>w??UawESF_$K%u)Xf4pHB=yzGu>`VAlZj5$g zEKfUSw_(PzW9-R;F0FItzFNly$Em+5^^HYc^4VBp%lpOBpKW=j%2|BJ=rx}Jgs-8J z|Np2z;G|#THNs}kUCv`(9$ObXd_PbjU03*^ADDU=8R-BKzR}5F_3z(5k;tIPgIK2c z@Q0}p{$H>Ge`oq3>+}-ezE&M~89>D7fLDlIMTzJ(2oHm$B#3w47FQU<Aq8TGX%SWR z<1bnQkN}NaILV?PXzLhhwrmRx1{f#wC0fqV5v2!cHN)8(I>zeJv^wF&4JV@soUO*V zYlf3C1g2I8T%+M6ion)tg$ps9jMZaqb;BJPYDMeOf4A!6E*RF(&aohp(UV+r%*U!k zV|^`dFb9H@7^I)dgJjwl#KGy2@x(UtP)vscvCYsrT9grdnaEN^Mv2lQt#Oou4$k8% z#FLl~>wQV0Ia-DRyjFEnVyH&UhEFI_UZY4pI{$+_b88AQ;QHPjbZ4Uaxv{q%9fEm3 z3GJPbf6sss+5d$0PRys9V?$Wsf(@gX8e`9tiDX0JDc#OU=9lxzHu#TXxopQ5q1EUX zL$#xOf@h-ttF`v{aE*IRxjawS%cYW^D6Y?Rv8UU2oIY7VBVTp}_>(qU915_tuy&Ay z7NHXj)x7T2>zA>Bl4W0L`tU<hXa++yZ6~1qe<W*brtFH%1}iQ(#$OVlL>z_w@L}kw zYVGRN1xr4H@Z5-~L_=<D_<z9o+FO0ALPK&w1aJxf%uQKrD-ZOgteQUq!$cj4rvIXB zybU@;2WN*W<^Jd~XB;9Rn`M(0qF|E`34gwRPba#RMI+fJp%Dmeg^aSqIn74zGW5%g zf8l0WGvsaL3ymj~Am12-tjZG`8KBQ063o}?HR(!NGK|YxLey<qA#2Z_oU~|@d7+Y* z+d?F4UO)t&JKf8PHfGUyX(PPBh#m$cOOFk<ib9hh9?V8}Cux)Upo)bY6OE81dLfhY z;>L^6cE}P-$d_trW^nX3Ieh4FhA3(7f0th-=q$OR+=Z8XfN6KcWeV^5DB*-3`gT{L zvDbYr;2s6l{D9SnPCP+dpsunU)H%?4vSb*Qr-od!`2v}Ketb^>Mc}nwvP1~ced2>C z2dUsc3jfOtB-)$mDEWqE0})a<H~`tl;mXlqn)~dc4onbt6_r_QkOfc@fNJZ&e^_vD z0j@tdT-jl7A&#{M0<=|JPzD{?Wt<txq0T^n##IS7zKQz_VF2)bHdv+QW3~Ivk;i5y zX+w3zVN(m~*~Cpl)akRkIPw_muGp~Xz@*ch#kF)AM9S^Zw?m<VHt!*xo4DKPr>Oqv zLVxsoz`&#UOqv01D8CMj5$B8Yf2uclg<4_OhzB~+YdE4?HgPx6;eY{;cz&7z0jQpi zh8WTBL2}b{;V6nfAwubXycM03Ebe9~R226R#b0j_jLKwgcn$=;b<=oR7L*Ijrfaan z`^ahUgCacRTcIEM9#6gq2WDSrut!%taEte%os+<UH*s<hPS$;K9St7he`S>D!+0yk z89AI)XgLq@0ZP;>-U@&)M4L<%@}NZdh_<0bdbBHG>tTEfQ>ztvW2$f|x>|sU2qoS{ z3)8ovfFMR_IwWVRuo&gfOEeB8%A#}VTN8oVw6J=JR=t4<z>goQuA>2jzJfSU6+VVE zF~it&VDvam)R$U=csf4;f2fX*1|KvYqBB(}2Weu3Dd=bj5;al&9`Vw&bIQ1_P22+r zoEgTX1Czz&0p<i(LZDZ0u7J574j=&51cBEZFwxB^;4qswdh`i>YbsEP6V?f##D@bx z%tTt0sCc}aiU^47acW{i-&7%8bUrsxE)*Jt7GOZU2F`F2uc6#Lf8q}r5U%LS=|W_5 z3JWX=IFsX6&AiX3(;$F(&PB8gg<7MV=n=1gGqJ{wD1ujfC=<d3-8Wqr1ZiWK8Q|Dr z+<y+S{%qiZ%I78C3WYkN!GNs?@x3%#d{7mg1~!}?>af<J7<I_jPyiHSfI-l7n>I{1 zeH2HXfdq<!1(pDue-R`;M&(mI0}#e&@2Nr{DxZ&dFO<j!iDv-);@F~r8KNaNafgs0 zmVFT&4FItmVlrL02pMAA*VSnN;@AKfEQcT4{u_u&t-&m=;3WX_i^I<{N}hF}Rfm@i zrsT#)w0}yx5C~ODHK1F-38WpOOi!YL$GW(90P;Hrn05a(e?+X-pnwh}g_A?zEyM7c z`F$6<mO4@!QjKQ%{xy?nky1Fvf6X${9sER}NIV?Xagiu2DoCI`c0dP&Ke}$EvdaJ( zkoY#cf5jb54to^FMvOpptiE2fM2pcMqfB0CMMv@yO+*D#XpvWNGP+mWIrb|c1-sw3 zg>c>gWUs`xe@aLJ+rD-xdkqcpGERUBVBar+xZmFL=S8lFk3@1mz7e#=L365z8**to zg>*9SvrYPo;c5V<W~t_6?fo6yYGA642%Ab&Lc@)tpgX81uj~czUzbX0;#hf~Q1tw- zrb(rFQV!osqKyI9>LN~lsiJ!U*S=5%8?-dwT5ZIMf5jD>JE-g#4AP6)Mje$sO%+se zo&Z-bsuex@hLO*|A4q9Yq6+Hlx1KsPF#vV8qNmRF-C8%ZU~bl%k>)SkasU;22GK<M zhLU|pILlu^u5A*gKd9J5LumigFqM}=u5D2@S~g0kC)1evTPkP>3h<vs1}6n@eMlLU z21l<mf7|tu@+@2F#`(&t=X7$qX&G2}A5o{~qGK3XzeISa-$f$;<MmXb8gjt!F@QRy z2DuD~o1!`tK$|nPj#15vP(sXO*#CrRA=a_937NGEwKS=dPq02~rasCe*|QiobGj#& zZP*THn9-Sl#t-QM{KkhSGk>mZJ=JRwvoS$|e|CSI_sR}iqWyiBJEIZIW3kEqWNfTa zh`QJ3H0x3}Dw?}w2!>&Oz*vuI%ml6HyIc=JGOYhJ)}tG<Mo0QC&qq74jP;YQ?bbl5 z7@qi1g#HSkH35ya5y}75ZJbdvb+4lUPh@O#G<Q=Wi-5TL*HJVtxnyIK|AB2HP&4(f ze>>^c#ch~0cORn_=v%33B^wzOsP=Uy?Yg3kx9097<Tb#k?sX^NDf9Aui6|CcDH~ql zzienIs%ZLq0bR(&ayH?=>gY?Thg07R03m$k3c`PR(05S}r@xEQ^|0{v8S62OxuQwS zjY?m?)2iA<y`Ct*IM6|(A#_tdnrIZ@f5M0KJ#WT;o0_@p>LZM=8oHkCT0soxg>HIJ z$-juX`r!iKV}rl!B@jV?=k{nYoh3i?Dkp;!<Q~9t$3~S-)*0<9%K8%J0%!@7l?D8_ zPshjHL{|VT{}iHWWF65iqO7~9UHbl|!uuecD(VH*=z{Dmv?Ns>{f5D8rBGZzf7S@i zE6Q35C}GX$H_+$61)^T;*(?BrF5{R*S?f?E^kz$i;%u_6Xc!em^WP@T$?zUhOfS@9 z(DmrQt$C#o%c5b7R@3FR00=4I?uxQX1Cn{5BAg67(Y<UM`SCG35FYw$tU=dxbRxiV zx$wRsP7)<erNa1KE-gJiW*G7wf8e=mqsj_>3Ayv#XZE?X_Z7cZ)0{y!1*U!h*@D5( zuFZ|W5mqCo%LRo>|Ff+*&(bLIKn2?xui@+gu08&K3=KEYe47(LQ4XvPZ|0sbwK}0I zr>h=7D(Ri5vn&lx=<&@7euxjf(>H%Vj{mmUwu7tahp3m+RRhsp%o?$Ce>1%0hG_0k zA0F{0h<~V$2l_V3Y`Q8rx}RR&qsa;K2k^GuSr{<D3zcqbq{S(sylR~d{%3EUL&)J= zP%CxL2GJ`l8mV(mV$crA-gMPLG(U4g^qdfTgAF=vb3z<#1W<a|G{NAch<h0714K&! zlpZv>0UGSkC7Tlv^dEqde|OUaW9x0S!Ve#vZue<m+83)2RUS2sOin5|#Gw;CjuEB# zdGaf&`XY1FdhRE&*1k@wf#=;H!c2%(=il)~0LMo``ITe#+}hvmN&PDF%7J{D#{5~l zmcgEHTP1k7nMzEg_pMXKi2L1Uugts!+V-~wNpBS4AKJ=4N&fs3e`;)G^&<r~6q?n_ znmerwCyagl-u_T9aOF{}>%Bqz`x0e%#pog|Ebzf&Qm15y^3VVWxO+%X9!5EztB0?8 zWj5DI{KQwk88ni*BhjO-K>nQ+8oE@E@HozhtA78w#HeR5rpT8&=$(;aJgLg*N@**r ztJM3ygSjz^mp_=>fAhS_dp};~Z1-u@HP_^(+x^RGh1RF%+;1E=f62=SwMYcl@8$gs zzjFnQELWTl&N08ZX1k!M^g|Km-Ll&cmWjz;y=ys%JGHm447%4b`*yP*mi4yTwEvZ& z)Wp)ik5W=?<-TSeK9GA>DV36X5g#1~Z8Jxe_91-f>GpOGf5h-2!JgQcUi>fJ_#OWs z?m0x-PVDQ4{|TS=eJ7z<5<%>czakav;KmNz-7|Bwf=7C3hkX8v3Mu+VM|aa8J^glG z@$b<Qo;!DP<ph7w{T|K!+ju&=m(G7_E8*pq$W~IaDCrivXnMxgUTs~akn&)g-+yzb z&Rr$;x7#zyf12j6NSyvFk_bE=Yv}G8Y&fF#HalNFdO13oZmsM8rweo$bqxcr^4Qzs zp>3a30I<>7i_70;$Rs8~^M;L>9>VS?>zO#_)w+3iuP6C~xXS1X#%)kTA@^TJW{{3W zz%X<f$Fo9fM5^9n6=W6x^x1sABz17AO8s~}DH4Qpe`m^{SiOW+=h#R>fjrVUx;L;s z$097Cr3-m#cfHw%QHH=HNP$QB;Pn#q7i&q9K+_4-=&^_#XeoElbX>4e*%q}3lCbkm z!U-yc7-tU>(dr{1#-9c+Rj4x(li2fK7pWVsB?W;z8V0`)3wpqe69+Fv3>RyR3`sz| ziEYbTe-5Y}5FL5-6;>M9e2YnQ1FHo3Y|r#%4I2uiHdHbVGsXIdZoe9Uj_|twv@ru< zy#o7uZ|fqq+P4Z|028-mda;gG1)7ea9sx~<QFg#f)pQataZ9F=kozAv9ucv!z)Mwh z9<ckLIFd%Gr&85tl3vG30t45vkAUCDP;#KOe-gTB(835x1o-YFT?;6!jBXTmzm;Qo z1A^k<U5C8p;N8Ho17(M4n>aGoA@4adHX!xJ@?52Kiy*E-I&cU&i(`Kr#SXkwLYEH1 zR?z(csor7YU&rR?%Li8ar$&VI`hh&+2Af^IVK_lJF)0(YWR(}Be3yYVBA9%jO_~sF ze+=mbgXreNc~B?9l~_8^HkxDENj~r~4<GY5iV?J3?2uEYUb=>b0l!b806^I>)D@uY z1WFKOQ$*(vlpRN11<FpM-h;Ls4EWxasC#W-sj*bj?ZNJMa46zXaiHyT4t!<Xm~viF z!C;t$H@-$)bW)I$m^24k($Cv@J{V@|f2~`g9=e_c1}#0vt9A6&EmA*p9eWP)=p39X zQRg5g^}%|n$mYQ?Gw;m_!FX6NkAP+VOsVyHQrUs_Au-7t*6WtH^J#FZ?7vDn1uZ2E zUPr6vj|wt{^xEd_WDJJ6d5eq)T4sNQ+yO#M>9W=!!9Zvsol=On1=A)du!W;xe*`rF z!WlEotV48w&6YzDYFXW6n%RIb0h@0!fz}~1K;kF5sEvF)wzTXTkhy+Ca~!1);;Nxz z0i~5w*9;9|npnpg1CQ2=UR(V<Kc<e}&w__d%hWqC&<~@6Whz2zuwJ-8G7PkI^2!*L zw-Y;fy_n8hd;3)sx|4D;&QmKCf8KvXRaHY=O)BV7{l`-`d*^3Ss#OnsEyDca@!$5M z$efX>&aLIzKT1=dTVJ)(M0ye)=lULJoIhH6G^)(}zV}C|iob=Lm8PHXYtLNYnO5mp z$?40Y;|+h4*(xz2=v2$qz-XZtq3Z9SxJ03oQ=TTLZ_S~5uTSqM4?LzCe}m&og=KO& zp63Kmjg3EIsS}v>o1<Q#Gf!&EM}Aq&Bjl@f>qiL>)RQ(i_dSofsizGM^#5<nETP&1 z&-#<nEuC{Rsa`bwa;e;ARzfo$tLymH>b6ns-JSC9gnO0cfmVek#h`bV!>Kj{j;sHn z8Qktu(bn+7pt{Ge$VSu&f2B79)QK+<?hj{vS!Frgb$aYo23*dv&!1j1WBi%C!Pzh{ z`<9ARxa0C)tStd?L3L{{#T1g$Epyb|{BnI;@Ak-3shwq4TINhYRv*0fmKsQluiu~d zdDW5)gUx4<oSteeD@|AXC$ZGCp3nPG$xkZJLaE+H{yI@j8;RBGe^#1XBS;K2hAk}8 zpyYkt7lk=HY7CW11SmC!N~;0Xb#U$3^`gS<={2d0s~1~(u1~`rBsl-)E_m(<mB~b( zCN+z#o4to-R7bWQ#k;?(9L+k$sT{H}m;ovrOq!(<&+_Z|Mm<0t==^uE*zxoFbQ`o% zfa;s?8%fR2tIWr5f6kej2ex#4A8H7v>fpMGj(MTIA{NG?Wx+RJ-=I|8`IeuHPp{`< z(^K2yS|QOqCG;L`!MNb*+o<~xD0oIB<o>hUZ{Tpd0vx=8>AJf4)%tq#T&e1crDB<U zl_%F<I@Q>Q*di;+FUmeST`xMevz;w2zdUYqP*D^Lu^k$#e^UOa!gs*R8*<O)e_#W) zV@#Fqrw*q@p)EFJ4zlYd<G~-T`5Ldi6moL4E0BL8%-g6>MSd*zvmL7+uZg5eTQ*~V z{#VK>EGOG>cG`EAs|mamdQqfVtxPo@Pbt!@_)j=^%G>z(e~brg#u7NjjjDEywh)Eo zO15Keeji`0e@hsZSchpC*^2G1S%;+!O5<8aDIuCK2IG<L-V(%T-DM$>h9@|tg^yOa zzq@g%rCZfbVwMU@@}Y<i>D^XGcby>AD+`AI?HBS5%o<<(rVWh)xtn$bs%!Oh1<Hrp zTDs)+_nAfFYi|GWxaEBrrbjvfv7v*T$Q!@n2W6hQf3L!b&qgRAR<VQNjv94N5aqaI z(1nO!9R*qIdn>eOOmXJb91WT$W(O^{q%^;r<dzFl3R)0zH*YxM^Bbl~WO{wH6ZfTj z{o4GqGl_E!6WICl=fpEUu@lNZYFlet>t7bE;ucYFQKz+dyH2~@ykXTyYQxB$?&d~m znRF72f8$pFrT1?(g|EcU8EKac{;M1L{j2>=dGp)ZHz_z7=7mjz^7W05rA=)4U2Bt+ zUDy(*vb>l5q=WUCTIs{1CkeJ|Yf(ZS{Bfh(;{b-J-o6(#N^f7N#7lJT@x&LtdQs!I z2rak>E$}|CKz@lkk3L+BJJe3<PVewwev*B5f0S745VYV91HQpCy-7VPT7G#;@v{GY zm#qFbCpUM4I~28rZtM&<IPA(v)}WS)^|VKHL^L=?j`-J7+3ufA9eo)&Z%^bo)?t)p zw?IdM&-ap?@0d2xwYsZX!)1t(!@6^Mg(p&bFnBa6YhW~4U`NSK%^J>0j2zdU0~elL zf1}RClO_j7foyYqzeeYTTj!~UV#LT%-MK~FX=Zq<1p35pethfihR}vG9~=8>2pmOP zS{c`2Y@Oe^Hy3pGfq9@LuG1yJ>0V;*)o*R3p9=C`EFWs7F7xOe4u0?7BPU&d$jvRH zM&M2-FcXnO-Ul2amzL!Gf5j>1B`KeFf5^Rxv-|BYyK}2RDM%!eG3;zF<4Bdj*hVXL zhIJG$84f^h`l;@OdhN%JyYJ6(UT`^$Bc-zcH{M~aKD$ZjGhQnVub_iP{jT4;9mTX( z-LTGvDG+L2XPYY!{GU<yztR1jR({V-O4dq}H>QxHK<5}yc;d4MYl<ZWu)F+ue?bbk z;Ib7*8fC0Lyh(wtR2>>Wm|Ko0c8Fjq8oTkXeny7|5vAeXsKwxVEJM|rpu2D6)X%iB zNS&1+8k;`W97-3>pFJT|CKuvPbHZDh3@CzoFisSyex)jfJ%$v#@+pNqmM}lCF)vYg z!np_YhENJt)~w=5oxg;KUKmj3f2q8R3nzyjxSWg9&7G~Rk(lN*_h6KGk`Tv|LqvL^ z4z?Xp27z0~2UTJ?KIM!(eb+FfLt9e4RsOE-oDNtqzVm;5_#%G&`h&2Ut+~Wto{&rN zI}RWxBO5MWTRS`DIRETS&6iAmQ1th?E6g{EwYd8;&wZWft$8^iv;q1le@_!A$jdQJ z(M^Dum#nPi=%Vt4t>&RCa<S*p(*Yx097*4P#Z%_ozm8h|kR>^~%pi<fZTTuRlcC>} za@30B?|HwF7=BVO?QiJuy4K4Ge-+RJHcNu&-+FxmdhVplUBGpX^Iv!-U*%_Zh1<fF zs#W*D*t$Kw%k!!E9`KV!e--YXkOXhz$Nq<2CAL2AYd@L#CaJalx~dRkzqC}!$2swb z9@F*g<eb&P@L{Q^o&Ag0MVl5|V8y2kvo}=Qu4ioglM#8Dqp#rN;O#YTZ~rCdO@5{( zaa$xHUf$YYeBsAI*t0w9Kby+DOPe+eUT027kEUm?xEAEUpVs}hf1`XF6;3&;j)>aA z&e!#d1awObqfbp1Y}!6Zl^+)4yzj`2YY`YiXvPc*BUeP9ylTf|>_pu}joeh0^V0f6 zAEk4~w%4LI^ZgEYWE-NUX4@~26^-$i$U>B)>*_i~f<ek2b9D8&wW1usuYUHfe+t$| zW0yFO=mxz{Vs}6!e^$KZKE60mYdkn>QSWB|mdLC`pxD}sM;msjS$M@IvFSY_(s9YZ zdbPR>nUSxP)D}kw=l?o)dsX+<Z?IE9XWycp@er6Dt^lS4;`>@BxAu+zhp|Kd4nT{d z$!(2<_PzzZ!A5^~tY|QnCs6NPC!kD3@KM~-+}#w`uzziYe`i)1#USIk-bU-`6Sz&E z^D7GdB;qu(b7*z%gj)fe_EyhU{)LrSx6G|>+}mVdvad0IqDn0TGXNzte~3bBOjQmo zj6@>S2@~BflTw(zHSR#~$z~RmW3uX+WHvA}&FmC81SzrEdOX-YrY+A6D45!Yu>!V; z1TbMvJ2>Dbe_+Z%v(8#eO%F1g5FUDsG#WBkUlFFWu|?6qyYA!pNs3^&NK>I>L{0bB za1KQhKaFVAdgcH7?MICIrH~ZEtq>9d*qsn8MM5Z{X6)tn2R(E4U^mIGN!M@iA=1J7 zgkHk&z8e&ua>5R#C^Zj_1<${1%W=E(KJi2`PxUDif1&>1uuYVrjUX{J4~+*8_074G zb#r3dAgj90&zo!lIt7*vH(CO^=M09xK=^AnVqTmMT8lj09I+lpP==+u-7ciK8M}Vs zL6{2$1tj8hF0F@CRgb^4q*@X4z9pQL-VAv9!p;54zTPjw;b=tR4m0TtL1GHx#0B4Y z9)Whdf7HTB5zkZIl_hXvP9yo@75Nc@q%H)hq<LskXDI(G*pSL31zt{wtid8t`4KFn z2MAI`Am=0-CUvR9CV*hQAX+GZ&>6KfHj5)<35M8Q+3&CxieMqjwhOzggdAU*$8@zF z+(7=~!<L?y)cFSd{s=Cp{9+*w?d;>v+MwTRe@SY-!q<=vhSqomC>*%iU{Yqsf@`|L zPD2=0$|VfVJ}XH+k7l2dkVfUu19lj~a8Qg8q-)LJ5UbN|&6U(8I|%dHKa8LwCFGwl z;BCQ_SIvEE3|mcsefuk?n*Qc0&;l#1M018M>q{Y3hByKWE<pfIGH$t~)-2{+<b06V ze`o%d08FAhOdM%@Iiiz#(K^s?ZEm@BBx1qQ^RR@Vau=V7P`UPHp~G+pBS<MhkcNWX zOS6pidXOzvLenoPteDd?4$4~uDX&>(t);UE`IZp=1xOhOtxj`>$xy3F89zyEx$zZQ zm4D)apIoE5405m0BG&|351V7kE?SkEf0YoxNr>!0%+IzRLRu?adyVWmc*~{Hpyf$G zhWw0RvhOY|uLxXlambW!OHye@JF)0grjKlaakPtC?6@^_szb^-z(*}~7}e06#+*3h z0rs^Bv-^i9TyD|$>eaz+WPJX~eLQ?U&pSDAXheI6<C~6iK&S9hhxyPc&yaiYe?I=k zQhudl!|2prIAYJL5A0q8g<r`XGw0iq5Ig|n6(Q*fan&8+_llw3GSxF|%})ew@on); z{q6x7wdG%&&y%0I<<tO9EJA9$YBMDBjjJ`2hl882$eR2%DbmS4tBpFIvcZdX2ouB2 zlD{;IjRhAZom>V}ZZ(Td=nTy)e?(FXO^1(Mj5wL&pm-oi(#>L%!CF11&)tUolTU78 z!o}iG<S<2Z$$`5KX2YSzuF&&}hVb6TVrBXDnQ?-@we4&0PRyzCT%N6p{SWk@)><CN z-{~=}HOs+(k({jNN3U8QWvzh~4th^>^V?)de-I?MW=CR6#6qOo5K@#Rf3S})X?7nA z=IlLvlix;9`H3LWHoFf8b9SA6%#V;IP4468f@;Qs1s2>&99d3&yH#nCBb(hPE1v_` zWCNP(xQ~yvuZx|?7Y3V51HK28l4Q+Cbozy6Y&ks7l`u6H@Sf8z5uI0o+k1^`FX~&s z1o(%Q&D?=++T{fMAIGzoe>r3Si7p?IE|ZZuF{iQ(1<wjW0Vc$5ht2|oPK*vAWkJNw z`|8Lwgi3zfqMO2>&@=7lF8B?X2o{~1Y|6k?`~e+iZm<vIHk2115t~07dtv~F^OI8d z@r<O@1AJakz*sQ6>ohTc_SMOq3hY|5&hIk|m1Q^<b4w)~h8FkDe`K9uyBw9zI&({? zVUI_@O<;0U0HNQeMN$IkpD4CF9}KQs6tSEA5OWxp)(MNih33C_Xw&(0bu?{AVn1a3 zOvKuqjW8M?(dO2x+>0@g@SyY@ClmZBU8hwG$fM@Y(O`i^LdELg(wW;fQnNXVtKC|F zq6~&#CW$f3-$*!-f59XnIY<QuR}ZI~;Tt7y4*z)~Qtf)(=>KNf^;#V#f#3KXI%1N* ztdszdV1zhfo=QlZX<Y2KV1(rI4Jp!3WzWj<;~SHoqiE_Abrb&24n<o{`@aq)9A@`N zux}@k<=RWMabQ_a2`s&3df}nZ?5{Lu=shRyk2lv;-{T)Kf6BanK$4`rCtvjGia8&b zVQh@wTVNG*qq;mqNxr=KH><pv{mjR40$J+4?CDUjZduC>X{MFuH=Z7C>r&oRP6s01 zpLH36xXnF%WiHiN8ox=*zHjjHi`-hISYDd!UZlBnU1;|$<D9LIft?vb{T={yF|OzI zxcgh_<IMIqe~x!;#u}eA+XDqP9z8nvTqrZ2Es#_uw0R%&z<bLg5IyHBb7zgQO-<Os z`}Wf2-3b<_SSAOCs+S-81G`V_edTr3{KWnt-DP-!W2`>P@zm#;27NBoBwE1gLJdAl zgmNDwlicSLP1HmEKL4myN8WjVeBX7f*5h@U`9x^lf9sVI(_0_T1?WTJw?n2)>*R7$ zq_6h8&~9t=igJ74X03CBi+GTDkvXG1*YWOMJJqnMg(p!75&H@oJT;%?lRoo(Y4@fm ztX6+Jt;mSrBr%g9wHt&*^(Hur<GUB{D}&g5+-h9{CKvQ9hJZbJHLKKa0Ww5geq{v7 zwz;!te^rl-vWOtn2MMgVP+N$vP5z@-FMPYINS;P6<L#SM_X99<*RucUg`MR_(37ff zBRe?ZWWXenm$a&bcRuUHGexeWZs;7DXwE2g`N{)>&gFD>*H9_9_dLVg2_w&(d?u^@ zDu?qe`=>Sijo;jY;9n>gr!d7a=gm!Q)&K3He_1EG*4<N{Cf&~3bi)rcr}kn-y-CGp z=7I6aFEz=PVMEIZaBtS6Pp#+hAVD(atL{W<`V=%GW4l~Y4%IHad-uChTGL7V<~22Z zTHDv?<4Y=_rDkaAp5LMUN=L`l7pIrp#rAhcJ09j58buJdlBAbN_Y||-v=;T;Brw%| ze~1{j0PAjKQGNt~l)jHwYPOyTPVGa!%-4xKu>-?};3{A^9jRu$MK~zRa%gMetkvyF z0rvC)W=t>TB<D7gWb`nH#mZ{WL(;*)&1;#P@Jf!{wBI}Bg2x=-g9D?Shro1jF}bF! z^9j}7jwQ;!NrjzfX8&9<6K>Hb5r}}1e}V2(<b201$0yHkmb{pLeaS7F!h|>l11W0= z5?c_3+D<*!Dc2e*UC@NBOzXDybX2L!#7)`m;X8^C*L8*AiQS9bHG}orna5gcS6M86 z9r9cPuAV(q#Qe0g$_XpUH>>!0>b#z|2EOUn`SiiCwAtJc<$<ljpRJmvD=n-|e-*18 z+!Pux<tpBr7-!U&R4Zoo+-TC~x7b?ap*-Gu-LE=x>8y5e<%t@hfOtUR#@`qZR_Spq zT<s8nn+D`7bpt2fdn@d4jIq6PSg0Ojc~y<|S&K8$G$$9_iZgm8dmuia3F5svyH`Y) zdiWVCQ6jo|@|noke-e!0`{9M}f5Zg`P#d5B8VwuF=kgRaO^Yjvt%=;6lRjHY%~2Ii zEl#N?j-Z^%jqMcN26-dMajj)?p?V^i+Me7F$gk=>^~nnll_1pbe=SOG9-3fzrMUn$ zQBnNpy#_XuS8UC^oq8Bt5iIjcPk`SKJ=VE{ybHVW2Utvvos$x9fOifuf7!>w7ey-t z_<ICBY!g2d_03$0CucYpQ`Y>dNxiO>^)0OzYN{sZo0h6agXd9g)E!KQ)J)KA6NKON z&Id=sbKUO3R7k-gUyCBPr4POq(VLSQD?StX&E|+F=|<<l!ZQf7yj|qoeZ@bP8&#&E zzeQ_SBN^ewLl|IFu>gOve@Bw1)Yl>b*`RbcpU#A~djY|XbnsWyj0+Q35T~ctNr?!O zOkhFTY9u#B5)*!?O8NoX+thBqLk+ns$a<XRRsPo#9n5E<OD18}JkBW9X8keZ!7#`S zi6Vb1g3FO%)J*F%tBeJcdyud5srLv$!~@$mYMOVhC?Zn1hemw1e<(pi6SFRu#E6u^ zmGx`w!3W1DA$Wm}mU%4LdI%#-kwWZkO5yiHR;KmZ*{G*&3R0o2G~sKTsfyy0`)j8W z6`!F3B^`F_ni@4@-Ztw$|J_|@74;KCtRf-x&QDTZXv1p@+GekQ^IG%%arwKsoiefN z?=vXxa!I6xe)zr8e-s8I*UA^p<FEA%j2$?IXV(1Ya_w9--}v^I7AIPC;_p`ndEc%1 zd2q<BhX1*b_Xtv%3^oaJFI*Lkjfl%{<EOlh5mQJxk?%_7>zn(yYW3T#V%2J)!)Yk5 z7nz(Np-Zy=?`G$?(PFaHG12Kis`?{(mM0^(je{b#zwVlPf0BB3fmx~PSLTE8A-{t| ztMn=<lfUU?>vTSByH!oq9<_m7)nlg!fhjWWL$wZMje8OYZZbjHn}OvU2TpBV6h_Rb zK*7^n0b|<8oy!DE>Z)BN4IoIVLG$R<GftB5!OApK^Z6(Bq1NrzkaWW1I$gXo%qpE> zoA3#qtr&O0e_t{B=tS^A)a%xRKmpRI?16jGjrD@taJ4zJoR%5U#2tr<^qBk;LwwVk z(l;wCvMv843=b!^yb7GJ$Vw{-nwNT=mIpZSui%a#|M}E~OeN3%)aF~kAkr&!NL2_@ zanO9(svaZd6hZO`x`7r*0>+;NaCg011p~;jApM0~e_>)HY=DU0k#}X7H&K*}81X<| zl8Jo`BvqGY*F(O^5ivK{t>4ml@}*5d;pfje0k7h^5!JB45cs>>0qL!MPidF?IOja3 zwaK-LKV9q6TOJkQW=$=+PCw+I=;CJ&@achMTyWDuq}dRdk1~oNy>BiU4j%f7xkJ4> zQtA<;f3@a<(cq!3)Asxb<^S444wAqD{vKXsZO$WHkK~Ft$bWI-SrMU#A%2%M2{)3G z+P`}KH{;lT-s@~Ja7tq!u<yKHD~-ET`Yng@^MA*Mu+PDzv42`%fofUn!iXAU^FZ=M zu=~r1)cljH_<(hw!o7qOrSpzc|1eStNTuiGe~VjGO*h7I2ziYJ-^W)q&yVMLD+H29 z-+NbXpBdD2Ixa<84}m!;CkT>xU=%uwQTZFjy@v2J==_e#g-vV!U6r>QxHifs?%mGQ zNb-9}SGM)|+xiPvzKa1_0Xh*}&H1Q*J6TuL&e<Bw`2YQ%4bvmfNB@1F77nxP5s2nt zf4*b^Fs;Q!&|Gete*Q@RgVa4{`ZO*ZLsz<crmAW0=AJ*K-L&=PZA&P(6E>^AUwoI? zQymS^<fZ4MW$UC^-h4V9{4DBh*>$2=JJNgp*E1b;xU^QlDj(zT7$b?;>ihaZ!`~q| zF|yF>B~~l;7XXh_ZPa}NF{rxz>wW)Fe_QcBdl7ct9zNdW(Bys&M&sk)zIZ@aTBl?e zc}K>Xyql^h%S!LsqW$;mU;D-CS_bW&zZ2))*5A!F&VLNCG5qWLxA_L*a?9UpV`TgD z=U<3hiM1_YBzR7)gSX)C<I^RjJoVqEVUNO2SF4&d&Ls2{f7Q$AUahk-JdWP~f5ce% z)wPAegRc5(J4DuI+3Z3F{Vp48A7gKvOPmpd2SCC=KT8E1^7-dvaG=x6`G<uuxbCmm z`SbG|o2TrAsP(-_elpL;cFqM1Gg&qD&uPWC_F^90%%<5e$HP7DXWzi<y_BC}j>D^` ztOWam@XqJ(=O(WIJ$YXP@A&d{e~cd_lP9<J3<@aE+9eLcRj_R@f-xBXnjyr_s2@hY z-M#z70(pwzCCm09B9IPRCwRTR`u0xF#XQ~z;U-I%`jLn~Q9s*0ja@yYT<K%zZM(@3 z7r9Za+7J9D-<s|CcYCD_zMfkiU`tAV%HA(_-DLXHMEPOebK7f}S*G!9f2&Pb_}`!3 z6b6>`!^Qg5ZK~sTHCJU#dSwLv7;0FyBjUsCo!xUI1<3XL;WgjdQuN48JJpqBO8<D+ z<mg_+pNON0Nv)2iCFB=9GBB*5cql@cu)6-rJ7-7F+FJL7W}fl5ml7YQHMV-nNJ!of z?@WYyAj9h}glP>=&I|AOe}7c5o=9xCscs)$2g}H*{GNVb)EJkkJKg#*bJrrn8FbCs z_=fRVqL3~w>km0yP0|N)eutnWeOFa}c}RtW&uf*>UK-FTF5WcK#Dz?R`ydHz+x}D* zT7=r;$e=TJ@)ty85Fs`|2f1_-(LTGecScLzI|wKDwZ(RX9bO;+e-FCrV~={*gy(Pk z-WpjwRU`N#2?Yzl8kLL9oB^pFXJ@QrdSoKja929fi5unh<1DV4v^*s>n@8Mh;hB5u zoKdi2`SeqOnc2(9jm9&u_J<R-59y7MZdeL9Ry~x90M7QfxnbH%Us-;E^Sk2z!oE<( zejQ5NJ&K5u&<GiBf0{i4lG*md#lE#w>XDbi+{;yTp3;;35p@z#3$w2CN7aL8#qoM% z^=(qcP@50|v)@xMA67<8^uD%jIj+?))U9J#KzT5sQlLjJ*d|q}%tipnddJ5)Nq_UI zRc3P_gby_zeFKzQTMP1i11z7Zi)`e)d-w35_WPli>W7ocfBr+w(pOBxABO}J0Z!e# zE%10f=0LDXU~SefH<==iB!uh?j;*?KlDm%UBpP=HN78}XO!JqId*SNs@#}m3Db#1d z_MG3y#E!5DX7U5X?|bIYRH(e17r`zCa1BD%+FnZ6$y4MsVQ;(RrhAkYcD6=-R`@3} z%{KEe6KdWTfB7i+>Bi>?nNN;hhR-&cH;`=}KF;I~tQc)|gPy1|eJ<yBel+m@a~e0S zDeW6tZ9oGpJw1{Bk`%-d3#HYK#y^dQSkq_c`l&$9S8mZ_FHO5)?Ewvp%Rg6Wd;6*H zA1k!1hI<HHJOAOpUeJR=S-A^=jWqA0uh6#A#Q@mpf1lG|VwL3=r?a_|J(;)CSR0UE zz}ENbO*M@L*MdCOkoE<wG)o#=vvD*4+X$einM_;>DGuM-%F%kwRwE!~Nn^pk;DzO- zeMxhNp_w)?mZl_HnBg6J^DPbX&1?bh`SvxJuzJ}wH>?ui7b9LCtAX_c=(5Z5-B(@+ zr4^;Ye_zg?e7h39nbY)+tt{~kKP`#(KEr}CZ9V|6guRUg1KI)2j?q%lYenG#xOT~t zm8w5q1&cmhi+&IAW%$Lta1DzD_yX`M*pp}``n#NAHX1c-0~-(L+g)9b(qtdYEIgn+ zq!YckAb~9gJfnN3gw@A-05<7<T^!i3*lV5Ee<EKJ&{H-8vA$D)G=Ag{yBYnhed!kM z$L*9d`f}QLGFTSn_bS<|CE=LO9LKCT{0VQ?Y4}-jyq`^@q1cDCLbUn(XsHE3+Ce&9 zh3v_!6{QCE!)J<GLq9&Vhq*=bVz~v&XjPb1nYWAB@zEt$1L-n<U@zQNrqj9~$7O1a zf37)QofX`B`*fPFT@n(3{R!x%bGsNKWjhHuX=MyttStH<8f`x!vYkz%hutWuwi<iu z>F&rO&~f?3RcsD`owi*H>lr;>RBgB}<mEmosq7+Q*3b0m?JKeSc`mLr0k2xQ%Qt|j zey;GK#kQgka?up}cdU0+vZSz#wpsD5f0{Fs*Rt5O65n_MG}%<yJ{v^~MpqS8hpo?0 zojp~?E1NmdOkKv_#GU|tF+CGse|l#!{xAg77blkZ#*N{bB32$N^RyB0On&{T?WFf% z$ky`LA){N)G&+BN(@#lZy|Dhajv^t*2kw&(l>gn%Xb|{pQq3KW0c_H4@`RM!f0<M| zL=9PE|C;$9(YXIyqdzjX0$`f}Nwm*onx03WJ~d}Pa`R`Qz42Y?@<KEhF#)T8!dVH5 z;ykmhj(El!Pk){9x+1nFy1>vCSnd<{!O!(V`G$9kA#LPEamGNyXjyDcQMKOKcz=h7 z^e#%|t+Ojujn<5&?+-y>JBLP#e>O5}v0pdQ+W*CX#QL(=lx~})F>ueO{<#^7z^u_A z@a{oAN2bla5!l|8A}vX6D4lyjZ4WI)>y;zJ#iBZ(TVxV#@~hs5KJ2URm{By-z^K*p zXiikI+82OzPG4NY8~&VCDD!77E+woPB#B0W<{HC0rgACl)!-=%O-=Tle+7oHE|FAa z`Vp@3fO$Hffxo}0MZL1%M@zUWbBFEoxX833mOnVNmZm1hAd+F~kyR((0y0?l6V1jz zOT|rbKGc%!q5h<ZuDsbXKKHvEwrr)`jJ8AF`#S5k68b%j7;QG&_BC`I4HJzL!<5h9 zWgdVWvqjy!t;jW|@WeNff7fJOSh%`gfE=O?RxBiGzlNLW&|ab4VmKNVQJ2V`e6`{l zFy9W!7}L*y@uQ1rENOxOhO{mK4f<vVC~bnkf=hIn$U6}i#w~NxKnD(gs~(J#Uh3>p z{h4<7P-YX%Y&IF+22%#UO`wFXh6$ucB#9por%zIIvP3RB-XV1He-2eav($ectH0Ed z`u9^YXCzc%j_@1|;STtR)1EsE`-AjxE8uA(FrU?L%#Iwqhaq=6Lr!zQRIVMbz%W@_ z{-J|&FUQ+qt)l_<NvjS<pa}82xxe$E2zXA-z~0rhP388W_~4ar%yv4MR10v*zKHoO zG=JAo+(~%2dXQg9f2Tq<iTpvw*TkXbcTG`8dd+g37$2}bUT)U1%ajlYg)<ZtzpDfD zCWIO{l*LT#gKI0Y_B`%PD>N3x7OySvGDw6A*2>wVppFO)OB7Ve5Xt&*H1gq{06wag zl+*F3|Ik+T4O}9-8UoX}^Q8tbtekLohbyN;Leo=rT1zTtf9law|EBjUs3pt~ZEY>? z&Byt!DdsJwQeD~i^PN1s9<$fOqGJO0tN-e?0fzPWiAJo3&X+-^U4T0UH@v+-QK(y| z&Li7wrJMJuRKP{|SPj+R*BS68d@+WV$4t@tJge=v0TuD=cPqLtsbU0s2N-_!t}aWS z%P)}_$WU9Me_XqE71;T%EVD;CSj<kMT?Iacw7Kxrn!w~aU8=pUSI&6e&QM+7w11)C zeZ5J-S4UZ9D`Bn!1umckZ^vyERM@bdPf;u6m%yR@X|{i6PVb6K%;?VnxlX*KgQ8Yn z!dGq9m{FYsM&1MtN!HZc;xmBZVb;u?`d>ekj_ba5f2w-!@g^-NC#2YlXZK`a%urCp zqQ9&U@Ay3&y=#AOu`U3;2qx`29NEpYM&S9J7nckd0SsMheuiv(2^`|A?p2Tm?II(p zL#O9+=#HxGA&cp>nAhzr!w(sX4BpjAu^P@^0lDe>e!S(IjV3cD&cCbU;Y(T$NwA_) zDUgdue+CSDCAd|JYxZ#ERF4YeL_X|ytGO>3U<|7R3>R8V%TXO%2HETTzLeISL$A*g zS2pV&TTBZBLqqSyrh8{eZJ3Hlzt;Qr^1(Bmx$tz4HXdtr-_^zN`a9r|M;M;p3U!3e z(Yz+vyVjFe5S4&oc1?~ZIWE7K(25w~ZC;%Zf3pXk7*2IhvW@DSCUKA@ir8Z@t;3h_ z#bjTZp?1yU;pp7)Rr4rSPcpTLCdCod@`<#VUSl=P5eFGiqtf@yu29hgKI|X7O?7Yu zQ2-b=e^*CQ-~x*cGx2tpnm!zjO-Z%<Q&@3T^Yljs(zMIeEt&X@)$ru1r<kqy%%x!y zf4=Tg^#sfMgRPv%NBw%G)YuJRDS+Vz7Snxv384^Jb<C7q-qil{4&*3H>1H~s@vd`% zUI|1aPfo`g1(h&dRY<TLPq1X$?<tM7n2w{)hZ+jVabYs#+U}yDCWZwLTsc<~EQg@Y zKd&CBxR3e2q|~RJ7HQVr?aRx2+w>_;f6cq#4p%PWQA@ZR3Mz(xB?5+DBy^zfw^W!- zA#HzHo>q9ZOk<ypa*<00F*=A;^{nhp-Lfgypqi7LfbF_bN^8N0aQyPi<ZraC!7VYK zUQ9&_)N1nvyH-FnIEJ%$eMLTgj_&w(K7aim=bI-7ul}!xKsAl4|NW?P4j#s5f8W%% zLl1baZ0g8M-_0nKESUg6aY5wFY5|uenYh^{m!EqTXC>qjBFGrZ{|*5iOFsJt81i1u z4U(9aw~SuDMC9G2>}&OuU!ap;&ABWkOWfJo*~ZPeuNQ~?c=D>dw>8feBNz2k-W_d! zZ>BH%c@fAbEGj(OJ$yy^V~Fo=f2T>qE0)h^7lqS_?C>7rhM-J;9-#e|)UtS!JY5v6 zRPnd7QyGox6&`P^)-d3`!`<C&+4iaVZ{Gr%%9`N*HS3KZClwmTMlS*<zpf>u21$c> zL<$Er2dA2k_y;o+Q;wcDuMB=S%#!$ofV!I8HJy`x`z<|6MNPRD*}hkBf9^K(mTT>4 z^KT~^Ly+rYM~bv9hhv0eQN2-E#wg$DC(ozG)x-pP?~LNcjq|JIo6B2ob3@bS1+4>W z@b@+*I4T9)s*Fz&jZa!lo@%=US@L}IaF*#c>6ScVpqHs))*-)lu^M9k@yF$+Hl^8G zyXxC@gTNn9u^TDQ3d4LNe@*5WOdI6+T)iMgai*}A2pPl>$Rs_gW}B58yyca6)@R3) z{SR)2s(IR9t+8NAFfXcPH*KIT+k8UD9g;>AqU)9B>@96HPO|)QLtiedEB(6XWXpzU zAfLK&EZrUD*wLVlYOVYCXS##hx}pt#+72f(N@m9zYPG<N&VGdVf2OZ`?JB;0Q)<G( znR+AsNr9@E*u3hz>c-@9=chF}vC&a}N<se3^P@PVy^Qu2{o%O7i1NQ7<lBMyq2pjZ zy)*p>KiYQ1ivM-m9d@Rs>usJnJjWl-!_URe=`LT^`*Gv)gSTI+Yftv-Pn!1PIogIu zL(6|x{n-!yF3DV9e>41URn5x!hRm@$NA_}LAatM{k_VcvZY$+H^$aXv2`;1E`~H-B z&J$AfAn8#XHBaJ+B((+U{qS8yyRaHLt->fI|DB9AFZUU5wTffuv4V<Td2dJKh117> zEXMyR=G?YA^H`*NtW;#@I=GO*)}@e}$8@3DcgmzFo|?nUf0HKh7fi?E4O1@#UOq}< z>nfIZVSe%A^fY~BpZDk;t;z1y-qIyTNvF7%`Ksc>07=A1bnTj)$EX}dEGOpdiIFpS z__qsVfz?K0>9P5P&J6R#pGjZ6*)QcDrW5bcar<@4J{ycSMBdtOryU*ODa~P9IWVLy zk4ova;oApxe>~lG_1RBDi*vJNSHE=jw=A4H_C^_=E>X?|>q_dYB-E7kbi-xt{>a=l zT`K^dr<#=>wx3lcoauGt89!=dbX8%T`^orA*<9PH=299|mJjm;QuO!Mem3nSTWX72 zv;SBY`=^b#o!mOD5xtV2baK6GQLfg7OYb<+h)Q(ae;2&zJNzI;k&=kM=!%t#cLrPy z{4HZYRrI!It$me?7`j0Aly5n~B)PxOh<MMQTSB>TDJ3(oL64e<e!Klb+Qb!!VG~9R zRnGVKMRO>&&MbOQBHkR@I)Cge*tykG(DGgO-&l0lns}m~y7+K!($^tsrV9+g0DM$y zI2N`fe|Uf7G-(`^^GhyAS-dJ_Mb!PqJBapZ+1pXeiAwKBM+dBd=iy#zeSVB|+*O7~ z>OyHyH|~K)*tg%1)gtY4XZ?%rl!~Y-$4;zV&UCcIU#>(iHSu9)tLaaSl0uN8Y4%Gw zBsEP>t@Y|&`9Nvb+;7nm;TJvbSWyEnEK;H7e@m2mz!N(K%c_-Y3ZJxG)%J9!UR-(> zMdAOCl6Q}1`hVm9-$jH_IfX_#=pg5ib2=B2BxG|6!<env=FkWs2}w>l6(M1cv+;@? z3gwj3mf4(E#B7RK2*1}p-#>rfKYqV|F4yaNJ)hT}ujiib*W=o4fCodQ#NS0=zxsuO ze>Hv@E&U&oTHFM=OMib=pF2mF)4YY*_-ar@_}RO5_v^z*UlluR6>yPPFS+U*8j!p8 z$4j92<(L3lK%~EwUQBPcpUb^>A;$vVUE7rWQqxDnJZ-5|(9(ja3>*d*`FS^V_~Au? zYk%~>@y=FypBN$UL^d~|skbJ3q$w2e^zG~@-hU6CzQ`xd$a4h^*XrKvxHn|oQEaf& zDzxhZi2)qQXblv4qt3(SA6Luuk`C_%_xnUV|6R3x+O`Nj<KFmm9k<4Y)*w6jTVle> z%7{`<P13`<%F5#AE5H91KOpFd!Ap~Ov=2N;y5kByu+62-Jy*}j#jh)BwL;-hBD=M? zgntk4vtHc?P)C)I44eajbN`Ew{4*b|cp*hCeb_z!Q;6{^NlZhp3G0n6ZF`>6mp6Z$ zYVJ3uV-}Z5p7--=YmUyYDTU0e^yMs3`({6zW8Xip)c-Ah!^l?NU<Yq20&5o0_?r^a zP^1{AR>?~IWd9Yphgg6sM61vf+w2FCM}LVmxFe|7bn#C60i>#i6)oTZ@m-REw1YLE zLYb$FcMx7N4>98C;vYx8BL5>6<2KQxI(A%H1<aLkh2GmjC}&fJ9j<h;6U)k&K~U2s zrY~ikZvBZ+z?5c`(5*WN#mu9OS-SN{!fU1mBZD5$qW5(p#1z@Av`w8-m=8vv6MyTR zqs3@QJz_Pk47HD5@lkI8xl7{~@Pl%KgPYE2M0TUBvG0p0-gNcWO9=7-xkBb)D25|D z-8_igp>YRTqsY>G+eyPn4UHQBgc1xR8&d8Vjr&8RaARnVCT0NTBBy@(E`q#^b{XiU z)YAjnNJGdY#3mdW<%+=X;3bat&wrxD{`|#D@G6q<vb<az95sQ!E6^+eJ4zBg_ak<Y zD@d%xb)sQ)Ks@^$8@GpM-D&)UO=RwaN^&Gvp?>(?8E=>t3`shqWn~a)&b`7}oeCdB zYI5Nm<Yf2&b}V|szH=;b!x~^yCRT8jQ=tgF4DAY_Mro$QI#!0b`-vZLa(`%ny6#-P zQSsqEMT4u0vsRRt(0|>J70X#(E#d=xVg|Q?KNNR*QM)*;Ps-rDu_WUvC(n*-OaF0r z+y_R6e^e}FnSM$f;ff%0aLH&EDeO`9E9M2pMUK*>sxMyIzzGPWoTM{9S&wjc&Ffp! zI1;~$*}-JAZe90h9DJTL(|^)Cs9}t($Bm*eb=^<0%V4q$6OImR24Rq32A_R!f7`l6 zy=q{)U40mN5Sfy=rHriRn|Qt{<(n+NY2cd}z9|9?F@ZRy#W<z7Y0mKD8wI|(%Qr@R z6UsM2d~=y^RQbjmG*AZ^*^HvDzaBxU;2UHpFoa@~vY)VDGo_(69Dh~T^SbOuW5vt4 zkH?7M$&%pcPvYo5x2JHvWC`-v<!woFC*RQcrk`&nK!b1Lo3DJ+b$Po#G$%8IyFDc_ z2F^?R#y4OFCrv0Bd`1XpYF&5%h1>8Zb$&kl7rvqMO$TUbEzXUGlv;3Y)G*(GKilQB zMnAGR-U6tgB+vs|VSj^2U5!&ELD}U7$L50-(GGQhS5rwp|3!Oq`P=fExM;}0@*h{6 zZ>;%79W?BsTl}2HS^j^vQFt&hiU)e-<R1R|ltAOOc($6qw0$0h+i_w3eDgogk8ixe z<(hg<@L%RKL7utY9^!`=$RIuipK_2L3{=FOMc^SB7J<B%D}TG)ImEj*lOtTwK4+kt z(nE)}c@1z2u!L7I?o*QrJL5not|?!4s%_<O#=eXTo!t++OLOJ8yEuca`@R_x8P3Kw zj0Aef<dP4M5z5HIH9XF+p>gDYFR_Dd(7WowJWN-v0-coebkmx=hC=2Ac8VU|v_*!> zHRH-~xKl18Tz?JXW1QwqazP^!Up}k)6FiBZ_$M)e4W7ibuNuZ&ET?Abx?hG2FBa#7 z3iQj6=cVJqDP&TZ05560{}_5-=)W+C!}U(JNVN(WI_Co5aaNh*(!S>BAU#_G+n4hJ zS2?}2ZuwK%05)uw+*_7R$1Y!<j)o(Uf$}1$JqmLGqJJp&=`t-%C9rVD2K`5S8cjo! z7=<&VJZVIRpj3>;6DZf|hN!d^oF(f#NiC+VfN4z8;*59Lk8stYdYti(_B5gdSAg?w z%4~R};S@0z=T3P^w{IO8)R1;?2EI^|>&hN&I0Ad25YDOTc|V684mLnCdIih;!ZI89 zJ>E%p$A1i?^w+7yZ9oA$+SSKFmRE#pr3le?O)2=vJx08N$+MfU0LiFCEOTc$dpD$C znRXGNQ?52K?}C8zo6ez+wCIg+FF<uUW-XTx4iLFQ*iK>tZh%txCmiX`ffF`q(sE@i zL$rEbLK|sVW4~MpObYrQw~um%uGewQM=lBDOMes1c*~~lrrqsCJVq441fb5G=8vQy zt{60oW7bKiV8SVZbsN&W3S9nV^AORD8^)PNLxPy|Q%3W_Safb(LOYf^77))afQdmJ zI38$b-G(R)2Fy^D=$|G-{dmS2*MK~V@}F?7d#_mNKXwwkh=pz6d<%16*wC@9o8>S# zLw}QwZQFbYyTS;hV_P<f%s|R`BXWYZ{xf)DYTb{gPRz$mOs*q%ddP=34qAYOh*Nw6 zb7r`4=##NNGzAckuITn4=6-ZL)<h2Z2=`&~@;qnjsbUe+hB83ke5_aq(_}bu!dnuD zG(-$s04ItF-MYnkcq8OJ7E;#v0ZqAPG=J{M@Z@w%7W)_|7}x{06kmGcM{62V5E+YW zN9&TZ<Fkv{`g;tVI~AW~7c%!Upd2CAjIY6N16LrDvWqU>qCQMCN2cI5Q3)h=f^z|L z591aeWL`!tfsFf{V%D9XoEz;G!^l&}G@Ko(jFkP<xe%<7cR8xlR9~_f*#!`%h<}Hg zSCYBF%3qLVww=xiV{e!W3~$aIR=p2dlxz>AQ3B`{Edey7Au<kUj5fwH)2DEA!Io%% z<Qz3t$n;>iaAc=eeaU;tuD~ve0KK;pGK^H>KEq+qaip<G;{vw6F#dLDcA{}H6URXF zYoruC;8X4}QjGfomyIqVjXg3hWPe^}IB}3ueZKe|c$dZ5<vaObJJ!t^yC93FxdmX2 z%&0R?1j?Bw88Vz3(?mb~PP{e1qOj@AkIb)F<5-}S1^L7r<nHFy<KocxI^#z`8CWAt zITDjx1X-DP4j@qC^r;}!MjiPeySJ&#jZa*Opp@`~dH)L{!C>E!o0b3Ln16i64ZxD} zhYtG~;+tVC#Xd}0?(o_(%-%*-^JnPH1<Rwx=^;}(hzzBSOF$5%oE|b=<2xJKO=Mya zus3`{+0i&zB#m30VSW_}!`yh#1v;sXS2;>fV7jo!-DOMx{zx8rjReH0y<)<k795vX z$e17|ckkjc$f7>ii*vt**?$%a7@{hDG9bKnV6nUe7E85vV6jBdy*gxu`Xn8ofC1{* zpKy(MgggJSu#ieY;v3v2bfAyJ9$qn6|HlW3C%6HeCzIm?TzlwA&I5E2mg&-J81%l4 zkFbt4Ki>X68{que2#zR-Ftvwjg|KkwE8H5&g8t*vF2roKS{XZCn14r1;vFXD;3ODf zp+FIO5#b=}-~!-LGIe2!4z>Upr9y9tFM9)nK)pajonHwjK0%are$ax-Kq^Hwtbm(P zd(J7=J6{J82N<xIAxyXbNO%j|1r_I*b&L#S)soAKSWU@g6(FOM`HXS|8HKG=ODHRY zX%X{q7NFMKHElLP+<(Kh<CwJ(irKOcH=v!%orKr007gbAKthSvmBl08!o;CRIA$MX z5WF3r%o{=PqaT}m=_8kz076q<qYpe*&=*Ow9}P(fZF(ab`)O8yD#eF$7^MvoN{^;- zke7z5C^w5HIv-(&LOT&Yz0P#Ks`)Zz1|^u&+(H`UVnDT*KYs<K6P{QObETjN&OD3m zBbSUQW8aaa-RLY!KvcpGauH3;bP!~S$c;OIBLhz-#B8{LjFJEuWdt&cn2Iw+=dOT^ zg7Ek!s_|zq69pr&pRkKza!@?Sh!yHX6QS7wNtB};qsj2ETmz^kjJ$|8p9c{YKFD=u z^wSB^8<&7e^nV9Gni4M?$3cBS&}3=OfIh{HPU=`0;W|LgVdRU@2uOd7;wz>mB{CE+ zX9@Z8q>xoO^Jx%de4VF^YOk|w6Q?0%L5@kGj;w?$1@_MJ*K~O<_#V2Dq!_JO$n*he zc6qA9*I++Lvwa}V#5=8rk*dh&e8uGn2|R&(g1dmSBY!DA%`OI2d=N)y+S|wAKLa~p zi{eCIY44>HHIYd;T@(h(T%Vko3vNO$ld_YXUy+T)$v1&g$|bsWt2z~_gN(<eqAW?- zkDZG_sdXQeT6cbKz8`P2sjl9VB;NuN<y-_J$`3>om5-=9Afm7!qAK`^iUtv-$VZeu zA5qzSM1K{4h`IwJs-BN17>Fnch$s$-C}akRC;&v%SRsfgvIB^yu@Vqb;e131fQW+d z5%mm2R2&~s1t6kqK}03-5e4NVYWou(Q6hXqy#Wzb0wT(|0z{NNh^Rh3qHchQS_TmX z`yZm-f{23g5mf^s%7KrlcOatlKtvHiMBy)hh=0nabNCb!;?@(#lo$|5paGYNqoH|! zz~5pnsN#7o{8zl72x2JNzkIvhv>x0O-bXMPNVTE!A?6JtKx2SE3d0|zWDyENZ8-w7 zEs*|A_W$~oTWvi(o&WQdTPxmn=kG)GZ!)i66ugDqxwe2fzu@6|<>-a;M^mnO9N+CR z!GA0AJ6S=XQHkozx)E8}i&Lba%8FIjJOdeR)8@*x<&1{UhDj`p(sHv)K97;zZ*8V4 zH9c=%T?udbzHEJ}(F@Z*H8Xwd6!0M-febhk&l6h%qKwl~rN2(BsC_?~0m--k_@8y) zKv9OIus;vph1~$;-tzwG=F;x*o`oVC$A1N&8XPX`J_0Y9aRmS<@9E6eA46PaXgCMS z67|80Iot%YDROmcS0_a0nwhU$++mAGpzzyumI#<3!=bjErKu;r8FIV=TnOqDsXI~a zHB5tHNe^LN_XDwXy&rQ48kHSXIqgDSIW>75;h^Mj8MsfWp*KZ^T>@%A{g&36Mt>uo z0cD#D#Q{6v(qTWma((KQufu)^Cs63w*QrI8y@R1awdTTCYX?A$b_uOSZ(x~_mOqBf zHOsVyutQ_eW}uXPu!2TR`uRCPK=r5xsz-bDj{j*nq7Jbhr$RBJ>vfKdEHn0GyseC| zpoBKM93nR3tkL3ih$xV8_dv!eOn)gLXnR40CPXQwlR9_JH;;7gnhRY)_11w5B7z{U z!jV8FRu+ROh5biN!);Nh^tCCvkAoEL4uGTJ8UvdttBrY*8W(|jio`l400ki-64`HH zYEU@m?sTIMZ70nhaDy6fX1-ftnIy~Bm9NVtd?>|JTtGRqLe-Fm4<Jp5$$vN&*w-h1 zS{cz0kt=2%fKqU^=sTRttaV=lQJO1|LfOr^Jb9R;m;_=-i*b$<J6%wx7zIkI!y0!1 zLFiLlEvlOSi6w-9w}0|Akb(~(g*04%If@{scxpe`h$-@0{F`7S7V9eqFUNebl#5g( zvR672zY>*^Z*X@QCpc{&sei=U69<Tzp!~ZD(rSIO!x!F~NJCatbB77+L`&*Q=#;7t zP0GL)P@-I;V>^p{XyRa_N(38KY^(JMQ5X3Vmx~%ACFpSlf+mcny?=&6P`ac9ZLZoM zbYbF#C`U-1Cquu)H{xfwYITYavx}B5PkSQ_gbl6$(hR6DHG&c%QGdRTT821oPsyIG z+G<x1a@&k^{@$`KN&XhO0yeM$Y;(pf{y;Bq;M34uKN<;VkPZB(C_hR&2+q7?1kP;Y z@+W=aPa^SeEAVfV_}K&biJR=MR&Jx%{NYq%{`DL<oR`iYDa0R{$WK2ZIYR>js{BAN zJ)kpSfcJrocE&bgDSvou#6(NL&<b_B9zhl&UjRlZx%7%Q&L9`dKyvO(uln#5kd?SG zR3N*#LaWaJ7T7Rgz)9z8J!w$u9RnGu-T^XlAIL~Ckde+0K}J3R8CgF)K19fl3v;Dq zCv2Pp)G7DYDcR^w5TYA5fkd#lk39s7I~kSl!&3!o`HkrtK7aUwysW(0dmYOzuG?lU z1R}dBdE-35Lmej>KiRki9HCU!89&{)3tXVs(IFpmsa!FJJd-Ry2@Go5qy&=2;x=x8 zYGDGwli-%)0$Jf-k(%5bTo`JWG?utw3&1F1bVAa`HD17RZUK=jfWHRxqs-Z?ycQUh zYX@pdvsTy$_kSJ;W3#r+0%jb=m9(J<R#XSn2X;ua|ATxg?L$5~;%8_ED#8!HkC%Zn zpW32wcXAuSGK%!UE8*<`48@tg83R;otO{_IVPH*2!cDNaemn>_4>vK*MexMAkzkdO zBpD}$y<wirv3wZh%Bu0@l~iw^Ik(DDySH6R<P}wK-+u<opKe$Z7!&AzUtTu<+7*qY zZ$@<&G4-HG4sm+P$KizBh7AeR{$ptQp9#0BdCN4eyORCmqs-Sn8IXVnK>~Issl9>e zL)}4?UaAZ8T(IMR3?nl_2=f8m6{Q0kw@E-CKblu<V-ElwX7(H|Ke*BPt>hgu09*`? z{B*N~&VM|!n(ycEtT}Cj0JtxhkE{?C!IxHRL3guRGoAKdHI%vboTcegei_0J*8m3P z3;jo%{Xn0hgFSGa;uo5yHl6g7JyAxPZ*<`+y)P^iP}(gIb7gWea9-$fkf&=t4hpkn zxpKr}?pXI(b6BNxpDXa3@*l^g4TPZ}2*XdH41WyU=imT%P(tZ4pGIhX5ZXCo8|Vcl z`7q^jql-KA9>)Y_{RiafOOU5>Z((}SXCO~O3`T(%^a3%cLXib|N*V@v%FAos+BJ1| zE;JIPq24Fb08xZkflEYl>JX1W;dTM~7$=T`)FG1DZ#V8J)2`9V;@IUd7*vikKiTN# zpnpiS2JTY0^j(voKC{W}(p+U?22Ky%1a^qEKbzO4*MEkZQEqbR)9drB`qmg<nt~h= zrj}z5q*KD_q?Q$$#sRt4u!CSPu$%FpY5yi#`6t`eoN*4;|0H2h<DkJ+Knq%jBcQeE zG1DF-cC=!7?k>3!rdy6RufjmXg~pDt{C`S3uE9r`3WzZA5uze869+@Llh{#;uffjt zD#vK*vaei%V#Nk^pTT7s`=RBahLFJ>Ww6V<0a#Fe(=SauCn-M8e#QKcaglQvom!V2 zZ8@N^%fP9B`6vTT*vx*MUBCvL)e#MO18d+nWsaWM-uo4K8d;3H25Py))?O-7AAgy| z9rIy8VS&=fJlqjb%PB@Wzha^o=Q*)cvc3k=WJf@Sa*Td#I^8ehAt>ceGww6V!3<GY ze{7gFkVQ#br|6<85e5+Qb-<T0Lbv`@F@O|BR^U>>#)S+a-vCx9E1`L`DYN@-+sa&P zSfDyrokN|}_aQ5gZ2^0VHN7cmtbd$&ogvF{X%84dDk8JFW1<WkERf%+cB70*&QHdQ znEOGN$+A{`$h*l7Kn#TpVk+zkutvd?#-5CoZ0Jjp`Is_(#gt`O5E#9b3-kb;@cvTf zWfpG-J)i|L!c}L@BEZfj%oRxO*nEfUM0fr%QEn$Jv}~E*%YDly)lGo+lz&acT}j-| z$6W!jhCje7#l@kH*BL+FumUtu`Rry$3*j}J(+PIH%3Mp%_$NXU)0k383RA>i2bw5D zbWUsT0MdY~$iYoDk8m*zd}E+7dV(EljDnLk_VA+3xFQTgj@hSN8dr*uOeZ`AUNNsw z^6NJC;%$Ks=!`lbY6E=#ynk4YQ{cK7Ua-ae+$T6Wv;%2F1Z<VXD6VxI`*>xzS@Z{A zyaW%xMNDmdC2Z{Al@Rf=AkQXPAkT~$SWfdN=2xyF!<y4KiS)%Q;GF?GO2ol$+i?Jk zpBN^*x;@1;tlE~uPeg7;P=qBGKp7gue~VHCHnve3q(58cP<;+@s(%L5tDu}a-!N)k znyaV*S8v*u<dyQr^v{JFqWclEPcTCo!WtR4QFH^TJGQ$pS4^W4m;98FPH#Hb^sg~c zGI28%lzjKL!5$fO=}g^K8$Ueln|Ub{!VhjwGDtwcLjEvUmY9h%Kx^_rB|{?s7ESvb z$FZQUlbNI(TR|_gu7CSxNb+iMA?PAfcQn}DBB4&4`%^A_PTc@GwZ!MtjkDlGIatuj z9){TiOOz+{w08SJt_;}Bewlvf;~)eQZII#zw*2qd%}r0sUbEBMLVO(d&z3SR&uVh6 zPrfIqB`ptdFXdF?KB4qTYEPDj`XIBFxyLom@hWx`(}@lT9DkgRC7}=kLxIvrx9=Po zA}WBA&K{I>Wqe8J1xmW}s6-MX2~jZHAkWq1Z}rQ0kW=S4FQ=pUx~~}2eHc*pMUfDX z5wBqaP)iP-b$1TbeI)A2JYU(#fy&N^f}@XgkZ43%P}uzk73TayLrBZ**g>Kyu@>wS zVL=lQ&@im2GJh!S=+ljCkW(vPiF(8mTm`DB4iV3;fQjW?0(GA<XBw1smnPTeL%7Ks z4F3DA1#(IZY?9$48hdCqKr>|v<kaChc67pUUlb_r+!*JW<Z;RgSfw2D8Q9?t&{tYM z`OqM8WiSJV8>ex4x{e)H>r0cDtArf~`{-)QMJ73kqJPrpA`I#~4^%rSv_O_AJ_1=L z4JtbUbRnp+6f0mykxy|L)HQn1WXF6+-lL5+{%X*T<ARJ}&U5r<dSa*bSL8ut0q!0M zG|RRk9|Iv!+Kp09HU<`=?XXS%uaDOhW3!8xHyQq%*vT26jK|q!u$>^uYEjGBiD|T0 zkf|c_HGfVQo$v<@pOoce>LQ4#A`nyRLm;LaKuoD^k&V-%m8}|TBKHUDWM_|kxF4vW z{5aF%PV%>_i1&JZg{67}3Nlj0T?&xJeTs6rEef)M%ezI9^wuM9ZR1*0(}%17X8v`r zzOxZQtNIi(YT~agf_A@?{i(Y!kP;V=sXlJhwSON;y0n_S`uHj7(xVQicGLa-REmN& zded}0hF<QT{#fPr9q0GQ20Et$es7cg=$VAiKJ2~8e={AsUuFJMh~GCQbJrV#7C|pB zl^vL3FD@<;G_tc1uT3CyA#B~5z>(5>+0-mE=Wu7M55bnV&p+_@@yv1PeONtv;pye{ z;(rvi-0BpI9eF!ue7@T%80K7VzH!9n?4=`Rz?)d?O2vUG4%GV0ebbSP`NOKjo_lA| z2d$xLBOk54eY`toeeklB16)trE<gUB@AS)ZRquIS^a*QdHvj&`i9f2U10M})US^79 zA9yzYQRNI8c1KEgCF^XgDzV?squ5MJ%71q{+sV4;k@cTzfzv)O|K0)byGv~jWoMp~ zGVuIg;zFP4j{har&QnEx@$*piFTjUNT^an?`+p`{1{Nf$B0v8x-IcE&E5K+u+~Z+( zcG$T8f<G~b-tJ&0HH%Q(&*<5||A43O&|B;zOMOR3dx1xrkba`o(>>&lU2hJCHh;g$ zM$iQo({Shzze!s&ATq>jP-v^CqyF)I|F9W1c$O=<S^I)|&$W1g?GLI3I@JBLQgBkp z#Yb113J!0CzUlKke|!GJhI3&B`n9c+{PB;O9)ZPY9e`(()K*Bev2d|!Us%>hgKLbu zkFKVMmvjj9*pB#Vn0A}mp<|_!%722U=fCca3SacMSYCglDlRH2`p0VXy5xh*7KoMf z-@TE>FHiik*ooJ%ofHt{`WLiph%i1~jO6X3l*RA-U^$6Y75yg>31px6H73Y)FKAJg zqLjq%Ts(%-l-f0ZVRBqm^nG@VEa+dFGz0zAme-(-ZXsAp2OCGWTS*5iMt`=0zFK4j z7>{Y$1Yh&WPM(47WUpY7mhGgqVA7c@ldik}9gh^=`}b+>t{Y)1&VG}BxLcRLMc!aC zU%l$Eu$YUilsfyRL107JYxh6JNMXZ}I9;=%?L(-#RAC7#b``pHJ-bD_??J2RgZ-;Q z?)P#H{fCabM;u>pOMRfW{(r0rt$No9a=fbdVr25Zv*Q;d%@3iXz=yM$yePFRJh|lp zxTX`4aW9WlRV%X{cG_UA$CA^!op#dnR`1CN`n-64yJdH1<g4~m#t~nYMT{7N7X4B| zk1)dOU2y4V7*DVI#5^0z@T3%5RiEFIf{=iyS8x%d4nd0#$9<kJ=YQAXyA1VN*ES$W zSXN)B14WF+!8<BGH6l@4=fC=@Iv&ka`6)R2!H9Wm_Ty8BlA)(oI<1&BX$w26y?+o< zH}oyOR_-?Xv}QvO>!=9rNL{sLHTp-%<{$kTBqg{R^-32UhKcG6+DctDGlYAY_?qAI ztQWcap!ck=b(Noq#eY{r)t^601asN{j&lU=_jLykx_Egug9Bn-iRFCwMDA+Px|g7- zThk?|zLoVV!FunX{T3OShkjm)(!hc_^$jz?oDm+L4VdE}u79=J-5B+%Bxkn5OK0mk zJ;A_DJ9Pco+e$-c8-y9R)ZyHhFAuN`2E(B^=|yAa5k2@1cz^Sd)edL>H^<C0&d_DO z6Rqkxb{);ftNl#Z<y6Oy+VwwrDj*JB5Q_blaD#{w$mIw(ev=HcRz_JX{xytaws>ts zs;vryEFh%&kOq6*&7bvesjP8?MZZZ(*C_|LhQIn6v8B8!pt33eSr9hbGIsNKH-Wy$ z7y`_iVt%7k!+(f$)6S<Qh@CdHoE<Vp6odtjR-XRR4)>I0rTy`&c&0Q`6eU*mI6sgc ze^C1STvq+P(V^7Nx=C%*yv+j<+p1<37B*Y|kngKSQ|YO~gxN=_e*WSsVoS@OE{X4j zKaX{nE(`s7eR10?IcXo6SDP=Ds30t)uhTrZMf@`RWPjPD=2zye)#PgvdU@-nAD2%D zw%6wi{MnI@A+GJ&?(g3DzVhEJVKhher(NLJ8Me%`oZBWRjkEXr2EIkmZ*`HLA-Y>y zaDAA@?+3g|rtJ2$TQ-O82_@+W5PD4nu4G1PrS`R0v%Ivq@98h)DZjoSCTn7tdmqKc zu5E;^Ie*<c-nLsgd&{(C`F94M?z)4OdOdyCUiuI7`h3Cags@|I@cOcQQm4h{xlf#w z-@RQxwGGR%qwGg!`xf!Wvi!r5p(8pN#!YPqkd?HX29KD5FMS!LBvP(&^e2UUc(TY> zxWMUxI_G4?H%ue2?FgLosB=z87(t37t8is#G=H7bHd^N#lR)EQ!CI|`j;A-pDi$zB z!BU;hiuEP$F@OR^lznvd*2H0?Hu43|7+pwmexz8)JP&=2Ge+GbjU_3TF!jM2T|fPt zG!_Lm9o}Hmp+BX%8OYP)32Zz(*)nt^*xc<sr#yr!;CElyt#0MNfZT<;0BhK;wXE`r z5`Q1I65Z&NNW>f2t>CnIT9*l7rKpnT=s$sp_;<Z_$R@meaZf2=vKC;y6oNm(hVeQT zi*wD{5MJllgB&9@^9KJu8x^?8#_^2t<~$pM{BrF>_NdkIocxb_mN9Q%q+k`C;8{o5 zw`=<JuTW2V=H5#xPyK8}JLqKBWz>Jr>3?_%w%M@e#!>c8jA!mSYRpX6ODpQ7nJ%Ne z@`T<LteI0r<`MSU8V$WG)M`&ydTGs;GUW8*2miNES`Xbjqy4|HX$IN-P;B`veRsE= z;Fssq4{yn+_}-;Yw%t;=D1R4~{B564b@$PLRws4jD8*~BDeYL4=qWpk9kzlN`+v}q zj3>P8TX$V;Hn#c}HA9pORu1Mb_gb6tdYe86*w_q08rHI644WU`d!^YwYtxMu6s>8p zh`8%=s67MreHnl1%a^AbHKYEeQ`OpYH+Wx3wpZE~+*6MA`q#fjPw8hP95S13uzuwc zLRSMx8a*j#`D~1|=SGy-heDvTD}N)^^%=Wc%t-X#TJ!_?)`#swwPEIQ$4gzW*U(<> zT8_RT`e1$=FZx$^XVSUw*XHeVzdDQtbs>=|k*_pq*>hr^l06ttIl-F2f6Z1`+*{UV zmp26<0)so7_XOTSf0f%EnlSxdBe1|eM0aU3U0qGLGdneRYy0kEyOB%mihoPFl&9lj ztC4N&3bH&EblZ%aVpndI+j%<1x#gZ}H<D$ak*BsgmULIi-pf^KHxgo7$x{a$;h(FL z+KilIu}7(fI^{>I`qJDXN!YwSY_0O;L~?k{%Iwwd#{bxPTEHd+yAsiTw|=B1tMRjm zYxNOb^&{Ha`mKnR3q4+1?0?P%j~eJTCH72Eqvdg&i73yRA~vO@73=&T+4uYdtMtz4 z*e&aM@68hD=Rn;XtWR1*WK-9${b~?d)^OCW`pZNs%zysW@v!OVsi~)$9e*6KBs?9W z?jKgSc@;3A&xI#jKmL5*DE0ZAe{Th{v{|gsxp>j<Yt!3Bzrm(Ai+^o%>1<$kqs%%{ zmU*4vkc~`ht{tkN;uouwG}(ZWe_&#BnI-Q@vvDG_q8V|WOk5}Cj$9gSx=yp=9A;1r z^^<;j6wuy1ozA_OvA2mBuW{^dWqjlQfVZkoY71sA2JXF7X8ZG8jf-54m+KA5ZTI#J zbiP5^=&!z)cSt!!gntA-{3nr)NBG@YKW>(cVbAs`jZ<7cH0N!NcZb1-KG+0e9*GOA z2}F(Ow7FT_tv5FtH?4c~!-b07#coW&GU1br=}nI5%*M}7E^^(9M*a>p@3XDU{H09q zQ}xoZKVtliyBnRhqsY<7gyygeWLa}qGBUe)>>97WxvUe>Z-2Z_+{eUiit#j*@NqLS zz%IowhvoZY&wrX)4?poKQ8kM8$x!vPu5*^Uw6Cc$p1zkea1p+r@G8FV@OstD$7!Rz zN)u(St-Lw2L44TH)j2@&=PDbJoHL{1!{#J@0?9um<^e)b{!d^tD1RPEo|BjZ1cLJC zfasvO6&N8;Fn^y749z9|O#W&U%+Ne@<>#pXf@SZ}^1*`2Gq%nJm3p?u1%YR5&kT@X zzBL`dzdRB9Gt9a!e)G?TG+X}@Mj7gxZ$}41E?&`#`(0wfuvzyqyU43;-gwHDBj7W+ z4g{VdGjN@|o4L5o6>BvA!^{YZm<M`;BIba~pGlyZ^MBt*=PEX85qRn_aRTk(aZ76g zEmOnfd>AF7lXdyx?FdSKe}~_t+uLWj=WaVo!OnRmzujtRj#(^C+6r6j>X`Ras+O`f zwf=tSU1faEMe#GXr)@7-e?M$wT`SiN*E};=`A0ISgbgUqDX@XUAnQNOJB`Kb+~bW` z2|QhxE`Nb12E!0|YA`VZPZ4HG;2Fcz2s~k?{5sdBk-AP)u}MEcpgkfcHjj0%@=DDc zw+f6cG2V|^7X4HcCM&*g;9_n(-LF8f0Qd4l%89#D4e@kDfyPDcmp{)JVDttqU7Ynz z`b!>V%{qM0c|9Sde`H~=`*@&0g4(7&Dq=Ly?0-l#h%mY|;)*;~)zSEKm43(kGj~%+ znO!VtAJtKwI#ku@>8R+DnCc$zP|qcn^dAB)PhEEek->Jie&Jq`FrwamR~R8Df5(_A z=H-aE*K{?OBuh>3ay(Mya=2X~Y2}tY^`#^5rE2D0lU1yqB;xrVga#F<Q*P*(>g5>N zNq>jMV)s&|<*EMVO7hgx<))sF!X8b~SnPk)Je_j0a)+)eZ;z&1v3ddsO?hfid6Spp zP}ORRdy_cARsN19wan8o@KY#h4_n>Q4$Rj<w~1X*E#Cy)kD-Zs*y4_rY3@x*Y^+W> z&Akc4-Q9A7t}2)4mCN$fEyuv;?wq(4Z-05}?Q$|0O<cJnPc5qo^>W<sXtItaNm4=b zjXMr`Ifl9SK67u{k0{>5mT@HLl$%ydJaboXGrAeOa=+XOysq-@t_rP^c<yf98Vc+| z;LAZKes;uoIRfszsqWToFE!gk<*7U6sYJ&`aOTJP4EH8!#JSj&{pA+&)P!o;FMm}o zk4RTzSI(Dz(kX{kNu;}D??Dc?zkIxML!K&Fj{jVR>j)+9LF{Fl>XiR>TugIMY!A)f zQznLp--9?!-SBeEbyR-lj&)N{bLTw5zTJbkQZ5N5eYm0`PnB>~PInh~BV3GKc~GAG zr7ACOMO&VVsW#JSSBPE_k*BUY#(#aTx_l3!(N33O@0O?5J6-`tMPpy=VUIdq>8@I@ zPD*h%6=Q=ZLbuxNWIJ7mZKqRi>zJSJ9+0>q4@RrZ)Y|EyY>ZC1dbOEmJAEfxOsBlJ zIw{q?Nq`;9s>oh@wosUoj@?Ox$S6N=TL0jsKIS)S#q`Xp{FaiHo|1VWdw-JDr84t! zsekJU29VfdFIQW)Y%Uj8vlvAP$e3eqzGH8E`OJ;lJGy^V0)8uz?fRmWe%$^eE@l+J z%hatWO7=hG%e~5}X*u76jMNAXmX>$Pq+vzF9X6LCrQvs7DOmD1n^8UU8btBlfXZ)r z-8Mv+RFCGbyT`d-k#ml7?SH#rBHWGRRCdb*{?}Zro$cjPpddV_tQ>pnY%cmAQ;c(l z?n4siaF^=;C2)>o^5^UWCw^!E)tlTp-FM^RA<p6VHcm3&-Xmir7|6s{XgcA}$e2y( zgXK4GP|A{H`LGqjmk$|VlEh8=a^_c4bw}6lcPMSg*<>xWvU)0(?0-~Q)A;*auuti; zwj5&cjhDarRB8{t{E3Ea(d54!m@>C%*Wi79p8gvDNY9bBCe(c7AaoAmel7c%-X8W& z`8$f#7@hLY;3nwN_H{Wbc!(lAV29h+g{egy&SPVUi)O5<w{frPt6Y$r(#^1tN~>!P zUqXk_J&i@wgVSQqOn<F$j@hP`xHt6E1IXkYH-e(AD^;{fS2U3ED$uWAX?$2ZnQU`w z@x@ZTOA&9Gs<;}PxUkQQSLWRAz0vt9+gd)zUii~I3|XTVojua3K0kQ}J83UWE{#5e zeMXef8MY`k`c)deW8UqRQP(Zu(RsILMidz2``c(J_F0L<(tn6Wq!Hx;<nM^ZIf&qh z#cQJzw}fdiYSHfVuN<4Y-LP60A&gQ9?k!<_S#;QqJ#F1WDWo(DUF_F4u{vNr@wPOJ z3)sD{&HO7^(O>(g#(lm_JouKlZpiq%lpq9u5@KF2zrDzg(clh}v|s02zyItv4%gfm zy$FfEQ@e2NU4Kr)4)6HiJtJC+26+vlGXfpDwD!|_d22>JuRC;Iqt{;j?s0H8^EAOg zdg2n{#F(|mV8G4H&jcgh)A<zMyk{Amn)O<&T1G4XELH`l<NEkmUhp8%Q!h?F!e1lK zkP$rdAoIvmcwe;PVDOBk))o2w2m5AxSXtd}N!oc0(tk6KtSn16GhY*oc2CJuxJJ}k z-S3_lt$gs_e>1fmu8(^o*BGT@)v0UedkE9lj59_|ZV&yrhtcnuO*G$S{b()fcaMlx zr+k0TF3kJiJy}}E5A^5kz!d!MnbSHBMujjnzk5oxO2Ft&%)8$`pS4QBs34~Cx10yd zt&hLv{eP~HvBcoPB)FcWVuXsm?2Hx5#v-{j$IE2dHnTPno)l@=89d{Yi2>ax!~Woz ztC`E7`>>%Ucm|azmI#-KZs-c0k<yY=?0;}@W<N{w<9Kw#pW&EH`G_O+sUuMDf7NRm zJ#vX~<fFCczkA4T8sJ_F%!snG#@vZ#^427J?0-9SCt@4u!87`qnu`4lQB30R9(65O za1-Qb?y|D7-H5td=NAt3IM~1*XZ<$t$gg@?3GT1b!?niWJxnbcIA<3o`gc#Vd$YR< z#=OTM5zdWXO9umP$TN8jax<!|Eaf(azsa&drt?!cH?m<R!gEXf*V=ov|7&A$)|3BF zK!3*Iw{OFDcKlZHi1Lu$=RffABD%ci;qH5Kk+#y>qQ%Fh9@%QOJk=HWrkMT*ncVTm zr5=v21x8(-!=$W-&J<SjUMlgnjz1oKFd4oY!s|2anaZ1GV8VGJ6V8;3`NwJpM6Gxk zm)kS~!;vZ7*{~NGtXk@&oheQh+sP3&;(u}1+li=Gs<-DQHwK}0U!YS?Q;$D<ahQ>G zuqwmZ3%36_rV^1=rn(?mBy@fVYG>KDb;~sb_E9<Mub=SWL4uRG^JX|R*}#cYiAhU) z;q6uAwcghHWSG8G^FmxA?%pl`mzXDGcH1v84pvaBCF;?*LU!@lxFZCN6*S@Fw|{?a z|EBvYJ1AcS?86<Z+LV9CK^wO2MtB`^{84G9oE3PcHnY>MSp;Kg1<l!8+tBI6?X#Sa zmy*jq;CiOjc|p-mtAT=gFG$3m)zX_TD~}hnJat|^ufrlNM}Oj$Ql0ILZD#h5EWjO^ z0_R4p)tfBu?!kZ0igRyP>o*ZxHh&G7vB+HP)|G5woa$#tVzNyz$~}_bv%=iNPxLbc zmXE9{R_kh+Z*3=j3wdxv{}<yG_Na3}_+fK{5BI(zGvIlT)=Ev51$!V%J%R4r7w~X_ zW!;b&nil@E?uV!b=e)IJJ*G=Nnr_@Qc(?>6-(>kr&*oB(ot9*mt}}Wr{C{R%$c~;1 zOFaiN<9=lQ)=CDWZ6>Gs{dX*9n&?W*phIRZY1wq?YCa0Nl{b2D=46QX>7Jpb9&au4 z3^?x5T8v4pUXSLFEV=f7*Yieq%+Qv4R<%B)z*XD--O0-mTs98zHqL~9&x+TAs1Iia zxOa5vO1Zfl>h~9@%`&MK?tgI%@rGyi{>aL8b2-t!AhCQnWJX4d^*t-2-Cw1DL8!LK zWLYQk_fn6gd;N1bE+(Yfq*knl3SPU_r@$NCRl9V<+Wgh}ts=8d^ar*2AMdw{$vWD< zAgSw=H!A5J7UJ!i3HhEyaKpWTuf~pE%NrH*hKCeO%^X|md94L>>3<Hn<I>>8t?zH= z84AvLE%mf&0iSh+Ti-+T<|0F4OmxM(@gd%-JxWVGky>`&v*fkHzUT_K1bxx%Y*{$g ze^8>9Y=Sw^W46>YptbQuw@GW`v+lCnY6g6!%_<E((-QPq_eyI}w{ER_G3d2fWq>R( zJeTLRNB2UWq3q1L5Pxs89;*=VeLbf`W{zemh0I*cjQ*bG(01^2f6jlH$0oIVXKsef z1ZTE?&)TIG*R9*xdQheRz5LA8kQsZe+8<e|?n%$#>uxh$x`9zyYW?r`dFPod3uU^5 z%&cf#>DH}`HoTk{qTcf$WJXV`^NVg}tl^D3Cz0B7d4{`Yf`3E2MS9MM%&2P}@6s)4 ze}5~_352Oh?ZKI&Av0$(FD-#AP56-&o|*b1%S)^BIXu1X{oOo6sTsYc9=}Z57u_;9 zm*f5A(IHJHwX!qfOFasi248fKXazop$GWe7&r-=W=+=d|oKArYx!(YdTlyE>jF!`> z@V?l&40vCZp?`gzlO*OPzZ%>RnK_&(vji4{l5SnOmiL$P43)i$OfX74r$T0+nIlU* zhFStkJz?%bKfq$r_YAHPBmW#eE}(n5|GlF3#k>%?9)*yZTrI?pEPP9ODtuf5Q*5%V zpIQ4oi`<r@-Vc?)B<bizZa-qXot(XU-Da~5S!qy9{eO7e2{EHbVVz;LzBO)l%1dk3 zRn^efZuW^He={g{yN{j<7I9{y-26T|I7x}5-+rW{Q=tQ#t<Z4w-qT;w{k=nF;i}<1 zb@-K5oMglC&+1kErWVN81TOl1!U85a#o0eU>;<%mz}<J>asi|GS)J5xYK6=qAO#wJ ztTwECM}Ly~n=W$oHy9VbBgy?0NAPh3q<Vuf2ZQKhdgVJ?bCDa2Q}=n_X74-)XlCRn z24S^9_%kywKkOkxV6{Q+v#C!$kk1g^U=Rjq|2zUPn$MhlKQzsLuBhoZy@DhWxK8&G z3z+_A&WQZ5mkgr~hTV51KO_9()W3c=yxAhiRDZ*)irI$~e&-r%8SEAB1}1lp&E{qJ z3qX`BWRE2Nu&#Zd$VfveQv(dT5ouvbz)tREdt~|u^6~{>0Ha91^718XVwRc`Hf%;t zXWUzD(EF}L?w7g$gHinX9)@Q(OnokH)+&Vef!#drb=P5-d(g<3H#MmHg)6dg&8eQ` zD1V^eúlUtR4%PXwV(xs6WKqz0VHBd;++#_Ob9h<oM+4^Y4w;+v1#ps2O5)!_U zmd*Bc>`Tg%ugbU0J43CD-|G4Nxew_X=Vp$8j=6v9m#5(bSC>0TFoBI-EIKh}vj81H zYYqQQsfV|PkAk*!D;^!tAG>MCqUQ;0z<)bPrgE$OiHI<z1=j<#)d$}`oFQ^{RYiC~ z$Hd$}ctFPz+_veIdb#$kL@-hNR>ie|EBT5+k2c>?G0~Hf%26Rh5r5^r-9SZ@(C^oi zzUoYD>y~7+Y&D@Vp(hv258wq|g*Nn9bQg(@WK~krk@YXf7R+16gOWDCtbIxnjDKo= zch2brL-{@?xz?ne<5Z_@7Mkvt{JXy+r^u*fGvOM%)TjGe)wZ8i_h;0$H?%vxY8z?Q z&6(V4f0IuBRUEu?A>tj`zfX}hP|Zlcwe7{&SEjc>OEmN#MQ+5Rd0kCz+hY`<c)V)+ z9>es$^0(}#2taeeAQ1s5FOWgse1D<0gOn>8mVgcb+&%<A$B^F^572N53;0BYF=RCi z+@JqeH^3n~!phJAl8>5tDfPPU5pK{irz-O^!~n^K<fn+Ry$i|U7HTcnfd1|U4me4A zwFI<#RwY<;C5f;SbU^aM%`9}_p4Ep<-I*mSCsBAs-WkuM3#J{tbN=7L5`T@OlB1gX zDfJk)MFS!S`c3#L!dP<Ef<<3#GxLOw6}l_y6FJ&d$>7?|77D@Cva8x)YT*qEIzX<) z%pE$$a?c0fy7S*kz%}e#7!s=6bS+c)`+xnT_e1yGz4-t6EG#JX2c$Fgz|j|j)bkhB zVnqE6RF7IW2!^G+xpt_~`hWWKoj+FT`hnRVbkA#+?l&q<oW=Lm7iI&ljdNTB&D}0H ze>cv(w=xLqvG!~X!Q-(8yzu-t$4m~czh_S+|2F%OQ`oim;CXw-#71*SUSR%&1KcjP zlhynnn40aKJ!*!J{d{|^PdfE8w#C0T%{ltDTe(O6Xv)=<(xjkDqkmW42hFf$FRh9; zhPQTHF-oNu;KV&4k*_r8cLx`2sa`4*e<M_1V!y=`tIZ;oAIVne2`Y6e>KKs6dy?V8 z!)C{b-eF<ukfu5Rf+K|;kZ32pTCKD>bP(QiMB|wDnOEMzFDgh+ES+9%4?`2TyTY+u z`xbxRZLIT-e{RjwV1K-PoS&<oWtB30*8zL@RTK0^iPZgVp@!u{JXn{h=jjIB^waNd z1lMH@$)l!e0~)%c70)*FY`uh+H1mzO71Ej%YPQ{PkKR}nt%^_{;OzF?wrfOQQQ2@E z#2O2YZl@E+0-kLu&owp))l6g%#}F!Gw~yMAN9W5$YkDu$lz;d2J%U=M_?OjUpAl}Q z`168{J)ILg!=ftV7Oo9q!vs9@Ps7Lt=T|+!3?elFU`W@~CWSaA>M3`PY?LiNYFFfx z+Z)O7n7ad)JGXjfjyosOrIErF85bEX8pHx(HQjI6xPvwPIj1}`ENL8MSDprp9Cj!y zHi&uSTs^E2$$vY)S_&o>^Xz{?9Fsf0>I*JiWV8evYt}`4MjYFGM&OUNSUo)lO>=q% zJDFH^OQfOJ>%P5XhT5U9q%$xxf%|2i^Y=-`>l#4uy4BnIvEuKSvirha!!DKUjpWi@ z`@2j~_^52PnbpYb<1^g<@adTVgfZ&D)kn=fZg6h3Pk(4=nlw8!v-)u3RVJ{D@j#m= zN|B6jU{3bQU<{(256vKVl5h4fcO!G{yEb>^T=*CAL3magqZwVc52G20iEo&QER(^+ zwW#mMXhu1oo{@-hK0M>{ANh9ArfiOL&!!MVN1JCtS^mhVY#oVj5SX0*#K24{d}P#4 zDtuz-PJi~vVFDwaHD>aJX7^!iBA1^uxJDxOV?GGZN?~lGmJ=F|x9s}J&}^yLi%E`l zJ~=ZkC?}0cj@+oq43lP@(&p`^cx&@8D0L66I7&sEr$qs8?1q+QZpc$cJh&y3hdsDh zl&v=J5G6A+>_2FBCZNnv(8eoMNFH35Rl?C3?tc!N#>{H8v*rv}h-}f*B!n!?43l9L z|2C|*Qt!~g)>e~qZqR-vh52L6#A{>(x{1A(l@%4R_rXEfaU&`Ga&TG2rUc3zRsFlc zOFx?sFA|pHkyuvm)LjyDq*dnnN9CL6^JKSwDi&jlgf>VY`s(X6^@bOl?|a_Q3b@71 zb$?b@9oiRz?-Zp%-Hlu`Q#AjrKo4$fMj95|Lv6AG((h-Ne3lA$^4H-uV{?0?YrJkS z?aN!ID~I!LKX1xSYQFtIt8}yZ3dcbga;<Y;#HI2K>`O=T=*rO`>!rVYj?K$IKDBg) zOnO8J7dWZgkoQohtn(%_bhMmF_sXkxJbyc#yngR`+3}g>&OHU19%3;v#bU)TNDpeR zh{WC<+jC^m(^YFa@X3$-WS4eRWsE@%?dHq-as{+ZIS=mW#P|4%^k|g}rW=yyM(f_! zy!|lx(AD2sXxOv7Xk_T?nPUfZ7+>Zu)mtKdUv!ZF5${;v1<QRaelWaYygfSNa({w( zz0QJ|L7YRKTJzP^8r1_y3YV6B<t~j~s7@2}gWAhsOFw&#{QLIBEokeL+-zWn>;+hD zL$)@<kCOfS7~^RwDe+v7vWWbAc#!P-C7Ng1>*hmw?YbSs>Ne6xOf5&{qS?EAvPA=g zfHPMD^-OGge%R3q!avazk`8!bCV$WT(6ro*@I~nN6n83!#{DBYys9`zUkbSWU@Nm; zPUp#&ldn<Z(q95#PKt%CviY`xHwGIiU7Y46HxZLXud3I9j=#*q#;FWrF$l*iCTZ2N zwNLKG4>4Ua>tE7Ylb%tjA~#ge{(p6pC8hpBa`J83vCSeHe=Xzs`zNqb*MF=fRcrkc z=qZq%w^noYZTkr3TkcY9dL=ivy>=q@SH<3N-ACpTj(?kuXZ{NItI{nE6$XHjr{?3S zQWG8JEiaGlT@<6>6TfZmY<1c_F5W7fcp}(8*f}hu^J0WxL14=Hx(KU3doM1gSp7M4 zaWU;&ok0uNcXhAg!=KJSf`1=tx61Es?)@2s$<UK}FCG<W(CB8)Mm6<%n@gI@EuI+p z(`P<o^P~H3KW0(EyB0p1C|)Qd8~gYC`xk2dta#3Yslo?S-=7ae={aN~r$j}XpUsG= zZt0#1KHUDleOims+kWkDv~&w<>Nh813d#EL_yxCF1IPT^Q-}b(Zhy?y*hUC!bH=sb zuX}ZE9q`0oSWS&hX-ej_)@ep6SQoRfUGMknXtu_uz<ckpa$|$_hjpv{&tqw*lTu;! z*S4M}PJPsuehm2>zZraO>)$i*O7=0+bzStM#07sFU+(14Po|&r&?9CQ-Fsv23zt1b zA}0v{ss^2H%4Y}9oqwwEna=vStLG-l;W%^$&+CYtHo94Czh>>hu;~Z1UneJVQDZ7I z#Q*ROnZQAYj0aMflFP#M=e&u`*s-AA%Z%!94t63f+ElVfay}oF`1m5NH=z1vLZo!M zryWz^`hC<P=0LX7BY4@S*_qY-XK-Q)8<}Y2`MM#~b=~MFTz}rr#=ok5HuB_pOUI{a zTzgza-0VS2#!cu?(MG&doja#*{O2c|WKl8y?wgKh^N;sUi(UBV{H?g43YD7+ZvTW% zw5I>8n4}CQCd;ICRi)gmSEksRaz08k^DlW}QiOfLy}lC#lzT)Zr3~4AOKKa2y32~@ zpL?AtvzjrxrGHuc78+<UTz}+jU;VwKQI+X7Y2OWeI@kS14NVlG=9HOfG$rK}3Jp@1 zC<eXSgN+hPXplfvcyM>3%0A`n)Hpn&AD!@|!Ftle19^m^uT9<oGM6TdtjKhhMCN89 zghAv|BSGX+qs9)+JfGBm(je9vupi^x5+I9dnv~Yg_<s){naSQkQ<-UO#Xd2Vfo5cG z9HjtEK(oL64Q6be+LP%f<-FE)@qF6++RdU+v)iS4$`+;Or*6;ry*&OpWdHwf^PDB~ z?oq8(npe8Wqf>gnl5hgb?}l6AK=<`^@hdT>HXmD|bXq?P9+%4b|LP>iiS(UU#I<A1 z+7DkkEo_;xt}TDqUvo9>@TXgF<fC8L6QHlJn@9c}m2FsxK}P;Gd>-E{Ur=`Xe#|MR z-~jyYcM<!>9Z|FVpLBuN<<o<YPN@VHE)7ZTvG+Tun5A)Ay7;tSQlgcdY^><>c!jk` zZ!Vjul&08R^@u66Y&gHm#`ABR&-wjgI~yU1%^kli&hdXfOAG#aXazc!`vpHvqqz^i z^&ikzii?48qC5H(Ocr+A4f5vq>}<RO-}^N@*Ww(W4PIDY*}E6mwI~=|Q62rT>gGA3 zDBUqD=N$1mB){xd=j^-T-wmg*51xvuE!1Fkg;|`v22n=c>J-iyPOMN66^j$N(X)#9 zYm(aZAn$*3bWDgU<+c)4e&P3p+*YNDVbBo=og+rkrp-j%Z!84gc?UXbe5V|AEcwn` z&=KZ4uRv!Rbp9X8-Z~(vZfzfy7`i)$?rsnU1XM&yrF#ISyJ3(TT0-d#kx*)oZibdd z5QYX31Q|*YQ0m*9_x;}Q`~A-IoO9kk?tS0uTK9jx_nLjJwYe61P{lGJFu5%;2b6%^ z248@H`8K$%E(8Q~yF{nW+IEORRp5m5jjXq+TC6A=ITmznF6pV!I1Ah&SPwB+>|L5Q zE;*fLdv{vXE_+8)BF~{zV(dQwkB<mW54)pW^By6hqy6e3L4YGYJv>B$^NYhF7F>Tf zEB=2jINYE?AE`+nu|7o%Iyrl*_CS+l<^|)PBf&LwNQO`1!q<JJ5uB7)(u@Jgf~XB4 z=`JoHlhK(>>n$`%sV??zKsZFy!?Xn!js#mMqIySgVgA3Nq#`Wn^-VaW9T;8)=_xc4 zQesvV&LbYQ9s^D4DxQK7v!IWz-yp#^pbmfO&V#?)#aPSQ0=t-82Y1Rd`T?#4e9t>I zHiD0qUQbH*2@wzB;ofIu`&gg+LS4W(S$(LXb`$hwA)VusG)a-iqtM2ktO^Hho{qAy zm#K}KBj23t7Vuq2c(w2%)3buNaTnA-!&cMCMs}lKZX8AbT%D6(3jY3PAnB|tqzZow zxu|%>Kjl19q3zZwS{@MHWdEXSo7kav;hvTM6Gnbm^s7kIdb5^q#A>SaZnCf6z&|Jo z&<=0(GF%4-yw4N}(1?6vtu63gp#A05%4h%gmBb&eOdLkG*bdUypLI5s|AMJKHt`53 zYMyU<-}G*C=F-SmAnnUk+6Nu;s)~OZv!e2}_TSeSK2VJUKg6VxcwtDFG2`dB4y={y zo=2;pvtl0|QVdcrWSmCSj;}Ok<(Lw^m*ZwTrEjY7cP6x(rZ-ZV5+95SNB5qkPn)mm z@g&X*XxZ`z*fK+BIo{4!Xxg5s4<gYQ#I5s?R-4sb?WQ)zQ(C*Mc{8i$k8XdIVNt4) zwQRjRCcW=#q`G>#F5G*`-T#1PukdBBe({yTuDfy#@*Bi^b>!Y91dK#l>C3nonc>jb z{Wd-BMBI#jlB;U%4}tf~TWtn963*3rQ~G1L7o<q)eS^77;<T)!?3dB7&r;a7XmaK^ zxu;M!E(=n+v#wJz%(qRmLxq19qp^iKb*iqqDFiny)>#w?K2vC&(^&S=I!@lPzppi7 zrH{|~Eu|~3rI!PXOQ`5q`op;FkndK0#V~`-Fy=p&WyBB7?Ga#s0R;PjGM0|kQ$zaE zLAV0AoxKQ|nBBAUo0@4HWk#oIaR*K*nyE`~hKEIqZt+S$c5)l>fA4>WBI(nI$75gJ z^JB{AQ#>PT{nTFwWAw&%T0T%NGukBy6LzS&VBUT<+X^q-ZJcGkW4>T=MzbwG+bW}+ z(J<SA(F%52-Yr~&R$WkTSJ%#7(Rk09>;_}CCd{^86}F9=oH1-yH_cv=d&?N@CWh@l zbXtBox%|B90&82(X_<d~a@n~GM(f=%+uB>WTQ}Q*)tcMjN|XEj%I@=?Y5T)fE>%RC z*>d@=iIR6luHS-BXvo<&XVjERgZY$#f0uN51<}@qvX{@{+{g*bvDvwpsae_xr(udb z8PW4_2RZhklH}E$Mbb`NkcvuHAf;v=W6T+6GMh209m%TGTBUz5>&(>Mtr?xYfqOdA zS?Lm<23nwxY{r>>9IjmN89IY-k>Amw4EoO?Jt%n|Dy<Quvrh<`%r&Gk*PU4<;DcZv zi5RW*ivv=e&pQcEAC!08u*&8b`lP?v!0beTKqs8DC0`iV-Yb5<^Lz2D`i(3XW>zMz zytPeRmsFQ{(2swSGYsd;F?_kjEF!LrPeTu-Qb|5AzWo%>n?JFdK41P^KBMYTXeE6b z2Zu&v%=e*$Mw44jems8Btn=n`&C&>Y`lOyuvZf&%uhXXEo_x2-*{Nvd)>Y}a#}o<~ zsIts2XWuIsAcP+<A4=o4<2Op`<U1mYWpjSO#6L1T=9+(g+b(DCrI*1)r*YEP|GQjQ zo&V#3tiKbc+n3(*sBe|m7LTYhem(xkK%l?U$`CC^sTFZwOjbiFZZG(Jh(X+q-r9b( zpGEGtx<cV|uKmc?{Wj^tH6*5jkv$1gWRomc)tv+>hyDFO3=XsKkT;lTm_qQG7UpM% zs_ZL--z<M>KS;5VMEAHWO~Rnf)I^pfQAzpYm&bLZh~H6My=Vi`#r6-(qfsx;u|)nH ziYyI#VaAJqHY&Q$t;my3meLe^6@uvfLg-7CK&6I@J@sZ19ZZ@RF1CNfMLPy?O67Y7 zY;Dmi1sKzYD4R2Bq7Vk68tP^D7siZH9Z>(g?Wlhj8+bKdZ4j$-GX>m&aFMG?olVB0 z<KKQ-bZ7aIPBO(=xHkR>YSMwDHE9Ku0ppB5&hZgz5^k@*y8yU;O_`jOW)0c%W6cdA zEgaq8A%9t&m}|lao2Z8ES7_W{mdunecrX<3Q^i)xbTK<+2XwjGW%Kre4rPJg*aY9Z z>Op_4z~aggHa$*DB_Uj>%iNg4_?xhM#%?^=!}`7|g_W3fe$CFI_Z)D`D23mU^X_so zV7{ojuUcrvHbutk1ho1^$jNytl$%Fh5Mn<j6J}Z{4ZDYL4TKy|!s)`SiG-1GvCG%O zqzumeakdI{gIJ_s!9KVPcl={AxIs8wJw<<|Iq(^6Cx@Bv!weQUu}xYQh)l$)r+y?1 z`bV@re3ie>;37`^-701%!<c>QT%0AvJ}8SOb|9nW-rJ)W;~79Jk|IH*?zW`>1k-{* z(lHl<WvM!V2)_aPk2!(XQ=r8OoaXQvKy)5Uc8>A@@Ycrdxkk5{=>Yx;fNbB~-xz-} z;{~cHvs;!@HhwnD(1D9g$Nm=2%LLrwd7kdMIC=G~S3`cqFSDxeju6A$7L$oDf&Uh= zixZ)Yr2E4*CwVUZG}m5D%#u6qxA@c1j9BgUk#DkpGim=e2pTvSZ@Ty65;39}loc#` z88Z?blr;vue45d5F3yk{Jo-XpX4roYd9oob(E-v_TK`CE$xT|yV}80m;*8m=(~9oR zpuf|r)8gElu@gSge~`s(Sz6(%S|0$#8TbC;8Y4|Qph=e@teLdabCL--F`Ton%7kF5 zqcu**Kw&9SZ&;^}zvFOoUOC?)`o31nnD$I6duGQf$xNy#atBLASGSSkJ)eKgeaB(m zH`(GU?@CkO&!ke|vDD*Aaap%(>%g-qkTa=xrKR^prMSY`_y(X^xWo$Fvg+8!n(Uc& z6z|QYGI4G5)p@qEh^+HJ?b+tz3#Q?-ql%O8PMgyKi^4la-NS~RkRidw>?jUZY}mV4 zXp$=sKEQ@?0-*&vN|h}fLUDf|p_Yqx8bgi*%TOZ0{N!j4O29Y(!vYKf7&AG#_6<-H z4y5(wi%-cCBh;ASkgs%T@<FNXb1KB3`I5tywuE1H%k*fT?Y^W}S(g0RzlA2KP#hRy zC!}Rqr;pa|%ZDMs_(Z3Vpa?Z<0@Mcn-x2$_L4g=z(2vb0c;O*5NnL;RGM*R^$Cw)v z3q<H6&dlI(+xS-pVLRV}XOBGe4=5)L(n(xuSx=7I;1j(}PUr@&;`WLx;-ZV_X5yoE z!XQ*cr%yytsz@j)2>$<T*gSE*2T$iCP>>}?A92V|mf%bw!6J+J=p!5XFo;DhC2E6S z)YKJ$f!c6zzx$b09JPPJ#g5u|02m0c0Knw<Q5(i&iP9)cG&$UG5^Icw8BN{`O`^kr z^@*Z5qLAQ$wfhnbnMkl0(P@koLaoyG3lt1A{cI3}q@pMrQXCkXD;xsm35V?88V6uN zyHgt*7L<T@dJa%{L=9YM<UV3B1CYHizy<*mp+JHyS;HZUI@o_OG&fM-wg@l-z(Cxo zjtx_|4-^16_J0jnC{T!=9Q`I7vgtA>*$w6cr~$@8FjuIn+YP>G{##c69?IuKsLAwA zcIA?wiWzwpmg&$_2}tm*gMiQ;G5^HtQX|`W2u9Bof7oBjbQ>|7TbYjUPWhcaO6d7L z9F~yyNF>JBM)iNC*P+hJ<x5-T&gzBM8V?0lqvQ8Lat%U;AH|}Qv)j&IHc9vdT1xDl z<U4Q|F?%*>;c=3wB#{y1y>rNs=5%q$d3RCuJm5DSN(5Z0dwQeL8@d{>c{Fm}cCovx zqjTfV-zoO~wB@0$qvs3umiYz;o5y<z{eur@W*#|@e8_*4Kjk*Oaj%qlqo-T#zk1KP zuxn5%bDNQQvU9bP<o%}vtm>7%?a7#IXQorGfhYsl*faC9^xRw~ox}^om{^5ulk`_h zQ{S2~Y8|2v)$76fb*(`^Xna`36v{~wnxj%;<!4sjqzaIo@KuKz9?cC%x^Rk#D^`9z zFf2{(;4^>Z7t2QL>(=rc>J$h_<ug3RwR!3IA>{b=>EgAB_;10~Pg{yOSwF*z{vamY z-ot;@kFE2LSDo?i3MKQg8^HL#R$xwB?t4kAxq7H~D76Zs3Fji`8V7>jEEwsERvK{~ zzukN6#M|onAgwJ3R&!VMNvA@qaJk@WEr*x*tf_zFSgFo{JA6}nVA@@L+~x3L@%5vj zXWwl~)&=Ug83ldd{uI?>B>k4Ob)Osby2P3b!kv%Va{AM^X5Ql%Qki;K6n{<n&Cv6v z{J~HCt>@`n*cEP-uS{%>qk7o7F6f60h~~wk#kP*st{T$SvuFua#f4HY?w^YCBLfTc zF~@&r6Tdnp+1-5V`QC6m@%!R!Zf*(b#PBDNn}@5ZII2kd`H31t`ODa;e2fNL?`dwS zorA^79}bLcRmF~44;07_K?)b#c{icmU6I{M_tvJT!wy<{FiDe0-pvI1*f>gNk+f0w zq*odfTVVyCW68WcU##=9o;St|rU+*VU*mtN@_%=1*z)V}P#;Upl=Mm-@2Z@t=xTnl zjP1nglS)DOq#7rlIpw5??Vm3f4tQ6YOoncdLtV0Sj|yCE5hutYJzmhvkFcvh<emNN z?hhq>b|A0)S{g6-@zlbdY{)}cX@1ULjCfYV^e^J@E{}nsZV?QkPhK_Iizw>Q_4a=l z2*%r3G18FOMlRJO?htwi64|WZp&3TJ?(0zzOtp>3fVwE)I&CPPciolhklw$(F=5;( z$euk+VtO`Mn*PXn8d}rIAJq6hLDT2Oi(h&$jGI$*Hp(5xT8XgsAR476NO|FU#hmUN zTl3kvH6Na7$F`M6cHBJn#;!!-h6aD)tp7l#q%rl?2|`2}MIjK?@rBG+ocZ(t-fq1$ zYLUo_lnR1nb%Sli5{ghOn=q)*WB-F+(UGPIQoq4dXNh<hU7B+JZMao9fiud+#2@mN zphNgjuWuP+V*Jx@YLh-%*00(z+oQruqgnw!f;tI;La<hay;d!eO^Zus3g>^PnHSfX zogEpcJ7sGH$nz&#RP`&3{Dy5IKitA03+ensjL{n)li6L7){<cvX@dL?9%jVxl%)}t ze%sT@GsB1LzUXEeLYs3g5h_+I0pC=p6%(PhV5;>x)L=-9kPtyO*n=U69ww0fJ^`Jo zoWQm66vGR-V-;*-gxRyIJT!k7iPirHyl3%boW{s8iKe{|>>`f&eZ4DAJZJ7zUNnp+ zJ?vm^tzx7r!tEndP&CreZ68Jz#OAfib{IMKGAXnMxpXFaE}eN14C;(&ImlSk9evq# z$8u)rjO?6Y>?LV<&8H<l%%HKYPTcM}>-{#CpsKCTi|#qweR;f2U$1}Fl(k*aGiF@N zvX%q<L!YdR#4r({i=flhYjtC7(S9FB6a?Ao<XGF4I?KbdTrCO09j<6FeWKU(Zc)mz z|ACt_=)22Dg$tfveydYxp+VkD34w2=ksu@9Pk16L@2;{%XkV<j^n$$&YI{w0^&N$N zabDtm%ie34y_};YbIgBls-E(c;MuVvswqjFrS~`EZ%>$V@2fd4&MGT62&nju7ikk% zePXlTvSK7UGijgY?-hiM>5|TFQJgi}|C6b>?kJeX*Fok~*+MowcWvUv`I&*p_{TyB zW-|5jWWMXWgexfj`h2zBfWJGG&{su|GlKhs8#+$M<z=oO+Z2B<+dJ3Sg;Np|);@6* zMA8xpBU`Y)Q{-y6|EEEhSx}azecqmLz`6JfGTAv{7E4<`>;jjJS0Y|g5&1S5gbhFM z;z0~QPR`x4k2V+X92LjQv&)DUsl&#cs2l0c2!LScyJbX)Ok+><PmH}5nNGdBSN~KL z(=PiXON=yu4NiZ&24(4>q*b6M;I@0ZYM(?!a?+G;i`|vl43wR`sa25b=i+=@s)rw2 z&X=ab?l{cIh8_`rqRY>^BamKNT1h48I82~iT)M<*Ka<J`O340bM)sahCiF;OuAGlx zN%<ffL+Sx?h6<#sOQosxRFv}pZLmowb{U5DTWEf4cmRL*W8XO_RlGuqq3FanV$37W zrJinyu#%4tC%eI~u!+%6dF`P|n95kNZ-fjeo6f>8$QC{WibDtJCIQe<YDvT((``T_ ziBNkFbbEq`(N?qoDhQw`phE2vAXgh`q~ZY;!hn=GAjKmM2qJD9K#E5l5SXlGd-iH} zgM|Po5RQKY3;7eZZek+Tq$VVfH6{MnhvQ95SpAPWDG3Z%{nv+W)NqK27^?WziQIun zdg70B$!4sXg?s&n!TAd;nD@Qi_DAdpHA-v?K{iyeen=-PJ*t>q#ZriV<1M9%$Qwa# z`3MVs03%irNx?%;VTRSb0URi7WD^(#((C9z&JKU))E-cI(nSC4NX=2^p%LQfN7m~K z2sKisZ5G)ol2iXSO=yyGRyP<+JPh)O6-}B)5ef-p+eykbz=16(1JWLl>mKYV8wo(3 z#}b@AL7v-`Q9+Yzo8hMuchFPuNbnfl|LckfVFa(C*f2>Ogqj2nOw!Je_#qOId3E>x zAv}MH0BM&DsCz&mx&d-B98ivTfyzSUXmUU>UfxGU1KWynKrcGRKuJZ&(M7<n!VPG; z0{YVxIKdT&*@2UAfDK3(-YFh1GBzO02TlTdXMyGcQ0Fed^CG}AGcYVb?K1;BZ(t$@ zY4RPmvTXm?hpiFN>2?IepMk7U$X$=(<ye0tI1ea%>u5tEio<`w<-ZOx07*}8pJ(_8 z`}CX++k%e`wUI)$#6^$V*dS|tCO*(_$L5{(yhw?-XgN6yq6jK7R0lG#u`PsvU4$3e zxoto<*!<8eNOgS_2r@UOd=wiwIGp=?WN8|$ir%|33{OQ)v6$0c-MZGowSuQn%<6xF zf_*9u5B+Io2h9JJDXic8$b4bMs<=4wp}e}X^7cn?p^Z*wVaSt)$sec`x1k8g?{rNd z7zTp3*B(bI_z0eqKe#J|Rq>GDcgbNtrPxouRoq8^GK@i`i0IbxSbi?TihKsuS+J^O z&8=aEeNL?9*`xc1Yjqc*893A+$a{Z;ieu(7q8kLBmnBA%GLH1m9?M5n)Y~*ahWT3B zQpLNci4ht8R*0$yTEsJ)I(t!ENF^`SAVT=|<@|H(@s0xuIdK*?&*!I<a^e>;Oj#ZG z6Gy@9YPK?OIO<*vy_r}4Hl#@=Cw{>0`Fx+#^SPqtw;?pE=kpZ+cjobY-bjBAoDTFU zKKeEk6gu=KK<N#~u_C+L)@>aaP|pvjy9(5AUH>_+NSUZ9vo+*x1GxOwgU(Qn<Cox~ z@8p61edzLhxP3#I$2IZmFZT>qa<|{g&V_j~exV=WvP5VGO?e0k3%}csY)yH~Yw(%% zG3(H0ewtd&VvX*5C{)Flehz<Q@TZJY2NRzDqLPz8pdX}DS)ZjNQ&(zI>p3Tjxb9(Q z6-jvGm=p?Wok1#ihj-Oa*N#}m^5-P7;#ULEpCs(g(D`aQ!6{Pax`eO10$sGtQKf&r zEC%%(>sRRg%C31W^uFY4YK_^a@r?L`<3I5hd4j*|hc8ESEyhNp1YUnhn9>l;o9?^I zGGUb67?)L))VOfIM}z%`cLel?TiqJV8J}4iSx#!C&3{ys_?}Z`Q0n7Sz4hvTFP|l6 z<};NKVmTF~%$Z+~&P*NktF|iiw<@wNbfaJD8e8t&bI}&5FzzrIouIo}FtPgh!S3a; zR7Y#cv#|@$l--@332lEvQ_H~^hJ+-$&(gJN?c7XS{6+OQRD!66BB;0=dBURoJQKoM z=;gAH9p8&lgRRjE!RM+vR;GK*JV;$TNw7u)wW-kTh;~LrjS!9IUhPQY_6nGe-}#l< z<<Bl$#*h$A^(5||*xJ5*e5qWb_psvFRC9$@cTp*Fout6%D>#3yREHkJQZ6{5RGQ_k zUKhPhW2^00j+mHhTh0;DQatkp(%VFRz{N+&)#c9z%ao1Az<cd?VDTgVkf(d~89#C1 zH(ZB}813`u&+YCN$i%Pc-O+bcQVRKx7B<}xU!HaeB8>zkY;#m~OclrtFTZ!2viMhs z6f+on9xxsf7M6eCz<0OJ6i=!SqSu`=LJ8w6Cg?AL@e~4Ezx!s4NI1m1f?a%MFIA)F z_wS3hnriWrOM&D0uo6wj87)8UYXs}AO{I}ERg7JJN)Q@QTvFJ+{pFrnH16CSuG{Jl z&%k^S#uN;juOTG)hSgBsOoI6zSw5IS4Y+$g&m`cl*NlG#eBGkAoOCA*Dtu_9rTs)x zv^mpKldBK>eCOB921v$VeyJNQgw&~dg)r4FUq51#ja;J&*TvKR`=E22?L24T>bo5N z`(w!K@U9`t$HhnXfonSzqmGT@O8TGGw!GvzK0H6Z>zA`0i#kj!QsJaX#7?aCU%}`N zxzh{lKFoi56;;qaOf)f`;TWh{P&sC(RaXG2yOM8&&&ObftQC=l;HGCtcYp?N@CF9E z&mH8ez2~HrWJoPJ5DQBub<n%qkq#wRf2cAOHEjRLiRQiTK6w=3W*c;NO_>SKusPd` z(g|IM?YW}bD_hpTBi%pmM^Sh7$eRmKPz4DG%bb5N2hHnjE}x%)5`}%5&G)uQle*^- z0f@<PoHm4YZIQhMF5^*QC~lp<=;t^bETgCW@ZBHqI>e+%k4kj+dF(5~m*^X(qOn!S z@xz+jDO!=rrfdPHpxC4%FL<p7F>a#Z@0B|_cE~N-!p+0>=`Rjty8%2h@(Pj-UyP<L zTu*;}{5oi@<?H;Uv&_ZDI=8C*ES&95tGVk2VH08VUE%ZY6~?nI?oA7ug%fT@8=>a} zvR8z%`rSJcw0VjiK6@HoX~thfCxiljv&o9;9jakQ8%h(=(@cc+_quNeGSIqSJ@r}- zwk?EFd#hFY)P(M9%(iAIpT#unOKzvvRBnGXt!c2v*Jn2sURlsA#BT^GEr8-_LDcz$ zWq*ik3YyWcR1A;h<Pbp%Af2FwqvT12H4*$VxVcen3^mWiRQL3g`Yd>Y|C4U4>)A;K z+0Rd8A*-8DAJ7sRxJDoeMNv=^*Knj9o%a#)NU>S(daJt2&}{#ivBd&*>*}#+;Vyqc zYnt-pRcb*&m5<W8nzqyO^ku4T)rFW6%4j$7_&Bs-pYNK%r3yyj&F8e7bT6ZEmKn=@ zz~oGI64)wSk$9gO?*`v#y{Ph8#a)hQ*vFrQK&vjm+u-`!7gnUkw=b+-&bC^@_pO|k zYwneq>;~hvUI9R;>t~ZQuSqQ+1LuG4n8_K=_D93d%q$VfUg-t;ivFKkmM=d=KD233 z^ly6KliM$~?d(OI?U%j!PFun!`~9?Lp6w4w-LkB^V>1q={|*Z1=my{Io4lCCJe~A- zTV;cYPDFz5;=r~my1|Tef48#!HmIRR6_Y-*(+9L8E!~O;pdD%BbspoKZsUJU>n4i{ zONTpXiBA)0+HqmQnvwOw<fvj?qVJrTuoL)ty8<+cDM<L*ablqxEH`>IWR<A4|144M zrTa@tPmX9LSdQp)$O?hWl8b%_$EYm-isXVOF+z(bPEpM0BXVv)lh|moBL>y7?n9F> zL@!K|uz`N$&Mw3k(0k%yh{1p8EIO18B_$SYX|%5!EF-22K==Hs)d&#LI69Ly%PdUj zA}d666cWsU1GA??BXP@Gr=W%Si~o(9Y*ZBmOcI@*%j3ZO=`dkIxon6*9-!!k2{+EE zyC`sp0H9_F2vC27;gD+%ywme0R@8=NI5g=D8vqHyAuHtAFz?&JC;@+2H)Pnbb|FBO zYLKDVt*xO+BtV_!sMs*b0#LO$0SHWPgGeCA)g(fT^#S7s1s@s_qKZS2U=YzM?;3-* z6*X!@_y6YgFR1<}=$5P@rK;m<4D{2!SYL8DB#sV<ph;i(5reJ-NIvW`vRoDS|1_|a zL8vi3`;KJ+$iiaixtD*%?`|-x`&_xk44TB7dEIU(Jn#ThlO=i7JN_og;}#VYy?Rgs z&<;FklMTU$LD?IfK?{d&@bqEJ`GpfUOw$H2D2emW4wogUjFA`z@iq=OBNXx(G5Otv z^c2NIiBe6&M;{@8ZA1rjc1i#P#et=r*8$M4zzN*sCuHcOP*#7GjS3msql*`{p-9$v z@)+ZEsF%_^fEb#jfCKv`hT=#<f&++7*WhWclJ@_D{2nk>Juak2dkClkqZLEhDB-}K z0|b%-bZY~~43Kj~e@k8-Kous?@?Zq!85#<3sv`lg?F;mw1_1WrSW&$bfbRuzYSVz^ zARr*8!G=Wu6NG;Qm?(w7gmDE*3Ik>iwB>=zWV51*v0=mO4WW?707E^{B#LU~41+KT z!N2ABFQ8l6iNsE9oG@Ui9&gPDph@C5uvB2n*iL{x!u#uW{V#$VM$|^d>$^(8Vz!|t z3ei$Q3}ztD=YSQ?1e#ub9q(}@n|WROyp#oNdNmoiP1Jv)S1z&L;2W$T6TJL?DOzpB zt!_o@OK)92`oWaac+t;k*Y?O?c%D=S{mKiWg0hWS()jnY-*N6p=Q0#^NZKz%EVZZm z3OtAk^j2er>6R^fAD@e_T9$r|%2|Z!elN8Ng<0hmjlS2#X!<RE;FVIkz7uvKSQawW zp)=lyHSvF&dqX3*3l{SGG-qNQC&gjqmxd<ypssC&{>&fD&PT^DZDE2_BJ(LZCH1Kv z+e#{_bT}_c!s;PekAt54P#^KFnP6^&nOo_o>1CBr?x;NL>9XW63w+*|JM=D)Q*Oa_ z73Gvc=xOpJ>Gbi>txk-Kt#?d|uag7!=#3u>X}y2@gU*p2dZr_puA$+1H`|=X=f21@ zy{Y{BkCXRmSNtVA1ByG8rgCBhx(-rs-pxcccgVb%r^{H7$dOj(({U@Pmt@>EpK%e- zQkiX}780wO+x4)JpFK&QcXa)OO}A3w*9#V*vv|=UP0TwT;PC3JUu}cI3`n)}%hc;Z zM&f_w%Y57Ew{xj#_B6qe?+qN&cE)AY1-VsXS=#j94q20MK?-!al8AUx$5-%qDSNVB zI4Ah|k&~p&0|L^mHRRIgHO|U}T`L#o$gT<wN++AMo4EzvPDhU@OV4}52_kQ{ORvE` z`psj*AMDL)+qoJ)Ht7E@I}f##S5up11}%RUU@odcFFck}E5tcPvr`mKy3Pxh*f|BD z97BPFrj5|~yQ(_!A!&|{RWEERKBn&K*ENODKZIm=yz4<5O`*ScJEwrhEKIC?b*{uj z+XzQVdR<>yTQ{x1(zEu|pW~z|+53IY8zL^(7Rg5;<Vg$suycb=mJw?wQ}|_m1?GQq zCEo1x`lA&z8KM0S<LQ~byGzp2bq{LK=@HGu2CljR>*=n;8y77Y-c6yddZvC7+l@}U zu9(Zw4L&mAu7g=VKWN%9BIb<ZOrL}delXd*o+nY`FY|o&hm48o(kE_?P#gB{BbS7S z@lOrLZ<>!i_hp?UQ<DDl`EDwe5zT)b>u;`!R~p6O%3Y>*-jy7(dB6dtI)oMw6K`2Z z2HNZ5HuNLW43aVH$}~zZ>MKQMT%sP-8C<O<=8?j8p`vxN{v1S#q*yt3Q5-W8CM=rP zKCO3)e~-IAWF$l?ws#bH`$Lu$m&C+bycd<{9OU7y&KTPSg~v|2KMM)%cRha#2_1Jo z7VdtYeQ~cz+cX2m{E;aST)47IBTV>h)gorAtBW~>cZSpMLuJ3nMq!Lrfd(I}LerY6 zUEF0@y^qJFc}dkGPV1TD?!$X$iZ07ig|M#1eaFcM4L-ev7om-=c;1ZlKBme|Pfca; zTEQ;ME`__06xWIHv|%A${EUCkIFk*zr!;zg!)zlhUYb`Yd4j8+{J1r#x1ZWs!CsJ9 z+pPRj?7*J)<wBH%eFCEOuGxCxYr6*R@gi-BAc|`8X){;ztUwCQyxkw@Q`}`Rvz&w+ z7|X6f*u2A3ZEScpfAdEowrW0thhoq3!4h~mXr>M){OOmB4YKpx{kVTWnC8o^wSI|< zv_HM?Kb{-(T{dTV#aL}_m>)-2YhFND>%Vv49;veX62sj5f;6nIHMtH^rW-BONWvWW zd2Y&4$v=CYaC`sxuiWg9=jQ7Tfi3LgCi~8@LdV()Of@}`T~i0KmH4gjJmi<%;{7LT zC!Us~m9!IXC<Y6bm`Z=+&s#=_2DP|BTEWE1qexm((hTWhOGBr|W_q}J>tg&wuWfF1 z5Lt}B3WoXK;B1_lgO=~k4;&A&YB7UNe~K^D4SF47viTr431rTA`qsGtTp-SPbejbB zcE1|>NQ8yNM62Wl{=nsO-k;xco~(3-vWa0b7%Pw6Y-aXic?*9cPriCSWHlm)jAJqX z(j<mHZu@2Jp8Zo;*iVJ!j`)EPnd)lmHy^u|hJHH(?gdkR%Dtb3`2Se%!(^9sOyOR5 z<7~3gYyWAWNX^##=jvC->$@S!2dkET6|0sZ^hB$;QG?xw$CObAaw9~B!Hk+xIWh?$ zo4DK9hayWS`p<umiEn-nBG0j)m(rraMo9<tE?KHlq@=$pd_L@4AW!z9MECe|R&YzZ z>_w+Z!*)dIyS>}yOiPm#@JbIv2fmm}U3!TIm4%&)lfu_U7^6i}!V6xx)g+6g^aN$; z{l1t;gEJY7R&_VO6NPX`?uhYeCOyTK7qp*@r{+_jD;s|-pGmbuGIr>m&7|@q+}Dz` z=X4y#;CQMVOl+%UjqNyGymnIDT3U+cd8V7s`b;-i<6Y@x)FZ8c+{ao0L=h|!I8s~~ zeoxe>XHv0COF2cQxWeA^;S;Gp#m)1vpEOezP>1HLV;*Z#Z0f3R7AD(y@@!=(ga>d( z^9OJv^zwh%!Xc+*NU#!XIHXet8y1uVNJ(LG^bs>VYGd&}Vz4O&n&d`-1g`=K`|N-7 zJ{$~K8IbG>cpktf#X^%<$dO<_N}w`lI3%At9P-&+>vwYr0YZ%d4*5)nelsAoeZq+t z^!m#e+W!X9p#}t(*vZwGP>8tf)HoEOh69I)14VzcuusoP|892tZJ<MsDmKW}JO+!B zp*Fh6>Xhv7A)@J5cA|-JPW_2j_BwEE%rxPCfdxoS)9#aA94y#O=#Cl@_Ni#tx+NVR z+Jl7dy2PU!Y<%A0-`;r_p+*CTd;~_*4^0vT<Qt&uULnE8M5j-12VMS)c3BYGL%4kk z>sNmuE^L$r2NNcVj}-=Chz#onON-`|%iKZPaLa1V?$V>#u!+%AtoG0(<8YkQ^4oU+ z0pWP3<yh<KfvTa9=gn|%eMc}NIvxoIwABzDnhn@k-IcXcg+Klu@E^oepgpkRkWxbI z(@YLBRI%|SAdCQ3t^q19Z>bajsH6al7NCD{0-&$};GGtrQ3>E)1ehQ<0QZRir6IsP z2nHq!6EF|1)q!2bW584Z(*z2^@lIs{EEmX01gQT`$bjOgu_*uX;m-dkUNiW(4km1r z_TO^+7myCHi@PIsa_tE0O>*sNMgM1SqKOG>Px+^BIsChzj0n9RKJz;ixaqX;bvS<x zHq4A*fRrAP%LJM?<Iwn5zM@yDNX;%nO(hpm#NZ3)A9{c!VT|4h20Zi>MieO{E?Nvv ziMDI}88ga;3=Y8&8&qZfcKd4*xIbb<9AlHNZ#zjj{u*$kK8Na;3}Z%bdf;;YqKT|> z_)fjJP8&GqZFk=?(!?w(1iv<(Uub{zROt9G$?_gA^$Yak;j0yL-re|!d-#m_VHDzc zm=8ekN{l)g;}Zg$r(z-pI7u?5CkX*z2PuB!<h;u4m|XYn7oNxBrE2qIz7WE5tEIyX zONPUH&GlKIc+!O>_u{28JuEDTNBY0+)tPrvg)10HepSXGJzby6d`11EsOo>d)+ejU z$0TqA&rJyvVA9n8<PN(h;7Vi?s~2uCBe9^6CgU*ug?`L0N@BqX?{q!we&^_8<&6;( zC_$u7Z}MDR13N*)tElkk#fq?fP*#8VKt@2$ZHxc*+jBso8LNE|eHnnz;4@g-{v(kc z=#n91u-sw?`YXvKJ4fY#B6WXeK(!Gd*Z>gC+ZraoHM~G?L?o~?K9ieL2W7F1X3ztV zShl4Bmh8TambicQ9k{=N{=EM>LZnJ`r6LuSWtD4BYSTF?x-~)sxI@lP&BWVTGEq%- z8KPiwD)iSo2nlIm2Vw5ut~*Dc_WBNnFHv#=lDN-Gg6wttXeh5F{d|A&J>qmGb#0BD z)BX`GxilgDpO{5Z4pWP-t`d8i@+sUN*{>x{&$wLFHKt!?achkvzm|?r(a^wD$K!Hv zm_9TA^mD^zZ8`9=xc$Nb$GJd`f@yVg`D`ah&C|4v<4yc3n@mF)lVTSS`mm*Ug+U#; zu(2mkA$~od|5&<&>Aioy+DCP5^$MnhvBa4~y|yKOsgd{TE-s1b_xTrIr{*v-^T|0R z@ifUbO27NY&A0GHxNM<hoc|MFmA=t4T?M`}rm3w=Dklf;`hgsI>*0yTZ_;w}WL}c} z@(T-_&tLXX9yr$dJ<Or4k)b0JE<TQ!vThvro>L2Fb3-w{`LTaQo+R_^RJE9c!7YtB zmc}?ByO+anxYqxzerM}Rq+nQKpSPUlojl#;WThvDHH-ne3fHfjxW6hu>oq@`d~jM? z&wlM!tUbe*a_m<)Vpb)fH6LqKH4*ENhvOWWAWyaMm2}%4MI`E+QW+`t!s@`*Ja(kI zj)B?n=eFa4EM9+h>2ItC<s?Hx_^*#s*0tGA%j-G6K5~qk?R|(b_&op~Z?f7Dss8m) z8U#U(ArnkFb;=*MeqkZneQ=zcw=NVmRGL<iyD6XZ&8RXc;CYHtd(d3<l6B>mFS%pF z1JJyEnhg)5c-=I{uoxMt$uEJGdJs>qkJ^T`G2g9{YI%PLDb;mgd~Kq#mY6OQ_j1Nd zx3-_bnfvYY3|LMd=eGjdNd`A9YN;OEs^M3TkJq#f2{x9+clAkIkV}hIs=`W)r^q|n zv}sJF*;8KF?r@YCy5`sRgSF=`s0`c8u+(bgiYvR4mkr=nEAP{A;KvVqd4J(vzWG>I zBmREuC~<!v(`>ocry}=6+ASq(A<%%_w4s#!$8kVV_4S+NV^)88ykHPE3k&5Xyh79c zEk}B?(`J;Onz(?ke2kDq9Yf!r_Cs9ZKZjq^cCtcfA`Y<EYr+qU1DSTh`FSWwlN{+> zxC3!x6on$}-7LIiViY+tx6N7qNMYPJyg=Cw_#S^Gg>BFEqdKzI<dqK@18H;fW;NYO z@f0ikkQUNCjDqRO%LI21TvOiqwRryFCyKgcEO|Z016pcW5%2x#2W%0!gCT|?6Ej)q zpXPb|w4d!0f9cl3!S=R#=l~YA!(haDOZN!62ByRu7UhTyo0nU|Ch}$>I_Y4&Y^e=f zIlF(6nw&Qrvp(lq{8l}6l-}4VUCXvIxX+lH;FKn?ydet`SHckY6X?l{PDpnM%${J( zMCnr}qzz}%h}9Ng*7gTdU4YY2Zz@qb;V247T*p%`-;gOWvK)-sGo<57TjvJF0H3Pa zQ-76bClav&^HoI^>_1LgPUA;q@fj|ZH}HS#6+}y)iu4mT^6|+aspBS-;FAzhFO8p+ zGJfyr%_r(YWr*7Ar4NMHemNdTh0c|YF=AV64J$Na<A(Zo@wH+9@-q?@Xlg>wj+Zb| z`SwtsRAWBaO&$SL5zL%@svdnxXm&JDS+TBJh=Y2sOuQ69Z=QJs#lRf?N#sR?uws9W z!|-){g0-X2YvjpD^nDC%NuNd74ZdUhJj@B>T=EWx2;~;}{-?3izL`hM`{bQ0(su1N z7vW}1w|7kbVryR<j}<Z7L!}Q$)<6vbLfsY9TMxqgUP-$#9;z&~S9KFQ9Y0@tP#-YX zT`{*Mg>hiuVu5?OQa0v<y>ihIK-_=5_;##~*`H-{OTGJ|P`ZZMzsceFS@*?jX%YN( zQm11@0tNM)j5{lP3+=Lp4D|sn-A*%G4VX3RIcM~1QkJnzT|s#tHBP(pJxTnjGnTms zMOq)W;ygqpJk<#{)WdSy#JK&8g|fKqEh@j=g2%HLX}t0wP5TP4iLmNVt}lP--9C0o zpKS#$cgz>Oq+P12m}1wF2+004t(kB8Q!=G2OJVGr1NYxR4~`^N$6%zXMIx1#1x_nl zXo)Y>dypS-zF=Ntw=+@dhgWN>h3vA_$oF{K58U~~b;)z%*7UH;{v8z5188Pk{qHw; zROlluvdct3wya{T<FpywevyB6>S3D^J?$#)R0=lbl{uNq$5+(oBhDD<#eV$Lb7{PM zXIdVV4GUhrH7z%agZSVTiy(s~LhbHqT?NjJI*UW@$(v5uo!(rKGhK`|YZyid#(yn~ zSAekZy~iT_8HN}Xc|dS_-tY(s?xNsBZK$!H{$@AFg((m-Sn_@j0lI%>;gH~Qdi0UR zI5eri69;w~A%of&yr=Mt^FBgN#!Pu{1MhTu00~}}MHMsR!n`-2q&V$OcQCj<pb}k~ z&{GL;Nb7MKj#MGZ>A3>QsXqZO>=FmKzz9&&2dF9Hfdf+*1sY^_h(QYgz?FkTz-vUO z=j;G*m5T&(0Coms1tot-pf+wZV8EC<yXny$GC+nQklxt?oc{vo;Q@NU--%BB<AKzt z0H6i{`Rhcd+i=K>1OITyA9sWr3(4truk?1OMmU7z&A(>-FCm4eNH9IGD((CrIr<Hf zdXI?YbZ8BlbnDCjDV_e$B>x5P|0i^aPk4IHqnBql4-o55iEV$n_Z0-o#a)+1hC{|{ zXHAge!q@#K&LGMwX(tED+ds@BGv|MT7*x7<$P^Y15e{8z`HBrY>Am<`F-VU_<|~+| zZA>M>A%8^1kA^VhMcsLDXsFnsY&bO3iT%DO8}6@znMgh-^(xbe5_UbLx8)QQWyC6x zTVomp*J&xwcDsKaXS#k?Q5cvi9&a5U_9^~Fe7=&l-vV05(<%(Cdb^OO$Z!Wrai^N8 z+ggt(v~v1o{NpgKLb^03XUzU`ss-%0r()qKzg_u<FU0N5MDE286ERxG4}0GpB!NR* z{{U^V_?H3tVsTImV_(eEoyg+;-yVJCCtFF+9q;8;e13oBr61@~O@KvXRY<5*(oTla zpIM8A#YNW)?i#=(671_{ClVB9B6PCqj-<zXg4=oWwL4?wQvC_>JF#NDv^!pOJl&U! zJl$0DdibJf>=Rg_Am7Xv{2{l|G1D3W2!%Dzno{U!oQio~=#`-?Mp6W{nKb-IiD<NE zH^!ax%{zanO=sy&>_Qn?%1~`j?mJg$5*XVex%l^Z03gu|0I~pp&JJ^O1OV0KC_^p2 zYTgOV13(=B2>Avy-T)0eAj1g&>~8A-r*#Zx-8mruK)!M8!miso2@)7XjvE?x7@pI% z9eJw~eRYjdULqEYX=B6jkm+0FS|;;ynITqQCi{QiA1J>NKPA!s{s7ZQH8pIlAJbQL z6l+}rdpF9THl~`aQfw`dtV2(9YA$U1MYxaZDDKn<=topD4fZPQ6PZ3tvUZhR*BCZ` z%S(*u^H#Q~!{|(s^{wclS2--B#@$h2yf_qeyuJ4*u<FD_7s|RTQw1LUd@q0h=ley# zi(r4$!n6W^y@wargUVnRINE2Yo`8u1_RQkU7evM)j=#PF4kbQo+HiLxh1Q+kIkhE) z3P@v-P_Y)$NeE^>jiblaF9`S)sz~%)^iU9IW_%X|-45;s+~rO#mgDzd4w+IVqD~}8 zx1U3(%77pISyIG{rUrFg)<Sz9Q{$w(ZFzr2UwfQ9GS@dYH`m|sY>9fvfRt{Zj0*ae z=Ex!^VKjm9%{@$;c-ASXQ>xhQEAp)PbcdhhLK4LvZG{7IW{9rxq+8^VuKgc(PGP!f z@=-szD%m;hc?G8_*F66x1NFwo{}$pIH3;C)%VQCXwW;t9TT|2eI?q1`KOEGw27G_m z_Mn!v9FZI5l#b@R?Hf#rR)e;`+)Y@^NZtOM=`d$gLuOp}HtqKs%nTGT=CCyUZT7S; z+RLv4OxwQp`EE!xN-WZ+)|yjXaF4rNZ>W8KcDKQNx*9$cOUdJ_sp+2>8|$JlSnq3o zrt(O%wJNCr?o*P&%_a>c<;}r9^V@&p9G~FtsQak~o0xc3u~oKF5quzb#<aSdq@CU3 z&Phes-xwM2Qu6C7hPOrjeS>N(4N=-%Y^_2J8!$N~mc5;QiIa%putdBAnZDwn`z&$Z zjIDRywkHu1^_|<t?^+Jhx9mn3<cd(O7e|FE4S`^Hi96Ur6nHO}#?Fr3Bo==nS>pU~ zewX?i(z4Y4X$yE6(%tpJyy!`@)NZ^FhUxVSslB|}juJfqA4W&2xQgHp&v@hQQXPGK zB9|S`X2DMxFpDf!$oAK5g8HEA7r&vrOCx9fL@I^y0hWS}h<PC}d<<PHJrUWbWcj!# z$5|ThiaWxBw1_2QQe;G|KG1)KBcgE>)QN$q#Cg{J+e!%MS^+!QXg{pVnzY8S!e^D@ zgJGVf@Hg|S>hfyumc1O=rY{3>V<b*h)?Y#*GujAPChGmZC-eXMdYL0b5Hcn}B&ZVU zQWqH)RD?r|*-SPNX<`<$)<fNlc>CmB;AGc!d#)`a?&#gK4n6IwR`7qH25T2{yACqn z7P?sss|HV^wjy4%x1zH^#FtNEXsriUq#{a$RzhT_kY=-joSb}cOMTB)Qg+R{>gf?y zlo9VJ{_p-6C)Tgly5`;X5_{Pe20ZuM>Dl+guV==5?X1|d={H#-eSPhlF23%W6fQ5* zx;5c1?6vsIGuAU+-t2$%g*ik<9f(YjYW^1Dq9*nU<2HJz*(2^LqS<`r5K%d2=1xBq zv4P}0Sd)Kygy+VfP#gtP39_LSWb2PiXlAYE>yIq3f1>=#bY`4}|7RqGL65F4^1`DC zhlL}Q@U9ukoVOiAwU9ij8_V59dxAI&p7Dun8?`XadQFXvJu-ijL`@YOTwM)kO$_e- z$jP4llA~FAHJzugVkXgN&Yj=4qjL|54@xkSH6x~-5R!^a`=dfTu{NGIN4T+bCwF-9 zM5V2jFtO~hCGQGPF7gz?B{^xgAR{Dvg=>3J)m+#QViq<FBNQNrY^tHYUfq+5B!8h$ zsweWnASY)m-?o3rM|W={WB!-IL2m4F0Ed~=<Bj9jPPOyw6;F6rXQIcd_DC}N`HP<u zY&?BrV3n@#)=^4T{fpnLZEmwMu+pM>4BcSVA^z#RfxLNc=7>Lmr?8lpubpX|^VgR{ z)_$fr9g)9l)qRg`uEU*@^-C}BIVXR=H#%`OX4?dNwakB4(Q-q@Ss}=IY-IYP86h<y zn$oUl!W^xsv8O%%@?)TWyRKIzBxh5c!$2xC0w%S%G~y5D4zB9`;o@OzR#mNKD*LJ} ztL@AF&mpekv_*(%?n=6pQ-Yz}*%5N>OGSr}@5B#gc>>yERRbI85V6*U=YC@O@K+wO z?i_0kt$BYRTfw`pEF#6`xhp_lxiW2LdIl-AwY}aqi_%$!IghaBj7DvZmf%RleGGJH z8yq%XFmr8LVww>k=%omkTQGg$HLbhuEmt)#$7U+^T)>;dt~M|d#@6!A?1wism|)Y* zyx;W%#Z1$JZ6<hP4gXYsFsgPx{_utWkD#`EeAj=UB6vXp*qvCI1v!L4+v0>~mr@yY zzQCN9mj3o0|1#`><#QgmykT6nBO&(plb>^X(x%gbY!{dj*94SB-<Ov5$XemGlTFIo z+7MHtLk+TpGCN$tU~<fhUuY;&o>jEQ4u_C?4R)0H;U@x$X@kTb2*-~HQPL4|i_&O9 z1v7uUjYPw0Z#(Ye)1a;J34_zP1pRq5j1anJp&!1*gRm%Sp-uM%QC#GNKPhSpE%|9q zVx8=$DUIA8d3(NqBH7|W(V{d=Jw{Iimx`4^LatB$KLFhy$q*EOM|j)a3QTj-Lx!3l zqWLCDLu4&TmmC99ThnVyg@e?9WTcThwhe!hJRYR>7y*q(uv#highznz2`Oke1s@r` z{+EE6s9-a5{@vA4GMaeRwJ{=VWEEL^nwTJpw1_#rrN!-@J&#RM7fF$MycN=gtTlm6 zQ1(ukn-w16erUI=F9J%@NxNDiLQ2RjMx$vhnAv9}8e0pcut9PTgsaDcQt1BCkq&=F z!i;*t&pt+g&gvpSez8BbMC=5cb=YZ^1jq?V9`}XAIIWRwCDzF0uNc2Hp-8JtcO`us z8m0jwcRCxSRXnJ_$B~%d-h)uewHCAK-1!YL72%CV6v20ob%}GKgAJPgPItPC9xF6q zv__B>_QSD;(es`*gvh0Btw<2=_#=NRey6qg+&p=XBy$Tdi*?Vht${DU;@1k;<+DgG zI44M0enoa^wUsT4jxoI+;va-!zpQ35kc%?jq_a)4$<$A@GLSRq;ZNz~kJYEB;51OL zOg7@GeQcffFM-YQ+F>FEpUy-!137{)EuxRZ9(chJn@Ue2mDTP{(cFkh8F7D_yTnCv z5(E4^tS2~8MlT33SNq5+Mpf1l(1>BGAd&0x4+;iZJ(c2-#xDr!hN*1R_(u74GxbYF zD>SYC(V^eNAJRuraVe%z`BO37Xw#1sZNo2DH_Y<*d72XNS-M2t=m+btS6o)>8ORY6 zlYYpArWK2>2Uijq$i*3N^4fo<6=&+lMW!+6O@5{6hlwUyOUlJfy)!y*#-VmR6vJ#T z?2Qa~=!qTG&66dTygKmkXK*|21~9GHuyco1KZqmNfF%K}2W_aJY*_6YundA?{^f-G zCjgj%=T5+KHtHv#38KSPDzYXeyv7V?d0HC@3c)EV(5v0t0*6iNh|z!iF(murKn<+Z z46;A;)bd7$-^tF6Z@moJc@w`a0?N=yd*hweg^)|Ag)-P6B?rPC;z84Ngr&e7v=Pi4 zFcJkiTCoWg`zdIGqPPUlB{hr=StHE3b}dnt@9qow-)E-)FF?@02~uIFQ4l02R1~Hr z1cyh>A&v-h2CaHtHvNR#AU)!LL4#s6OoPDcyey-ju5W(ukCr==4KnD}W4&_ZAl_Oy z3GJ2@64wf^-S86uO%Rn0(oT%#GVi{iEPmO?n%q1Pj~GpEpOHJTNPrc>qk&js!TYQG z^0xpI)Ehpy_TDSAC=XNs@3ypYw?lqs^N*;_1Kr>i700`L^kY&Pq$9k4Vvk6aXslZ! zBx@}IQj=8HFrs{E6fnY?KGxMi4f+^fSR(}o!j0oWb##QbVl<<``t3IoeN_vkvAOM- zrx5f{2OejMz>T6!N31#EIlYmh3DO7d4+lA6Cp$UewJ|%*Ws08QxwvHg$1sq@*LsVA z@o@ThkOWXrl!l5>K(f4luwQ8A!6G)$9EA$<(s-W>0oQ=nLUC-6n)o!A5TY=Fta;-@ z;CWGFC3xNn+$K=jPkT9eLTxKS*~r>8s)2A_V0xuNkzM3}e^34jAdCX7MwN|=h}PGw zF_N7>!11HG#Kl$`K#hmHscdfm_vwrzLJ?`S-NbsLKHy7p$rBNO91Gm28<H>`G2omw zvU5p!dBQE=@n7GmAeh-}BpO`{C9y#=4TQ_ZgS_Ynw}202^^Xq2a8Q~_6r%9)2pNsp zyAJQ#wVOLb#ldWUCC0@>MgRLhFrxYe1|4Gea=d#)td&865#~&wa(|&zP!JoFkJm>% z>-9Z`d8)vJXV@iw#cxq$um_;~RIsvFtl^>Ff}tl(ewgA1y8%m(-x|M(0}tINc(DBZ z#9P|>%<ViPB$no9v(pYVM+7F#W=Bt)?R*VBNb!ht@Gf5MvYAvHyiRt}nh<zAHv2YC zT)aLUb;4%y$ip@;CDFpd0$<Sz`M&7Qw6wv(!QL*jcTskKM#c-{m<+@7xs}dN{g+G- zW_6$0KvRihPf4fXbc1qgBb$0_A$bucwGpBEE!uCyUp^C<8?<q7PdPSm4Lp=zHny@@ z9}3dCzT2n&dh28B&p3k5k{J@C+1ai>3EtF>a^v1(X;PeX=DlfI&k9RSUzwRGKe9lQ zIX#Ti(D1T<O{a3KR{7rWu|!R1>>Ha`1BH{7Md$RgWetjedPEJ!E^3j3r}~7{*YM=S zezk0EZkzRMw|*z$j%+iZo919s&2mgXYMFk{{pxP5t$pz&s(oRkR!56`)Wr|>HP0nO zdAB9QoNMDV{dw)t=U08s%(?W`axO2PysL4WB=EC;mfJnpUznLIbA$$k7r&BVo83n{ z?2^N-X7PtahJ76%^;CvW!)WAu3fx|-{w!gk+q~Wh3D*|q<>Lt_z+f*Ij;x`QDk(qD zIOHR~KA}Yh(3`zRa?$Gv@Pup9V}F7s6S<~@h!!{@)pHF8-7S8%q#Q2Gj92`+Nj~O( zao@my>8cD}sWP@Rtj#A3DX|S~(|I2nbGSAdGg)39GdVOglqH}m(6*beY94a+|4{bc zVNHEaqbMMq&{TR=1XQFqse=3fl_nycK$NOL2sM(>BcLK6D!mGVAP`Ul0-*&&P(m*O zNq~SrXaS`JY2NL7-t*l1efK@z^PE5StjVl@nOU=Dtv$0Qf2_^y5H8Cg;UdYf192Z$ z#Tcic80Yz?q8IobX`9WLT@N1zd;NI3qWR>M=8atqs+9_sY$`%)PkUu2Gk5(ieWOuw zuFI1$%kt@o<0hd5RZ5a%qwlZiYnsQL?X8FVvHPOK*9N$k%an(%*(c*NgwIc2M)|9M zOe&znR3<N>EPpkUq>ZE9cq0^z5z@S%*Agl>m@S1s8HUe5cRXLnphARvRC-_Fc!WH@ zNt!Vmc`BJRPpR~#8KObIJYFcG$SN0K#F{b3t6Y3(_yM%qI~vs3Qs?~pspNHLsUL<q zH<fQa8&(nAwx6>RCb}9irhD9WWInKe7jsvVHej^<k$5-VP+cf5lO_K$x06lO4SAul z44mdK!O*uDBWC!wj`OHym2z3sfr^hLDnZ5P0_uy3&v{gt3TuX;s*qYH4y@vH4wa$e zBaPw_a`5E2j8YPM+y@L@?FnlHeeW%*2A%hWrGmbHopTlXlxfH!6!O|oRwyKYBi4f1 zTIETG;d9V^&swK~qp(ZOy+xHEQ5A{|imLMDl_9s#&TGRK5aMf5Bj~ipi;JiWLKpgq zQbE*LxMLNH94bnMqKKkb5xj_c@GC|5Lc9$#%Japq#<fIUpZ8}UsNBem-D|8Y)ur6N zl<-y3nOQ6PFzEEfIG0QR_8IGc%jgk?OD-xGUmK=@6nbl{yYIa2g**nCe1p6One;)P zgT8!&<bl5QLE=C%-+;X)(+7D5Dm&RQ&%lKU;a}j)h42|TQ6YRfPFKh!{oURAp!2;g zz^SY212zCIdkw>Vx@{Nu!TIN-tp0N=I|_HN@QM`zBT<w<PTO}pD<4>Y*pZ=k5i!S) zynw#8^=Zog&G4QN_QZ{L?a@DInt1FCa(qpXxi$K34ejF2%sbc3Vao9<M5~+8=JD!o zlvpcwIRPn;_*3P&sX2UDglL_;zG(z>h_rH75)Q?7PK;Y;M1~HZAX*7;Xx6)k8q-a8 zpqsljwUU68LKNPvp(Rp(BJZ@$<PIIaMzmft*c@Hmoq0af{+@6swR2+8I#c+Dwz;}{ z!r1x;;C)AlGyzB@G{;>;bnMLX*xE5C;!lO^X3fxH3!=5%WRnBn<!@C4#C3Ms-nwpX zP$C^$XPk!*pCMWs-_UG#5t{}9H96mQXP(Z?0KD|AeHDa5<W3uZFcfxp+O%~BIfMmN z(TZu&V{5Gvt#ZHwB@l;zLfIX3x$EXB$_C(t!r^JR)|vJqcnqSI`wbkJIClwcV|7<Q zZYF(fE%I!u95DS02z%=qTHp@)z3b)~3K$r{eHhz}Xzh6ee}QO~eFNv*MOYbZ=B(}( zJejEn#MoPX0Wmdy1OX7+q(mknTBD6Nb;j3@<q*!mR9O%N+ZvkC4*H#IK+E;?(l$iv z;2Zd<UBp7Xt~}@XTC#veo!XrmP1h$qBMz-InZwwph}NIQ^%-TWyKPTqZ~%;(=?BK) zYt5}BU|r~3lK{`pP)OHXXIv>H0DtxKH|bXEjLneFKdm!=szcL&;SVTc09>JnmAP(a zQ^e4&n~oH*H?Esa6p~ZxOx^GybQdvbxTyh5B5}qauvHRa3OMWDP8;A$jv;J5qE+Jh zCa{ZId0MA|MdwApfrV7rp#r{?rjTy7&X^5j>kzG(C5?cC<c4&B@#Thf0Nts@@aKTA z(Wb*L;#$goOc(%TXTX3T)DUpM53eX2fFc_T2@o4Bg2RFCq?tfqbBVVi%L#{CJ5*p_ zs8hshTsH-Vu$jP~{bv(c@hHC5Gk^{ige+hs-;U*-_i#`6Mp}9PIyzGC(J4a-qb-HF zLlEwem8!`oAw}_JZTn|vkjKw(XqIRFx>5(v(Gy62(YdQnATQ2cP3U;Q`bA36kG1Ul z4P{1d$s6j7+q`du;<{N!IB`;}YDTr}h6(2!k|9sdJz_Ils+XJ;W1Mq7R|mVy^xLi_ zOeS2tY4s#+?hJpPu&~wx_p^D|h_|Una|wa=yb8HGEvcV8o#{f8=O$nT9w;-Mb0>gp z39avc0;aCwz^re%5<iap=&9?l#WYQ?FDD$sQkUlvX4W>=Y{svBpXlS?xbboKc}jI1 zwJ`MSMZJd)WVo{{(_Nw~?|GY8=0tTr;@ebmQI=`*eyRFBgGZ!IgelTy+vMuc#AfyH zySHFFunSrTpA$BRrn`46Lrk>%o;Lo2{T1ea>@r_}Sp8Az@)Y&?)8x9{(7g}c(zC+e z@8CWUbgr336dwjFe97PmHrsj6bH=w(x<@*G(-3=$3yGJ96Mj#=d^JzX9M0Hp*5VEr zE^xMq9u1R2w{HX<_hY9BHIdciPV%oN4wT3M_@WCv-0rFX{2VNvSRW@hkCt{(hRe@? z-NJNbLi$*#IbF#=sIC}mqB=^XAFM<aq~>%cFQVCq?<H5i<B}J8uq;qrcpB^s#+O4g z4XXirg~5tIRp8a+GME6p=I)Z^tntdE@hBebK|LQVkd5sn8hcI~7rF~rXs#D$#6F7X zuNmbedj%2bp#1P%viKZYoajeg?d&Ii4=`wEVs*$%fp8WgiZ~vdI|%m2Fo~}#V-hiy zOf)69I+;Jn{uGoKZU`IQS7_#deqcfJLZ7ne@IWj6g6zMb=`Ub{CzHj=XkKE8`1*NH zEJgX>mMkf#z^$jktmAJ{D=D|S6rSOBX@ODsP!`%_mee(}UHBG8oO+X6oY19zw}@Ln zVBYCHa^a>u|JzrvI~S-&e8^o8fI<$SmZyQuBfv>(VHxbhwa($TgJ6n?trk(DnYgYZ zOXgR&^;u%y(IX9vJ!TC=yA02tb6r475!Z;JF-R144;FyfijX8ai?0`h){E=^nw8d+ z^w(#_A2*5}){7fCu|9O@_RXz-wGaj(mbjbX+yhp`>=L`X{O8cJL?m%HX1W(FN<1Wn zCQg3_Yht)D!5xvk;Al)gF*N@03046n5$v6K_!#Sefkp`Iq7Gk6)F=|Yi6m~C7MzBQ z#DOI+icMw-htIGVFf~nPj}O0~z-o+Git~SU)$l*-#hV~(IS*l0{zzMY?<`af?g*R4 z^gW&)An{<th@KrAKP*wx-C%7ZjaZ7?SW@7lvQY2L?ZKAgru#?`j6_p#=f(n*hiFCQ zeC*o|x27jXMYWJ&ESSE;!)&ZB21Q)#6q{FIp(??rVC^tX5cLTQ_Tm{VrTl++<X^j} z+z;!c7dEWirI3@nwh1hMSpg$F4*L`)+muTOe}?@Dd)FkwLX(5LlcN@(AmS!5IpGk6 zwT5|PMA+aNSeChgKurd!74_EKK(IXs9*aGHyjN*Sx`IhMdZbEL2-G}9Re_I^(J)O; z>SeeMx#gj?CeuJJ_A6}h;ZN}EcyNfb{QuW6*<r)R>*oudG{c5}Utvx%mI*7Kus>wz zB^M@YM#t}<MnUv>c+%Vv#+h|_kkkdEC+aZ@^pY4b(@nYD_D`|4u=FM*51JjmJ@-CH zQvfXt58gQzx<NVv8~^=NJdtA_D(%8a6-QNmC6&PHF-S(V23&4#71k(9<>C$-zJ09s zrvJabVE%FoVoSh(rV&H8hyD&du*D#Vtqk^s*i5o8jCR_^i0b;-_cMtDmfVCCN3+3w z=W>E*qAp7CN^(vhO~ECYS`d#-!g|j+!DwnOX4Ha_c8TQ8V)#ybz(NmFMBy>E9%e_K ziQ`zLT40o@Gcg>?)KCm7)fMHtfm0AJYhO<PudCG?4<7q}Qk&E;e=wcl_U;-g=?B#Y zgTx#%qQ&46U8FveDQu%@8bpZ2a+9@#X!I_!R1I9{5;gLw2;6zD7&FZ(&`(0bl!^W^ zNsCZD;@P&OHdNjALL>(v9vd*%97I#kLt$%nHYJv+W*90ll3t*Pv<%bJoiv_0@I~bQ z@09{xt8Wc|Nv=4BXF&K_z?|&O6IPC4txbn)3bEKo*i+&$YvyN?E5?Jm*Re~cvS1D| z)12^Y@Y$~2MW}$wHEIfOcNr>6q@#v)aQ=Ym5GAP%E71YH?VAS|^Il18vJxTGs8};H zRmtTtJaKLWqr;jxKpKPz!&&B30AsWc%nJUSF_sX20Z-wuG;UXla+2G-pZqKtZ;1Jc zB40>_uqk3bs*g;);-Ucep8JJ4Jf%>Goh2s(CkE7iI18i6<t1UKVeNcDaN!=gdFojp za?6r$VRYX7`IB&Ti0*sS!y2U<fJq~U<C5p8mro)}ny?I-3D`cEdlQyXGX<LjThN;{ zKK_t@B$M@DZOXC7O-l%dS-(8dXF1X)Swt6}qKY0IioyuCf6?X+i-iF$&3vrG@o^m{ zDK_^jSdDmvT0AE{H^8i!i~R?qMGQ^I?E}kV!ik}ekuR`ZFrEF`66ucq<(@Up#u%(C zj5|<(8wlchKoBSV4dQ{Yq^32l#?L_5p~L!rR5T@>@NS%NPzHDv|KlG6%Ky5>mIQxf zvnbB8l~ML$1ng8~U>xg|mKHLUV38wpTV6hdp6kA8QTIs3Tlu*wMy-T+<hk1&-7{v^ z^g@@PeB@<h|7MqmNzdJMHpy9j(B^W|MA$CSkK^9l{`#PO^H68`=w<LoR+X{4oL|a+ zGc*@6)@b=+<tKqj(Fq4|g?|<FZS|PfpxlQPLGV!h`*I~jMP{ab*=!MhEVg2*qG<^e zIpbuJrQr$7P}dsI8h=>bh%Wd0WMS{>S6Mq1da2>E^G(O!KF$x~(L`5`Z+5rILymWB zK8EnSXH0p7rr5r=eXiKp^k@3m^sC~3nAR7a%YG%*@fxN-d+&e)n@TPYLgmJ6wf*+c zo_lG{yy0BVxb6!R?;rW*sjtl2{pky7<9Bz;(4tDdjDIV$>`--SNo_&N7p*McQ}yKW z%t3RAg^lXRkI5^SzTnRq|9JTFeuH4}$j1e)b70teY2!e%cgjm1-hwjdHPPaK-IUsR zM7VM5$VgwG(gzcP1<{LaFfQ{@uFu9k$DL{wD-~1KEyapg+f~#*DE~%ueg4jfiNM%5 z^`UaVkif9frn=7lIp|dvPB^@?{|A)|<47cN0h?qR$bnEnAP15-Y0PjV^2`q?E72K< z{{3VH(<)QR(2}{OUmJW~{R?P+R;WH)ip&qwWPqN7Yha9te4X-3XgcUq@5YKt?B8eE zG8x3boWsw0h<d1%YNrm%c#5_i-BB5-tCn$J>fWx@X!pzGyY^aTq#`oyyFf+c@ShgN zoa8p2Y%@1Cp`O{rqpOkJM0V<zuIe99QQ~Flm(J=XG&__No(>BLwx_3malyGT^~5Ri z%p#CXkVK0(WFa;P6G}|SRWGB@LQla<$R@wqe9jYDB9Le1G=l6o8=qoX$Qr>kIwC)n znt1pEdpM^*4;-XYYl;<xQ`&qg|C;eHTL1udb_-#Q9M;Hequ7c@UnBRdc+WKi)6|IX zh<Z#kA-K(47>ss-Xh|)9h?(vtEx@o%ND!18p4z#wNS%cpVshCV3$f9-$N`cRkVlb% zR7p5DuJmUcg%6{+zvr5u^O<A@OKd{&Qd!}O9pgbXU7|I$0HyPVR0nf&HFonbcH2q+ zZw8HN<HOJDQAY&idw$XwuUQVp>wG1NVr+=5Oz<46CV2oxV<tL(Qe6`dbFhTDHZoL$ zs73X{MfQ=*F`F1?W||&c9d-={BvMmqS=aGrk{X$z+?gF-5D>sd93;vo9L8gfVeLVU zJXB38f5-6vDFSm1lgmO|w%sv?zfn9e+ejK~yYX*;u2vLIXrlyVw)u#pv<vJ9B2MMm zUkF_zw=_Ak5T0OvY2*kDlH0P2goU*ctK)s~BpsLq1}S3MM-skzjoQ$uz6e#%y9TeE zW577G0jZZg5d4&8EYZdEb0d;b058_&d6yMPG}nO~B=ChKgYhEzKL&EzDa?}_GXjfm z6d#IL>={3Fd;4!d7!%M-Z7Vnak={m;6ODHMwXj2vxk1%`PxS33MPVk08z|o{Ad6fC zvIw|`1jRHEH{!4b*nF5Ktnn0(^4vP>m#L~4E@C7*kk`U6B29<?5WNQui``+$5lB-q zbRNx*mV%qkL6?9`B1`4^n+rKG3PiETYY4tbYyE@s`TuRfKAnn8n<-U1IMXxh5S4dA zuaJV>B+oa09dZGQEr8q{2<K8r12W}H%%Si=2gwo0k@>M}IMM@50r8lgkc71XvY-wd zArX597TKi31?SjIib`DTX{3qdrD5I3FPjdT20jCs>=KZiRD%=ElC1fuQIFT60c+?F zis}Df-#l~+@sG5Aq`GXaHeRa8&i(m!lEk~*PSUr3d<hp}xDzIps2*#!j8=AGhPS~` zn9&Z-r2!U&S6CC6BQd<oGnfEUNYqipxKI`5bafwN+sO$*w9H3h$+K{=gu(#9S=Wn1 ze`0(GX#glk#E48d(pRt~5k_U0t0MmHA}vmB>~(Y0h~+79-+sVC>DuKQ8Xkb%GqM@I zGn(jsG>Sh?F1u&9b2d|^G%#WNQ|`;s+L@JF=gqg62^#Epf)Ji_tMkES`gau(fjRFt z6uw0~;#j^Bdw%*)*E8rJlG%RyRBAdx343=b`{MR>f{J2yolCCM(!*@Ia(till9Wqd z3&>Odj(l)Ep6~9G&Fv*)w5Mx|ilSYgi+nJDQ~Ga)*S{|kR3uO;?au$^akgHPMted{ zvs3>!&x6<D6~5Uzx0gI0W><Qmi&O{+I!Vn(_p{w4Icj86cu$Q-HvXQ|6fU7|WV+q3 zH+THBjdtU|<s6VU=-JBhWSkh+ciu?tnRCBEe2o|6c)Uva={UZ-ZRPe%n-F?y98}YP zo-^+CA;+jThwqzn{{*{R|HQ6+-9*rs2>jOEt!QbxU~Hou^5>o1)o8nsXCH&sC?Bt< zedN6J@bvv>_yo4`<8E)kBK!T(*{=Hi(Cqt{Bb4}TzhytWm|0QIYm=5#AxP-$x;q9s zIc1Y3_~Ws=GT%G2d3VB}nu?1Gjr^~Fr~J9V*7u&)zrE_Vj0Zc;AJMuy349s0OPP}| z<xHQ~PW8u2`QN{TC}{^-g$z6^rS|y^5h8_vLn=S{6;D*&TQVo>HP?|XlgI6*BU@it zqif!hEm)%q-;!;3PNHsh<?^1;-|TYcIWfH1MKj%hc(aS!V4v+}f|c?9)0YW<?M!6Z zo5F26omLrYN7bx<Uc4ob@|@fQnEu%}y}((Rvi0YsS_E_FALo%R6wiq@&|$LQbAfaA z6j>ruEyC(?^!1UgR-TiafO3=lOD_{PQCn7kLdDK&@(=c-SpPhaAO~91aH5^`*H)^( zsFTP}U3xuWIm~iX(qm*SHUp-A7(`&8N&|IuD;~^9lq(>yoweeldS|g?)^7bg>O$`d zEb(2C?v`;9TkJ0OD|<5Qd;iI$TN;8g;|&69F_AxPt+uW899lfZp~CQ3*akxdM@;TO z<1)7<3R^a>Vk@<JH1}|S?-NY5$(NxqEqe9~Y~y#!e&-^yHGu)j|L1pqPM7-9bW%)i zf1>~wO&H$)S=~lrwR28;E1WGJnIApNgIV6EaV8*90gCigi`1SZb4)IIW*#V3MXAs@ z;7A7|>QbSPfnr>Z$UxQZkpF?!Bc7*z#~HKSfuADYZ)<&oktTNI<QEnAp+ay3W|6ou zX9pwjL8al~IlDlD5L6w1D1U)!SwWw@%}~HM%OO`Gg=M~Eu8HG}1k)h6eE;Gj>h;g2 zxL|=R`s@W*$)DC+M5bq{bZo_za{4MW3%VSHe<D0|;mO?q&$8TKo;7P0efm#a-#h*1 zcZ0-;u5GOsuX4iu=QOrAr-jjHW?khl@)5{1SX4nH!KN~Ki)P|~COjV^R-aSb@f<&P zGGSiVodXs1Y1@f`ct?9x*Dj}Pv-@@1YGCgLRcrjS=1iNs>>XeoP*jsSZIJTCUy=|B zd2-k>)ORYUIrfY`^pq@##j=UpoHI}a!$Z*+s!E$SNINtrIvnKvq0h6f&oi&jv#QUt zq(6j@lpKwe?2D9tJe==v)aBd%AcpX$BA>`vF-`pGDllyx&w8YPd*xRIB*@}!i|ShI z#_+O~@6KYm>#!?&P_v&u$<>Ya$)={qo!aGIrg@b0_JU1IchKUXmia1o)|k+_P+F24 z^iKVUr^?1pGyc(Avi)%^9`1WKp8!#&D2@*YJkhBm=P1d4<o28Vw59#A#QbIqRpUr~ z8We4A5aB}d6+=W;o$$;AY{|Fb{N|*-<qUQr!auZ=7v(InqU{o-r?n;JWpyHpg5sF9 zzM0&0FuN@GZTr~<X&&^dQmRsfY%ky*zP)8v)5==YH0~$<HjmWp-O@jNdtr_k`x%ti z1NzaYd+OSMJ!bX``LWwqAB1#(S`tu1<?a?%$Ee?;MeRF$@SpW-H^=?8=LlU=yK6T{ z#ADqUFXO@sQ8eu#5rZ`9jlAdcFBe{=x^tD#&oUA#p3pW!nnZb3y|ZpFC`Q@p-{F5C z9Hp<)R93xTE{eGiBu=36;lN;<bXe^>N%WXuVkDP;{Wl;-t5ElF>A{TxP!JqBw@%D| z91Lp|LC0aiuyo?a<H~s`3(<k9j}qx2y@M?@O>@Dy;e{PQovQJ-B4h_DLRp~Lp}J#O znhsgfIoJi*8O$L&^gNK+E#|3$L=S38r`~6<Jh6)?7K{8`H;r08wM;kLc`vGvp^)1r z&x+-L{$jZJizmzU_Z{22J`Px~f7Hen{qr>=R54>$Eh7}o;C4r@Wvo<2c+rsnbs(tv zG+-fMiP5nQbQHT2;oN<Awa&tr$&;%5wB10hk73s*WX~4z)ws-{?9VN$AAR$5iEEq5 z%qrwozGidw>NQ)1?K*{99+BLRg)gPnu)PO=pF|xA=hsw&JR(^f3)7|6rh5<a0Fva| zc8~`+xk|A&EtKzL+eOof^xlJ)qK@{GYkff;q`0aYphN4UB_N>^h<qXHn0bCpP3xnA z;lv6ccZK=|(0)EpHbc}=;MsKTkKSfFNAIs`p>3E$i<GME&lXC6k}J^2G^sV0K$cX0 zQOD&cxi0{&n=Vf?rPfRW!<|y9B%b&-09-Lu+dzl7<8ohGsMtq^7gB47z0J3OI<^~5 zq!cFv4)4X>hrV_V{GBWr_@%kA4Tz5+ytMenGoDprhn?~RnE7k&AGd2fq^KMMl@WF2 zKBef7(%ntHGJWZ`&{Ry)>*jAVW-VWTJz9RTR9=~Rkb0=duNmRq?i!%>6i)4uCo70U zFTvSheV8@o#yp^&<s(Yts(%d7H9o^qVU<m5^o<ER5}3xOH8!9)Xq}q~@_vlW)Zri^ z3&dt@M<l4n%(J$q1-S3Be?l9+E@HWS%E{`s)(~^t7rMwfdUC?syG6;IvoC&s=qggy zPAKyG93l-1%DK9J4#fCyW|pk}TWuUR0Wa1S%zw^I);SR5Ra!JP919kc+atDj2o5vc z=`GVTju()Fs+JzYqIK8iWp&*!sZGA1#&oO<Y#KA#8QBRAz-$nuyCT0?5u;#*X=iBi z20Fbfdv-<njHUD&=Y1rHpm8IAv3oqP=|5!_85$h23WGis7MT|f>Pi0W+lk@Y;@e5o z=>^jf1&B<4|Bz#)D#39uQw&FJ<)Xq_>P7fHSP#aRnI;V<!`uP|=;3)-eV8mp6_@`5 z=@X2x@!;-f(6;!dDnbDnY8iO5ePcSvDLQ8`%cFLdB5K$b(<V((%)_*Q`|@<Nhln)n z=uM4m7+iZn!Y~b`Mr1p89)AX3Acj+&aL0JCFUAeydx|Cpw}!O`!o`T2#N*_}0~EGl za;A_7=B5e2H9L;`!8#ZY;%@vld#M7`fX-_wb%QJ%kC-8C(*0uFb@>8kc)%*m^+RN} zX+jZFExcVwdX}tyZuX~tf~34*xIq--;wg3Ma=PBaqU%L;DjZ@l48L!gb}<FQrCgNY z%CK|9a9n&4{EUkrT#TF(2v>Ev3S_bKm^Ba~532x^$E>jvUh0UsT!0_XIR$zr`1a>( zx`?#FSIEV^X*lK(u}d^w1AjJQwh%o-V*^c|yP&a@B5Q*Uwq}uk@>7P=2E&ZW%*|=* zKa*qb>()HS2w$aR>9uV8h84a#u`8ArYOjAN<?F5W(dk8flBi>U?|0jjs!P4^UrAN6 zIqn8|SeQ&WXnhn;Q@2d1I)0(<no<>+rf!&0RrjJkSJd%P(zjUD5h>*hyvWFNl>l8Y ztsV>DlD^zP=rEmsC<yXUm-JQC`Y3bGHvoX=eI)?8(L`I2NB+~Q;~%|Fs45e!j{^o1 z9>BYAYWGUi@!dJ!XQGa7#&+9(RMw*De%<sO|4)C@NNTD7y~TVQ9&*=Hn0brsCgl2! z#|Av$K;y?nH_9$tSkMr-#}Iq=#&zp&o-dm`;%<87Wve}ZV?5owqwuZS*RjzN&R5EG zN#pFwq#Yyf$$it?)_eMAPXFBgd>k<Nt0g<{x76Tos^)JJZ6<(<v_kjQVC6mONrjYM z0Vf#@10r^*f^{{v$gbe+RQ+AEG%LBSgWZ_!1%-)cNx$T!UEz)&ej?wvzCQ$aXT;Rp z;5Y!6#xk^jmJ_qei3?Qgdn(yM=l$4z+nH{pp=G=9_kQ};sAo5q8RwYq8Qohd$2{4o z+G&w%OmNhlIZc|E8$mVCsu(B|l3LqNx2pO4{M~wHHxAPAGDBaI@Nz<tFy*HDu}ITl zaddq4%&C*O80k6rZ2<~>zt>l|GlOkxk!x|&u7UM`y^1%ik6iw!u90fFUf%<sT9Xu- z7_Tkst3}6!)`He<IVAShqGQyJ5<<1AvQ?`3ApI}zf!Tc<Kx?NR62H|dB!p&x*3LTw zdpHPm=E!w5(@p3KO<*Bn3SHU~6TB)_+Yqr!UD^T@)+$xOkXGA-P>rg_ueAelp`So& z<_<l7y|oja+I9)`%oBksRjQD24~KVM+O7%p!giUUH8qEAPlwIN^#%!{m#d&c6PIdN zRjOXy%a`mrP^yXtP{?j?tsTzkLYEWU+CM@Q><$5$_v91n9TMt66Q_kHB&$kQs^}eB zRjQ&KB2}v39f(BGTH(FjzS;u_XKyXy9_P1z+Kf&onJy;=-zJc+auuISRb!VEU@z~) zWub}l4zgcs5s>^VT~4fPXN4vbA^DfPwCN{wJRL$E-hHiYzE_=bPd+BJ8RV;9rRw1T zuAS(uO-Zb`ORz<SmVwr;I(UDrO^FW$e1)nVNV_L?YRyGxVz_qT)xB2EiQm9jod{rm zmKPla0I|oRZ$N9T4gz0mxe`M^fPBTP%sd=|?}0CO9ne+v0Vo6vxD+%2m}qnle5osk za}6-@ii3S$tygEWNrL*R39P3>Vn?%GLa0&|t+%$U3sD1FJFZ2SG*a0$tJrGyRv`|w z`0RdxDv>|!Rh)Gi9;>fQ5%Jv{mv2~q)R+1&YkekyyxvOTUj3+*;7~RFY`pCCZt($1 zC1Kgq^UmD;Q!{La)tD=kV7}jnGCB`euVAhFUCWnt%a;t%4|Du4u@(GKOSH8#v~s_+ znh-Y=6DMAJshoSx^3qqff|KJayEWqgQ;S_&66dZ~InqdM_OZsUQoNm_yXtg*;CfDh zV2Bj+#_>HuRr{wfi=nmA=kYq9oDbB*mhCF_x|NUHC^L`RO0aL%PkGvh+J7?psQJA9 zokR7_M^q;FGs+E#+8Up*B0U;y%F#j%AJne3lZLlCj}`@e{i9C4d`MQryT$tZ^$(^7 z?s04v8X9l(4{o;Xr!R%v&%K3zFC074NRIC7PO00^`%yS;HF5G~`XP2JSgZj4d3mQ> zBY)ig`mEYlmJcTdo%l<Fq5FyMFUj9<BvuQHI>H|hrZV1>M?#iCV|H%5&F9Tcz!K+L z&R4Zip4A?#zEEeIF!glUsMVM0dWhN$6ta`6vg)mMf<)d)Q0JY99&tv0<~VmxtAbY9 zZes=A8kh1~K>klgwZ<@%s{t)Ros~Ou2~$c{C+%Ejowcv7>0BB2O9>G10!>fBJkRn9 zyvl#2f4eWR?1AI4N`A6?iDGksroyEAbgq<01GZb~=px3E(<C>2uHvfnBPY&@N}TG$ zttw%^+o<G+wKq96DslXO>g6`5>W5o-!hSZWjfb^HoMG>A+Yh(mgj>p)6)SLk4{Oah zU9C~}<B&$-mNI7K7{uf8=_|KU?7Oh?yQs_RS#OzNjYFOZoBOk$7mnN4xHaU+InZ;_ zu*dG!oHZ(oRr-l7>c;M-PvkjPQsUu(u+)@@X{ZDg>^=$!{Y7ejaHPG8I@i5gUSY;c z%H3xk&+~#}G<l8h_9xVon=CmhRjy=9ptSM}!rAdcJ9t&d#E@z`LtREexG+9@2d{|B zGafu*z)$Vq2XT2ugGV5I(+=JWmuEV7#EAcm$KRIPJC}9nB>ce)!@IWTqwRC;y1Kwn zVXiFB<;I{c!_s+w#F7p~q0BpqZs|0_OE1f8C3_Rq^t>RP0l&C|Ux1*CyxUloZXuSG zmF$OAQ{$R)3VthO`BFqaG~$S}BTvBJk|!-JST@?N`_GWqmzSI&=u)@1y|{30y<qMv z+KB2bW3ZUsHmA}bgTV+f{2?Vf5qBh|x6P9kUF<E+xE+OmSfW>wDDtMVY#Sn$^pzxv z13rhL!i!3Iv9JHA4^M;)wIw{97}dP5gNw+DV*Adz<VMLB={S<p3ueiRF7bx4Y;W%1 z{UIl)g5RQ9sY9xbalbF>)p6l_DA~>(5qSkoLU>t9c5X++%Qjx$(SC@y--FLr3wTva za{d%w({x6E{XVO=Et*mEZY6s*uBo6v=qYMX2;s$;H8`T$g(Dga`Y|n;AiM;#aw*v| zN}8jpg9{v<9D3VGr8P?SY;03{8*friQ+RnP@4_RKD%JN*$tT2h#-%WXm$MRlNVTMc zcyn-_b!mGCe^tq?$UBT~+Zf@6RB|i!c4c1b+rc}3D8YwS-8&-U3#Pd6_AA-L5NcV# z=Vnwm=3g4uweJRVA2)@|XCYUz!5u`?!4^^c@eUpe5ijy~J#!$yfml*B(o|Z>wog>x zreuS05x`dA%L*7$jiz79-NDP@B9aQinX&=^7d8x?9vgWQs4L}Vsy_SXnr1ab{Ehb> z<2D$7;l-_#I-)8M5ijwUiTf?CSI3>zvy!bD7amtIC4#2`XpE!PV2d~&7^1a9_vWBE z-L?^8X|v<#NkKMf=@P>0be6=ZYBa}^><)f5=JzGNZ2~09WblYDt8i2`fMJ^hQFaQi zxRPA~DJb(Ui}}r`SErceP02>#bj=2j^s?N4050Zj5rmg^*6fHXOKf;bfff&blal=a z5@j_Q5*J=jphahHb5^JBTGqWCymN=2@!&d(*Hyh>#w^|)JV;4uCEKXO&tg!N%L~vV zp5=w`QpmF2!HX(QkEkZb3IRRrUOEUbjV$FI{1qklmF#SYtH~gqrA|*T_-vLKC0h!A z_q@crxnuptpeVPOETW9rOII&gG%J54+a1@ESD?j=SNKC8>;GHhKc2bnD|tNnzDR;Q zjIxOL{46TR-86LWjxRQ;e!*ifui;^E_OyB2vyw~KFI;?)#RyX*A_L@8sI42|&DDOc z>9uj!X&r1HDePe-cxLmAL1pyTF^zhE*u7kl8a}hMl4KRtestRBsddGUZ1I?K;IIa^ zKYuIY^~7?`*27btGqsxK>d4C0#S?@0MwKc~#$(l%Zt{zXhpO05b|roHe_d>hKPGF@ zZ}vx9vN0tYeSgt@*<qbC{7(Jotxs>X-qbdB+RSsNr`tX3V>st#J>*<m%^r?_x^x1m z2t!!+I<Nm6f86?}_MLWr3C%-;=zHw1IqO;4XySb5ZeDh__T(A%*QIw4Zyku=uDClu zx!bRn|7I_1DdM&E`_Uh+mu+bZ%Eatf9p;e1D~xq=NH6Iu(xj?c$NE5lBgl(;56`ZI z{OFy5%I+=DI)isz&sObN?=NtFWcE6ZEaS%OuV<G+_B;pkxV*0InJZ=q@8O#ud)|Yf z7_At+QSK#!J-ilVW}rYz1aG*Wtqzf?^R`1}cNRD@m1XFug340#R2jW4BfWUc^^i+f zltw32?PIb5b_qOa4<8QU@)~sE@{&O=sVH%-XV*ix?hU%IFWuh5OGCJS+y`AiORzn> zF(d^t=)$^mV-L>+Nx45rWLWaq!`niHr&R6Zvb%x!Vtxj>B%{>7o-Km=RO=0;8~s+$ zB#!sn!-qnaYQ51+qhAV|nDNT%*_AlazJhQ%yvrUCu$De}LzzYg3YsL$S&%^B+n!RT z#c8GdjhdEwcoL*}QWYM5yW%xSWb>j&E^#UK)B&+Bm;t$T3S#LoSaquIh2FM;lI#cX z8SW*IJ^W!uUS~nLVwTO6>L&d**B%~=+o|;yr{4yYbwT()c#AUx3nP~dm6E1Z3+d|~ z>usM?YF*Ffj?>E5+kT*A22dGBdkTJE$nspzwu;e0>1_upd4KeO)@Sz8LN1j+>}tLB z8N8&COI?tIDb+t9uNb}Ui&=G(s)bCdy#>Ezm5kQ2dpbaM-feVVe8?pUT$R`0(Wxx; z_3S~ER<7PQ4Ki?V@JK8x7#QeOu(-F^l@l|}1!FBYYvG3ivf=?~qRM&erbQ2zR_FdM zu_u^O^)~b4sf(e1Aub}BU8{RPe(+;9!ms#k7@e%#vkJGpdiyRvzW45z^)l-QT~yE3 zb=?FVFp{<Mz|~e?Sc2&th;ot)<t4SEpvt7yWGEY{H6D72bj$&kC$&C>3X$>+8uO?# z290sllc!K=Qfms7kCboHm_t2@g>sTw6QBU!GpHCz%(St8fa+@47)y0EX-uQKUT=I# zb^WI?n|hK0<scn%lI)sw;-Mm>V?I(?^P$;~>7(MWRHG0b%Hbg$$+8(KK~iW&a+9FV zNCA>~v(8hfBI%fg)K-S&cyv0N$;xYU{_FaMT-mzgtK>$DQQru=N_CreqopDb*VK5a zeRFL+>ArS<Efvpb!Zc~v>@pTwW-CO~rWwPo&3)^?J7E#vp!ti)yn!oA`FTK94@sm@ zZ9@`Qs8^e%LK+3_2gGu8HD$q_eqDiy689TaTNKK5xa`p7p)!79#{vp^QtMA4h4=gH z^k1`7856bwlfkTe572(TKe~z=S6{n*+e}te%OFF4p7^gq%FRsdlN8V^3cnucKDJsA znkh7?9QX6t_lejMWj?&Jj55*kJO4*5`}r5&bty>UnZ`X5q)?!7PY)7(0lI<2oj4G` z(_)XGV(K?p4s8N`uhH#!&rd}0tPgfqAM;GQJ#jv~SE~p>-rDxFje8}KXldw1PsfQ9 z@p~$N>NpuwUr2Z842ZGq!2vP$^&|iaubaJ`NQodpK$ElE2hE0ajc$x}tI>yC>khE! zx#o}7zdOF|A1AmU2-PYk>68^R9X`XT?pxPJ0|Nv@{#<ylp_&%Z#CYgk>()M?fAFW9 z>+n<od-To6d7vN;)R#=O>Xi!LGvOKEVt)#MC=cGzfJLY8T;X$^V&Ah>mh(ncKFe(Q zD70Sw=#rdshri5&Ma`f2^NtYB<+hJ`n}Vsj85V--PDCMJwMqHUc^{t7H9XJU6AnK; zSv_Cc`Y;F7pzZqI_s1nj&l6|l<;m*q>PVJJ|IgJM^w?^^NsQQhOnp)ZR1v!I00QTK zX$(`I{4hVEK!3;|=!?Xq0R9cBUFfa`vxk`m`W|*r0Vk`}2JkiRfocPQPV-uwBxkJX zy2AVfnoh@%_&ps0=WA?`SclF}aK(_o#P4+-R9?jm9p)Ys$p&w4BomW+ky?4quon4L zr_2aK<A*bIqw)c*munB7IAev^+W<{}^w>g7y*H|ww{cIj_AtpAsW5r!bG07#dhGl} z0r%d<{Ddpro@Ah}BBPEY@q1E-CBS&UwjLleG*}X`m!Y*b-7S@O=+Lgf4>O$Q8Mrwi zE?<EPY6Go<05KM1EvDW+CjSz2BON00xw@5o{Tv|7xpzCz-rKS^@oAHGaIB7h3vql( zxYqQEP8lIi?+#HYLTs`G;1ypVo}ZYZYp^DM7r_mjZrqcpwEzg921nw!qy8knvc300 zNBuLb_B2CS1I8U8H>ov0FgM-N@YETpGwBX!IJFMAc!n(ujj4yn=F37iNRa97>Q)xN zL`*#`E+1HmNJuK++^E|8`3Zf0x&|}icX;due`A=~Bojbj-Fp<c`F;{tAh`tQW5Jy1 zK5pj>#wnbw3lhh?x={VRu<AfP>H?x>!s6It{6dJ^n>7o#Td`R&mV<IIL=vDJjF6To z2g4+9idqQmQu9d&jjfqJgr?ja8<IIBGTUGUmmr@o50k<}zJ|cL!0e=dd}B@Job~38 zH>I03s@h^CQi4J{6>9wM9oCXELP1M4hcqXdW*Wi+Da@lJd5X%40)KuiRl7*!I(%c8 zvlJUfNf-t@hjgu=Ih%Py2*RWr%}Iuu�$|lgo6}wWUb)*E5ab^26B_G?TU}$+)C$ z2pk+@*X*01kV`EdS{wp@TZL#e+q@Amg4+j*3!A~)i~CBkZbOU1VD6*CsTHa>u@FU# zx}IqTzg8?_3b!B9T0!fGDl}uC9|n(-xGC%uDl%kYg?hS~Ed;LoE8-vc>>Iha*nnY+ zVr;;WMHv>luWR)Ni>8!mkc<^<Oy^ZXRW>v8v?CYfsJ`~gB^?ESomu%423BTfeZ4*{ z+HN_IOPVSwtKFSK%!ZnBsR`xd`COG-qop>lElW~Te3xP0Kk8W~jk{|uIST)|j=djk zTl#C|3TxJ}dU`^7X!ZSZ`PDyOp{nVt2_gz^*mKP%3H!MLlu1}ux8eTYAI2lan%B-d z0mc=%-4)3)C4E1CK6%KtY_+R<ICj{qb0dtL+-^3#Yw^oz=ybbdi#}=^b6(-(PxlSj zKWp3|S_a{?J~#ubSITjGGeiHrUb#_n@Lq$`%Zi-<1Ac#x`ibx(Vw!_{>@@ik<_grR zZP>p7lf9q!5ZiSokZe&w!PuFk_7}KyBoIdYf}PB%3ES>}{Mc>xWBSbQZ+HI~;OBoE zR9&OxKDYFK(?76Ej6VG_j8i33I+J`R_vxFbgwpY8rS8e~b##@bscY$?5ZJvbkJo*a zQ`jwM_aO0v-SS9m`wCSwaVl-CS79eBUuN)8ZdZ3VF}$F1h&pi7a$I&mZZ9KKmMdg= z(&d!E6`!krg4+&yUTfSYrutaaPf@NfcHcPY8@nI0XYab*|L3RVe?BDNyZZOm>2TN? z8efmJ)U?WE#s_(GH97Xt80YT}4Mi1M|N6e-mw#OwS5uv;9@NvI`_iu{JA{?fV??KQ zvsvpo$uf6D)jvDof%fQxso&_A3u^wXIL6L<0|vr>PR#+*NsHl-=%4$k`;CLDpsl6K z-H7GJ!)a2@%;BTA;uU%m<L5$U#K1o%WlNVlI?3C}POd_o^=jTlCzTB1cWLq;`wR2^ zY^LWY!~!x--%l^8=uVr=xp7PEe$s27>9G}`wOjv)EZj*d(K**GcmJnF%EXt)V^>Tx zWlR5mxwGylrQJ>YcKL%Af9B~FA2aOa%)}4MllqXxzCW`ULT~;25@s4)g;l-OEz+#} zp+BEbZ#W};Q&kZ3rnnyc%cpd;M+>Uv&6m4sG_%|A?s<w&l~GVg{moydVg<ifhCM^{ z1JrV#_1_Dqc39?`?S5MGf(?JwjWHmp!?{&|=k(76hL*`bi^D)m!TvAvEeW;uJ7X+H zyp~6{!Xxjb1uK2alVQP5%yINJ+ZP|nF$b)8p4FRq(wHEU86GQn^_7Jdw?~HZdd>FM zeI;kt?s^3RiiLxa-9ORu<7I2@5CoRd=fx3s?hiuw$L>>lcs{|)A>8<cjn5_c0s+;3 z+GOlyv-(Qf@t1y;!t41sK7ow6>yFK^6OvcSuBk@T{a11W-sC9HFaoAa-Gx-Q7hB`k zm?&p+h#R{O_csC$EiCqCpF5uXvGlNB=AOG;kmf)Cx<>EjCcMQD<`vFjA5p5Y<<dyu z9@B)mEA}jKf2B?DoH+E8PO_Tk-Z%Y!dacb{`CFIQ1{wYLZafM%tez%8=gsuM`&X`D zqGp3Im)_4^A9ebIUq|%Rf+|;)oc?Z^f;bWB5a$K#!9s+X#>t3wfQQl0nJP~7>_x<N z^?AJ$NS45$*(PTI=pPN@okf?ZfV#1nwun#<)U}p_w!;wtcQN~Azt#JoiD0;YvUoz0 za%SDzwEuEhe~mz#)mK7cy~Lg6^EYsAx5aNA(?@^adl&9iFFipTQ?P|MHrxsf=##k_ zI9+t%zHMWMX{3JYV0|3{!3(;^kRX5}ciD6P2`mtzu0pMDgx+y4)+tcIGT}33n)&g6 zuc?C`Y&H7!G<Ys|>@PrC1fNTP{0J=FCS2v)-m>AWtj>x$Yo1Bz{G{oQpfk^0#qTI6 z`H#o0JS_Z}eF+Pf$Z{=CB{?e}2?{DKkYKBy>_C46L5ho{T6;(GG~R1%VXJk2kzs0c zbuCKH?Q!bz_a^zq-n{$+7<WVkTERo0xRbwX@)<{AXM7%B*}S4No#F0(#jn@nFDitP z<LD*E68HjL9O5`UF_2plI}CYxSn~SU_`2nx@jCB8=e6~lV(PYCiR-U5tP20R?`v8Y zH1p{BXsY(wWjTVyvdC5dVb33K6}M3s>#sUF)04cR_f01&;!OEVf9(`h|7>i(l~GS` zut~7E6|&3!+0^@AWw(BR-)*?)^#@``n}m7qg{4o;yq=n!9yvB5X15x7hSe-y%sOVu z>X$QD*SB!1Zh0*8jY+QEPlDl{Rm^DoT*%J*QQ2ie+w13{Pd|ot&&%H`n!B8;9f>|f z3Z(b(7cwT%y0U%y^DryZdpo-=f+6ipq%eO{fB{X&pHyQ=i)o;L+80d+x|2?rK5k`7 zy4=lbX8euZQu#_7e7OAKZm;N$@n_C@&NKrtQ!b7(A12;T&g8E@^h;`g$1bi^m=J%g zb;VEr%DU>2%j4QS^vWjaZlBg{B-!{iTqyG>V~bUHMgLwp0X7~wd-~V-xoqDaLseb8 zoJ3VZK<XINV!9!J7;{Dr`h{t+^g8&_*fVzLEkm=wdvXfv3dZp&RlQ%2oNV3~wIr5v zNIRDEbTggO{}NWE<ayR)%@X56{g!xr)8h92(~rt!><nl3E(*N9GwEE_5~fR+PO?M0 z>Kti4+Msaasy%DukK@8xo%>S!`wR#i&EJniD2PlHRivnYa&#h6EQH>lL@*E-n~3BK z+5O&J6GMgile&sH9;~&2nrj=VW<^OpYi+E}%>E<+19)l!HL>WhsDZj&boioy8eBA8 zUVV6lqF+^wWDSuRo;aopkr<zdln9XkbaRJD5GEo8LW&!xIz@+%8>rJozQ8yfAr{}8 z1LDw*&a|_C6esB0X{;!-c^J+P(J*hpo#pXPf9h2>|4jjfiZ7;NWZu`{Ro=6n1wS6- z$i4|b{eKN!HpN}uH3GHX*SK98mFGiqFTZmw;qorf`~j7ZB>kt4HvEr>zW41?sTbPq zVAI>BD9FB-*!6T*<^{P>W?KZCdCiEB8--5^j8+|geFZrX(?(quV;<cc<Gs7WBj{Q! zRX$>^bfj=>kj^}Z{JFqahq}z^VNy2Er0)4ZQdK3NnU@oCeS}Wt!oQnK@rm-~kgjJ} z70l1PJj*l0=0JJH*Mf>sps+E9SX)UJ5q20Ew3)oDY$<e%A*b^!ZMQ%S+JmeN+C9M2 z2RvPWz|&91a7O`miKhTPC(Ovm&E8?Vg3_T_dE;zXx?Ixr@qZh>(%R8y>~?%fZ@Eud z9w^QIt5LDyT<x^&cshLGjaSu52$#tm(>-(5RzWpR@1&n~Z=XeKa$)m1BsCIv0!?ar z1f?e3U-Pd21Oe@1-gRX>>z?3!M&wZvfcFA_{2~B<4Zz#36sPA30Xkmst_Q{d0=htl z7N8&sco+fP5okpK#&eT4>nV;gdI?IC?yM-i1enOq5U952t$|+u*r@scHPAJMq~3e- z#x0+7(p^yYdzaw4@^hT{-$wV;`n^y8X|exDWZxxL5C1~DaENzOiUU+Qc5YHi<ayzL zh|r`veOAGU(876c^TLVj6&IyQ6K_bWs8XbzDTMosp#D3l+Mer55w6!Dsl5BHM~jYe zdM3aO8BzcLMrzkrpeT-0ZXN^UoGjttN4!MVnr4H6iR7+pGa#?jud%LMGkT>`=-2Pj zzpH_9?m67|K81AH@Pnk@(Gda4s{!VJy^9UNit(ei%Jn<MQ7{$&N<g*0lFh*qP8<bH z`^mqWH)myTSsQZh34Vs88iM}S;P5u6<|1aKC@;^Xdzx6Ro?jT_o`V;lS#TZDOkmWg z?O}@LTn}`GoI>WEnd}hvW&%h;e@RXN$(#+~vSARJTzK2TyoWho8>?r@3Xm55l5GES zf0+YZM^=A<Jpf$z0Tls%IsW_wgulw9|FT&Fos)kjV?AkO*U!AJ{0t{v0$BcRy2#W! zM$hiHmFljV&HptR<ePK{-S>Ir$qz|Y&htsV2W(zu<a3~z1oqd)|7pShkBGiEgq!>A zfENF8j2@M)tBL<OR*wiF`V9+AE?6q%e|rxLEOc^|39RBnl2vxR0BVVo(0Uz(W&LNA zk_%**d$NlqfkVET9{IeBHXjg-=UP`z(Gp)E1nG{%tMJ`(7e(oPQJ(yFV|2-U&V91> zd<q94Mo*uPqqP&KGbwd6!Gb)J$nmEm{pa)h)&KRW!@IeEzZ%0oQ5z>2KM(sSe{U_T zYBByScFY#ez^0aQL5(f+?zFvv;$yZ8OP!@)S<i;uC>>M1RV}Vf?V8|$amPmSO@hU! z1~GozuF~cmG&na?b-RU)OhPS0;>oGIkrD$d@yijfx-w~z(c#<uG#c;0_51cpSBxJU zz}qKh370^OSoh<vo5)hbOT=Nte-IY;{Np=DRqssMb()c-Id2ST8DM4<F4x9>+|gH0 z-)_Hr*yD<SrIO&~TN6T{j7ue#YOeOIgdOy?d!J>%(cfg<y=i^v%4F%eife+xFPKZN zUleVzU43TqSmqr`R&6RG#Y9;viMgcY99y*888*1_;~Pr+)R*V)=MPQEfB$15W&OZl zJ>z_2E9$!2#nTj4Gt%`d=i`0j$gXeRNu3XU8cQxe`}CR7v~kj-k{9_?go}8~xA+5` zUj=`Eo87&=5VEw=G=o<S?@O;iA&at4Q!I+Abzs~i<QI!S#}ujy^>H-U<6XvkgZ$mk z^GO4!QN6hxzPTN;=Q~+9e+NsO!JHiOJJHt(@jglyWcvH~8)Lg&d~G{MJoL0be7O8z zn1esHGut^wE0EK<z#_^My)1x&DpJ0ThgKJ1jlj$Fr0KCx=#ZE*Sk^60fyY(ut%BjJ z3<aFV@6S~=>}nSXxpykR-aWV-bSE(S=Ez!TS>8NDDq-phLd>Fge|jnC%5m5)qYo!f ze!SE^|Do1HufgZC%3_)L<cp&<E!3M(ADF70Ie(F1_|iG83S1qNPc54q!=o1++(LsF zpO+Fk$i!FNsHc^XArLCL0ynNS$%2XqhcydYrXMR!wtTAy^239g!9~7b-HbJ@*|a$* zF00MjLrvqM^+ns|e`}0G_3zgn8Z_F28#zN<LrHc+zsEu~V+Lx_mCWGWW@>uT^gA?` zEu_Yt0OoFX9t*WC@&!<q=Hu07`648$nL1vCENO<yQn<?3s)}^V(OBM);$|odW#%m! z$w$#13*9YRyG!tA0cSR&#X?AxE0*?DbM#AZh((2h`Re-ue|Y+pM+1>DyPrQZJIoJw z*cmU*t>7hP)h8dbNUz||IKR5K6#HyPo2~KY+B1s=liZr&HJ^#z>X+j_Pf;~4xnP?z z8QfTULxx6X4|V8;Dr%81ccrJSq9k-UtL0OYpMO*;fgHTwZI?Ss9l~RIUrkGWYrCR4 z7mTt9X?C7ge{<ht$BwS~?7SoNF!xudR&byVZ3^zl-bZOzeIENh>$8oR&WBo5AFIw) zNp9emeTL^aoJ*MX9;{7V2CAVvXg|qO@4T#~LT*5+o!#eRgs&|GIr=MeQOIH6%!TU@ zG?g?u{{SkmF=G-~IJz+UY&lbOuO54hZ5(&J%niSJe|(%ienPTz+f9>NNg6J#2-XNy zMmVX%m0e?!0*!tp$IOyLeOD1xwh^U+U+pYf4a~cQ-EYklJaKX|TNGgKV|X;pI-?f$ zPyzg~wpcJ}`+Ee}@XYGZhl~A&)#oCe;*{;3lfv{@Ly$zSKIttx(9B4p^(UR9ue9q< zf!WJ@e{YT6j5*u?RyxR2z}kV9WK!?9^67s}z#m@uJmmQ&dMW=E;;qWCex>;*Rn#5b z$>%Jd-*_Tk|K~3v{0|7>|Do(Hz~Wk#HBe+2+=IiQL4vz$a3=(}0fI{i1PC77EkJPF z1Pe9<2rdH&5IjI|cY?daTkL)Aeed0~&pG#ge;?I<cXd@w)4f*DU(6bs4itcOjub2X z+n4CmlAGZi=Bv-MT12+?Uj*U)dBq)vw8GY?T_hi|C0)|Vbe;7-492~m{y=pG{rUqj zU`dYpKD0tCKKO_pdRqwf)rwXS322rRz%@JG=bSz@=klxLP!;7ViVxbx$WHfXO%vGZ ze|Y;msU_`4*~K38+Ui=r)vBvLa9O#6LOpxp%kmqpTh|H-k6wj$sXtzN<4BOSL(UoX zBoB&@<u0$vdwSMdZ8mu_e<mY)MOV6Ne$-m~1U`;4UWXFuf(~gyP(4>RnR}wRAdc#| z9G!s@IH*nJF+Hicr=|T4$bQ%FqQ#L-e~xJ->ITqBsR`FwHxH0A>mBeP4kbGv)FXsb zt9RZ=J}p!O3wfxp9(0!`oiS+q1pyp#KpP~!pa$}3iAFnr$3(PygoEcihB`%$Q<cY5 zgW&Uxdx|~|4taxho`6b-Vw(s0|7ap?_Q{ixpH8jPfPLly;Sg$oH{cS&A@s7ae;yiu z@k#x4B=u{?zs`fcj)2A^;P3ua0n~VK2mxTB3i5diSeVGd6qtY>X^;;VJ{-bLH$|@u z@{tAXxd3iR3^ZjyKA=b-I?$U0E1LTN@Hc{BaYo=Bkzg{9Ne_4z?EV+0Z~QyeiD964 z5lxuKE9CRE&dBp8U>{Y006anke}a#1^xt1n|E4mDwR>cun4RekI&Z+mI+&oHTJ`7J zA19kyWk~bS9wH^d?WO2^Yki&EqN4`z!GqqL{kNd=%dahgngE|eUC&OqM)Xnb+FUWh ztU-P}$S+)s6dtT4CRA$y^G}C!$Nr=qBfa|vBwoW0lRjF?k>U!h!U>Yrf0Tp-{n0k% zv2QDf9Kuj61Ucq=cgrj08<R~t9x7HXeXKxz7x1r|CGMa;&a)6HFMSQP<nYrFsVn@n z3ifeCq;=G#+DgrSv}7|pd}c`|I!%9h*}U822&pS{qQGNIJVOlkKq;k;*sfLs8chPy zzz-d0$sqZ>-e8BLym|y|fB8eV<Rv&WWX>cAY^6`TSX)TTf{taV1RYUE3f3h^_u*TY zLN~k;0#{^p=cSmjNV6V`&nTF?nnf1v|I8|zD^Pp4EFz||);|Y`Z)9(3V{~uo6<s3d z2@0Lr#))j(z-EMR`Y^#2PcH4Tm4q5#6a^SC0tQNSX4XM~LlV#ie<gCd;Q~5rz{weq z9l&uCaI69x%>c(X)wTXC0Ikhg#B;FCtcg#othoWGKeEv~v|{`h2X6dZl^JW>0Ohxl zM8``WH=&<7UB2nU1F7i%G!pry_x$r!@*gU`gO@xHA>+bD^lbx#(07XAzUjpsm$0Er z9*v-F{Oo+)dz2yte|PI^GA=(w8=wz<YEE@zb&nYKdJNxeV-b28;eW|<a@crz&vwOg zlE%rKJN-~jnaoncev$^^jo;?}2OKX=dGr0bp|Jh5d}SX6$1<Yg*HHVxyAzS|<!3p) zeP4<-m6rBI;54##UJ)sLTUt$9Th*GJ-zqp=UahfHwV-G%f5UD(nUXg#;|nC@4~Y^M z-a`y;4dU@+GF7#7_@9u7%8p{IS@}L`0GjgyYa{&;R5vQ6g*A^=i@1i@B-3m@yw*Kb zU3$sFGu8nKU<wlF0PgX20R0s}KE@0{8`vw_f$d`m*eK$GdNi=RAOYKgAl=leAW#<r z_7T8z0NBd{e*iNGJ&>Eq0I6j<kZ%$KcFce%0JgZmCX=5IKG%QGzh3Fs0l_5Qt$q)O zU^akTVMx2xKmOxx@i(dy@<4H7+OT^?0cgBjmMy0RtcMQl!v??#1}wbeZ%%Hy#AF6N zaKg~SasQsATk-x$(p_HKkac^~CdaZ_W24trhm;g*f3Z1!p)pA&7M!kueb^0~$9D1- z9bE5tk>oh1*6_scxnYU!&b!i7Q(NtdRQtlhAzK|#)YpO`W|@O2Vtv<k(qbvQUs0Rl z&C`^oAtwS|)a$;ltx1=%nMA!Vhpx^=hb3-(b6_ty>c3f5R8L^DM~!)2FK#|vIYYOh zn;@>ze<N@$t*L~kPbJvuWUPUoR$IH*xT&eo8B*wxXE~uimEa^c+cVb5)cn1^)uj9M z=d-G8&gL~%ofKp0XjXKa+I%f%W9?1HDE?ah&jDr?e5^+^@-}|u6^%NPdiC!tcQQ6B zVg&~7W4>FwF*3fX_=?_Wu#iw&3$6b}7R%2vfAo<*>Y2NDN}aN0d7+Y>v-@Ys19oLU zhtBO2pRTE{n}MWXX9Vy1&QYHQoBojPW~-#v&14rW8znS#CeWbmLGpa_w(a$9|5@Cx zk13gYEOH-FTH#SkqvX>R&r<cSgUxH+wY{@!V8k;Js2|s<tv0oDWXm8l*uWj0u4VD# zf7Q+SouM`#1AjI)A7kRet6q65OZS2q`uV0>_9CT?u}t+0eDrLW`z!1vZKfUPuLV-$ z@Q&Fhht^+I+)j0aslI1qnoxaw^;(DP33p^Wfy(t4kY&m*BK$GqkAYAr*R#NS<HL`p z^3$wxpIpZp25>;|bo)Mcu9_J0fWk>Oe=t*NSwqKO+s+}X!uSnmfO-CRo|87p=<jD4 zf1RBY)Jl@}D@@<Wq~OKGSNjx#RB~O`j9GgZ2UZh(%CoB$iHnJ$+azcM2x}2HthpQO zps53^zLuXcS-DW)C$UDl39j`CF$Y481iC*wQ{r}iVbt^;R?IN!D(?HEE^A}Ee;eOa zYe-ukw2ptQ5PB_5tExgarWdsB6qJ7dB+XwDVa!UxiFeH700nw&&h7Df5Qd56nKAG3 z`^yAYGyIU=T9D0-wPnh>U-A!i8-MiMAuSCv?O@u67s3ozYwwF+JcA8L6#9o!UY^Yv z%`%BPCcRi}@d&zhETLwr&V$Fqf6%e=3-J?S7n#dF!8JTwN~6NY0P<ecv9Vxh{jKk? z5zpWwWJ}D}le@Z+Qa!c7Llptm9r;Nk(_b&E*pJlr8l~qOl;^4ThNNLEfrGOzS1a|( zzdG`o3`g_V6n=Mq`a5I8);cw4T8uZNRoUh%uhj&q^~s>^xqbGuxUe7ef83tyhN!{F zjI!Bi@Hnq(9`+mAf*{KjMZFzE{UTP_@49m))zFgti@j-$ke&~k2Z@4-#Kp!C%lFT6 zoceQEdd~hEooemBVity}kxYcQL}J{n@|wH!fy6DlyJ<McxxdkUhP?q4a<TTwS^9*E z_{(^Y(caIY3doa%_nspHfA0Jyskvu)&`C+A=6cGnaD1toZXO}RE!3G(X-WMcqMkil z&4jCu<>OMK@>{55FuEwzo?Y9KL@oW36R2>iL)4H86ov-Yei5u<4#NU_IKh}mI8vfI zPa9VpQ~N%i%Zv;nn}ps`HVx5@n1Gv<E$4frNVq2ap1hT|oL7+2f3qrQMViO3oM#&e zjd2gn!=%`;4&tyVZ+`OjS9cR`uybLxGsjjX_XN@VeM8Inr+H=E6DC+qJ2CFOQl72V z`xqnh(DECGEoZ)oLBx5r?k0`*>H-sfly3zocA}*99Lk$1-@11<P3M&fPOzhzbTz*9 zi6Sm-UelV=wx|4De|cHnyf!{Igt*@<QpZ$SkZz(S`A(F#R~fr#S=!Xw<rd?tr~H}f zL6VDVMuNjhvQOuF(KXsJ!ez!9x8Bi)71tS}hFsmY#IA-P#y<Bid-tx*mpB^k45S}A zUohMqr5NPMI|k;JUn%=R1gGh5kad0)ReL5*Qh(^9-@dCJe|URi`8$l2H>G$yRNs0C zle_LB)kh|uJfP#H&x5p!0RJ8vn@-wcY{gtiPQ$->qqutT$e=yh4<hxp8S)uwsd=$j zs!`i~8tGx35RW=`1Pzk_y<Ynp7VvK?hbQ23MXBE{Dl{+!Led3xnyCVmm;-#Q-Je)R zx%e?}eqt0|e_&XP;1mULl1{B+La%X=0>v$$mj;0y5GUxR@S6_EJU|duR{{DBkW2yn zcvOnRC0(%w&lS?(0*V63ChqHhz;g;;s&-pM-ET)w5l9S=l6ps7HGXC6-r?&MK5Wcy z4pid3Y|mH>_%cj8a)JAdl@HVhhTVm1<1>3kgh)-(e-R+9t0&?yn}KRHqTWk25@qdk z@go%mM@UVp%I4J);xpGjiWWX|rqpFJDOxfECFR%6R!@*J0lnr2fMk9{d*b9m2D$wi z2i`eySX+jJ#ikO&!MrdT(MN`<1TajC5oLm4-4E%!8UA^w73CdyDRrc^UvzK{e-7D7 z@5%J@fBqsH42a`3v_aeNYK{arB;gfL9Y6~QW!qu^HaI5>h$0|TYyHL=fGDi>kK<kO zOahFt{t*FT1>EfE%ot_X`pW_G_y^z(G_8peISYVZY}qzd@kCB-z|l9oH+4I-;xt!y zb*cZ<qD_@^qyKb8!2f{EH{GY<KQYO_QAwKlf2K=B<eO3Bnib(P&G@Bpj)h<GJc1|K zY=irsE{*?Gk$ml&&P3}j!GLGBQ4GC=z4uMe1@haVBP)M!QBqL8uE+cBf}nJNBvnuJ z?$l|VqGptpeleUd9}oa2VLsoN`%i%c<)-^b>UNKB`u)H|zyz-KRtfuE8e|M$mH&Vl zf9R|%A9F{P5F}>QlFz=6(>(toGbiJV5rcgnFP)b5d4#N*7x@4LFEHbn)#bXSw3MYe zVmx^fzm!EZ5<r4dmiGJ?+Wy#`B;WFiPCG=mELQ=9+AHH0JwlYgyYV<MiinUB?ne44 zH0MuvrH*|am}7IyKfrs1vXQ>~>qK?we}z{Zzyr|%4v2@pTOAAJxCwx~M>}uG1?2JA zPzcax0`No8$74euPXGmY=3`>KNEamDrUdfw4F-(x0i)-Dkrp7RfZ+vTr~u@r>Ok8Z z5Cgg?OT6<Z;g*#D{TFm;<6wvw^6nASzt|c6t!kKL$`Z|D%d-dhoD|4R@xVS<e?VsX z2-%3|TO0qhtpB0%xM7e6jb9~8LE|A@j&CAR&$m!lb;I?c@upnja9ZM33sO~$Cj+N6 zX+y3NDCeXIV@Z@N@5Qe>4&`uw-QoeED~YP}y?EHN+mT=o`Z?*)ZLZ0kl*TowMD}T7 z3i;MwAENyASxP?kt;b($p9MaDe@94s-LMUZJP@Pr9#twr;~yeG=R!Ev8W9NRLSXep z9{#CSGhjj=XaDiwRwZCE*`H0Vg3vUg5YI_RpcJbJK3Gs#4+8iR!UZm~sIZUSlj9J9 zS?++wKSFO7`6;sa)ao!WgdpIhzC+{DYiyzM96&4@VBsFmd&K~R2mi0be?sX1dCVZh z9zT3SJD>Wmb3x@nKD&?EgEEjkgrc1*0vEyK8g~K1q6I=>1L6({KOhIPuyA)`>|Jgo zpT6S9MS?@)@qwYh`L_~zJ0R0FfAgNe8KZvkfS_CF#{9R`-;($7@AKJrueB%1dMrQU zAxCn<851xWTKJ#hiN5&)f9*5Mo&u+S!}&Uv^1|iB6`rr2HKRnsHIw}D#kGjtPXEHE z+optDk-c!|=QpTdb?ocile$0ae&&mGilh>pVDQLwqw4XaYY2~^?iSbhM11)>vasr) zaT6kWpLLLk8ou{D^3to(Q^@Y(dXBbvlIXZr?unC-gqQ%sYQXG=f5?ST;$+lHr+q&Z z3tEeZb0}4C`jHbQgr@_XM;0WLV?3DFByJR6s86j#x}Dd|D>Vudv~saAh0_<P;VZn# zeL=Jx%e3}Me1;_Y+qKUw@=;r2+VO$gabDI|+_cXseQ=lS9}c}#?Oe7LvFCdtnlE3j zvAN#<gc@sU%@Lnce^y*qz#*}?z32J<1}t^W>srFZ)Z_`&S{lw&UOYk)$Qot7_i^uM z3(BI;aD#v9Yt1cVP)$Y_=QU0nP&gfP+zV}BcduZmgfscFE#cIn#^uB`1Un@b`voSL zioI^36xqh5E_?lU+;~65vO1&bklU<ezb|EOa4NryyKEsQe^7mXKE|wbP=96{BV1g% zy>h=NEGTy_ZFl?^@(L$c59#xq^N5^{7w1ryTdI}{#MdGe8JP9t1I%GjIwrIl-{#5C z!rWJ?@|gwM;Q9)BKKg=Pqtu5!lxD&87>6I5WAN*lcJ0Pu`*rRSgtS$KC5Fwq6RNr@ zNP?gC={S0be^f0B><N-rJm`L6uiN;8PNBl$s~FxXRV+w+A!6~@a_O@fcfBk0j{!R= zS(8l4gWVQqf|FI68_P2AAQV<&0cbuC)2DNC><N=!Q7;Oa<207Ntlri&$~ZN7Tl*ok zDH~s3e>!L4s+;+>P}uw{zsb>c`?tLx3Ss8-FCrX}e>km%kl^CBRS@qpUZImh^`*kf zh~dTQLJA+&`L?{{mchaJil$8JUlf%(dO72PQ=f+eONQ>8Dxrs;hO5dNAKYAYbKHu2 z3C5O#V>6b<LB)(h{P0qAbu}0JAZ@~||5k<C1wE)VK9u|9@3c@fEd^LczsOxAsl1-2 zx31%qe;6f9GEx}INg>Q>bK`NF{Vrk=%U-8dHM!^BCSgAKez~W^g^Kjv_2=Ph2zS?W z`sz<}w4O`~1fQp)p%bH{Sk|qOOI*nHVe!e#<%9uO8%4|k;%fcbyTbkq$^o(4+Rk6r zMGo*&Q(9G@<Dwn-+g51N#e2I<gd(F>M;^->e^08kuhHB-yj}6Z%ivZD-Ee+hN93+p zZ^2!2z016xws*L`oTiBWJNs7^EoALECuc`5YN}=L)_)xqdgpURg>thQcXpn;GVFGA z4z*~OV|Z4>{80%0&?oKe6uBkWX`l-X#nvwwK(`|mXXo-}J9PH17V?(3e;^nCUG|yW zfB%Q{Rc9wnR2J2i)oQnszW(ps*S1sbBa83bMXTa+xmYXPF)J&g95#Q=`r~CtPbCnx z1qpT|DnD)E&fVIGy-M>_)`k$q_EDHWFinYJ378=jtqp@$pNS7bP}8ToXL7(+uqrF- z-0~qUp(b9Rl8)UF`*TJ2IIhv`=`IxOf7cav<OBQ2H57x-$m?9{e-~DCI_s~mUXm=; zqGvfH6}Owcy)IL5FeQ(}m3wkBU?J`xEaXstQ+1LO$zK=s{W<iAh_rFywqsCyie!J& zUDFfqccuL#)%zyBx}BW&O_Q}d=g;TsZYHaZMt-~(ukptG{n}2qT+DnL)vovpe_J!M zN;I|MldAZ6Hv?VWsT3E}W~Y$vquI9~$~A4-WjdKFg@RZ;Eb@ye(TQ)#E%jj*<`n+1 zd-_v>q1pOVDI`%k%k2&cE+l&8W`QBh#1qSAjZv)6PJ-Y~<nk*=Ja~)(flyTQ*k_Jl zIEoAzp|cLGa9LS%p?=HWZmW5Cf7FGpm)QR)^aeS)lGuMhV6GAZLr<MW<B+WF2+|`` zmcC?W>R{U}9rAnEFv;fW<jXp~7t(b8<z`%MT*`!K+}(E4DM+N<V_v=l({@rv#-!L| z9$X@tZ(<YLl;@F+@>^!ceJ7uz+(Zx2-2|xS?c41&QE6U??}_k^ix-&Ge-r;!x1UVU z+c(&2axD=pG^wZhoikQB3wAHA+p*8vkMS_!AD64$`6@GiGUINPS83Gj%sYN&JE^2O zS!S{s*3@?MYyNZKJf7fky6gC9M>DPR_#)-tYo}iH#V5P&4Urcrb8K^*Z~@7=ia!Q% zYp((YBu6$-XKtl3sclb?f1UjE{x?d<3#5@JwfUK9{fY<~om6`)SqOZggzt-7kVeKo zfM}Hd_!qbQzf;|sKSgi~uXKx6$^zvLLf_>owSe;Mx%T-YQxIxVR1X9riNb1-RV8WD zww<8Y@0H3ydE{JvBMj<DBf4C^y^pBQ<vYcoiZsIK%bD|qofFYkf26&+MUz<-A!F!( zW4Lfbb@epIE^C1|cFd>fjW;f#e<LyapZ8+uDda2v)tiP9H-T20Q9flPt5H5Bpb%mR z<gxqR;n>Y6#W?8faviHSoX&?$V8$40veN&lTFEz^?+j=Snnv;_S>rw#nhojwlpeyg zrM44nGpf3RmZ3x`f6W_7Z<~f!M+Y~=>*I+`GG%--+Rvcwojy=SjuBdh0_Tt;!0?!U znh#C2E~S}535(KR!8|Yda2X^%Kwt*zF^uG#>S8!T@kv(kg+r_!RpfxmvhEwnZnZLC z%B2kG3m^52kNQGDuSEygKY6rYOa$TxJX)kYT7VyeJp(%Re;L}K@xahw3Zaj2)PXot zOn`o%Gi$|Uh`YFk)HUaO!U<bgmJRosSTo2~LcJ%8U`;iFS9%B}O!&X5+>X#}(!9-% zM}IqDCrWyb{%*hyAx84)6n8PzE&?80{T8dbfCa?s7nEH?3n;U9NALpUgwJZmG(aL7 zd;@WB@Hf-Of1dbq`n#m}WEHi=a&3lGBQ*$xrf)p4&d^S?Q#UUX4#nSiBA=#xi7@(d z8Szt$LUhDi1gm;QR80xaUc_XqKtJ#RWa6wk#zrXKN^H=JZPhKShbL!6?BkKaf+d-T z%rZWAoK;pJ`o;HaR0XIF7m{djq#mQMVOU5fFLC<ee-{+=l!ld>FLE6z=vC&+<iz*y zLp$k-Y`APigQaK5ux5s!`kqC2wXMhn-KYhO=m%?NBnpF7)QMVSe%0;icbpTFYziN$ z_qE(R=D66{N;Am~jWWrz)Hh=zAsu1Ohlq*dDmW3o7|F}t*$}+xm9wvGzLBT_W<n%d z8$u-bf3QAbQt4w?0Ah58%*$PIEK}S_%d(7ubj}HNmGqyQr-bMb{4Xo(&%2(5=k55a z)07{Jk;Y*}=-|9R@`uCvNIFiv;AmYW@Kem7^tj;dG^qYMO&SCbtt=*RSvnBb2O^D& z4%V?=@;TD(|MrZRv}^!c&J$eSht>Vh4L10*f2xQOoi;WC-K!6Z`s>VT;uQ|%$2VE} z>sIRJ#{^8e!rhTNrfc7yaY`+dal`ufREX=ugmlxp^{vP)Q+}(Y(&popscur^3F~vm z=KtUeV@yB9WP8H%!EQjYh?_pWJLZ))Iz3q^EteC1XriixylV@&RYhALI_6LfrnM26 ze@!Uf9PWjMIb^Bt0_h4mQGvpDK$rw<iZB{@(Eqk_IRb@y=I{8<Gvcsaf=T_m7$Izz z#H8)!gqXxFh((LFk>3YfQHvG{22Mqx*G`%Gu%%h(HC3h%Y$+3ZY4V7;9fv*w=1@2r zaQ(hXhe3(Ef()=Qt-0CKzW;M$`YCKle?u+^C4d8XZQevEoH}q?r6~+0pc5VQK8=w0 zHUf|YK;DxO`%+_Y?m&odsnu@ZF8*y&VZkH(_)lLQ9F1(qP+dgZsH)U=o_YlFOuU0} zG?aU+ge=+3hf&Q|$XP0U4c<b1o7}HNwf5~}_9ymveZ7g=(8x?aI4WO@5!vJue~+EK zV2tj4iWz7<j<lW#DHb=BRV9^eS*%JUDT!&u8+II+brJd1`EJL3{6YA@EBhoT*Vt1N zZ*B}6yu8xI5lrin4y`OxD_Sx7_L7g0QD*)pV^^5h73(^4%%<{-`f)vSPG$HV6G}0( zw1tc9;R{0p7!{R@+2vPWo*gZhe_jv;74uYluoC6K<R5vEfH5|lIiaay&;u8S{Kc!9 ztT~kMb*loJ@$}WmcSYOM1(KQ6iMOHVcB;>==|@A7`$^<K{p1eFPkSim<I+9uSxKyw zBvw{vmKCPxkKf}^yu4_8@g{GLbG;`T`Gu0>$p!AUO0$aPXoL`RN|<NNe|0!!p`)1= z`DuLWr$0E&=9Z~{vnnB5cx<M<BqwB=`TqX$jaRZz0;lrR01qyvjj)?L`YZTR*6mH3 zNf*^_>fB)(!$(&?!Vj<ML>rb9enJ(6XOP*a&nH|OTKP$_AkQvD*PG0On;fRJ*aPr_ zjA&e7OYcmgDd#YxgMA~Af1Kf!l(<&jX|smcM!`21mF+S)8a2C`BI|G14}^2=QIA&( zj`4TdWsgM_f>HYfgwSg+H`S;bT$Z|}k#WdVmMZ$!B`=n(t)ftJcrp0hWlC6+_m$=9 z`R*)IvIeL|OJ45wU!_v=0=Y(r!yxH9Vs8T(e3KM!L}e4anTgr#e;8T!@d3Pd;EeR= zO(I5B<m(ReWWNU)w12+kqdyPfNwk$`x_co*$MVHAkZ@#-m|!(B$8>(YMt-H#R!`o5 zx~s{Enw7*@UoZO^A$R$3q`tvTvrOsu`8ydshX-_9-7-EEh5GHo*b0^K?9;P?Une6! zAR1fyOl5jg*@m9Xe|Ji)<q<=1dA?P|Mz<JQp`nYvs0JO?<Uh=QF!~yu_%ijf^c_z{ z>Jar*(n3zJ<NP@B;VxN%tMG95z{ET6Rrg6263hC^4aap0)$^@=3o&ex0<|{$URiB) z6O;Ig&zx53=UczASDxxyg06Z9wKN=9d@Rv!@tZ0FokcP;e>OSz^|fN$&lldlj5%e{ z_HGom<!2rvh;qMh9vf7P7fw`_MXr*r7uhPxlIJEE?7fijwzJb<NKwo0t6&w4-G1jO z%Zb8%Y_N+4#?3Om0gFjo((Z~ZqBSqIoB8@4_Uq`nb4f1bCUvepfBu47q@my#D?fEF zqR##6E6Zv{e>Nclk9O?PcI42D=gVW!p#g1u7-iIM)nA(P%FAky`?~))<ZRjQ3Rr&A zlc{;@qv=Z!l{>zgZmkiWoEguR<)nMf!R+@wdh}=LsASM6sxa#vGP7Sq@aQtB(ZJO2 zJ3r<-ISJj@x|hwGmnz<z#_1E~hfkigDIJ6s>|(tpe+FGN9~$2pZZ#i?=k|#nU0|y3 z*9DHg^F;J037+TQL~?ry1wW@6U&S||eBv?^5=@6f?lMvWs_OE(W67Ev?i#x%iN#cv zCfRi7Z8m_8TDTsF=$`A>tkA#JJAbA#?OM1yoI!Mx-~2_dtPf)5iP5((Sj25y*=}H* z6L%kwe^TK)sT|h6UQ#<I`H?fiH9+?bVY3{@*H_5ZOW5oDOOcTGQGzY;Oc`@Nv@xPH z<s;=MG0oK7e#^J3`<9;8o7A41&G}vrZyYTakddoAbs3B97nBE%2XSxU)UYKiwubd* z0CWfOl45>=79gY8v-Jk;m@wOZqnG&nC6F!6e>6C#MyTOQ`zzvj&6`fLT}&GoXBNE8 z#X6m{1=)JgY3{O<eu_k*<UG`7DPj)&$DWI<Iy)rMvdm#nn}g|%?W^}x2@OYc_tG|O z6JGnYklO$kGhvkefj6<`t`O^y=WWmQ*Tt+;PSSLSEOmwB4c2dYbg%IK=Q)4>bnxH* ze^1e9QGSd*<?cV#gIjjX|HS`W4|SRElPJmGtI;|VScTLlM^CYGLq_A>bg$nq--X0; z>Ryp1`;P+Fz90<A_0@1436#*>^EX}3u;pE-Du3%g^{LL#`64y=%plJ?g{`EA{)-Uv zQXV?093|fp6urzhkxADph)MT~K%@Maf6V&9*xNdV)Am)$umd1=uTt8_dY=W9rRYfX zgPR(lDQ+EQZH6diSzQ2KsZk~__sjz#Zywrk4Vm)^hyP^2<Z#WCa$?woC1!QWyW&v% zXta_)*5g8H8;VWzC8zk2dSt_OFHKKe9w>NNY7c|P;+sno|6^PG2!(6^)2VF#e^#*+ zWe#uetUQSq?hFbD>Xcl@!@MVpS{x)J7Q-$)SRNR>!&YAGH`t!cJN3%~Or^GO0Lv<w z<pN4`_+<Ve@-0-FP>iI#RGH1TQ-36+IuG4any@>FF$P7A6f=X1n9uyrj#@0t`*0>U zp+Q1o-%KWeG$h}BsjOZii`745e+NVjkVW$9rS@72ctB@I)nOn?ENlt#842?X$>-|4 zpAj$`%!N|9Kypm-STxKpQn4roF2tx~b<Al!(&G0;29ynNU?9x4PKnM83bsqn7?fcP zHlZ?nOv5Ej|1xqmTe$v6P<0-Pr8H)D&_^r%ktN!{pN@Z}(8Iu-flgi#f5*Y{@G$~1 zpR?J9tym4gpxDW6DZGMAQrojatWKe$^=@G(?3&j&fEhZ*;tzb{+ULt%zzng9ku2&w zC9bt#v;D3=5>=f?WGT(s9i$tBQbCGo$o*%BNf?R=Bn}1*4yR|^I(G>{ame4@d>f0h zBR&IzW-Cw-w~Q2EV~PN+e<hK4dA0^(@cuF~W`;RDyBrBKgPWMo61Z38Q8C8#6HuZ9 z%xfA6N~-h7EP-o-idoZAnzuX1ItFDBSd&zM|H0m%Ho}8Q-r*5Dp3<;Qt^Eu`K@m#U z^DgTMLhAm1e>!>Crd0pUwET^tl9adw%Okt$_&69vT=UYNGeG~ae@pY0oYPGIkbwCP zozu*@5=A*&h_w|_IlR@^9Ilf8HZ_%+?UI(6@(A#eL<%i1b%y0p<wP&;mg8W~0JCGs zX4|7b5?7r^WhpJ%9pn*%vPb%72WMB%o|rj2{wM@R^j&9A>htN>LT523$mG?~OnJ7c z&-j?v{1(!=x@-;Te_;QyA1K7N@E{*2BK?uD>O5><YNjY3r)KsATL~!kU5JSlvQrx2 z3>VWYxTsLt_rMvF85n1+xtVQO+ep!QC}tq~gVG>Qic|~f#xGN6&>tk2-_+}*#E=zU zi5u}OMPwO@(e>y~el9U~SsCd?5T$ujU>|P2T&8``)KHZbe-yff5qs#0aqqF4{&1&F z&Yq&D&c>|#G)=d<j|KUUbZs!v;Hx3m6S+0E9|j+47;Kb=K4d)=R&o9KZx!npLMl@y z5=gTnxdukx!?7vhqB9CuTU}uNzcvKlsg7bh!Yl#!|EbXX!FU;fIBT?y{kH_#l1Je2 zfgHcL+MZ-Re}rraG8FoDTWlm@7-gua^-qSdY%((hSYFjCDZOCy>A!Zp`BJB*G$SMZ zwG-Sh*jhd4X)|n!Hyt)wQCP*Q?i-MvxsNR#x2!o{010=?6giS;|FnF3+TmAzOM7v# zMw#b3oJ85S=6P^8|GMq!t*u)1gmp!snp13#^SJ#Ce}R4JB89GsxVf3q%gGrFr|b&y z`<oB4EsXh$7JEnJ?D!_1fhCC?lFgi;toTI`d&X{W>F`JXv&vte_t4qf7>KL%c0Lmr zs@0l5&-`c`?ZFmJEGPL{L(akC4ST-8xPV+HdD*WGeJ7u!`wQ<1xoAE9QvT}mj{@wb z)4yY#e{2m#e`+=#@?;JY_hEqwh;kw(NmxW2JzuQ}J?m<-oif`Wq^>K&uC`WlavDF~ zEH$lIZQ&CVCOu^LZ1#k_x&OWoYyQK{@oS@2@u2Q0Yjam((lYKP{nc=ejjn^`-Y+%7 zH$EoW#23FN96FtzHn_93*5>8cjvM`6__^mze}Pqn+$H#aSx}wKe?yFSZe}5Qq_6I? ztdE$T2YuRL>s#S8_A_{u%pGDtQ@!V)C}MXN%Bl|ZHiBqzyWC2yQ%o#sd7ika3Ox4f zMcR3x+9E=c0|T$0cv@vj$J;lhLg|q;7w%BQq}5$^EHmV=opNWP#`4hRXI#dw-Mb`? ze{jt9T^i1Jej7_(ntVEF`w~q1&}ZALM~?lj&+qDMDASpOEu+8qMO<YmFI7^K#(vtX znrueLZ!%280_Ns!hP{dyOf`vIm>PW0d?L)9>V)`EA!2JwTF$2}C=LaXiEjJLb`fuT zC#;8nirBR>M*iCm>@#82PYEg<D)GxYe;uf2d&`;GjJ49dJBIh2OdR3@ov<BK1NTVS zEPY6E!m#e1w7;w`y~lU#?${W-zA-tCnoqPVq<?TV_pp<8&u>e%L95w;J5~}6nwoRX zX7kNrSexkb-Eap_2lK`SPlLIPa1?f;i{o^o=Tw+*_3w1AnT0BlixFL}=U9sGe>Nw* zO4%pKe+^<rN6Q&6ao93HbAP>;W145=h!|~TzTPy7Dd?^R5zXOz-)NmSYb`Mea;wi6 zo(IbG;dwN-*<lGL9Jo9wmPk@~-q}|jvg<SLIZ*Q%`!9(pq1`vzrkoP1AD{K7F*npx zzv<9b6sy*M*yEGlt%~XFIr@gge`dTb(<y&T-l)IzEeI3SOMfbhnCC;#R4rMk!Mi^Y zf$4;?vrn1mMcnBUqs<?n=97nPD@h~L;>di&!`X-spRvl@bvLk&-;nHwREUCl%zLuN zH_lXhmd`7_xI9y)`mD08Dt#mdQlg_Hu8h-#P%?)rh{M~j=2E!y%q2F%e;fHX+{&OF zuO#XTHaa)l3b{zQb5G#Lg;!Z58xtAB6`_q~1Dndw{iF@I?_BjmzC*}vdYQw1NE?;h zxn&`Z4uhL?T%Ori#-tmSg1MNH<MY1Gv1nz3o6lswI!KT_c~f#_EX5G9;kHNjR&;g_ ze#LsKGNSGBY*6#8F^JbRf9Q+G?5jpkkLcN>;?Y#ff<yJktEmQ-kV$aw5qUqno0Zfw z8z#Q>i88$S8zBe!m9F6{>fc|xvJXFH{eV;zs<vVk6?yeN>kl@~4b7U4%0aaugQ&C` z^(?K9rswo2a;;(B(3NUvRk)UIqJauJ^^Pr<OLIyjHMQ)>e6(Bce-o-0K8Q#X8|~kw zi7iF3ud_7bMOQT9C2iglXfW3fQNzX?AGn12)rB!{`q<u?vsULZIXG3AB59=GzhC#z zt%^=AoFg=KO5t4@Sl<r{vnnix$Q^V>O4iSj7!aL;`-4~~<y!MUY#9b{IT8(%IO9}d z%IdP48uA6W#ZHX#e+6j0N|9`_?f*Cq*LN=E&lDpKCi*B!D%a)w*`FToC=}_V2m}*5 zemtWbHjc8Qqvijro=J-}TjhIb{uckC09A{Dqg@=8y^DPH<|`TZX(N0rgY}KPk&lKS zq2(=K21Ih{QG*c8e!e;Uh`jLPwdMFu^wx!p?i&`ZItb4}fAM$J?4bvdlOaWku{2vr zC)L_9-~z0vPO!m(Q~%$e*>USmz2f;al*zEt?@NsJ`eOp;2@2Oe%a2Q*T;wq$hUXQ& za=yGKJY!}^WA%!FEnV9FhX~FSuOk$j$V(pF$k+D$U8&ol!`~N;c(~hCUteSXl!v2b zZL0;)ipV)_e}6wsGgXcM2jF*du&r6r#)Wcw$K(}>VZA>6@l?0CNQ{G!e_biPghiuQ zR?X|lz|2eZ5|;S)+RgS>_I;*w{Odci_I(fl9RPHG#=p)@n)OLpT5UU!b!#78Q`?)1 zzYtaX5#-RKO%z?o^clp{Y(|5<7#|^Nfu9tGe)tvPe@415(TVCgnHMAMrFR509u*K# zKxQMM@fw71h$w(mvalWxx~WwGKy>M*EGh7Jt5g1ZDr1W-NZgqg5XK<!uXu1sGvImy zh!5cA17I_NkGf%?&yxTSxdGb9F6v&r!icaQM67e6_izZR3-)z~5KMvdZ=bIC52{U! z-JiJJf2Y}mh(4#Qvdz@N;@8|$1&`1ZD4z58%lmIChi>5WcPKA5n;DRNS`fkxKH}{j z(UG<*@W6V8;is)1xH(Kw#x9hsudTRRRRE<5^x8kN1JaJrg{ufU7s3joPDb73M#zoU z&|(7r_d^f=6L4w2Wh?el3kHUc0lr~^Gx>&8f4fJb=|CeDefNzqBdiCXE0YCzYL(wb zWN;K3zX(iS4={~_fGh%2sZjd(waZIs9GC*Nv9zZ;!tT#-IK+?iPmmExj0Vw972pDs z!1Wi5HiHYGAfHonSPv)n)G99N?vV|!m^Q$|dIBqQ0E7$&LcazUeE1)a)8GJD0QB<$ ze}aa;dqnxyS3n-W^AQZF5CD}n5P}J)$3H%a1L!3gg2er$Kt8^?|9Dh~3Ak9$hFG2h zi}PQP#4MAajtIeeGXG{N|D!6G6^2@?zOkQ<_D|mk#@StdDfBPa^j}tPjRVDv6GRSD z&~~|zu_QTYr|3~*Bx%W}EE&`MU+{E)e|O|+)!-RxgkDp82o$#t+<vVLI&VP0I!Hy^ zO+*dzSwr-R>DXMEABqeVm*?tvjz&>E5*ezdFZ2rP5(7Q$L6Z;o2cRv}5Fc0L^>jM2 ztrdpUL!Aaf>yEf?&8X_IFu(m5XW;&5`WI&aAz9%}`G2!>2ldIw(Sei$>F|-(e=UGo zpBUFoi8c}_3Q3*X&5$hci&Q?Xro^WaJZ9>bwrI(?%zU6e-_QuDMl`UeXrWXdR%dtq z8zi)3=@==pZ+`-QClXsrdTdDSCBG{$SQHZCW0*^?lp5K$ycB6H!$*LhLI#;hrCFJ{ zY}aK_0(B+INu^jV;?MQo4XJUcf9(CdwV5t(4_^{$k<CA{`a(o*w&8~n%gL(*w041t zrM9hadXjA0KzpQb`bC6qdI0_v&jZ7;)xGpu|0@>0wt;4#QxuR{Ko$X`?MHVtz?}yO z)}23c$)gQatfORB`&D&;U|6BR4jTcqVxrtyL=f8sm^b=kEC6s`jgMRHe=qslXYu|< z^<Y+Z_JA1if!gWsvj^)x|7Q-m3*jVL5Bua3#IIZeD_qH3>`UF~9}>|c#uD901KG)x z7}Hdas-aBXu1{y@zNMAbD#fQWwi?;Yr1SBr9WZKgSo$(D%n2&JPaqq8TcnUiEMv3f z&uShmiF~s{%WJjBi;UHNf2Dh9>ABc;cRSe5Vd2q8<zLgk;W-mrx2$PW;;dE?5+ESg zxsLC~@RFJ%l@6}7@dd-}mD(qDL$dM&IvrN~0iC+UzERjq^U8Qdb8Y69&XyKFx)fAa zy<bX+P0;7+BLrE`^icYro3mI{mY3k@>ZPRXg+2RSwk}zfVE@U*f3B`k?4_ADQ)sjU zRlW{?QCMHp5ibD&fv-}iz=K%-jfmfi8JhMQ8vR$8pezZEZvX5?p4t~Yle$XBTGrv? zG&<q-ddmFn)>parF)vLoG`G&;uk_WoPn&8Hzx&&aXsw;0@U5g~Lxoj})io?azoQr) z9Y6JIffTPlYb;DOf7;~(Qw_Zzt0e13gm9++c=6Fqy!ht<$6H%DSgtQIlTpPiCwbBK zSvN76pIs_9E&KyZf6+U?{oB0ZZ47V6(4m-+qxv;Yvp%ZaSMv*Vk~+Ch#CgQIX_s-h zo&@5<xj<c(OEat9*>4tX(_i&|bA3^)p*l9ZE6_f_IWXD#f6%65BP?%v=ZK@Gl+9Qg z_%&!nrmCxywg%L%#iR1Y?zf|#BBs&j3ZbPl;kzLz$JVhvq~cUPm+!1i?=R|6=5zkA z7~JyvxqSX(cy{VKt+?@w+q-Msu8+l=cEj#OCZ_VvBXd}9<=obOPg22}g`4+EO*I%- zpc$N9TURF{f2WQ-2N~Qe=h!~I<|~}cPa)!V|H5Cx)AQ@bs!<yAVMN9T8aKJjez=he zqLT1&37p-Tj5>*M2M8Q==$q2V>YcvA-@mI30)?FeCc?0*GpjYVCmm0%Pl@gJty^RS zEdyfR$y08)MfX`f)QIhVBjWD~yeQCDaVWJ7ie_whe=IIH=Rpt6z7J%6<L(P;CWn}P zMdN#KP<afgd45G{`We(E>$UqfKJm~kPk1tGQAjUfr)*u=zwTmC&rk92yc30?B|N0+ z6yw0BH^gD5jQ&ObOY%}&1uMOz-4T`~rHS=Vxu+w=ixox`J-#w4rUZ*BD<pOAijv&e zqQv~xe}7Kve!^7prY0F3g4jta9c-$4ODNU5&}47EAm+6?<DlC{_AOtCf`x||)p1a^ zmJ=-_pi_@G!L)_Dc+b375wF|cMH!qAHf?&YYagAI&ywF1|GtsK9V+nbdN-1QMpIu* z$AaNph@hwe(u~X_hO2?d&^pn=zITMb{exsQe-fg)(&Mdhn)6UaNOd>P+!bG*s5>vQ z2w!LIPtk5s@Oqs<QmHgiB!ANL$v`=S!me*QMqN@$W%5K-&f|r(!B<~(RMs!+1(t5K z8A;hhOtX|J>=aa)UO%&TcZH@u!u6Cq@P8C#6m*HyALv%JY_STK-v+%)S5@hKi<S=A zf9IdSa;Z>p&!5R-t72AvX&O|Ko<f}Ju6>fHYH(!tVop}CvU;;KR@~lsark)&hoZaM zZ}<KZ=Nj+%7oTVUFs%>y{dJ*Ty%B{(+dM<q8`J)EO#(yv!m}z5U9SRId`=!pEq8{? zaIB2$t<M+t9XW9)#Pc&pf|bB8N)f1yfBMy;DDSH`6FxCFq#)5yu|C6}lN}jHe%tLR zl6~?4oiCn2Qx&TDB!*E_n#KT;-R^Da%ec5b)_wO<M<>eX?rx=(G*=StgO;WHN}pL@ zR`nN(#Cy*>em?m;Irv8t-C0xmOF^?%XYjA9@tq>=Y@ka13uSz#{H0#GsbI=)f8vtK z#?pP3vnH08^_s2w=$~1&sxnpGqsMn#OPs5{=P5rM{b8D_;jZ#)$M|KvYU_}ayY!g| z>M!vMQ=8(Z7iUdkpINo5YP3umz2`ALmo=D~^y`zmN+>$?*^SviT=z-DzvSCk2!kto zV!5clac>15S&sCnp43<r84U16f2Uh>kFi;2d{?bWvm@pm{NE@~f-%l*3j|J0pHl~k z&%g@i!{87nfL%R;CyI|FiJHq#(Eq&%fCAj=g$x4LEkKe?&=I%}Np_Fao`O0$^k6+W zPtgGE{$8KLg)sEVjAmN^*$kj#NpG72a{+3W;1d3b2MI34ftk>Fk9CT5e?P2q+a93$ zH-L-c*gf3a5ycfG8YJGPz~mjW5iIWCrw!0pFO2g`G7UI{GjUfD5+rW=vik!cz&;7P z0TRax_PLD!2x2(Et0bY==a&*haVr3oOv%YBk^uSCTEG-o!H*D*^z{=$1n#-*cWAsW z)}JtuWAa=KP?Z3I4gkZ)e^?*5fo#DN*5eK6+<}-5WWnMSTwtGaYpiqq@4$q1knU;? z1JXmfi*Jr~KGg>x(05602~%JP1Q?7ZCJ?|H2*3!48W1EFkYR4HkK-%A0SIt}33R9% zYIB@Dohl#<78d~$0K%R;(F5QYP5Hak{o5t~pUT_3An~RWY`;&Oe{hJ&)X)7+Xgmkj z`4#|`&A>GwOK8jom-{~#)cUX<qj7hMZY`LCC_42fz|W(<X}A#Y{*)eN67Fvhk{vA; zO7c(oq~QkW)JAQDFwVCamTM?cd|K2xH!so8w~7v;bNSEMrwS<C#Gb&&;p89(d^WCA zYnQi{rwW4d0sjEze~x(Sj6foDP!}Fnd|>?6jF9?dx7T&MNMSk~UikjG4mZ1~Pexto zn>GR;*W{O+X|)g^*PP<1Bu}p>@x~7A-5=;5{3gFi@BZNC@f-fMK9%GaQ_W;UW~nj6 z)t!@N9V0IMo!rOu1ID7@8+@Np>C8}v=aN3VN~qmAZ=rtze>0R4DHy-Q{x&8bZGwi2 zjx<hcy5xJ1mI#+|A##__BNW(}5#z3O>6m_ZN%7<|UT?j0A+j<%$4G?BtL^pA!8jex zf3|w2b1Q7nSjG)-bW^5h#|ZPh=Gy3O8A_u+u>lm4+#9{OiGT$z(9>=+ka$I98K=q$ zn7<}-d*$B+f0&U2jVhpl1NhYfvI|&E_oUI+q;e}*;{u(|K%91<!`PxXG5wjOhiTEe zo6JXJ!TX%}Z0R>D(JgVGMhx#=hqaj?EkeW{tnmo%u;D826a{rD<rAU<_Vj8H*d~xm zNWn{Id<d^;rXryvLH=Nb=4uPvpjNbV+Nt<F6gVQNe*z7M&i468TpqGCQxFGe9&JJP zkT~c$+JgKcPEZ$G9F0(v)b{AN;4B41Rmun=G~HFA<Y*#?Y745MGjSRg46H5$Ect|} zrE3}lqYsE!@aLm=pXeI`shd>2b8u(D5;mOOY?2K&HaFJB_HJz3w(YaAZT@0xY}>YN z+kSKJt?zy7tLi^y=JYw;Q{6SwXJ&eyV3G}(Kd#Z6p+DF01+#zZuJsrgHg?*&*VZSK zDl-eGYA^Xul3D|Z#Dmy^aLB^cIbvbHq2FS%)n*=sN&Q`VX^w*R9O56SY-2k6ep8sz zn98|=!??<)GRXG+{3^?gpT-UM@@q~L9)XtR9y+Y<BI~F}&i99<QL`KH0R6Rtw;k?Q z<7Ebu$W!)Qysz6Mhq2Y30~1~opIH)Cqu$jsAhI)ZFj5x4HfJX_byc#*fKUVmA3~su zNyzARe>v>ArwmX(X$CykMi_0#Ohso^mWIdFy^;Pl-3mQ-p0J?&2l9AE>|twH9ZPl1 z!)M!YW<g{AhtI);Te;ToolIMG-TlknaoK`Jzu%w+oq6+u{}))8wi@sz4D|s4oF2A3 zZEWfuHhyhDIEfs28n(MZyQvn6@9*`|7nCeH)k#S42#B+iIM!l_wHNep1PssLDXv%O z6mhhb=Ncqt@Y6PJqoxROpX^<?Wh#G9`FF||1jHrImoFpst`>5~xVm<-=eBT;SqjIt z(pKx*^0#nm>Ugq}E*E!9XXxtpz6DpbZ#uh8leGbxaJ`=HV1lZK?3Z7+^XhfAGmGNH zL96TTXSd_dd+yV2PnsF4#BMRTR>mW=VC^VkcUQ4c!4@8AJ-p1?MM>m{O92-R+LB@z zq7O97eAcSx8r>+ghUXgn?r8!f2<!N1-Xm?3+^i7BU(Ob5nI8DB7HjDiP)_@rI8SeS zVU+;J&CM?iZFi~97uST=w1nq;6Isqvb4?c<v3L8pT0m48gs-R%H~A9ymHyEp68YKz zTHCsS*)%_<Sq>_KWGjMt0)ixGCuyiUoy%Rx(Xz(&MOhGCkAqMJZnxL|Ah%5r?ma@n zPXQNDFFGZpl0@-oKRd5v&2{zocZ}4_t9gJ&MyVEr8(gyaW)NkaS@<^`2v-qwF7T$< zFIm1v<*^WUA3oGFs{THr77=-UY8GC0M?SK@yt{1Y)0=(PR={5ZHIb1n|Il_ng~0+; z=B+3(Q?t9`N-EuxO6KPyVZ6U9G4zc6(I{R)Lk{$Qm%Z8KNY~x$ovJ~apv^oe!UlHc z^o#zbMyPo?#d1dT^mVQ+J~@e39XK8qZtpgh(Gu`{xx*Kf+S3(w-KRXE;PWbRE{iK? z0jFvBHUiZ;dxh+<=fJ9b#n?7f<`-maVez!|MabgfIk|$5;NO8SFdFvA8c5DuqSd_3 zdza3m88mp|@$2D5jrDx|ja$<uEFD-m5pSz<z7u3xiBex7w@Q<Eijn%fu=>Uzv#;Tb zWd?#!H+-3WKE++&^f<(zo^OTgW42*x%de=>hWKrnS66+(<KcK(DQaEeecCCue+HWZ z<bJH(O#APQi|!$<cVf&XpH+hS+27Wkvq@Vw<&5qnRp~^lxt~efl<pxcXbh-x&VR0C zS@*Zq{{G33c1BS4^tnSNZqC}`XqB|wa3p!xWjYGMDZX%Dyt3c#ei2Tm6?8<qPEdYO zbY}B)ojsyRFCC-5yb;s*McV>#xW4I7+YWL=IF^K9MM6b-8Fq22x%m41+8(eN#pOYa zDw6wRTj>%3h5NYhQ_;!+9^mW6^1>lU@xt}NP+A4RlZD62zE-*6r2LIRYB2{Ru23Cu zn`R|{7SLR5m}0sjyS4TDV+Des{{fnTl;pq(6X2lB(_*n5y9ZV5Z8nSrd>|g&S%u47 zevZ$iSdnQ_pnt_xp00yCaOn8z)!;2gJsW>zsyEd)<1E?PFqvS-fv^3efw_LUHJjp_ zhn9`>s-&>ekjg`WIDcPiHtjdxEgyd*mdn!9nmuXQQkgYTvnOc)2ol|p^HTdO;ANX_ zuir7M?8JgRRvPm<yI5(nZQarYMs;H?&7eOl7f9CEX-$9F*zhr@CN<%x_m8YXkL?<r z`?20IJ_z)<r9fr(0j;B2lZx8YHcd7sZX0`@gshs-whB0=0uBBV#w4p7{Q)ePZWIW_ z?5?I_c(|FQXYlY7i3MM&4ZEZ&CAGRBlDZVnb=~E~+30z&^2ymlYK<_$Vougv80S=i zKyEYMzphqnje1-Hvo>|R2`Q{>rx6v2R6V|jV*W~oP=V|~2cWeF)NLVZVvXJYQVy!$ zSCY8~C|7-J^mnf0ZuDoZYPW~Q)Aic(S`ZM1<|*CHI97w%&cN0-*ZOucn*}-B!AdZi zqB~@L;W~D!&XRBWoL#?=x5PVhx$S6&y~TgIpWDCQ_C}<2<axs3aTx9zUX<t^XDzTL zxyE)14bR9^2N*-{6nX?oyjwbwS@%PFm(BlZ|I%_G2(I_5eJzLF2N^XbHj~e=QiZAg zrsQ8gM|gKFKQ(^%apCB{au;Vhc^ByW%Z97_?~qnCe3A7{SWo_Gh-6OzH9Iu(R83Sa zEQkZ|<NE&Vu$EyKI=pPzi{nKKw?_Nu<@1z?l+KpTtAMP27n$mtEY{O}m~KG&(fMi^ ziwV_)xbRX#){E3@;W9-~(@u)Z`5MZ?E7_%ukoe4(H0qMTjnVHoQ5~>UBJ7f|EbuWA z$i+p%wKk%SY~|RDF!17oNli54N8j9smv`Uo>{2CaJxi27QV-iVjj#U|XZKEIcN^F& zh?b0Z=>b*6v;Nz{DtZ&P_4t;+)aF3yS(m-G9+ShuOhNASYK=v_ng_9J%CX*dj-E@G zrrO2Tq}#m%!N#KH1ux^wV5M16_T#DL>|Xgi)DclX@ruzRFN=BMu%O<!Reu|}N1nXr zhxqgkwb<6^_QcHmI&5>Fsm}P0vT@oxP537q2vBv1;YDuZgxn`gtyf>nfC`fOo<769 zsMUOoyG?N|<$8enz^J@?M)t=yaBPb<u@0UA71ix(WX&0ad7K8nQ6jv)7%7GH#^r~P z6v?(;ip({;3Z`7cR~*r3>HPgzq~5D<Px-bT#Sv#|6K!iun)Q%YLq-?y2R!gw1O`V; zn?NgmPkTbfS|SPtOy!cD#kP|&pi_6#|6^%^gg4I6Y{26jz01-*0n>5mTk*khGPgyv zfMv<4-T+4{O7hF!%E#ugH<kRNnyweW_r0j1B&+yw`zR$XdfBpHi%DrNlw3S7+w#f_ zA7$I*Q1)*hGxI6G1)loDHe&rxHKt7e@B;!A(~II1Bch3vBSojfn1+a<zXB|ms`Cdk zk%R;FPUF2o^x&B8dw;>?{(94SSl@Wt*x-3c=a{(h1nqh8ZtT6aPjH!xW8tKyPf!`N z%$HAZ!SM5ik8>1yKeqTheNzj*q61H`Wo;@9@5g#RCGJmR^VaJUTt}~9NF@`?cm_<~ zZv^(;dSPdOLhOlcRxov;y=<DR>=eM@O2=+0_B7ET_!?#qmtzivs73h3vnR(b;`Hul z{3iZ3^lfn92$tlJ`7N&JH#>i2-_XmWeo<lm$r<-N?Rj!^HID%GkD*Hf`t}{W9b!A% z5AvP`$U*-fQdWpHUsyupdkhEo#R~x;(Q8FliJ2EO6M5FD(z0UPXU-u%_e4gf$jO{G z{;QvB=A=5mLklmWeT%f>Q}lX;9GS;9lMvnp%z>63ME;NhDXpAQM8soe=YJ=qv4w&3 zH$37$++ufHx~yf+E<%9QtAG?xO^Qu*j7=u^fZ(gXdLTb_i$GXGMRZ~aS_S}5{9PLx zVa^!;;|HO*Lf-P|)&LSNvzYlaw>O+!x^hJ7HKE6B2z{C?nW)x7v%%<B#Z`3|qvIXQ zyz}9IDhKNWW(=_uN?z|r^!&-nf%2IilMXe~vaO!a^h3Z^)pdHxpjz_&2lGe+yG#i$ z9(YGMp3NS0Mn_G=wQz)|uQ}k?#5ig<y{JW0B;goL_SZXT`!=NecQ-1ZG+!M?p7mJ2 z`+P``q#flV2T>i1)%R?l_rKkQ&<H2O4UiMzyY+byh`xX5HE_Qi`9h-yEN$uz3Q1nt zVdAny?UDH|7ecIuRP@+rz<;bTD$B%rWx|cXM@M|@a`Qm9gc%UAaDxM?;PSG!9KGy6 z1izv<v=ojMu$&1MgF6JvEz3D#4SV4K$$E<U-MdPAB8QzEFJT!<DIz0b%@9OD{c*Hn z!|af!v79F7r2d1RYe1K*QP9xpED~(=J4R5Sp0lbhw)-%`*PN5W_pxga;ty!Wrb3O_ zrsm^^AP+Zzw8jT>a)^9@tL4Lv6KUmi+_12#`0<>_0vGSeGQL1O7e!#lSvzYmo<q{+ z!d{4dc&dUj6%!jxBr9c6LgDH+ks0Se1!Zm35tj^(5^^C=e$I*!Pv4I3o$Y`ql3-BZ zQw$fo2nin|tHr9h@ke_H&TTvoV7dFS`|H8Rlv5P()UKgQUoZ#|j6<popVmr8WX+&h z*5dNz6>gD<$XKCsLL?DG5o)=YwCz{TRqq?j;6x3Q$$<(FaEpC*;Jt^!DvU&fwhHZY zUf5QJu4lI{<zy&pafb8ynh|DyRh4xw8=MXnyhS?M@n;x+O)h<7@FQ+U^O+n9ul>2J z3q&HB9?5_uB#{MJ$bLbAWa>TohVoVYi&o^nG_RK*V`X_!H8(>fk##Mu-!8LiKGz|C zAb|T%(aB;v$bRNxid8z6Dt^6o=saCPVkU?NS$Od??8%kv!3QN{&XR(7>LXsy(fqos z9{Ft<*TCrBaWd7xH`o_$->j?HZSJ!6C$9|#GH{)bK_Pnp%DF#fh7r&A?tweJ(7a_J z^x41hbbm_g4=-nWmM!1%%weoJSHb2$n%efW8Jd}7m*1#iPM_fhhq+iXHKdWzsmbeu zpr`QSmG7{1T&9WvG#2SV*q`A)=C*^p&p$Bi7Hcm!>vls=EaDT=Q^_{bDq0_Afg*HY z8puy)<i-NfDbX)<7RP9CZhv1k8)u7VdPNnhevIx25(sT>^75S@9Z&tpJjam<Zfn>r zXUOR>gOPJhP=gbHnHCY<oM?N2H(Yah*YGV&OAd;;>uXdW5@{yK>0PiXNw%~^m!frX zb54`YB#<&Sb}19l+AV2<EN$0-DSc;;MLf|5XCDVF$_i+2bs}5rm6n{Hi1~O6-JAq! z2v)CRqIDnKuknh`?IR$*#WWfI?o&5OL-JB)skVR(-}oDXZtTShm1+#0I&a#*oj`IW zCxPFDo?CirF8LECAP(*4RMmih6djX1IYRiImDMkwOSfuF!})q)(M!+H18e4jZZ!>C zQUwrTI<>?$GOhU4`uY8uK;jG8j3!I8lIn&r9Xb@vg}KD)%ZNw|N<CE9c#ZX2R_7CM z7kf&-o|H-@{e4~4d;yWHx(6{f$#McyyzVL7T`A7WMgm`FEQz}8OLYi(&YQC!?3p)$ zxtNn2+E|udu1%=ZN!Mv-c1R;d@Gg%LL#h{`I)isw;Wv&|F0;)o+3Pb3&Q1SQCeZH# z2bQk=QBL!v`5NUq)TeQuX^j|^X$M(Ud+H}j2jA(~h|uW?-#NMjRyoNysVWk@*tXBa z%x4bmc;vjOjjEhtRaVY&``FesQ){27%S#|K4&9N&WumcuTw!1FHO;-I!l|C)67M&_ zj{AEOVVB1Te9$^^lPZrE3akyhSLIX`_j$t(xI*(Jwq}MuYe6`G80@9la<sQ7G+}e? zZpT!7V28LIyQx6?TZJBo(wO1xjy?46o%j2V*3VHXwc-`>>P+x@MlLBDH;r3pBd3LH z&PWhvG9>p;WW*{yWtwkNN=2mPp_LRsi9zCTUDA;xUqO#E>OW?0#l+C7=lxjz1;}Rw z$TL|0pZH_ow)^ylJ2f@;=#2+kqrI_2TpYzSRwLV#ST^_;#WVpExOs?dk_Ti;Kd#Df zT7e3Cr=1~JI{LpRcqJLHW2v*0#WX@%<qPJyZAke2aB!io4GYsStD&L>q==}1R<a%f zcxu7Y6g-<<`aL@#Dj)isSOUMi0l7~?P92xjDbAB8&eI2V|1p|3UT<<&Dgi+>7njfJ z-<sU#D?M8%sZP~9XT$)E{J!6YUc^}?2%Uys=z~$19tkZmb$?@g@tSFcO3$%?N>}K# zMz4GORP9v3c4XgpDV{aJ)NTWa&roNDt}VDAu-yiejy{YIZ<*Q`B8#5+Lk&5a0dO!X z$t*oy#cfTNz48B)QT(NqKW+ZSV=5j&(uB~0N|J^{Lou}aYeXvlusrwVZ|P3BFC1Ge z1-}VLQbNnd#L3lFlM~`jKB`Ax5XN71bR^U5=eQpi_Evx9(SMoM%D3kM<eza)EO^{_ z+1h2sOF?wD8H{qZExB>Kr=#bonyMbWV#?3dCR#i^8f5mj%$BJ3Oh^th;}|zBKOe5t zq9n0WL{X8NckUYw{>g$bm67pGR4>)GOjlD%&2gwNA$8oInAHd<TsfL1Mj4s55j33S zvmFo~c3CFTA+NhO(23~)POKoBG7;E$3wTTns8Le94cI;1aHXKkwRf{3bSl*Cjsgg1 zx%9~xIJxv*SgRGqfMv^%<lYfRDovN(<vnx$9SUc2&|%1#vUEEEH`_9JSDP4RG}1!o zL@(}R4}VRm)y^%|(^b^K>2kQufT(;#O@O{R9ThxK6q`^@&36l=mSnB@xxpF69j5F5 z;I;O(ZFrQ{PE3Q1Qbh8^oJheo11_eJp!LME(*j(cQ1zn^xTEHW49}hioB0^I8rnTX zMxLeuHO(=PRy3%y)9$nnMUL!btaoU&<Y;<Ck8w2mPDNihpEAtLqdT}|Bst4uVzq0e z{bZV-(|#q?Xtn~2c71LQSvy)BFgeNKI`?n?@(JcNwl<>Ulr^k!WF=m=TDdgj`gMwB zkD0Q0@O*mbZc+1Oe!dpwL<-52wOKc0e3*q*e?nNPJb*BYX%b$Q4VlookFQ1`Q5?-3 zDHXI@^Y}Ks0Kwt~jnWXOe`fVKQ|}q>4tdQD#S3&;Im*Dl0lFE>OdE-Wr?(|Q(kdv? z_`_m&gIL@3Zuz}9J6}nuq5<D~t5*wOG8%4@sdW}q%o|+1a5Ejl_VB!Qo`QE-zH!vi zyjd~Zro#Oixfv?4l9}#STHE0=(mWfy$}{RIyYh5Kyna$^g_4wOr*IJ=Q4*d-Wkg(R z;;U+)+yz8RNmb~nwaGS|)CrS!;0`ZB^J^)W%O1u0CZuw|#^PeMei)m&O**nqERHvC zfi`qh6H0OA&YWH!{aNM_gS7n}%v9tg)zD1cd9FNrU(PprJN)V7oMGJ$rWh+m)pasA zr`Z$FW;x%lC&pK1%!4whTp?ijW|h@?lWWPePJo<^3#Kz<yg_`8$M@TUWYU_3X4izM zv)!#|j7hbxD>L5@OKmZmdhIm=bE=4ZF^mjf9O4jc{3-<99L|?_>me$+#{$RAD>rGv zuwqNc;QPWY6OFyH46PvDmDN(ELb05GjXD1wTDDXiP1ZLHL}Rk=8SG13nWeYS-(#ha zd;l``oUM~-D~FI^_$r6&`eA|dINTQBCabky6Q!Y8oAhf$BWGjuTD5!kMxjqG-jv<K zSYyW1={Ceeah>&L^sq;()z*6WDeB(R4Ud{`UpIJs=nQw8&v)r-q3WmA!8I>phIDi^ z`(Sv`PGDSPX%?c;w^v~&CID|K2i3#UBmfkgqz*E7GaK+5%90I{zxOxfyLZhsngfAo z1AY;#S{Y}(|FC7w__0lcNyHlhT+QX5$|1eoI`;@7PFP+#{L^R7aIu*53GlRo^$5~0 zL}}9>kV;%L$KNF)mb=^`+@I?RH}Nwl_7+13yJd1}yrj0^RXQ6D;~M$AA={xt8%WGv z<L#Uo)`ZpAzuIG)gePn{U#w-6k(`6xIs);dQF4hZ&`UEqe6?wbAXt5t`h=AF$K}JP zhUXqK1(X8Brp_XW@|3|knX_A+VKSRC0Te1h)z*AxE6uarsPEi^GOVCp9sSd`oPtrv z0ogOxA-}lCl?b;Nhi0JB$N!rL@D|1**aRuco&e&PTaoFomXdcCEY!8!9Tvmuiw+0J z`PJV1AyOLgL~OavoEJAWTL%H3`vTw_5=-z7@4&TPs^$fPdKbeomCy4xmm!C96Le%p zKr<)v*QbqxNEQ*RD@@}fcc@}$yORA|!7nY!@8C)2#(NUcP)Zp|D6Z{*c>d}uw|5@| z+dVxEYG{cEvq`mm@pSFT{1V^8viv_#LL{{2gZ&e;)G7TKuEhiUCFHQVugAC9GOw~_ z>!&e!^u?^%brvkH9%<J&Lq1TeZObk8G1+kXW7xt)q~ELxjRd$@kdUG~!uE+-a@jUi z%bsSK{95^OK@hCVGT#&+3`N+zF`J!pn9X{WrP$9=nMf#8HBcILX5@v>7PW-3nZ8Yd z567rh+U1ovg8t$o;qbg18hq9C6`tershdJaWA~B;zH&}<MQLSZ!A;wB6#ol|BHX_F zr$cX+fEAk+I8(3j=g@{<1G9<9lNm>BRVNeEeV%01uyIPg|Dz5-Gr%0^%5#y6#(sKW z&=(TOQY6NYw~F;RQNk}e!$ZmRhGUe6<D4e5akjuhV!z$l$MW!gi<M?u;jRhJZV@q2 zGi@1DA@-1xjv=x++T{dRm1njTxn~r!D-~5DhWy2MQyRzC!n21^8r-udE>=Y=-RK(A zuc_@0_bMv6s$l>J$Nn9=C<WHjlYYkrq$m~D@gxWdHR~k7x3r{cr-@4do|fxN#YIhK z#iJ`RW(Bgtz>)LU{nE8_%gvXT&72NnB9<V|vs{ABt_3A`HG)j81v|0!)zh6i$AW9$ zw}Pc)EJX=G@@r`5O<LNm##tqt-$e-Q-wq7~>&flC@(Mup<y?=`noo9!yNgY|O8wMq z>@Mz1u@Z=Xn}UE2nrRL~N1sUdSq<#ae%yTuAosMIB^j$)fe#;aHQ?LBpy|&ZTAG?) z1sU0C%}w3UrP$F*4J<@yGv(NaI`s6elT+ePYqQQ<8!LLKY9~qi_Wi?(t}dBNGqtA! zPL@Wrxq)1i43i2^yYnf^+GNw}qb+K^gYDT!ra?6kA$_*|{X-yMacE9zPoLgiYVND1 zmCOPIDthzeE){_UnvONqvWlkuyQ*JDB2tE7D9E>JuEyJqtkX?1xBEVEOzg=%Q>E0m z4aQ~Rra!pxFahMzs#ALRs6Tg4H3}F6m9x^{0P5oo=owh_L{`WVqDRch4fNsp^ZJ8y zeU>8k?WksQ?(rhDVnBHeWvy)v`Uv3_m?nX<_oit|<j7W4-@SxG=GUo4@h&iyh&+4# z_J6ieVPHPg?~B<`3mA~Ban)$n0=irLIX?(??zF$GSt4u)YNsA8QGZx!Z8cWZiE;b` zfSceR*Ro7X7w*Ihqdt}+yLl?6he+bD3Xq&YM}SxC`YImJF*3t0^2ELZ{jK~SD!*t> z=#Ejn&-h;W_q?H~dG})cw1+n2bcf>$h=99!K@!DA&LR`({lo8*)}}i39vx)UmvRhn z7TfFD-l1e<auDuVxMrpkb5o8|*ki1PK%AxaTuIQ}8fw*!4jhiX9lb}|FCsm=d2ZCr zkojX}F!l+uW^}PV?zbTd9Q&gr{P^ITIP%uJgo+z3?>Ytn2^>$m@%KXXd;8WxF_b38 z6UBLzju?w+eKGqnxj>9KJXE3|0<rV9g-`p_9cGutnbT7O(9ogfH?f=dff|9nz=%d0 z--O4H_0+MN=nLJXS454&QjirD=QD?MSAT{B<8eO*#Dr$R?j!TuYN@~G3c`GktfEI$ zg1ACcOYJ9wZ8aOn#Wxl;UitzK?Cje~y7-Bnfm0?gHChF?97kq(MulgH!$H)aUk&{# zr*{yC(_O5qbZa8tR%7vKMX>g50jI$)q!uD}d3x|VA`Fwft6S)16vR*3B651xr|)`R z#(I<k2<a#@@0k|?c9iSmZ6(ztgzbzoOXV{BQORo%HRlJ4^S}oL1ebQc&`<5OH+Hjl zeP>1XR_e8=du>LRYnS|+gQ*f%4isKuvBCsxmV_}u6{Gj&4J4({_38^+AevyS>G|}G zF$0YWH)~0mdT4wdzOLAH)W%tiZU0Ke*!jT0A%nMxAuaeQEZ-`pzVLK9tO-SA`EPn6 zDVY*(e&C;iupe*@5KH=ZuDv|#10ii*7)G%P%rMelAJm15mx)A{tyeOs_Yt8qerUx( zfF2R^qyDrbNno1SRJK_T0yAd}9^cb%2&p;^lvh~kW#D+G`$P27Ay+Ew&HY6%1WC)8 zKX$(cVczWiXy}s|TVMR@@U76qLqT4N_rzi(X-Ei%my5+JQx)7yLRde~2?C!=C@LfV zpymDNYDe6Ti=4r(N(!E+MqPR58XQ{(GdYcn&HDM?*@0O=WGeD97hvVZ))5Ihylp)w zL>Ktrc~CFWoSq^C?WmP(*F(1|5eNHyoV1Ec7jExaV(y@~D%D&)g*jOlf9bPway`*= zEphw_<@TFFwjfr2>T&fDqd~D{o0Xt-jxu;h?HgRNqL|M&JCgA*^R@s6_%03b`KGH* zr&=7)$lI>B$CZSI3vfn;<Gnj#z15}!U)YhhaB7qJ5~{PuZg3e!vX?==Qr>lRQ{}mg z4G*tamgjo&%&189Gn^-lbWflJx+P(G08xjB`tFSt*}An4hhrg%Mn5_Ao>_Khx<YEq zs76Sx%oTHop;d_{+Q9aYA~k&fcPme69rQJ$;yhbOJ2=`9bD-@np%c}Gn94dzd0u6g zhSpY@cPAKFI+Q?Wd0x6tLui+V+gFZWz^<o*!WtCVa1CAt-}gH~Jk)aMCN$W9q=7*- zZQK7jX}*A+16O?&SH}&?{8dDJQi5qvT%P{h?NftJ$yWEjSqQb2Myv3=d5B`4OaF2) z5v^FGK7CHQufWz=>PptFwP$isAzZhAZUNQi-jOr-wZHUJ^FiYGCH>g1wcfu5*m?>F zHY!X|mTHbx6d2M%N2&zL)rmU$@~9`>`$obJ0~9q&N%>onzl}Qi%=5LjK8iTV;Vt#R zdU|@4($W2UxxakAbsftW7mpET!Y!*`9u^Vxs2nH>Y6CXTJYT)Zh&+bN`{w?<&TOdW zQ=gyCDs>2GC1LOpd*DLuu|V#!sPD0~4=?1a5s`$6QJC^j45)xFF}(@#{%%a31?^}+ zedoFU()klroiD#hG&gTs{+F5fq&x0UuuiN*W<1lk$o(8tQWo~1SZ8eY4_}2`+D)qK z=bs2^J37GB(U!8<gP^a#d(Nz<xospVO+g*#I6%tmx90se#f))aVv|^4$c-?dxqy4; z18s8#DK4(VO)c;}zPN!(!rql9BbN7KfU9EOWrI)D*y_{?Mo<DW=Q7wJK;-NL6mhHh z31IH|Hj$giTCx4wzPigu*61Q=dC5W;v%<C7vkDmHPkHYg(7ctM8RZF1_VkkzH!xdx zMv&P*X_w~52nOKUC|{H5yd31y!i4}2+%?Sz1un%0=VwnOP!<{P+trvWxy08@knI!8 z@=^;T|GxHhBxek#Q#+~=i2Aq|JEz``?};xIH}x9lx$sMx4tNN~ciDZJ%45U2W}0|x zXa(jZ?lImExL*8V|IvP2jeB3czxffMxVmaI;hw0gwA^r7h!T6Zlu;k$ApAK_|C$Iz zY>B$il+%2#pKpU|zRjA(M0N4C<t1WT;AHE?VDacLiHRV-n;6@g(F<{^LgoZoH)Atr zWytv3WXTHdD`)U`EJ+sgkq{5>*Ww*XeHGx{-&D|GRZn{7&7&G-{25C!muVZMu%edc zM0#>&gBRw4xP?2?`h3Y>@zfb=qTOk!^xVYjSE&3V+2SZ4LffZW92MnIF|(e>TI@z? z&tY0iNop|4U6O5Y;VkK)SYm%8*FJ8m8=b+wKNVO!rIVmCjmaJM)PYJIFMBa0VFY|H zZS(9oV^Lk1$Xg%Z<(^Rof<6!Uo^$BX;DEvlWmMwXGK)cVc)u>0?*)@fyg$}mf<@*8 z9^Z9f62P^G*c;mWa(<N=t+KD_yTBLiYy4cE_Zjz@Fysce({mDm#F^87XLe-CLaC30 zoS3u-!{?G6!D$WvAAeLVbNp+)$Okl5j}zuPQo3kJP!OYFcVo<0kQJgVOkKN5p9L!a z-m-;a+0zSesznG2<{(s-FE5|04rxY5h?}}zM-Gv7#;Am*b##hDloTrDaXzEE_dzY@ z_9ThX`r`Dj_|CQVf@W%rVA_k2_f|Y$Z{<p`UVNZ)_IECQgaVkkN7#0ZAR*8UwqqMF zC;9hxmbb<qDF1whA4LU^I2RM@qi$6byKhH{u^DdsNNcCmhm|{)o<B^v$CcgiRe|Ds zT-6IN=1T5qiUMh(OCEYdOxp1T3dNx{SExmiW+8c5adhw6DmcuiQDK#JSob}N1>-bI zwJ#5_z42*7*%f1LYDb&sM6G~NX3~AJ>JcI`ks<#C=*mp}sFAMb;@KaciaO8E@|Y;6 zxyx_&lqFOv#X>)mHG|C&JEQS{j__$}w788a+1iDW_od3JN;%+R3rht|Gp*t?hXBcw zc@lmy!Y%R&E9)BFxD^d7o*~b41pKj;rmG)}<P*FjS>A;L(Iu;|>Nl|J<48jP_#62< z9AqBcJQm(&YMP~^pI>MIEv(}Esbi8~XaX&aV!+lYI37^{Ee)aoat1}MHSG%;hqdWi zi##cA547v8QjmAdW%ccIVFEla7G=V!)tBtvU#7voC0(Z0Qgm--PE^(D3FB$G!%Xb8 zgDW)qpd^``zL|CTJ&*!}0$U+`3{2?}*iiJiOwNCeol7H}{*sLIM}51OGAZ-}gQ0(c zJJ$_wiGiN9xP+Q^1N*p^<40)aS*mt`m2DskPk}4_Z9DD=u3*>dV-Gd1V)z>Y&M`6D zVUu1cFp@&IFgJd(rn5UC5zj+6TZf$~)Gm?(MI8FhRbRdbX(t(gw)!?~X}WWTd5vRA ztOCaYyG(&80QszDF!;MeG5kC&Wz)DFbB*AmXyzAdbH?>Xs(Jg-@J%7AsZ#V44&ImD zzj5I{e$oC4_6T6L8b9s9z-ZIe;D6368vBBg7P34*buXtc*OVQ4v<6Q@ef>a?l>q;S z3I+!D9ZXzKQlgu+q3+~+(HHQ~N`vm%cQbI{Kg}QLSa9`Uz`%Y(fPq1MG8-D$(z;q% zCd7Byq|sycO^oR1*_k8c`~Rv`Hlyk^%qK!b5}k<?>O{5Z{b?y*-QjIUxLjasTj3qG z@$!1RwPesj*ZE~3JpT3%_DJY0JHc2fE_uhlSXp>h6Duko(rlx*pOn*{w^qi&pLm=x z7&KB`VA`z%g%gF%<*Ss_XF=`3niyWG?oMxCM*~qQ53REDevaZ?uF8Tb($AlhAHNyG zB+`HT$2iY9N_DAc7{Fs70B@)6+Y>}vvMK)JT|@lZ+|bW*;boQcp-d*8*k;!3oa^ka zs@ygj8NS0K&OmH~YQ)e3J-oqTj0;c}fe4V%FJLU0TOB=XhN=~i4m_OUJGQ)y6XJL7 z(^sfr<#iG9OJ;`9)dyL>J}4m%3$vGp<*pVC6`wQy5L+n8IdPdGIBr`0xQYz=wE=r( zMUni6LcF;u$j`Bi?gNx{7gtr?_sBT11EZrKwBL|jgP6C?jACGY+G+O&GS$NqF2KZL zSU=tM`}q#ttYdOuMi{u^uCLjYFVH#i!;zaBQ4l$GR(}!<+jo>R0wU&qm_-mksUD6R zt!NyL>(ZvXqLX!;S`WfFL*Zz7dtn_H$>sb18NH$S`upP7|61Jt?t9f2r2klaWa}U9 zbsiWPbl_JolBCX)?}PxYgQ=daQEeNPC+b2S)F_N9Lf^f5W4HqO+ELXGiL{$r*Ix;J z94K4lLZpsX66aIYq(x~DEIC08;@_xpwT^;XA!LGA(-1juLXLS52JUL#g5f`R&et7` zCq}EOy$A^SaL%7Lm_8iNn~a9XS8tv(#!@rX5?|gxZP&}xfH$z<e(rLis-@$7RMAnx z*?v1O@o_Vq*6|WMw?zlMz2H>5ZtXRcinQNf<|@A|XxHRD7Ton;tiP_|*nFG=9oJLn zW2r1J;g20lNuOzG_}ce(h~LNBy~dOS?#r$n47ZA97aLvOkNQ{%7_7HJvgd1fD=ror zZ3an&!1PhmIS}RIel4A=W?e#i20D9RNz!rOn?NFtU%7{%Y`@*N(Rtq3SToz*tv_D8 zb5rtanBs02o5>)!cy~OA%*ZtR*wzvbTb-9r++Guw&|0fgNQ%s8UbpghSaDP~vK!nv z3+J-GAX9r=8K<|um^VVO*gHA`R<OzfG8x0%n}pL=ftGkP1as=2iXH_waWXEA1C2}% z(zCImTsyQ;5;q6fE(hy<+0McweOgLM7OTXwlPo{>U__B~=Xr!%R=HU6q7kqj_SZME zy%yllxSWrRdW4i7@)fgBu0hWIZ{1S|D`CX=z*b147U=YTc48SDVHX?OqdE<7R8!z} zrL6`GAnNyPvT_<z;Pd|S7h$h{&ZyWf>Pim@=B!QEv-u{YU&LPHPm<UX{!VU=cFWJ0 z;46l%p}$cF^-^<<BbD|V3O58DE05p8zpRChM{O8+$}1KIgQkgv@nbAJv71k>&iR0U zH%xf>iDsYJqS{I?w<t1sinUC<ClRQ6&r7s;0O#6gtRBHmbAXL76+9`+wR6K6DC7L% zRw%;hayb8<;@pp6e{nB}mBtl8$4~P6>ZyFAdErssy7#7Lhd6@(xO##Lr#q-OAQszt zz|k2RGa8oRCftOIsMudWP)TAzz|<MBI;bn<+J3-7I9Tto6Z;6xGWoV9WNIH#5mwI& zkf&0Lhy+<{Wj1ZNGP5=07VTWF^|KkqXP%WGpR=}8?v<-YVs0R3gx_W89HKMe$NiN@ z{7t>H(nJGs*^PpLxiMq~6=1yvvf8NAD5Oo@@!n}^YJ$0VmV5y@PG9o$KlBDq`#o6D z(_b*Wj|ku^4?Z|tpnf<9_2jSf^aBpS?v`^uLe@a$@%mQr{RGd#QObD^Xc_CQ?Wq`V zj0L}NNHpT;C(g{<Rbh*h*ZvWF29fZ<YCT0~R_nrHJPzS+0_UVFf6PW1z6X>e!3K|T zayNlq5+IaK@TZL53S9x~ZV)cgk0&JN-M-mCZd2|!4;?DuWu%>@)p{AH+kyo^tKXri ztfhVNZfSg?7}0T`+P(0Avz{??!s=-hb}iF2C*jIK&Ha2Sc#5>4?3dHUa@oiXUh|rj z<CxV<wpSAE^x@1z9b@xe{j1smEuOZeQET+d^%92neXl`TIH+j4K^==vDc@#8@AX%M z+XC%GEra%GTW${%-RFsVOui&w*LK<UHD&y)WlisusG*77td_+)#p3(zmd*R=R=HJ# zPRwLg*_8z<J`&5CoU7vZjz3!rgsmh26?{a{??K=GTxy{H-2~*od^fT$RTt*_FC2R0 zUF*xPpdw(;>-$IKANO-(AJLG%T@lE+3gW*1<9<zx;$7)?rFno?@;sFWc4V&8pDu}` zLV7Nk-%rK#v7W->@t+`>pQU#yGD(iLlcw45mCxJ+RF6v|Ei76oA&Olau9U5DSTH|L zo-J`u-@nltyP*bs7T(ZTlmwpVF@Vv#O&VGj;bPxouDEK@dJ-{QsO!#S`l|Iy!2P=u z5^;;dgSAWu0P;NkvO@sq)9!>mOCG@U`8p^Z3|uh1r+7qFNBeruKB}Di@jsdAF}a58 zy>c}OVGxRhf1uPz)K<6P<Cleh?ACi~rmtsOm@H<mwk*4NT{XdLaq<+?QmSLQWv`-7 zxVSdYXbDF}!$p06GtdH-=MS-l+jasDyl*uRK)gu?fvGrXV?BWImOm-R$}Xl0pZ;PR znGUoNe)uhnP(je<MM?HxrR**!#w~krR(3ZxhBSNdf!IYjFpvnAKjtE3tXp`a+sM{7 zQja-|nJG<eOp(x##bQcblPUj&dcgD^jaZviF8Nt@*OH=|8r2NxN!`$c;<}#xZfcO6 zSb#r<PvqX8C<+ihkAg$UPkw5hkc^zuL{lf4o`%u;^D--c<S)zNKP(&lKjP#lO85JJ zwK2IS4l02^|D)sD-+Smqa1h1+qZ#A`jT}bQy#J9jW<t;Zd*%Q|wc+#sea7^?Zyo?8 zskDDC`#TDZ@o&ozznc?P5H#<B3J!;TOrz3CAh%73_@Z8kt4UGaNbSEudUnX}4h<g4 z?%oaJArV*8qAp>Ca)THVHTeJP{yd(Vhl7e1&iXAH#--j64_Wg@jqAQxiO@shNn1^U ziiH|l8}`a0kLLTa4jZDMG)SnXjs}FTVwm9<OJ?A@Q%&yvJg_e{rqSrhh<McS2Mymg zBzIW#{g9#{ddFCnG`$Z-;)B9>iu4o^G%=x=W<sTe7fP2kRHUfpL#6y7MC(uFOmW>Z zC_~H<BT-CS*PbB3$tgQ&J##uce8iu^=;yFpZ%t!B_VN9Th;mJI$3D96_j^Dk;t|Po zIV{FTs33sop&NTvOz`O<#wUAFE2b}dkggZokps2Zr@x@~B(k73Y@X^kGF`dsVp2Oe z_Es~I`<Lu61AlQF@^heG#b2M7l0jt-_Mjjl34xeT!)sDpTkc+oT2U~n(Jm(tn*LSo zODbgj+fT>xSGa`2zm;l<z#Q-l^xQ$)lcg|v<uzXv+DxK}n1JqDRU-)f<zK9b(9^64 zZ^00rDv}wB3$~%KYCeV8&|S9W&6D@Oh3wmnWXGBYQHY6@+>|{SE}QoYwF%#s_eTiR zC=(LK{=8xGQ+Ln0r!&r?oO|Qc&|h?S^<Fcdg1#hlWjWKe<!B!yAQg~DJqOk*OLR?z z3604GVhH$;G6oxEciCdvvIjAUM)+g=vIqSU)8kGyJ=3dPnBXS%Dch)masVaqBdscQ zxS;ijp>?#9-vgpi(W>#yVMf>Sa61jUPRL0=YWQPrvIlJx(w{Zs(tY{+>wZ%gIz}o7 z`tmAR7*RZO$x;ja0RhEzjHs^}r3u+t6SL}AFz-HD|F_tc^M8w9^8Z&<i>#Yycu&XX zQTzBl#%!|74EPj$Y-+qdeF!M%P;~z-ffvgBTf9npZBb>(4Rg>D8r^8R`aPEpE^PRM zID;t@w(U+J^M;?&L{Moyn3HA{9LU{<xsAr%BNm8B(nHe#+j+^20ffANt|Aujkx2*Z zSl_nhDzS*5y_OVa0_w&k{~9Hmz)E~n=Q^mzrGI3L5~%+E5OJNPyf)6GQG8{XreUN+ zJpwf&)(#)a#vP<jn$0w$Im<A~6~t`!%p}eoWn*Js^J>0a#F#);m9NE@05>VJhIOr1 zhqSary<Yvijj*PoR^tj%*3vuYaE#1L9iz<W=h@H_ay#ersg;^6=d^jy66!nWim8>S zEa%n*&=Lka=dO&uK&h2eE<${kZ>SN+A5p+Jw6dlT0@#Ly&%OVT`w6Q&WLVKiEEpV? znIvt?nN`(EZp+2{vFh|nfWf?6^`|;c2<(n<4<nAb)#9Bj+#d!v>`)F_$@IVa4iwEI z*^6I8uMn~pkwdHeWZ?uD)S>#gMrFqZ2<<L2$`lCB_XPPLgm%#iFu7sV_)woyuT;Yb zcDYH>skz!+X`5$<gK0fJ_%`LJd$il0_%@?+P)b@nT`7XkBL<=90N+FFE)=57y<%>$ zG2&NjUUou_M4v-i;o5Fu<g<G6a$hFIUQu)=rnRAD<pM^b8)gM+;`-e;ODl_!VC8&d zQl1>ctwxs`#5z5}5`~cTOu^moqIU)KocVB5DJr>A3<%0~VvlIJ{@TQV7B&W<lbp9| zr&YZ0VyXnnEf!k!&s$Q)ehHRgPI02=YwgB5I0;=?(gtA7xbMsF&2e*VsAGkcExacN zd^ZjeQOw|MHh5WR{l_g1hs8>kCPFA&OPDa&*0^VNHp%-ZC8ZEzXK4_Z)BVT^FDGuY ze^ihLYpOtz=p~s5xqJ@(Sp+>3x8LFK*as()PFtkqQ2$K$6>xjRK-UM#g}UR^zu=ft zsE9uQYvpDiKqCEw6R_z?PXrQ4k?%PkA>K$rQqg(g+ta<4U83%!CfgeCvB}S8VWFW= z^<uZ^P@G>JWB_DK$D{vsP$;`}&I5*q5D?U$n)?UUzVys?&j$R4`{x+@E~L2Q#jeqD zU*Ea38F<lrz-eLOVW#$+eXAk7jA`rbb+jr0TbWLpn?7MtTVJQst=_y$b3wO=tu-z& zsrx5tX=b`JVs4vjK4K4j)hi1Ry0QTA^wqQ~*pCt@a$3<d7B-u4>QJ_NkL#6Tt(NsM zTy5FPYxa{(#d*`HOkkGylpB(;>U{SEOYKirHAl(k{HxlP0GR3&p3jsHwQd12BhP=0 zAs|lp!fT_Y0{6QAh+&tF$4S(E7jMHfOA-Ck^~@*CNs=(j@zXdi74}Ea9`es+J_G)S z@VqSDx3m~|DN?EVv&1nBypGDOAWejC?3%YaWXiIY?|rI4`PKa%%I_LY*c-*o;+G)& zHMX}-Am<A#_B<b!6%t4n0```YzTL$yvP7$u`i=Xy$)_|Z1H5tJ6DK4Amaphxu|yFn z9jPgb6smwp9`Rx&XECRQro=DRW{m-scES-vFo+Yae$LoCWlDJEmiJcf4H$_wj8_I? zN($8r`e`}KO8CVG_(*CN@ZP%6nOu?m@mOqNekHs<Il_mbg}IEYbKYw&9#5>}dsf9~ zZz5tMjfp!0VbS}VMVt~Fy;m71Mh0WC=QYAz;KF?T#`|Ba6C5PcN$Y*fBx~h++~cMq zjcVOkuA3ptH-tv!cB#+{10745oBVz6Ac^q?^kun|jk}V2uJb6QnW))N4V{(ERVx6d zb>#Z?^24`Me5exmlbSz_oLsPFvz70|rn%wHR&!B4%Iw-d-tzQu(mcaz;QG*+OFQV- zuPg(ts9##P>trx)r<q1MY-w+XAz<b~SSR1=F-LiG4y;-)X6-Mbx?7Db6Wyp$OZgb) zLyAksU0cJ&XsMGhM2Kp^tECu<_1b`bQ*wsoYI`r?aOr_9v<xQl8+$OA`I2x)M&dIk zZBQ%9itU-S<k-BHe9tp+Zbo-t7k1S><?=tj!xIKOhSbOePc)c$>TpN%W5wX{c6V($ zsH+{QJsOH%ZEC82y4+K$qz!8B<C}%it3-*4H9!HVpUTir%g?_%h^G3-k^oYggZwZs z^A}i0j;v7F(X;KrdE*Jc{^L!NG2^-lU`4yAqVuI)l)5@2-Jg6PjPog@b0vr7mZ+KV zISVz>zP@)F!kl3db7`W-`^Dsl^xhj2lK)|(pI$0`xw(pofF+$%c>4@#eeaNoGkTgk z5Ms%)^ZIB1{#OVP7}GBw1e{|3_}Kkz4*Ws?^wnmjdB*t<_~um1(7dTFwf{Ih3o(rh zsnl1W)FR?&W>zZLPmk)*&vQ_dK~s?VBgO()qRY!xdJl;nRApk9iS|92Ppjj;hgg<= zcEh)7+~f~U_Pb7C4<9e@f8OLX$%9=?PZiCJqbveu{;L@gvDV-+UxsLQp7F=&e-#Ul zQO=9)H~Cr2gd!H_@Lwhc;bsb_$CadQR~!(9fU=AAf0Xs^R;5-@)AG1ieslfhCcyWC za_B^{KM4D5Asden6X)?cd6Yq9B5mNr@a?3Hm0FK(>Kj+GVTIrM<}e@L`U5%4$)kQb z%$QQl^SUa=w$MzA8%&E6Op6Ol$uf{@0ud~W0a%*DaPaqV=&q1ZZjeyXe_m7#e!M4R zY4*dx-@w7&!NFg_aT?M)|Eq!onLutBK#I6Qinv3HxI%^v>TX*a{BZtEz8T$QpV(BL z*u<RBWx#U8#<sZRFt+7jVh5&}*t?k6*O^rLA$L?ho7IeMh5mKz$}=9)68cs38BP}8 zs<T6cMld|WF*U|wX>MU@o@0f3KyDEGo!9nbzalX;hGJ=+U}^4PX`W$e?$y}}e`*(v zr8)MAYSRd_g4U=_hHj!p_vwp1G39z?qON5{H|laHb^3gDL~2vOqOzkt<N~9g2De|i ziEWLEZ5&4ZXa9Kn;FKBsJb3&(xcypq{93sEZg4Cvp^a_vm>Rp7R6iwMU}=g#uBk<^ zJTfyi^3G*>nddxjDQfara$b9|Fy=UsdsmDKL1|9J@uhW(eWF5iVRMrdJ;T15QlTPP zJ;J^kQ<<0a5dtovsbe|w(cq<j;?>34a@;;5YXjvy{n&FNxV7jo>a0jv`RO+(CE_5Q zlek&Q#9bsT$a|5HhBV14J@V=#y4B0M_47NI#YzR^&l46OIVuFt<5=B6pFay7-1VA^ zuD|tB0x~HjBm|HCm>TDhGv5fv+x-LM`=zBy4*&i=J_jK9ewr&=P5o_bV7Ke8J0NO= z7(Gl~VjdqQUq;mATJR_ixde@k3KT1{8)m>q6UEN)R5xg1NRSEM14<CShxeeq=1|ij z@SwS#q<Oh(5B3bwzCHQ+e&fJ`BgSP)vc$q1i@eerLlS0AlECIn!ZRd14api?PJ^2d z__~z;W&q-=Yrg@-$DPTnj0+Vg3a94I1p`<!1&Rf|Qu?9d)1#7SSTn}X$fG5{$uvI0 zkhi}KO~sN^OiwDzaUR&ADJk!13|3-Bp>!hxWG1|*OiW%N2CKaTVtw(^!SP$2a5Ef> zHFW(@sm}^ee{IH7?fx-0Zf8k$a>~(4cyZtzu!es<grBfhc)?ik8I?Gya?nnY%8riS z;`P_YF`V&+l}ViX>l+sgV9wC8!rUXr(TIJ@2xB;tHVQo>FWAjImE16t^jM@QA|`8K z<(gr`oY7{5fwa!7NXe=G6e8DO`<dJN;!LDqiSr-rG8oQejO^i2;@Wt*W{AU!fyBEt zEPeZ(r4)Q)Nhf@i*Jb4jz`p?@MBX^Ff<E(G*aPV`MO7AUiHMGYBow9sXlF3K)%zFq zj0)DwpZR)1p7qc4#z14Qx4n_@gEz&@Mf%;Uu<C2ikSkpMHz50`&qOAI&Y<wEknruF ze**W<V~?$OxU$A%&tHi-NBgIQfk&Y~?(s##&(%u@SW`&o$90D>TA;PuAk3*L#hhJi zl2FzhGmwGcz+#SLqVJg`4;7s(6QWll5}t{LJYg$O;l8@uJ;o7lPqK7gJx!H--4Fa^ zV>}U%@n<eC9OYCSS<c$`DBiwg>8g5~87q`=G|8BbO{1UBB*dH<%gh+sfRZAEeYM9e zw6J5~-G}XziaC~;@dXfuM5>UsPn&P;fZcU#*YB7ZaW;%+b7(3tJ}O9HAb1yU>TjNA zx<~XG^d01eAK>CFOB9(o6X(1k^*i#;<t`#ys_WZ1=O8fUz?ObYjLlTokf57bEeB!B z2$;{{9tGY~u(G#^ATSmHVWYYEi?yys&O~uYTKdG*>Z>CEoOXw2Eo<(-^gE<*)V^LK zuuS}v8y<Dw+iB|Nca-PrLGR06(r_j)Xihzj(b5R(&_o!LcBCV2?hl^di82pCI(bhG zD8$=o${a?)TNh{#qfBIPIy5F5HWFl8lx(q8?Z}OfK3E+Ue4x(((mYY8Zs;hC_Cx6L zTTIMHSXVn;`s+cnHu@Yt`i^u?vL5LCedpMMs`m4%$<QC>G028+`mWFZ_*lu8`Vxp= zBS~)mt6bs_G}tYEzwUFA^O98z^4cdD@oBi<6)7*6t$Vh8;Yof|TBLfJ{IN#WAov(x ztdym@=P;jHTzUl#9B9v!sn6r+fU5_TiSHYfoU;5=>W+H&MuvmNXB#G*vPh9_ez!mG zk+1F|Gq<UVpSMgRY_Rsy;93Z=VH^J$s*bO4B`jcNYnvmX56iTdYp^KviO1i=O7bd% z&<1=$QB$`gg#N41&*9Z-sjSH>pNr#)zTf~u0H@n5S&-fUkY5w-?d_gbwhr@YvUTq^ zYrPf+X{ow4j^K$(xc&+95~2%>@S`ZY%mtGbIhW-*_pupUU>vHut@^YVpV<e>w&XZW z`90zyok^a3g&5hdeUmqf>$_FZyJdG)ZIww(68Y2BeB=?s&Z2qGkjhwNJItQ&pTMMf z%Oi?Cfn^?$s0tmy$5~CRtx8cd{cJz?2xCuRZMvQ2OQ@+T&dGar*8I;jTmGa?Bpp%8 zlPs-!?sUzn&hVBW-kPPW^?#W9%BVP+CR_;ai#sgt!9B?0!6l0(xCIHpoehgaaCZ+b zi@SS}kOY?mhv4pc@8mo8o^${8beB9;T{F|w)6;$3Ek9{%sD<t9VjSDD@C6o_&;^W# zNPkHVIngk8{Wyjm*T~Mu^V9OzU=~=syXjWWnV_j@x7|Aa{Uq@W*RzC;#7@>#hQK|e zevmcnT!v;PFn&|sx>MBaatX^_GOMt7w>>0p$ee~8@uzhT<I*+7nbU`dhd)-J&|LWA zdZCl*5narwuk+Uh;wc`g^uN#Ie?$w--~Fy0T$GT;?R}~8mVKW0Xtb8h?%$yPl^u^| z4}Q!c7l|EaS5CG444EwqD_FaJ+I4xuiBO97W%f^FJ-<z}=($XBhQ`JM^Evl<LZ?rp znxnt$lO%t7Qsx9Ia1a9-kDd6j3Ry@|;=|L?XJxzOuY1qpOkC`SucswAQ-xhoXP8c! zemry?o`lD=dNf_xAln<-iMhBsfQUM~ctusU#*EOxT^6}fh7N|XGV$~MH<q_FAIA_N znF6y#GJct~DVqw5+|5a=MFp-Y>X2vsS>j*1#=bG4zwE^@(GHo*XtPzRf4L%%X6(P7 zugUm(k{N5)YkpjIbt>{D|BpIR_>ZjxV6B)P&ug1lXZiU%d~4wG@o)6VKTTyLXt|W9 z(=kIN`Ss@}yAN#ic)V*D4);vCjI-0TynOjQ@8$F^w(B`e)I8qC$gMQoGxSo^{BVG3 z+tbf^c*gQVk%N}!Izzi2-+ex+dKCTVh8;ud9YSMMHI0~s-H`s{{A+IXY0ba~&zPT= zBK!fj*8i}8>~eD^va0oN%i*w1s4o_y?K=D2CNC`yj0dN{qI<@-jL*eUe@kN|n)5l+ z(8=Q6gO->*4PY$TE_Z?qQOWu2=eha&td~pQ4*17mMz&v~&!`OX*SK3Q9)9<<=H}5q zW|+8Spj}Ut)0}c|tY6_mM=a`i-LYRQlVvlhidPkPsi~D~g^1!if!arG*~IF3@m~g_ z1Bp~~j+YW=jbO6<@nIW#2j8i{EF9jP|6|%(&}oqS`MwNi%K(vPZA2j3zdUMWhoGYt zrRo(b4^uSISS>bUMPWDe7e98Iw~?Pd(2}>R^4hw6%jIq7MMhFBmE)=DV12Cj?Vxhd zi)NPIvSWJlioQt)Hx%BS{bNKo*Ax3=Y7~qtO3i<qN+zELwZQy%v)YEcq15D@5qACZ zzWwD}L7Prb5ca(0wDEL6ZoTp^nPL9{KE0TQ=<rEz34s20lY3_4-cKv`wwaOB)1;Ud zYq|y?YCq2VPA*jqb)Xqp;WX9*_T)BB+gUkMH;k~(AM}mf(oVl>0qhwuBL4_8n{m*$ zlg6*HXT$*_*hKtLZluE}#uT*X@LATwFAeh(yg95<zocQgoBu@=nj`;<kQ*D*R@r_x z@x!7w^M=PC+et8MgZCY~_|#AVnUh9b_c=Ag5p?5>%DfGTnASVYRCM4L6LDQ0u9zMv zGZ?p9+f+UHexf5rfPMuWOiTc{Bk{*e3oA#fJX33{$M{1%jR1iFmi)N16BWqda-VN{ z16^k*LSwp+*a|p$*kwevzjC+wt6}`qQ9S)`gSX%E)s+^U##gNh%xm_lPZ8hrEA3VW z4vm{<K8ckb&v-E~30HgOh)!FYG%NDHLnNMQX8dU=a(c%~Qq8H^344_)B0;(48U9<i zsPVibp!+lpNLp%ks<{k7wqQrMm(qHT={I9;Qa}<ZoC7S@sSo?3jzZvgWeOVjl)Q5w z!ERV3e#}X>l&5?xR!?5ptVUtzTBUWi-%em}SS5Dq(BfC4*L5Z*D{e}|EJ8c=KbYH1 zj=Jfg+q%y*Z(wKmMSRR7w(Sq7XpU%m@4hMxIOX7<$0~c~D~b*^r~}VAp1o>l#rlZ8 z#y~DXk^kA?(NOL3WK)6pu=sJu8)H$J<oed~DC?mzT~xC2ci86ZCb@pU_#d@erLiZ$ zak`Fjcz?eq<>c6uBBMD{mett)u%N6pJ7Iq%IWm&>^%V>x+iyH!Bw8YI=)_`Ybx6G} zDK#LwIu+mt;K3?e%TUcWeBE@;X>jTf>P^~7LBXE4)a;C!YCwCwdf1_vZ-jVk3A2CM zDt!cftLGFyx1G0>+AZphLi@9Z&d)w?ooQQO&a>I{GP|4WT^FUv#wOZ6^P}0n*_X=S z)h3H7uncaBpH7B_tdw0}u!tpSd2$&bgT`aNtpYAwi3{(7+N$VU7rbqIz5wWv?bgyJ zvL;8L333QMvOAB-9#y}ML)Z;3#Sgts9BX=5;>`+1i9Xp_qM<p`+0|H7L)&`eO+x&I zhsbTtNlB{Pb3B#*{BCf3FTiZOnM~RG3WtXsX1J5%#g>gr-g4M)lg{X9shY-tj;&8= zx0X8bXZ|F`gtV`2LuhzY@_*9>!(!(rKiP+F{d6cQ*6oQ(A<2UIGm!qQ!S}cI?700l zv!=YS3{IvamU80KR?+YrMfTRdh7XL7i;+~ivbU`?s-tVy+q%vNI{m5b+m|re$oT@> zUBs{xh&KW1-IkxZF{w#w3<+=YhB1h78gbEp+K=<gZ}k1K!om9C3@Ul!ZlW)+=RKy4 zN9RKXebUo&VykDVjci}C8<yq>_Z!A<J!hCGI~oi}O_sT7*MD)HN2#z9(NVM#Y4=W) z=3&m|79=OBuE@Con}9_84KT0MVb@z)-o|MZpWwn_KYH@1LiSzX5+^%){a(Qo=9~OJ z`(2pb-Y{AGl!0tTF5oi}ZIAA+*tV~Yxh^H)!cmt&eNVKJy?895zp3)LyHoCSaIohU zru{N}AA?)L<u#KEP8;jI#K3cfSnQBc#C4%kk`2MPKdh#{@vgp9N5Z&+35J5__-apT z!pHmVeBHg`-t}Oa^yLS{4hYx`$xvt7@AK5E8x>Zd*SMmNp@gg0<=qRks?bI{yQ)b} zV}gmfmm-V}ilPFy<m`r9Vux5G#)=Cnj^|VyEz)YRV7PEvW1e+!0je^@sri8esaB@3 zD;AjCp_ulIj$OnPYWeeM2}4zVv@s=w^ixe4X_YmM)N<$}*577AZhbBrLy<_TEj9gF zQ|FP<Nf#-7dYaSifR~vgiJeBn2|PxO6#m0wL)gY}m#w+LJa)U<F0*bW{9v#5H91D` zyDe*A#Nmi)S7R3f><LIeeMR>r-+zhJr~`+jdL!pLXB7L^`}Oq{EVzI+BL2r}lu-^E zT2<taJiB6Zc!*3>Z2fuq#o^w6X^BAKTI5{6Ffl`?o9BWQZG7%=Y*G{X%kpo)AusNT zVg>BJY_wE%jyLQhwDQ16Qq7Qa%@`wFvpkQV_j-Sd3GMifJBESXFf8Xr*8#@jX#Z<y zn=uFToMFj6488;Vxct>Ami80BN>0gPhM>_)JlTG#Nu#=YQr74_V{e-Eot48!TgnEx ze$L<321{2|QPqe!9|AHHZ}CeM3GI4x*LlZ^;MggN7-EKYJCP3)Mn<bFOu!HcMf})P zq?c^AyG@YzHa9vSWX1Gv<b)!2j7Judy>~UDC-U}v#XZdP)xVya%Wqs)xAElq8E#tS zfm_#g?AW?E6Z4?PZul%#B?T64P`ml6h2*Zl4;$1LYw}&Z>i|0gA3yAKr6GoK4{qMy zNqvUfTrMNrLc?sA<xDHXtUUycBQ@*N=_#X&1l>m7-nki2_=|mCbs`j@i)!LC5gB3K z76OSp!h~SuzR^>3t@RbS<N8voL;5?H`q$QE(bHqJF$0@#jK%a@D%;!iYiOEfTmLwI zt5l*$es3F!SU2a6_<XG@y!#n!b(2CQL}sEi(zC2!)!l|zcT)I#W$fikLlsj?5j@iK zUBODK9r5(W>W00}W^_EGJN$ECd(?>EN2@^HSeRnWxS<CzrCK$Um6<~k;?;+0-or-z zJVez`yYpD<qZkb#TX(Bx!~EFmhoe5`KaD)rM>rZnUV;&nhxrbZw0o3Q3|3xvl#c<# zv1`9a(CdBm<wiCg#|<sXDgDc%Mrz%yP>d)Z6A{~?(1nc-q|daJ;Um%u3RVkk2nhG* zXV`d#po=6`On!#20`;R4aqPRD5o|*W44)CzF+;cCADx!$vh0trMMX03o+0ZcCwr9C z8Y9wc3Rdau{1Mki!J;#@M+#O5bSiK@fL?9Ykm0bgfBl<``pA*H^-(f_G?AKm;9>Io z!HI)XEsxDA-@XWe{=td;u#q7T(I^kIM{AcIsb;0>?2E;-3KaQy*hua03mJ1OKq5b| zD{5rg-Ab`~Cft{6Y(LSSyZzJWz-pk<)oRd)Vl)}C_{7ol=d_qFs3~gXPdkF}D8TCo z({x8cOJ3)lkuS>jpgFfwKuMH=zMVUr!(ZXk4!dn0Cr)_=oAUPt`mgO%?JQt#D$zRU z9ig<%oS9nB*98u95m}3sf?d$z{~HmDL;AV9HB`q&pj%nIy}!8VzZrT1GLWYv<)yAa zIV3L)iR5lNQzcI`E+=aE6+~%BjAsj_q1B{(pgmgBels|8SpN8?_{7DsSo2INwlhq_ zMy2IX4{OUGF&F4-hs7h<?d9)hJu&ZhbQQRfn5+)F2TE%zLs$WywSKc77QJt2NmW5y zOD{PrD3~a>*PJ_T)&`gG{8`?`rgXP;R<>#f92`oW-PdtIMd@Cbgjk%PvOmgWrIMVC z)v(6tvg3A*{meq9_mxpY=muF-Bq|Is<0C}Yt2wf%HnzA1FvB9LvvVY*i5{tcTQNj# z;x+6{vzmAd&(1%t&ZoRF!C#7AyIK~`by{0eFu`cAsc?$RRWflk(Xz}~wyts}cBcK^ z@}+b+`i3JSg5TVcc`2IUi(;h)u`^3s&G$DZ=a)9i`X0DT(Gu2Gp2W^`t|mW>aP791 zEtJRgs}r1>9g1Kz#vdHfsi_U>-$g}Pf2EXb`OLb!JmWm2-ke$uF*&a=#f?a+k<7Ap zq;D0DX?A2C;gcAoju;%%Z;NWVaWiRLT3if1ZrJnT=VmnF$+mYSXcdmAp_Z)Zofy~u z-Z)nj)#BxD!Y|VM#R@k!rs=~X)0qAxpkdfK6@f_X^Mo;F?KL|R)li?k_SSPc{@pTR z)QP|0Df`{gz(XcC+oG=j%i{95^OOx+s{7ZG0Vp1K&x&YOM7?EU9fr_ompn;pUuI)F zZjFLThBy04jS01u_sL(UM_?%kmwN)bX+{;{9LMf1zkB-F+Y6rqn?S1rQ)YKB{?Ip> z$@cp0EA6oM`^i;L0Xtq}t(s1y-OWdlB=IO);nvt}lfKDoAA+fN$L{$ZWX`E!RSO(Z z8_go4Dd(MCG6DC%vA3IIuR3*hFUE89420>YUJF&V^Q^u_6BJFD93FKlna4XbZe1|? zw9A||m-BUs$8s0D+nnrYl6b@<OC2tQJJFQG2SHJo!T2QJEnNH#HIs|c{d`u@`hO}m zcgY0Zi^tyz?YyYUm}Gev5GbDfryzE9mB{v`>MqS`$0*NvxT?%djzVMBO5YTZ%`U6I z{BY-{H(R2(WT_`0y*4Y`WMoo<&3!!2^iXyDZBwt^KiM^VyX#iFyFN9^7J9q3F#kZ& zK0&tG>fL!)cURjFgqe*yifIA{klj^C-v2z2mTfXU>Dw7L()u>-c3yk6vy)~L;(A=^ z#>W<o(9ljX$xz6x!IMCCYVv_~Ya%&nWiNw?`>@wM3%FVZ;|Rnb$(-z}b8wxKxK7e$ z`gtR#s-Y8FPxl|uF5T(ESYVte1p@-<14Q)uVTwgYgSx|m4FYpH?_BW)iQe^K4ieRb zmx&5+-#i>sq$!Y+*cbvQwYX;B#;pA}ghrcocNRvH1}$*3#tfe{dD&$$9)ggV>s*AI z>r|z8MZW15!!9?@#_`}Yj{5PdzqMi15-)z3itOQug)^P;=>xJNu%;BdFe7=F&BBj? zRH&M?@93GeOC(iXIw51Ne~5+}*f7t*@T<5xl(SJXI)m6MQe2#ay76cIW{&SWPWOh; zjN_=GoQ$M@RHv@H*G`jDjJ9k_le5uIle&Y(Bbil31k)_Li!Us_I2-xZ@Ekep*87w! zb27HLqLyl=N4SNnVJtbmOo#o#Lpg@ZljpHZ8KvRPz#Bo;M$?IDcN^cb<<{PoFymP} z#eJnVq-a^<2u!lGs>xcA@qUH1!U^ieE7G_wtz;_3HXgH2dBLc!WvVcfOn$?1G4OtD zH|$LdnJe#G5rGdrh%ra8c*H)QB56#I_8Y`L0Z@Pd1sDa=u)3*=O%>c95jJc|@v+?S zGnlt%cw5nl+n11sf%hnCJ4{eIn_PFvc&vMI!cs@objUV|{9JrH%tX_4XfKg`7W;y+ z1^hpXzV5}5`5~L_u+E$=m1;)bB**cXA&Cpdp2V(?RgJz3sWtbjF~<RM3FPCn+hN@i zT_0gL$>d(NzKLQgrjaK5+yD|sy4#}g8N!PJ@z;PY3FHXB3r4@Zu6o`?a!q*=-jW94 zbbmz13?VO>Z#p|!cVYP+CdoBTa8VwU_D^@z=*+H!34QTfOS?+)>44wbhZZcpgfYqF zh{A2frbqzAIF6mdKPk|W?#1DeVHm{)qtWKDWf6G{hbi|LUqr^naFNhIW1?Moy&A;@ z;=4X}88WY5S|XNXhK(-<G^2()oc_VYzqKUDXENpX@<A+a4O{k-$4CI1sdO7MH~h16 zX*H%hAkGIN_Rk0(MER|y>w3&E3ojYo5&&?X`(#*JTDi+`8z%0dd5othrCjj;*)h2d z^qj35@6F{U=Ewa@OrRrJc`dERW9+efEwq?<bI$)K1ouRd$E<%G$6MSt(NNKpc_E2B z%5z&WfXR15-|kY5)1-7YMq&oX=l0>nNvxl3bCBrIHR(s2kH|r?i`VpL1*wS?Fqr%) z<DZTgQ<TS=&-NQpt$khPYYT@Osd*!mj90jn#mujo94GPCNX}+DBVM01@+fkMBo~q7 z%j>v(<xoBMB`LK>Q{-p?o1_5!lB;|m>yVPefA#w9>z=<~1`fQTLE2z$r;uC=>x`s1 z8{R5Dmh{?(R_tU!<iYLFZ|^IoH(`R+n>$Ktzch9c;$sG6(Hd?T!z1hR2-h1urz44I zjZN?{Yvvdkb%m407Q#2bj(a=IJ*g`)kAc_ndRy`8m9K>vBX3Ul|9XV;c2nDdV5t=2 zDoyvquh|<tK-=}zWja=YxyEKSJ>R@u=l02B(IK$0^faZWP1?*hkU7G}j0gnhqt~+L zY70fz(y_V!U$!Kz6u;lvwN)I|%uHRST|eSJUtCc=E_Wq7Pk)R5dU}coS-ZX{=bFOA z)0V;URdf%lt?j=YmuT8AXV{ZK39SD+5K_-22qX#rON_F#dQOJ<K|B-x_(t63leufT z@V%kcu<_STY}YS?|Cco5+DQRfZ!Kirp8nxm8^b@nO78PNCKpf}mXr70E9L*q9CPkg z+vyq=J0=Q?;2EtM{i~uHHcAe#wjfH{_OSMx{x|vP$ZRK-Jwf#=s)zMu%0VJ&{x7CW z{B<Bp`dxkPG{U+wQ`FbYK+ro)3De8-wFWY^oW?vWEAz&uLeK-IYP6?{h<>3tsq6gZ z<ig;-V?%^$6TXYb+T<%>;)!a+h?mbSeIlKqZ-Gd4-6V6$pwJv|ry6f^A@PFE{LE9V zVPxXpte0?TBQT+4jt(5J8AllTvOzE&FdbX`kVVAwSkO<8Zm)hloC3CvB9^~oq+L&= z>7S{wv}5z&k?|@mBEO<$ESX*}O3)3qc&=|+-?p&uOj>uS@ERy?DR8VEP<TgRK!Gz# zVPTndUk6><=Zo)$RBisI#RB>1r$$p7F4r~TDJpsm|8idOCwX(y#8;fE7o1F97f!)l z6Cm23R(mE7x@G7Cux#LKgd^VOO<n1-7Rm1x_49#B`j9}^`z7idQOyNzzi=$7dD&_I z+~%qv-V+NxyK(w!&_0W5!@KjLMel3jyVR~$XycLI@adnP<$#ma&owWgj!3ORA<YgZ zc~6?UL(XSzG{Gk)+$ORiK$i7m-MYzc_`L>Ru)7aD#;orOhI-<r+EI`9gIFQP;A>a< zK%xfQqul{lq2u+|ZYv)1WexSc)SJaSjnJU0wmC=QW8+_!cQ{%eN6XLWb`suqI%RQd z`6o|4aa~Ggg+Zj5Grgfpd4H6*q*Jk}*IYvAFWcyaD}sbhxGL+<4_AJVzC9U@dm}@2 z8w_2%n{z%ZhUGjW1n1&M0{uxvf_$l;*tdd?EVqhv@@>Lm%~sK6ELY>#oC1XY#MIQE zUjqCzC!=})`1uVMea=Ph__H4o={5AR57S&uPe;IY%p)THTCG?b8HZY?8!u>bJLt&n z`FnZY;J}F1hY)(fHu~mjGpg$i!$qGBfIJuWs583jT-?KfL%jyHm#)U6QUl^vxt%-! zcJ-|w8gl@RSy5Q*%hvw*iq-g#e`uJR>(93UG$bdZkN>V8f$JydGb@4HEzm#qCLSk` z9)Nu_D4=gdxwyv<hk9lWU53?72EQ@r{Z9;6i>)Pq9ra`sMmQ6%ANyF%=Jd*)dX-{k zO)Tq=QgP267PU+V-Uo$t>QP<)QdfGEuvq$abQ#q)`cb`S`&Dl_i>(7dfY`|>mWT<} zHI~kz&mX{?v`5z@!1|t-*wk78`N&5Z%~JdyN`XEkB6)3iA3pDX$kFsH*7?O87OUCX zA8UCs0;6p4654eyt)D#?Y2%OXOjHNj%>=??@qzZl)!4?04}iI4_2+laAFWWmi}O5H zt$JYC)W<F%)PwERdy63F$ub>_EewF1@nq!wjVRUi91t(3E5AqhPDaqkVn+hBNkw{K zfN>LR_U7_U{J)IDV*dk-!`=As)*4o2ZzVm5P5rAA?*oaO=u3oWF~6C8SnTOKx+u-b zh|R8&m2^4K+z!z1et2skSjzt^AuQJA7rH2R8?}Mn%+<Kua^ZwmLAe)do1xU3$8(;Y zQLDr+fv;pDPoq7L$wX2M69j%X#qVLrRlUMl(BoPB@pDeva9_Sg_2sA2PMCF7PoeSk z6l;qElFMAI$>N)Epk{VR|9Y>cBh!JwCcbINa(Q3YIi1zy+H<Z_IeW1(h@*yx)WT|@ z5?Ht`B+A<I{yp<y?K96PC?nAPe3eFL<$Jw>%Y6hv^s(7xCA^Z9M1)8_JQj<;nx*UW zO$S_rVS66`yEPS&vcBmQ?1yr#a`TYBM+o?KGp&`hDY)sTkQ#M3u4p`V>@<fth+sw7 z6&g1%Pw3^T=u)Gcv66i?&q!87OnxlQJs)#54<<V$93qm!s*+1D4wG89PWU*iXA<i$ z9r;IwpWTW1QpK6Hk_Bh9`zv^(zN{r_vuXSJKR%VFIo4*<$R%WTn4w{K#7j1uK@2tz z6(QCvMF-c=qq*#7kTr<`h?&a37=lTZ!G(E|rIsv^EIw#F5qf|PfAxn`AwfLXg2yJv z3k|aDg#{_{qDMXWO^Rx?$&xvVb2@1K*EbAe>K6uK^a}?*$efURP%@|loQ5RLQU5I} zP%H7YsidPrZCCS#brlxGY#Xy=GEW+*8=_bu`h(QJ<!0ZypuH>b;a0*y9Zmy#(2W!2 zArDxpVM<119N+QJmNLctHHBRNyi>FfF7RQIvx{=#NF?0#GfXnhFF`Kix3CbSl9G2m z`zgfwLT>9y$mlFf*3g5}qU`LOLVwBv1#`Ih>v%fjV`kwZSS0>jhL{J7u@odutWC&X zh2k3h%GGTeIIYDiZP^p|Ag5w60G{OdZC|J1V_e+NjQxn_a<9-VZ7qk;VNqQ@0=>q{ zGi~_MHD;hJ<l-~Yu8-amNZjoX9)}4*SWuMQj?3yGMH4{w!C_YRNYSU&|H+`u5iT&$ zGv^$;2lSZ2NC`k*&xWARCSnAtWfYnxGIvn;l>?#s7GzRfeKBb0=o-R}h_4Tf9Bx5F zZ1+t?9xHNZ;a5pYYFYV@^)7?SR<ssBG$7a_hi{2mVwMq-k?nLX$;&N*kt`ck&@gAV zo;k=Q53PPJf;Kd@r2l67-7HF7_?;3OVxMfFMF)n<ii+4a9%#{(v_(bS2@JG=cP9xf zfdwX!?c0|JLWZWp?6J;Yyh{3sM9CRjqMY6mSiUA$Q916W8ai?ebuRFFi)DFJ5mhYQ zl6N#fD;JlD!hK<BxZWFCNmE>`8)j#%``3kK5G9JH9pofYlrB2OT8sHd^P>s{#mC&2 zqB<}h@x7A&RP3Os(!`GJqx-eiKBw{%z4`)OFoqp74$AeAgo{Si@Lwz`f)QuiCzQ1} z_iV<9B|AgzLOf)4<Ip`Px6}75gCQR{WGb!ON)s+zQgG{LRPL1l9xP^?0Qo*CZ{NO; z0Se$Z=i|sV3f!P>wp84iT=#8rcy|(18CE5gvU4+~dreu2EmNWby_bmBg=RBYF)4`V zVv5mn;j|@pkzl$9*l1TV|GvUe4bT5lx~egLMvO@5t*~yROm#D08PsZ>m;ja@-B~R^ zex{F3#jyONiQBgK607YtGKu4(jGjQ(26!i*lX6`s;X*3~xA$&uEb5n_7`de$3M`HI z@Wo4I8BF^n(jNV73K;`|u3*L;@>E<GxXPnj^3j#m?-f<jyu$MN1&j{97P0+71X9W* zj;t5YI#NOzZ3-?yPwA5y%V4L1?%%Maja)honAZ5&sz!S{{r<q>`1pgaw!9@cA7@0e z!#ksA<}9u{{ytuv)z)RMtU`E5A4Wc8*$kOnq8~~keP7=l3Qdfaf^fG;Mv8ZSH@^%q zbi=Tii(C>0Vvr|N{5@amt4NksP)pj?DiC-yLpd7n>kUC_AHYtuOXc{Mh-=8C5&6)n z5rn%vtsYv^${IPWkHK#TrE*dNsnvp=Se6XwhAhv)yIla)dw?nhR!>^e${aaNhQVJZ zfG5Hd*-ngQq8UI8&k&T3WKn|~K4DAUfhTE|{ahRPMIyzm;D!xik>YsPA$;B>2i?jd zO6dG{3LrHyG{lfm10+N8^Ho}6Y$0Tll4vMN6BLunNFCZ9^4f*tfE1PAP8+0#k7;?s z4-l^8U!^6)2Eibc2h>AJ_mD{`1(8?Pq6a*z)ZOrmAHeN%2{#mih+w)qf3GUBa!H`G zIJpLsb&?Gw`9EifeVrVPaHt||;Ci8Li69^<Rl5psB&<j+q=KBRB9Y_=Yop0cPa>t{ z5PvHAL1?UE3>nL#{bWf@Xe^7Ni7$(Ik37Z$v6rT8gH6s8U|>ea6WA*%GYJu(>}ndD z)E3wA6V@Z7g*Sru<=n?(z}w$~QCT9G-e|_fV#*?PkjFehoJ_sp=mqSvj+i5hbC-UY zLt`<)<w^;9pif1Cpe%U|bOvu(q=rkvEDd7_`z$Y#xaj%!4`j3%5yq(E-7mC{IO)Wa z3v|R#VVKdT1orLZgjvDJYQQ>Eh64WCB1dWA{$HQId3<LSfah=_v-{x}>V_sk*j*Wr zmdZd`N>}4Z+w+fLgwxa)20qfc%~73L;6P_2l6Qi-|IzV>(Nsy4*ZE*Y(+#3vx%uTT zh|(q>^f^0TYk{eV_F5~F&qpbA-6tmgD=&CG1e1A=6ghyn@7^`%oLl`ZD2T#jNl$g; z6+$gz^Ba5ZaN@L`|H<LJN6!^xuO903)sPk!Zn-k?x3=KirzEhWAYG(@e`7h=eVG7W z<X9C{UBFIvpil6pDxR5}t{C&ZI!#AWYmk_Z9J)U3{4EU?5nk-{%Wuj=yJQ66Ca!%W zI<Tq{nt5+SDx$K%RO&Nr-Ns9Yt2$3BLe-ap^}gEtH^`8d#zR`PScc@l)<5*2Ld?tU zsX;wVlg(Jvu@N2qtoxllcv0ae1@(!>0k~8=Uzjj{`^hHgh2w$8=<2oT#iE<2ZVp_l z82Afms1!=lxVwv}g_Fkq%pCrLU`1b>7QjYpFmhj2cT)sEA<)#$n`-!d`a<*)!M76V zR$_@f__AJI#<w})3f2qczr}$6kh-Zo0x%n5_@ndmXH=iJ9Vj4@uBMJEz-sc?cXAHn z9cvQO@~@pOki&JXKEj9WUZRR)@X5+!I`rj}V5v^_r4d{6D$igOM(gh#=o4+vTfxk+ zqDjroBv3Vmb!4>#T-x%UP!;{Jey&MoG))&1qq5BB{*-Yi-rlun#2MQ>8GpD1UUq&4 z%5w5W9_OlsaT{RWY{Oau6uc<yy4q3CP(J^tK!_E}cr$dL2XZouE`=?Gx)rgAp0F&I z^FT#(I2L+)*`AfL|08|t+lkiSTG%KZSS3f-Lk%lBRsrQ1<QD$>2LpT+xvd3cZ2%ln zhkUKb?pv-Nkqx$EixYq!75kVT%JZ+dSC)C@^Lh7)1iUOkqzRnn!NIio@VMN$JVMl{ zn*dM=@*9vf#v9`w4xZjbsJ{PEkm$e1B1J#ICS6j$f`iB8#|r8vlFRwVVEN^^N0~Ki zU`!&Whr&b(CzU;R29@9Y5%cfnwH7epLP^;0qa@B(TXFU=sE~CP86l)}W)&|E1{Ifs z5O6F@$nVOyaBk~gZ(`qu$}kY2z84Mzl{^E=QBti1fW5$f|9ugERltD>@zUM-?;yG3 zH6TPsMhL{9l2&QF^hpcmiUk)cm&QzL;>2`oRmE&*egKb6Ocd0sQdRM(uyW0T{j2G- zC_aIsYT)43n(u#N2^6v5)y>kFZhts2b&ok;F3gp2!og9s1aOjGZ1`la>Y-3=Dk?<N zj2@LTf)q6>k_ELQ0suvE14%$Of+SEFp{sw9vo%!9BwoURz?5v!AVs#rX7R;YV3q!( z5HO?(4t8m}u)Lmw0Z=fHLx`Xh@+wv}P4nnr7472?a9|4@T-EZObM(C`X!|Q5b;<;i zux;Y|3qx5NlF+k4aNHM$>=sw$Gc~9JLR}gP1X)W0V@XIls6@(6+i*wbwgPhFi3ZX2 z+WG4TV`jqqUh@c6L9YXk5!SVdnD@OZCowa>ERg%mz+7YScF^F@-){g{)om5+Xj_k# zwWF840ABxq2o|}>z|qu>m>7X>_8<O5It4Z|yeNxzipq50Vt?}Y!US#~gRcv=d|s!4 z|8@-CB~!hJ=6w5_fsxyPX-NxdOw23T$Gb{}f&H;YddUh6Dd|+SFK{0ewJo<arHXEd zpG`gBU~dNe7w}EsB+@_%K0B^3Gb_HaX?rs)H_f6t|EON7!0UqJF)eLNOg}`#ayMPG z5OZzi?vL?bgEzVcC#)h_EObJcQcPntiYT24+^)f6m@%hkR`BBiFR3Q)q|Q>4W@I>w zNUvUpim23>aRuTac&-9pb;G5CXp;6A8_OFDmn&)q=peaqx~e#{)!<Oz!igX?*3xdy zOfMzae8066=(FU-v?f)3b&Uh1bj5A3u}f1DtirWDhIP7s+GDQE7Al7R%t>v#=~QT8 zL{em9=nWqtg%D8bW^<6?oIQ)dkAz|SO3`Gs8`%lKXtP@_!=ERTMW3i8aka+n`Z^us zUBA5}<}L$mJMx_6A@x<54CDjNil%k|WfC-bp1q5Vg<a$(=R;Ze@2n{G+A=1`=xYsZ z$oM#g5MI=mJ(p3Yg~F^rr-q=Y)PkYc9`f>=#(|_fqR?`(wGi(UR2Hv|f@@fl?d<}d zp&HMx$UtRn50t+?H4Jyi2}*NRLphMEp0<(DDTGfE)0j~Ny8e&Eb-5yb*KOAPtWSQ7 zP0=r!K*fa&8_U>!`0@^jFwch$7nTZ^F_YMSN?gfkuq#hF+ke>KvtkwuP?ywDjAzF& zdCJlV`oo4oL`)ah%;7{(p92^nu&|ivfCVKMi#NLd5Hs4KX#92zVH}WeD$lt1Sg}z; zmJNKD0=aR$+<!y{-Z9?<;Z7aw#;S2sOEqR^EbPlD%94<%Sl{IZmhGXxTOG+l%w%=r zS4A=v!)05~&z5guOqXL_ir%ZY@grp`INVeiTURkRGj^qd*}Vu?wqcNFOddv2^i7sf z3{rG(r>Gq1&kHn1&vXz8eJBA1mK&MNtT7IuTUBCA!T!~!G<Q;7V=|BsJsTEe7S)X~ zWik9)fWys@goc)OfSK&q_ULb~ihdD&vc#E%!dKnPh12o7Xg(#yoXNs-u>70!+u=hh z2fmZ@fmMJXvAb}9jahhxugh^6{I6e5X=yikJg7;JarBWgD;X;S!3S)aX;HwSBjHIx zn=K!A+=tIF=1F!)s04(3-aA_+5|gkQH7wO?T-lSh*(^sgUmbr!1H;&;aC${MtmqFJ zrFJ{&Gl<-*Um>b|PgF!P6YALi;coV>6T|3>nMAFsg_-AJEm0oZ9?>%&aYZ1SONvs8 zn5rqzKYDLDAfkxZRPfOx5}2;LLEX0x>hkQ;^aeaY54<pr0@eevdviiCT;GGoD5FC@ zgF9`TO_9sAnGAkQC?$w#yazT4rERenaMc(x3Brp~iYpRc(<_e4Ryck+P=|)8dIAa3 zazs*sF*d`>iDurSvef<#MpaxeKh-Qi@!yz}fOrLgiY)l^<Pfz=w-MpLBOp{9p^E9p z{i!mlev)6$Xsu(hI$Xu9rR=Gtfq@)*4-?X`7aBNST7*iuf05!-5%4tjXUYn-dhIM; zNoZYn1KG4J6(V@_#;s)G`shcvT|LAk%&asb{s5b^7rJ)^M=Hk8rc;Kpc+FbfTe{U= zg`Rlf1yju?8gHsZ-B^=^IWNCoL!%;^K4vX0u_fOSOilb*ea?0jiwPOux%@i`o`nw_ zEFLqdIAa8%DkYfEeJa``q|(&p$YLJL6o<&9vyIV_Ey%ZHc7F{2R`-^Q*lORY@B<O# zgb(&~Z$S}uB!}2#WA_q9TIxJs2rl&a-4YO(2Oi@Y&_Wkx4*%AGog*a=ayOtK`eiA^ z>hyv0+cXi0OmMDIr1G-z7KPKmX5jb>3m^g_#T7*97s%m6f*<w~g$!-dWx#LPOuKLK zEXOZ{)IFeDC0qq<*Z8t0UT^z_P)QU-B4KckwfLwFo#3({c#<0L0n`^Php0dvqm8)5 z&(r6<aze^%8ZQ|MT~>dU1b(ETTgopmLLRFaLJ?-R)K`CcE`R-R@aaQOk2;ur^7!yQ z(cRStM3NuEE8qh}@La?zNs3RaI?|XQg2s$=8-cr5H>M7^a#$4or{!S<^8GeU*-S`P z`^pW`vwGH~WS;Gp+`2QSl_1#I+QWWc@GQ;4&y$wa7&?4=&+lr_ZQdsZEzUg-)3yY8 zb%ZMrEOf`0QR&9ei<Q}VX@w?z{bmBsf%*^}z2CtA`{Vt!R@C0?{-pmTi=<jDXXX!D zC`8&$D=<T4A!ABW4qB7-gA>8BUnCHR5*f_;<3%Mej_Eyn_H{84pvQgwAZz9vYPpj5 z8C-@;z>Fy}2L&B9S5f)CMCupm464~d#uQn<hSXQR3>k3XTJKBr^~3B_8c@Y+!3SRL z?Gg0^@Z303RBA9+wtL!sj0N2M)QTQ88~g}f#9PAXHyxG6yivZPH-vp65Ht6-Q#6Zg z)RnhrMl@>Si4^FAj?`-6Xkr`lz>7)C82#%%aj~m3DgzzrQJS?hKA0Tqg{(D`qGo^K z%05H^Ih7rUm>YaV>$ly&mIyaS?U&vF$ngdNa`!fRw~%jbNT?=xT$o;R=}&H_?!XUp zk*D{Bs3!G5l`YJGA)79gY~#=nwLk6*8y>p}9gM&LFTPmE=tsZCh6f(9pi0gGs1t#T zpnGh%^Hu6FUAZF2Dd9B4{4ofvzxMBhB?$if01PvTwL#33+Q!spvIJBi7=GV0pK_7h z=u|KpXzsvcw!cHb3vf6XEyQ8#3JG<b6c{B2X~RI)q1tbM>@T=Q4^@96vn~Qelxz2A zh63z`pU9X;w1F{>w&qno3Km?QLK^eJgB|m`H@iJiZ7C|m5}zLRy*vr(k^(d8y?g*@ z8|xgBFsuMcSXDqP10z9}iF)U`n=sq;Q-;~;R6y1`K=YO&B;i5P^_oC7;pZ?g{+ggf zgRoNBxOyPLX#|7HUofbAE-s*Y^(`a~y;;+ukwGiojgc~xGcg7{Mo<D)p=M@KAptfm zVn`r>|J1^Uf7brfmlP1Fs0m^YvD7xS3A?C(__510d87s%&oX6y@*brVB7!ZjVd|>f z7h<(xz1Y6fy|Oz?B!ncaf5m|0!FcIWv3j2{I_?~$APFxfVZVD!gV?|v6d?ngk{JZa znI$CXlwVTlN>VN?1X@!Fl3#y$@=I`@h!|Jb4Wdqf0fkj#?2sH2qU6^t0U47mJA>BC zJiT<=zy8q!XV6-20|mXD0dPppkoANZJ*)fZJzu86bREXhHb4&}*=t3<-w7}zxj=B> zUNpT1rY0^e1mv>`k}H-0O^s6#;{i^9reUD)DhNB|DTpW;>lxrY+8eZvmH{+90QB+s zfTsU0>2WggNYN<?SXlLF9{4g3zeS9fL<C8V1?>-7+sgOijYaIskhw{5;=0LlQYT!^ zMvTLb;-%HU1YaFOq*VZHQV-6u;Xt9$j1#xPk~5zH?+ZclJzrpk`sag%5Zp{@b=%&n zl}|Z9VHX8Tv5f^40rQs=BvZ-(B&2@^t*5o=SucYDDP%f;x`ax;v7E|)@HZ6zz52C< zfN!N4K|?tJjbY9O<)ZT89tO6n9ss9TOU%dMSO~;a(}#F80JNz9tz9+Xe%t3!IkI<Q z5U+PTf+atI+PYeTWGt^|zl8S-5#!t)fM@&{VBFiJ`V`yL`Xb=|dVnb$03&|15VAT5 zh$6jN`Q$VN05{gBur}A1mY=Wz4CQ^~%M4wr!+<Si1ZGS&9RZz?2^6Gi_{LuI@MnfD zM~plD1UyrEa|j7?02s6$on=RWLZd4eZi9!K`j?#>3jvu{g5;<U01RRUpkD>R3<8Cx zZS0V)9irr@2|%LZ$)NQgH=vvNZUOpspqqqm>2WAmNYOJ_fo_7e0zd}WBF3#xfPVS| z5RZ5Pj=MYo`e~61*KLK1I$?4>V!ZkW0MmMV2+8vYz$^k_cKzwo7L^uRFMO3jjkmz$ z4h+<I2shj`2dS~bGdjcTV?B;Kz+}HDhAdqNL=x5~z`Obwi2b(%l~FDbkUM8chEz=b zHLQdj$8t6roW{-IM0>7*YZ=7>nWR-TBt3}5vK)U6;g(LQZypjAiyRI|N7zeSII7f{ zfnvzf`8zk4Uj@cDCf%j+4%Ep=RX?a8H7>Xim`7EDZAV4XoED$83V1_@BY2(8tPoI0 zPkF1&vYUk0SDT-g_1&Ezi_1`C?iP+nm|||teoO@EY2B^WO;ZJO_o9Rh{VQC_Di=B7 z6sv%D&UDrU3E3D2HMIi{oo`E3Ggj*iDyjklTo=C7FZYTDLN^D*0xaME;?`55*KD;) zv|qmR69T9PM7!hN=zm>7y#l}O!#F5!sM9YlRl}`?bU)NtFIJgOn5ngzrP=?19e_RA zSmd!$Ib;g0$0or$r<{}`w`msyDY%cbRTR0HZql{Zi~VL3GaQs|!zxBHtPw|G-5}NR z1X_8w4&{)XcPY5{ktI)oEQ6Y7y7Tcj3|a{(l3bJ*+bYv{7?5d~(iMl7)i)#hl}*p9 zR?_#9s^L>3JN$?c$b(A>J=6`B>`?j#Pi~P#vRiakLZ-3aE0y)yfyNnY@q9g^T{*-L z@uJsMZLm8$UoFYlW7glQ**IsH(^}%p;)A003@ype#vl8NdxR};zEi`2)pkhtU0*?7 zt`e_AME&jlVvq;7#mP~Dsimy;jAk);uI8e^P?FREK>5AEv<U`O!pcN5>q~#)s}yey zuD*syb@DEq)eHH}vRkNu+`*5L1nz3z_kH<UCINO@jYZ1=@UIvG_m~hrtUB7zrT14w zgIK`qAVz*$bs3?>2OVu*=?+Y1kZsv)3>i^N<Q=xdY<Pq?q<b6KekFuYGS<`sY(cvH zD<c-T@|M-+ZGkO7sQ)J?5Yt2jAtCJ;N$-9c;-~qJH0b(u24Ki?NIlw6YYWNBhJ`Pr z+mOTgUiDwKUm&IB<!hH&Ke4X@lig%LV@{LPk)9R-<Z7W)^4z{T%a9Cz{&G9=AB`Ug z)QZWalo#Jd_uot{;t#Ao6y$Z<2rh#s@%Q^-Kzv%@<k(E0k0r;h+w)g{*DI+@I^g^c z#k%nLsFoQZKbKNPC1EmQO><CX?H1<&eW8XiST}fP!XwKMEv|zOa9*oCaArm`zk|lj zzM@^#W!2Xac7GGQ{+GX+s0NS~W$+!kL&5XCpT~xZ5-Mmiw;v;|&GHhKsxFvZ%LyB` z&%_U>U+1@Pd=uM~fL+Bb0qD`q^?-^ii2>5SVCo7BLSxtKM5}etOY4$2LjOtgE(tN2 z2vw=H4X-?Zsl@=%1rYFY00=DpHC$;`+ICdVlCN5%;&TS3suQHva*o=k0&4!$3zt?Z znW;oTFRD!5Km@8iV1S-O556Z6d>1SvA&t5HXRSfbxdom|@U=k)@CidhqODZj`isMt zN~-QKcS9BtH8U3C+|sHE{^c)#swU@3+vv(!Zy}4+5gtI*VH%*SP4J%^D)fYtp|L$W z*i|K-t>tiLuY*dr&z;}<KkCyF{5n7uc@3SN9~Xcr{{nQ`nE|@CPCWQ>@N6WA`&cVE zJFt?)v0QY}#Ib(>_9lANv-^6nmUDLNc^dARtDCX73w!}EoV><}fX2Os3Y$U?ven!6 z872R6W`+m=j!4YL4;MB1$Px+QPV_P?T?BaaKK$cZE(LI-7FB*xnEO_{2oor@2b^av z1n{`JNEoK59zcf0pu%2A;jH<)>~(++HH?_=PJT~vmA8VFrcn~NcAi%ho>%s-B>Zeq zm$#teBPa*vuBXParyml&j9cqerp~PYuDA5OVy{;9JO0-ma$vq;K`S-JG)KU1v`@nn z!Zf$RR6h~SJ$z6JbNftC7J|0)UO=Ui-a_C>LUyJ#ylU`z<kBJ)Su`+pGBO+R=$*ZU zShc`YWhEoDl>Sd&><^Wut-2)2Pyc*FuLk%)$qFj04xJ65$=nbFn0#{nG5JVP)FO{f zYeP%(E5e{kdsk3_L3hB>gQ@_>hyzqu0eS$#fLf&XO8`9fx&L?+{weaPs+0p1WrRUT zP5`D5?|;3Md|>Vqso+HeE%ojusKVU=!gS;U2-A_W@BJ33!SA4W`cS{y=lY4UydG6s zdo6=hs{jdF8hy$=C^We8d*|N>DEb2+jVbV-G{FP&S()eYX&4x)xN<IeITqK;86>wJ zk5T3JdFD%qV##A-Zg8b)88S#y%P`FA2^z&TSTEHDAbcxfn2?%~iwrc<gEZ@MLoY|o zQWq7sJ{jy#5R`@}u8t(;{;iDuj>+r*2%V^9ko?CLAYnM<%)N9_R_XEZ!eS3;>D)lE zHG$R?2B2i1<(BZrT{@_$^l(Flcr~LQ-&Ji=kK+TK-;;q)W<6|O7GL@OHJ-xU7gu{g znW3_m4>az)6bQ;#M#=k1RW>=o(k*K??dI^KGr+d5|7>F#*K~oF64&>Ik^j?W0O$f# zvCwiq*r6^Rlva9dlIvv2J;S!@qIrrh3I6HI!Vlj=u^#=;N~7o__woibsm^Fotjpp+ z(RJoJdv}ESTubnpLP@&Ep26jD@WN{;p*6E+=h(=^*Tg-&i#l@5asRFFfp1+`MyHvn zW#+}ep8SQwHq(W~Z!6$L<8k+CwjI^y_w7A_`nA{u<Ly^y;xBrcVUNdL4b5iBGO1FG zuu}gwf5|R1?nAy>33QHnZxg8fO73+EG-JKI4}Ky&bj|!+T>ND82P;!#mm_m!H$uQ_ zN>HF?+WlfQcW*~a`knQB>IVUXuUgg<$@$|7Ofu=OC4)GATs#@Gp5ALvXS&TeSqS^H z|5OU<wP+#P$q^Bd%!g6xiv<i>tQUS<WM2?^Ln%$iZ&D!;ux`^be@CP9foWoDWlYL< zs>Qghp)JO@Y+!@d`|{;G)0Y$8T(myNtDo)Z=OZtqmQRdzrstj{729(;2XXdyyR*tP zQ%--p!qVw6OWkPpxkN5S;oZsp!Z~Pix*Jl7`$&*U|9w=V=*a?BBPgFDQ{-tIbD^Ay ze-v#Kr2c61a)RDKqMj}3eT9G%jbo79;hUHXHYlYRzkp0>U@hT}mSe6|(G%tIpsUW` z>YI%cc9`ZyJznvBg7i%XJIr)T@rRi}Z^75nq9?^tlzihXv+sZZ?Fw=EwP>{{_9<=c zDY`}YZAvfa1kVa=j5~C9;SFWORwjXo8?LM=>m{KMeruw5$z)xXfOR21Jv1lb&Dc}; z$fefb^`|cb4Dw0$JYn*d9GCpiaBi91Rw$+0PR6g<yN<8DqP<lC;m=2tryMdCox+#B zZz#Qj_<QR-expcU_LAcJf6I{hcE_yqq3+{d*L1+!l+#b=FxClLp&3q{lk%_G3hl}B z5f{YEA7a^MDkjb}^6pbMBM4R}%b=9f+Jdn1>zSyF_@=*TIzdX8qLCMk%dON!PdNh? z<ob<`H@$`_`GC`&tM07=k9>4aitbxyf|iadudBYAigX$YOrKeH-NQKtZHsrc6qf9n zL<fXnmDdrln2Q+_nQcn}v!4&bHgy>?<U9Hxcb&gsoP)%sm+6(bl3iK7-~ZB(?U)_9 z>85m63A`#3gcZkkgC}_3=W-Q2$z+u&-*fG{km3VK|C{rQh`cy$a<Njlzpcd0m&k;D zdlFQ*w}FPwq)i$$1(mY@r<1y|!g2{MMOjJBu6qxAhBMV=z`lFMDBRB&!&2D)Q@o5P z+v#=4AC0^?ti&~`$a1mIEobkoxZjJuFp0(xiRS<B%g05d@c@&{H_;c8su(>te81*K zPe41pj?X|?5J1DFDkou_fs3m%Pw6*}x0wc$FcY^pS#-|J|2*0uDgU92wxs4iXL@1c z+jGHgRYWhf+c-k$z?i~|YU@W)1L_P{-yQ1L8kS5J`qIv9duoH@_a~)&)Q#@xr+SP( z(Y8$m*_SOO$z(l8$zq~3A+5kNP@0i`m1cxAApxtT(ZCeWJ0lFc<{ox;69yU>v2i4F z448#20t*On)X;fno4+>h<+Z`@vL`L<J^EqG22+eeRCPgfG_@5LzXaSv$;?qi-I<q- zI6`%dKjlhKSvtT$N{+}a`og33$Tvg+qYfgAHKR0TXp6z4+_Nv7)UA~gj|XlHrq1_G zOsA@LQAzYq{pNBae>#TpVL6HbSsf<&RSW1#!EBUgU6x)m)lrzG<`3bswMwIC;cOVT zsbJX_za5U5pdBE7OUSM$myuy|z)DjyXetL!jzVcHWnofW<sCSb0+VND!g*;@pxOu= z>gF^MzUa97R>+t))He%HWL{*?QTXoL$lC(6MW!EbBTs%-2TfJ?WeLg|h6?|1FcaiT zL<${V#&;@mcsVOvFId?A2B#jmupNd=yT%fF+k`|Nn&o=+5DrQ^q*|0+d|T*f9%A8M zS{tm6yvtcF&Ja4+f$mi!v;gi8cCX8HoITue{LflH7~DbVg(<pFSg(P3nCM&vs%%E; zWX+}?ivx)i<zC7ymN<fWTiT5phpSd)GG7v@uidh_$J7Q(-A&aPf5L-II>WN?@)WXG zAof4>gQ%S6KYdg-$&{F0MTYN-FLJD|R#Y%R3)<BdWNW3}TgkwbMeWKs32HOI>Cr#J z>a~bv;R^0Dm8n>TWMRW{<??@6<Wvgk-(q3_=Df(n5T<4p6#AvCY=E@^YH%hSz%c$) z61Z!@BU}0EGLj5DSmH}k0jm;y8h(WiH+%=?D)FTWC3ChxSGx=)178>U>f+QVH~C_t z?mzHH{AZar-_u_kx=YC;o98T8QL&M2aak>m?q$q>sPi%GBR=YW7_FRG9hUhm=_Q=( z_Ydnv2t5jQb_Tg8-qD_|>38A!`&?fmoO<6}U$iA#oDI&0`OE#oU6?S)9BRrCdbk)W zEZ0_uWv(oD`K31anLtBQnb?U)jt&zPBF$zd>Ti!Ma>3{CfPAyd=l>r0#);3r*ti{Z zAx!N=V}ApL;a7{BIfwfD-X(%#ClWarPoF0FE>g)lZ)k89lE@Js2EY>_f|KAp*1j;+ z-+_KpE=2nd<<Sk69kxG>&4AmV^<AwlTOJ{$9L9$mI{$4&j{W<KqbnzT<z`P|mkg!^ z=h-;X$wY9ZCrMI3c5xF@=IE3qkL<LodlMP>uw7gU=j>gzxW3*XM(eJa23~$#7K`zx zc%>=!`R&u7L7F<WyNkJ;PbVbU7L1jtm=;N2!e{KK#ur0jMsgYO(MiIAH#~je=DR}7 z<sdl@Y_%{xS}dv$Jr>j7UX&2?+cB<uWSEqzQ^vLsk&sZubRQFC7^=6;0!_%;qp?{t zq&Ol6EsO3sAO|&w>=iBp_s;^IY#f(+ux&^e*{+<^3_8P`g4roK!xFT+4{Oy!S+`;J z6cq$m7FgJ@K)<f{FNdvY7`Ra&S8toNF;CwkH@IcHf`<H%?%Sqzj6YSc?_%@FO1u0Y zlCCnWt!4?M#hnB%#ogVDr8vdiEjScP@f0s!JOn8a++B-X(E`B=g;FRE#a(*yJ@-#? zvS;Va&YYRayEf~?Qkh6Tz^O^FN|IAGo2RN^49C2k`)OW<d26{=jnvk%N3CLuiGp#m z$VJp!0yHJ36k^eWypZm7VKEmX>p>EqG{XoP^U105R%2{lY75p#9#bz!twspqXC;oc zkfcveZP=92)bU=wCByv3S3ri_>7}1xA~8|*dSrc|^c`Lc^sb|vu6;^|qkdSd!g3W| zRLJR62-1(Pr=Iq%$QkBsgIaM42}4%7A~CSNM#?|@5+nkPEDsJyV}~0T+4wMDgjk^G z3}wg6fNO|lU)LQ*dKp9X2BX7wNNQe~F~HumpyUi^kNy{px0dr!-+N}WHKXKk!`P7J zD6q0-lps)c8PdT!U{1{_6=jpfz*mZ&0t{EfZmgyPiC0=PvZW_|I$xxYLU&V+i-~z( z^<Of1W)tj9YcQBAy>Y_(O}dzl^ILGGf_MOM8JJC6yD4x8N$z#99HchFUb+&fU#bW| zPXy-sPkfD?CyTTeGeSFRb=+}A_4~z-wEy*7v7qo*U1yKKCM`Q(kV-(ik+aPfw>jB$ zuB2vlPg6(e3a)z+440UwnR|%=o;go%kK>5%UD1}`4bv9577a%66JyL?)%Vv(V6SB} zM52*%M)6ZZa_aT52^$GukF6%<n9GDqv_%UWb|F6OxETtR(HQEHZzFqZNC&)l`jUrs zu!;Y=afz*qy*Z#(NwZ5*XiZaK2el#;C=-*A=>RBs`qr~{jP+@NkX2eNG;^?_d%!BU zJe(qm7*igDq*Y9KbW7k)0g8e)nX1d6p;YIDYeNcI=`epxk}#?2-#ObMXEx@GL>`I+ zV$e{8uSUJCOb*Lip4vxxE2fy!9`(0+>uIG{R0U{MI8)>g(~rTdJG8#d#@GVBP6@>0 zZ{5EVk2g5R5$_bu1}H#dFs_1r3GAlLhMYk0K3jh#1{N(*(5wq^HKTL|z~Y-x?*2@w zF&jgWV^k{j^4RL13oNnKb(Sq2Ydi2|#F2jquZi&}+~7_lBB*W!PQ0V6Zd4Do9calv zcp@{vYz&bGd;KB|)r&4;1KuYv*ViA@lv0QnZOI(9{FkZ~T9BC(#g>pSZdYu{RdgAB zy?POinL|JfjG7t%rTMRsq6}BT3~nz_A%9C>d%Y(prsr?74$8!_sWObfVA&D1K)>^w zJcdy>20}$TU+5-7rRqx!v884sA{3URdO}`Bu9f@gU<W;aSzi}=J-sDP)-xu=rBiva z%k(lor18XU_Iiww?c`|8uoS$<j15%&5+eAuR7E9RGeuBd73ls2D1jcI>oYB}3qgMV zcV%USbu@i6tu^&B81gZsw5qb}{`l>hXRo#yy2fq7pr~FCDf%08@0Xlj^BEfrXrtAr zJMdv|C-<94EBJVcKJT-LmNA{<W}j-#fIdikd%V>&3}Kjnljb!7xNJvrqH8bclfb*p zf0g^8q^F_}Gi!fH>&r%w=5I)sve($QkapO_bRQaKZM#PD`v(joDzD;Bzg@QWk=LHr z>|SeeXLQW%HhR!+G-6*U-h`O}Pc1&QAIBk-!=x5btnkCTM56-iy}y^9wy3qA;7aO; zVNFMo;7a>0vT~k&L+h!;Oy=2eRX802l)d}M=6~QzDeY5gME{5ZcvOHy(Qi>>nhIE> zj{?B%sAQEzi2yqV>*kh^W7)IQNUSHaV%C@bV{hZNHm0N`dJbUEUJoiwoyTKs=;G@w z`G$PY<vnzOdX0M{9yF7OdV*=LR}do<q8_H^w2_1+L*+FeU=(J_i@?IYZ2d@8GdtQ* z|M#42&$UM2iu&H9ZxZ6UcQRDV$={c8pMr*Nfx~M4gb`sb8{-)q-VZ!IwxYB}wF(F? z{H|Wm$A3ulOFHJamRk`TlX>YVJtRCut85CP%|DLjm@x!qThs~@sC8Q-r#$ox<ls*V zGd&aX#vhU5HwnX8#4ODiQgX6RL`%z+Gb4u{olDg8kz?_)^FtQUSl9c7jatuc{$V2W z<kSJs-@YKZdx}Y4TUP*d8+WC5(@J2~K@t=u(TY46=EaU=gEH=<UEjs^H}!vUcUn$G zXn3@HQoFzEKcjjM{M5B3LAUH_Ho5dPe*Eg@`b>aK&)M*A=U=}rm!Y?5m;>-MgVgx1 znwNeU0Dm49O9aWZEx`<aO<%>mf!=KFj@s03n<&yJhOnu5AEp}GFkK?8W8FPbwsrc; zde8?#z6?h70o$f=U0Gv_N;Ukzb@P6q#aX|AwsSZvTIQn~cHD|#P}TPDg%sspigs8Y z@W{G;BK+`>e0l2Q2k0VK{b+b40q#*tfo&Sv1GhD&`Vr(q_PXpT4XqcZMZme3_#SSj zX_z8@J4>y>Mm>5Cx%g(afcU}VkIFs)C-)e%(HcU8EPULdQ#*_d$iE<@C5ad%7a#t( zb$EfB>$k|u?lls3me?VFh;MGVkt^h-9Ck4sb%<+T|B-KNSeZ+7GZQV#4cF=}equUG z3fJ7bqz6*fXOJ^sgu4Z68rkTa*eyg8%bjMz^pMOO#r^p%TUKYI6*IV3@UOUQjn>nP z4|y%ExIcZKn~gSl$m~x0(0WLziSqF9UrJC&a-1$xZ%7rM4Wae8QEOYst^xdahByJ` zGkj-qHJ(i%F1*{9uFZ~pFDRQEID*YYS4{hE?BS3+z?M|U?0V^``_H|NY68OoIA$=1 zn4}3^&k9W*&qmPD?C`dbZdk2oR2}OZv7CXdRCpIxKL%!?u|Ur~ZH88Yytb2jBU-mP zPAU7$Dq0UVT}U-*j}~2s#Scf$neTT(W?|2~UnFqxy<Py>`Df62&|XHES7fpCLs4%= zN^l|g4c1r@g}?;k(mwtdKijDAmo``i&l5;ieyT?sP&2*P;-jh!NoaJ2fe7I4upTT_ zJIW|4!6gyvYE8rk6zg1N*e=~x4Ircmg>_kYiY+mgSFXpNEUmh@XB|Dw9p=b6LLPq^ zA_8?u=^?{GROk0N5+E!pvR_dO<uY5n4u@om*0_+sO%kLzV<r+Dg*bJb-SgRnV^XX# zn(*Kq3E_O%4`%$|Gwy-#J5kMFGKrX?SRt|eKvUlkakz4V{?uW-d;M~0&GI-nmM6YP zghJh&@IF<FyD9bFR*l=K*u~PyFb+U?Hau^<uQrm!WtXAMb$jnn?PvH>z;sY00MZqa zJ=n0gJ^G>3HZNn|$k47^6CoyG7ol$FVd&;7n$1m@WBxZ-o?moTIAo9Bj8d6gavIFV zv|aOjin8hkd;W>Kn#T;W52FL_SEbY=GSW^Q26>GHdzgv3+(YkIypI}GtQr!#Yv+S? zc&{afDAsKM`EVr=^6OqzsDALCf&8%7wV|~o4;=eR7&~aFYc-t6q?LNoKA_{OXVo5K z2i%W;@OtbZN~q+XTdMgT*;2&!Wk5A+<U{xG^eOFMCDDj!++W>MLWE~e>f(p*miBU$ z??Jq|A0$pOt=l)=ZP4s^&6b=wG`0V6I}iEY?uNMBHf*;=2uq37t_V++#Y0?y`|6}# zYib8U>Ry8kJxi2g7KB8*M3);trUfZSX<OeMlAs6ZEFI{J4olF$9xu~^U!AM(_o7Ot zguhbVhIr(G)(AiF<qTuU1o6usKd+|9{Evts-;>!A^iP()qWZg}uyXVX#S0Ulao*a) z6kuS~8tyrLLa=+vPQq&%Hpo~r0DL%Cn_yT@$F%*0^-ehmWDe}XMy2DPYqKeUE5p($ z^C-Rl*_~VZwmQQQ^cLK6UuX6XE^pCreVbH%T}J)ojj>D!<lmIss#1Bo|88TVbOyl~ zvI`9CpuJV_x%4x<1>#&bq~6EjUbZ;jlj2?aeEM-V`zr&+@gVS#1ac$C8bA8l$YrNv z^i@u&>XN|nc3<!r<$%hSre;r4A#lG7i+|Fz;_D<>oJr(*@8JdifN4ehcX08P{4ec~ zI<>jM-Y6im;*<6f%J|C#YD(9uOBqT^C-;LYasKt9@xugGe%+d{YVcU#RC_--mB(aQ z6O_h7?HxZ$Zsd|!3Nh6Wh?y0RuM~!P%qF~)A&1%cl$YBJBZ}y#ry9XZ+Mp($&e~a8 zR|jQ2kdH-ZH`SES_R$2mr>SBV&8dkV9tEs19s;K*XT($Sw3e&ES%6c<1K<j-D_zip zIUzOA2MKPw<^cxQx_&j#PsY2CGKK=10a3|Ub}h>Hc4*a*1{KJ_#}?o|5nKKA{@E_I z@yX>a6N#Hw;G;9HsHDvEs~0)BFCozpQ(DPHBzEzLE%?MTQu}?vttQ3E&URhO_g><D z;xe+SNqNW`19n*x$;rR$+9r~Tp6rqlTiVI>OWS=)Qp=-zdVExZf=5n6Psz^Ty!se5 zUq?sqCPFGky*`oLgtAf&+QbWEQYG>0%4m_*|1NJDn0FO-OkuSc^o|!^qpn0Q8v4)t zEB5ET<`^~GqxD3wG{s_&)=xI|J3$#{OX$Qi_vfAX?i|#RaJNQN^JNSk&^b`TyjcSL zPR9J5A=Hp4i)St}u-!JLbZ*cfYlJzl-O=3zQYmfTrjoY*J9<i94`;KqX@EJr-65rv zXvjTY*!$JrcHvU|Aq!VMGW5-SWtb%KjXNPsoP;PBP^vMc7%yzX_|l}<ne=SAL_nS~ z-#7>Mf<&8VPAS&k(Pu_DrF^EWp@V15s60a%Mon77Ggtf>yfp_UBz33SZyhSnQ-%Q{ zq{mcI?M4Cz)>pzMERv?Gn&z=uTFDjCvp+JTRHc!@fAlhM?_hjjC~t3P4%$ezW?=$_ zlF8(1mi|>L;fZJERn~Tj+LxT2U|>B^@{AXDXS)|4QYzhZO({K5l8b@PkU!-?G#uhk zr{!3=hhN7FmvFrJ(FkVL#&1<YblE~awG_o#2+ZG&rq@#LjE~vZQvRKTjsTe42w~L# zCZ0LpT1p3>8T(es<7)myo{@H4p)j5i$z*6JaLb%JZPE7Y#it5qf&PG@KP^zO&&+%` z^v`9fj4oJ^DGB4H5X@F*I5ken<+(`(@n8$P_!Ju=u$Ga=5h5^HB9P7JxB~ep;=t!< zQmh&xu$rl?M{SqXG+;jhJyaVJ9)UWgu=0-tXN}Ac8(X`(gxhjAtLRbMrA*0_iQ6?G z4P?sLHigxFL^od8LMXFCnAK(EovR)~z%EJ|R!_x+d{ed5Rk;Ax$`O}%VP%m;<KnT3 zhQ$&A9+5?p99S^*A<x_;WPaJ&XXek(<-KZt9x+jqVw{eKAB>vAi9EcCs_hL6EA#rR z%Sbca8u81CC}kWabc#~_)_`EtluNPzI&XR(`8jJyB*`q6vI0jf<AtxpuYb@AH@v!* z;?m&wgbs8bP@qLN8nF3U-L6QUwpCQbfg#DbSe4X!Ud<&?iM9!%lE$~$t_<@dF+egT z^Bypry^I&%SDT7V7I$C~SzyvKD~@IM9=YoyPZd9$gQAe`Rs%FyVlQgvH7sNCrkP4> zhLq!lIaqlm=%IvUSr*i3CYy0BMa}}=3mLFj8Sklpq4fNAj}+F6A%b0Wg#Tu#x*eMK z&qhOGO*Rjy9EirBSg8cx9Bbbt6B{(WpG_)yS(`n|ux4^1b81A!W?@T(s_NM{MoqK0 zQKZMM#Ie=dYixZgBNU)w%oo`F2HmIC>9>02ojx5I2QKM-6F5A>_O$5Kd$r~{G|_v} za$dSEcW)#8<LE}Fj#8m0H@#VFc3ww<!#l4iw(6a(Eksfo;kaF_WDv)B*k?$zfIqpd z<Jv(5r)+5`cM*iQ0u81e=BJAQ6O=7&d-s7LI&SKQG@Uo`ezdmpV}(`6fP*8KEowNg z<T2nv)76i|&Y|=1p6homxgO1Z*LrTcZrXs==1#G_1}>y3FD;e-YRJlHw-~xx*c&sg zs!Bx%Dg7~CVP>ZZIW^0hEAGUA&2hdNHKy_Q^r<0-!^c>CbTy8_wiUVLwGmnP?&O{C zo<i?g6h)&v5@a7z3(FNg#0$p)0>xDmIrEsw91F|R?A3TK-=$Jt`K4<7ijvhz#p#(@ zQgxaCt}2*Kc`v0}N;8PURx$gUZEW6=@(*)1<Pkq2uNb75%S={dQ=VqeDT?otN=@(~ zRpTL=L@PD+H~*Zfi}1LrAPyY}NnJ5WiKI4W8%y`6+-1%dCfY(0lOc&OZHm(Dapg3S ztTmCWDL!!^Sz`(;Ac?0`1p^pLk;Gy{_()<6wlV!U=r(h<8%a}MF$Cm;q?WR+O|w6E zGl^t9g=8%hi%H#WD^Bt59yj@|IZ=pXK*Q&4e+qj>B;rD=qXd1T<q-;W){4s|f7xx_ zQ~Ol&eO}`?FY1MfuOHeCAM^y;MCSi0?Cw-tD(r!HGHc)m&QAs#*|TXaWY2Xc=k5r@ z4`YYv<_M#f0t%^{LsrHPD}4H<#lLkOm$YTD#?vZNQ4!Y=N%DP8<8OQk(3#qj+iplI zL*qPt8))&XOREs@Hz2{JZbr>14M(dXZdUzg4rNWN3R#{l0n<7?Wk0w^8CFSohzw!a zR7fY+A6vFoFAdOaNJ()~gb@I8xaW$8m)%%IS_oPp%e2B`1g$HL;38|cCY7cu+pdgx z->K!_)%;=jex1UOANzT$$~r9TS4#v;@UGj19Wh6cem*RC?VM9tLj;wUa!P1}H##y; zs|ql6uyRhS{$9LGE&MbR>S{)Yy7Mhtw1OkUx9L*{xe%R8=m0GM(IawZ)f%_22rK52 z>TiY^YF1_BS({d|nvQGn56|J7{J<%ta;>a5!a&f8EEymbT9`FiqA1=cLJ~YYgX7Xx zIRRFyb53m}SSI=C3~MAik{;JjmoTtDuU@Is_4<7AM`k!8fly#hsx^U7=sv;aEQXTK zW~gDt2K0sp=AAw(Q1&5V);mXZQyk72&k0$S)!mDn(nbVq6^CAPVkK&IH1f+xXmyE> zM&?Pp^pfGCv1t2#wTVB$;cEeJ5^ba7y5{no-tLZ_4KUhSOW-xue;+xk9MAb(u=7uF z_sCwO^3sV==jP=OozY&UdeA%hLe~U;%=O<z3QKu)F|}O2gQZi@K*how;PLW&NIrz3 zjh1>|v2Yb>aC>ikq{SNQSnZ}%8yff!h4y93<+^D@J9}PLfx~YH{_H`KKj;1wLkUaw zIVxig4lE1r1ds|yAH<;hfzxWluc+b|o^2wmtm+rOZw+H&k?No3)|gApvknq#0y(fz z{u23M^xmq9#K|SRkoK3RZ}omX<ejgEC*sc=2}xGT#Y=SwjvW)^&0KOaI#<q_qhPm$ zf({iQ-J{zPTGs`1`S%G066;8gx0AF!66;Vb_>bL2gQIVwmmhxMTvG}Cv-ArO8PPz2 z=2;t2PrinxbxkRi*;g#HhjoxNk!fhUtnAX7m72p^1k8q;@uui<@~j~b7<x9l%Z=J! zek@h$`EE7&SkrO%VGc3RNO1Vc7`%zIm=%zCuN7xO=2W)V_``5y=%<8a={yW5%{E-S z3Qb3T&6kymd><RF?QYU*PIAtMRmdRA${l}CYBeyWm7*e*c{L(kEWuKLXI}=UGxO9) zfu-3VX!<no6hq4(1**0_x^CSLWqYKQ$YgZxNl6ttngu!k)eySfDPAuBvajBB;oUO$ zSRujD>Idx;K|Vdq@iX$V?lBy0NZpv`O86qfBpsOaS1x&1L?8En1jnLYX$yj50S=?< zf*SL&4JTMg0ln20U%8L)PU)oQ^@$YhT*b}0er<=??f#&nUUL|lmFEK8a3i5^NW5XQ z<7HpbxM;#lQ!WE)nMN?k43u2+C&@1%f4O-aJt4nS;vYZUDd9F!9{|U7{PkOpq>QSi zv3wH^zKBHG1LcXz24a*gE#>FDa6e#;|F`mPM9^e;#LkV5gtX24Y|)`M)%$nO0EAz_ zEaXQ?i|zdIf807vh2cDK53M>n_+PC$8Td=BIwrVU*;1^IcG*(44qw?)l#XZFQlbuh z*;1a4RoPO6jvO+dY<Vx@a2Wg%aX1AoKpYN&KO+t&!1aj3@8C}enm%wjg610-il7++ zw<Bl<z>x@=ad0t$W(1s$pqU0YLl87$-~<HCFt{2)GX>5~qa6iHGkGwOQEJwgzY~PV z^15@vRe9ZCz{hyqfp899cV2h}(88(@3{y870(Wx#umuU3k7e@1_N7h7!I@mU??6p? z>$u3UzODxH$y?_HUF5D)gD&#cO+k3M>yjY6y!Aj(eC|336rZ=w3IVC-t~-L%^VWf& ziQIKj&_v$4ABZb=oe;#8x2^@M%3b#WRq<5LffJPhK~y#G10N`Bd;+m3Yd+l3**EuZ zfA};DD*FJPWi9)VKI`~jYyp;i$elGTGa3fxfY!^|C@m4*``y}@gK5{(T^FgPdS=1e zPuqB&NnZ`m-*_U|ze8@E<oF=FU(A?)d7gP+oM)c&UQF!N^)79)ZPPz*Zu#C}Z71e@ zy^1(zzY}153>>3h;;FU1dvnr#aV90Fp_G%+#-2RN{_#zr@ff7eY#rulvaT?!$s6-3 znj%FpUBRF7-gc}CbK68()8^+jZgmw@BX(4fyuaqNl57b?J*|KgAD>0-x%p%8-J2=M z015eSN~!j58~zif@)WFiHoZ&dpQj`0J#Sx|JEbg2Fz>NcpDU|B4^=)E-(gM31@1nb z)BihKGfq+%k!}5MZ3{n8t1$~JvuDZsHsjQw!I_spVpH+jRL+v+iC&<0_Dg1PPpGqn z8_S~^)ZEw@Vx%T0|BpUetkMJa9`8_1fW@fgeAU=|`KyOSrS3DCBTke-ztzYjs0gbV zpsz!1)2UH7&xrz$TJNlVz}*og6;{`iqPqXSyz_k(ap=V;-y4Cdn^-)1Yk_}>6K8)^ zw%2PNX~mhJfY{|<;X5*JRh>Dp>9AT}OH||5>P>Eeg!X?dvCx`@D2siuMrqWpNqD9y zrPx&pe?~ETOyy4SPk1IiWFg%9U6U@GjQgejwIi|ST$S-AnSFuOJB}xk*4xM_6<6lH z<OUO4E4eV?C!9`oYKuwWZm*sC>lNn7TUt1uwfk%1H{LP?#rxXc%(q|EL(M848z0w* zWS>>oATZEtD<!?Li+OlyV1C_io9CaJBfXsePGhp?9OX2YSuZ+G-~FlSx614-b9{dx zY`5*AmRzZvT=1gB$%Qbn;{Ct`OZu^CBh~O(TT5t?C>-PY{)5`H-t~9yrz6|+AuAEi zyW}6vE?24?!gV$9Lne^(W1Z=bbP>4jSG~hse~8fd24rcvu$d~OP`T#ULW1@`bo!l| zLrLl?YQCOGk<`UX^)H2Tic$WPdV|Pws_OjPXWmnn@xfhR&wSnNeB^xnfXk|?SOmq1 z6}&WP#v@N6(NH2Osk2dKDkLk_r@EIMiQkkYBUaw0S!PKU(;D5&fpVvcA$-!;`x+Vl z*g-CNxU;^E`hL;X`<>Iym{*=a)Ik1($*Mx1%aJwboWPR$?nj{JOj|=6_MP~X_7R7I zEa+GNU&fG6a~~R1(_;dF-Y(&QRKTZ~L_(J`lPS|NNSIf_@iz!F#MG%^<f3;-e=O2r zkxbxTme)Tg>oE`T{lN9$%Q=`apMwW-QwBK+K9#??F{{+s7hx&#npiS^T>Fyw?~&r9 z&Fy(@=cM^@_{~%c&eNb-@AJmmlG*9E8ygAjcu$)x`pm4y7XGZpd!JdqU!KqTjWq|c zYX$VyC%gZijz;(0j~>1j=xRo$6!Z?bj0w#sR+=K7_!2TiafO<O_v&U>ja&(vNH8H! z1fi!CELLQOAnptcn#A7c>$aXdU_9dANK{rle>!qeRgAb%LZQE<A|$?vkHXw=Kfi!A z+L2P<pP)1-+mz^;t`h(h%_P%L3QLSTWlLN7(mT36@C;>f&0X)Ww|zIYUnTPHiG&w8 zy`Zm=yRN+SUy+%}yAUEHOCXOaM6;L#zO%<+whhZrObAVQj`~vg!}JqE`?TJRh4MGX z6M3?|!-pJ9o>Prd>w$I3C-fBnZx>ZF*=6$HD?rlR!yfyxw?ML#n%v-v-&$c@cUZ<8 z^_~hT_#vM}(3}x};FmtMr0S!4U%ZSPootGl1@EzGTp}0m5_04v)p!~-A;to=5}^re z;R87VQi{EOvSH1k8fy1h%go$~@ll~sLTC??l{)LsLX{YEjy)4Ib>2#^++oHEvi&2V zf$~YwM&>8HT2&)XM{lwc$gnk}Mj6T}_(T(GdU)esP4z_osId~5s(MdweU~c8cNF?A zj`LP1B65=8xWg2`<$NO*a&tAXE$E{c2VL)D`z%H$sOBy$z73GH6-Cj%on4HOw~=Rc z+^tPt3E)?Y5-)P6*5h|M>8J8+_Il+pUJO&gA_|PQ;-ZapeV{tn>zAlIHEEA>W+Pg; z!*CEJGJoGW{n+x!oI%UtHi9%Pe7`(a_`O5xN0+*bE19;1pHmTBki<XN6DmH`*f}ve zx)ya<2uAFeQM}0Bpv>NZr{TGuh3sQ{5+xH`ekEI|)1iz^`^7^&UC*|*+2gI<gLFj} zmZ$Jsmn4r#Pf21xc^j5h%6tlr{&;yZRtP#NrjSs&j#a5Ki62(i$(;5=iuSVkvAz0} z0mZrcxI?S%rf^>b<Vl&G8C-yc^%#y-!&XR6aK2Hh&6!`?|MF)lzC-Dcj9FqLtxt#F zCcA{wCESXSSKW1p>>8<@L99OuerrfEd5zeo7LsTbFa-d4L39;@r+9+=3`)76fKwI0 zK2xl5uyEP}HnQkV^ay`Q3^RHYzyf5KjZnPjo($E@9T9-gB`{N5^yvxbn?%NqE6beW zXbdub8}?wznpJux)q$%2tf6KVcnYt#7{2n+M%c3!5=#RvGKJ;!r1!WFwj9S*X7nAm zytbUCp5DQB`bQNgj*Y2G_Fv*p|9YPw-Rt>@?dL-@`P8Ykxe_cTKF6`LU3HEwK_SjD z!0Q{|)X*_xYU+BUr`K?;{2hXpD3pbA-xsbiYfd!s5UQ)|F^o6;Q9_EjL+I5%!%<oD zxSat08=r97eJ3lm3mHmw7cR#ip9?T2RW9w${A{O_Qf3nIc`gfX=&|!_Vq?>qzeSYH z#r6*!2$&wp^Qk@vL>Q%K`kxw;(yUNa=$Ib#vwBBBe8O%7i5Bmo@}Y**W!o-`8UD7G zxueceSRus$(3SBSHKJ(MbN;F_@*3Tg=*w8B#T0(cwRIVD;EYEZI5F;JF=`K0i(Rwf zmD7IZw8mE#V&mO@dsV)Mx){>t{5v2<hC}O1+vfg=!c_5ZlU_J0d;QF@Uro%jW?6}} z)H0zEM-dA6RArdTQO<Fg;L2t{@BYDekh+<SG4I#_Ifv$|G-b9No?Tv9#%_~ZYHO8; z4x_)DA5l?)U%AWqzYq&gs!fp>ZA6gEc>1amr8#`};6BY{_xobA#l6f!rD(sv`g^W9 z{G(-#@xEi~<8$jtqvEMmZq>Brob1jX{nT=ZMdk9Ld3dIrXCYp}Gv7ax_)M3`9nzj@ zsov%{Q3;7rAv2L$R4Wq+fR-Kog#hpuEP9;#-oGzOnyoNrdQAQFDOIqfZ&i;m05i0g z8}u%0N;$I(M4|dz^2*k}%Mmv$?%#a3G3acHzME#wpK864@o)1}_)0~0vC(acb7n6D zk^I~L-Ib+$6lC!75pJ@ysPgu2-s#2(OO!}cK(3bHs`W9g{$iQ*t#=JA>C|2`mR$8! zSLK<|OW`U9_S#&j!+xw5vh)!NqUVZCmW3D7L8l+k=-pKEit#G5Vjyfc<q0xJ-m?)W zOKMFqZ+HVvvwF=A336h2_ZzW1adKLyATz1H!x7!7BvY3Q7#3F>`QQM@>$@#qO&Ok9 zVf$$umVHUYHqIOLu8XWvnwP!=co}Y5-koFm!2|b#5*f2RrCr2bY3wXJ!l~S1H43o5 z{4HN*0EZBcwX1@ha%0v>u96^)BDTrl+SF9vFCF3Wy1L%q(1`-$7I#e<6p3UgA<QO- zl`KN<z(4&=s(4Mf4wuF}d&&~fakW`8qH7gJZ7Dv49uc9Mg0@~IzRX2IM)}*3cuE8R zv$y8#aHX2B{;UfEZ~E0MS}4Ryc!p^)5=zPwDawY`9OXY3v|5^za_#TyZ_iK1%cj;i z2T{vv9d}c{(y8Z*-kk4`pPn=HgoMQEz2W0k9|a=x1n0-%f6wv%ddaGx<HW}~Bqa&r z(6smpW~o3obJjUHP?k#x{}Ik!;$itS^ZvxIsWZsipxF>PE&vPkZ+LTW@79~3TSBqR zLWlV3@<~sdud-Ns_~rXpJwCq0Kfkoc4F&5I(&ks=lfHj&pQJ$*`l?6Iw+@-q;=g-q z#n)r1!CPtn^tIi{t^;;5mGDFE+gpf%S>idU?OMOa*)X`$4+SD)tn#y&1W5nw3q@$* zAEE<5TmRIn=jDda)iw3~j~w<4CWs?2b{asxZuX$|Gc_D64N~kjwu0*(7<x0jO^*&} zP<!Rks$eJlGv3Gcj6Lymvl{aHCpjyKsoR)`SS$#MZ%aC%#?l~o_wuTWVKysT;w&W} zA+CW2Eh)Ug(hWd9?yjG3VcVr|E^>Efc|`mv=Zry-?5j8jz$hwvZy2Yrt2Ar`oJuiD zGt4~dXO5nCq?`q^;`SvU)$r1RZ7Sak%>8x$sW0+drG!jab4pluAHuGAQ}o`(xvd%c zTloP5(K%n}EQXi|nTh=iXv;nz>G^3K3ggaPn&al1Cbt{=J34RU+)C{q-=Gg$nxh36 zc5}PP{^hFbaJdcc*s$aGye$&`9zPmYqUn?{7YwKagbq1M$0E=G)qqUV)~)85cuU=V z44(6%4<u7;|40UgA(pwEJ37i2rlv&$J6U+iEVVmXfdX|p>vzAT4WBYJI`6r%9Uh}v zmCC9EwcklVMtQI&Cl3TOivf=U9ZLO^$Ev1dzjE-%kGlS1)NE>Ru;6-p2oiy?Sk=3? z*E=Or=wU>3nlw3qYbfw=#iTC|1l10|LUoK+rcMsKq58%v$PT~zscnM3q#8{(C0mBp z3@hKr_zr_uo2Oxh<#JEJ18E75Wdc}#=x_uL%j339avi|}uA*T2SH)k{MxU?boO9q> z1o-S+D6sNZ8Ils7y+hVK7@xt2sn_MbQbApz(*KoIZI0J5n3y|6<4;QA8Yd~heQi&L zQ8`GToyWu!lC8oeAJo0KpVpf-MI{#Xy({6m!eHbC_h4)!3uooK8x5M=BsjeYy^==t zU@S5LM{;kNWQ<FZ=S!)gC2eX?#yn>O2Ho|hm$#&V<mRxzm}R{;6X=bBIqcuDAzc9T z9u&6m$3$trJ#Nyamq%48M<;`#m;8RWl~21|6=cc=i5_QAWLtP=^0nKxmD1LCJU(PD zk-I5L5AOou62kQZrMZK7Kfx5HnzRh1o%3CcU)@|Iy$RjOHJwov6Flm{&%3d05@)(e zdI*n`U<vt_pjqOp!xO}^;P64P$agHBtq8xFf~vC`m|cWFd20bO(l^UsU(R~X(OJ1z zl4^tw@w*Y#T>84l?k(R&ydYl}q{AZPYJII|=c}m6+4IljoS-VrNu$*>==js)qx;EC zeoSMXn8&%1uO5NI+)cGd*;yuq4~rc<#LUZ#U?CNCxca@G@0*$gmYw&2%sGpN@vms! zYmU0U-{S$Pb5JaO&`ozccgSl;clr`6mqhL{$RKSOdr6EXcjmXQ8{Fit{>Fpb(gHa$ zF%%`E<CwogqoqQhm3UN?%epmlKNpp8^5c_}Q0@dvvE!4cUTOf2ZsNqxEM9%7Ci~@F zd1`Zag2QE4!(n>oWuU8mtscSNa&4n>yO8>Qp_TrFR^{kx_u#$T(sr5RiW(xiIN0{q zJY+fsJ(bnzr58ubjm^e|*u7)KD*l`K{us}BS-dX=NwSX}1s-mAYI<z+Ahj~)2MZ0D z<bxN8+=?bj@L+S$JvKb=GIf3-Mk*C`?KY*<L)JG-L*RPNAiVQoM!DFUlT%G5c#o}y zy^B7-SdCsXHe-@iFFo*{->A`;Us+v;4?^FG`6sWb&z7Oev(mq#ji^h>72~3{G~xdC z)cAbwXTWxkY(h%A>p+J1)y40^lkaM&?>i=vwk3WI37ry^_Y%?2s0(fJanGZtlTW+l zu{amJ16`I*yM0!2{!ApqY-PW^;Zc7O!1&;J#06&<w~0k(HC9Kwr6*LqkFt==nuG8! zm?}5GemKS>yy!*$e4RqHP{2uKgY|UvpS;wZi-`bpVilsxB|5UwY3)uQNVkMnVoHkc z5r0d-2}E4QWs%2byX=Vu@GP*ua1E>0Rxp&6GsBR*<V<!lbE3>SoM@}Wa@nUv0Jb+s zKI={Pp};AXD1Nx3eJr?<XqBb59r7C-N0iL$U1RYRxj(qdK3Wvvo?d!)szhB5E3r#2 zeW=&${3)u*EH4yXPM*a@1<#gkPT8^XJ4cQ+5lJxzKo{INrmk(0g;5%xJd=pDS+=sC z`UK^DLfT(R1i9zGp_;lV?7n>)ZniRYW7Fu%<LOJ<+!qtOneY=dr$C>i4%q=U;m08u z>9tg}0&_%csd%n!Ixj1_C>>&9o5hljgY0VR21-2d*F$XqB@VK*WDw1oFOcZW2QM{2 z!4-Hshw1M@#(l!Hyg}XOxtOTA?4hqv4!D7=d3x?mGZvmu^Gb|CYV4fo6MN0s@9O3r zjjG8RQ+nXM+{)7)e1``D@mS|#1Lxry4V5WQVbhjfxpWws1FA}nUn~q48@P>p6<paH z<RA05XHCa<KetJ;cj5O@<#0zX6A`~2p8;M;GY`*hj*+`x{qAf|Ti%dUT@5y7JnOe7 zg&)i2W?fqf;bzPdij=Cock2h25!orHb*=XQC=pD_FBh)bVn4oy{LU?op28r9%g9!9 zxuC@%Ea^Q|8u=fNN}9jNaHZl*gw|4*J;`bSl%cFK<aBQ(RCX|gB1-oQi0Fy|uD@^* z3|E&*QG!)#f|AuQ{Pz>UBRBYTXN5K5xk7{BgrrI;ouBIl{YiITs+ZD}T?h=i8=KzZ zK+P_m5>EPBwL1~W(ZomgytgD4B<iPV&2B%`Pbu>*ZT9GKgIj4Vy(PC5iT3L~)_2SI z@0#Osnc22$6r!igqm3gKsPe7~;VS*NDFr^9djxo9g*di$zUwh9=Y;vCc(Uf1gwc*D zQ!~mUH%oF8FzF+OE9CasxRq+W2i~fB?UiB8>`mX{7<dol7jMA6`#Q;E`*7F4Z*m9& z`sX`9_u}Ge<n~G1Z9#`t`_3RrGC!Ua=gj*OrhPTXVoo@XY%_3*Y9ut*<9_B%zI>cV z1uGYov_`oZs4c|*2ry0IC|xEcJDDo&Lf2ffUrV$$WLMy-bu$lT^<LEo^6oqt4D_}x z3^97z3?qUNF~4^ZjGa@Y7mcH?(ep1RXSRgKP{2nkU#BLyv_9Eg4#lh&E&dV3{Zg6H zTl{)QqIfTx2x~Z}#j$<tZ4|wfvQSk;DS6AeU0bof$FGhS`ln%{Rn1}dM=)Dr>#?h; z%i(AkqjapEl-y!GxrR}kZjf9lMIkYqFjRv?j-&~K5vTV?j#ZU&nLS;XP0n#lJtINS zNiOpnV4fT?oUE+enNnCAI?1P{I?S;42xU53yQ~mA_&Z9xue8;}_xJZG``ByS6;&AL zlQBajFQO!M9+8|uD$4ngRGmd1!MydU;Y-naC^tpMsPqZO)tOzzrRuVtxAVc_sM6UP zCBhwonAavi9ui6@=5u*t*JS@;()Wij!P|&rU;c*dV&{T$D_zcqa8c!mM@kM!2poNo zoZ#9nw^+I3Sy<_cZH!E8TNUxv;N|S3jUYSRCUi2xm#NK^`tK;VYS<*J14rU19B%K? zvb4htE~eLJPVS=()8$P*-}(%08avKOy553Rs`UT)TqF=mOU}`b>RwF`SN!+(oT=(9 zI8p!OWj3Vhz5Z_|&409T@6hIetAEOd-qa^=4vk7=#&glMXEEejV-Ke3M4)@4A}Qfi zq2u6GdORh9kz*XqYrA-reoR@t`A245uf)(w`lX0-k$0%Ig-0Uqa!c>L|MsfJ*%9JE zO2M7<PD%zY<a5G<$xTH%n#nsHu>Db<u~)W!=c&T#GInEXgP^J=gMVp++t=GBsXMm~ z+QOu;r{BU;S9YExxDLYKlj#^SFYe{EE}kfv0tt6&Y~p~o0zAg?E=A2$JeTmVGi8a6 zB1-X(?QFY>i3nS{`};rcZN$Hf47Ye7B`l%7_vZ1bA0ij=Xg4-~RmN1ozW9!SE9kW$ zMzZ|Cj5LR)yQFvVgFOzR&G&raoT51|OPbB!^YuO=Hv{Hga6O8jH~xGZ3Vh56rR5oT z`<$F*STWX)t#~I_WOh9IJ8L*ZInl)R(U_a6Nu!(w-V!>QhQWG0WJ^_Z0sm4Axmj8J zOIdRRS0fEsZ6cvs*?2=VD{Z%~$qI&>J^+!1s>x~knSB||t(Aj3;993X7t48NbCgMy z=Lh4ka}~Wii^TG0Db3aSB8~J29C<b8F8ZAF6XN=6mW{yazKjC8P~Vhb1|wL-vw}z2 zxl&P@s=?uruJ+sWjM$2G3}pO+>oT60etRhwsP<=#DzdvWC0@3|=l8v=+`T%vo0~c4 zVS$-46>@_3{4rzn5Bh=4S5EdUcS8Zmy*KpxRhDq__*n+IK#B_Tvcb^HRAK9?&&5nG z=AZH1K@6I2p7waNv}Xn6I=4?=y$>KM+Y0psNE9j#*@su^Cvz$=Xt6y)_PA@!F2;pa z*$jepu$ZcGv$gJKb>FgiSOl*mN6md;*}=5Roxb(fco#Wb%|+&GIMq3aZorz1k*BBE z^T!SYi9;`3r~&b1d*QI25>J9j+c4hB4fD-rs#9Ixv1Igjcgz;ocD>E1=0_0a;pa{1 z`M~2!+^6kx=gytcmmR?nDvKMt4?42t)*I3ET|)uo{yU|niR4*tsMgNyoOC45*w?P@ zx{8&Z@9@`j4j~Qx$eyiTRWs^J#eKf%bUYU)Ny!5zE%8KE`$-r*@?csQ)T^0ff_Hb6 zGUs+7Iz;kQQpr!oM!8zK`L_**mbDl%S9Y4-NLZXrUp1^Pr3rwbR+Gn<l^dXN#ktUW zyU_K$i$zH0>cI7KP%_81)8<7#mdvTW+s$9WtXIm7q0U>usyF8SQc8%*ojQ@FGl~KP zZlfd8G3yJ&^8^oQ`+~hY=07d6d<;<D?x%G?oy=%v=lN3TfjUVNpQU?);;2qjP+@UE z(#PF^aPh6gw~mGwTPAABphI~xjo^B9D5YcDcJopnE0kq0L?o|ptkt|Fy$@*m>;pPT z=lMRW4sWLvJfmum%N+|7U|WY9ODm(JQ(Eb7eL@tVjBI}xP&ou_T^Klqzrf-*EKaLl zq$2I3jMXwBNP-rkCII*6zd0GBz?mf%kh|mvh^*~H^Nt`cLhARziP+Y8_B=L3%w^8@ zD6T_X$aOi2a5QnfA^A2myoDppNA^TQ>+idmyvt134#KP-k5ku}tBBzZm8nX&Bfr=a z@ix*gx9cptl%0c7YFLs7c1X)`P;)<%PvrM&A%;-=RKDb`+Cz&=smILJE~tey(+qwA z0OLo4>2r{Dl$Y^8{pi1I5NyQWHmBp12@3Okv<UtE*>-6gfxgEWz+odsHvtBrWX!eQ zWPTS@6hfzTlv|vVbfYLUK@FC9h!+#OpF2W%E&@8YJaLEZV4V8Z+|V{S3X6U0B@wsN zUKj>jqIA0=!bc}yvyUjD3~ow}HZ&!esJU558aogNbt)eG0{3Tkbf)}nENFo;sxRp; z20Lo&bYN8|TfnyCy>oab#*9%T30RbH0_=?PbS{-@!7c{m#w*CU1)>G<8~dt$q?!|K zmj39pX@-3ibBFBd)M`f?miO;-g`2)}A~Jm8rA*4WSC(05P#IpFaX=_kOSlnsqpkkT z-wT<plXZEX4(eTe0w=;WSVohMhoD6*sMWk4UWo`vK&9#nBMViR#Aex#hjV@3@Uxob zWx)Gmz7N|W>7p;Z^v+rP2_>CZiU$HJE*$^FBX&>%@BZ+$p9=|k=x82q2Yxwx(;K|| z1bmDZ0GTcL(`4?#{)KM4qv`|BLV5pT{)DWei(5t(KGJ4Mp^0BN7Kmi0@M%h+xASCg z9F?^plNA{9E7LvdX$O2jktvaMbP@#x=_jg`;Ry{hJA0xm6<Z9_1{a68OugC<aa4&% zgtly?eLEB|tmz~w7uO419?0KOpOpu|ReOI4?Rp9NvVR<A*thn0NCESUr8q_tVnO&X zs|LnJ5S(;6il6?dzj?S*NKdMSnw(qYc^Fek0{dQ#oylG3a;l9kaC4`KZI8V*K-nGA zDW!TL<jeRGv*_ivV|N;=Ie-JoYYZwwgR;FFtUb}fs@F{q<IqW%F1OK44-1_x@fH1u ztNPVbMrF1G1sKb<*i9r^7cHt&aRixw;i1xICP}v1`wDzCNqqs<X1CH6F*C<VEto+4 zneidcKD-vgOVQNiy4x$g_65IMCt<@ElahTHZW6OzPsjvK*&!!Bux54Ai?WOc^(ZZO zrWJ222UX)Vr0$O<SH4L38Ojc&*?Q3K+T5HmtO5AvpIK(_!*4#>+xELReo~OUu`|z# z<^9B7o;!KPqmaXzlT75ylXfroxqp-=U2EbzlfL)D+lMBz;cV6AI$-NxXZ?;zeYcd0 zxj$TMrG4P~^4*kfc|%PXXQPMz57}p@tGDxBww7K^-9f14q4L+hYimRsb9jwe)<HyQ z?aN+4e@&n%F~>6a8v`_{(X|kCvxOOYOny^gW|(Kv+@<)(q<$C6UslMu<u199lff_g zStGs%QpIvO8)G?`C7Q2E%F0lsfhsw}oHBSDDX&*NIt?+->g$`I)-y~o&tAWBw~B5f z+<eYikPmt3d}yEZ>MsD;D%(o1`8P+TO+}-Z3+^W`43rjqD0EI(!J36wG%E>{X+%q6 z2p9<4cWMKqUd+k|dJQK1;W#LE(GpChk-K%*C5})IrHm4Ok7FA#L_{<1Snf(Z%A(xZ zEv~i}zQ;G#@3EP#bMxxu?y2GrM3qeVEzAqnYSy4nHKciVU1KsmQtm|Dt<=5FJ*hXZ zE@M8{la^@||8HI1H<K5_cJ!l&{R+qS(<6!=#}$F?XLDJ_C;inFY4)H3ImM@lc1B%( zbT1A9>>{;d>&_49FJ8==&MhBpt5H!Gzrb+jYmzsFy}+6^`l^Lco|yUkDqr=3ss@OP znp(*-MU3_1_nG=vgr5#3eDlZ&h&GFsLxdzpot21#BHr^#xO$lWf&6Z%@f>p=8D~z^ zXZrYOzVNCm9hn>6>Q9@qu`@N@kbtV5*u+0}WHO}aM`)92RU(0Hd9&>?&M<x=o^5+` z;&LuHm>4}GfXOuo+AG<fTOj%AkFWcW3n?yAVS!KAshXZbVyxg)7!Aw0BNwZD+QJ@3 zir5!AHn19T2y=|XG2{(54vcyTB_(_xhyyDHDWrsR&0W5VR>locvvtIS6-MWVQv_uv zm)^!s={K2$x+ER20pl0N{bjg5C8dti))fj#eX3<FTbuYSQP~kkJ{g%_&>^3IL`<_1 z$`|33Ox{c+b+UM}a-U)u^QcdffEWU*MS3A=>fyi_0v#Jn5V7PGAf|WM28)ZMU*WL) zPY!lht6Lr&dH?=W`xSPvk`j4oPIk-gTN9v?a`9*XE5@Jz;u_`*V?$GmST229@`{U# zB3M_}0(~cWLF~@21M$(?EEw0qr`(DQm%`4bafs?HCxEfXFtX&a&7p>H6C{v%-iu{I zHgsjny$xxDG>Cq39X1~S_s-6O*eJds{onCOAV3^w$MKKNlqS60JfY;#D$yN(Qx{1G zDQ;24wE3_0+VajT-miwchsBaS+n$?d;o?@vAj%W$O1vA#pWYkhiMQ!S5`Erwax9<A zfdr*H-Atbl%9P{3IzPPdu0(=Unw#2JT0k3i2xN7gZYY)!f7^2<XdbN=dCTm2{Z&%$ zbxEp{MYQp-`%#NM;R%shYd!6{Ti7*bLzVZHbY_VelbXPVJ;$+;>v6fhv?f;g9y3rI z8ELbX55N8?jBbxToni&06IQ4Sn(jK-yst{1wE;9xz*CBu<eSO-ZCWn`eT6@X+MYpl zB})zIY|n)0j8Y<zc*1~Fi^Q-ZcC^S>p=QJ?%iyyi2Ruz)ng_(BS{Ckq9%#ci$;qx* zX5hsN<SP;4yd;Y++jk=-8rtNLq!@Zyaxgepm(C;F%&!k`R->|bi&tnXoa(DHJc7nI z>G3w27t>pv+fpsdz?==JjQ{|?#zKbmd<~Sr1Dqmw!|WD%h0y;K8Zj6NP^)$pDsY8S zI6CAO=5QV$5!4(HVCB;=!q;q=&-RRbO-CoLUTrE)Q0;?(G-LIv8ePzh{)SEhDD`g@ zw&F(A53PwAbdjg{VfFn&*q`A|=fL99cMbk=FRO6Oj7uB~DNEQ~Tp&Qh|3oa4r{zM5 z_&r>l^K`)N*1nuEa?tin*99=Z(BKa<jq!TRonIu%Kuc^`VWRP-!X5h|3Q%k?UT%T? z5I3u$`#^k+`<1`$L3f@Zl1_ndaz`m$GP>TvnDqfztB1X6S$C0&fH?@Rs!|=$4mei$ za=gc}Nz<3qv`~aBvu9}Ov=NJblNK@S);V}NaKBX5Hh}A#u9Hl>2q^qqJWjj_yzV>N zb|`nkt{SdkQ0!J%<`J<}>sCZ&L$Xv0LFVof$uI3;s3xb;*6StqQ%fu>hhTHr6D@xI zn=tp0ICCN&nJX9`#=y;`z@QqTV9d#t<}Iljp}1_i?9>EN7@I-vO;s;ZcLrm}7tc9U z0|yi;eB+{H>R<0vm~kdz+w@cm9;-RqV_(F*RhcU%-a)peCJhwBZ^mh%W~TsU$<RV9 z6FaJv=n3;iQZ;t^x)z@?Xk-~AS}<y2+nAEzs=_D-<_BK3W6l*5v-a|a9D{E}mFnoG z%!ZgX2_ZJ$tB~`9`7!M1O+WIAIVu}sh1j15<C!%Ll8=%j0j6pQ1t@dPv#=(SO_9E& zvXBDwm8*xxlekdiS>vKzR&tX9rBS3ps$&d)Ydx07nK)bItnR)pjAnqn!WXs^#jnem zS9Hga@Oh!cOq>_nroGzbsMFg9d+<MDiio2j|4Bnl5yNkcltx{Fk{Kz@9PtaJG|k+R zs7PtJMz$F)|C5Hl7Q4_2DUB(W!Y`yW+nbfdf&Z!PJ$5%zZKh-j+DNr6M!)5#Qg72Y zr%)g=Sy;~4yeICYK)yr*24AkDFf%p}{K9zs&UDeJ857RD(kTXCNUB;HSRI?r;r;&N zCo{#pS5?$qN<V=L4~*og%`%aGQ8HfY;dBhmDQa1B)5NCgC5UFONJHIy@?*k61;Z?l zl1{crr@Gk65nlb9=+kEGDCT3l-(#+rMjY20is9E);;pBI?SNziD!};Du$}MQ;d<o6 z6CJKi(vf?(j_Rxp1aJ-fFDh_e0?5bknY|?|i%c5)6Ot<0Rk&Z4Y;k^01iE>Ez9v-a zlXLkl66&%kKy?#9;aZi;MjIcgXf}P-hFxsWDDw>aVaC#GPWr{H<u9d<at&-?GbU1h zbM?GU1*mZ(=?5so&`Y~U_rq})hc*Q|%Zo%B{L^_Wyfh(iW&D^ssue^EoBY^2ifmhK zg-Lx4FkPeQ>PMReeKnO`k#5*oJAkYY^C<V%M7m)Pr!`s6PoE&yHvJ*is#kDbP@BOw z!~fjA9ICkywQAW_6)yT;M^R5F$5>kP#$cHh85+?6Z8%l)$1XDZVLA2TN3DxYfDQmW z1yVf9=}YGSyR{;}e3{2|*S2#Sj@hxB{w_JmL13czu5TGZ?2!)i7UkI`*6?`oXh!FR zxO7tguCQ!j7|Dm(IDW1SX)_=hxou<&=6L-kf0QE6po)LG<QMOqhlNSQRHtnJ<{G)| z(wwfyC&;GWYq>u~$aQl;op%iji#C&wfe^*&kuPSNORX!c39|%^)IlC12CFWs{P&{Y zTrN8n?A@!52ln1JFMu-_z{u-C|I?Oe<C@wqWA`e$f%f@VRSVi&ma8tK{7FcH_Y-RK ztt%V}$5s!aV{P#b<IsQqSpLV_TgOH9ZT;gP1x1h$=~fU>x{*edkVcShq!d9ynmK~f zB@#nOOSg0mjYteB4bsif12YWsJ@~ozdG5W>^ZfC9y?+0kea_k|-fOMB*POHG1Tw;7 z-Uk7$_0}_f?H#mGJzERsvzH?fnUa71+i49re^}Lkvwq%>^?}2+n&<0!&9o-?#37Bp zy*k=!k~Kxg*~~uW<c>*2oGv7wpeo+6%VyDh)1fLaqf6LyXcT6sED9c-5N1bC!e&br z=&{~`!-mF%-VVZ<^A=U!qzTC{()l<#FQ>9Uzc;YNqJj>JE2?x(CSS{8+C5RsT*5%k zrG=zD*9kmrsJQPgTJ&B-&(e!=t0H_N!sX%c^0By^fd`s9W_x|{^w^t4V)B3MzHc!L zfVxM9`1V3EeSWpE%M0avdtrY-2}wps@V39urX}ruTLIKBtgN1i3Pc$Ftu>NhdF@K8 zLa=kTBX-O4J)jF$?YNA@U-q8M;TY5<qowPvzH%w@_HyAQ%-e?c)XA4xuQR8xN0%)5 zPWS3npPCM7=0EygP*ZjN-ACUY_@@tlx#=H$g@#Z54wDdfMCBEtWX5iq3gDz)XlEgU zPd)q(T0lrJLi<lAMfdZ6bnft}hy3jddqm-t(gC97x_>A&rSAC$m@-|rD>=hE-7(!> z(n1y`4GG4o_p}{F;`_s0P3^b|t;Y3WCWj}Mgat6K@zeXf<=jtir5KWTkCai)j`toN zpGEujNCEvS{)F7R;koV4($1ynf6k5d*58Yf(!bl?$~*1_H%_G?zvl#=R!s_zq`Xz> zNJOrt#|vRS`ZrlzC)n3(xFYJY8ZM*Nb&?TcW;|z!hgFBDX@4(tOeV$aLsHw%bT;Eq ze=p#3X1s;N#bJ8<gYBOgHhFeQm=g-*5Ytd0AK)%Z$x-Wz!~p#-Oc}c8yW0^huODtB zT7G@1P%K0{_8pSE-YO-sGF$dgf#^dW54gW6OcW0D{0baC=)*$1Hp<c_f`>ETjoQgF zUKoB5oXqIX;8h9UpRIuk(>M?=fA$o}?|Gp;P2SzseQ3eCZZvG1cV25a*C_Ax$OmS? z4YXp52iYh&Cp&9CRg~;Ow8~01sf;=%O>13tYcQ3R+%o+y<|(_??R)Ywi&dJXA{Zi9 zi3(-sY(yVQi6Au7o)-xrRp6->4uy@nTjRpj-#H@&-cKmMU7gLe@t`*PMl(UXeEO-P z+HrL{I$QVYBwhC<^R%}=^#`CRyTtaa4cNJDwF_O*Xc?0DR;*YiF?z{InP<j*ZoErq zDQxpnJR@jrqDA{~prP}%uAWKbcKym{H@*mKvx}53Fo%!|@1*;ipTjO&&HxkDqnjUy zgDY0T-v`wOMvkw1`o>ZiMQ2**Ag3fw+nq)AitBk#yZ%`~1nY(;^=(>5t`xj4h3!Bh zwu?j=VmzatN+h$+aysh{hrRTu*pc|$cfN`Z<+I6Kk!r}_NkeW3?7d^}NKEpEtuzDC zU%!{eto5r0^0TlqNfW$EkaFIDZsc{?r4+`ZEB6J<mgN~!@t60gOg~A@1jB=z*KfaX zq3CzgfKd*j2~q|FotHIeEd>zhH-I=(cfrl1e)M57Pd;^vUF=CqeQvl#vD4??rm~XF zFSG#dD*=SWn+E#sl`FRP<kC<4Rd%r#o`-3r8ATS7=vYzZ%O>jB>KgS8L+W_o!lqX* ztm4pg*N?1$Q2{Db>YA8uMe(^Y$8^C^H~LxVgSlJFBTUZ)f6R@R^5RGV9fgFBh40kA zKH1BC<43cRtV=UXke&DV<E#lBB`{>`{PJdPN8Nehr{1EQKC`KO3M;VMOhd(9nTdM3 z?suW;#W#1SoB4u5-CmbR%;}{neA8ZDs=>1$jM>o9hO=&1P=90<AE&C#+0df?c(`Dq z#ln>LLOHsFTK{(gy)fcp7U;Kf_P*Qw0o4WQXkXY<Q%Np7Jby8_G-ZX~vn;k8IzQz} z*?oRpBrQ65TH&-a_pQ#eccmX9*FV<R#7M>3)0HqkHfrrY5>uG@k=9TZ`HS>xL65>O z$(*;Z;4h~YQf{eJmB;L=oUVL(TNU|R=NjGA`(!CPd%9ib#|Etmr<DUh8l)=nl=SPq zZ_YQN=t$HWEko}6kc>iql(%A$6>bk*ei@wIJ?ytW&dG`T`}JE<ltXZ;a(is!vv=S8 zQPbE`QhLXXmQ$D!ZZK_r83w`F)^;5QpK(!7AG<_`{FcQzsm8{W?l@iX*y5u9@KChP zUq_wGS?mIcTfnK1rWtyHJz$P#Y~m6bX|8uAfnlCBh=%w%H=e=(T!||oraNt?H@Dtk z@f6BSuIxS`b>Pl0n}dAsao)xLzEyLjMdm@kz?Bw~NOSEgEgj60`m!<$VM=Hj$pE6O zp6C#BzpEY=7X1lXzg=wpE#MdEP_6IiWptFe+Eteov;N#w*W@i-;VYdy0r6>N39k+} zj?T24e3h12^tD=Lo7?WIg_wI?!+{%>i+m=d8R@*0K*6`~du!;XOS@b$dE1CL;j75| z)3WNSO0g{ZFIr`5Zi`*JuNG?Vd=2MB;iHgnfcy=@F*<?~pz0Q-cj1EJ3hj^Ac=gWr z)S}Iw;KauYu>_nG>8KC)-&zya6jQ5YPBfAgGuw&Fm?G^5|6>2L_KdI5|L3D*y0+q* z9C`MpxPrGI>cOeR4j2cb%@sfeRrdlmuN1W3%Dqw$&YWl{d+voDj6AS&#?_|H|N4!U zRMj!V1qe$};4AR|X>Ul`*6AHr*<JPZfa^&gOX861>VuLHb6=cz?J9u)XHeH@;jL~; z%~Hn)VioiyQRb>wR@efDudGbpQn<3R%}i|o!n}yhI3bO&17V6h<7)z8PE)s)U%}MI zeR})hdbro!DzSk3pxj8pE#Ri8rkK1D3-y@ns?ghT^NjUT*2UVmZW0LQXpQ_3dVzOX zU`7k9`#6bQcGEc@Dk@)(gdtD#gPK@bpI|0D9&KFuhRZ3R`KUk6fB@SPTX4je^-9)s zZ&+7!%kTvTS-lD`{O07li(bH{JUH)Qy>#+BLOW?{Vltx@e8YCNHb=$C@#D|V{@ToY zIqflj`6NQx>Qb|NfC-<2A9&Dfb*ZE^c@e`_#<A<MaJlUi{{?<|^+ij^sLLVS@#r<B zP8F<-rV0)&`Cotib?Yx>Emi1bGX8rUZY|YX%@lkIT%0RXR62e%3Am%e%JF951rxzv z0yop4pHFT*fNEyo-vG>9XS=`DddZ{*WQJG32b^&*fTb0S!*j`OkGJO$-07+KfwdnK z>{1XhtVGXemM13>EvfMvj+9$^N`D3N@R9wBi01x6^egt^E2(&VH^3Wny4DlkD6_X$ z223?Kw=|w_V)lB98n;Co(*YQ)rEy`vy%MOeJBx$t)Nao-0x2X2-k;%9T|2zNWe_jS z%t_-G0^4-Cj3lXb0_sto7YL8>TI?Zj`uv>P9M&7jOO<{J@o@zJOq!`PaMX8Fi`0Yp zHa)cMLS-eIkmsNmrY>*qB{Dh5T)6q1^mWAovls3srya538YIh}-+|t(vej5vUAoWF zBGjyO0a!4TJYSkf@jz0c5y0Wrx*6}~L>Yqjl!ZqZE5eI?+tPHlHN}Z~S)`Gcp@-S; z>0-wobzI6Uj38w&tEkH@fQQG-_uSXLg6VR10G-a0=6iY+Y*s3R_H^|F{LoW^?dbiO zsDmlUH!K=8fSI#}@}`00)%68wpkW38b}u_HOiX3l0CszBH>DB2Cw<|KUPC$R`JQ&n zz?&-frv?<Ux7+Ne05k>zZzsW=)TS@I_`sM!&XO^U@qO=uIjuB9lsaKii>G<;CW}jv zh4{wz?{zK>F`p4-0CwlHqi2qnY5scFi$}v66NoaWviV)e#VS!oGG~sr4{&1B%%j`b z7%d8ZX-%oXQF?FQx30Mby|Z46a7Q>o&KzXMA%3-3pZ8y+XO?&NK<S0%`j#o%dmn1X z!cGq+JUk}MOw8sm-abTix`y@hkh7CukaU4sj-IH6FI;|_{AD;b?|XKFfn+TB`JOH! zk$*X4Q|`<Ki(-A<kARs0BhQ$()y^gu_&_@b-k5^ko||hdT8KK@U*g3sJy_{WVR9^U z#MYgi?+!qWMPakE2*G~SI3Bq!X6pvX(Gi*iA$@V&)0Kw+Fss4aGqw{l^GypdJA~w5 z;HjZ2W^b-*!Blz~%iJFhlLF2!Qm8b}@A-r_)L&wjFed<}F{RPxG6h`#g`4@EFU{zU zYwQAhK{iv-dQPRh#Aef+Q^7OnaF~fN>U7PW$p%#oJ~Xw3SZH1{QwIvM@37u{S%9RU zcQ+}fxhJIMVsCsa;wh@ZUTGZOlqhwPNyWPeC+CLbIvfZdQOZ=>9SHUXrH6h(ZLTl) z9+`y$f;Z8lMKd!+7<p$O%;gqzJ3P<KOwtQ=vV9I4XaNqP;az5iU&E$JPw_TRQF*+y z?Jj3YSnq?wF3$7y*H&JqOG~|#RNxIr#QVElu}((Kk}fEobibpE2zG?b^ru>jv0x@I z9&Eqhxnhg`S&WkJY0@`IE8p+0zC4~bmY4<>ZIi#eq6froTy(&{m69Itr3s>o2&^7F zGxI~HL*KCQqiPlvY4=4(yI{VjyKcIZj0aQfpO+#Io~JRySisJf8Zk)>KEH{Ur7wO^ z5y_BQ_?+%8P|+1WOeZR}sYsWZ!!ea~#(JAL8rZH^iny3cj8OSLK$cB(B}eNxOw$9s zyRXt9mn9Mb7t1Gp_|4Opy$-;FJDPsC2+`tuvKtO-c0e}5E_;rgcwiSOtm8p8fV~(m zT3=|oSeiQ0gQwSsxt|35k}(VKSUAV61DZ{B*v*HxaL1ynWR!bYd~2E9XIgQ!_q$Y* z{drBh+3vNpYz3bmHkP$OPJMv~z$J+!{xrjD;PbZ%-^I@xfR&zHW5-VP@a|tdoc37s z4CY-5(=)2saOi?G_Itz1ECAyv@~oy=JAxZ=oukuVF9w2}3dud7Fqw%PavZMv5e>dY z&X7iSTd)E<theAhwYF~9wbIpBbN{?>fW+%Y#}5*}bD&p7C*28cPYI|ff|=U^b2zaY zK%&Xh$dnN_N_L6d-cou??51xr{dIPl7lT4vsGKj9>0PKya6{~+QX3tfVQ09*7JSiL zNA5BwtI!hLa`Zuh-qNLQ3jNlxHaCv|sz4Vyc!_6ZECJ&4IoJWJy2dN91-X5BC=w(u zQYM(2_$|{Q8xT%Y`&a|!P)MpPGv;Q=Oo~GB?@+d!<~pwgo4N)2JaMyq<+Iq<d{2=$ zXf6nbUxJF!Ba*qBxloDSjo2?RkI{m8o=0E9^P|@YzgB&{C_4F4OhgC3zC&vuF>xZV zkBD?7VAY(T3NJgjnRR6bJOq&v9(u3^Q?q6aPUjjqAmcr-8w{2TBw&284&}wVB3Hwq zaE~#Cb+i4^lU?}=9^3GxCu17eRc!k#%!&7g7qCbIGih%0N<kp!Y*2o}&8X;bcI-jJ z!$K3oQ1?F1zp%dV$W{<CfTsthqs#*fADF>TFim1>Ww!E|AOMVMiVQ`(kcLl;oZ&JD zLcoU$Q4^T=bu;meb8~yADA*<d$%5@Jqqk2S^~f8;*~@GfuqW@&F=iSv71)_KNU{Y) z_7m)6xYDBhx4%dqHHDC`u?QS73*e9@d66b1MJbU!d!-eAwY89DzI<7UOL|b2oO2#> zmh}Dx^OEha`16qd=8)ni&(=(z{Q`2CT^_pmHNzSA*f<rl6CW_&ae}mXV@FSnl)vzQ z%!jP_u-v~Dai8!>24T0{oriMN0j;Ejfq#knGhKgp1EeU)f|TnKf%o)JI_}{1wN!u` zU+Mt!)Cs15hJ8)lV-|iqI(C^muG;M%neR@vKVoh)P^D7mpBhkAV7+q-xD(%cuV0b% z9l@P=Sq@dj8?P0(Ifev53Lgnbkpg!<lY%@7;Lbi7NYPZ4&oOw>USeea2=%^XN}2JK zY^miA`=>}gT-t#4JDwr+e7N)e?RP?g3;1M*^MkJ?2Z0nDkdhw=?r?xRb)UeUy$|ho zetZlB_|Di?9lJbz-UF*knuDogUS_@~gP~OO8D%$VM<@kljrvck7}QHN$OtR86Nf%` zGic!}=tN0nU608BA&`Yq_(u2z|E)|D%ADrg-9iFdo;xp8FWv|7RNrH}<j|gMnfYY7 z#QB5rQztUI1WbWr!!^=_E;lQ2<|97nm{V&K5M1AR%J7&x){v)QAy=NiVD;_{(q2pT zwa<mOMwsP`nZ+4L@Z{;j;f1G30S6mI{E@@LjmBF?&;5oCKAm@-7Cr?<Vo~3(8nT&f z;;wHA8e5_#pn~(xUnYJJ*{XeCz)oT<U-YrpATV*K;-%ZnyY7TlCYr{{rxHDNfY7dI zM0bt_+?7zJqqN6PhxSyeCtqmCmry}|8eLbe)X0?WY(I^qUmmH#5uk<UN)7Szs5RcZ zBH}TCL^1(tDtq3QCFb2AP>;QgTZGDJ)DJsQ`?H=pq1~`6qS+O3@Q18%;fJ%-f|3rT zg!YVavjA2VQv>**7bko~3r`@G-YCwKip54in0T8%u;p4@J0f$>HrY}CF`RlV-L^B? zI;~5A{P@*Gz4!Nb&%S|Q3<`6Wm=Manrz(`ozg+dic{+lQU8pVG+4gbdBT0|t!GpU4 z2QIT>>Q)3x&#ZpZ=GBVI?4B02&h2&24ZcSeP1DtKd>RM#{I-YL6^G4?!co)7ClS)r zePM-&1sUqT!agwumj`_)r39<US6WQHmc=ps+bl`Z!e72ie{m^}se=r6#E@G>cFxVB z-aSY?T>Q*3WgGWBP3vb&_xC~sy>8NkCU4$Y_jh_Hu5gq-<#MF8DbwvasN{(LWMfmm zz_fnd+R`eJFx=f<%j+}6iqMa8vi0=wLk_b_AUNl~&#`=m84fR>@r1paWLH2=OdJ(f ziA<OnO32;F8}XChEof?PT$q!je+)a~yp(U|4OylOZSg|FE3w}*lvSe_&gT-!#qgMv z-fFWr%6UFpr@7hXRDG6OpGBa&|6%K6207)&7u%JTtr@t{MTR6{3$G3k?Bckro}S|_ znqknvBK*eyn+88k9Gk`?BTr>}{)cL5F1M6p^AZWc4Sm4K%A>UaW-o#p@`vQHPwWrl zo@ypbcD({Ot9L+|KZ0VoIlbCdc&ldxg0OL!2RZnyOm_P-t7abY=g7cxXV(NXk3=Jx z%R?K{6lhAdPbCyNf!MRl!nk1|SY%@=(5SbFlb0JHa0W^9V`}-dW^(ccmS%eBZSV<^ znLuX~X_22!{?WiH(b^^vuOmnOE8XtLgJKt=9=JS-!b?r{2G2utRrFEw!p&BXE_>P; z7G(ip!!#i#ceKHaI_>@a+ba(8Cp3+$s0b6urk-a;77dafJ}0NUB@z`r!i~+BfRf{j zImP!V#uIUpg+BiCB`SCAge>P^Ui<zRR?9;lsXo}si(<O&zN`q8Ejn3NB66eL>b-xO zYoNC5qe`VJBo%jZtU3Lh<Rm`d-EvM@==6k41J5@_>+DOAn<k9(k*KP%VHTgWeJ{?k z8MTZF_IqO^tO0xCh@82cfYi;+0mhQoz-q)*#NAtXLd`UK<AnyED8kD_!PM;{#hX3A z{)25+`prYtY8(3`VWqwue%pe<5xH8Ni15*S+{4et?{ORCY50i*hfkj!L~2mAgp2w< z?{F(vSWls2Ysr_lSPBO}%LEke+hGd6ynj60sV8M|oy=^v;!`CtpJZ&LAW-kRbQ{4r z5IOq!EGxGD{(*I>^vM)GTg$;RT{_RjJ$cZP%@@EJv#6@%0jMsNmoq}<rDxTpPOtb^ zyCqJ#yJowaq5n{JrORm#{(tD=GWuKBH21&g0{%r=is*wDukLF>w%?uEJk)&`$#m<T zY44i334rwC(LCvtj!sdC@A7CM6Fafl2%pIo3H^FdD0|C85Sn%1K^{T$uXGNBU@|q| z@Sx7xACFu2RYe9@219v$`-Mf-pDu-~uLX5N-=oHCT@%l`qn&&k_9X!EPrUYtJSRxs zA4FstzFLW%OML{(8WfBrGB3XqvnQV=5QRD=Ie%D8a`@VtN@jLuit~Sfnol7K5|uXj zC6-MWReb+{iItJ?gO->7;vQYYYPUV0<U8Cu`}yp<dOFI1m~7!Hi%>PLri0Dzy)<+0 zUJ-@z5`eh8%(p~$hwc>``4+?-?cYAe{j!(G*4fnH_@ii>K95DZHkl`G&`a3&XmIv1 zk(aP{iO|Y;3~$1BNJX4GPs08)p?>g>_SvrWC6T^@@Q%({cXGD0s>=3fqrhbD{m8ch z#C(J%%VV2gyW2g~ukzQORhf4`5*!PQL$rhHq<;I%5brQa64OrSC8#?+JLK(pR~r?Q z%iTKKmqAGV>)?d&S;)z(ED@Ev@1BtHloz|k<C<JS@@A>}MMCRlDKUD((Hw>@`?{*i zrQa$N-W^-n%|`KzH3(n8J{n;00y|SB)S&LaddZ?nHVNgOTHii?YT(pL6#UE0UeTGB zXSewA*+|s<mvcKrPq8!|tFPKor&mAJ-EB|EWZK}L*1D>$taS<fhqckg?B?aq(l;uP za-=Q?T0uMiEe2en4E=s37b@@{az=C6&3RGMXSG*yyQTjocO|A)ekG>H_a9=*liAI0 zBBZyQuEdB^|A!c9>6I8M&mS@1zk~8-EW4REOq#L&N{&1Hf5?p&UCE7e{)ah_!R%(? zJXGTJzVHCqI=|WUaQy=|)G;$-rm=CNaTkYL=pWA<b9j(^QS&(G{;lN^)|u=Br* z5q}L56ar6Lh@kG|d5a^1VPvM$`|j~EWgoinM=@U@W5|rIb=6VU)b}Hwtlm6+YJL1G z<&1`-r{#zZ^1KHeCC3|&BW?4JPPC=BjGC9&^A}Mx7(%U*JJ$y2{1d3{E~!5d+^U)t z0j^Wrv8(sPl_i?cJSP4Y^u}(7?lY}`F?rtoj>yPSPxl7fIz_)8qYGSN>$(cKrgl&` zj_|9xVSkW^J|E;E8hb}1@6`FaE~vYOr09N!yfdx9?BtbgpCF$x(aSCkP=*do2#P<} zd>TZ@019=1(zBC)OahRqE1NUJ|HCHM>i@F2daV#}98baM7iPlU8^xy9J9tOf#RhJp zf|(rCi+t-a``2P+R?a{4F0b3p+n_G`=P&~)KpJL(o=r{vESRKn)%P<u{axQ%?CUtd z<HgUvJ8;C9=x+t%I(ZLzb+|r$;8|%iNGIHJzb&QTW!{{U7=NBV_NY7Yol<#0dS#{= z-Q5K_Bf1xBKCe3N2Nt-9y)JyPtoW3c|0R!Bp>b3)2lU}f9!0fyl{gM)cJ5DB<@i1= z?bW+EKUeb$TtZ*0y6osY_b_X7+ic-S4FTrUZVS|Yc6@#fP7%^Vi3)R~3QV-Bd3$u( zBt*F{k&(l}H%E)m-5XCo+W+#iI?il!+rE;ksmOe*$Ye-rLLA1m?XO>ubwV&!xZ(Qi zrC!mNlcd4RSjYkiA~>9V-t0Y4C$QFpN?n`5kHcPi4}7D0`VFRyf7V8m1LH^s8uLch zPv%ZA=o;Lo)}f<iWdrw-$O$^)UEP<jqOk{?DJ1BL78p!|!564%L>D2!1(VCu!>O)3 z*qkvI;)7Z4LT{rzL_N?OP4y6DRLk!c^xj(VOwo+Y20{kbJmuHae7?GNxmLT~2)kHa z{Gicu(KDJy)Z@0W>)YRv1fcYkmySvN)GvUAVL%JIlDA#kI7bw^EuDgmzg$FUJC?Oz zrTo&F85yRa3(bbYBt+?HRJ>+Q;;D%7rP%b^%Bf52ISIz&xWAii-?H9-7fNClf0v?m zMHtVfC#AZ#%jQ0A_gl_fDhjXep=ebB5taLVj<urA`9B1MAg{itR~X>?JE!b#%FCzm zAG45!s#Qz*-AVt>NRLV~{9s(bN1K|L4jc^t+^9}=rU!|*A==5eqH(JqXHgERgfBni zWn0M^&i|?o5#k#Ot)+#&tHr-3Z&e}YY0t%1(=0>_T1`ZbXgerPU7XQ39aH~NtK(_U z^53c?vl50>`ao6e|5KIj|0h-NA<t5u@}@GeqkQE|X(NAbt|;QLq<R+pCmemK83+@Q z>I#Y*h=#YRM7L$87u-+^go?-oJpb@GCv^XoX1LVWPDsP8rmC~cMIz4dP)~a+owF6u zn+oCwx>iq3r^%@sO{b}^+&dk~o%{?HxzSw;6;S}AnA=?SNMt2P&A(zhCsHfMHo~6w z#OmqKwVvRnvD?HLRc_hnc@=pjJ^=qto)9S`{?=wfAnWnSC=q8LzL&iaM_w!wQH0x4 zN%z|CoQl@T(-AwJ6&kB~mH!b6eFtJw+S?Itc_XjPt~DQ%)4dRpk;dbdx)}_~rMyRB zI(-YD?b=ctTRY1Wsk!gv0jAy!7S_V|L4j4b!<*UuG3rg@P>CA+d(iY6d{VYF%PW}c zzjH4AppXy$mZcN7zIIyg&CQ_r6DUIsz9N7^nxL+|Sj>t1Y<~Bix;=A5l%WrNCqO!} z>C|!R2C(NDw|F=u3P;~Li>*If2{u^oHR^}X46H5EZM$dXXzUla9j?1Z^`cHhc`s{x zP#1l?9=tNxt@BNJ^NbjluiS=czz-KElNU=<-JM_WMjDZW8ceSsv!sH&{(0Yh53tg{ zj4*k+qs*7EB>{A+hnSqz3kFXLQ@zTimq7JTPTxBtv!Hkuev?+LeJV@-2%qp%%Z2S2 zZFbNv9pbNP!ta|V1K(`jcQ^bsc3??WS`a*GZ=l^1Zc>-aXqjiNHo(*<Uzf{MRa_u6 z^n-Mek~>+${NC}ZO!hBo;i_Dh-^W04!EzUmu{KG?==a>U=sAJ@w<_HAk^f<iS<5KU z)j=3VFG02~{>sG2dhSy(T~YDO=l4Zv@&1lwW%HL6jZ?ho&WXG*pO?8ET+-+DJH(X+ z{e6yH=*rPnfu&Fb?q6Nr+}}N2hx{B1Qa<)TrVdo1Gt2<Wr*jUJ+wwgM8~7*s-IAG6 zX5Eq`Th1O{atwfn7vosALz-p}bl@n1F?IbD$}^Rn=p<i~eXOTQ(mr2tSu9i9bou#H zDFQhD$pL|eiq4wYnfg@gcVw!Uc;8xVx^2oJpUJ1ZfO@~GcER+LTGyD>Mf!`yQ`8>I z+%*M-ty~}j{c}5DZ{s!33ZaMDf`cvu;$;AV)YX>Zo!K<T*uKJT+IlDXm(!lZP8)Rd z_mK-?{qBCPnOVy;27O7@a<O&%vynt*9x;#c#vW{~)r7PNQ5IzxJM<0IIF%PBbbmA# zt`#`7GW&*Cq`zzX+}VpJCmyFdq(y|bz`fu;Avs`uob_{~r)=lrICZ|(kwQ^l&m#CA z$lh8>6TrYF*lz<x%cNjno9TOY;pfGx^G$L-O;gPbKRY%((WhINVe{GBiF0#$rf?S< zBzzOzo!UZ!X%zH~-rm1|#{2+&(yAFhZwpPyOF6%_v3<ucm8SWd47{)MWft>>b+x*b zEWlgIty5``(6x4dPo#5Bxeh0F6V5A>F4gSoy?NP;h3#z=O}R@Ubn|^$rOO)XNG8`S z3(AA-{nEJ0q6bX9&`;g9W=qP0H{bH)igNDseU_Ry`kGx?CU<JA!4@^hINdJ^9f%lY zEJYj@6eGglMH;DEM;d)ffy$Q-^lj`|0BL5btnlCs?fWEDbq?DzkdNxsxlc=&MZM~p z)U4mn;I5>ouFw^7nGW*I!H&|7+B1FL7%fg#mb}d#U*&LfBGIl0Pf7F_=dJ8oU3K8^ z#z*QNhZ!1=;AKHjZu~P2lq{M)y(L+L@$=oB^1AzJO?vxT%_MF=MUU6R)uM|efP*+R zg?=uCo>jj>FVQdPY;}f1cZrZ@<B;0<#MT@3q3>8b|F`b3?6q9Yj*^XLW=DA$e-1}^ z5|?krJq&i%XTED2iWxTvgs3Qf^ot=+Pbo0C?nsK}T3Fde<?g;>9v-b^Ag#MR372B2 z<r}#B?ecbRw#s(yR)X3)dXG7efqUZ`Y@;8arE|lh^?I${Kd-UJt~OipRBB`<qxUIM zVN%;t$1J+8G;<oq{XA?ae@Y~OVZG|ElXaru>`%gHQVPP*0?bACSpD7Eka6Q6BzMB1 ztHBQSxG_ZGrtv(W>&jAbUGUXi@L2Xo+1eqCTxIVN&W*%p!q81jGtVfXVNuq1d`~gC zbWK25Gvm3AYtsC}k|2is^h_1ubeJSP!vnZ^XSBuUuL($%(~piDE1DFoiEmvoG8VrB z$>QFhx7cGhpJc%k))kA_#Q$~y^Sab3T~4_6fjhvdch{#Tb=Gs-SOG~URT&-%$>{Qn zc+I1YHloP|C~F0tY9X+`6`M~}Jqt0%-9z<<Ga+4|C#;|+&v&T1K#QE9#U8Ex$h&!| zYliX({#~PzL-b6pL>|Z0Va3_$&*T#}+XSRT!?;G#=$E<a?T~m7kDy76_3I4&Sa9vJ z8K!27dbBeN`j#)~SCfj`IBvCO*NU<B2F%5BIlo6W=*GMsyW*76Uu4sYd4K#bY0-~) zzjJRugRKuuVbCKT7`F3u5>qn!b+U4IrMu{dKtuXQl8Ndu4)>mw&a0&9g`a%Yb@4PI zsLj#5lz}z-Xzf(x-F4C2!T8?_T)hHKP8EddeU{+ksN!3O&wptFGErZOJ%L?u)QDaA zh>cQ7a$m(=+aI#fp2Te!_}Nxh2FwF6-z4PbU<z}Q$OcuJKrn3|>Fpbm`^IGb*|Y{g zC&J;!+LOzI&9Giultbgi@TUJwjjZIp<5m|{Jy(YJCCS_Q>{pEC?P^)c+Z#^`(^Yfv zLZyw;n1G7p?a3#}>8c6HqWUO5g7MiK6=<XS8x^)Qy^(qY)^0Mxf{lqAc!sk*;0UOO z#ap~~<`g79;X-4o33u~Eg9hUl6u8y|ns`CKR6xJLOd0Y|XkiWdBRdKDKR+jTW$-IW z+}>c<>bLeb|10+48kmi7Yt$o$_eqQ^O2BBBaqi8VLZOyCqi6|VFn5&cn;0qSQQMi7 zXmt`H&%0nhUV>Fa;&%QUa41M!F@B_Q1j&jdFEFnJq?C@Q4llp~(?dLR@#=e%S^xVT z?T2eTp>qpAxkII9)E%2Fd9u-=X;WR#WQLZc75KsVQbMR5Zj_Xne?%qhN;9qDM3~A6 zi`4J8_B6Akk%o3gY4yJ!tF|o7)_u{xOIln-^MZ5DrMQzuU}%+p?GUl?2HFe57XYMZ z*#4dypiftG<DVlH^!d-+0Aum5bA#)@=7#0f+~D_+-?`Lvl;4?hcUh+Rw+SBhUlW{b zq@du3JmUKuR?2W=`Dk&w*HsryKZbwCQ!5tVyDr$|1OrDCq$mHn#Fin&BpCG@QGcSY z;}1q1`lby;X<I}b@h6Zk&Hn_FU?>00OLH)g9DIKQ3GV-EAYYpQGmtmjceL}bmt6(2 z<nKUo@cnBbDH8t~NO^H#ih9b*CTl6SQ&&2&b!A5uT^E{pQT-seHBfG);{p!BFWdR? zIxgrc@sV7mlDNLMyLmrkCwdaY!9gDH0uFLzaFGAPK96$&=jet2hFqjUmE_B}%@;h{ zIM}3TkHBh$ks8&o_y|9~Bu?L~e0u<vRz}It7d)dlef%ar!F;_a%}%>wY$Fg21^4j^ zAOcB@4*-;d7o_t=sTH0*M8II4cUAoKQJjlt=bqE5NN3txc2Uzu&|%Co_>N^3lbthD zc3j`srEX9`S4lr}s`m#v@Act{G;TwP>5AQ|as*3)Kh1ot8R!<wD$XDDif|{tI?mt6 z_@2G?H{F|S=AqiJGPbQ$o!=c-3zUO}i6#-)^p{WtpY%JvWJ}u2D}Qd|{rQ0!)IW)~ zZuG&=R#n8r%|r-6*R@<^5sqqli6o!6)c)`1asEk+`ca#piqd$0hLbBs65TqG%pj$7 z65D%xZ`ii)2n53*p>!16dkCSKtkB3LY+?nAZ7u7mgGBwo&(?@Nc5fRhG5{kRj;6LY z&poXbP~~>C2A}R+VrX`WFA8Znbr?Q8VpinTv!t&e?B8#}2>9}#8L~m2-dLL}mgEr5 zvZQ`fi!r20{K%>v<6j!h-_<re@v}7wau?Kxx5pPMz&DCcc?C{d(6=XFgiLPQy#B2o z`w&O4*^JH{^@toVaULim=JTsLYy~UsM|Q27Hr`;t?P~=K?oIcxE6zU!_on;572KQd z|0uZD=3v1U;LAiyh%v)!qUp+R?X>!3d#u!dx;#Hxb&;8{g{LFnn01z~Zdgl?oqC>A zQk9fXrbP#&4PWjqaG*v6<)wb=nNd9&_QgwnU{!ic9gR6mtGt}jne1S-xLYmNv*+YX zk0w8rijwM&a4~@sG{Ux>ceE!@9=ih*%afJRxlVjWAm+`optw)sIu-c-&HE^wA00P% zW>6xQFQb2StiI(aN--N@ImFjF{z-Fnaw5iZ>hNYJ=+bHJlGjPCOb+?0Cd|ZmmU44{ zb2XLO$}f)~0ZLV5ZrvBLyc!%f-xo>IoX8NowPPxsGw%p_UDnbzM3(QQvtz1N7Lab8 z#Z<Nfn6&wfrxKWQAqa)$@GrvE(sTGoX}R#MOIKxw84xe4ni%9LO7M3`IlRkh6JiaB zRKRBG_2Z%V5jZ(nC`JU2Od}JnHH<@=D3_O=g%`d^?#)0UrB9Lfj@MP5M7RFYu{S9C zQ!R5wd-&r^M*>V**nCmw%j5b&%k0sQeSq}DOBrwZJE~}hN=OsI<I0cEWdg+zVZU3J z8aO;fIvC3C_HVST@HIXZ3wJxa#?iLD@di%8wLtJ#U%UKzE^?G*H2mB-V`P##-du6l z=`V(3@>8IHPY-+%K|&~`Q<<*=onjV5B?`k)Ly~f|5fUYQbcp{w1tE>N-q-hJup79C z*XLnPtjlA-C?ocv>)qWzaP);|PRW(Clu`sR96TUsQzel!|3iMW_~(eS>p6J<ScF|- zAL4>TY?V#xmd%xiS$F!6A!-qu?AqiwP`}b5NWWaU72c@yOfgf%ot??HZ|Ut{c|+&) zX1`2U((HK#nkyh#gMlgeUW0EPoSe9><-VN1&DGin66ZCdRe9HX{5h<w$O9OvVb|P- zv?sCrjb^uO&J5U4q_^7$5l#>q)7cARp*ckmgpfY*cZvWqncEeL<uA%e%o4;5>m9r< zcK*`sc&>8=HOPjuEvilz-@P+7AH;me{|}ifC^jFsa)E+f3;%By?)D#>$EQ4FP26nb z5DirZr=UE4Zo2AALgUl=QWQU^h2c-8I+a1!Vj%>s5cQpwrNL0Cl*K4Ld>c2cx2^j@ zfZ4Z>JiUP0VQ3mBc+K-$-&*BPw%G$nkhwR%JfRK^UG|-wd<-W2BV{cBJT+nHTDA6= zuX<z}prgO`GmzyMgl2wWpTDVYk<KnCS`%$;SN_+J@qYhY&*_;b$NGQ0sPu<%ub8V@ z82val$Ha!G+ibL<^uo3mWT}*8gjFA$76HVb1YI&Vc(<_+2w<ww>KL~{sr?Vn$stLM zx7w~qB)VsRj*9nz*oPNaCuZzgXV%_`5;!LczX>S@4$P}@X)`rb@zFm0?XPJ4Q}-S7 z5e<t$-jKPn6Rr>wt`n}2skv^Texq#${GfYXh#szp>vs$h5%@duwBz_PC<rXbnHJ!) z6&>K|(-2=XZ?!6~a>@L6o~P(=@u#*%<BBq?m){40<5+`<C%IH)7}-%jY4lRKm$nwx zq5tyfZ9=Ko{DH5|86s2D?#rXu_`HLjg2%FNSJ-unhj2V&OngdlM4&2b8&M3?Wuq`x z!~{d5*;n@+%eUScM^fEV52L2a)Wp^tstbtXt?PJ%f1cmm<0(YT?%=JFIx0GEv|6}6 z+F1(+BGFH=SwKTJQf60$eV-9Ma^Be4qGZdIDb#i46ywIL==vbRW`vWf%niWG+sq=- z)Qwv3xTT-Zk0*sDeco(7(bRb1<{^#Yi~uy3dYV^_|Cn4^TNS~(t(yMEgejHrl{fz| ziO^inWGR28S+eEEqqy8e#{7W?AX79Ol&2q`{5n(xdiy6n1Au2K!xycY?D97{DzkUb zxAyZSz`Gz?T&^Q3%D%!>cEa6GNtLxD!ae1G`>UTOzIi-~zU)5M-WSb9QUq<v2lGEg z^bwA(e@{Bt#H>bccAdPvm!4V8?P?#Ei5Ve(ssZ|S495Mq{@2Oy=05`;u}dq6&Upj` zrODZcZTe5EW`SIG?csv+gi@L*&*O6Gr9MziRj-SiyVk#Q6tT4bSpl;5IMxfTCSpe7 ztU$4!6*wML<9_!}agJ`~JPIbJ7lF1jD!&Lm)bTjJ%=6pDowqWR0HBjpn>#FVxztn# zVXfe+@%Sg+<svbWn|Z&TR1uueg+6=&Zn(AJ@+i8GZZv#*MppXi8>2N4H)!r}a2V^< zP1llbuy(5Qf|qR2k$!wGz@>NAp%cV`RMC!_bFu%)e}zsm5TxM!SJIWWi5VU{@B~WD z3JZ7R_|aV@yZis2WZ(JEY(ptQRR2d-6LShm$ULL{wl7R9&AwHF>9#cP<h)Me_Pxir zBWWHfnwuT~<8LnGQ{@$ppz$`dNt6b!@-+~=PO7}6PB`a;C2x~dv<Y{?!RAen^C%Yy zeV+@jE(K5M1)9N&iR3*ihl#|ge-1;EF&ikN7oQgBV|A@0y$TPnnwWbZ7@i$yF8vWW zQll8^8uRyXDwq-#AF7GD{VuO3ukns@io-*Fh52j@&I`r>;0=E8S3Ah5<z@}>$pYKC ze5N(@>e{A_^z{aypmrvQBtp79hnT!HwT*jZxZrMMp6N4Z7zTAXzPEsc?X2qM>CFLt zX9p1E-UK>YPqemZLj_L$Naj(4(yDTpRYR$^2@t-m<3S){+{0LU>&QW+eRAjW^jC$3 zg~#?}NB?6g!E6e5plo@vt2qkXfiF+G?s_TMlE~YoseA(43FNuXFQj~3H+Sy$ZtiwJ zIP*k{t=lOdoHzH&`qSfr&%w6=<C>GVu-ZJ@z0CfY6iMUx-0uzNQeMpP@t6hUJ&lvq zoe_)#LM$DAYtCq9P0*5RqDEfa=hA0@;~&XU{JI9NJXn=%u$NndYZX#&z_kjsH}Z%i zV|kft@kJZWT_ssm&{}`mxVXuq`8T>xe6|g5q`tAH!^Zg-{R#sh*L`$z@sdozt6A`u zcn_AJ*evIJ<DWH7yKbU5OOed<>w(RN|MZVkWzOTjOkYi;ZGRY8{UWxd8tqm_Q|Z(7 z$cCy&8z&V(x+iR9Q^wWRU%&N&W&Ta~PV1cfd!`Uf>sRbeas@o%6cr0aUqTnt$NtF4 z+v|wCXh|T|uTo}P%G@X2*5+h9-omwDJH&%R_qe5rA49$px#<U3cx##W524vQj_Y-7 ze7zT}6HVi21dk#9VVhmo$7MMb`@Gi*>7SzCh(BF790RNOy-{$vA!blo4i@U%)*v1F zg?tnzFy-0vu+3p(D)vSiZ*$?;htK(?%9C9LbO9XoX3sS|!||SnKg4X_wRatrq|*F& z!{d<}ssG7s2l8aFBK$_Z{~(fDUa4n?YKh*pRBkpA+$XN#SW>tCQ&!}aYAp_a%(=6Y zoq3Y@3Dl*JGSnxI;>iJ*zV)-b3zh3|TS1fuSZ<EyD$o-RR`B;F(UJ>q)~3~ssesLm zO%1<pGw)ob^Bh8|f=4<6Uzbr5KI?Vblamss@17^NYp3_`A+{LM<RT|mXRO+uTd`A^ zfha3@^%PC&+``Udcjrp0Kq>OfN0KMKJK*bq0`sI98nI(}R_Rx=jE=s!`(x~t!Cnvo z4L|YIJANE1i`SuV{pb({8j1iTkCV2++(j5;%sR(L<k{GIz>nsfw@+54bZdq=yb1TX z9aMRE9B^Llrc3)ZOgW^T%Bo9F%~K5a*Yg}4aCi~Vm92=mvBo!9ALrPH#o40h3-A+L ztdN{u;KD+@qzwr);E~Q@;#|=RKN^?AtDn(Eq5g~LkldM=a2c<n`6*B%+ArykA*%GD zqql+AbkL+ok!L*8*-w-*p2*W|bKQa5fLHWUNUn+vmd)~4*0oi*v~*lZ2mVa8A{ow; zW8`s|`c-|v;SnWi{44i+p;x?wqO||dxi3GOS>HZiSqc-mbM6^0aT7@?!bU|^<4@@- zH;Dd5&EiA#m}R}8y@wN+6?22VTOY!5%`Pf=1;AsHKm3+(2Pu<znsrvE?^qM%7Ne$` z+Zie~GT!y<SlCn<*IC&(d35xXP0sT**1{}K9q7JROg+7pe8t%D-j5(qqGyk4kG^rW zok1`do$`o$FHx!=q5W`H?C;pOC%iSGD|@&8qr>_w2T+u(SLeDy_Hec&WY%az_Zd&A z9ZpQNUY*rDCkrbVXA3$zez;kk)honx+ZAKIGtWSY;4AH@<%hGW_!E_G-KigPK)|Rq z5U`)sDPO8aCaQbK^dxeM{m*f;L1_zrWv#r$8vc$};@r$PKA#$spOZV8U8+}%z+!`R z;8uzivd9Vig*u5$>QMVhUg*@%xQ2YBvq0|?B297l&Z4iTG*Zvcb!G9pNc_uzq82gm z(r4k<vpsJ6H_TLeRsKJEidy*VYRmcS>&l6$64%RG_`iGj3%ZnY`ZjH)JOz~#W1Mvs zI%n3Fc%`(zNw%#ksmbnxCq{LEE4Xqq%kNWnS2YuiuPGQq_N&122^#W%`L1mQaXUDH zxWUg%*ANYYxc^eSV!VRgo@#}>?E%7Gj7sXj43HCe{DDor_iwPl=>83xa~6b6z9(`8 zo9-X5!Tf``Ei4X==_paJ7*IN?dp|<iXN>xj42=8nEEyN)&J(BlUiW|HEQ!=BdF2Kl zr!jFm@mEJK9z`{><fS^o<hB#zb?nxMp$bpqg(NNrxD}PZGT@j{vy>vE=E{b^l?NTW zBq`&=Jk3mN_cFP@9kTo(0iQ$~ZaupsG4eE^{-LDqU~O%n?J54wV$$49ry!W03((Jf z^-S@Boy^f6<Myj!j-;jb;RVI^;qTOpLf#~kp7J$our>zwN*OsamC0@Y=opiZ@85fA z$8e6zQDwx8ta)PuUOXGomB{t|Hg&Oe;jbsDN{j{}*f@2x_b&xm-&^Zi+Pu`U1xkhl zDxu?vVtR&jAi9mTG68QMGlopiEet`4)n%nzI19yK6zBw)txRy4?FxO}ibf{r$-HC2 z6nlA!^;NP;hXh<7N?!Fg{_nnk{F$Trr9<L2S0THK#_2n?6!06zzbb+^{WzwiRQIM_ z(4G{Aij29Z1+TzRZU11`%xrGHv=^O`x|dhhl;*df1UcVHS>IggVwZ7aH{lL<kwOqP z$P{#S4A{$Wx6FoIjC)|c>i0V6ah^+A3#|nA9pZdh%Q@HjB5C}J>9Y`TRrHq?3ra89 zk*~^(ti4Z%{bU_RxEiBlaLw3IrC$y$o*!KMp~}?Tk<|;zwf9;*Xak?po#$vjf$?$t zCExiMK_G!<1#WMNrB?hrM}qlovAzy>TK`=!ZUVS+-ImV7!i3Z?R(%f+%9poEDseeU zvNsI9!`^K^ir|rwf3^7tx;Tz6aQi1nh~$y7z9KOG!bjq{UbK!ZOAkQlC-6BbXlAJ8 zCq^oXp=s5_IX!-NZ|Hl^u0xF{@VTzBLPIC;I{+BrLVvyx%0__|W<S^=YA?0lP$nuT z(=w_6RW%pve^EG+6vnDFMiE}9Gr&c%K!m)ed}OpFE%N1^l_u>RO<b|0g{ArWa6GkV zC=nS>Sw+KLoXSt3{swNWH+$}~-3-p+<p1P^`-0Yw<@1+?cg(7U7eQno53Kr0BK27b z5diYp;FIB0ZYUE*v8oah%j;R*TFA{5LWvNu!Wd*df1U0ARh25@%YX23y@7|#2LE)G zBD^%U-pcFj;4X<~vW(SIMa(A>aK<oY@t#}kX6Wi2c1#zBy{AyIlj+augCw%5PWESU zT1X^hjJG~?DY7^UQEFHGs*)Z37<%y#cniYN0^jiBZ_wV^%JsjYC&@@qV3Gx2n$*L` zzyC4nUs(PJa3&J2NNGm>Ln}KA%ildi|KeLe7z-OPO7tp;)bwGcop+?0Uefw`7U8c1 ze*z7Y;BP`Tr|{{4s$3zIomy}7J1~Y!i$n3$Abdg)K0hBQn0OT&Y1H|(D+OyevhCXj zTFMU)Z0V&fFcy4?_^4-k*&{KZ{FD{5iqtlh+CTkPTKTlUp%j3}l=y7?Bgt8%^|4=- z>PoCNIiy^5#T9Id2`_bM{FpcdNtk>S>HL6wi$M};+==0@Oum}$@4w_~Yss+Gs^-nt z5Ab`^mG#=JmCOGoUs&<AXgf2$zeV;f7`@oMOZPK3!dROU6XhqmI=R}K0dT;iU=vx( zUn|6vp!ivX_;{(+ZpRd7@I89`#hm@yKF&tsgMCM~Vb=Mm2SPU#nN!-cS@5-i6qXq6 z87r1HXlP5(11{=^7mr(i<Xz*q;FG;D_<)io;PVY>+Yls-fnU=(<Npw&0XFwPsNOY} zSIycH$hwc$2Wux0eD%fr-1hFvKAB4$1wW>r)aR-SflR&B=g+|AM~F<c)<O?|P&5aP z--}0w1`z}@Vf=^B!6qc;IS^13@;uH&L1@MNT<^^Pi|So2tZLTl^PcpG0>nptmh|v~ zeJijDE7*U^e_(65g;wg{_kWfRXHk$Q(O&GaP>?3lUJSMnc>a!pKlFQFNDw_2mOy4K z_Ibc+`g0v_?Ahq^6TipyE0xbFAVT&lv0%gZ=+gLwACn5Lw7UavT(JDZ_6x^_(#Q{X zu#tVihF{9ersYmE{Q2Xm*&<$%!1MA8!O+50CP1#Zg(M`>SGu@8Iyll-ytthtxGSBg z2$5~kAxg!En!g?c4}5u6Q68fKHksuy@J}z#V#>jr0Z#nxIjkI+Q$Xk)Rz|^wn(LBF z-;e1AU5g12IP6gr;!6zb^pEr<0Cj@RP4MVLSNdN?2pn^kbZp`NvKdSIW#N8@*?}#r z@O)!pX1g(ZJWo=W@Hl=8hmo!ayXsM<>lcLJ6)pm6t64(jp$itM+|r27u@y6Wiw<DA z+kxLC_GqWA&uw1{$wq+Moq(3PV_UHD0543ud1<dB8rkRpn+KX2>T@pWyxwvtb$vH> z3X>lFn0tO8Hrb)ac*2fTn@mi+UR&fL>NK|#oxKC^u>31-oLvSk0zDw}h>C47bfhY> zhHCC;ynXsmL8_=k3w%4IH#*o?cYX6Aop^y3<$0Wf8SU+-72r(<St`_w2%1!0K!omG zT<XCCQ)6JkZ<3;N%297$%7aQH$_!}6qL5{`TQ*Di#fUui`Uc13`O~>=51&Pu>!pj3 zUu|AdG<p+Ax0a>_)JB>pl*?<-C=U?>zb?vVwSHe3-of1~d(N-vwH2-e;dEH9W&W~2 zFmqlKvQpdb4w0~&IGiDs@BtvpGH@5gYpb>GZV*nE^OCC6g`Xh*+x1$3FAK^u=er>$ zeNKuy{D(7@ef^iZOBcGygHA_Ekd&GKY!r74LAB8_3wE*M>f~!N4~1&|Tj&c@_9d0M zb?>jwz474g`yBHj^M-|^_mhxfyc+1oAFs*GefUF)Z`42oekk)z%gHAABpE<m=J90# zTlnkab%Xh0_XvNOtxUJ<?04P~D_P{n-CnYq-D5lZmFj~;ZfK=inID><6qmD)vyHY4 z_s!^kHl)*L_)$YsdI6;FyFJuroNfF!m30H;k3s1ZQ~Y1=SoMue@kKmYClmj@0Zc)$ zOXYW;(%O~F{SDq)i50zd)}2Wnd~Nh~ye7ADn$otqWV6`)(`n^2sko0WT_(gQ!XHuF zL%p$Y<XtCrIPKZ*jozg!#Wm{Sd=iS#c&N6JE<0q-de5$r^V*Y-@gFjFOL5uloLC<} zu{Fa#M00oD>wistz0shg)nMQl1FcxVXS|Ww30IGYjxFG`T`v@ZUM%2K13JGH=QQ~D zU&rK8hgAQtV2m?VOj;FR;XqA$Hlcpq*Elfz?I{e?unh7{jr9smf_f#Ay&gYeMok}e z-cAfywcY%ALnJWJUR&Q>TR%}G@b81ia_HQ5p9UQXSjGye_=>o>Y^zxZU#pB7ybZ0K z9&yt(S}Lv)N*#|Hf&jV_a$ss$blxX`eB8Fe7hERxC<E~T1rT+m8*b$H<W1X6^&yBZ z9m1f2^gFFDPlTMgXwl+&yYeVJkW}dB>)iC)EOv3@sxnmW0bum(z^d__`;G2L_+zbG zJ2t`>5!&`B8umX51=asn`1NmvG<l?eF_HB0v-{;K<NJc@Ih(d$Z_!!{kHR1St=L)o z3EJRomF?H=cfw~)#DT32x+%-+@7HqEC+^#Q9=|p1sLS$?R^o||!7i?pf3X7ptxDR! zR!3dVce;X@CgLaA#WpA}hClX?#M$j+iXxFs>hGO;9`f(+T?21jlWoFti($m^W=s|E zjx%cNDdWm2i|i>zNI}SX%kSz#y6vOVos&}_-Ju!7%I1Gu;q(WQs)OT+Z(dM++>%e3 z@FM;<HI6eYHAWrr)k)cf0E*;mePo=(cvI<?UeeK%>tR&-LfkTPqUp=>qsJ^@Tq)H? zqlZX^07D@)899%DQ>uYSQgR+){xG}O-k*5ZjmBRzwQ`uBALNTcBwstC4ju+56n)t2 z$-YHfay^$R8VpQPt7o+0@ZEP)nyTU>EGo7oKDEGXIaaw+^Uk+uDZ-0YO4Ux&#EI zyHim>K)^r+3F&T-W`Q7xw8W-MLP9#FLqbZrJ2o8x((uiVo_p@O@4e@D?|I+*{jv90 zbIvi>8uJ<B8FMVydu=K{fm6rp7mG&B0bZPY_;b;Y*U$Z2CWUmTE7!TuqsRHv8bWv0 zA(~s7FA4Kc1XWY(w4HJ4<UN)NkvNdjcO(?gD~it7Z1`E&{pI&JPtTq3m)^}9ZV%pf zy%9clE%JQgN)Y}Q%igCed+ocIJLHKyN6c!1!u;D+M-TTW>oQJ{_pzPNB+gw+&vy<N zh~2M$PRY6PRqhVy(p`(U+UJbUv>zTjmTE$<c6+QtviEuP-|q=K9r>c`ojTrCH{xB> zF+C|C9^IYOxrAdEkhFe8avPO~&Ztrv#8~*+rTF$XT&l;XZ6oHwU+Qct9%J8)CO43w z%DeN{R8lCohMy|0#<Y$}GvP3PmOcx76#r|5LBi}p#VnI%3M(!_-ldjX0^q-@jGD=i zo^tt`8oIlyt@+XRgV)lL+J6(B1jUx5AH-_z``kVc6GFd{tqQcfHhYGnYGk~UZiC3H zR1N$k*DtN>mk`+f$ca4v#IMM;^+OTR*(KwiGj^}3k$$36-;gDf!Hk?FO;P2w>0>qi z^i5M5qvC5(HBjE0i>RuJBq*-E7T~5O-^D!2gnlL$a$FM`o~3+JvhBF1i59f|J<BM= zQ9mn%J||{}s`_)Mj^+;>L`+Sg7uRnxS96`__Fe?lCi8=DMm@Zs$!G-iP;6?X8Gotj zsB{x<uG8WT=4vfAVqis4rM63P^i-+)UI|%Q4muKYp0bZ$3Ve#(x7h$@Uo^pNLXvfj z@<XM@Q*Ss(+E^62A;yFUv|m~CP}-MFKWwj56FzUeSNA<O(sE0~h`i4s8J}0}68J4; z>2F5(oNmOUn4?;knk%oEdn;nqTv@-4YK{LqwdJLUqFiu{^7%Sa)#JB!3?K*kItMIs zg(;@H-;C%v-7>D;{t!{O8-E8}pPpn#F}Zzw%v1Mo^fC|$Da4wuqCULNQ5$9xFf_NB zs#jebIg9r6LAyhW`8Eco*#js9>9&_j7|6#lmvEjwcwJg)l8{ubvU4fkWq@MF>(VWB z?WZ5E4tJWMb(y3?60#mi4?&{1jdGEi@U96WQcefnwepTC;v^D}9D~CL+hqsfl|FSn z@j^BI;6hd4i^N5C68J*hI51rq`50uo5+QKTsR92By&BC6J%=BNEXH>1;zC)dw#Nvk z+{z$vv>$tn%{^c~2RRh9+qZ&rev$2&F1ToM=f%n0wZUD2f(xH0HC^LXLsO8zcX|Ee zndf%GTS{%hI;Exrourq9t%n<eAWLCAcPD#Q*#r_qhBhW5K_vRn8x><kxs(04w4R5e zD~j`?nvMOujRG}ffK?*5{7W#4#RG|4*>AU?>}YrO&<k_RXTh(yn9PagMxC?Of-PyH z^mOi>|1Jr(Rj>_+;4#Y?I{)k3V7GJEvo<{nz4uxgq(K=XaJ@_E)T*Mlk6G$lgIO0p z*-Xn2DREtW_F6lO0yCJk%l{%+j$3KpTwz6tq*fiDl_4qvGj19cUyg#@^+J<+XkQ+M zegOoy9I)R?`w0o=RED~>ErR7ak>f*MI~L=95_DnV0p-uUR!LBtI3VqQ!kz~naK5R? z)tXw$gi}Se+1yiGhfK}*!75q<%_0vPMbuy5cKu~K2dD)PkB5pj$&)z}-Ht^mKZ6g_ zQi(+ANI-Smeid&eiD~x)8kP5*d_C<}Xzyr5rL)uZrx~v}c{^LgIO8X4Mx|>?e}tuH zKEq#$FkZ3ub~cZ3CP<!)N}rVeSeBki_w8Dd0W}+)xLVAQ9&Vc~`EB*=^q>PH<58LR zx51I=Zp8urqM`rCA>LAT`HmPjJF4xT3S;Vc8CN@tQ5iZN2IuI-L&_fRp0B(-GN}r7 z+?^fx^aOzlJhpdR?^5@5Q1o#1G=m{M1v{>i4zvvw;z*Z)d#TSJSO(vElwEQS#<_xd zQ{==TFETUq?X?o4l&s9qch^dm5HbL?KL8m7+CctX%A0BvXShk9IF`%6UA6?%0a(xq zsNw9jKR<iWk5A1vp3Sgwxwt9E$z7&cp%`He6G1$}nzp-iz#4q+o)%z@okB4e7$POm zP++t5a~Vim(ByMlRJk;2l^63d)E~b@gP6{wc;tqOby;!@8PlzY{<v8&WcPt20@C;v z<ejK*ax>*FTg*ojlhl;EF#Q!wO57On;Qr#^22H+){%|!uSlkLG3v7A`6G!=yn$F<b zhx}(6F&iob_DwQ4`&oX%C~RD&2~+rN1fLM*eZ9*F%zMb)(+bS<R@g<DM@e9g7;3&t z{J34TrFx}eL32;EH#;E~c6S+*L4e+*M@r=}9X^nEfg}X-9+0F!N&`s=q>RdAnIw9H zP6S%Eebpu@L2)LqPb-*Y2)nMszAa-CLGW~dB<1$QM#Qir@G;!sZu?ypU`;4@PaCi% zT!9yXBMpHY!kU)5<cJ}zo-h5}b5HI46-!13gnB>nPh<oYXFhPqq<dh}C$=K%KWgVI z+?&Kdkr-5*@xUR2uG6@$dRf+V)Xq@2H;R7(7F3+}z#+~0G4%PKk{TD6+5OlJSsAk4 z^y8k;SH;T~cB!Q1pNT1r@5OH1l_Bd%KmHcVU%bq2mx^ir8H3XJcI<|v4B5Bz<L>g) z@s#77C=&j}2m1+hpA7rr4aXfVdK{iA1Q2!<dTJvVt!X{4WgR1q<Y?b`Gtge>q`l3( zs)4q~N9^O$iMD~lM;w8MrHHZW7LT^UM-0=vjCBWUZ2CB){(OUvfQMhHvf4nWxz)$7 z;I%40C+o||(<`em{o{u3`Xkl(&Z_(zteOVZrf4pm*+kU_p9pISH~8{c_hbA>9f`SO z_t<sNUtsC*S7zKWxDnfAHpuTsy2JqbALyVr-0v_542$x#xmKhKziDtI9t;cnks2{_ z#ggwS>Y&fw?}+T=Nx6BgKxgM}^<u<=CJ)zKpBaqn6q5v|jDm#&3;B+T0lR^Ug@Z++ zO8e9-;p0$MA?(^drXV(sOQ&gL^&%gzg$?#}A5#U{9G0_>$qRdVf{BKhhliX8liLHD zlXaRq%~p9%UM`*Fu%JCm6_iQv&50vSS%|zHvG7IXvLS^I#Q8;w3SRr6r$>=oiCWTn z=U3qF_aiNeq#d@T2^!9Ri=g2TTLO<RD9azJ;u|-eoYmYJw8@K(@^rv2Qr)CA$WOar zV6BuKP1?%9<zJc^jX=V`2FS~dT>i~K-U6}<NLe5UDZl~1!haITw?HleNhja*u9ILd zrVQaFS=i74rZ8mV<J`H>VUZX8_I6%$Nav3jO4}ukpph&Gp+zhCnW!&fZwwZd`6-Kx zVq>DEChR&=EKLk>-}GHvQRs<c3#)JjP!I+R@jzi6C^!IxFF@h<EAGZ%j+o_*AmA?@ zT8k=hidp9qF)&LoaOs349%53`#%7FY7U=@85W`%BcXNhCf3pTeP*Jr(3yfkPlg+%` zqk6HyDzBmrh`$caze1*{X;D>;U#Z`e!C+g|)ByJK7?T#Jb%Kfhw@AT&QEp&fMs9$O z9ANU}Je|GvBSs#?$+i6$`3vXcu(KgTH*xWiXm?CBjOG;c79!LLQ7Vdm1{SVMr!ANu zw87W?Gq5NuDnNW3@Q$q`$kN?g=oC3DD&M~7=iJ$322<L>q`M*=Y3TR7z$ry@XZ+&2 z(9>DKJ`U{t7~=Aws{C<a?z9F7{>rzcW=wBY#~&LRpcmfnNHI4tK>rLRa2SFtDb_%K z1=1GCULfs(90d~i3qh6?CliC_)?aLS^NTHr;2joS{{a>iYiK+oDsPsM22<a`q<|^z zVq!p~stNQuUl7J#`K!?m1JTYqZmJ2Qz4t$LDZ}sK{;zxrq8)~ab{gbJn8Yq7Bg_B= zs{ut-4aU5ONsW@n3X=yczS5|<1GX-wKtOwhAD_Z*A7ip#M=bauARt>T;|d=^k^W8q zYd4H)19J%c3KmEy20Eo?384$WrH+E19=_tz`2u*DZG+G9SL!hRJ#}0yaEb&;sZjK9 zL`?dQ$q$8J+r+uF8?%q1Q#z#3lr*H!3YZZjw9DRNHc&}nTb^dsF`ICQ$M#likZSRR z&W>aYVS^q4J|5qO<*0je{T&p{8M;LAkAXrwP*4O4uYiIGP?!Y@JN+F2p$Io&I(K?s z5OCX=f6Vk1a4drEJp8bBbdU|J(Xq&>c6bp3KhvSDS%PglVp7mZp_2|K0i^;=bq|wC zhy7(<1?RXaJK*7eC-@p*$f#JdSJ^MNfmrzXAFr;RR{`RM-8!$L_`eeRy%$$UX8)Pr z6F_K;gU|>9#J!+6asnba?nAbJHQ<RszytU+r!{x}7dh<D()-0GEQ_-9GfkO3ouvoq z0%t!42GYfU2QyIr{TrL>MGh2zNJ?XH9Ad0LjMyZSmPNBUL|ccA*aVZ7MX@<VS$mDx zK%`|bHV2rs?TC#fX;~zjL!`Cth>aF$Sp=Iyg!bc#drGPt941UJHg3)N6fU@PTQI)Z zV3;!~T+rmUpntJ(b56Q&L7dxy?!^Y}97Ev(6}JV=i;Wv|=!FYsTxQf|&=S?^!o5!2 z_G;a6;nHy-pTIdHH{I$g<udFsqHwmxTr`#TeB;|a+-s`JMc6Nh!dbI}N+y#Gww?P@ zUVuWW*dJ_WwZmM#DGO1bVj^{M_wj;8R&X1*eBGC$K9QyC;!^f;y(m#=p>R&uEj5V= z60&;$c+3y>)mX5vT0_E%--IZOR95Xek{yH%+80S5UTbVh@&lx=Yz-n!G$?Y@YYFJ= zGh-a#(gl2!zaZ+XFhEqLqSK#4GCzkN{~U_A7;@8Z2?)g@@EYW%iCt&A*j_8!gGlMf z8Je*SM(XlCRDW>fXhp6^)M8=lNAKmbt?^lcpeO@DQSuK&8d*zt9pz~T3ahc321$sz zOTZ6NWyJD<x(aL|2JxWovIE1bU>H$%iTELEj2HafZ{N!{$xRXXzI~YI^mlm=v4LJj z=T``D?tB3=UBhI;%W^O$0`-<^@e&`)rvrQ##}+2hlL4_ey14oX^d7WOtN`>@VuOUP zD+2TcDQ!Q-0rYHzFKUeo@Ia2so?MQS+P3?>(7RM^aOWbo9q`8ftTAAohnT$A16c7{ z_?5nyGUy?S2?V-%Bd{lXm>gFcz`g_c?dQ^Yv4uZHR{L4L$^TV;KLh;c2K=7U-1+*S zZfL*nXMe`;XBXug%Ffq|ascp~Z;dYxxN-=%vh*KZ`F~Rml>7<5kx5{f`<O$&m&PcT z7rUwe_9Vb|5Mb*Mw#19vi8A>UT*()I4O}_DGM;|Z$*wgR=^^GvS%Tl{N(4=QZCn3# zm3?K{lpT~%SHM;RQvnq|N<}_w{S;I7-e0a{<iQz%7v#YiFLwSX$Q7`)Q?OP1<xqm> z4~Ytln<mVGJ?O8868WFiMjDr~?xXxFEI}mw|5jN3`E6MK&squ-kP_5V^c#E}ziKJt z-)pIh+c4PuJ<LX!6(-g-M4gQROFY8746*#R=u-0=0@URP)CG8t{&l?njJiX=s5|-_ zb#Xuz24N@ws9W$~p>E)xQ1|!y-hZcf{dwPOiKvVCAu;D!#B;pBLrQB$tEZ|R57)CN za**Neo0@Fsb*gUPcXX;XWkPHI_#xQ8{|xLG?xRs7!NvB4GlzFGf{IAE?GqaY0%Mf4 zJM+5(;O}|adHjkVGqkTNqRmtKgK2bX*C#imq<TJ&5E3gL<U!ZdPqorWB9^(-T)*3r z>R+GZ*~Cu-e>x{RZ7BNCHh<5EQ7LuXt2nUc)$k3%GYaOjIH?<=c<`$-OWi`dH)PW! zeC6nEjqu&pg&KCOaIL+cbR-|#5i|=~Jfny?7xI;Kr0Svx`j%+BbUTFTj6&^JwA2px z;t76&ukBm9R2^LCOBrV)y76*%@<O8RWpDTl#q8x%Az!LX#tYra5$}brLw|Q-mE97c z``|WnFuvMj@2@S?2?xKOinmRuI774<uH|4UzNRa!(K$4APJJ?U&iG~?g36ts(;xMD zr@M2$V=h?reZ(1peN*QV%#6F;(C<$utUf$kIaM06)R?Z`&Y&<lUuSP&uF@qu#Tal* z3i4vE){Xde7~|3svrqkG&QlmW>vDQS%2ZMlsy#)x1YzVah3^>+S?5V)c>UJOXHTAA zsLpyFadb7zP?#%lc~RxGOp`tCOu|^@Du<fGd6w#(v2(fhu$}XRya*nDyXbFz!uzwE z9>+PK%F9&Yffp64aCz;a@6lMh;Q_p68`@ZbEM7^BYfib@)#ePBxc8W^-NQROu7_wR zQpvyKepZ$Jyj(R%-7w&e{mk+_>GrgCBk}3v+&vrb>1_8(XNR5AG0S(5PsyQ*n0wfD zUnQvGY{B=GeRjm(|4?gX=ZX6FF6)k^abmo&a-@X0s8iq3a*AJ45Fve-*(2bB2ItBA z>~X*3hhH)a$k^n_dhIV1jzPLVs7-WnMO(Oz@k+Qbex4x;wcEPGU5d~anidKgfj$Nb zZBewWN%S}Wz5W<>$z@!Y#X4JxqH|?(+kd7>o^^4iGb!^9&!5-+gSx@TqUn5{e|GKP zW<a&c@BZ1ff3vkG`D{X>Um`(#&wZmFk~rvA17GrvaF2=WE1T@AW=A~A5<EGD8axnl zb){u#5!M*Y2b-$RwUw_W<)OZF$o4iBY9ca*JT8}DcGndMNxk$5{F*XRC3G_EQIISp zHv?gIN@FXXenh?d@qr_HP$wx0kJ*#R^~KJa7W4od%12mA&yBA(K9*QP!Z#sE@YJ>S zslz6ZP^omXJt#odmGTX5Ab5KAWvf3}Skimc+i-d~j)pVyA+qoM{fGTtQW9!czRk$Q z>e@}a9N8y&3)e-r$V}i>nRPF199VoAo|~0`80V_Q=BoH~gZ-Qtno9}xI*N8hjn)_8 z(Ts4XZ=(;|dAi%&jWTd*wW6}jasB7A9$}XVnH_cZj=tWPjK?zngWV>vjn7|R<M(|~ ztBQrE=o2`TNp1i4E0;63CAp%|u$aFrAU{*j@<7*d1(Kn>amT!Y$I7hhYLxbeM`IMt zC}H`CD?D=C;+`Z)IC_ZjRylSHn<AFy5(6LebciSuZkHQ2?x_)*B&s=XT2EZy_Khhv zlE<en$T~+z@Q5Z~bE!0eZm)v%yJ9=6Vt8tmhfa%aPE^IO`o4GA7E-G@iRvv==9UVv z24~AbLj4!!m|mGgjSrHY*$y5(e;*iJ^t&_u!lM3zH9`MlQNGmXL7^WfdX2i89YZ#W z0y59o0vF8!Fs@kEM={TW?)u?ZDG5%I2^gOyPDFR+MZp4%ofd^g@Yd}fXG1&o=C*<o zxy(Ds7k*HCu|>6HcNZ%d>OX^-xyJn?)X*=it+>tpM;QKL<(kH1|04`X7deTdygRk~ zEF&AdVB5|AEDWu!Hgv6)WK5BTPmF8>?yg5l+wwPixt6_D*t0a|HH36UpF3V@eu58^ zw#EGy@wZ|0C5Szuk><2T1D<iO3_K&J5zC*3-?!H?axl^gZ=O1|fljnW<aYuzN-xwe z<}-1M2_SSD2pFWeME5@6UQOnoAr<5|yxfuh&<U&?OQE|HO5Tl4+wx`!{9~jU41W|I zrr<|Qhc7B4La{+#{g3d3kr7(SCS0E%*SuI9*-P7D171Vjn}-%{QL|I#V3E>=Taf`w zw37zB@Rw#H54%O>yS)Z2+!LH^5S}X*-HBWCeM$l0E!Wm7o7*~8Y5&->`FMflAW;>D zFlA`N9CgV#Jvp`EujmIhv5t1eHU{I6kPD|?r;5WS|8tK#i}?qSG)kwH3I(7sXxk1W zRz0m>#RdHV7zL9jbu><oDB_O6vy*z%JkUoe<UR<I&)u$h!I^$k#v4<_Ma5c$rknLv z{(}&P?wFtRqN+0>*3M2x*bYb==?l?tJ&EG)j;#?6<)e%FqPfi3e;$X>KXCq^#o^zl zL%e?$hku_A|HC+hehb8ZBMw0x6j3?F>-lJotAxjr<2y>he`;WCUhi__Jom+n)NS;? zB`V;metzGAx|?RWvF=5G<|^nHd;W>9^am^^DcsHKhQHDL^wpob3Rhy3g6?7Ef0_>= z+d`Jnf%EaTT#LNPnreF)y0RL_S!jE2*2TEfv0xWN4x`)+JWIHQrIa^^Pm`gJLy5}W z(}zR-7RO@249u3yz30`zz3*G{ysk4kZ)Ixdw%X@sx_h?XU`|~<E$rKZw>4@d&2V_n zw0xK{Kk%QQ;po|dHEhlGa0uf-(V{01A=#N6iM!|#cow!C)fpKM#D*<Fb*h>%lNaV& zd%JP!a$~Se?bwz;TVrkY*;^R<eqasBn?|E**NQ2=%UJ5o;{whf%2$&K<c@5lWjy6$ zj-@TH!=8&Ir*fFvT`#Yyu-uilX0c-o-_;KaLV*eI2TtDd@4B@tPeqBw?y^A+RX>c3 zf7lC?5XMqRnE>a?5&n?M@diw#_zb41I!NEwB3iy>Wo$RK6j-<%z}<1JBKFI(`$w9V zNnN75V?KA@<wovS*T_x~lOCB%(cF9X;7C&|b;BR$v@|&+C7aPLkE1sQua{GP=}fXm zCA?;cIP-W`s@D8g>XM1v)GFlP?}nc;#ee;gol4r~-o2F?k{(NPET+$&d-soM?X|*} z%5dIX*U(>faSQz%L5){yPLi6Uoym5@QBt%advE2DeD#ipezZEV#WQNFz~lZI-*@@p zs}0*TmGWtOTLhn8`mHpydw=GPwOgHGB&)kN@CIh1At>%z7FSH=K5J47-L;?52mj4? zfPL~!EHC7EsNu*o^k%Nn;p>3&fnW9kVgjbUc5XRB{arQCPE#ii!mE{f?CI|GeXCL5 zDO#C$ky#I%J-10-^L)B@p{9qtk*(nq*Z3ycB{X*34RW`KpV^u=p5aJc6Jxoz+1GoT zbG<Q+s9dzta`zsz%s>K$?w~v~0iL}%q273!bz62iWxb{cmKyu2T#N5Arh4s!z|nhF zk+4*bIpCOZFpqwjf$rxt`-^E+O&8NpHZP{7Af~l}47@Ji+xc`n&*XkXUQKn4^D)LL zmcyn+<JClQJl}(9*rwYh2=9@j#>l>YQ_R+*(VmPdVH-KMn$cSM+|_Kl?#0V_3H$!L zRZ!|-nj4*)qkXL`wdMpzukSO^o1&Fk@icO)w<c7aXxX{ic_cWpNF@)Jdvw}tUkfuo zsx~8c8sXVx?rJ!O%?$M?ymdT?Ihx&?uBASKGtHd4JCaUZ9v6ea(fn$7xe~`}_!~uz zRlED~nuEp`3E=HD;^=R0`ma?CtNSjAbcUA_tR<75T7d^E7Zeh^vo0MB453ZD-KZHg zyXWDJCx3A75_OU&g*>hN-Ytv3v@eZi99WRL;)VtIxNTC2!A20JQ~2_i6x4_9oCm9F zkQB-I>c!o_tI}b&gS{Gj{BgM$;ctVso4nunx%~}@YRlW{ZI0Z`We%;Lim{TYD6LS{ zo;WYEhZ5nzn;mdBT0>iykpl$co+R)sT5BoJ`l-{Q1W#qJ)q7d%k#Tk(<cHVmh%g{C zgr>QMG*H!T!D5ZSp`A^)#)=E-uRejU&yDC%?dy9Q5|NW7QoVb1oU;(fgm0oLW~}Zt z%W*}y<c$I6M<=);&MHP73?EYUFtJXj6%j*b-m{4*lEF~kXh(VC&oh9RwU<Te)gbh` zmQ}Ju@NuX{2~tGNt;K;cvi@YXs<7J>?>uSu8!k(pjgF&!be^oi?VrUz7W}phvF^#i zZ6VJM<TnGJh`HqnN4L|C8Y%J~o-Ekif=Z09M0}QJvl51LFiJ&)K6=X2Ps<n2k?*$y zL%Vet!%VjsL?@ZHa)4qeepZMyFsrcVCD-X5m5KAnf2ZH~7U5;X9saheFG4G*;W1yW z87#wE7kK*RX&&_*prcgeVM#*YNmtP3^9<rWvW|UGdRQn3APtXq8|a6_8uEC)`1Cwz zOjSB2VT~UKd~c?EU(%$cDUW1M=YJMf*I$xqL-LUO@r`?gkKYq+7gS;$YTs>Bz`q&& zvEb1YJ9a{q#`_DH{`auMpR&#BI;d<nzI`7}yTe|s^8~Hh)P8|8=ShCP5!Cc@S>?mc z{P52Y?Y&#|BQ%Aw>OQaZXg_azd3*aKihM3rnkRjKa2DO&@6y>=y(vz1@$E9cH1tyU znqJqkOWw=SMjNpF62lmK?>S+1(tC$TgwJbv6CY7z2VGsuV9uYXR3UrbkeelZrwQ#v zL~Dv+&g&I5Ibm!jQs%;28L-v^=perRzOQ!LYc^V@gj#lwd)<Sm`MCqI#{Bp7KYL1q zbj9l5_qpDr!41bd{?ytw!ucK><LjH#r1w19H}M%3>It4mbjo&l-wyRlexI$tq|9W` zP_xgdK;%mBJXQ~XO6Ie!LvGs>IynO@`;h3-rI%F<VGZFrUasC-?{%Pb{-N-?{)(<A zfw!WWo?J1Id*t6NP!tyRDCc$X)!Xm=VpbI>EwXlf!oH%eDB#{I=$a3j0KZEOJacbx z0?D2M*BNf3z?bucQ`vB~@@3zu0@KU;_6*{8<_pP^x|&|bi)E5M<$hj{sh!Us8^Ujx z!}$CwgLm-NHgZ3E2A`ERNNynHeKM_1=yOAzkM1l_AI19xU$w|4VRqB{^76FT^=JDN z39FlB#HGl>%2~R*>YN2#P0I1B3Kui|zXKy2PB!e*O`d1IOzN7iL;uLb_58vpy?ohs z)Ja|8mm=d9=`gL6T!$_1DR%jU>Io{duVF;QVbb|QoJ@ELNk_)gHVoLS3b@SGUExR( zj|^waTrY>+yZ;0j>9E$t7i|I}D;byjF*#+xklv%HM`j_xS2Z7X^e@I-ixM7+&H`|} zF{xL$Z_;ycANAVfcPd->w6fd}ZR44KY?WUM|JT?-zkz4-616}2QQi9$1y>M<e3J2g z^};TX_;U2}tq2-kn)B0`cRg3i4}Wl(n&!R0wN(Y|fsu%;i#Vi3z;XICCsmk$nr8ed z*OQ}~clAeUhd<kDT+fdtnK4=Q9lprCEq|#K@0W})7%`J*0eJ;d*f^K~Q070XmyB=o z#8EcX$?sZ-G6C@)dR;;?o(Ypxs8i<JtmI+-)VsJi9J)5EB=uoDW+4LUYub;fL~rl) z1<Wgu3`SEtagJv~W=`vBvV2d*;4k!$elU8xKx9bo0vq901#>%2&9M90as{`=?>OG) zdK_yi_i{xYGR%?=@3@|Y#zUWpMqOD{@(jf3yBlqHJMGhlD{<r`Gev<<J-~uDhU3Mm z$pGQ?CV`c+Ne=8SPa5UWQ0*Bo_<@~hteIU+GH=zN0vJhhiWpTI<<PGP&|nW55cDnv z>|K%{@diF|qPvJ4jZCsf+)wVZUR#9#kd|NMvUs-f8_Wr%(l)b6a<pQUF4~_6z0x*6 zy{~)p>Y1ze+bax%(Ii>6@fTC|9#R3bf~Kmwp5U+$V)sW=41+L4UsVsv^ohVGy1xyq zcwhH#uom*xj&Hl2HWYm=>CuY9Nx>cM!sk~BA&t6sn{*TZZ}|QUy_Uza|8Ml5{~dh) zYxJQ19ejU8@Af!A@0yBEd>dt@?Sphguzd9D=$FQr<BPtYew}=t&Kq`}r=%kNQhFE2 z7YI&6YMhVXt8EpCyl~-5(M!MlUZG#z@SR&i=AV)F|B$oLUm@-PA!nhVq%BTC^$_lR z_wgssOt}ZZUU`R7FP&eQB(E)%Gi~?=HBD7&RAwh1J*Ryxj|w2^(YdNirFz>d`ump5 zcY<%w>70v~IU~JsuPT?luDqx0fkc>vT*B*;))yu6YB8lE%-~G9ciA?V>*R&qd+D)l z+Y+Leg*j+Yv-qp^BHCEL8v}Qpx^`d1@g!5g3`2QvZtxhA=~5r>s)lynJ<6pqY><)& z(lt<JAEq@Vdx;|yhH9{&K;p@o?GuLyp1)-rsqdx1L4!0_;l0)ob$L<!4kyZpKt~*= za*L*Mq@EPMuJ)pWN3S9)i($j%AydMn6Boj|V)MzbP&8-5o(US~xrM%`P#H^rWK~i$ z5AuqjVGR9<^1QM|YjpDgT&Rz=9qq-u0%{J8A<D2d1y6fneJjya2#@N^AN)%EP3fn~ zBBAROA!a@gT$0iCH@)@fihtBIh8ys##c##%;M|fh<W7o<TNXoqKCiHOTYphOcWq9= z;He&u2DE-73Oq=xTaSXF1xLqw^*u^oR}6DhkZn3xxZO{T{s@u#|0zV!zk$(z6C&sz zF``3YMEwVh{$q%&|9yy{|C=!S%Md|-A&hts5GDTaAaeQz5%m8X)BZU`(0_$#OuPQF z3{f3-7e90IJ1PgY+pbsD7xg&_bz75o*{CP*DLx3xAZkhe^6?9#r+SwNS(kxmNG0D- zcY#pXBb$dzRHXUV)IxKgcun621_Bd&73?xNBcX73#Nz<u!S;_dX(Y-mzN{uT$+>6t zlIz1mfziE56g$G`&o}X3EH^bPP*N9uY<u&WYzSGO^cfPRFTuwaw9hev$i=#9Oy6uH zQ`g5o*90RE4r;G{7po~q;Jq~@!D_LIY61@!^$^CTR}RD6E1c}w&NKhGBZ<OSp=`<+ z1ZhYPn68|31;wa;-0SiMP4kX$bQrcM79&K3(yBzIcZ1HM{QNC)Zhhma45v9g-Y);! z0;LbWQ-mW5us6KXuOc6fI+2|7zCO^1=(JZ=3Ntmlmxg0xP3mtFB$;oL#jA11SB^ga zeVoI=0cmCmepmFgVK{?0@M8Xz8NJa8e4^u~O!{*TkdS|fpB%r=jA9IJn>^lth@bIB zn`!Y9`<7--;aKv@+=vxEb3-mIILv(cBiEg=648=2G`nN`;%H*Bmm_*uZ&WhHmM@f- zmUxLw<8^C0JLCJ(Op&$)3QvVFn1-|RnL=oo2}`AGTlVARwGS&tU0IkVwoeGx9Vm)V z*xP()4I_wK#mjapOP>iAtWiLoaVjtBSv8)CN4Y)KC>p^uh*z1aQ`CJor9bi#7JGWB zX!8eElv^#Me21Dg!F}4oA)@8Fcy!iW8nTcH=hU57WO?OYMv{u{feI%0Oo34y0pUdW ztLVKstp=YrmR^pI^eo>YJ8q;ko>;Wuw+pb+P@EoBRXVJJTM7AN(Ou1fVwi)&gQg02 z+O3^7hGdN|&}-u_xctQ-G)ESbPCZaK>Q#Vqff7Xv2V$pNb2CVt=NxgFqJ4xCrcayT z(&Y4=8?mFLPhMpLJ4$ZN+i;}PqU=7>Smn`3i+ge;o}A`4dMaXM$wIFbzYRwZC1pas zKi2;VoIAvrn+~GC@->mk=23L$do+D&6@OVwWf2*b9(Q<XFDn8p6{*xqoaTA?wDbXz zU0FV|Z74<ES@%HSYR(b*E7vOt0Hz^-vAS0lKSX#KUXSd%6gK4$@`v7}bukac9XGC< zB}+jb`6MH3nX&y&<SqJq@i^GX?#k`o;HFFC`cVMgPXsYGL`kV2A|k9Is|%6LA@*$$ zW&em20;r9a&}(0<orpqvk$z6khzGNueZWnp{Gho;(6ZeQaHn-iBxMp(_%T`bHoQ(R z`YoKwI-#ng(2TP%Q{b)~QB-v{2Q9tF`r3+JGebC3n)V{}n)D3?a#_1vhWFC*C~UN8 zxAmmuBzyVoSspc9){E(oZF~LQ@Lk*!gH*lSBQgU3`TnklD#cSysl4)q$*rmbSDBC% z##j*U3MtB>c~n@pRs@0pxkEU+#*=dDwV^8i@SYA{ethg{SAgHkAEybdT&8ax-1KYJ zd;z7RI*{d;`xr^SS`F<QGp?G&O+gl7+kcB}F_hqP3IEK^vk7h#;I?LHc#prYWWBDq z&%ur+@KiehT4OO#;U6kFt}E$tM+DTNm@J^8=p$ze8M#|63l#)6PLAVljW9G1w><oU zh(~8N&UMF8okYRYQ6B^|^)|=eL0a#eu0^j^TZUG77`Jp<thvEyzOc5V)5RV={a*Ml zSeU1Z7DbV|U20|%J)0GKiySHtf#E!R#olN}*{;bulFi`Kr#A<)=+>X}mG9D@bGg;6 z{~jyxx**IiFMV%t95YD&y_^f%r@#{SK`7?=s`jTheYozbAJ0~&&WE6MN_F#n=wr?4 zP8p=&aD0zftn~PkQH;3nNO{a$Ns4iRRbV=D3BiNMjWf4}dU&O8@Vm3bXzppEhOf^N z_wXjYa&-mayS$DFUuk!i$aH0;S{EvtE)W1Z^JO~5pL1O~$67gbj6GDP&&Z+S<!8F5 z?Ct24uBLOBifT)HP<d*~t%D@XDOdwoH^49Wjqv2K>8gyfhg7SE(3cdYy!&<^wQ%T~ zs+<T*rfeMV4MUvzQmw`=*o}r-ju6Mbz=GhZ1s;~d=v;Rq1rhhiO>EpST|=%0`y$l7 zE{m4<#Kw6u2a=f;gZkn*1OG{S5ydV8;MoBxPvaIULWP#(U4*MF=0NIbVd~?&N^*Yi zfD2Z~i$w^X-`ux3VH66V`_4MKAm&jzxlrBbIZ9+yJwQ$e{x);;Vonj`-OICpP#%)= z8l<u4g1Op#MBLk4otq+lc|p$%u2@bC(~^@iKlK~j4@GvGIH=J>#H5<o`O4GgI?(pY zC5NUhk=Ezk_p5kuTJN7WBMn_SK^m>7Bc{@zL$zVw(nXpzAn#b)b|VJ5P21}vH99`L zH(FL^IE<cQYzxCQ`LRrpS7B}ZSUwoO0!5mXO=B=~UeIR=8|KCGg~XpZ+h5&mTwNEu zEH0zFrn|$5fXWa*s;aS7+eVQtz)CEruGp4pd(bv24i)4-rJI~iGQA|n2PhH<%@<Tr zRbrL_ZmymedE<&`)X5dmW{Da&@NfR=E8P6}(>ROk;!(BP1i54^wRN@BTGJ1M+KbBT z@REG4h-zT<mrAap1mm|V@TpI;aYD_lq~lhe7{l9Q4&W{z)T?|3#nN-+f5No&J4~xI zE7qR5#0SXp8q+v8;3yL4<(ktNAr77bFg0#03XFqy8QES3Brtm(u<SY5G!K?9dKsG* zWfS}-5{U>Td?3vwVuz3GEZ5J~PFHn?r*8|fcbCkS<|zqvZ&$qgRcDZI>r#uGaH$dv z8jc-q5%5WipPk+W{#O_9sxK-c{WgKqN1${(OrOL+uwq@!j5AbZ`#43s%iCFSKb5P_ znRG^rSecy1-nBf1fp}%593y<8T;o=YJt!Yspr%2k7W0n&Z5n@26=Xl8R2GqrxP!bT zm~mH({oBAt+$72ma-IoAH_hRLo7sV&;7Fbs8o)+W8}6QFlIw8~$(36|xlOo}H3!Fp z&Ps6ho-vnU?#)`jIsR60<W0Fwy|Gz8Xm^G;*0Lw@8_0RtIMnj>;r2F*LQHqrzc~v` zjdTbs$|Bp8ecw~8DGD9pxv6^DfxPw%jm_iLnfuf3kV|?e>ua7<XEkdx!PNEh)z(P5 ziPCtrAQsqzT)9;v$91?*4k%`HS{eI-=%BW2f@o|JahY<8lCU;mQ?4JGG>DqRZe)ic ztYlSt?J;b>k~Vg^aQy+49v>LY{iRu;MZ(^>7VMzxxvsBAO2<)o0uCuWtp=VOYaW_f z;j}JP)L0`)o{?i10IDrjS-%XDH24b5d0;GdlL&d83g9Z__tm1FfDA&1vHjGwhDVn+ z%8jfD(>DKUI~3cBMoUn_qqN&if%_ZH`o?B*Kt%_QPa_xGzR9qpu<{7FB8p!Av&|v; z8C_3(nhVLg2*=>Z?q`uzYtOfHV_dFZu#F{y1}eWGm1XmN#>p$w4HN{d;2k<fEKkfI zaE4^NhFll?csD2$mYpd)jp3rR7&(pe6tW~U&a|K@%j~SAXVY~PP%ONz7}J)Su-f6M zEMp4RD}=>_zj^L8K=gd=dqTEF%36Bc@Cz8WFct+g5^{$jb3@H?;>)u>=hfW3L5<Z< zm4h!FK9wOlOC~>?R;N7}lUn`cJNQB^*oZB~{_WrmHOOea{<E&r&^h?YZ>{q~f|dm} z70SNflDg9Jy_;e|%b#Rpjt)m&e?HBpH4czGX?c3HdM=yS(DGg{iRU<T8SQdBDFIZ! zmc2mx9(}lLz{apS=sZdoQR|#uyU1@`_#HKRg^D=^-)p-I8naPsoMcfqcB$jjRg5bo zU%^A0$CgFT%1}{THw53C@OqQAR4^i#`|ucP)Nk~edjq;n$`7tOJ`-w5k%un$X~vR@ z{o?31k{?SgrY`g<&R##dHwp>aM8`kybWb-Aa6OT6Mo>@0Vr$J#z020j8{8WdHD?-s z=h-`ur7VeJy&_+>zI1?Wr-2qL7#Ts1s@^zSKWA7nXG}d6fhfz%HIaQ+w=F${!*@u< zu=6#g4~EeCLBT&p-9LXv183i3lyh8!C+)USt_yblB;85(5;81J1dHx=VoxKI>FP8j z-R)^}+PKH#>@h0zfj<fS1u-y2;p3{|E_(PmX*Ji;w&AY91NQz=zvILn)c)-~MESoO z-+dkc!xF_(hfcva*SJ)3D;hnvZG5@DXJ*7Ml#3E8VI)v0!8R-%M>C%7s)k|OcT%E< zCyJ0LD{gpz8<y5?N+f&d(k_rB<TsFRi8xp#1&kx3=ImdVa6sx`CKWNYNoH3hRE|_Z z`)0hs3Bnb$Bt@P^pokgXAMJ`q=zj7_6cJR0a-s4?+@Gc^+WP)}seqnfMDt3?t6BFA zq>p_pM>%b8)HYq+H>M>kDyL$MF^qK+uG115RmX0cEt1=&B2~ONbY`3yX<a3K&+1(n zsAc1etOv&x4ha>h@;QqUsX`Bhi3EfyfwO|!H)El#wO!yaC`P2?S6*GjU7J`y3uv<e zYYn(i6`NoMC6lA@)|%sIJE*ZwygH>DU~9U;nRGH<?_pzufpFM`c}4bvz+E;Nk|Pyf zsQUA`BrmIF4k}QS6uXDPBJ8cRJPLD|JrFAlzG7#cg)~}_b$v-lz88A6flxN51SdrJ zkq_Y4MOuPv5A6EerL+Uw<3LmWV+p~&Erw*gW{)B8s55-W76aq!#Oa`dh%EU|>eUL# z)d^Qao~bB0wAP8QLn4P=(wf~NdNkwaC+|hDZ-_X2^9&fDVcQ)7Z*Qx{wF6vZM92`U zmv8qp%z)b@^o?sr&VA3ZHi_YNc$rw{Dvl*}2|C13JgJ0E4l;0e=uC8^KZb-#MW3g9 zR}OvdrKRk<l2^I-Seoa0NNC`OR&Ew_ng^YhLC1O9AzJQdN9U*T?%Iu2t=V%Y!gH?M zCy}MeH{0E%?k9Znd9N_<^L{u=t*xp794{|GM~xarT)~hzb?wmD=J^v=k<Uy)JxZvM z{GcZ7*i`8<`B;$s*M0Q(PAkQ!K@`I=Yvn`D)FM*!l`)eAGZDDjWZ3-8;g>sjrNc^s zvGy`tBLOU5hi>fG+SW6YpFOUR+)wTJF(l1ecpBg1syo(Z{9P)JLW$f=`pFK3_XqQk z1<Lzo4d|+<BvW*!Y>&`+99qYM-$>M$LnQOA&-4-^vQlvGfu#4|WZNZ~JnKuIo7qRA zsey`QHP^moACqmNXq<uBiR)k_{y5WFJFADh>F#yr!cJo5LQfmQ(f#tftwE;VYgMwJ zq(9xwY$)IiW}(QM!}47zZ}^gTV>2s=Mg8Pt9h}JJekJH9mIyBbr!M-Q3n4U~tyqAo z)fZ<A=UQzqh=8kP@Hwco5VDJ>mw|uALRQc0)n{e{b;+~trBox7@b$%2Dq=IV=^|!a zuiC|!t);>X3##MWn-gr=$;s<0%yPPHN1k)T3wv6?OI;z7u`G|SLW3odW6S>W!CCF` zkM60nf^yRn49M^D+}G{n+Dw7qe(yAa%&CY|8kNJy{i!y?2@iAeook{ps?Ek>-Cz42 z2~;&2GMJF)Q;K+Yx5ulC3pmAmVEvHMW~i^UT%zaOt&q5Q3v&`(qu?k!&R}o=xhx<F z$xvUJJudc6y;F{o&x^*k5DhomDpl1hQ=j?j;d|-raDIqUS~U@SdPmWR)q8lxR>_A8 zONbOb(TO|C^+%h>!$C&WgQ_qZf3H^u<6+1jZaR|NeOU<u-;@QX7biuJbKs7$+Gw-V zI(3`1IC0ZSXrAw+_QSQc&m|w4v!xWDb7XCNF%uQA&xwuXlr5Vg<wCJ))h8A?lisek z>-HopNK7_DPKJL`ZhweTx6~dyDp^lU=BaTeon<n!{p`-kDk}1^u#S}+<+0b}Gy?c( zo`8|%>X|d%Zq0@(RlbSJ#G!5dq|=$Ym3JJ>^C1>36yJ?XYo_9Graq0?mDv6ba~1jX z&K}alp{OLIxRSP*q(co=l86@GH@_YB9N%PuK~%ez9V7>auR)1eaU0uG_0JS2^3Ym? zU?_SmJV?<$ZgvkL>$S8a70#(3Me|R<O<%Tl!I1P?2t53KZi%?xEH9>%xaRtC(GgAZ zRTat&5hwwvwV2VV1{`W4hfwB$*0Q)3veYZkqf1cdMeRt=kGdO=yVKRNRF3Q5Z%er% zIyjRr9I5?=K=rKbhs+sMCfw8&O>64hVe0H~OoFIq#GEjGqG~B%<DEK8OP~Vxu}e<- z`jv<U7xB*2cW>?t=@%$tQ3f8AvunM4qp0s#?W#@md1t7d*p6KUDzT%!rMEk9U=X0G zE!J!wwWCX!uuy=F_oi(rL3nvsNNwq(C9_?>po(xI1QUK`^GtY<EkZF4V<mwZJdG9{ zIhZ72QQ9j<AkaSQI?SI-*rBlyff37N-Nd#kOx&5#lYmQ^y_$rnOJmVNb$b+Z^|z_| zXfQ-9Oj?6n$O`9VFcBb<gClpJweonHzVzd&Xy3Ie7>!5*lHj&3zq(S;$W<fCGH$(= zPuL^dVcrTZ@8RIcnnmi>_}38QKDWuQQm-bzhJ<3aekeLNwtIE_8-3n(ozboJtccm~ z`|Q&NZ-Xyp&Rb^1Uhrqo^6jO>{UBM`X7}Wy!@*4%^u&Glc`k8P3lZ0i<It8{^QWy| z-L4XaZHBefq#tsog0aOnPg`|emrq-Z3W;hiS$gF@chk!rPhRzSQA_Pq=d>xqKXWGP z-Zit8Ik4BZWh6*i$U)M&ZJ^KQx;l6%aVVBO@yS>miM|`RN4E%RVV?89Cev|mAf@=e zUfnOUrgjq8+t+<k@<E2jV>86La8LVV4l*{%1Gc&q$iwPou}D$Abiu}DvB*&<*I|dU zSd@%YVnyPln&gT;YgbBa{z&M*@p&z~6DD>aOwzM}solq-VstJ5h7VeC>uKpl&2@fN zI~e?gDV`^wAzmiL_4P;(DbWE8F;&14?_-gnEF{1x?_*Iyj#_S;ZIwIKjIvv;iBklW z8xC;cxHGu$ZgYi&E1Y3qn_b$hb#l7i-YCSDvW7f-d5ICjAU+_kH}pYiZ_hpoq41GG zlAO#_^-SGO=}f!Xom9)qS$0i1;le*6ljIn?MBE-LCx6()%Cdv+cqq#Z#7hvbdBMBU z@OuxPJvLlnkuq3RR}V#}nX^~A60daa@4#RC@4!N3K)4->)+J_s-hrb`IfD$KAqZ$4 zpNFy31xFU%cEb9N`OY37jJ3tE<MRRY6EVg`o*<Hj+uBLR&o0EQZd72{b&w3;KWD`Y zZZ<fR2!njlvgnB+T8@$LG%b8UpYD<@Jl;5BJ0slhlq&_r10*20@EXBg7*sXKB{6xM z=yc~^O-jM$d8Os~$vU;a)%sEAt<5fh5A!qFLkqCyx$T|eLksC|S2-&bS{id*L#;Jx zS`Q3DI12B`G)m>R6B{NIXmV)vzflA{=DB6pu$mStoAx2EMZk9V$|_H8qpi@8XYlgD z;yd9HZ2|SAkC-fW{oX3V1`W)VA}1`AvHJi~R6gy9py+%AwtU)ef@1RJ9K`X4CAtsu zA;8{_-`J}N*c&Gfm%8O}!Co310Ox+(PCXt_Qom55ztY})XtgN_my-R-@EZ_iw6Cao zWulMiqC>_@rcYCSCzD$Vi(KN%At!-OMTHWn%u`1XBBpmHRhGY>j;Mo{SSM)pi8eE` zSon6kDeaKFsmgHLirzHlKU~}A)eYZsiK&NIXE+6LfVZcE4X|nRZ-m*wad{$klvb<z zym*3}Vx0`*i7nki<1NsNSSNLtOU(9{o(=;mjkA(rby&-ni<d8^$k4*nRA(i1cbJ)G zTF^-H9ho2R??ys-HfbUpwo6^@P0tA9VVDz6(IaJQ^o1vuS0Xm5I+8^=c!J-tfL<vF zO@o9JmCrlezMx;UHPa5Rj|=*Tk-FQxK~Kl{%|hrT*6TvI<?B2|PdUa`dDC`e_^eA` zS40>VxIUxKAGum##+qn5Vgv`TJll~d3x>H@cp2Q7ILz@|Ep`-nDT<C_abbve3df6M z^^4=%7svD$$5@E>wT+aZ8Y(=@0;;H0z_yVJ+|xUD(78>7+ZB2(>01>~&$_d(aR{=9 z4)?dxzmkz_7@}knvKB~%(u8FmefO|s`k}MTb(>j?K{l<$TT0SdAw(`1Q(pR~e6-9p zv-X~fAhlPwp^foE=Thcwl+`@Y0b`)7xfpx>#96@#t5+8x1qD)DE<r%)1{lK*`YG2! ze@bwk*BoI#=VbqqU`~G6&$*l*eoBN1?9YJ-?_2Cnr(zNrqLp49%ESiyNH_*>r%7DS zTU1|oasCCzbuw{WU3}ltqDY27d=qa{*Y!Ql#@1<tGjvz{JH}?c;aUCIe9`%|*fW;~ z_-VaMfKq8bWsSr>O$0~%SQ5)qY9XRmOTyEG&=!@|Bz4t;atXR9Tfq~ou_L_$GeOek zgWfJ77Sov*Wef*ZlXi#Wi%qvy!;oDk+c=wHuewxKF{uA%38Wps5swZ1zBlwP`h5nM zU~Kf*QA8)`5+iNS&cpNZv89oQl|Bd`s4w04>cdm7Ya45WU1;&OevvP&Ww1AFwJ~=l z$G<1-1CqXdzMD<yb|O}36{CHr#MD`GL8w&K40hS@8i6Zzp?Dp6*CvUEaLvP~800cz zH`+xW2~S8v4{=_)2Je>1l6H*KY#y*|tzxa8>8{bu)e>42Q*+hWAf4pqcx~1c(@!@- zEC)-Z9@`OU`;}lrTZoBu9n2~^?0Dn*a8hvcK#7X-kfjcNB5_ko#J90mi$k1Zc5;?{ zPTt@0>0rGK5w+qa%k8#|iA}^d;g9D!Ics}3{sj_{R^_PigtOIrsdv2tXRkS){UEYm zxzj_L+Oqb*LfzMF=DC29?012BIR{2u!5;0$f{>WP?&0c!<r$0J?w*lUmr;B*)ew!N z&|s&N;85D8bJnEogQ+Uj<>4JxyWQAJSd^y>o^modcA0b{4>Ji8884b$JC9l>&9s)G zCZ~+4o61W|0+>%e?>N<cR<Q?L#qr6Z?QKz)UzOdTBy$H*yd6>DjiOAE1Yht1Tg9>S zN>C!a->-Y8DlbFPH39A>X5Ru{^X~7LrZKvYvBCC&IdQn-y>a4%8~+VT{1(5@Xa_&V zj6V>4bLOq+mH>_?oS<}S*;@!xfI7F`oy6M?lZHT()DG^3j?fhM+NkPI(sh&eI(IrN zfVbHX!@BI3c+y?8KRcc4p1oO}4)bF_=bWHB<eb<ctg(sv+YWMb>HEZC=%wYU_{6E= z3W&WnR9VBC`}<9rP08GLk&xBzxT!2TOLcb`t29R|A~sI;-rdam)|2YL+I)hU=j$W^ zRda1NtR`y>ulB@S{J7#GmfKiGz54EE@M>L)ovR@lwF~>3Acm`mS45CdxLgZ`T$=7` zUa#MdBqcb)O#?@Y1aI%i5WEH32sYkx2<|%U(0v%v5eHk60|mDz-o3)7%R%sD*+Hxc zCoSSOwKRf6_|JpKiEwMsLpD^O7{9NY5CW1b{5_){$h+O&y>ohh_6hGfB_IZ^DP32c z0CH#QNg@!ttU(t7{GY&yZ1OBM!I^e1@T6QW62<&XO@DimxVqZ~S~<dT+V>Hd58Q6E zTd;JB0p%az;l`@KJdXHD+3R4qvaTz4C%Nkn^6#8qfhyRHLg3#kEL+Jz(HA1HRV6T= zEFHbky*^KYI~7=*?h^2&%&Uc1>jzt$&}2@IS1>Ppddx(z=Aijtp1ru<Pyl|S*y?Qw zx4l*+rcomi4C#k%#?J+w&-dWN^Kb9Nqg`=*EyvZ|=U2|SiKe;?a}Q=4^SYQE5s723 z4YwvfES|jvZYrD6n3Ma)y4v%#wIOO6+i1g*MT(<aQ2CCZ;@Q3r*XQEP#N7+PB6z8$ zcOH)aRf*7y>5GcZ|ElX5ZAXo$yKj$%H;H~Vbh&<s;|>fo!1N`yhTo?Wm1}xif~mFe zKTLHe)BZK}U(UQ&q3M0_h2IiCXglqmAR<w6+}S$x=RBSXrj&NT*9qUFz4W2>vIaPw zCEpWDDZAXV)Jv-_3bPfgYS*j2yv_E0lD4Vx5=>nMi~jn4SF7CyZPN>#LI0~-!*I4c zRcW_jH&w81LXIDT72xa*1JBS%rmlz7uE%`+?x69Q^em@QZ#UY1^S)2Osh=RM$6;%M z`v|?}PV*$Oa%-zrD|0kI@^0|)n}Cz}?Dxhy(o>$WcFkf;#~$bJ3kUaSHNLk5Uuxey z2%WALZ21&d8<7=4S!Q!4opmSuL7fu|eA9<nZ?r1Dj2rrO$I&z<6QqSxILGKm06(~o zJ93ESvF7-9H*iiOTF4>BJKz@1XU!E&GJWr+2J&tZ|8PfJ_JZZ@Mqhl0`~1)~a7uIg z_<U_l0y=IPH`9~|d=8O>PU6~7%jnMrAydmFT!$?TMt;rj;T*XVwm`)P>w(PKU_Iua zLJCw=T8B%Yg8Rn%WhHl-OH1ygcal#G+K^5RVv)WOe6x0NKYdJ%Uc~)<MM<YVoaIJY z>6<l2wE4l(pH|tJzGy8*7+F!mrq`l$4P#)NuD-|mMD}H9&<j$qF3i8(Oc7Xt8!51q z$*)#{qtK-|aMv||f6-va-H5+aNi^U~(V1l3<@D-q)TX6*ruj^^uSZf$g`cJ@#fD!^ zTErjg#&^0E?UCr6YOdCo?mRVhe#Sj=W~LEpewyJ8Ix@Vg7~67->KD)HXC!8Io5DMf z25`gHyC10NR_2{QA~icuLVGb8#vOZ{O-Z762xU{gEOIZ=4PZZA#|7J=bumt16<u3q z<?TVF=6zYnKfJz!^1COJZbd)U!9Y-aiS#l49Kldn?`dw%8_UCV4{-0sgp`-e`gv-g zgp+;hIw$G60NQZCVl2wys%=wzSey?;5`Qp{BfN79x*?_N3~xD8n%ur8*!)a=80>4% z!5|J+O+0!h@r)ymP4t+y9r^VStP=eKq@R@bN;qSv*JJa#@f}zhwY+7`^@RIuXTvI1 z`O2#=8T$wIq!A7mBDLI**G1=VkB&A==WDznZwZd$qRnze$h~rus_RQ_R~E!F$Gr?x zDa}?p|3B8=0<6lW3mbhghzDsDX^;{nln`kJ1Pnk*rA10Wx^o){qEdo9(jp}xDIl$Y zbax|N!Y17`XP%AueZJrSpL3n-0`{JnH8X2g+-t4bfnnzSJ$4tR*?aVEwVS(712WJk z=h<QoqjQ+urx!ypH#0Rb-De98`G3Xxg2~voxMxb`Hnrl97%v2_pIl$=sG90{r|R}R z{p)T{8gZVH=)=ZYx!}fo@<+12%4ceDn`Y;(jxTrMMmU%Sw7wifg@QapEccUfR3CeU zi&?<MuB30bR}?J*8pU(Z)}Ve8s=5Kfs@8Kg%l>M_LX@4q#7{m<pCy*N^!Zp<vbgL` z$32Z3c>US*pN!YyYK_ma;%Ydu_N0Xh^lG}=x|ls`6v?fgu3M0-pS`UW-FG`j(IU7} zEVr6zPSq{bB`L3ZGP>`$;ZM3`lsF(9yGv{6B6rG%_Nm!FV{Y+fm+Ed$68rK-Xhip| zv(wFWFvOiM+T%)nWYOz?J7=@ike`<P5tk_Wy&G4<?{SM4>>tj+-Ut%|EQnjlB2yzN zuhuxa&meFuS-hth{M!IKC5t23Kj<bpqJLT%>SYDdCBgek;%&nxjLz8kxa+x(RTeGz zQLNh?a>+OXGm{91ehQ?oZy~GBUedX#CQD1D0l7>`EqnVgv-GPm$1w`k8m*48KYlJ? z#b&@L#A$f%07QM3`Q>wufq39$vXYiB>Zhv}ps=psuVd`m-bbys<uf_yB*|q0k-HA~ zxE3gF5!^Zb4S4KDhi!RlUP(Ax6JjG=ZyJ+3uwoB<_y#<x39+Mwv)n*qI)IU&lpFKm zB{M(+0BhJw699+c!X)gR)zmvN!|zuoRne>p2d(D~GGvVw*{b;>ajN;!gidV1sb)*` za`CY-8B3y3>${TJYsj+!LOjDZtCsH7%Db<Uxlj#ip%TVJE-tvzMn8X1pwW{Z_Wazv z=ugFGG)j*XQuhOd68A@bA?ki2LTZhYm(Lrum<=NCG8<GYGq+FrF~}7>XOMe*ZSJxw zow5eQ;CS}Rji$H=DaUQrmFYwTl^F&pv&XP~iH-dLn_Cr-gSprT*EeYi2UtSjKBxhi zFrZN9Vy{}`kL|>sG*V6o0mjKz1s+kW39&*>fP8$&44eH`EU|qE&hKx+;Vaq%ge?AL zJ%~vd&eDWvP%PJ4*}oLOWM%;qg%*64yfN=wZ;91ov`2lK(=gipt+lH1!>{=25e(!W zzIN!`+@K75#D?REBiZhfWIbs@lwtQ!mSQLRm&M`RIYV~BvK&QCxDgxCR{=pYTMt+x z91x_?^lys8j~d;Y6RIamr-1nm+Yy|b6Gy=Y_7mbT@Z)p-c^5;SScM&gYG^*LGt5{8 z@x3aL9<9cQlg@c^w*KZ-c`Q9?o&voM-jz)C!9@4Ez$eCTI@W01IN0xsVZ1lAfX*r? zlEl?m*u9<Oxc520DmpU^v`2uCZJ4Q^y9_)#Z(s-SRqmGC?Mc+aUV(G<PSTRUo4XTZ z(U^+HZj!>O`n|v_M$V(veA{<U2Det}ctnk)KTk7EFQ-~%D7*Ddy+Qlso{=z2Uv_IZ zzf9Y4`_6`*iEZ!FvxyBC9#PM;&W&SK^_EAqA3p{|fmyeBVEXPS>K@uGhSh>Ro-ii) z4Vs_Y4TtbjvKfkN)}ZrNRCP*LgTJ~#30<n}7-Om|9{5$FPp#tOKPq^@AhdZ{!3+Id zGIV4|yqd2V9=+vVro~vlC{%7h&9hz2_f<6f>vm`6qq}^YX1v$DDg45yPF3{Ub5(GH z6yn<fjFjP?t-8mSYIXg#D&B^9-(K<4r5CX}9yaT~FHqYqM$(rPZKN+Z1ro6d=a^0C z2@O-Qg|(^q8eca)e8uR-Yqg&bqGf!iub;{}(45f#h18{8NdEaid}E&wX8B_RnEpj8 zMB{-<*wM&>KPG_bACG~hPzijeGX?%@$l-L(0Wf0y{69y&sKjA6{URIxeRDA_?aC02 zLkY`X*3VR<Nki%=9;&!VeGogPgnwn(i)T+2ZD+d@mcBc%JhOM%^?CYsucKfmFjp(X z+c~@PDC6uf6kCKxZEu?7<$%`9ibbEkP1&~gbfI1_+#8CC7e9wlYO}MjJ2$7)W?-MK zVUf90F!AIboy8+AJqyFRVJ7o`w01AM-q%3A`1WyEK&|NZ-WqyuPSQkbjp)?wyyRlA zy<p2;!AXlFv<ZWr3oGv6|85vf@5Z2Z%67+wJq=N8JQ2Pn1IB*+eyeFqbDSIr>Cx<! zWeiiEQF<9pDieFV;C1Y7)Ye|*sigJzx~aMO8yiJVa&vpbaTT6Ao}Sy=lDqwqyBph1 zd(K-^lAhcA=su&X?(a@+pWrNr+uj*9p3j=yO)t^3+b;IJp=2rA4d>66aYyeox_&d2 z-NT@sMda$m>@>Q6GwnlpxTNlGjrI<|Gl^keLX~~c*jnoyZZwH8-b7}hxT1F|z^tKN z%>JYbFv%7>3I3de%8K>~PuW8@>^9lEBe5kAQ&w86zBf18Tc+78(b%--X_ND9E(awT z;}Kr2r#F<cXR-8k7?ozSm$&zIxEMS*f3up*kz3Phme2*G9$*wOGWq2@BcghXJ87PC zz18)n*Y>*ib_J^f)|b;W_Q0Dyv^}i4_0%Qh3U}6x9G9fOu<ka#7m{`pdQT#qdX=b8 zNM|$ss-*o)5?9Hb(t5h&NYRj@Q%S@EZ{eys3Z5Ob?=G52cWT!`C;AL2FsmlG3W={; zx=s(SwiL~z>e^1*P81E1p<U(Nr<G=;QCAo@!?#+x2YhzDBv(1MlQRmGJQ_V_%@<Vl z>|$JnJZ1-1lbza=h7^#-jltEMfjerR3XL%_7uS)OyMlTK^;N7ssEv~F>Fv!kqRN2! z_G~JeZMsmQ8^}8$sPpJDRvv9T7|Ye~X6M9}(DwPndYpZqQTR<7_9W-DC`S_+=IMX9 z)a8wlGoURctD&DSiWQuksA4w;M-CkvyyfU*&^y?hT~BM17ufrxN4?u`y=RAijW|9_ za=>qA#FFcNQPw{TRR=!M5`IDHbKS?&`p1Q(>6n>m<wj|!5z9hx&O`>uncX#-)DpLw zMVgjHeVr72ohOcHUv-+CP)c%;;C0@ac>hJTU{<5|u6UfeM!TiFpF+fZFRh9Jhrl^0 z14hIC+e4nus>HvRYTfC!@^ci|m9zNRl0tu6GxxA`>bKi>JJ~BEYc$u?icyyxcX}@v z)2bACn!le@eph%!x;}Kqzv~rMZ+f+zU})66ZfSEa(a-6US~d4%%&?242!6xsP50@# z^-s1A$-At742u|6Ou7C+Xx=7}Q916Uk|`8<YM}=K<F@^s>Cr9ejw7!Alb^Ha^fJmK zLwSlk+vi=crSJ%zv$&9FVkPev)zX9S=MiY}$YqP029vq9;Hy(6)VJnLpNk`%>Im39 zcH~{(qgeF_Qo9r8ovKSby=x{1!z|?IH%s7wI}jU=5PZM@I*H=}pEiK#aCBgK1Bm{x z8>{0^D{N)}(GvO?cE<p<G3U+&z<~x}LMxnyObCF74Zz#$1nai_!zYTrx?gRqw@Hu- ztRB9R<6d*MDqXZzx-3{`YF1^;@D5(PcSp~H-{4Ts*IwP2{NXze432uXY^KFQ$EnE2 zB<^U-J@0>FLw$DP#HPATp>G;V&e%{KCa}u<i4Ead9_A|g$`22Z3z3c;xHBO6ey+NY znBIx8#9d%W{5dMs$7baW4?|T+#RtdZ`NU&wv4g^0-kM)aKl{balxGdS5lTp1%jMJ& zk5rf`Z;HJ3#G(5OPjR!?9D{cLm%@YHL?0H)9JbySS=GApMy#bxSPr-em3B+$Uiw-X z_|$?*B?~Qe>YT3p=cWq7w<=3LMVv!hz2|h%XVHV}xLYUHS+!p>8`axNrzky{EgAEj zox8R+#N62(_N64oZ_I&lWylYm5&+XYgqRK~SS6If&mTadXJ|1)OS!!YL!$q-i<@dO zCdZ<9$Y4mc^jvW*+<X^e!S&}=v|1j5105hH+^y6tG4u|+U<4hzfm=}edD2|UaY%oq zTgmC2?t|h_>_MT!Zt@9h-H@v3Mq@55|7bDubSmQwiZ**%*I+B@tYX6iPtEL#>>IO5 zfu8s?CZwVd+oHAZF`95=nyjSJ9{I6wmKAhN_!7%<`ha(|#n>7{5m5<d(EE*=jk<RT zcRf+E1oN!L$>_JGO&$H$<<}h80U2`Q?_}_xC&X;2H#jxuJ=CqWnrNR}dM+W=Cx_ER zDTJ0{bilh5w_wP-l)bWuD2Pueehr;tJ);1J4(Bu{RIMrO_HA0JPOsq<T6f|qIAuC* z5FdM{d1KZ@K=h4yg6FpxxfsvotVg`_1)mcgx@;M@iNCcv;XQ-T_&~={?<E=Q=)WR5 z$`b978>Z*Fa`dK=d~fzZ;4H<Pp--cTj!GUqR?{;n2W01UF+a#Uoer)MA5$rr>J+^t zzZQKHefw;HYcru!rpcJS{qP#`w@!~C`mY8hm#nVboHJJ~bUh!@_Bcv7jC6|l+uCgE z#@*#1#%v5s=mXK>V`@t<CgAl;gryUQcE7F+!8ed+l3T@2u)7b$gxZ~z0GD6s`O^wB zW*!z-ZjzX&7H0BuP>edGGcKm1_SVBm(P+l_1|rj)iaG6+GQ4-&BWr%tHoN3Yog}FQ zriDZvb@{QkbhA|?xqJ0BPOquTOM0#HKcT;9+RU975EuHajQ>S{O9@&uwkq|mj!?X2 zKT&d*lXQyUP@q|q5DI*>+)S1=q`{}9YpV+gE2#has&2c*-H?=bb>A(z#;;MHyJT+e zS}_q(a%mGVjA5GUISE_ZrwitVM1Cr3gBOsIp_NgKyFg%6R?-FxYtfQrL>}gm{tHFG zAO=kay+@DU_+9@xJz>EFXDw0E%{TQL<pMDN-7TUo1<l@dV2twwyRUdOx95v_R2$Ih z_Sro%7B?>dzTz=VAoy>d@|!<mqOQmNW}PV*e~y%loTAbuHMHNcTUXl`v|JvIEf@?H z0g=d>CU084c0~uyif8=z`%124>M(le9>TjTIvVZu^yV##)`+&w&AyOyhNeV&eG^6Y z%B3N_jMDG`cC<%uiPN>jw14A!isY28YdX3*1Xg?mQJrIObNcKi*<Up$K3THmdC?{0 zRC--twow6dCx^`1(2~rWgJXAhua2I{LyYG+|89>4H_XnxWw;Rl2g~4!R_zj$%I&IJ zsHq3|spt*i`PxwHb$)1TuJh(f>v#zornQ9V@i1S&;ou<bjwB3jB){!Q4EtF_)Tpmb zT^fBJIX1;uIS2*H{U61^DB=GvVtAxI^k6SCFv{u6uwuO!j=)gMF5UR!gZ_JJ|0Ghp zI$%3vvN7X@7u+Su+L#-#989FPsjTZE_TZ|d)nofOGF=gxk(WZ{>q?hcu74~fd%LQ9 zMel8h_9v(K2`391(@rVc;Eh=YcHbj?o)u!c(vr7(H#AQ>T&<-ude`wTe4)cWuF72# z*a-i(v<Y2j!^Ji#>PlGY5deU~H7Yhf=RCh|x7LbyOyP+~C)Iy}G!1nn1%PK7YA*l) zPI*mj3lRuvDSkD=I9$S2PAR2*8*~xzewIgwZOGyx7$AA8IcZRcHYsi^J?PU-j7!j` zde>(|A?aP+iS}#O=Mo4-?f94#4HvN}aBz8u7po3@OkD}a{5uF7C)Pa*Y>PGn^9tiR z{~Zpv^#B}%q@Zz~JcO>7I3e49|0|?<n_HpC%82(fVv89IE5(>O_`*Yoj`L=(TeV@` z6%Q+8Ej!>eVjlJFPGWf@3F7&8yK+LG>D{h`N>;Upm0&o_4x%Cz`!+=RW8a0XnPmiq zSlJ8Rzwi2C?qBUch6n!i2s#S=H258u&=_LCLk|Gcy#5V|57fjuOGC|hORfPf=u*Zy z23X4&Vn!9AeX@Vq6{_1!7YT{T-@6ZT1?Ed%mQWU<XG`2XPm1d5=l+hS7@2~z%>I~N z*R@V}0T;B>|2(SO?(@-vsZCENm*S^A4$MdUdE)O3KUTX3Uvq`<Nk_9VvCe3de&>?v zVy9seR(RbDVm)=RHcst_sLMbFkL3-E@*t|8ryq1$8xofcq|3<cgBOh;T6XDgLubRX zRfZ#ayR=WQi7O2+uhIutI0J+Y2dToS)6f&l!qx*g!yKX^P8uzVLE_}fkcVWT7|dt| zv7TlPKtS@x@qrL_(=o-fC9Ma{P5V4fyKy=Wce-J=FW45Bo52?!LbM7>|KrGUp>ATR z_h@vq+v*M-+WF|aId3)dBIeFbOZnU?T9tPzlORKx?;&IpUAsHyVNRO?pKyaNXn=F> zwYcpLxS_D941|Kw0GY?1lE=oe3O7kT4?#w8Fe;5!>w25}ZwCiC5@v@EK5Gn)o%l=2 z%}f69h8M($_jxa9VQxQFIYzmGH@ESA%NU8F$YJTMo|j^!ONqiQ*yj3VCzTe%cH78O ze)w{B8S{=icRX!C=lWT%srdA0v-X#h?0Tk_%h-p}thy^ZCtH=scbN32<bmspe@UB& zE_>`eQ&6fW%#d-yQAhrB9$|8i6Z278-uOFH`h051)9ed%FDJ3tz-fiy47vc7&+61F zd0ayAn<%fhH^ZIZY>KF62p}n&b%G0myyvsv!ET2HUqo{yZC+|<m$fStNLFxKp&@Q) zg`{i66w_EWGhI6OGI8pr|JYx`n@zfa-XrY{0X>RQ9aH%*(Ka6*wqZ`x@~x=TjonP} zu#=laSJd!jCpRObA<vNJmu7REBW<$<wlf?XJ=L`#$IIS-(>6(^HZ3$7n$R-7r<JYZ z#N6Q3ab?H8o5X47o{5|rIK9P2+62RPDEREy5Uh`as8B>h(gj8mNQiN)|GFiPR!;eF zO1ds|!doqdbS;!x2>{+KH-G%52!&->bJbFz)#ivg#$k{T>k?LBh>MmOc_ZfyrCxc9 z*?zR<-sh3}ETqSyTg$i^?NWU@E$_dwl>xpokjU``cs4UeEDw4PbU9ewr5^QOT`38) z6uUVUz9E>JTHR-#<Wd7)_l6jGvRRzMOBw0@MO$|{bVEcNv6(NH436@K*bd<Nz?I$* z>jCTY@T@n)fU^&;cmQ63XA}RxxnKCYu=QyKi*pC8osneg!~xLGa)BsG>CiiZHEU=B zJ&NoV%%M$VxW@r{fx@G=l&nKH*X5>O%1zY~kJ6bh&$xHl3U{ILV+r*KA$lPRs@J1c z90%0~G6J;kY97Vtf2k^cGx{Q-G*#V(Nb_LV?U%}aM&@an2CcK^dD}Q!YAJ6*C2z-E zxzyoAzosMS(XBje)UiAjrjq<rb5~6D)KTSns|uxrQ)U|$>&a>;>5@57YxzqZfluEu zA5~tXKOcW8rSzbWnoOsHs=T_|Am3x~lnDEj2R!8-jtRM<-m$!MIay7{qf=SWq2ng~ zn!0S~pbA3GjZlAo8pN13q&#bBy8X|aCn-g2%UkzFuMBeg4M&hEJLJoF`Ws0Lmky$| zr0Mn0?_&MYn6B%!`FiM+4*8TSN7bFKGE)FDfl@aqups${nKymHLTHs-n+(__Na@}@ zpi?W05Pg~QHd~9vN{$o|ue!(hupU)*P@z|wQad~ButlmuCJ=wZRQ{6MpoEv&rOtV2 zdBF}6kE>Af6B-pV!T1v?r6{(?U?4vp9DnmL4>-H$1H$Ado9S_-b8pcivqjHS&>xxA zv2p>_KrWX$oxTK`y^TSrPnhisPKbUjF>c7dBjS0A-WREqH?ve9z<Px^#K})nw7wuu zwIJ}cDB-`KqW<3fpS{L!*NP;gNKWlAjBN@h1P5>~1?Z~>2XU?x)P!uP8D6nsk5p1a z1|JV_3|H@*G#A?~?zyQ?WSv22xeXuiN6|ESyII_KOR^p-du!c{ktQ#caScw0PePF} z%oMH3XOU7Vy`QOgylg^<N@3*QPWH@R>^`Xeco|Q8yxFCOapiW*at)0|mKNh$Z$8}~ zTG4Iq?v~mJhy(rJYIFI_ogyF(M1wdmhm8X{60wFcYq3$)yW4gHZtWv!t{F22z2np@ z6o@&sUec;~NL7+hBN;M3YRSM`8f40%S|+BLcWFyD7zMa^wluMs#vj4J?e`-f6XNw8 zvPN=zNt^SN%IJMeztMd<Yl|mF#NBbYshW63o#$^sg$2)<*p}cxG(~psp_W$$V}vaf z^EX3LX+{Ai(wp0MYXz&Q(=DuRelr5)UQ<l4z=&{^sEt%#I?rpelVW%UtdP=$(<;;d z2uMAia?Bi^D>ztfJ}rkQDr}Zu8T#sG%F9B6R`Dm67hfH`>}F}>8ksx5FI=`dU@~lU z{_iD2tB>a?SbrH09vd=%N199PtzlzV$RF}p{eq6(n%?S6fghMcR0e%nR975lTe}Qm zZV4}lkCwz?!izB{)wN#!fYesE07QDNZX`%;RD#I|kQ(5Oo-Soai(dh?Br-6x@&>~I zV#g)4I3hJW^v*jQkwP@c6b4OP&{#~OKZ4$&8(;Q$JLUCtoB1j3R$2qm%nA#eOySbK z=VfrR8&r#u+-r<qHPCO)aA9imtDe<11;ul*^8Cgvr=hp9I6^~C^0{jv*JoA^#$MYj zkEcaD#`i6I`1q~@47Pu`<X<|qtSczhKJiVxbfC(T^R&ZCMX}Pm4u!`Hoc32g(Om+; zq#X&R!w%Ln1^K1*7JnvG#jpa%s8AX1syzAQr}_Nd0(2~gH+k~^h%dIZ*!VJW4v8;3 z`Plf<w+P~kjwLp}@B#w`@nrxTUu<cA#+MvK9<BvDvl3|At-Sxft+6ZEp&19`;l~1_ z>bZC7sZXyQYAG=G6dyXO*FG_-08cwW^!S)2UMjQ){0vD-sZe%1ItAKd*g#m|j4sla zNgho(!l@OC7=1_mB{5}>sATwAAh-wkpe;rVDq6?yWS}I}<&jFk6uTDS1KrI|oB&#L z8L?f$)`Yq|rG?E!+Qk{a*X*0oCxzH63$)#dY)iD=6`nX1Fv)^sCT7^jgNJ)>x+<Yl zi&V(CvVh2At-?$y+)^67Y;iIaPU}#*>UgW`$_`~43G>d8J95{766VK1PD#j8WAPUK z>|51-F+&qXGI4GdsT+E*-Lk)*rT;qiEcvn6vk-}6&q6!9^`-<{$RPdV8AIOK6VX0( z>2TBi0Emz>CO<Zsx9{2C3Om3lI0_NHM=L{#cBVMEn`vg0I??85GB$9v)Yy3KZukNl z<8lcrjn0kPsbivfW(l4Q)71|=X)-IAz<rFAgn7X_ACWEZ%vMtloM{e9kkBux1@OK) zu)U$~5bMFxm3uIUJH&E8v>CqU4$<8OA=!?1B<6|la}VW7Rba-?t)(^h9{5~Meb!O+ z{Cs{axD{da+YAqi3XpA`)7`iw^iP!1w!7f=(~Oxy45uFE&R*clLi*d5uW!s&r+L=R z`f!OFv`1@w4Upr;%wrPv77CgfExJn(?>>%dhuHWv->>yFzhJ~L6f9gq3+iBGllxNW z_ESTzC*37TP0p^1@djzrRCkFLQY8XH43==yk_j0>yDSw7#k)2?>n=fxh!{Ogsi2}9 zMkn2^c_Am=?Qb>v@$QnT)C|JOxN0{5WKnfAxp4Xeh#Jq&4XyQ~RD`w6An4HWCb%l{ zw}wvOXu(tu!G&u-wgAM5fG=8u8`{AFOeqFFV+?L+(|@Gkm=ajx0l1;X!1WIyjsv9` zu-^l4r&|v*0VlzG<c5~}u>~>1Q;a84A~(26{@h1yf1he(pQ9+6@ny0{0F>M-zc^}6 z`m60;Nwm5(l*5d9A=h6r&OCheO{-CYr)8EwDlmixo^;bmIHE_uB_h{2C_{(mSTQe3 zV<H*<o|WGv&<$~`#pZeYWC>4G`Qq<sSjw*vD5KdB(VHa>U6lg(I-<LLk1k`Yrz9TD zF@-HFk$&K!yab4gmat-76c8cTHbYlkJ#8ia7KWyDmqF&IYr7h}!a1DMKyhKpc4^J| zrn>_Cj0*Pm0Cnx@GVRqJ5UIaIi26?mncf;){}b!T_Ob2+7VD@GtP9ZL+4L0hM5=0l z8o(q{5r7fT(B-)UuEeN#01;9#OuU(L8vAR-z(e)@T50E$8~PPY0!k~wCA){WV&Uky zEsZ(Elc<Rzek(D}VFplP9&Fa!zg@-?mo%sUUbj-p;1=L+few$Yi>1#^r0YqQ82ne{ z9%LkIh({zotBr6!>#GRA-2C*p>78aWBmSn#Yeu}U0`hK_SudJ37ozm8ZF-Ws!4j?j zOF5ix@~~q|d>w4>@Ap<EudsJ1u4f5A1oV1Vi@jE&3NDSQWJs7TdVur;4Si-s#6cB| zT(3Pwh!DU(JF{{5tMlW|V<ntA?=lo-W=HOUe?2r&<#nDa7&tmra9m8~B%=uvoza4P zYK-`oo8kQda<PNX=}l3S<~MEz<jyFr@W96Qpx8VbIAFTz*#ozFK~!XKqSmi32w?dg zM0#D}_x8Xc^58yuP(bL<VMFf0NYO^v<1WMoX>ZHWE`fS|NDNN73$AC2(A5!e3-WAX zYiSa>YCnOhj`*v>iZR_x-DMnfJI?%jasP0Fs6_Csi~$YM$aaZSkLQgRaE|rNoTMAG z5rw5mb6O((tpJVEs=&o8)n2Fa$j;cF-QEUjJ0G>ZvKh0tG$*-NXg07HmOnI%;@|4t z!$^AWb|0VbM%9!-9vgNx?lZfOnXBgZ($k2RgQO+wYqFSW15vq!2RB|wmre)rvXASf zwmoofWY%-2u{i~XESQMLwTL$orAxyCQS%PO<8H(o>C*o6ZTGj$wH%owZEHyAmn*!s z=dOIY;5iu>BQl;uu+cs7`Tn-UgJveQq+yL7i_exjnJV+1|1r86En=5;X8kOOnld=; z3FLlUk3}mGg;!5(xZuoCI2IN=N<y7oyR>~O|AH^XbO1*$U;i0IfrH}T&A3o}w(|J4 zq^E>{o5bwwiEGA7RQkfck=EDVDE0{X_?ix0o;g3Vm?okhY_t<*Y%fYi&*ARurQo?{ zLenxoZWn3&K|Lz7Hf{G>dqI@7KLv4W3dK3$&KOgH{NM(g@)1>sa`uQ#{>BN%JHm?R zucavVj4PuOV+R!3s|kEi(wzhmM`oJ^g8B)@&yQp#fn7v*!m4aUO$YRb6*e31?;X!m zVEYP<c_wNMC{k7v=wCA`Kc^IY*hW;Cjzfl+gCfr*oa=Ev!3f|{07LaMv$5TfY(Viq zH9^ov)3al5Q=gq#6L8qBA|NZP^|FX&CmeRn7E*ljRe}B9ihu@cIgg^)M(U6ipMFGA z?VbFn4nK+$4#5QdVhW)J^D>r^q(KqadL~V?KU#2D#d+~tsS*^8=80MK6Z9kd<&z-$ z#n)$QKkjgHqk5Jn_=@L~?O_!Wv`TBvuH}-)x91Drno%LC0l1$GmQ3yA-m({JJ#}i| zxI=v8qZT9c@L`>YnFBhoq(5}**fOmuX{Zpp;@$X<fa}2FR}V9b&)UH2eh{B{fjVJ% zTdIvzMOth+kCbKi!^~Cl$d)tr-lqDVx%^;kPR(9v3_A@uEYv_?#Q@H8S>+2;hqzxb z{J|d*L;=l;D?}ZzlVUk6tE1zUoRqA3`}<zsC;3qxcMv3F=UlYlIGhOn{rL03LVQ&v z)ufM+i|6nYz@P(J;(d`AqoRJrt<}u#W>?<QyP`Agk8~xcN~Yh;hbWP_>^#TZd6q{Z zA-=oX-dxm@ALTLAniS(cWK@cp8rbTTyqvd_7i`has1VkBZsXky9Vu9I*?<|p-tGa# z=<PrPH%0H`zKlc{Z$%SDlKMQl)?+79DZlNEU%u@A#p^tiVR<yg3E5FO8PZUbLmZXN z%oN`u$<LCP;o<7Lkc@lP<QbJmyWM_XNm9<lr6i^JB<?!<S7AZ}R?p+OD18?iTPZpp zKK*`|SBCvoqP^$XWb$?b7am}Reeh9cF`jL?4M_)q+rophDzm1u_aZ3D+iMV;sm*(I z9V1Ya$JnOBfCwQvu_;d&sJ{<SM)@X{{yr_SQXFE7to_7NgY8WHU5E|6u)vF5Fb%Yr z^RkfpwTuH|z=r)7-@4Hl_KP5j%AU`Ux)(uyqCb=%B76QgCs1NuBY{s+L2BuH&i>t8 zYF6RKEk#9X)OsbH`T`Py71N+Ia7Gw(7;cMzG~xTNp+g522Vtw15G`&vesJWZ2fXwK zqB@e1@M2tmQB=6mk4yv(41*Zq3vmz|{5cXLg`MLessrv{;h=bk0e+ndQNx*O5Wg@< z05EA*VFl9J=&D-N>o+O%!FP6k9Tx~`L0Z_;MtW1w2oufDGHrLtUshZx2ySm_b`bu# zK0j>s4k9JCcBYBStZv_?;jA^4aDqMKz&2-s!0za9h#gK#g@`U}ui_(8YIk4w+xliq zN9Jnm!ritLHD^qxIf{%G?WpigfbDj)A1QBZx0Z5-mxCcmcrh5<YGcLk9DaO8QCxwv zOPGl5`KQmci)p}-T*EKka-~sx5-E$gyjT*oS7B0^upi>q&Wil_4oduX;Yx@fFGd=J zLmN*DJY9+Yu4dEo%(gUPzmFk6F(TXu9ILUx)DUR0!Y&+WQX)JIYb)MU0*I~fCj|lh z6E&MY%xp3}InrRNRvsN`{5-@pTqJ44tHxAKuP>y1pe=GT56Di*@t5q*!+!4|5*p{J zXk_Sm`T4v~Q3RF8quKGVDpe3z!F%r@I@tO(a_%^YjMai8Db`C-@zK7oP6;5ss*YW~ zJ#w-%xP9PwY%r`01I?GbfG)s0K@b6p-Eghx$=Klb*8ocv0E?>vkyvFlwhsV*N7h(? zcfue6STP!6XUEzTSn0YHN7BW8<glYrygM%NHuceB(4;^>RHV0OHk|STQiV&RAPTrF z96F4%Z&zd^SQ*(V0qAMBF0W<^fwR(}vxo^Wz;kaPR@&8Iof^}HHs1hnfPy3fM6cyO zay?_FcUa+}*ANvf@(Pkw-w;%J(O!&Lq4lId#tD5@MG6)hB?_+utTYid1dj^<HGJ2? zWc0qEE84||)WG16{iz0B;?jA_JK8&hi8#FA<yR0dKRea}uu2AARu8<4z(g^Q{M>{9 z76pK<pGb0f>m?pNDcESL>tsu_SqsmH03A%c22S+h1tgXa95EN?pdtKA&{Xh)aOe!K zf){X)QkXUv(!ed4i)P1lg6mQtT39jyI(+Vz623DeU`x}{d2t<x6nHGrj$!vU!w4h9 z{G0ZHG+~@zh=Pb+cJ#YJ_$0N$Pa+|46zMPv7sWT{a6J|_;l}FyW3UX~2LQ#45%}E$ zE-O;}@_-kS`{xgLLOfvK?STipSot0!fTq7Y&R?ft#RiPVu3J)E;m86h0)R;b000~I zy$u{c3o+xc_P1UN!V13}Kv%7tL1`syQXmF<)ZawM4@<^Fq~zdS1a4xD89^^1C+)B{ z4rd|8)rf!?0v-rj@enuaU<A&7kOsbt&>^6A6m?Kv%s9GzJG{&<a<U5F5BaG6C3*2i zCN6Ozjt;wU4Iq&<fk%kdUvD-w{tZvWlfr=Ct0?hfU2X0EdSdyAseSn|B?^`$AkDc$ zk>2Dq@JKXZ)-VRRsaOoe!Ny)LH*3mJnB@D3s9f#`g7^isHx!ECTbU}_f$wve=74eD zK;}&Si0+~yaRDo~-%VWTcT9#az5pKd1F*?ZSpP)8;-`PQOaoK9X@^*ovyxpn5;-J$ z1?^~jk#PHC%|!t|{eUFjpbz^_CpG^6v5orQ3t&BW0JzPS^L8{ZGdX|lh?UxZI4*mw z=|e1hDwlG)0JXjP;l~5x!T`MYZSbPvex$`38WSln6l@SVgoN7mX)ic57GmT;4owgQ zF!?vLt7sqSSOF}!#0xhX0Go>WY76hagiK+37-FJmZWs9F^AfnR<5!j83qjD)U-1et zxx=C|ug0;MM=pZIKx|e8Vi60#MtUz^Q^l3+ebD_KT^C2lfM*`}g=2#tiC-Wo+52BO z+*0#fkadktMC7G{#bnZG+2w@q>8xS}f!=D-VOZ$}#PZV;4s#%!@dAHhvqzBRhwx-U za1g=Q1L45o*O_UN6hZ>Fiie1?c&$G8|ALi2m;`}$ko#9+Q0j`!n6PS8gwF;+tS}me zAXMS+2AcdUfWV(7RM+dBVE*;;b<3(F{wd*$>)Si!r;`H4^f%Rt^Lod;-!mHoFS&l5 zZsyYOC+J!KO2mI^Y|XhfMx(eZFum4UTz1tY^qruLIiGF-;ZE3LbJ2pns8MPLd$mrl zYWiu#w^d6=4x&U-%*w~U*>Nb3IeTx>sSA$SpbIFHY{V*D{Zm{QU!1YvxEkkN)Artq z2pKd=Patlyq-<VSsZ>^{(4EwrrSxkavgYQ~h0QPXy^q588xzNkwl_MyPutY|mQ&jE z8)utCSSYJle{IwG!86>abYPRq4|Adho_mTMLKTEv={C}M(Q=Q2f6PvZ>)Dm=iCZr| z-s1rOzoa7Tt-X#n8$rEeHs4TKMdn+33s1I3sXglr!n$d5@2~QGjKU8rXFfp5k<R(g zcGv_;bcxZTo_g=HWCR~LRsHm-^Je^vih7Q{Aq@%B?4PgPC=|P5tbD~s%HZf}^%=gC zVUnDvd4fwh<S%)gUW5g=MO+s+tuT|#9>(A5=le3=e&a<$Xh^!(8x77Y&p2K7*6cTi zJ%=P6ch{v!{@GrdilrOM0hxlUJ4yNS_N15d)fd-#Z0`pIz0IZbN+=lL?-=E+mhXr^ zA~l%Ukgrki#jR-cT&ARsiE9wzsO(QOLX{t@;FEn5YD5~E_?UvY`wd0jdgxhl2OfaK zJdvbUIiUnvU+a(i(!9EOOY^wm6YLs1#?NIu8ko4Ej&N)*;%hZD9QW-DyLgKR#3W?g zBHDY;=p_D}B$0CYMiTP`-Z3OOOio(b6JZ6ZIL$657D)*<@J@tfqCk2lNk)W3rZO^* zx7fKa?~;asC63;6rkQ}XO*C}wCnqHDsZ`?lBn08~(-G}ywf@6JPlQM3FN=GRUtqiW z(!opt0XpmBG}M`m&5LEni9WV9TYn@W%xr90l%xTzChL#-CWar+303TUAiuw+ck?9E zZsD5)-?m*)M$bdS!!}8{=&WB+uf?M`4qkm8g4Bv_cAi`pdM)$0KicoStPf~&fqkPp zF{!wv>k@k#0k-q4HJ)50aD<f5Q?oZ$+lHH?R^esg8D1nQh`34`8bQb*(545Ry~gUw z#alxm=VOC*-vDKKszT+<iIoI?t5g8<mm^RrptfWK^<EiL?&!S)wdE-rDVCi}Kw{hJ zlwK1$z7#b3%fbmvT)bB!TjWtl?vQT=atA@hyRQV1P3AR8Lp}W{Jb{z@b6-YDI<@I- zdUA7MmjM-JyWaO5kcx7%{`>BrUQ$G%g!ef^a-A78AzMegUP$NRSDN7>I}`U^H=kt} z{-Y|Vnp8%2T?wUpgX*@~-Pu+6;Oq=<-RyyDJJ+IiZ7+!LTtajd1-2nxH^s>-2xHyZ z8Mut%d=1W=#`CeGp<{*@@B6~b>dqZj0S3B?r2UE;tAQxqX6GwH{?1|P^G}qN)|c-m z*^jxyGkr~%RcBr$rz=9lPGHDdDWG(DJkEaTQtAActIZ|LJ#qFi$8_qGF-tFu`WTmj zxhHl4c00Bk-oI4UN3x25$DmXtj3f=q11`b$JZQT041Rsi1Bw&vz@8O-&XS8WfYMaL zS_);@$EROUa8~w>TqP4%Grr=JYg+90gxRX(SE2Lx^{1IQWD>b4@&<6K<yV>Lye2N! z5ZF-Gd2ySJ9QS4Lev~OjB+TqD9hNySHXi0k+7)(=EmDtehm^pLZ~iQK2`+K@GEEJ^ zl9Ov#<^;oe*()I3Hno#-#-?YB*W5^FQPIYpHt&};YC-mc6s*@GC`u2FC`4C)0!Dfs z>n5LDv9(R|H>9@N9=(e#dv1Zb*s0iZDP0^%mdnm-Vk@a01|*NvqXT@q&_v(E(~r8R zTE6kQ^_0HSdvSyZdJ8+Lod_Qj5EzeSm?~08_NutjY~H*FFNA<37+ZwC3WQF<UjiYj zL+b?rZ7^LhbdC(vBJ-9HeibyGNL?#%((J@F+wg8sZ2T?of*fiE<UoH^I|MLKDkOmm zt~7)j!S{8(L8{*u5Z{4eG5BdH#Bp+e;8(VV)b*yofk5OYF2@KYxqu9Pda5uIz8(*q z`^hddYz>2Id+0wZS{mwM@t<V~&F_`cI?S04$zJjmQHZ&z?4=kr$)=igmL)Q|ebq(% z()6T&O;nY?^&7?ZDRr`uRIQ}e;p>EUG%K(UEYe8fo7tRtN=h_I*-Ky1K(5CQ&Ps>) z;l><@40itj{uJea7fU8RSd$dvB+HLZ3K)BVS!A-e)RJ1|9Tns7D<Z4>GrLW#y^MtY zRKY4@Nd+DM(Yii4IHi6xHln@Kfkw1kH|dl4t<d)K4m6iQ>3uZ{SMLAVlKfw!;oaAw zs-7>ZB*l^)>ogU3@pGHlDShRr$;Nl>#qjI*kRgmIgvc2}+ZXZ8ZNo7^ks^&+iHZiY z=T(ztR#Kj}G?`NBzk-X3Attyc4<y#;d{BUu=0WtxGXnk6#!FZ>!}F2dtP>Go{yY*^ z@E5(TNOYqn=b2TLgl~~4c9`-Y%Ql#5Vg*|>CjbLw+?^EQE<cl$#WL;?USFJYLclKk znEtEw9<pWla26!Ns9$gD?8PSRC!0Md@bpZ2`>Q_}f{U{tB{)0_I>mnU(%o*rvNodV zx9!@KKe>_8O)6+eJyHHhD@oW8j(rb#z~VU&(Xk&Yc7F9NlPk$)R^VyilmI`RRSewY zj}w#do|H;bw5#bbZNN8~74XniCo2?I&<0G4y~m$%0h$*sbO<*9!rRTBQ%Q1RhXd0g zHN<3&qiAZeas&tZCPL(&$)4oGSex8V$L~PHUw$=e@*ftil=i!<KUnFprD4$5P#o(p zrDSe4<#C{)`=b@&g85~?z{VD7LMH?iqh!gJBPUgoK9T)*i~D~K{>RwlD>x+sqB{~f zAkxSQ`~}r3s0zzvLw8`aY={&WD}y>%F%!HL;HLP91#ZiSgnt?YvDDKO_Ok*>|7HxA zyaFtvCeLC`p{c`k6R7&V9nGcVlLC%jNd>?HY5WY~mO_XFPA&j7eqRA70s_D@Dy;j0 zc|YCv?i4VD2Ka0t#7LPrC2*2=9x<y%(@_yW`Vkh)F&Lc<DKar&B^-tTfV!8ZB1O09 zS9}gccSp%23LL_d;4|6aT8uXnIP|4#NCxlJ)0X6RF(X(p58U#V7DL1gKo^tT2%q+L z;U54JtP4>6Qid^XlL_$(V3|W?^)J|xqm|?WPTQd8xF*ub@)V0KaDO^PLxY&LAY#@( zgutCNLe@1dKvN5IWCE9)O@|0k|3-vn5q1clLPQOWz<)Y%Tp}X{e?C%{%v;!x9_ukb zv1i8NcZ+h3ntU>w@S6dDbqK#n^XpiDTAbjY&HuYrG>m!=Q4vo1$=(WXFaF>V4kMzL zfv{|0PYmm1LtOg;FE;!saQdG<M{u>YVINztDEAi~ULq3#>;Ai`WdKtX+&49NDFf78 ziy06p!7m9bWJB~+Z}6i;8qNQ25brpBhTSjUGR8t3WvptFOCuH$5L2Kal3AbZG9BV` zK*9(=jFSoRAiMIyW9a~7_Z8W=B@aRo_iyI%%a(cIp=?MF8lF7CRd0$wF+7q7UTZu} zI}#wd*T2!cx7Izi!aH;gg<7d3Y1wev8`ImH+5q3)mcOTA%Qrl|3%(t<dZl7!ebaV# ztPHg=0mtmF&TT9<)s*e5)^DMf<_ZoE#Cg29Q`98!s8o{9b3KW0I_6vpMkzU@Z(CVY zDVanzd)bBN(?GXv`_WD6aa#(gdlHpMnC~4?amUiNbQkGLTskMj?Z-Ph`QcSW^b88O zV89Fa<76WB{fesuLP?Ni^n(AMyDcUnsc1m#=63BQ+298|y?Vcr;qwDtTYim=i=Jam z9^69TJjbjl7KA{~zU3#1$sOp~@~cqzFx)e61TC~MK={F>NOHG`IVV2?mA}<dyuIl0 zq5oBKQgolWx9km!%Zc4_*w&6|oNls5n6l08R%1X3qv*ERR#vkTZ%x;tYBq=HSif6# zh0+I(t?gA?OCm|Ot!)0%5?+KT8xY}kFXlK~m9Bo2e54Kj0c62a`<2>D+hRPENjD>~ zU1Wtz?NBS|LUB(fb*Eta2#S{4>v}*RFCZ(#cHtB+t*F#A*WdAUjoRj~nec&|X(iuz z=~gz+fv%T3NEg*GEqHVPb}{#)PYZvwBD1i0fz7PgIboZqsoPonx>Gh&rJ=MZB0n@4 z*zs%cw?;WfMFJWdt)r@=P+OXoPhy_Ti2+eLFgPuk7ch6oG<<Ngi6zrXqdgIEU64oU zF}r=1<&(ovcCR1f+Gp*tezR-xI2PXgc_{c+v+$J0T`{UH#XF)?+EZ8Qg`SCXWJTKf z=d@V!e2b{GY$|BX7qL85JUO#4t%R54=TKPR(9YcYnQx`kIANJOO9(ZW8yU&yxk3`d z%)>F|bN{F+uiw$JoPy?H{K=9RR7LMhC@7rPuH~-!>ps@Lvgxw0Sn*J};bbDeDs$Mm zwS<9JYy>{Ex<S)AP2`Pg9V`PQ)q-}fTCW)-%J7G8s#soYp0}oOwbbHow1)2{mRl87 z1ei~rj|;21=4&i~Oh@J7`$S|O(>I?~OALD|;w8HM!B~+$+_WL&uB@tFou5XW%?AQ= zfjnc)2VlvgYt&BbHjQFUcN5R5zM)p|;1n{RuNz@c)9DR2eVmH0@D5>NMc}tB1jWG) zi6-WWM^~>1s46$x`7m}jtUNcS;b#kPMRmZo23;cbmgSmB@4{|ObG2T(6xB}esm;&( zI~NBX0T&+u7dRgfF7yyCiht+AVgPXA*AKW@B5zE4_sslFMYv1EFG1Wl{BWo4P|gkB z3q1U0oD}tU-u1-H^;QCJe+Tbn)<mt}(e*xT7db<1Z~VOfse}>>BE65e{5wYte2u#n z&W2BC8XG%?H37MWqWNE&oY`?O0R!KT2(;=qHmn{I0f*5T<j<6JbNDbK{%E(;{Bx|% za$_nYPh(fys4(yiONh8G@M)hldY{%w7+C*Yvrab-^;r|ApKw^GPB%8!jj%`4*fBJl z+i9)zfM!rJg+|vC?S7v_7Byi!h8h&M4kYC7nOyL)({Kv%_VD`x_y?=AC&y-oSni51 zHyLP**;ovdy{9)R^fywd?i816u^p$c&h{Sb^JKXz)MQUvAMTgx=J&d@M%2&9uPWC= zw#7Jd2E`XDNPQD?{Nl49r{ncB&&{`<y)HT5uES-{)3tg`Zy_MVu%@l9-a|K<yiAS{ zO-r}^z*lJQWn@oTQPyQ&l#@X=-)0f5)96)~vs*I6y!tUUlM~mgu3n0%p&sH=5h?ak zzY+m|;!6-+N+^DHSl9>7JYlk8dulA<4g1s)t79=JI^FWw;Kusf8?{mED!L6)&nm9o zGR<-^z4Vw|_mmlT)1tBRrxCWaQ$58;LXQsk@=kmfXd&i}eU#_o!a0^uE-hLSVca^x zX8-IQ?<2=nWQ}VE<BQ}XEZ{9m=HrU)oV@psHC>_Ryegbk7MF9`w=9ldgL=om38B1y zYZPVm`JQgL_7!K1G^#H+qv+Usy0~RNwj8A)NYly_4C2*L=^`5+ZYkGD?z8JQJ1qbB zbV37HdM82V(*e#<>d(0nWIZwq<S{AdHRi}3TpB0NYG6uV^ZCeN>$I-&xmME(KaJVR z+o;O=9q*yMz@l<K)mPuV^iVr<8zv~L>^<~Uf#=5NL^Weso=I}DwNswN*U4s!BxUs8 zu-<u<!EAy>eO&iQoEZG|NfOT_<&ZIdFFMpg7XPvbWYxnTpNvIF3P;N-`-_s>ZWBE} zU%JsgW=iR|I!W??Ls<x=E$Umi@u>51M<e5dZ_0Y8&E+u^VQBUC&e&y^q6u$Ut_!@* zSmv?O-YiLc(KY&Y0a0Wz?cMR>p;*h^TaM&{ulwoEF64`L+_!Xki!-=z{b1o>EUtZ# zG|{x94|uBt@udqXCl6A(9U~7hbNJ`+AqSa<A}21=2fg-}zb?mm^1U_(>stzPN)=pk z+_u9n4yzm{|NMl#ilp$<*!$*LG!>z{v-9~RRCA$QOMK+Q#bEG}LxtHT27%-{&~XlZ zF&HWV9jl<D3v?KxFXZ42il4lx`mLr2Z^EpO!4vaZTcOurks&0N(~W89k*%PSQi0p` zw}}Ub$qq+3pX$hbeZAtL6cHgL$aV6!hWi)MH(;i{9A!wV?*feX8KOYNhWoqAQQD6t z|8)kKz8Hjl^3YbWm0tOJI(s1tRM#ovBy+JKeA@ry$(s~|WQQM{i7e48xA-Rg_lDnh z=P3Qlv<b5}fE#@*JCrHOJCUz&W)$m$R7wMuEke+5+$kp9P7X_L5Z4K{*yV9xsO5!p zOTjxjVRWH;`-B_Mu<eF_y^wb4aCFX2QS*gDrRIC>w=Ukga7*%*#4Yh#V%J3RT+LFL zYJ=blLlB+X;vxmPIX;7@)E%Nv6-x4H%+BiszJiBHB$*4mPm&`2OZtcParAd?Z`@wH zy>w<?Y>fY!JUb3^*zL!Lvt%!7<>V6?nZxdT8A9YQF3Teec*AB@5H0aZ@)xGlhtVEV z%O-q-5S;Xr7543E@;O5<Y{1RZQ|ISrfDKnw@;mf#E35G5R40}+AGf~O&UrQqWd zb)cT}s-$`77858hT^JcO??F@><7?j^D4$RCw+E^0k__f7@1CLVz+2My(B^=dJd7Y~ z7is?%4Y~OfWV(R;N3hy<*tL8qz*MdMY1a04fxk88aR9?W6eWl#K41vo(kh4ow@vAB z5C3D>q6VTpxOR{k{!|UoK*MB!l#gge?jn4-4q`mONecVbfKMZ#y)Y8YVcrZ&LmaFq zc6hM?I(iuFwDkm*Xn~FsBH~^^CW?>1K~><RBm{6~6~v6w2Fuk!;zu#hv9nhNCEXH# z@`(tKg0*zA8Y_!i($?tjtK1=?&+`5PHtHfB*zz)@`LW2;e_Q0tUl+k1H<ksvhzyO$ zjJfA(gZFr|OreE5e4z<C#-_#G`|m>a6|^r$7=r_vAql*LLq8djz^R07Y9VPHMYygG z)O;+zhQv{reK9R|`8Y`wFO7JRSh+cOS41!jtt^K6I2H46tvt`Zq-J9sQ`yft#J0rl zi;*wBG)hly73ho-%aOe5P~JaVnSRAV+DKYm`jWJuG}|M}m1Ss;GVcCY?R)a9;odR_ ztmIigc+0#nmuD^XmdOSW#ojV)=JH$;T!&DOGUtGLjxma|(ULz4hzch1{ameB=Uq8! zU}7Y#!X(NKx=Bvs6XMU|)#Ih&J@YZ0@{11NycW$8=ICX3h3ZAEqI{w#bJ(JnVI9Sb z%S!Tz;>=m=Uq%pJh;1Wg>k|Xa@TY&-5-Bqw{i797`GN9H)lbWxW<QNy>~m4yqPYB; zIp`1#IYAzCkSh&&Z4z^kCuqH84thvKe)%n8($rmGt*=KAlXmn*Oj@)8G3h9MB45Eu z|2N)-G%8!c@FL<l^GaCzBp;^O7k94X*RbDw5Vx?47+4^jRRvM~ur~X5^#5sX`02S7 zSZjlW8lj{3C0~F?B*xzS?wq4o=Y+mH=QU|7IJz2QIP6gYoC}#i!z;lY<UIt|bv2e= zHaRhPj<wA~`Y#Xf0{8tCcCChJA>d7#qTjADZ}7tpYN2De@`+kH=031k3q*5B6mS5$ zH$fLU<ccu_z>&-Uw<G_DTRr(VkHG)o5!i#0h>su#W%g)nj^_N~zPP}BQ!_T;i!IPm zynWAW-nVGN-z@ql=3l+;&pl8j$R1av_hy+%NJzfT=bn8b+1_UH{JlLb3-@4^{MG!k zZGSXzmhTJ3lcby_H<M?qxWn4ff@3~?U(o&|jmT{x`b=;CThg0nj<UphuPQUkN+E~< zdgRi8Kev#XPkVu>+h>reCi-A1f%!Db3vpNxeWIwh-ir@bujM95&>rTSNS2m*aLuV% z&9Pa{p;^toS<S9l&6Zb9cvj^)ldAu0J!MVEiqy+Ley+TXqu~Wp--^gRxF(#T_Q#Kv zns7>>GMH*ci%gY$`Qr!mas~ILUHsY!oFLmnGIeC6oN^c9>Hchl+1`CAe<6`hlZ8Bx z)91#MLxy#+Ys@&H+X356i|zJCx*f3H>ey}yY_}JdnhBv6#C8*6yB~sXm-xEY^XQ3_ zft+$>_HQmEM#`o9@xzfqx6}9JK$z{SC>aFE-~9dq$F@VqVV!nJlS!sdxRoaRzP#{( z6BGDPLRgqo{QqdcpV}Z<c(Dz<x#y2R_}r9Dgn|<p_Q4dSl4O_FFR-fP1gRaCQ8`Nb zTccAi#-uJbBJw^w(hlD2F=OS#KhYwqu|+rmBq>d6bbt>u!|q=bey_~Vq)KcNk}J8U z05tHUN$?xht|b?iqRjv5-zhY<_;dbc*%_;2CnnybB-<`OkQbhBKCSYf$HcPGwOh#y zjNng>_F33;(?GcD+C6pruN3A1^UdbKM!*q%=NY?fVp(T-h_rdl{6XHf>u_cpbnN25 z?t4OH9UytItTz{!_>P$n9M-&MQxpg38rY>d@Glhe&CvcbN76)7fN2mF3|1;UK-6>e zux(LW_yJhI9prsBW;NJcd}?RU2!k#{?acE^?yL!wNr*+3ZO6nk>+P;5?X5Rj?O|e2 zyAw%_Xul2K?qJiv3_Z{7CG!YB7oAd)oK?!$p}n#)>+!7!{(}+?_XGI9P~d1~=z6`O zb7CD|r#)_i<mS7$vUFNSYQH+~S)`)Kp30idS4q_MJ@p95CD}S@PEq=7bF&?0+|$Yw zn?y4z(e!c)b<}K?#mZ8a#L9ML#maU$OZ%lQdDCc&5ea5jp&0eXB;9vry35|<X@vEP zw+xqV&rC7;M6cu~k)oTa&!-Eu)G(Jx6IH&KCVJxGLqobnEXec?<n>=cUXSVliG3FO z`oTNHvAC6`(qw@fc((4?jDADT#+{NpWTV;|`yjXfP;KQ-i4%t6u9joI$ZW)<&!oeo z!KBWl{QU02NPoR*rRq<QihPzE{fkgSoU+CNAaQ3sjd@)Qe`OHh+;eLgziLeq?tT;` z`@EIncb9WfAMg}P=>WmaaRy439FAr2=}OfC;vBqH@sr*9-`<wuO^7rw^npa*r*~`% zA;H@KBh208F!@b#D)MeJm`p8brPu6vd{WBm>z5u%^%6oyPo4~U{rdW&hf-t^qz)Rf zV7fNhs@hSI-)sAT$B?<e=#+=H^ld0r_3P=<t|`#dp#5%h->bf-eF=T%p48)~)NI5{ z%C-TLw<j*fem(pcqNKcX{rcOB7h~}cKR!iCS$7>wPR9q+MV8dC%hWl@E2&>BAf8D+ z1-KMfKm1u9jMh2S<W?qBzN~y)=}>8ONPT>JfOCIc)c?N=dy%MnCN8tQjCs3#VPc9? zQgOqyjzrZnbUBVPCVrb~V(O%%>;`8YiL$5nGD}Fz>usigCZ;$fBsOMhNtE0jN8>1B zBG<oEPO(dzn@M(goivF9a}9v2R_kGi6?bglAMyhuuxCGX5)PbzPT@Aq%Q2Hi!`uT9 z_YaEgV}DY-gMX(uf&1wFN+~82UiboO9Jc$hJ~Iv-{BamMCI?cm=x`|&CNaUsKN6?? zyh*=LxJ`BCiIM{I|H{TPNxvjxqxe!EbWG##%e1Plc>P~4b8MIl%g>#qPpYaQi*z=F zd_ViZ6maY~s9q$7A+E!vEPx2U*bA}YPx(fNE3J1>!h4^=Yj~Ug4{dJ&7FD<Y4GRb; zsi1_Eh=7W8OR0c}f~Y8<<d7l_(y>uuL|W9L5kWBMkZz^ByBTumj+u9D@Hyu>=Xw6; zJOB6lzUz`<_KJJ0z3%w^?t9D3APEIA=w$)7f}$J(|1~sjj3oH(?-p=#4JW}aGPg5c zWq4+IWVmIxWH^O8=*)iIdGlZH4h7#N!{6Sdb3!tjK^k?ok3<ZDSJb(8wDq&zgx(uo zQ(j$OzhFJ=FLa&>iHRil%jpQYJxlIq*b{Qwk=)N4{BkDuO9a1M0ZT^ul;3Lt*#Th6 z$;Pt!E19a<VBfu8`$9C_7COyulSm>C+b*B_Gk3nO(nKIF>|=<c>m#{GG<{p8iS2Mh z4~VAaRGO%d-k+Ebjg1Wc^O>EwNPKuKupS934^KVi{MGX*ELT}ln6EM?#c;YjmmWj4 z^n*t@L%k#d&`i8vi;|n+I5jm(_;&Hn8g^;!6@*{Q9k)mAVN@*dwxfU6T$E;CVfAad z?It%)O~n$j?Uq=>Ce5@mcDv=4i%@%5D09HNR$|Qs$+NmTW0{xEOVBb<`6aQ2mNQVD zOk)k5JV)gRek}lkp3WM&eh!!@=}V?iI5Pza1aBRjK};LM=Mt6$pUacJkTbRS8bI?A z@&Chq|EUudB4X3&jnlEHks%U!diV&hO8>{3yc!G4BH;f6vxrYdlm2n??_(p=|5qn3 z>hc^U>3^I&9~9y+%na0YUP73G$`qt9?F>|P;Gh<d4r&!-GT^*6kIoAi+0l75!N>J) zW(1B4ZvNNf5^q&q^ZZY@ObR>CpZ)#D-@3y8I&Az8V*VFl<3BlOVlw)-AN)05{6E^h z39$W%e`rA9s?N;Uomc<qjQ;(I{)cGsIT_9MhgpD}*Xm!)0{V{*c~|0JiBd0)(g9bx z*E51$?a&pi?TPa^m`yL+N9W=8T4Zpm9l=|-a6=s%#mD!mBo=s=_QrOm*T%5Xi}9tt zU!qLOiPtugd1bJ942@MWrou@o!^Iwu<2G55qy^dfhLtXMeJxl7UDOg{)#9vP;ohjc zU6q)7Iq)rw0z`a99ztb0*<0SEXCGa<EnK8N>I6N_`-1UVEK_k(kY=czn4Z>7nw4B! zr(Z{UF1y+mNpgPB#IaChH%-#qI-F0rw!%odwoM-vQb~S=*N0)4s1GX(UByT|yt}Zt zrE#LSG`8c}`ON2w3rv33-QML!J5uCb+LuW0C!{JhJ%B`O=_E-fdY8-v+e$s8lH0Dg zwsYcxPZj-%u%o5<37KGcL##1vp&!KB7Ue=)52PNQ+LKbsuN&4|9hhQD%ATgb)=ZV$ z$7E9tUhdysXHvSo9)l8xSiKk?c{rz;HrTpj=UbqCO4P)F()=_ef!is=?#}PYF*i?- z90WrLanr~>>fJMU6r|%I8-)9vx*go+yh@qdPD`A}THadllB}rcmNm9X#tm1ssq2PY zu9DeLpdDLEPj<c`Ew<O$)d8MWcx*SxXf>_xmruL=peu2{7zZtneds6n)GdLZ7Aqpy z!SI|iq+hy+EEfZLbGj9O-hBN<3jIau-hEf_{=`>|UDN&V3acmMEWb*zK35Tcu0q`z z3*O&&zNaPFITz|y8JyQCec?HaU8nTD`+H7zF>HxHT7+d&nd><BU);q|f7-mPfay4% zs{)1{PJ#|+wSY^u=W$?`%KbgJyBNWL&a#zeN#odGt=hTHu|GapE7}qjiCJ;@p&&g# zR^l=_>W!P)Ds%3cuac43U*;_v;n)g3sny^ES`kG11GxQJ8QgM*y436#OFF?m^iE*z z-S&q2E-nt+)*K*%-9tgTSWGfYjQn#ImVk8$LKm@Oh25dsA4hV<%1En<a|JXXe`0Ut zoX{>!y*>2MRv9_gY}vSGPcXOaAW~Mk{X=Br0BtQ*Mi$3yfa6i>u+V?HYer?KdPhSx zpzG4mX}g2|p9%zRfj!=1BC}uJ=$TKRZDPrYU>v3U2TSU`B><uG6^jS;~8zfUh zs)rMk_q@D|HON;3Q9U*~?`Az{lk!A(xh;g8>0LrE@v7mVi7BIK+RtA{d<tf{c`ua@ z+z_$V9Df$du&VDqoUvkipr7pYAZSNBT%(^g*Sm?tbV16cSmt)E|Hejjb;HKZ&rZ&! z#l?C$Pm_#R1=g7#KsqVGsv<gR3ino4`<<MbCJ70hBx-Lhepj#rb1+TS;Bh)CuexF2 zJg??$E1la0OKlqqYsDIIG#15L$*q@lD5R#OmZP^uIZI^IsXkZl+d82J@ho|sEIsS@ z*9SMOkP#(WGx*bQ$$EHz%`M;7j#Bg8h#R^nY?t$(3<E(M*tpWN{d9-K>h7yfqXoid zgULQ=JVNQ49m;Fx@Q^VUVtPTl?kmZ!_QSDjRpW$w{v4;(veTdVz>hVcgHE0N;N2?s zhyA!^<a{6@Z`I}_6Z#*Vz75tmz5!;}rlP6GOK$FAmqNFrBJRAY&~cFHQ(=5Lryyp$ zWOAz*nGDqqg=Gb7`N>X-^TZC`+oV?bm`@ed7Zr1QDMduJdQZ**EuPkN*fEhCWnF7$ z=6x#%`8h7$qB?7p1CxBYKcT$^V<=-Iq;rl0xuD$sdNU(SD5rs}WAQ`s&HjY?_M=W` z&`I9;+CeS<N`m_*>#4!$cggPg8D}AizNqh~F$it*XJMIhj2swC8}3A@Z~jB@RwnGT zt!vfPNlhsmEM=n_`7o9ko<ylDMMs@R1)%c>sj1215`lisZ!XO-CeHoH?;c|zsaNRQ znQ6$8BJ|()4cZsgm}UDDK8+o1<PjNiLB1wrE1yF=r-_UjTA~$^MOQ^mVqVCs2<c># zA<e5ezEC#JwW<l;J4n=w$@@+^`22g*=WjRJrwR_jS|Vt99(*d&&KywC<#pXVzOZ<0 zFvw1mxlkmvzz6Dbe49OwExpY}B1id^s%;P7dugckg96RRGUpd~NxG8U<!>B$A9K0r zdX7Iot>LM|_X#59)8+%$gzO2(M{P4Pxbdvhqh;zrmv9~L6CAO$ok7eGyTBX&c?D0L z&dpEzDyWd*9o_qKF1O!@4)5^tT*Sk=a{H~yXy0|2hHePYvOZREnJ&x!bbNbBp1mIT z;=ybTj?m~c@(}{O8E>Zo!cjnY*JoHbiWz!%HVH^KQv%YB3x~bdzycBQ0?)t#k?;Z{ zV1W{N0V{ZcU$d<0c5ewtrIuSM<kUtVI(@p!r|b0gq-GY;6I#ap(Rl3>sTBxI@+$$$ z_$+R<Js%xp^1gq$!PNYfc6r%-8!Hu*QnFHV%Y&ZcF3C-#)(vRp6s@-<Pffo23n>P! zM-ktf8OP@u$8Z7+q<7enT3;tmq3-zVoSBI7IxRrc$xTsE_=`17ldOc3(D3S|3yik% z-m+S9bS}yywHl){l;R4|Yo>!%GyNA$3bh(~>6GHG?yoT$t!59eSL3I<);bT#F4!Y= z!te!X=vri(otX8Z3GwwvI;IgIJ-=MGosgM|$9hKo@<#(@s>r6BRYEUj9)pV;G%k&< z2}4uNXNPB;?$xbsyML*VM|CdVL#vPH|72#e+nmv`2!4LzNqkeZ>@_usM5>!K;fV&# z7n!~*KRn4o>ekLb9TwCj{na~|P;E9m`%caC?~f{L@bg{>H5Pm}$kn_uX7Cbm4NcaO z+0XZWIkQ}l$u4$Q0~2v)TSG4@NPOT$3iz99x2x9oY7*_PeL&qHCOyNDe{MZPd0}q& zWz|_0WCRyWBXO+E`ZH<F-t9N2`)edOpt`)c35K$&d`#>}^QKEdfMwLc9hu@BS~SnH zOP-xr<yjJw#<iN^r4?q&eMcE~`ireP#a?+%tV;#Fbc{jPtUu}PxV)T-k@6u$al!9a zq;00W(l%nyOx>E%;6IuBE4XTy@zdK`nb8dx2Ur^2c3rG;N3uQbw(%W&bB!5;fD}!h zq4>A6d(xnEs}ya}?+W)z+4>GXR{;G}&z^<RC%KQ;BT)+`yA%pJx2MPo-hYwoufl&{ z7w)QA)yrY9?jqZ7r#mgd*!DDBa8m8$+B3>|J=v&$intO7YIW&iLz#L<u}|!xCbg-f z=r-mL1HK{=h<?sAH>Wxe$bZRNj?1+<L{qyZK`Lb~BA_5oIKV>S7D_TvYU^Za)oJeY z*JKy#k<3drE7s4V_~~-gt<d$vPm=J{3q5zE)yaQH0YuQQb5UV=>af<%=(6s^*a4ZH zXy-91_G_-cc8m1)?^~LG&n^?Rro}!!=<c(R%U`Wv+K6_>K+EqbCzSe0otJ3@v^-~N zt;Xw#9XlVTp3vR7UvLcUUh?djfbI8`iyyy!dH~i96W#jeo5@s*wPl-x3T=O;yIB(} zKMsba$o0>Q*-TZb-Z<%}yE94=d4+9^i{;7=SZeI+#}7v%yTSz2rNfI0)P(~uGMgwq zRtVQ2&+akhCGqTAhIhIf9cQ~vjUN|FEXlXK`(P)nk9<yooz&rezNb_nG~zfK+4-(u zwPLcV<Qu{qmsxg&WG607bcKs$@P?f*r^i&4*!#^p&00I>Db>bbp;B&i6Cy>Np3&Yk zvkuqvB4H~oCmsQ3#7zK>z<pIzd;`CoizOu&eEM@OFG1PivACR_c~8mJrx9PTW$&xA zlMxa~`1$S7drE0~#8<-^dHzyyI+Py?X#lh;&VJWr$}5-5!BL=$3f^$1-JS6DdgL$f zlvd+r);2DdZmO*Ei<mUG<(*3+ioiRT6^po7+@#;Q!!DQ7zF1Ej7p#BeXE{Zy71Ig5 z+^}!){hp!(Pf<FWBBctZn0Fja833*+fIpoBcFdXBFaDk{i$lH%{RQ#%+In**AHH4Z zMAyd}3w`x13dZzpF>oAu(#!kt3aIDAq;il0LE%Bl$Tm~;LGYa)eLB40`;6=~XOTUd zm4k#jOAZtY`8US`oUy%oQo{<O*n#wA?%^emmk*m<7fFebY+5I5swJU!HzbFvF4PXS zC=i{@p<SBc)~N;E9>965fOCE02F{cE%a9B#ocf_HmCUroJs+5Q!!=;)1`lcyq^{0} z=M({3#|XUJ9NdCk%Vm<RpeqQtR()G5hrzN*x;9Q}M58EVbMP;oM+cPmlsln^p*?o* z+Q``J?n>b8cfx_U6APL;!rqPwIYCMXwdfQ9YtqRc83*239}B#*2YBaq7Y>8bdSbKO z03K)H@zic$)7M}44!)<98lD)BS1g8rUrWnIsCYOpEz5DeZw|5OJ-!kDgsJ~I8A;5B z9k-RzL9w>>sG3!poAoyD<rYkwTXV6sA5~0={(+C6$0gApU40|;`J@o;%HTqw3NW{z z$SbFf(%krHKbG-#<C9^Ws7d(_#+Bh7m*&nle|X{gp%=b74cnp6W1)I*daFcfZW$k% z{>|ZFH`*@(Ze($t8iv~=7Zso?T}4FSc4dNt3(pt!TZdkux{=uUo|3}~zU96JUc^mo zvj3eU??PO*oARnFbA4RZixsTEy|6!W-EVxrb*ueydTc=8n|_6gA|%y3AE(NDz62b* z>x66IzQ8i@w+D8FtS(bkcSO{_tVVxBZLN^}fFiRMe%n7<d@2O^k=e;7dT$-nrMKPA zL?u#5(`+Zgp2YI&2xm+kr@UGeG9Sa(qS>##GrIO*;R6gP;YU*#lE4(Fq@yXZFf{%6 zJ;ef^V%HBs4fR7z^c}h<VV{icIw3wqCKr?EbT7r{W}mlqh-7|uCBCQb7Htg9p2Z2< zny{18%Hz(~SZq`7;o>&o8R)Y`?sm>vv(;>R*Dpjlh>$cREVShK0CkmoK4$8kyJ~6E zrc0(d+eDtNZvXQkLY*=T3guo_2?I-PZ-VrolhPa|&xT`}p~iLhG{41GZ>S_+5WQ37 z=h}&s-e068n_wIcQe9=*@qblJ*{DjXT_!lWQ?=&WhzuGrT$tn+`7zRai_cJci?BeJ zI+a&U*0PH*cqikBo&aSjlWw`&;(!g3<7u6>@8BFA^BUr;!Lz)~%f(E|liycR3+fXT z$~ng4WCf3vB+*qaesy%)I?&)#zJXiF#_3X8$7i3q=mF-4Oz^X)87rYHP~)WGE$){W zTjl1j11~&VYapG1M~)E@@yVNb=f;M8dvI$o-cKzWxROg)jhlvr06l>&Qj^p{fc7fb zqJ2KtqJ_BZ;uTHi4IAx-$yhhqQqTQK$1#e>IYDT$K40Yw8R)r@6-%_yibHfHZ{;s+ zafJ4$k=5cw>kpoTilfp1k}v{}RFXj{ZgWw(j>C8B#fwPs-9#$4MlJ2#*<o(=Ez{5= z70Syh1wmInct~a1U7)hErq%!L?p#mI)|+cT7abQs9Ol-ak-B`|;D)K*R)TF@K!zmW zdgPgq%yKAo$zu!?cKX_8e9y&=u5^i|vqUaic4lz_t#Xtl9DtB5=M;@d?-_cRI?M<I z2``%l4^H)QvgJg|rYp|*gH3Tok6_g+q!}{z7+fBZ%xHvp8)vujku$kG1{Wbd!~1I8 z2FJmRI~@IYHJ_N#FYp+6uy3~*4Lz`fHeX}49Qaweaw6<*uhV89S-(u=#y`XVNYk#{ znLXGQG(Hchn7B*7Nrkasuzj&78~BAKY~;SCTLe!5FjT<=tR-iUJQX;J32gBe{RaWv z03}3Vln}>2it~Rqe?I8Q{PD!+B<>L`5xEa?Y_3RckHrKdxultAw?-EUT9ktqJ8l-O z2WAwFc<re;Vvm2MJkH`pOo0Bvf|(|yRBnx%nQG$p+_@m9vCesHiK3uHRdZ#O=kh?% z{S2}q(LNntjNh1m8<u%;H?yah!6JL$hGp5j=rC<wsD~T$jJHvH^v3`pV?2pGAG!c@ zaC&L18aJyN@^F|^wYX-4_c&wx&D(s&yk2rJX;Wbdez!VY_A|M-Mt7W;G1TQQ-(<mK z%?tfiPa9fA404#Phzky)<Jy@aUlwb*`fC*-(`uc5&nWL+lPv<dR<LSL&B!R2H}`<g zFr7(02SUD2h*vfa-^Aicf0n`?lNo(|=Ibj~SHCNtq^{m42gIsl(;;Laefu(IrDqFI zA(4iLtd9!;k~=1l+|ehMK$R+{!7?S3Vi(x+^lEji{hv`bSRCec2Su)TT=$3E%TIq@ zAe7xK)RA-5=wj$M3~<=OoX=xfQth7zUW5pOZsYOOtv-|va0>QE$__O0t)O_fF0c9V zE)vAv{wzS3MIQB0TIjp1W|P<kQhaV2Gl!p7xHl+0T9zo82N=a#CHtKhd0Y;AGxJ7y zGIaS&(?28)^UWQ{bajQp_R7}_t9bXT9<)UC@T~dZ{+zdMC%m%mgdU|{T1$8p9~gY~ zIxk%tVK@(6<_N#HSJInX5hMI$nCqLIba@klYKOTS+h&KKb*o*H%e$S~pRGi4+fej4 zROtQFBlR>)-;6v``Ezim{z%>QWlo(WRt5}C<`jKD#Ege4`69Oy$8#lfBoW9bxf?N( zNQQxAym30(AOloK^|t6$-cUW8)YziuyU9`s@e0zjFNfe}1*rF?l*OKX>wLE})zpeL zfS6xMG+P{HZ~8KuCyAT7q94j^()YitCD9^Ld2td=s<J?PYwY8$i6!|>2FV=Kcww=| z)Ehphbw<4$Wz61uKrEXmkA<~-r48AKQtC8aCm36J3HFp##pc8}u7r#Tr5YRfT(Rq- zU-e4*Wq$S2do7QqGhwGcz&V>iG6So@jiK>X)uix%3`_p?SP(@%zP8?BQdPR8@sFg9 zS4RI$-HAVEZM<cApyi)w+s@8IrCT%h&>x9ggB40&;O3tbH!B&fH+`?QM_c_LUayI~ zC=})6YdX{V9bCM+`eKXo7~3r(+;P3_NxM5ACRL8PH0U{Uu5ndhkL!WkU{_k@Jci>v zm31>p94UuAKI#JJZVhqA0{#(KP%?OQYY(7>Fc>}G^Fa7~=!D)YaFpQI20|JYGLI6t z!u^xw;eSMy7dzCR{4BqYfOQtEVIcj+0;ZYK9eF_Bzl4^50PEQE*5o5*nYQf|38=W+ z=#FeK`Y@<Kd~4Nsd$SeqDMe1{h>VhjC&ap`E=mgBfW}={3kdmiy-)b3yKM_iZr;<T zrIZfM!ki#%$Z!qA81=@|@l;_xxb2CTvTh74?qJN*Q4KRc3R2e%{FkNw+hh>>_iRuW zOy+BmNXdh-l_q#Iqg!79J>1Rrqls>)9#Bi<9$=m+6J*ZVOLI;+NcNa`?dnlL8x^cD zH~Z~I<^gY<3k9H^;4s<7tQK^by2T|uYjIBkY}Wq~z)`<?KxXg%PTU}YTj`=8bF&AT zTdI$^tmS(?uqK5_P95ETpP8u5)Zu<qB(4^KK0;B@HwgO3IzZm~yC-D<^w>**o^K{c zJFTPBUIjbl+y*=KoFOaVVsR<1`Bep~TY9ZJB_OGG3E2vDo>SN8idGl;pYuWS$MkpJ z%`BXqUwShYg#2-BPl5mhl@XG9SybCH$z>?-)xYviv`!b_4+5!(1{zRT5g%8V&i6|C zrm$U)w7Gz>Utqszz$A-JzI*$SNZKU@fb8wdB+m2=ZuTkF5q(uTq_2l!aJ{;f-uo)F z?~izD<)3*f*B2g`ra~ZAaJWs$vg6>K`Ru!hqoEH~|B-bb)L_3|0$JzqVJ-=x1Q;># zsGmz5a9BwVKT@5)E$@KNJTgFix9SScojKyKaCrDjrU(6Ps^?I6Ski&XsG+yLfBaUW zX8@tR_fax<8gWD+5=H=V1)O6yzUSvmWH6v1I!hqq`%`!*0;wG*+j=437<lxg0n=~} z7trcNQNnSn#?d=~kjEN=01>{mfREI9hkvd6Vtn6KAthO)&U^f8G=}k_>e5aDp5Tx; zr;AU+_drx65utk$&W7RG064h*O|@r&)BkVy_S646!u?Oaz4E+u`j3RuDfTcd7)LZF z`#8*^7?(({L*z4?*&ecUTQXPrj9p8#Zsj#ud}hh5{(7&l>U7$DF@so{O^@KMwm{q_ zmz)1qk?zMwYq5K;D#lLG-i+pNVKF)typ?gnc55y420rGOgo{7zD(HrDzdu)c2-KxD zz8k&Z0u>pSMc}(xK_KVrTmgguy2gQ7v>-PqBKs`0RRX&wv$$-m%s;9;a1m&+PV>*{ zCWr|SoO6(bSHdz)f-^Ift7kTRbEZq1K{Y3r4=`&gP|fMCQ0gBVI9Dw~f5&w(0nQ|C z?R*DGEJ6BPVDT&rmTC!-D1%MZ+jSCUZeptg{UgkG0ft!xDLPx?CLbAHdhjV*9nO`% zPW4@#Dj>ye-oMWriQ+p+$^uE5JeP;PjsxQ(=|W1+Q~sjj^-+Q49Gp=)!?)b9X~?5l zi+eG3)bouQ?%8one@-{x$*~>B!+Mkm8eMb)<&n_MYnxBsW(Kk1I&v?<HZ&uydgQB{ z3o9Z&{>@jR%7?HALU7&Zz~mKfcJ8-(BP!LU1E@{Y*~(ULzy;ZV@mFh56*1pbmj`QX z993=7(C-RYE41)%Ko0jaeHhi@&~g7jFpnsnoVnk}oF^t%(#ecI{Rr7XZoT{gPiu#D zR9al!>l3(1Gpk909iveYh!SE|)A37I5pc%fd=F(qLYe|OiMZPU77j{PU#8#j&AzAf zKM5+GcJ=>%7h!(aV4%M(!gO?f<Q@2#w#X!=y|N+zG`>^bBoLuOifI?EY-Au_NG4X7 z?!E5R=P9Tc<g%(<u-1C~z;CH;nx@?C^o6UXt79D@0}}SylG}3iKiJM(ty)z6fkL?I z=ac1O)(2X4$-j=^z8FSfHf-s2heYz;dvqG3<`N|s$4GoY7HY7x<wPm!5w_*kus6i3 z_|3V+y<*hbo0PRVd^%X*b&adW@q)db+Vd~-yaqigtgimKfFlV#(gc;5r~Y-lbq40< zQT!j)NoX~#r(}gO1xp)DLC-d7>21F=0q=nMcJ=5IAk{7Ffw`oh&hrN{P6t!H2Nju* z0`#t%bPNNA0XkNo+A<IO2&tVfSfLWEa4lSM&;RaTD@yV>DLpB?6aS++EbK2l5U0BI zBf+@+)!{KewJR~K0~sgh6q@ERUaDt?DOP8_Tn+v^ck0G3p;SuW164#|9gSuv5S_F< zvDF%+d^r=!SIgJ^0Wy0@JpZN!*C0(+9ij(bg=U6~;X_KaN7c`1rM62zeRTtM6Bg#g zS!IJKK+%!$$g8>%;PMJ?v>r+L@1>a90UJv*#p-Lm`zk$ej~vA1(4F#h9tb!w3_$-< zj<HM1IIL{qeoaBoa1o<WAyjyXb;^&!4=b$0;3<x=z@1UxRsUKGB?qB{d!SD12jJH( z)Q9k^Zg)p}M=$MI!78GHs*yJKaCMkM-?CW7RAp-o2d#a@H%c)oCOUh|=_O?;I1)`F z;I?~{TI6qZK-I1!lyVY6y#%2q$mL!M38?D4H&3~5ly*%v-A$vm`qjg_Llnz+B(VO8 zPGaZ;J?R_`T&NVNEd15#3La*>x=?vE;`Q(3yzK}T|4gGD4}o>l<p@Z7h7N($WhlM} z#@T8+2$Y9ZZatGk>QE<4NZhQ(r_Bd9XTs+2QkM4)9+MgP;3JxIN%6Aj;DR)(HHwQx z@>V%ea+uxlYj6+TUPCdB>Bo<-o{9rRj6X8-dStHmRleV~*x!#ojtZ-BaLWDtcP$n= zBG5<0Sckiy7|Zv&7)uVbWXa#f*cN!s!0$P~GxKz}<&$3>?y(1?C_cy*e;%GlQ6eZD z;K{h*q(*5aGc)he+9bs^a@jfm)1<vhUAQAjY=4aE^3Pt;p`044QZs2ClMEI<D39E! z6HViQ=d~PCL{cp&I&|xu&nMx_5=swfMDKw{97*-UBG;#@>pC1pm?&MPC;LrSr<iS? zfjk-Aa-sUBqp^TPr2bXx9nllPPWgJZi=&_sXDrZN+VU&l*GL!9*l83kS)Y{7PmP&n zrRsiEMtJ7--QM$(;{;x;MWj#w9jVmEI*mOd8bKPU=$yAgv_7BVmfvZE_`5>%t`R_T zzYvgIr&nud_ZGAUgVq?(Dh*omo4|U)Y}GfDO~HC(U_IRzU_F_0WX?7Tr;Rdcg~jJb zZ1vlzM)ZhSsann*R**zOcU92XwL09ZL1?Q88uBb`8^QRhNSheO?hK_B=qsa>=LfIm z=~*c#17csIv%K|V?91igsGX8jMJ?599F|XKmL0RkzDx&nH0|H(zPKnRKbX^FRAxm^ zth_VSxTL8(969l;SXn}6v#8U$_1%2aK2O(V>W)t1K+cj;87CO#Jkq$Ns64#8bp0}9 z)d4=Ul0t}4Xv$Ntj6m3VzKq~=v9hb)=3Wz+Oxe8e(J`4SjsTOXjmwJ2h^0&ht<jDs z4y*J)(TO}VR;vz=@B<wIFyBwG3?&5SqY{gii}W`oYOGtM!PDF3Hp9hL357dD#iGV# zDrCf6GIoQ?y}nh9j%Ta!b|K%Yu8&rGH`19_$KDj@NjXnod!6TYWapZx4XoVOq$&eN zjen83pZM_fGN<H1Kn%aA?!p6_`hmohuMDamsPm|qH-Ca?PpJS}cM)M(6K9OXWfr^@ zhB5>v(LPeuH#yA(MB4V;>#rd=CFi_iSVd_U_-S19!ZQ7amsGn6Ac?UD#0z8vJG#M{ ztp||-%Ih9+S&Ka<gI#n@YMXXk0(?q)49!1n?Y-M5x#!fmaZT1Tw#VS=i((D~>XMP< zlnMLF1dkqiTe~7=gZLg{dNUnb!4GSNVCd{MK)&44YBXkSm8*x7=o$*Nzz%F;SRE;P z60UCMahM2}=o&$pgb_IWZrcc)+#D2cU?IJu8?raD?!h#7d#T6WwtmRir40RMLxAF2 z{v6wS-$vxjL199y;GLFr59RU5c7B_Vn{h`?_e4APg#9G$ofgH|J1_Mx2~9bI1;X;c zeSR%pSshN7cvimwq;Xh*jjO&~nkvzCY<&l9H33P(94=+a_*)IGWs4s#6GzV;L>7aC zP$6UnU+Ok=LseP~h<1*eIfx~R=36=_^hz4EPTDeC1qUm2b{jFgXAjIm(gtqY6!|rf z!-a-r1LbdcD5JrW_3n4Is;CyT(h!v*jOhIDd=#ysjOj%0PyBcQrE{;YyeV<~jG19@ ztR1!!^;(b;+^iusE8(cWUMR+y`&7rXm)X@TR_4WG7R|Ro!&E=U><=CJwC6Xghd)kJ znk{6}Tr&vEoj7AAZn8jlJ1|oejrP}Wzo}{dR;2BOM{~bE@AbJiCH$hQ3vX#$^uu!e zbe8S9sa^5F|F;S(5Qp?pIjyIn5|wkj;<9~vNkeuKR*g**MTtI^y%#M$vF%6OSLt|m zlDQgK>h!X6=Tvf-QY(*5r<~oyPrTR5U?*6~V;WB>q8K$pDr4fFL3=*8VmLgC)IpI{ zIYaTOU`2RN^hq$3xtBo|$6xhy!Be?^z5L`b#o1ClLK{V0J_td^5p(0Eu*Mjf<<p<c zu89f@G7{4-1-13<`XUFPSZroi5~}3*9U$!PiFI5ODKL9eGuTAx@kw=o()HfXm{NN0 z<f7QGp<*_4lRnfL&DEUauRO=m|10=xY511^!2`Qtu?~KbuTe8}GHUq-!vdQMP2!VE z9leQ`pG@~P#zG<Io?aH==`cZegRnxs(iH<ARdk>Mkfhn169gnZtqDHs5wR5nB*lt% zNWqerfh6N$X;{*<5<wr3^b$ylaVG9#d5n_}Dl#Pw?33tpdLz94S?ZVfSFy%6b$zan z-^H|pU3!CEFbU~Poocg)39CVQ*OnnjGH0u?_eK<S_eWh!mz0iB(O&ud)GGc@Y#+l5 zyA~d^_(tXK$a;P=@laPh{pkgA7wmEqXf+dgB5^PCgIyC)jv!D@P#-(@dIM07`y?tw z7o50dAA{XmJx~t#dikgswlc$*EZXxMbwD|HfpVm>6#L~^cG%~k(2`?cWjCt__cQN4 zoe5HI=h&^~ec}i#sRXv90ANYFuq7#Y)b0LZNh-jSuECZhy?VF%G_WKYU`YiCU`V9E zkk&(WvvhzVT?2*`L+S9uUpqrl)3G8vFOhWf6>JFEfl;iMm2`~g*IXGy(p=FM$}D{b z!Rw(1CexDENx|kkn!LLoZ(>w^NMmQ%_Nvg)aHDO{nc#vb+I=~eK9<es)v5^Tthyna zHz+b%Qg*FJV?oZKU3fY~(A^NIQF>L?M*;0_2-K+3ydDbFxLd1o_FlwRC{UxNc!vV4 zMmCwp+eX6*K#k65B^FqX!TXQKB98<`|3eTekd~AUDt}xYd`Yl?Wh0-(eywe3aA<JS zU2JiO!LF^%t_T?vOE2+kZE=}r%dFaV-rlWiVUZwj>J7deR{UH}(R4`eeKd!e_SCyv zjmZa|wc-k1u~AkOPe`@1>-ufp<n{_H;8C?O20B{?iC;WaV)Es7{W3<v+k>`3##{BQ zrtuI^;{3gcw=@z{m#&Y8CGZ#Br8rdL)2-TfIlXK^0Fp7V@4)8vp$-crk`jL{ijjmk zO+0H{43It4VYfR!JNM?V2cAFADi}DZFdpe}@%3fXOtv8WiymU<vWvz-4s~eHtEA{( zVtwYkO=Vj+Eem57L{p>}_QRhvshC~M`pm_Z^0sh`K{6ZaYQ1*)Ga6oJoAr7Wf_fP+ zY&9*?$&<0OY*7u}lUOJBE0by%%o9QIWQ_OR0$a_$>QeHsW&cpSj?v?m!>6;QZ6)W# zr`{YMe#aU6wbJm7&<WtfkQl5pvqd^p(WRg#EaJ?6s2Uy0Z@<PIaX3o)P_btB=)s_O zM}s~bN<yQ+AdjO#z=>f=X*(<ZK2u+#9Gg;t8G|G3nt?qjYOghi7dkIB?$)k+QN%^h zu|-YV17GH{ZhZ=m`UY{#M)93RitpYrCwy@hIsZUq??Jlz`bO#~vLm+4h882Wwa@Cj z6p`sAvczg-YhoWP+O!A?n+3(kGNDbPp~38ir<d%)a+7QupWd4Uab4Wi%MvwBPbvVx z9%}S=9?CucHx6p`w+`CycMhugcMdx8?+!|-IH1Jx<fNq!uf`3nc3LG=H5Dl<^wqk8 z8aPp}-JKQK-LzFD(Uv;PhfUEeuwN}kvQ-Z@N$A5~B$cdX1N`)%W8^2xVcIq6EScxc z3?{p^9QJTXB5`cotHpj1M@9qN=!9d$6-j|5w!H+jn=L#`6a<*B*7e1~3qTnSurh|^ zAeNoamApri1pg_i=Sb4@KP43l!jd=+?7jt?zZLCJP<yI1ak;w{wRwg*X`D|%*DuvG zZQMy}Zo;F}jK#eey=2oelJCD6Tjcx<R+h8|X*KDsWa2;TN(#C(1Q~I^E2w*_DsIfp zY0G<kA-d#-=m>z@LgO&uVFem9@g5K*rLslA(_nspmmYl=F+%cBn|VP%%mV?@*$4#0 z%Tb9d(pk3~#Ek$7hXE8u!6<APCIkeSiU$J$Oj#hz27@r`X#Tc(ul54>bP$Nhq97te z(QruiS8jJ=d6GEgmPvcw{uc<}oFIHNWh$OqV)M_5W&@Gl1HiCd6^Qh-B8n64hM^zU zDgh{*bTwFX%V>DI@JhKKgg@?z)MY}h*LQbcoiyN^B_m0xAThD-P}uBcb2r5GiBrO- z*#L+6)Za>vrbew$kxJ@H-FpCh?%%?z*<ZqI`d`9pnc82%>(jr4S2H-gvP02n3hw<d zHmdaOOJQ~x9NPdBqQpoEI<%tYC#eY&ME>t!F;<2#8=N6Zx&5AM;?zDsK>G%8gzDf3 zW3m*V+SLPkqPmF>B}xOdw5tPWsBnmud3{5~6d^=^)(tot%j_ab#`EMZ27n>a1C5u$ z3@Lcf*pWr-`neZ>(hY#t0$@$no<cK7pmR}J=Li@tZKH<VM~|7d{#iN3yS0$}tF2Ii zpWK;*fDs|cDC~Zog@fv7Qt(2i!fq`#+m0CyfZ(GjgZI_OUU7n^3~^3aWrM=M3>MLZ zGHnW*$R<FCSo$W@#Pu6tJ_N&`UH#cZ$4S0Chj!;b^ta+eFYOuRPqG|lI&v;n=x~sV zYG;$H;UOaKO#Xq0YGdz?bbk~|0kmrR=pI3<$sf>abvEq?TATlX*0RS=Ca@so-RVax zeHX2gf>Uzxt5s9tc`=tclzt(CN~tvQw0^lhXNNJ>8IqYpC$K=<FL<}tV$1kxnWXYu zXJ+6y%6nmnFkzlRBu^(f0i1I_xB8j$t1WFGhoq1xJ_4do%&yd={JIc~FV_r^b`Kqr z+bSHDvLP*C#O=@okWNs+AU4VXK;;48JEm`PdU*j-<^iNEu?0x^PPyHM1qM~<-%)j~ zHvF%sdN{Ud0swFz0AN8N)jd=3=@Of2yS^>}Yb_f9);|GQvjMDjH+-mc>aV~Gb_OuG z671}xf`N{kb?u>h@<8r}^_rE03OA@I!QQHyPKhGO3I)rLtl)2<^)^LfRh3tg6HA1y zaPRFPWYd!|CAPkc>58#oqP)(%il9Y&T!|C3IKJg~UP}tkeG723TCC#^pwQjncA`sx zPk6<dH=<W-B6PB#x}gb1$2FP=;V>{tM7%>uq+q_u5SWpw@zA6bOom^CDKExO=SPV) zro;fi6l{uc0El4439QduaGtX!0bOjD6bES9UR@83gJ+TRUgNp?l`rC?F{YQI1~+^K z(3ZpU*+I6F&)XG~uhnz7qJjjH(#w^yKiEosgRl{kR+T!896Mb6bgjCKAR6?6Xz<l) z@dVUIEw=cjWI9$xZV7~g4)o_q<>i!O*LFa$z~e*GQ<|n$txdO!QhcrfYY?bOnUY&1 zd@YqDI)nbG-F*{ho+Z+zDs6<xradp*0$kr7xPD+ZAipX*?>1oaEbM9!i=sMD%Bp^O z{vC+CDj@P|Wr3g(s@xu_P@On+6+{i;S};`#Ol`_k>~A<@DsBZLcg!EDmO4z3!6M2a zMCbklBU!;nOvce7_9)~9P~$&hyD-^RfywT>BeI(elij@#g4yNPLtW<j#b(JYh_mk~ zsr;eL9k|K7`Lu~kJ%_WP)Re5xWwewLV7C0t>+h5oja}-IBvy^C^;l38mpN==25QQy zElvhdnq3zW3wW}U3bb<{II;@Nkv|AZ8HE)^#ID9y@~r{c&zpr{*^az+gH3zJ!>TYL zo(AhrS|%mCeaUD!64d%z5RmifP|j?kDv(q1HFkCHDruw8(&fE`xj02E$HFhf+cGLI zyn|mWE}cCGUwUG9(WT2!kJQVFpnlgybaIJFwdJl}YA?{h0}xP)b$EEMn}063(Zcf3 z@d>HDWmdOg(31wgLDEyM&wy%g0M%mq3@tt-?}z8M0EJ0{Y^NJ!J3`GM+mV{8NwESL znAXRzwm%jDV6?{k?WP!jVLkxEMF55)U>Lpv!HLN`mh5|dAj35T@tYmQZ{|J_zhSYp zK<s%Sb`xZ{LNy$wO^gQZw!?4^1BZHAFf^_AA|^M~xA1ZB6IGykZlHSO*I+e?L)CjU z79s$?`;_oI-s?5u-mKuT0Gg^1so1N<8~@PzDv|d|I3Ljvz25k5+*Jj*D`j+qyO#m( zcBo3L^^P8Cn&eQ^%B#`E&UGrlP!KJb6{2S^M@=$K*G7r{I#h>X^&jfcK#{YMUWB-! z1z-)J2W^v*&22?td2~m*j6naP%arA&!^vyShm*}Ff`pTT&Td9*y#rYh&9x3yk%Fk% z%Pd@4hsxxLdGRgTJWI4o)gumi83EheqXWUZ5$_T)rB!u(zK>-fq22w#veB<PG6*qY ze{fn4J^%^rKAg`gN1@(>y~q6{n^o&)cp=yh^44aM%{KndW+^cso3(BQt<X_6oBcQX zP5y863tc(XZ(P>j>G$1V^b5=;IYkthO*5cQYrg>ObBQRLrG?vnkIhN~YJaK^)P4%6 z-2tfG;!RF80~o6V#!h={ck8p5w*!T#z0w>bRXc@RC#0_ah{`xm%7mAUYCca&fBp|= zZKAeYMJw~edWls9p%<L5M3ar^5{iO_^a2!j<u59G{1=sd`&X4Isq8#L#2vbyN&KN3 z=ZP<(wf`%9`5yS-Z?A4N1j~3>4DXCVadA*D_WNo7;`siR;)9y)GtwH-%qruZ+oWA( z5>GRd3@7$a-tQ^Up|OwA=DdGk#1N~GByY*As^;uFBPCrLob6{wOW-m#)6(z|_; z!QAbwa?M1TdB)LoRL{Ai0Tp0^Qq$1@auMk|G&5+>n}7~S{t$9^dkeS1;u?@OaL-Z4 zPrH9~T#RCix)3=Q8so<#f)%=T8yP4Q81oRk&v7`Wh0<xyn7?91RDDynUf(UF1I1Lj zuwbOXE8*N%(a@~zu#lySVk%r{G*aM^u<3i*(5&e&nAP!t3Hq^+Vx*Aj+8#;!foXu$ zIHLQQ@jFUJ4c8~taouH~7<`4nXO^Zy9wKCB7nq@^I7>6S?&IRv9Bf4gUy7gEzA3rL zevazhx4v=RhzS)lMJjLlk;MJQwpY30PwRsG=7d9SG@>TNQrLMW_(Vgq5f)tZ$XqD| zW4F$tjfU&p8VG^0XF%p|88iCcA9|}%6G(11^nu(?)sz8k{7r<6zw$++VdJAlxkjPJ ziwl>xlS<CFex^z1dD#_+?P>Xfz4Ws1b>Q^Q?WNR}(AYw^Nv6CXds{<gPnAhGe&ylB z+OV5ZG!E%i$_xbI_TBw_q84O&6DoEc)yKdI9$r9yzN%7L`)5~`slmudO0T(wYrj|u z#(W~<2a4{U+ZKK9z<O%Svq6i_iHk(%)?U1nqT~!NcH37889v7mG7}MdjOzBf($d8{ z=i3BJ46eSEYgwnrK29aoA<=%=p?4SVnBYF_C^!yLNm0wZl|F5C!bzrl0B@Ll+1PUn zzxeEE%rUFuPAugE$A$@)IXt(H6%!8j;lY3Is@`y7j%@@ZQ$4a8iShanrv<f!U*E_v zX6)XKx6v3-szz8S&>?esTo}8Do4^@YR3k9l^hn{a!BvquHHZ^auFMQ*rXY$&i801- zM@UJY&)~a_p3>WrQvwtQZx=rM+0ca<^3nuUo%+^8QjJi0Yd)Y)g&;xTBX;#xmpjK$ z7cD(vvJOwVCd)%6>!8Lj&TreQnB1<X!?%F+dDY<AT*Ttrem*N@i*VdxKi}r!W>ter zB)OLY`#|&VWy6Yl(v6>fUZpGy2)`r)RplUfLPZ+c+kFe<`uVn|ejpYbEUE@k1HJ{k zdE|DwmJI09K@qa}Uj6J`<GW32k=#O>CO;a7uk6*VHc_%T!Y4AXVJV|Lh_xH1eZ3`8 zkuGzvORH|<aXha~O3Am?uj5!hG%&@V40${&gZ8#%+#yNw;j?xolWU@HEg|2)^jG{v zIw<O@=dOME*lvwD|K5D;aKOZFlwi>OQnOfEnbbr+7E3n4Bi<^O_I+p<%W;R#x6HF- z#%SMJnfF7ZxkgxnSjtzz%4(>fRS38vN2ZO2DxEiZO}D-Hevbdubm&%e^^<SKc~eV| z#e?i*$g>fPc?gll1F=S5s6ZFl$G5e{$AETcF|I1S2h>-Rs@<aWkZ0b4ah0_>(%9Q; zO_H1;i?sRM&0Avg_JP*jd(>m+<2dV+?RVwG%(k*^q#EdZp40@UyZ-ZMt<NT;CBgox zoLD~iBM123MAo9>ijxzQD6>vYAhO41LSR1MCIYe_%x^qLQJXR`dhJ+*J);)VCc>Ul z_>VDTaQ8J!En_B8o@`$Nfq5YAx-k=R4?@d$$Tk=j1BSp-d9s5TP+$`cDPx|E*C^5m zLY~xA*wdk&q_+nAhhfk^#_`S^?&?2_`_T6pE5SHSDEi0G)ofBr4P)BDj7A<A@pB*g z2oJYLdw3rkg$vt45|(a}V0Wk>2A<p6))Tg~BX0v5K2KCnwcA)Td1^smt6m%3(oZsU zh%?nHe1&x-D9pfDX{N9rugbup>G{ga7!P({VOTW<cU->0*4C3@mEvlaj@`RE60WPt zuue%3-6xY{V~K0^EjW;6KyOl7gb$p^L0GbZhQRC8XvcN)@PP=yy^b3u&D8}l;tXg9 zG~AMnurz6ge1Eqng^wJyn2!?06r3<o7Obprj)dPam7i{Q!CZ*mz{-i$Cig}7TX&Z- zj|EJ0R_uUo(b7c^6Q0Vz^r8{a6QUxB7i4YC)OcL15<dN0xN&0aa3GIhnIP?0wGrA8 zeSuMn_&j_$3LRYo6&^}yXk2QP2WA0JAnw7d1($@sFGZPS4}}S=N!XnR76Fg1us;T0 z;{Co1L{0<Qz+(2BwY(Wn5%73$$nd4-@5_JR3-ssB_!`l?0Q0S#ZUEWj4OeHtUJwz4 zhkF4A2U^Pmk9N3Xx(naCRtU*8J3NZoUtrg;W%7Vcl|Qjc-SP6jThi$g9XM~#ezzO1 z`Kdia_dMxDu${zfk(9m0l^XkrV>S~V3nwR`?TNvA$>j5XKbI$XI0=4|tE^>ZYox#G z8(8$Wlxp@BR`W%mo}AkY9}x}0zS!G*mulr!@)Cn}-%3Y}Y(HBM49>T5E08bmhm;1V z>c84(l}SElB;Nag+P;cW5WA~}*W6xuvZ&Sc3x6efwr#<V*}hH2>>#cCz74r3R;+9q zq90A6i6OVsq!(%ARvzHX>wH!<*d9u5cNb5jG1q~kQKicwJjx}4J_?s7s}zn?zizG( z1<bPqe<Fip3UOzD0a)3SSaQ2;9)OrC9Aw6VD6jI>(|FD6EF6orOdss8-x9lfS)_R@ z<}`(Li_$T3t+H3I$E&CNhR=7XD~Lh!UD-C6E(Xf<ifWU-;og;G;oj|z!Imw2@T>oa z05*q+0eU(R2-pBXPP3x=?T&Ni+otXfj2=$`0cld^0mvE<g<Z<hy%}AQK9FCk8zj?` zZqS!sx)dmrK0P<lGQSs_+d?F^CYfR5f!A2Q^ZqKsG%C?NTK8?1jR#~=SH0ug^OI2i z0>iYrYh%mi-tLpt9E8OV2!tEw8|&#UG&I*%l5^QvkOk5lo^VhV*cU1rq!}Z7>;cgD zd%M)R$A!>Az+B67b&AcNnlDU-A8#dJAQ0C1biDIhs^Q1#?)jU%$;mbvoFCQfISAOv zbc1w-#ys#7PC8zSgsf%C+PwEp2XPF>KdY3&<8$ym4nDU@t#Dk{mFUKBH0c@cd&mos zE_1IA2Qa<i7_{RM8l#Y>Uc4_f7Ml_e3$aFiI=OZAv~s>|o43Rn<@~r8&nn@O@rISC z;&7#He0J*6>tGc{1qU1{kbdhETKHBvXCVMlsLVI8Ev$`BYNh&%rdkF9bBqaDkjlw` zj=c2GpF&m!^wAH9CidpxCMt~K16`R2OZ6IG{`cEz1Mq8X-_fi04!Xy;;0pg<q=#s; zZ^4il1G@Vfh&Mk$yrFLKEs!UrMsH!w!*z4`Gy`uy7UGppZf4pn7BG!h$4&QqU>n?C z+wZsa&K6N(m=@^*6Pduos0I++t}viQVnM?V0fYxvt#3gc>WTlNc+^JDG2^dXo=?WJ z5Q_@Rcz^)IOm-6;pppeh?<`taq|sfO;5Rp3OgdG5NPNRy<b6CQA`QWmH?e4p@Hbx` z!U%f;It@>>L?6kLRKzXe6J?C`UIU_~y!64yEb1Cu6cX-U_*pRdoJ=J8@{4ez6XxN2 zp@2M={Qx^LQ3U{tt@ABl5$Ma<bfB!-SMdk^<Kfzq4I}wEKX@3X8E6=$p~II>{fYhH zr{>YP2mXO;GS4$@unOEFX+29wn~Ihz=2{)3<r|x`Vrh>2sY5N*1z%Ih?ZWOdpp#rh z8kNh<!=tGB_(T=?5m*&U^Ki!tdvVE<p)IPruNYd^LSqG&Lv;!l*-9Au6<qvMgV(c^ zY5UkcLzD?`iHvQOra_`_hRT+(8{f7zCQvf5xb3BBnLPgC={@Y^=Cm>;ZhyH7i`zV? zqFlmFrR|)>xpzp&=9O8wnDqLUS@nw=PS^!nJsOIrx4Kv5UMZ1~<L|gcct)q#jHpwE zgzEmU@jPAcVbV+c@?Ypf_72i%TUT}oJ@LMVx$=I@2s0y^^nh3=iC&b@mU}V>dNSKw z$B<pe(~h&^`^d@mk(2ShMCASJJ=K&%>*mMT0|=gTa*)jQ_m_L>1bFILU&owRz|+>0 zq-*_tx|NIK%M|UUk7i_KGfgLE$ca14rr7bwN0h8h-eI@Km*mgj#+(EbxA;w!gzH{K za4RK}is|OtycgntxDaB?0rBdJx0OV<xLU(gqQ}a^e03^r@V_@CC+4ycTP_A440>OQ z-LI;mo{>V*e&#*z8CAta>x{QZf)-|%#}vOFy_IH6*&i#XeyzhV2d14O=JF9+X8fcs z_&|wW@n=Q-1q#W)<#cXqgDZ7xL2Ar4lg{4I=T{7>ip(}S(CTZp7PfsehQaVVtFIH@ zD=<1UkLj+A0;#tcQ-#KBJ6_*a?|7!+%=+H&v;vpE*y`0v%uAQk?<Y?wa3RH387nPX zz?C7m@)BDmtHdz6f<8(GE=X*3zrx}<xH1G+u41bT6_`A@k3xaVQEYXv;^0iV|Cfu9 zo!FCzDnAp7MRgJ#Id*3+qC%F_Eet|wJ9s{{4-~tXM}@yPQ)=GN(KdQE3cfty(yK7} zCmo&}n3u8<SPerziro?aVivV8#|2YjuV-aE|EVXOE<hU@i73!r*hX{-W$Xr@UP7Mb zcx$Y&NGyLtgZ=BIS68hIC6^LMQ^w#@4D>4F&T1X;_m~Z4weSaETATqP;{C<<lxnK; zQYn0?LRGe9G^CYR>cD4Wb$7dJAS_LNr#u>IydS^Sk=7tj+sdds-a*!I(9Lt=`^6f! zjDD>pgwK;C`VV`T^-ifNe%jF!DSE-YCYg{|q-Xfp&o|6eDe)ZAul9{C`S+F2wNB8F zG3fk)f?CJE3*Uh5n*dHmEN9~lLwR~+*Kt)t$?0X8EQ$+Ucdn(Vg<qI3MdIk4PCa=r zj1c~LhQ38|pR&uV_RT}e@7zhXPOD?k9TqvYckUWZ{PNXnlsE(2`K(XJe?AYrED-x@ zTSno;1+MxVDYyDu4Q;+R1~lR-<bWuMLO-_w$hxr~_u_cy*{Snt9ZI1qj6ZR0ek@NO zkm%<&pP^H^v9J4U`{h}q^J)ajFIUKZ9`psVs6W6Wa@)?(w#W>QoZYcGYs8`^sBE*c zP<1dC209lJxt*X>YEai0?qpUo0G*9h2eaXza~P4^13D!JM}9zXFOymrMk+18$+&t7 zyWJf8{nE5ppLmVmG~>B}efbC$+FG$;mR@3KmeEd#ru&@52zGC&OMLLx+u2O*VCTi} zkksgw+t5H(T%}c$B|bs=j53{jrd8!=!=Re87}@fzVehu=x+p%5)!S!?obaqFX9^~i z=_Jj}6(_9eppK=1f~_A*#Wo4<m;sxmQv2!IpiP5t^^tptqfL9=_1H6wlLN%BA|PC; zaE1(_;10zrJ@iPWmBqYDg;J^CdAdy=kAuBEzPZNYGGE>i9oYj^k5t4Y!?r~ZW@=C5 z(f;tzAi*y9L?8&8UbYD;LseLv8mS9qMYk-dt;~Nwbt(@EN>W%VkV~@%qXT!ZF}lf) zjZ%hHK*fV_<Ho`0&^)SfbZA113@b*zUt_#{+AW`Qkh0VQzpA1L=|s2RG-AR`QA+E+ zS%J)r-K)Ui7D~*lG!@!~cMlHEzLJSHByu9^k9sFZK|xU-#e^`d`!G359w6y$E||$5 z1Tob*yiy9mc{n^?a$TBB(~*B}_>zdK;Y`o)<F4;TY9m8R9T~J)YXPrfmb6{N7K$0@ zpG&YXojT_*tbB{yl~tnUQt!@i{FS=qqOpV@Yi$n_5Yt0$UB9Quh+JE$4)1SPKz{Iz zada*5EeVM+IkT|uSK-{F>Co_yYYTcAYrB&YYuLIT;tC#6EKb{>u9?_CZp`j<Z9Jvx zUy6&<tdu^BxQb6D7xek2nBg!9SFD#$d5~uP$7B&9ZQIbh_pDA>t|Ly`Fa$ZJeAKte zzVtq<&U0MKG&m+HBhTq+aOtmv@qH#sB1TmA!$-TnJ}$%&dCe|}%4aLcuFBLyRR|o@ zNj#jFcK;XLEs>2EygOFyC7!qn9q=c1{_E^+>bq}o9J8d`EDK@^2YFv~D;>MQkcVZS zI7=k!T$%ha>QXMxIw<TI(Z1u}=?6IObK%R{<Vx5mA->VFfs)s|R-qN%OZ9rIBNx5! za6>u@ozV4@J@~H^f3hn2?S?@Ync8R`%AcBtZk_!p-*P$k!n~Vc8zxS)EXCi^1G{Q^ zFo52e4$f=FEqpmqUcU730{^k;5HdIBz(C3Jb7$tej?I^Iy<`t1P(j2CEjw%R_-%O{ zH*#%_pWHYB+NK0S8}2oG;<Yxt(f8%}wJ(!1-qCMM@;v)VWgAIka|v=TH5$E~qD&+m z%yp)XmGj{#WFbay=9FLH%a)0^vM*}CQv8gcbVh-BE_B<HX{>U{=zwF4cXS5DmQSe> z5X998znlryTqfTRD^9W*Wi9S7A6?s)7Ho?<Ij6GvwE87-nI;>EVrZ0u2FESS<0gdz z2q@z-8xhEHi3Yh~=$YFYJ5z~kH_ng{eLi;AC-oBHu(HT9=`S6DK6Z_(=JH(2B3UnW zFNuWKfp5D+a6Nyy6->T=Gt*Vc;Uq1Yri~&M*@}fh*4+%l+iGNS6qG&d`i_+N8$USY zO}_7$PTVSv>yo#n{l51g$1!(3p}jCMUi8D4TW6tzH*CLH379k>I<eN5KBf{(8sWv? zFLiPq7)o_;S=`|&X%Aw5m%&gHswzyvZGk*~w+LVMv-ZpQ_Ox=-&oL>RE}xa@^VIt@ zwHO={MDL~CivNrW(KA)oi_h^1=j3YFX;h?I*Jq|CK!_&ZwbH*Nj(#;=SD8C4ei`|H zc>4;lIGSzSBoN%)2_ysp1a}P{9FpKN!3pjTO@e#Sf#4Ph?k>TDyE_DTcX$ms=lu8G zXZQZ^zW03ty{l@~uC=SGt9xeE^dzk@_hOu;a?+BP3aVuSr`yzV1Eqp5F`7@$#H002 zsilXKZrFmsERHW26+na`P;$FHDc=Ief2H>vPUkA?(<yaOF9?yjhX`X-2yV%CcaZ$d zT-gg|92d0{^vR%lUON;a_$4FLmEfspM2t3Hf}qo-UE#Jrm60H24AB);@r#MDEXuPk zxl2dj^!Y|(F+}R_%Z-z;b>Vi#J0rmeDdMZl5400u)HonU*zWW>VsSAf5hL*r<b@~* z!63dGMI4;`4?xh@B2_Bm<r|fcmJ-p!&6<D8u`J4`RcAD|rZ_7b1Eyk@`EOvBg6Uz4 zENKZi$ux5MU3c69Vf#>(%3(T^MPWEGo}AGjeO8X-f(*&q?hwt(HT9jV!l4l{Mkjyd z(|kNbJbf`vNG{zdv|PT~L742pVmf~AaA@D&VPiSqlz;px#IK_o1jXHh>OfFU78}`E z;%>-J*;a5EU@)6<pg#DX2t7#dtlgmxtoF_VthNMJ!_9Kl<6Y!g*1O;^u2bHPYHzU_ zt}mXwv1{*eNd_IM-#hxY8^T64%Pzn{NXn*7XD79+le#hY>!@FAE1k7p=xCJmZpgzl zg7jBO1zUWK(QrTA&g35T)Ov!=#BJG!cn^T~Q@@AcxH$FKmeVYQ>Eluf*VC&F4jv7X z3c=Tl-;<f9O6U8l27fFT-F)KaydfD(y73qaNg^f!i+8>=hJfDIX4f}TbgqV3EH9-d zz~ar2q{sKg7vCA(z+$8Mb;)F~_|Wo_XT0FXt-xsfcHKEi=<2BKI=%tOD>rIP2GX+} ze0Su#8#i)Q!S*jWT{w(De%Gcljc!;*{ci6sdxwV#nXe9=<N1$dCpqQ28`^(&cbJ^^ za8k|ly}lr_WlT<*WSx(4Jt~_qm8o}oYY0}_>>Ykr$b59D77JF211r_Fw{}Zt-&dOB zdwoE3#E@(T{w|-OOPayJwW97E`?tNU+4ZJqoulC)h9rBx?<(gl?dRTVPb^)01Oxi8 zP-F|MjHu)axiGfGDLmZYH&xyA>FmiD?q|JSAecZT0kBXtEkpYTv!+76;f7(YsZze3 z;tZzv)bZN)IE-nKQt{dL3NsR)(C6DuBB=!&*dZ-g0std~HZddYvEu50<UYYZ!qc># z)Rt!EXI&&byF^choQDW74EhlMdZC$Y{EXw1dZvDh&Bk&6DpQsnP-hoP=K)`0rlUCd zO7Foe#R56SRhfVLg6Y{fLrUOlQ|aO2pV}Sa;wRb~h9&)o&QH5>h`{0%&K-Ol<~vLY zRTV@QdLo_%jTc1bz~PhGIW~5+nAjMc0JpF|9!noOwt}t-BEOY~@5@tuGI&VMANY81 z;wxF3r*S^zy`3HLFI%zT-lnmA8>agviaSGs`J*Ryiwn;D_$uhLIC(g|xZFbsUNuZ= z>xL4lAc!pa#LxesS)DrfmW{#FUDPZIy?D+qXhmev99E)V34?odej|vdy_x9N!*TlZ zgqp?*>4_xhoGk}*4))kk`kxM@0EsBBekJqq{8v7P0osNlia!f{x!r;sClypv2$>yl z5-b=_-`S~>3u|{+h9mvRPrLIg<QXeLT+1)aVA0P-&<>h?*r##|;7~n8_2Is;he#GM zkwoJ}TyS90dMNmj9{(%<4+*d_aFj@E??>sL0TD6C3?pCZ!B+a0P5-RxA@L7te#bO$ zC`=Lq>HClA<iaZYRjggu9O|SVdL5GvS}lP57NENC;{SA~e<Aac@3D0$bN`qGcKXrb zLyz|L1pTK&CHkMo`U>n?Xu|z`fh_?$6#Hu;SqP~oiJj+3wjF}a{e1b1%lkTk%pCG* z@z{7<JSYVwPa;#}^~0!3KwI7*a|4if;H+4)v7mmN4z-2XvZ7v+_PdlwAw=u7UPth2 zemgU)N8tSAIW?0_AmxRb8wz4ajC@DOS0=x6H#Zb#*ylQE2bdQGSgRQ15$F^bQ6Rw9 zzrXVVka3?t;D|x*29ucQRbUd{AHApJs}<Gq^CRXLBpL#20J%tWbZ}TNh_Jj^c&HuL z_fUJ^4TW&&>oZ9HI5+CdTA2@WVg~OcN`)m>1S(-K1zk1-pYW=zR$~;&;P$T%2j8#s zkb9>wh4*y2RZt}JDSf@UTMuzXnn`pokSNp|bl=8`+=F^3{(#Kr0T73X{dtAX*Bj;m z86A9Y>viAP`eQpk*r10%3LUg!x3;eoXo!cSPFL?&A$L)edq7tP9n9b61^lmw{ViUo z-Qzt@Y9ChT@uDXSgSs+^;r>s=fd6!l{Vihm9ai~a6~YibX$kGhKt}v0FW|pyB>x^S z03?R1v^p;qJ;|8fm2rjqPh$U{cmemb?SC=DY?W3aTG5kx1zj0iX#bCRJ+%LyocV)b zf7yW(tfnht8uR~>7w|uxl7DX?!Et0%UWEjM6Rf=}qZ{Wx$P4(7FBN~ASBUcJd^$M4 zhPpCp@&6q!;QxLJ``f&>ly~QWn&@fE*{)2G*Z_*(DwmzNh|Y`M4d!6J&*9hv++yJ` zAVT1p8d3NR?5Iu^jE5CJ-0f*Nliyo#IsI2Yxa$9=^Y`Y4C6+$K6>S!Mc7a5>_~;&J zMfLpNo{0FDtuuFT-#hs7_GzpL*a8&eEix{YMGTn)&`0Ztxj!c)?^ok@x&9PClv=^7 zjp{$vZi*N8;oAD&L;kU}e+e<TUz#b4z@^#B^B!0galcGkncXk=e{3epf4BIvv{DE) z5P^~3XjIx<<!e;ioe9HAxxoRcqQ)3f!EmWeSZ7fLArt|F1Q8DhjEKLk3lG<V|2Mp_ zSz1$dE==m|=*}2tyLO-!D?_7Db6MmUNakpf{-boh8RD4G?^4LxC~M&8RYy<n&2(kP zLouY0X>l=f!E0W~!!>X7;hJ{>Uh|;2vdA(#(Hhmjin2wc4IPw0+y6~K-?ON56ybn@ zXE@?ZNm!}OFEEKj{v3o$Tpqfv{{F<|W)FmpN`s9NSK8dQ=g)X7D>KYO1!a)g^eL1d zF0;8R2%Z0E?BVhVR%Y`1sHb*c9U)H}yt+KQ2Nvi**cyM?JrDNkJ!fz@!N5?gjaBQ3 z7-za}kiil00Y_v9x+sbK0$M4DjDctqD{}9lhXPtDg)ECQ4+dWe20z`Esqqjz+F!v( zwUBf{C8d$yqtxWjG+NMALMo%Bq0nq;WF7!4(>?i%sOm$MMaB27Wnk~gJ@`RXVY#<M zU*D7aW3N8g4@ALv2S)76#g?ZXQfVG?JzXJw3jPfxB4Zl+irA9yG~Z92V*>G{pT7`; z6Vl$k15cjN*FRJ+5CL2N5juaqFZYwb{>yy__oQO>P}~DnG425m<)WW6w1q%E_t|I< z*(xl5`S@e6KKOfpdvl9!;)?#^0|ohXgM7fhp=e}Gnn6NutYje?Od@aaPVPM=6p%%x zK`3_d`T9Io<T<oJ7QD5+F36WpwuF$9@VRhbt}TWoq7(02R+eSu^TJdgcXm&3?QW#v zpvN?c5!PuqKCMCewm3lI7ivWl+{(}I8xGzVK6#!+6QR%K@2w}zLLk~qLP>~18TJtz zs)(kCMdKri<iGND(85vR!012fNy2%7GvtLA04^`j?vVxhFT5U>nt$0n8vpcg-!Whd z!B)TCH`5y&u$Sd&@wQauY3Zd`mB8d_m8N?lQ<xe4T!q{I<=K`BXBlR_j)<1HFz^b6 z3O7jj03k9|ER`$%ZD-oRbCST)fL3~>wn<SNJnx7kG2p&nFijyrHsg~bP2bBI?TB(3 z93xmn4V*xUfQ;8jZCfM(_jc^>2OCinC(t(b1;f1uN_?LsGs?IiVLdY<yqa?x4ZNCa zYCGHbfy@t#H5ko?aAp=iLs3*oO!iL+{E`+1Ku*mAhcW61ff7}9S$JQT%$BqOy=TV= zexMbi_YB7f8&L~GO8DL_t!1813Rip=uPMbntGUA|uo`PxT@_eOk+!hFC&ej|j<FCt zcz17s811z#WHS)Dc!;Ed5Lo)7uJztOZDFxb3Nr^P^6V~DL$J~V1z|Rv+D?KaRr-~X z9ffx<iYid40N?V5<XHaL=M-wLk^J$`DHcs=PhLaUgcbOjSDt9yJJd`=77DYX>HBUI z%>dgr`JrG~EM-ObPf-rCkTpkiko_O3HuWHYP_bYFBp21iUW<WKXuFaFA|<LcE0Ki` zcvK2zz}Wn{%fTYR=zX;d{yo+4J>da@cwZljOUgO+`lIE`EQq#I+2-lOV7HmnmXk)Z z<JcUn@}UFy#u)eyAJXeOf{Nno)IG?Z5gS}1&32t~T-Wuzy{bx#l%G1Sn@5zo>SJVL ztZL%I<Etd>K?>Kw01_L@8{O3;>>0u>Zp@v~068lNz%m(de0AX>7Yv_3(2+nODHlvZ zJyiH<gS*L5|17~@E8;b8)38dXTt@QPtnHUVscmk|S~^9CB?22t_a?P|#0KW9HPP)Z zl1W5uX6Ux)rM_-Iq5xMtnD^UmG63<nDCgu8x&4bcerjEK<1RTF3hF#c&vr96#uDQ` zyxA0hImHg#@$Jg=Nuso38!oD%SB;lgYFdrWvfJWKz;r@oX=-bt7;7b1RMQ&=7R?eS z)w0q!$J;t`MAfnKxz>(S|FDQH1yc>Ie86y(bq(?Abs{R&K1%-rn{VQ-FSnPG$Sb}U zTdt6-tXiGE#YqLM{(X8my{iq2iIQ_*!hWUHL#1I>fy!Z}14iqjIE^k@(t2@dCt%)6 zwcRj4d<zji!9VcHAR@!GK6ReYmg7oCU_srwH@5K)?Fm?-5EwKrOkCI!Y>O)TLBx1I zVsyI)z&319=?7}FB{RN(XmYxmLlEFA00P+l0w99`z^wV-AQY|AusPH?$kw~UaJ8H# z5%COu$W4e&I;GGFD%-6XP|paoic*_J1V_v&bcH&u7;qM4m^<h(eOgwVmMr<eNw3CB zeZa<48{r81A>u{4#3>aaKLbN&>!Q`z<K>B{_x*Ik+eo~FbXpFS>Q!mjc`y-)%tZ)~ z9nz>fCjzoxU?+Yg{krWfhERmfFWD|9N)@*byXC1*O)u=3xGu@!KuO!#x=Rd2|A5Q_ zeg6R&8>spmXHiS;*h~pC5s>skk2)<v#tz)Slfk2d`#9-^?IgpuQZdf1$!O8PM%XuA zOVX|-q5P_pt}n!RE@sxMlrF#`_Q(NnXsgETohc!<aG)AQwO!rqFW}<bpV8_ocu}L% zH+wUQy9Sq3-witB?3t_;tX-P<2^=|c*G8=Sasy{ZDhb3+aEwMOShGw<`?uSHwlMvR zE89|O#H%E8$y(MH3u<)<_btb?`5CF$xH<TcNgCS2q{nGtE-%5Eyld7?)B+z6pp*h; zBwDqw{g6{d9^dOSHB=F$z7K%W>`8Ajh(P)Bv$x;{k4~h~?KTp?tMe7+o-SfM*O8IR z1fmzgeKc|t8F7NO&2j`8p7+5vzwEi5#C2$X20H`t0f%?Bzn};kj&Q+H1uL;AfCX^y zNo4YW#0f^?EgON_Q&45*x3+C_-wUpNWHM7uV+{9UJt}cHa1q$`XmtthPc9VL%HRnB z@Jy4GkcwA@tZ~PtJBGcRGjA=T@pUZcZt8B&SB?%YTP}~UH(h2!{0YvnlvQO4ktyN_ zDk<SVtSIM^*sB)@4v8ncwn7r|A5GbK^VA}4_k(u#ads<q(BwuS&hTfcX_nbfwJ{rt zI@IE`KLT)uhv_y~Zzz-ouyaz{`XzBof%AskuWjg^xZ(W2QSE!t^qNL*^{rvdIVK!0 zzJDGgriz@O+BU^A`~fq7G&w4bq+hDTd6C)ctA+SYdSEpe;nhzT9Kh5z=FOo-Jj~;v z)RUwEeVV2>ka+%?je)6;h*R<svWp{d(hXe$F5~7rWph07DCT`FZ~eyDsYwH`rT~)T zuP7nlcce-tWnK$)V3lz<y(v-g<{<8Px_-nE!7|gk$7wk?V>8!P3#BOcu((+_B8%aS za!xA|@8LTjc_wVFkG-yM44%kND<mI1$7Etq@KUV>0z)*0dtQhv<7#_ztc7NX&}BqT ziRllAN;BfpxovIJJPH!A4no9ZPyq-*5^q!Vjcq@8!{QRRJH<q&DtZ|hvmN;ltgdI< z(O6jVbq5Zt%4FCX2m4W$gfDe6n)gLY`i;R!4`@r2wE3^v)##fIPfGf7td$}R6fqF! z)OdEFy~WQ6B`k9*XrZze@fENA#8M_Kg(xb@L8$KXBQ{k79s$V*r+H}r)DS2{^zxA2 zq{~(#m}ltP_%GM15fYhqi5b@!M5Wr}y*<SZd-p*h=$E(xbz>QK7(MsfgT6`x^UyOX z57S84p?RWwo3u9}TLfUGZ=#F1<TH_}g9t&ND(sQKhh_-8r4UJ%jYKf7alrb}H&A@K z;*3ApJ)lP~a)iNcu)aP}1;idK$wncVkHn7*`42elfVFq?RIQiG<-OaZ%MqvujIG?f zMZ7trUQRAlmBkSMa7u(0`x>&V^RTc@Y5p28_6M1Y#iS}?bq^TpF$fd0C!vK53Wi2a zFj6kR24j*Vmh}@Sq+grNZekhPAEUVtGuFiI9zc3!-`@&Lx(84P41AvsM+>om_=?9P zU=opc<D@F8k>EX-h$UDw2!0`+W{Tf7Gq))s9pd93az-a+d>G91bbEAXb+~ZlRTj^O z?tYrrqQ-R>&{Sr1*pxxqXCmpnktiX`k1<VDkub@fB2tU$Lxv)W#4w5ERaw}0pj4|h zRnI`ir$GZwV?cN+Zb|Kk+UH<-=U)nZQU`>B%uVglWe6cs449J%4awI-Rq9};ycG6s zujK|~jtHz)WA=Kcmu?o3I>;3;-~<u#6-QR~#zOHCkJlkor^r-R73F|Gqi$s5sm1ne zr`1y3Ak~u!J9GIu6lAf#F3FH-f#sv_R-b|r0xKmBw7)M!2r0ymN*&Z;APi(=4RAaX zGZqo+{K!1|YD^opXfOh|_`m>zlC2>|NQNwsnT3#;Dhee8OUhR~NZGp``nVJ9OGFM# zLP#2wNP46qC0o*Ced7;B0ff(JCt6<xo%{QPqllFM^_?Cq<?@sF-s0nN-ow8UV_rIQ zQe<`l>v+P&uPnRXB0&*C<W~a+oZd4SJ{DVksh1uS51yD7EI5uFQ^EnioWdl0mkQc> zr7772w#KBRrG8MqoH)OZMhJ1-2HV5*H|J_<9&jQ~3J$Ie07=-kuCjgGXztyBHI;LQ zu(D?j_V(zu;92VE8<#x+=MD06;q=$R{y?zlGeVPJB!iEFUI*)LLt5L1GY~=;b-$(# z+TczTetBxD@CfPoa)=K?$k@3@ZMNBM+ZbCzfH)ZWS=WH7IvS_h@NeIp%9Hpohyau_ zvLbBtj_<noqG))e?JV3OshwDgH%w|yZECuLes*^NSqfW@#d~Z<f3|Amr^%W*CxA3N zkOdd+$Z9_;H#;^meTY&!I~|H^&FfrhH*T(;H*3#pKkBf2D*0>2!&SB6_$yO$K=KoB z$!NI6Cz4*lLlnz)zZ7|XbCEVbO*j4K#sfZw8<XdyrRUyI_*t`S$Ry7v4Y$1j53dTj zdHQ+Np$t|Nuci#XZzCDFgG?MI7LkDAyt7^l9B=YS5pExGJVzyV=3ZT~b7TU(Ns{T@ z*ih>8Ctc-MKWN5(Wu|HJLIZ7NwP?G_9l(qQFe9EiElpq6<P@o-ow%X#CV31$5Pr_% z+TQl+BFrnOdzgBwdvy8aVtZqAVH$jgH1B?Xv7jhacW~vH%(*4MXX!Bw@NM!n_}n%c zt=&1gJGeBc3kvXSgsUWT3gVv{P+#bXDBlL%o$YM(UY)@w-<}^kA9Y{$t`65G3+4ee z7iG@%9#>auz0_&ji<c)IjBR=rkn5W3^D965gJ<wp*ByoNUeviqqbnO}Zu^yu_0!iL zO#AMa35`HQjR0?bO+hbUglq}aIM;J$^DnKFxw+c9x*kbr#b2aug~5k)k!!Eo1u81K z9$71T&DYh=*4<w3F8g@};g9!gv-Lan=QbbhwY*GgY`i)5INI#ax^q8U?YEe?P2)&q zs`sCMei=frGhby-^E^RT?t2`HnTO4;yL#j0;jlcn;&=1gjcPNXUbSj>^s{5MO7eW2 z$K76HV;*f0Zq}4ioTfcvJ^IS9!qG{`ldHv}tkp$(I_v%4ZX2qy`ESDQ=Nhk$CzX&d zJ%Ov++1%;)X~Fe*%lSHuJD00nsAKZ{O~u7=Wn($&E}w0p`OS}cM!e5PhnC?+aIf2v zE<4NF3Z(q|wYB*HVtHk?^NSX@*w=<CLEWQ~cyz6W4AJwvt_R1u)theB)900qd2Xvg zTVUbnrpt|)L%pUJr~T5kZf_$7p`eECi3vvcaQCS2?X4zxc=e%a03N*|6e^gIwDm;Q z<HE%L`*p*vX;+B=|2Y21@Z@OYH-cd5`8ra5i(CIeC-B*Ax4XK|)(!{1{Z0;Tif?a^ zmvWqYl2rr~Ai$ZRn#Vr%+D;<`aCA92YrKsh&tg4YikmC`5;30JeN<rSmoJ#c+;6AI zfaEwELUtqVM(aLX`s4ZyY<-n_KD3{K0r^|QrD{f8JUaaRt=-Y>u(%ly!ohKyS{6f6 z;TJ}l5LE!5y(;@rqSW@LJ%+perQPJmK*td3rL#=U#IVxkNVyaZL5EAJJNdz5yj~`Q zZK&XF<L=rW;|zOF#-5qAi^o^_yD_%k$J5FhbHy$MNra2o#MiBGf)!0+W>yi6aOc0^ z`pvDd^x@7^5tF8k^_KH?W;xoY5KNbILkc)5h&rJS-;m*O_Ggrrs`GzU`&oDrBhM;t zR_9OHLIt9bO$kp{)!4qyH9teuk3)N9D@SXQv^&5zn<~Zw)pA3w1E4MQxpRt0HnFu- zWDLVt=up!DzwU}wYAB=Zu6CjTxBRY&5~D-py3>25rNu9F2Ubnd=f8g{og4A#BXS<1 zbnrT&p~25LEov?<SPgP>Y1*KnjdQ{K(It3I8+$oklJHe4?L>@;6W5)sEwooozYTJP zA)OIduVY<b;1~kmc?<h4*9*gQMvN)%I-LzPnP;@|2B29ye?6yUXGQ$!04?2X`mO15 zUU*4`ZYlGaxGFKk++%ve<V7x`c{=S}p`BIyn$zA;lX=6ky9~U1K{ixnob{27gKw`q zGhSRao#&C=+s!g!p`o>Yqz{|0>W@Dg4j?7iAmyLfZgr%#H3DVaW$M@Qukh~}Q1}~4 zS`az&smKaesRXK%1h+iyuG)l&t)><tO`B`j)(<m<$X=sP8GphMm-eDnCwei6H7+8p ziSpX|RcWhz4_4tPX%RuofZSv%2KN}J(jpm4Orq@10ZtI7PwNPbsPzk(smOI~#{krK zZfj`3UhBz?GJta4;HWOKwKf)(z+eo03`On%MAnW;Z;$pnl)5_IW?{bQ%QF-fyw^&V zpuSv4IuDm8$%xGC0<yS12v6i6u4UWerY94^y`vjG+SQ47$-2<|*|jv`?D;xq@nuB? z*M(?Hmo86FVF{OmKcri?N~iF(GAEIH(8jkN5GFef!tCB@kO&6J6~*o@<<W;~bpVqk z1ai93ZId|VvAa<@U>4mdn3GuEhCQNNGnWp!YLV#H<<c3)bL{^jUlc1hP)Hw2nl@iT z5QA9W){)&78PkSc-gb^-W^R2(aseBZ^*SiaB`8uX+{pUu{1SXe>M+!OtcDIbP@4`m zs+XG@UgLL*`()0Va8}~5)D0ZRp+lzCr%{X=@)9pu+;>~>N5(i>su@kG8)cGj_Lb_Q zd#w(;rzRPPvjp8%tPEHZZb}?3yN@r>A^DndC|QkpiFYjSPg+&ps=REu0IU6!Nq&4F znc1b!_1JI+C3fTeGl4aQI25Cwb%~E{-Qil{v*;ohVPh+?KNiS)o&&K?pv${Mxeoc{ zyZzL2u-eVa&M|arm|OrxA&#WqBOvSRkymbHePmerHW_IzaB$}-@@u?tZU&E;LBQ!O zxi>y9+f72!F9Ln(vq%^C{l%NZ+u>ESBVQ>oceH8-VfY;uVwd?#1=k{u+vZw6o@=>& z(KW{qhpPf#p{r8pL>w{$@TK>1GH6RLW0s#^(EI}6yInO`gjYT$$Mn6Gb1>)Y4Ly`o zQCghRnW!wDt=x`v)9Aw0JTziU((gzd{8A<8JdyakmNz{iDDbvtuQhW}t0t<Cr#17S z#rDUx<E)SL(VLQ)@6yyd(1wcdIyMr!Gnk9t9e?+kOlJ<0X-v(JQ|NfMq(jlr!d~!j z@#U;7>|aNCwb=5bq4n2taq+<~y)}he<{=Z29w6euSK@LD94suf3s*+h=%7DoIk1_Z zA6L|dc?4C8LgoZc&+NDNwu~4591(OE>xvo+pFa%>d$F^(^|qOaE8y%GSeEheV8`I< ziYKB7PHyR&GXU`;GFeFf90~_P)i1Nc^dpM(w;Y(6AII!CEXi2C0+Dv-zYk~U$BP>a zVft{<(C`zzTBz0*tU_$9s+zg0LO7hV`kNv(pn?U+W<X72VLG~Gvfwo6fTgu%cW)~j znB+7EAQD)37N%!s_B5$Zf|g*TSb=9gVDg0yDmzX6{3iPRRv6+O*dKa}!eHa!-af>B zH}0hB*&s0vqF=^~lxXElg9=6G``5P(p)cq)8$e=Z3bA<L;aFAVVgX49Yy$<@44Yc_ zIUjd|z*}{TUHXtFitOoY$aT0&Pf^c%2QNzGhWxnE091|q{DdOWU|!mX$(&9=t(t)^ z)oKHpmX1;p)l&{sO*0=m*o-aCL}w^$%VmwfRHvs@Q?Ru5jv5BR+I9%pO5B`L#pTKd zBd(G??LDcG1QWQ%Cbsg;O#rIeG=HqyPxbQ60S)3%bAKdj(@OH`<&^SN7raX4_@k4t zB-ngZc3o@J@+EQ09oUKr*R(Uw^36Hu+|;LmDGcnTF_V*=sVPZSdT$f8nW_9BA4yd@ zZ}p<8a*c7M$f6GjYSZ;fI=`m!rNM$%-mw^!4qH+>SbYYm926Eiz?kjii-c}rb78RR zT8ShV)JJ!^KJ+7q|3``##8;c$<JIxXmFw}eEX_gCga3YmVJik?wdvY<<6krR)TN)e z;(W4Gm>jnz&4~I8Q<a5ucE^S%*%JDMd%rZ#<gbB%WS^d$IaD_Nov|aZpkEl!^w+38 zapOQ;D^(B+`j<(E2ex_vdNHCfT~2q@=r<a+5<q4K2`e9}Wf9WSqh*y1?3cXp4SFOB zbVE2tC3aBQA<axqzvNCbRY*r@OboMUpY&`yaF-i~rW^Xw;(q*onO4D2pJwQ5f(_b8 zb!eL}KoEe2NXeo9{ZgP6%|w^wJ;5|W+>eG&C0^gY_2Br{i5TYW?VF`qwAk9Djw6<B z3y_r1kW{p7s?Fyt&J4m{W~0Bg*K;SZ{k>H^yxZHsSU6|73h}u7WLxWUbYz%FPR5(z z6f(;MTT@+-RJf!499~<KQy{5=PU`6WNzFIHT0jjbS6t-`EW%c_Gu`SYk4Nvy?cVE( zcw$w1yZ%K>b?EvivzF$=r;bK+;<?+~)8(t)T*l<vv)OpT+S3~`dHP?P1n2%9&m%55 z@wiA;L{olG29m0~mwOy*e9VOBdTwE1X?dI7GOpgD_@PB25ojQ}i%gfQcgR#bqbZ8^ zQ-SsXUvs6yC{t_k_QIb}*FRwu5Br91$x#@HcXZ-g{WYJIcZ$5B$3v5R^EpzysO%Y| z9b^;Sb(<W>Hrt8E>!Jto+CKMD8kwJQYfziN)vg#(!#s&(FReTZf~uYBg$p()3!JH^ z%#RfU7tuGFxzSrdeGlLkS9|K$uHWduIE@OJj+q;kLgS7ieY+ZY1h>XE9F9I6U3iUo z9p}8evs!bU++7-*eD_(b&}(Xl)MvP*<&*snsvG)uLiA8Yj|kF0N0CtvZe631cqHDK zNz(2{=Xfe!8yg!ZrxC&;<jbaSGRMmYhc*Uh&#zXa-G;M&O9%+?gzs%`UMLpa)+c)a zGSnT3kJCNLSGpEmH#!E5T}BAWu&#~a#`erf73a&R4h-ta#E446XOlZQEsu_ac1k*( zqB=;iS{V_Gl8)_%q>oP;J6j?<2eVoAW0z<XHx_?f`)$zv=uOJKfU&p#UCZN=QV{B{ z(gCT>O%&R+DYGAlP7CPWDZsH&Y<PA7Y%U#~T-Gj$t;rL&W`zm5Yd78<UW~^(RxTei zc^8tNNY#;uzIJJkdP80f;N9q48Wm+!;*njP9%^}0C)6P^Ucs|w&U9@ia}F{W-p(1E zxoZ20DiC^iQH&r6ht$%zV`e0TlrNN6Oe;9@`<j!%Rk=GMKB`o)z}U~by77Jv<c?^N z`_Us^@EzZL?G~9wciMJ`wCyoTEjbcU9q<N6oRf;5oNJ|jHUEBNo$_YV)o$b|FA8=# zsc*m{T1%^wpU$9X?fNIZIh2Z4WdbL7RPoJt&RIyK<SbSdEj|lv)z2T#5!4AR%frVJ zN#O+cmVGt6t0Y1*C>r6sb{c6h0KZUqjJIf_<P(GMpJd=48y>$qbC+#Gi;++9*^Bb6 zfvx}Xq8jJQI%4xpciP-Ac3#f-%U`EXO7GO>cEp%@yu2HftgsX+zza4k@|bJx(C)2f zjaejqH<zGe!?#XIN;bnn*;A?dccF#v!p(Qv6bGa1=3<ODHdC1P_LKbt3jm_rTRaJS zW&dn<MfT?7ZBgn120F$9hW9h;g?e8}4=&llH3iRa-aI9}_IOrbyb_>D^bN6aiGz(W z39B>JsKan_#rVuNF%1m??uBo*Omo}woS$sT8Q$qasD-GJT6?3hv9#-TG=r0nAh`Wm zzb?hILL6$+FQj&Y;)zE?F*!gaOSbNHP1b&u5^7MLB9~llF%S9o^ZCaytVH?p!Gm8f zwYAwkFke^9kPL-*y42qkbz2yw@07$(ywQre4AFsRzCo?!E2-W#<eFlrMMN7h_|Z@7 zpoTP3)V;}1+rm&A7h2K%JhJ;8z`tFBldS_C<=@nS&IU_N8*naC)RF)k+BVJ;PA6ko z6w9n8W5x&X*F?(Hy6X&I2XUC*gy0wBaNv0ND1V6?XEPZ5{$pP0XOA31ZQr~4v~^>5 zZPzAksm}7`wx{E27x=F$wdXa7JwxF;&1E|bY0E=<2ZgtG_&=M;eOK==1bhs7R_83( zeywu#V^#JXbEam<|FBmE2oE9Gf=RT&JKq-jPKSGYvluIh9nr{BTS-}O$2XQ+XIW%h zgxvkYwvs)Z6LDYLPcE@1<C-^C(w{e0d<6K2NQdVhKc2WAIkE24e%<IIxU@_wH7|<C z+p-j+X)RjVaOvOfk^?C;I<vrkpF_T6au!-vZNwXBCWp7Pb7>U?$jglt&d<4>7dhYk zplLnnk4JB7)QZ=%vFCfm!gwO%CaSn_M}v81jKSCuNy#3z-e_a===HC=%O@41iPD?x zyK_5dQo-tU<Boo4G=5H{j4t?#zg&*gc>z7#Cpsx?T<>yOcLbsxE7hDm_SY|NrY`i4 zvIKd>5L2%@Z>H|_fumE?$zH>w5?;6wa+RAv>0ynwjH74usks2cuHkfBAFJ-~t6slk z!A7ltYeOA_8{a-foULoCnL4^5YO*&KC|5VjJB@9l<?puJC)a-~Xr4}4`^85N#g{6X z!h9UhV_zwPaJ0ei-Ih8>;u?~DMne<m+}^hq;NC>J@FQ)w1eRO2+DC6=-`d9bHP`AC zjiwGR((rDh;Gpsxht^o{1?j(8mYSq_bM9JBZsTUd7Qm>!$0&*E?pl|T>7_iMVvex< z$sx+IF93YNoAmmKZ^Epb$ITe~H6IT9aqhd=S&8wm!%kzYEaTP_`UTh1g+#|;e38cI zI=4R)9c}YO8UfchvC2sIoxa-1vDEqc9&z+pXU;vI<65YiUH9bp?zQ62i*&!;YwMpE z%YM6jvIZ!3y9TR<>|{kpk)B79U<up8^>HdM`Tou4+9dc^^V@+ob26Iq>n5n%o0(N~ zt(imb+5J6ZlikmMFl02Re%hO#$$CZh24F8)0rYxa?Dby0<35=b#-o8+md{B&B747J zFPS0koZpYPO014f8{{}iLJki^6D-aL)NAXaTRwDsaj12e{@%`HfZ@m7U-Q))`yJd% z`bcz3|ErN3Iefv7LL~=m7pk#|K0OwNPh?n9PQ1tZ&YP&el4c(?O;;rxtbC62Dhwqq zqyfI*TrHN`FWH4Zn~ixtRg@)SBwkhKD2E6=O?|aEl*B-#Ii-~8O+LBM!EqjdDp1^% z#ZiTrIL#GLJa4gVW&wWauu|bTofDK_F+m%YUoep)r7l}N1A8pXIbJq6cgg(wvDq88 z&+X)knf*dmSIGIv;D;VZrq>9pd%4;60c(J}GjcJ^%S(tHd&wPaW}NJubgd`<Zy!ej zj0Fh*y`eAcdy+Rjjtlxt&8wGKq?&m0QgyKbQBKUnGzYo@3QKLlDXl@=LIL=tU$7Wg zpHgY}pnw44C?{ggF-57mlN<5Nu6I%al}#a*oMWVVqs(I@dTq>O#6PB-jEtEsZGdIz zoU#6Va#z=5Jzk%c<KZ@k;CC6~-Ji_5H`%@$=;>U~`0egmOcpLO7p6WM|GMBb?8-1v zq;Kx)_9$8?luF#Ha&7Y9jE@n=ngC9G241e&RXdU5kgx4#ZTt2p`^rngn{67!xBk3> zuW`xwa`9Qe+JZnB?NpQAn_~wm@<+g7g3(d3o`n1QP9XW=VChzl0337XajgX5uQ?P_ zS$tdHq{PkEK6G2n1m}*|F#)t}33Oj-CS^YuNY%~I4GO#&v7dtX?~=NDq^R+U{8<j& z4veUKrn_f$Q5iZ0d(7$Mw5}n6H$!Th@B{}o@M2ewN}npf>~_c5feCiny2{4|I@QDS zMt`XX<wet!qiI<@(Va5LMw3Z>LVl{)I5hk&{RJO2v&HQvmaY8@@b~67-Vl-#2>8CF zTDUE35x3SkPbm@_{6e&wc(xTZ@>?ZsjFWD=TWAh9hL=WUSMNOKZI!MTmR3~;te+oU zby)Nz((dI$CO;LJX%^^52UKrCFOjsbx4kGAO*k<`(6*YX0^s>HJNbu3RQA7oBF8~g zIozo8T8t>eA}Po#t+JwCOcNUupuu9Oyq+RrkR)1K+|)d&jkFqUHUq&>jS_QIXomNJ zRpK_ECY#MJ=r=H=0Q8Co@M+HS8arSzs6V>TwUKVgUyJ}Qxt*$baswQ(7}VJwvb#IM z>>7Kgs))jOZ{2-(G20}>mXwmBbx~uvXagj+(Y*P;Z&$w9sVvwjoi%k8aBY)lqpTpc zXAI?C#+<INTZ7SX`#`Tdjd{NGhL@~(md;IJ<qsr;v5)>I2x(u7)BzzMrxh=j02w5b zqS;(G0oitmwkH*&iHv<DO^>JR`_{0H|6necWC%eBox4E!A4oo9A4)R_8C#3A_yf6G zlA*cx;x0hhE+O_rnT$*iBx{QdZ+51e92R|`SnO#ou^q%ZYW35h5d0xTB63{h35T)< zE>?5^#Jo$Mdjf05`%ZAaW<*~3vTh+L`Cz_=q&#TbTb5aDMu_@yP8)t}p7`66``X7d zcGCC(%@wSpM%t}DR<w1>$W{duJ{tb4^8EGLO8i7JQYKHvwV6Qb&d%@VVtc;*>pd%; zkKNW*mH8z5o|w~RSDU!9eBtY?SeCRonyCQN9LbIB8Z3W4YEZGIOL|cmuSEw}u*TOq z9j1+(R%P$>ld8eOmbkchzHX|qlGP(~|0|&#`dZBOW2tx>bN9rL(X`X#j_0|r4*IaW zS@!rC)HiR4N0ovqsSL1Gsb+6Nt5%-Z$f}(YR5RVgQsL|Mf2o;s5R|9;#ZW=tx$AcT zq>;dgO@6Ii!&88`%Gre;<t6x!PBl$C=umq~sSA7-b*T3*JbLw^2zEP$)*b8h&|-+% z4a1wf_q%;37jLCkuP+ai$T`X+Ua@Q5Hq{Rfja}exiHzT(2IRiJTuU4w9QEY6)76@r zYE0Fy6T3@bFuUTM3IvMDOm^lKZ06DcU@k6Ru|E5Vk;DGO1yt5?E7`Hg&`t>2*Ek^~ zzca62dFz~IB(Cyt<2p#TXXG|<drWZ8zh^z-RU%OA95vOrJPS7CMX0r$0lL^xypn(p z?+gg;6?Lzty#OI<fndvzlR++!dK`#Y#t~CZV77zxIF{j?7Bk6KV<kYQLJxNtl8yt( zO1D#_(=Q=KCcG=jIBzD(3{rm`xue>Bu0q+S(oCZ@(iKOVOL#Yzem;bo>8CDKs>i<! zvA}_trX4HLeR9Y%z9tS{3KEGTGZE_zWe)*l72VkVhD7r7CW~_p_9z1x8x>r#aXEO$ z*l+WB4^FUn(9^f5XaRIbdeiG%`z2%&u%<z8s8R?3$hnDq84@YanJo5e$PQqjxUa~r zG0v7qJkt#mICWOVIoH;qKHa~RI@ag2Uwo-qJymS%UrHk6>>=b7O2NRFH+4XzYzuEr zpbLIq;;`;b2YyZ_DMZ)aZorp<jIyCM3;y;LP=|0C?*bZCRebIx4VwJg$^A(M-*|~8 z{IlZY<slZFosR5wj74jW=YU(7=(e(TL0Z1_BJ00XewgOpFXq^tT^(}+cW(Be%4Dw< z-;Xy33IZ;+3a+i6`Oi;RPfu6FYO-@3mJ~0%;`v`8ab=<0uFmd&-yd}(2Lwqp>#96X z)0`VzwQ-XGa*l)Ro1Koccom4i&0Z}h;Lvi4KluGG#m6bMDA<aFI}ZGAs%Ni!U;XK} zNB8xx?W9Yw)od=z-X_V<x@D-+(=q-zxwD}w1p!oc2tZ#%E&!O2X;-4a%lAP)Yp9)9 zrb?@+!#O5N;^AAHUHtb7&Qvv(DD*l9eC+S{#v6kbR){7<v!FnEe9NeGYh|<M2lg7i z>8;PN^T}_yDqgBd+68!rXKR=KJ<$;9vX9KQb=Ql>n)p8tyH#>oSI+Dq?_*9jsvEMC z`wDJHTR-w$tnRE^A4vqa509QW;&%s?22l&<L7_n>XIc0vy?VEGmy6ezwRfF|UV;F( z<=xF??Qm}6-3j!XE3p{A|2Pyks!m%g$<dwH>;^N$`FoI!V%3(#@j7Jb{l-?3><T>P zdfTW;y_<uz+Q9K>2W9V!8`t@1R=hAIKo*`Nsex;2r0qy)|7j-XRvH{WZp!-rt$MVy zLb+rHVwr=21JUb-sN5rK2e%<ayDgw2^ecnqdRnm7?0`K%b!zUr`qH+I3!ypkBJ=VU z(p<mAxovyOI>Fd)2zy~4UN&E~cn0j>5@>)~p3z_y8ddYv?1ZRO<611jp5xfiLUjlS zN8i+(xn5pK5l6$+x`|$Hc;3sh@SKV#{pM6j(}4-D>u^=Y1Lm2#uY;w%f#sE57d*Hw zDEiMEMsiPy6t_ntUfkonu~#USsf&Rv##M7nL<JndzRoSiv1|puWQ?C{nTh#!GlL(z z5;1g;%&3}G*tDz=wCM1+t?To*=^?URD|$ZGXUQq4AZ+<br?y3C6<(ecu4xf8npasV z0kdJ=IPj)UvYjiVhx<pBGf*RPWan2$OtOsNZ}xQe@{pb|ip+xZWekezH~(x&SEesV z&YykWi+uJu68`KH3I4GPe{Lg=^}?JhA_<Nlj)DU}%6*MOdfC=A@e{Sqv4Y927thyY zvU%W@27!w{vWTOZ&Uz3CDE85Dve6-kr|0@a1F0J?V|u&pBP7TOpe#A-DD)wFnQ0TS z9W_6T#jjG=&X8WgdyYz_|19Hk7#t6g8~fM6W-$_zk4qB^+Y<{r6AQZ&3wys5PQ*Ly z!?I-J_XN4|<ruU7mW~Dd*9cjETgL+aYlN&a{Gz|7V*&p)Le{xQ{@jXOExpo)t|7sj zctRv=Lz>pOR170u;e^LN6V1&blRaP6jeJLVSTn}rD9Za#(o0zRUia_wmGQL<AEL;2 zKcq%@THbG=$mg^2mG|v~*x-SFl$ImbmWk`0fyc3gBU3%kL=52wSy+F4t)__VfuaG( z_&{A>KPr-rg#v=DmvAgGPV-C(#wwf-6DN%~chbt*hT0r?`5^Ma?ge)V86@F`ZZPLG zvb?<v9Sv!sWYd|XGSj(eDC#mhd9yiAsVmjwf6&~D8=&dej)5Xn-m|noD31#BWt`5l zau$C12Cux5SYHo-E~uRPCqHXmeD-TOf%<}ySTxsM`mv;=(;lWz+8TKlY?pY$m_gBP z#ih6!cr6<l6<i)zi65CsVYJjpc<-tWm=juQgGzsI_0k1xsIJ}XcYUBRH=?GI;MVA5 zz)U)<3F4CPaYd#<k&NdB^xyTMbU}J+uPf<&4TJ%6>qFG(=r+4x8w2a@>)-9VZb<jq zU*Hy~{oz%Ef*qMn_maNiZ?yzBaw7xg`FT*rs;^EpDCOQdVc3-_u8urCc1DL7f}-0R ze}hhHg<A5(etCX*uhr@@9kxmQNi{k|K?5ulXn?sd{HF-FERAXsd9neZL%2cV%}B5z zGlfG96wOAvqC2fFmrdcpQbY_0g;pHOnqY&(ec?ZaxWl$b<(-!}{{7q^UbYZ^mA@>M z2~9efXPHcRya@YC;%xlo7WOlNm)iF=1%etM-2Tzx4i+!Ln#X?qk3PKYD1|H${)RT` zgTIpiNwTB4ct*yRQP*(dY3ZYsEK(3OAXMAqJ160YvxPR+4sn7?_|0#`tzQ=mTFj3! z83Qp+3voiy#aR_oT|Z0Di~`{0DCUx>=58Lg8-UiWGZ1s$!Yy4lx;yq{g%lO3AnWt$ z*>>9r!6#Swet8Y%U!jf8SLX-s3J@Xy>GXpayt~IaxC~<aJQc2Xd@sYu3fBd5;Eo+j z93MWu;90QVJIr>JaM%;)c9j5DJGChcIBN6?hq{ptOs=2R^VGaAT>X4pEn6s;rtNON zv+#SDujcux>|S5`XhnB6|8`kpSIZ+mcn(q$GJQKMr=R^zi$AF0{jxrjkR%C4SX(){ zw1$IHJF$`ZUd2DOa=Y^4(YMOjQ*V_tJ}=ucqFK<{xXCp)h4@&|*|(g+`Yx|DG<K!e zQ9OcfyMkifPT}DMWM#1V&k?h=*;xmOmO#QImz;vEgnP4FVeN&3WpA67c>7OPoI#l^ zp_TpUmKL3T<fjA-DQd$XO^lrhbH28^vFmy03a)hT*_n#-`hMq1ZDW@n5DlL|M?%8D z1Mer@*R)6k3U)rWR>bD}a>-uQl|YWx(3G*)*)7S;xdW*FTcm*Me~=^G!;S&zUsiQ0 z>kHyTQ(dHcWIR<NWlq$C_ZtRk10OaF=-h7@Fi&8AH>Pa%j~x=dmc$w%`s}IZAKI>> z(JYQg_Oy7adL8S+HWoT@?ZL>%BLsj7kf*-C-z;QB5x6U{oVukAdqF=lwp)0pAbj94 z2L?xlvxmF~M%_$gqTtdvO5QM@{H(6AOQgNorwXi$h|Gz0mCIMA0Cs>G+n+0yPFm{6 zgT;#*B{E6XDmSnLj8mCjgFUuh?0eXR+YGirF!Hb}6&}!{FFXl826f=IMWC}HA@nNd z7_9?wvPE8H`h9KHZBZW@x|cF3Twa<Nsc(J`SFF|3H(%P+26QdMq;lG(;9fQ@eMDzB zW+oNKE?1pSc&W;Vq)wvi)1_;E__oN2q|9jqfPlCUaUTy9!Ai>?a@y2KzgL{UAX)m_ zWS1k`^Rb+0keDRY${(8+@Tw$VTuy09HOXR8%|$qdONK>7D>tL{D&Lf_+=u0emE#Q} z%-c=fKuv-}MN<?uC{iBFK~3_0DwaL;17)rzCD!{yG+XUGVVylcGh%lD*nqbB$U=U1 zfTXIdH%%&w)0T-YR-av}varGa80+kdgbm?*@2-V>qZ&!BVIN9B#^{qc>YU5>cyCrM znr2$B%A}2#i!%5*c0^vIxsxYweymy#?oVVmQH^T8Ho19Pjx6P8)qLU__2Eh_b=s=7 zAnLPEbr3c>7>k@`OV}1h_32y6H>&-_HSI(H{k=gtoF)IXY|A8rC{lEjM!&<bRR!`W z?>|idnce)p(Vg#$X80_s>@-y;W?)0L7*rqNEUBhXroT7uTJ<5L>UOtQA*5V$D4H?{ ze93M~ZI>mpM<?9=gqdGI5*Iv0Y%<N{S}%|{rcLAxrgEHO>*pr2#<Z6pBXbJ%E!!u? zh2>BlLq%5++&;auF6~0W>~bjFvCJvpBJ*K#E9AM!PNw0Aeqo1bbiMXge(4zppF4p| zde8Lk5k-3Qfks}^2`aIih-_=v<)fdGCptaQ!ZnWuPV&Y&m&Q2YVk4_}XS26I-d--! z)*GkrH?i-V%Kg#O?peBnpVH^;9mA*WDZTQeTP8N!w+5qqNu%H7jv@7S_(8P5{N-MY zFY$lB8?AsH7{o?y##!Y{oA+<M2r+ouVTCzymOd{|!~b*H;bFfUX~P<PSW@G?@F&6l zer0P<@e!ST0Ye<33X}|2*b#s3xkQC)rhYJ-!H57Z>UeJ2Si_tZonqO5AADHNU)moa z3d);yckB1!AB~3Hx4gu<lECJOAEnouakjM=Wf#{%TS6`qo8-IKbA4JfMH@qU%1Dtv zm;1FfMI3b;_NqETWiFtNF}kg@b8%5|E$wFtU4pQvAQ>a0ii2Q@rFJJihLp$e<#g<e zq@*NJjcdHx=&-Yysm|nGkf=7<2edD(*}jp<;&`xmX=}>1hr6(pT(MrPnkRW+e&8Ss zv+3mz=J%t#y{_D>KU9~b2zk%3MP&`05>>g2|G*bV5;C>%vQuelXm2ChZ^LA__M4!B zibO+hI{fD5grog+dF>S833n(*N%d^}0jFi9`zKn|7(xd0<4Q5dp4>*qN#G`FJmPsa zitq}C32bV+R#XGRyHG1NE451VY^B4Z-j+v|S}z_ioZ6y1aasO>NS&MQxQ?QRbLhN& zMFI6|Am5+3Y6zUyiE8~zTH8FR6{o08y{y0b-^gl&ME<g@&JwzSSWW`x^sq-<4ZjsG zqrQrjOTy4$k@GEm`8XoUgRU0uTlGFPpJE(NWEa%ckTT^JQ@oG9WCz}SM1aY+rvAA3 z><T8|CgbLjznQt(C?N9X(+N(5S)|J6D4)rsh7rOS{-+CE)n;eUOuaI<>Xkk@0_u_# zok5v_Spy@s{J@Ndf^k&~Kz3VY)_hXQmBad$k@q*Fx?+i!_Sf~!oJ0NSB}vD=cc-m% zL|VflVe-ez+!sQ_p8fByo%%fZ!Y&sFwW%2EzAI!JG`t=k;1^uCd#@z4(3G*Za*nwf z`ogt&_pOle3}I%i2#k1tH#6l3sbr|nZ1|Ufs$oKr@oGTCOSr$=`Wcx0()S@dUBEci z+7Hen3983m!mHEr!jY(j$5w)<rGO!qV`q~klX<<rv75p9ISghsAE@Askz9McAua(G zyvy?J;yr;6;Wl?t0Wl(0tutYR**?y%+5O1eef9W%TQ7l{U{2=m!K&Rd*1NX5_peKJ z*?~hl+oO%{o%X~D3{Bk?fK*kRonB_Q3F+H`v7JniGwjEs_v2x5kS`LMTL5s<Fk5S& z`@>`A%0Pp|&*O6C1eBWojnWiQiFRFgq2Q)aX3v>yIYu5AClPtVL;Y$&MBC6$@HXB1 zI{kAU-?!^?7V<%WLAOrq64*kXRL1wOcI;-19IDwZKcg{`-5SH5VGCP0SvX;64nKhh zA0payi*Z;mr*em(`K%(SO%qhz?QcAe7T5YM@kb;X^77^f)NbngHXh|{YGEoddzulR zUUf_ZLBo-M)FY;bBCi>p@OLh@;`mT>-m;erHzlwB?3)7em`XngcK#(F*=e_+L2eEf z?Uzj;N*vv5xO1pp`Dh_%5|cVc>jnd<w+IQp&N`&OO(9@<Of<ZfSqsaWRGcgL)l$GF zYrKJ_R9YI}UpQY;wlq+<lpA_$T0p)MIru_55OciLb8phiaW21}=yJB3z6N2-6iiUI z|4Gq5r26j@J*WfxAJ=9B^Y3+|H0eqxSq5@AP!DP|bW#6Sn<3SNv32R>m0l9CZyatz zSN&?n*V~V4Xhcz}A$>fAV$7dfj`?vPQ6JvK&1zi6bTV>(v+5v_@@+Bs+!cOS5bQ<; zc&Z7L@~}2%whc;El@X{RLh<fs7l*L4sIGtA?+2AP+XtnY@+R5!8K8ag{szg&7QEGC ztgN3_)-o$^Q&uyxZ&OwpGU-}*nPOQ}{XOI~MwJ5nBTO11+bsg4JKC3Ni1E$LWpO-Y zStGB$Fl~%#SK@RW1<s7*+#x4!?s-%UhZfV0P4`#wxl@Us;kN>GiR7|Mh-x%;&n`#b z_fYXv<t2lf4B@K-Kvx(PWuib)MiLZdhCxy0B^;Hu3@Rwfkbnq&ngfn1`phU$dk8s> z9*x4X^a6FHJl^&ky*%#rK)pQ9cjX5hqs*5!%efD_3~zu<W&GlWE2$|ix9vpZ0+a9= zuWnK(Vxw#2)8xjri^HS<tPf2sKi@9w&Gy|`Y5=txk@cUv-q*jgXtUHFY^<U0Y*4Im zuc<%jrMrYSQB78s_8x*JKH9xGy9b(_TvU^e=$uWAeRbxW2g=HD_SjIGswk)GmQ;67 znn_Sc5sL(M6f;mq!I1;SmLW+{Y~cgNmM&^gY<UcdEiY0>(3zH28vITl1BS?XGBLH} zQ=r~5cBVlWQBJ0o8(xm5MyF^(S~GJ_8cy_^<pccpx0$~%U)|nbPsH3(t{mdIlM_x( zCP$>*_(}9E1@ER)z7%`vInucqQ$OOS^xxQf%do1Ve(jeOq`O-ML<A9}J49(zI+k>I z=adF1r54g5-MMH)I;2CoI~U!Yi9XNX&wgW{{hs$d*L6Oe59?ZU-eb%$*9T+%fB*X) zbE*UOYzbS(w0Yat5WbhGj~^Q1rPKEPbPBJ`@FMvdXHVfgSG`St78ewO>yN?e_Ca(P z)H5w0_YZF8m#hnZOFo2Gw!$WJC{WY8dVjsskRyIH7XnRLVFjZ#4}NBQi!cib2h936 z1;^VHGKTTmeIrnP)&57FxmVZ88Jx?nf`{H2(h`TpYh_u6$A7oP#^2V?DNPI>!Z7}$ z&RB*6@!wZLnKgJ;zRh&8BJ#6TUr|W)Yq_mPGKM(8q@-({%U1;DhkdvfedW8ow>xZx zBDq(v$Jelu>k0v-ThA}SxS<cM1g0QVCa+L-aoR*^MVDGL#HJ&zNju}P#p;o72GF4A zrKW?`BglB2Bk;6YG2BlB0&qR>jfXk7bP53d0!O`{Fam8fcp1mE0=sC^s}=)iv_=GB zo%SFpgX(1OPrS<hojW*}h@Q-$xS<`E0z!y()>x5~)W<02tfaIg;gimnNK1}%G!+6H zm<N2!!mK0}hrvV^eQo4&g2pa`#0$&sJ=M94r#CTzqpMx}_R^Ss<P?Lysjqs35Wri{ zgU8Q`Nq^GyYqJ!f_VK);_F3^3^{6+mHO@OxUN-VH=JLL6rYczs?|~-%kaM(+KJbWe zv<)!o)V_OY`AINy)`^>M?K%JG$au2i2+}-KT)K@H(t~EjadjFy%3_gWlrmcRTETa% ztEssp$>(Diq!vdOcY$&G)<Yuu=s?y6S5ben`jz$-Pi2fl=&f?-^a=ZT{ML17RaO-s zAu9&kNOd85=ZNuP9b$Q%dB~o)2euU2GB^CQz@CG;)Zd;%CxuiFsnczH2eJ8`f-~5Z za8`DFsRUkum3F%C3N|Ish@^7%9B<n%x2pih+Af@%BL(`;3YD9{b?8YE_;af5Er*)> zNM8(@aU=(QQ~haJANK;8!dRB!b%B04bphF(=hb;k^srRXmu_cP!|V4u%Y>3Lq*a=X z(`9k+f&56t^?&O)h+dwrgo2HPw8^p*xMFgoVl1=Js7wO&Gm1OYi}SWXa42`OEE_%$ z8L21%fMY!g)CClGU(V0J`+#GmlV!#5NQp>X__kme4qT09PksE{B&8E=CH9{Uhf+7s zbM4J^&9R-|5|B|zw0dwvDqLsev93_xS{&?xkyd7^vG2>>(jD9<uZ(i-_v+<sgC)P+ z3;5ik&;H1I9x1NNzCzK`ZSFPD68>7GPUI}fI6C_2kS+qZ2;Uh$A#z6|%RQZ+T1(C^ z1L-`Y&TA_7O)uuuz=ZT^q0}UH<WAfp8;KlT7}lO(v_jJC;d>W5Tr(dG&Cfzr4phbG zJF8<AaKqSuRFS@*Nqy(48f_u7GV$xJcNdKnq>Aiu1^v+aio{*^XHaro%yxhXd<Euz z6Gr$?vj~K6inZznw#bZ}Fdb?sfQ;{R6G7WQST};jKUlZrM-XiD-h=Jy#~|xw0a<sv z6e!VsLEk^CCS1HJwq$6SSCsQV1B0h#2Q&Y3+^}9vFkmIsFXV_kqrOr7?u>-u$xpbw zix4LAYA@QtEy%kcDG4J)v?Og)_jv_Q58AftacSF-VXINTZf(%xlA};F1gSY&HACxa zyx%kiHq-#enw49tcF{g;u{1^B5hzbtDnp^TW&VNil3WG!lItzR7M@_$bZHK}VS}}s z$7M+zItKHY5eCKZF%k19x|pWyeQ{BFONIEg=!Xs@<%!>L>uyI|l%66X{wp)w*S5m! zrMBkGrM9}@=N<TY&0Ok=?C|0zWt^X~z2ERh_}A|N=@QMnWRx{NXZ<8VU@-`}*|o^{ zjW8u5LC78P9LuXLApKGG3oJ!8EQ4rNChXPFvjma{4;x=>tOtk*vSanQ>3#Wy>1V7< zhH`|Y)*I@WH>3YO-5<-J>tIM!+OOonOU;^K32Zqk$|P#a25QO~YRYSB^87jG+C6xT z+0hNt`p>MFV*)Z_I1VtNt<^|#Y0>^U+;%b!^g?HK&lZd@t#|7bZM9nyP}}6o2x{Ac zp^h4YRH_<`yRabE#n&l|uInInSrFj;PbkcP5yAlfM<~q6;g<UY(f<C@i>Dn7giffo zDL8MFogclqyw>oMNfv!ZH;AR_^IjqSJ~_)<c|I|#yH9dw+|%BS2_4bHbOs;=xM5j3 zarurMoLFhUumX5FiI)15f;lDV`#*_W>MeFy8ow#xlrT>wf4BVyKr2s@r^K1KYNjlj zxdrB|{y8yEUd(d}%o!(7(KK-dr@r1W30%DRrf2DGyn)b%Wo~8sg`U$7>l?XY*UXo< zYp%(u%TC@A6Bm5C!20^XoUO0_#m=>2^nL$<NK*|Q9v!pp-@CwF&#tL7BH8<IV@*}A zx-|`^(9lpVHBJO0riTU9&1Aer6`hz`^T}U@LC^<<=-!;J9B%QC5xYBP;O>RcZhPST z$Lt62H~cZe-dMt#ojwn;V-44TWIv>zXFu9w2{ka8u3`<OJe|^a${d2lM-&#YMkK3D zfRPTSs`hSZ(Fc-|vO17WA31_-`fXu3@6GTL$c-6cAU77a27?|><0MQFUUdz*&N)?* z&i;BU4J@Xesil?eTb9sGfNUDP=S9`?P`z(TAg`X(1$nis7Rak3tINnzeT$weG;M)I zJmOmscw6@Aa$XH0H*h^JHI2UYMKwlg#h*5lmi_LVF2WPsF2gCp`-{DD7Kw`uolN5s z+}oVAP1RM^<o%wWC|*_-!e@(^=HTE=r%4YuSV7zL8Gx0OD|map@w6%zzFB-01SUCl zI&+#pe0)UPq*?otTmkS5^8g1Cm!5qG2d_KV%fUfTI^nzz->{fOg-shlwu}Vl3j%W< z$cNpVvE4(QBU+4!oODSD7tF^~hG3GUv+d87CW(KR6()j!DH9Rl!Uph4_S*yUPdzKH zr?P^6NwnI@JIS6@MrRwQVCE2wO1$azWUV^b4&G9KXE|pm<-s8jMJ>nt2rO$YRJ4j- zd7Lh}hjBIKtmhQb8FtP$cwM_boj~c%8FG!HcBvb~NL^y1p4Q=~iI$C2JEm1ZxfGae zgmVe>2<RNtc(~0pKC1V~Oq$IUIWmNOc}F>0rL~zAQ1i+=-kN&0Nb5+HU^ZKe$qv?3 zJ#K3s#5Rk6k?pcE3`RUg8EJs2`Asn5@hAz5cyNOek3%rxK^5cIdL#x$Jbr-@55<3v zc)TV16Y=0%{71wi^>4&uQ(2ezpAioi_nrM?Fyis&`)|Z!<R1}_<9|jxT-?Ek$8*yw z0il0JJaYaA5s#&r`Asn5F`NZPJOqUPMm#plnn(UC5s#67L_9YCMm&!HMm%!<Mm${H z|3*A={zg1p-2XM=K`=MJ`8VRR`8VQ`O!GgCcqo8m7>sxb2>pq82nhW%;*s+&5sw@+ zTf*0uSv1Lh@V^m{%b*p1tfkHZ?0he6fH}p3jBESFM-tlI7nZ8+KmDf>2;};G89nMh zM9Gg=b39~36UY;bzEDcY?Ve(M)v}^IxPr&w$Y{a&#<zPptvmDf3Y^E-hY}qLUNoHp zo_yx1>ShA>u@7QhTei|CDNqTSTe1z4s2tR2xFMrOdyX?El-#aql?FS1Y-*S5Gon#Q zEbbo4RNC%&t<k6NWFv0_=Q6bmCgu;VqENGfKW1eUf29cW)Ashqh0n!{5<z}y5&BkL z)1P&Xa5RI&Mn_ZbXAeUgMsmBB8c8&WL#x0`VL-|e<06>(edb7FBeX96laHOqK-h@W z96s(a_c-ZDoMT+0;UtOY;d+D8ulE{-y355dUQqtC{Ca~K?|J~MGuriQ`8oID#K36X zU=o>VSjtKEVj-#d^B6`Op<Ve_Gxkw!W^lq>V&IW(m!YsDH@N!I99Pnrc*7t7F5kd| zz22y_I`VIam^mO4cC(@A_n;4TdK9QN;Nj?_@M8(@{zpF9ca#61=f-;z5Lk=6QiJ~E z0fltY5G#HLcThs@k3r8aV@RT@{F<)u=!%j6TEdQtO%>yjs1bPf1V#Q-`b`xhCvhfY zkU70+IRvee5paM#H@DBdz4-p&+s+v&IJYac+b}hTCHjV5x~O}mCPD7>ZQjdCn=vQG z`M`B*i4rWOnk%HPd|PzV0u!&^z@zts$C<(ic1B>*V{N;3dH=spdW;yd)3;mP1kw-6 zd!IBoo@ojlFf_yAm0q!?M@08o4{9*$QT5ccD!Ug!m3?;gJ2XEKG<etzb7-TS-fX}K zWN;5^W@qN+u9buKFIqC!**ou6Hk1ccE-pQYe)L2a$Qu6~%aRTlya_t%`nsztOP(o4 zUZp72dXk@8Rl+kPLnME^*pj&y#9l!=5p)^+lTrByjybD$d9l39Bttsc57Cg)>mJqc zKIyBS^_=p&O*^3zvII_WUA)iM*{nAmH#rJo-Y#62uotIezN$Z4UYT$B)piKQSYBB< zILOUR@NKfi!M|~BXwaU#>1uL&GOy2k@ij71VMV~(-8-h>!F~?yNVna3{yvzb_}uz8 zNx`LjK7<uKyX~IQ)LXVbpxl=F&lH7h*`E{zKutivw_2e~;nFRCAERi$k5MGsMrmgq zAqch~zR>yQrFH}o+AbHTV^JOItKx`eXEgz2kxqE!*Xdbu;K+HJv}E|xx5X_n;Js64 z!=(pw7W}ir=i5W~0Vn-6p81T_W+>e%LOd$eX*y7o33pl$%QjJ-0KuBGi!O~P8%VHa z3v~wuzDUuhf{fN4gtdr~jr#>)=Hcx%PUd6pAZ3E))?Auq3!-vp{(K8=Orx~4PHF24 zjw!u(vb`5_VJ>7O*fpUk+-tK#KEEBKT{2X^_q#a=C&TizP}EMu15r)-`8aVYHxwZr zLOFU1N5a-MPQf0l=#+<6FE(z00;IA7cNm=#Mj)+}&M}%FnQ4>&{rjLvTH9EI-5^n8 z%ihA<X9Si7>rsZ84VvFs<4z^B`{~5$(a`#g>d`_dS#|e|Wa_VuWXt7sS)JqpjMKM$ z&iurC{-jim2uqsVm6I3UCm#WPp;0u)zLJWnu}lR-iggvxawgqLW?=fcyLZN;fo}W+ ze9x4nE(hlow^97abCz$5Re>z>M^?3idlzCk&G{Hekt{;&Xi-Wok1q(Fr7^^p`<Z8{ zDfrxVaY)kl-juWML=gFRB=?)v^;1(&gDN_x+H?F-sZZ>%!v(mIjvJ_szs^3SWUL(_ z%T|qz)l-84Dw)ns--gMKbC!&t4fwvn<}_f+!Uo4%gbr`=u#NdfbM&_hZT_2DAWO2B zo>zab({%J_LtKJHq;P8)%^|N{tqOqn{xDbD<v{{i9OkKNmr=RZ)m|L0I#;X_68RPs zU6&v~D+-M57E+hvqzR8O(}_f|^xnE0+Eh3=aJ&KbOXgr6Fn!jsNRMXU-nBu+<_2Bb zh{Nvg%aiFuTw3mZ5#rg7lgssAK}&uaMfDe+6}Me|f(gYFd~XqH4`23rUrRr{kVbZM z<GO(x31{4Cx49aeOpulr6%#w`$3YmgoMtWdl}8iCi<oN6gj=>-w{`p<ZR1An+{WId zujl}cCAai7s+OeNb-H(l+m|<Mtplm^Y`IWvb&clq3Ovu`p}5Nb2Gz#=6V?9wC#s!D z{g0@&@Pz{gKkxbDiq_7HmD~|GYyr=c&Y+3#0xy-x!tZLXJXqEIT>Ml<K_ft}#0>}U z*ZoK+S35q#b*YzD`~<CHMP`G}*B~9Ugu&q_`Nk$ZsgPzXv-WgIJCycjLR+MVDf4Xu z(W7D$#Q6%e{RS=nSH}YH^l@k0V5}A!F6<Q>mxU#>ZZM_$6HXq{vrow`vamq!vzMX8 zi<eW$A{e~D@nEtD4li&GfZq>!fy2S%RFtGtLC5tR#PuB9*^5v6xHBh$bk;{fY}QAj zE$g^VE67XSz{XM>8Z-!y&g{aS`8!9nOyu|-?y)J2dl2Jbs@ulwH&L6lbQfbJuWvzf zu@8?8Y22k52O}@G>N&C?(11kPnN!`OX-p*3l4EPo_#u#6WO>PgIrUuGI+3C^MvSUM za9f<f*&e%Z^NG!ikbm*=i>Sp@&u|ZFW<ZTaw;vW2A^0iM2`DZ5d6;PSrueIMhN}^Y zeZD!M`N6C6_zi3|CXRG#@Ebnc^9WTC8h3%v_+0HFG;V`sQJVX_4BK1Z4@Aa!*wBym zn9qPV3^xQyJoz-ZwCkn=-psA|edc`jnquC3*NeD{%??uDZ2aQm@(<YiU&l1*m6e;m zW>Brb>jQ34q=@4)jm>M*e3>rsbmXCu6n(a#7NeW*ZK2(7LP!Zg_?wLa!e0y!{*r+3 zmjkryn}c+C1*F59VxTAA=xJ7)7BFw~y`(T3bnJuR+F+JLmD=DJhbomp<JbIfSYE^s zloFzHU*i3K8&f}vJuttmUeNWe^%gY<ziuA?$WiWmwqEG`T4(@zCKr>2LG$1>60q;Q z2TV`Nr|!s8CT+#qKl48Nd@E$~_B4EWcVxHd9t-ocbvGqj#oZqQvCQD{uJ`@%`qS<v zkD7<xc57DeBVpw)a}#SMTe8}Esc}Hk+no*K-~<o{8{OkzTM!4w+~eS-dmJnb;$RHs zUF63Pv%Ejyv!4l7;=a)OXjDFPGbsEc7}hke`L*z*OEbK%s!KEE-S*&W$A0iA9W<T) zg%rRd74Zgsw%%ENO!^cG+qmlL>c5R4+7%JhCoyrPCFXd_g795I6}FSLRxr%nq=RpR zb7)wWc#T7{58~Of_}>#!a^@x2__A^H-TMoB{vdK?9lsfrlIy_@41VH*9yQ-WO$Fjv z^+Jj7q46>J;LN>@$IX8A+V_{MlLGRj9B+{q@O$|!mYfMWG^ut#LhP^|ScD(_A(CD{ zIT3QNzW<~4hJ&hO3cWs4eI(g)sZ$Uz*Q)f42fytZ$1Cfv!l(}+EBg3HZT|(TnscD) z`+HV0j70bfMAS10rWS4A=R)cAyIZ@v_ifQU&P&xXyLvc93ONWn=dr|Ko{^Z7h`@jd zp@{JF?4uX_&sFPdEFN;E=~X}0uVew?;Ai*%EK-s0sm>_IH_J}(4v}_YVX^Oy$^}N{ z)E4A-g_q}!5g=7enk-9z<9?4+Yyx>8MgsLV`Q7Nn`G*jY2Y#O{%YaA5MJif?L!T3< zEhz4;&(FF1!J$7^W!w-TQjy~RvP9}_iaXxpbG+vM)(t#hbuq#y99VPn6xH2KR~_F` zA3H}&><k)+rO8+M6(MviCGS|O1&)mVekH#&)@o_(mS}UB{(ZI=>w^83mNuTEUN1t& zS_jW{<>OLgEAo8Kz<YL@y}4w~_8ZU`Od0O&2<-9wVq|&`WHf^ROW5x@F+K?6QK?fy zo2&XIpklyYfFV~G#(VtN)hhw>5axqCh@f4Y4^*JFCZBO*Oy;d$&DmR`8Ac+mB=Rs{ z=hcQ!_7<2dce7xM0P(mL?K|dnweG|E0q0{`haM*EDY~p`$?PsBJkt<W;iLAG_SG$O zr$%pXpkBAQIFaAZyKD^-9F|&C>;5Wub#v969sr6XyR<K=Br!=UdV6UV2AmLCn?0-e z-+M#OUKwemrfi2^ZtiSB<gq%UZRR3qcz`xjK^+~yrL2Ls-u1B6-K|y~0Nm}y1lI-% zX!}c=uToh>&ZCb`=S!Le8ZWOPKzHN_xd#B3+gBIs-X2?Zn}D^B(+<U()G?C=)bG8H zynP}Z+q5_N!JE!kSG#Du*Mt;`Di85u9q#)T<OgoH^Yl3Ow<F%tW@v?ijvxdTqC`=~ zW^SvD$RGQAY@6<K>v*%gg=n8bv29<rmY>$7lWFNypOu>qjg%sJJ^$z17xqM6Pl5rH z(2*m*1`&jD^Iic}+}6g)ACj(sEerk}?ZsRFvmh0M#T$-LP!grXpWC-$`gx@@3A%h! z?_It>|JPi;Tr&Ruy~!8&f6e8~DjT%2iDxs+_%Hjt(C_C*@ggy3`P4TF%09>nnhuAW zdt#O%$r?fXKVn`2CH0MS&u@RlgaV|2G?2+f|HN?uT(u*DRPBLOr{=pG{d8<ztAp-W zdO8%27sGUsaSyC2xzIDS#E73`N129fr$1o}jH`*!SmHMhnW)T4PldIO4G=(uWg9fq zvtj7iqdz1Y*c-SU{h@)n2g8G?x)hhqvJ(4FW*c>EKHdd@h-b4ZEt%~91OMp%j(rr) zFVeYvO;vIPB?T~X{*U=c6#`W_&B>ba(mX`J>~yb=Ipse)-jJl7Aru)uql&pxu*>x? z)mj};UT1kN!in`=H9H654$+VUB%1F%q6eBJt@7aBDG{1-(laU`ajUk_z~b4dYTtUD zX~RLSp$5XWf@07Ns$dS9LACNgGpN(O8FaInH85J;$nG+09rS|AS%Y3s&zZP(+pR*7 z!P%CA46gB>!MRL>=<hd({w8izNJcoS@6n$Hi2h!t1E6QLyb)x7an<*pQ7Z!uVN@-U z>5b`tOpo)P=|QTE?8=!BSrwX0L8dp*dT-kFpD&+GIvL*gEVkPFF61@W3jGFd*Wwnb zSXg2;ZX)byWdZK&a&eA+Ic5BBd$~jo#M}>xcoN$faVA}#e>n#^+a=Jdh68TdG8w7p zRU$vWF5+2b5AMWrdro%thr<2c&n0re2plvK^?a8H!o5$PgYF>QLtS#f0WGHwR-#9q zMQ561!{oS4M0pVpl{Y}EC`xc-$o)<*kptX+mt}7Hg9X*y{xCyMgxGHQ4%GDlAC$%i zlMXmt;@wm_6ce<4<L34Gnv#Y1gm0w|ow~Bua>L8<QSRPHtLp$4H^=kjl#sbPWoMIp zbFxYiS;2$8w5;FnwXBR5Ca)^mkaO_%2MG56{)-77-8G2FUN0s+nKO5>@Hvcl07jW} ztwcQRgkDq3j~tDt;*~Gk%n5rp#8?2nD<h_Y-4Oz_<^hU!(1=|&>d5Y_&S#gAEJ!!W zJ9DlH)T_yCt}~5-dvjlB8<qR_hEm<iwcg}~?@bjQ4~x%Gh{(Yr_Qs3c=t8VVilS?@ zAX*l@wGz<1V9yZ&N3*Kkcjl2IyZgs4ek|fEpan@&f^O0a2U}O1o|eT6Iy8)+bz{&@ z%4GMw3W^tlNkMh~ILvSQGgWGmt*t@&w9IU&qR*mh?f(8Ta|s62!xxiJUqA>1f0ec% z76ZRg%4~Ii&u@P9ZI_M$PyaYXJq*FIcctefl{d+t9}=0#?pH(ehy?bl|Jd|s<FVV@ zKMpo<+kAI)1J304w7&oaa5X52A7%(Jzd022eIOP5?dar7dS@=~qs=>s)y*TI0TWgQ z-XTV*Ar%I$`>_4$CwLlF8b}c{XLAC+#!vbo_<O&U+0ui{y#cN0t+xue&qqb2ktzRf zE~6Q5%B=3`>J8k(LbiGE-kQvEq2QN$VY;VnKq+{S2PgW{R>$Jk77ZiFH4h)%yo>B_ zaV>wIv)uILM#5hF0epGv!I*HdNMS{5Ynnz7V#T>>kX{7~3FFLzFCXnmxF)BwqAbg+ z!{5H(`~4O>p)Y7yQhuB}?;#_|@q&WWIW-c+2;`tDRhaSs;g`djn}&})AA-n5-7wxc z>!xC4%VE!T#VG1MkqC2gqYUHVV@c->bi(?E8g6&TpW+ji-Xv`x7mmFVrYP1ihyWgA z`)`D;TXxi4lXt`?6%CQIi*|fb86<mep#5^0k4jrqD6*sGY(XEVlVot?wZV*sB}b41 zW^h??THs59J)z3@)~~3B#9SSX(j*SQ*TtY6R9L>KTe(D^IG|6FuttED>@RZ$H+A%d z(bxG-W>@M$YhvEflNoL?rZ;(>+D6o^B88q$nb8C-MJVxMOaoiMT*9(Uh~O<}e$grn zH&tYJ&hzRJpq;<bJGy#5%fXYLw7_{1IuUbQ&`K;z#6HM0DT7(*UT$C6DUyNW8Cv7v zT65L-4q^a(V|_hP2<GV&ZnLWL?VT8kq`&`u_w$#gR+sT^Ji6o3@*{%p^M1S>N4Yyo zvwQNw3XQX7T3yLe)e&n@*pT8hzD}m4jQNjispP54lcQGBRk~#%!SdZ(H1CfEYPT*| zr%*`v(wN!tn8=vhF|2Ge);uMuV+()s<%>(n(+$Ge*RK^`1zZCwD}|Rkt$V$D+d?Y7 zwpV$=J2y#_^a}pZm!bq=DAu#{d>)}grph$DdBPs@Ez@kv=UfzS1BUr4F9WG#hlE(Q zACt0q-_m1{tQ|XYC1^4^`<8E*wm<6(wQP*)#TNpWMIMeaNeg~Uxg6*=?^fPv@Jh00 zU8{&^mM*h6Q|<vcsM)g}e5p>pA7<_`tsE(79m?Umb=bSD4T!WYqV-B0b@O(h9{LBe z)L5eiG7g^hT&hhiZF=%s^AFQ0tH@(BJrI^tHc%Tq7nP!}&xpYN@F7;NQZiZ4Nx7(d zgnW-%zn0f+<M-KOyZNw&t!Iu_{ErMZ9awdVL!dnli+;L>K8bmsxV$A%<3sV!%vp6s ziB$Q#wTTWqKN?Dj5nix=a6;1DCP1tT@7nKj;_x-xtD_;P-F6q%Uw4o4YGqFS_fKw| zml|{bRq$>IX4)>b!*{4RD?SNOf&4qF=zT2CrbB;S-U-)X@W(nDNvs*K3?T;|F&E6> zXg()o@NM6FLx|8GGS<ghIm5Hkh;_E*T8x7^VbvttwOmstqvKm2_+R*Iu`u$43aswi zP^uYuW7-Uwi!glBs`B1uT0Q31=j7(W9YlB2_p<Ho7^oi^Y*}+kky)7qbT5t_>>b}$ zjJCLV*HE@}g;Q03%^Vvph!$j}zOgtS$+zxpUSzh&nqiO4v|8%Q+M{LeGS-nP*9Zz8 z)*U&)Tz>o@xMHV)=EYtAHez$yH#GA+vThZor<E!IVMZ0jSmVRClJ>Z_AB)@FmC{O^ z*BDRXO+=&D&m`aY4I(8(0=c&R!O|E80<&or>L?+U;M<#cY8T9>15S*BuSrVdldL=+ zjRvywyHtt|FS@kT*k~b-$0(K}!HlD-pO$~iT>ZS$%lh%F*XJz~H&(()xp8hOZig!E zuU;DXtmTTzvkY38T8j*cZ>O`n48C?Xh2fA)=Hyy`?XFdYbr~O4KLyIa)t`i7MW2Pt z$j@n#;XI+u)05v_FKt@EvG~eaD78^KKDQoLTgvuCvYaF=DgCK<P`0ywcP90W^XYA7 z!|rIxBMZ`ZZ>BX|MyyP1OvR^*W9OA)Kg!|@F8r=knVzg1s<zlYTpPmS=1Z)$$1d76 zAln@;U=W<D=|;6yu(1KoaCCvPGo{Vu<GbT2@Fj~nITj_(E|4an9XU8-z~P>}3%eQw zZBLe+l50eV(V@EJ)`-%MX&ElJ`|uQDTd|tTSdN9!H~*{B-BovQ^$>woN&}Vp=X1v= z9*+AD{d*Q?`7GLrA}t4+<hst98)hRq;Ma~<r>(O$H+v&cD<Z%KCbGYG#C~Xcy0@*P zS6HFExAj3`LEJj?JO?280*rr|o@71?FgSsf4X9h~d%3f0pX?$WS>CngFYXOBzQ@n4 zs-vtbcdnY-@B20)WoR+36G3+UwW#0O>u~4Nu2BnbDti~d{MFaH!kb^q?yaJXyCZG} zl8iY*Plr-AJbnVZo0bh}Z}H}?3UC)FA4xRKrpq0U?A@-H(7vn6h^Z({`Pk^*5dOg| z=}_a1@wksA-qr3=E6|X5=~<U3xjcg3M5`H+i54TCaHO*QgfeklHGHRe=a60a*5-_@ z$E-gd$$9QA>j7(uViBLI`ogdMGaC!#>2Gc~d)#7m)}<dv(%`04;Uu}Dv?}gLPhHT= z-7{U?8b+We=vZ`srTjCe&r7b3ul$qdy}Yi{Wj@pcKOvImy^^UNM{p{blH@V^7a@Mv z#b1u@6E-mXOWlt5q;rL!M>LyY4jDYUb-Hn;49C@Rt-$$xqE-9C;m1!!#KoK-wrear zZ|9AlsYpLlY3dFfM9(kMF`E(mAyjn-pLWpL+_6w#@N@tT#xZyRZ-EKo&2$9O%xoDI z?#++7kNIHYc6l#kApi2-D8e!13Q8G7xadpI;S}X|*yzUk(o5yef^7IQD5VR*tuI>9 z0Hb!iHXb+9saB6ag2`duzSYYB*NT0W_H!UNJ1uvfe!O_dZ2U7y0;Q33gT|NVDh}F= zR}_@<Ep)Q+4VoT(X3}`OYil)hNFa08J=|^ZTWWbC($Y<OGQ&?B9?irhh7LHt!kf|d z#Pe7=ZWMhjAuV3BufxVLbEZp#zvs~zjDFU*Dgiw|z~qy@B3plufUqSB*W%r)Ae?DP zgV(}`PD@;eo~*xPtN(!^wYO*Z$)IyAohw-j@7wrOGA9F`$3gF>{OR9ZRoNy`IjQ-O zxf29K)2Z=306qMs$L{N|Rl*0tBjYmrdfo|W>|(*yE_Yp`ZgBE-4EHjtmiZ+GZAn_1 zI<~WiY9gH}n_+6^4@d7xs~V1-B8XBe(|wd3dGPR4l@WL93fb(a8E&gc?kI?xE9_D9 zz2}Kfu!>usz1H65J)*#76?i7`#SB%=zh=C5YoehC7ckUxZ4V+Tl2^HU{(W<oXZPl( zV=SwLp)w37R__4Gd9(JtJ`OGJ4c&CB>!U{ubE)J?HVffJxjYB2c}6K+mnpZf8}M;X z9?X#ixg*=eNHqHJ^rz4Lpxgwfg*tWd;4R&}MLKz)|KOeM>H5P_N_6<}x~xD{CGFe# zua%^41psAJTFw2LuyiDHT%#6re7c;5Jgsu%g2Qz2*D6)5QVi|F;@XuS4*qec8*CWq z{tpnE9J{PN<_}alOxV~Z6QW%$XYGOmM;FUOe{ux|OmKx?bXfRw_!0&#m~6b6lhUJT zWdIU7Ja5I)$`-U4&&k~(Mc~YFs%LeE*=Bv~06r=Orb2~pYC3GWj#<v2rTvY-tF=(X zbBfTyUly&Va=S}T%JCh24<LCRp30G;2IG3+plWsdM{W8Ds&*-&2B%>^=_uZN1nLlF zb5ta&=W{>|!MD?^wCIzC=M{CZVT{C22C$CBv&WH|_7ykt2X~C(7?B2;^;rVCaprx{ zMszL`?YF|`<k?6;n5`dFiPpbt^$FZDDoqLh^fsQEf4?vftkCY#A4a;S1Ik#Eg_XB{ zz~!U?QWiYpE+aTt-R!3GZir?H(9vrPNSE1WLO$rvIf|_g!V)EAwA0J&zwAbAkVW@Q z-lazyJdx8T%dhY*N7&DQ2mwqyx{w^niAV#t3R4At)GM`3Owt@8=m@n3r1Nhx5grtW z!=0*w<U~nz{;q@C(Cc%*4(TAOtTtJ8MMhl62a`Esxu*WpFBlvAOl(+V8X|e0T!!LG zDufJ?>I6SyN;r)xGA`)ktZiH3hJ13zk~X<{jAVBgB5F&Mrs5*H>2a-+^JeOetc`z` z5hgXW$5Ft?vrp}Kn?=6MS|`GQ*YN{JVDh&!wu94-WEu;<HPPOD0;?ukbo#SGYLw%r zfsJnncAP*(njU-!2dFIiLudDJ$pBmWx_7_DL}^8Thdypu&i<9LOx21HT$_f|`7dh- zNDi+PoTgJ=;K%MF<G?G_>P>TzF+HM!Ca1D5#TOYVAptNh$C+=CXR}T`;{qR>RYy9g zXT65u=rxP;8QXJ+Lru>uOZ!99@;rAlwhT|t^rm2CQiQ)D8M}jS=FH`x4FGpr+=sBH zv8a~(hZ;SfPD=Y9N!EgorSI*~j6RKZ2yJVrR<NYaW^Ykeiq#9K3TSt}G<#3ERx!n} zeo6#DFjb&h*Thzq37+cXTHO=GXeZ36?|1RBCrl6Z$jzTXpz}M*!h}Cxtx}#b(8kHD z9y(nN(X2Xbac87c%L`kg<^nP7npn?L-zVYEmP<wBY8B<JB;tbSKKQdA@6R>zRs^V3 zg>(8C>Zm_6(pF=<>*k>Z&*K>C+(N)}edX?)ejZ9C;hc{Qbz{MxQm52C@rq|8({m3l zblyRi;J?w4CexNK5g$R8okJH$(JqNB&GD78BQ4Q7nBg6O{LOShv_r@iZJt4b=qp99 zBy#7=y)ypuK$48$QCs5$(+(j4w0Upwm@gEASX2WwXe$eeQo#i8BocfcQJfJ`d0L<; zi<>KP+R81{IQiMM(aF5Qws;U>K67MwU_~hTFwf`L54&&=d(5V8A4dAR?(`Vjh0+e8 z6x8`BQE*LQ9E)nK#-wzC5<Qq8Dl@_7iK8EdV{Ixk{j1zfq3p6qM0S~N^&p>#!^MiC z@!4a`0<U7;@f=w@D^;Dqg0T&V?!oMv3~Hfz^IpG`1#1c&<DMucSDzno(oVlYD>kcJ zU0Bo;+$Qw{*xf|U!uR3@pjOzUR;NVQl08bTpl%CjHinzKoUKl`vejHRdok4A%HAoa z%6+1KUnF3;90ULTqv`f`dv9fROTS83Hk5KZ8dnYtR-!h)z0I!5vu=`jDeCg;VDV;l zdiL#;uj4!2E-tvZF3oDcbRsAQKI0lce`2$wesOf!aNaw?bBnwjBzP#CkjnPK<^X)6 zdM#)n-~A4x4sKirtOeiqf8ai&>?<CA?Xh8CnmWZb@7-T^25BDUDG3aWhLV!o_6OY( z^RH!!{jSU5pGse^zx|T^L+GR=$-`I9&84b8`2gB=iunkT0FLyRgdp?U#cGLTzfM_d zyH$CdQfq2vwrCqjQZ1d%^6@7p-D59HAj7Z9AM28I(aDc*(+;qiVz9E!750ZrjIceb zKAA*q%!52h1Sa_7`%(e2=G;5iD!94R(T$O_oA<?Gr&YBAOe9IidTScoRv*5!b_Gbn zyJ@Fv?tq)MtBPOqch@6;0L5hQv$~J9Z|4ffNvkb0J80RzJxho?>X@CHdVhB~r=qiG z%hGh<t81e=y|ZPXoVb}dP@7m=FLJSWqeBC63&II-J-M9O3MGAZfQTAmHRpGP^U+Cv zq(tm^ZAV4b;Lt>VZEoAEi9bcM;=Sxpc%<qJouoGx-vyoB)<)3zj`FZPqK|i$9$PEU zb9dN>I`PbC^y2u#a#WEQcinnLT|M5tz?)04!vv)Yq{ZFZ3{h8sRBV6PNGEup1+@{z zV@FEVhNcPQkwABKu>_!|kMU?<%4FES+1A0rXw$H7qYoH(*#5i~RWlHCCFx=CBlV8B zW$W?vmNZ+ZM82|*zY9bqjVhU-Wome2L<$|(KC6aQf@!1bw{q`T-fWCShd@_#a9=}H zh4JyAI?lKl)Cwp+6;Os1P?i)>4kJ)?Y^Y|=j-$-HZhA2X;Nei3DO?KbwVhQd+k6$_ z->ex&LHS4n)-``Vw1qVUKo+z?3X2&kBZ_Cox&2H2=clC9qwyTBxC$jGGIa6Fpb-!1 zLx@PPayq6dpMRBa+;Xt2>HR~EP9iY&%x4wqxrFJ3G4H5mqY*VD#Sjm*p~rs=4a>)J z1F+5x_X@Fv@L=2P=gaWp>v^BT1efe6GZGx6nnF#2W$i6W!n_Yz-aRAws`RMiJ4j;Q zZ^si8m6S(|kd0orTx%x}D_;vprXgjtvj}JYj3x1U6949TUS~^CP;A)Ps7-ggCfc4% zsZEAHm3BM$PQ9(h*s#3Vxnh*iHDZIqG+@ZM5Q8l)tV|{pyTj7u9Y@ErAvdyujKL=c zg%pl{9Md7OVVMh$dSyHEyBxa73if6`_BkgLFE1dsf|BXIG=4Ar2BqaXP(r_#nm`GM zkfoy~$OsyyqP!Z#p(<Z%+z0V3B15B9(RryV6(!rD?zHk?8CXc`(xdYHV%)8sx~BB* z^?;qJEr~~C@cs!aO!~~@+A5paq<Vg2{rQKa&6LPySk+SBsplm^z-P|cGdRzvYSLtr zVrsCGUABkFE!$ia=k&$qBOI0<$Ft6PKJ9nL9wJ`GVp8As?#}CDWqT~oa&YN$nMbJU zfFQ@W-*)eg^8h4bqdK$|c9z(fNgO3wLM7$wdePei32+qAY4$Gar6#;tOk)QT93(v~ z`E#j4!}q>ZpzbB`XWjSkCq~s*BUz`<?r#b~WPZ9l$6orNw#iOoQlN$^ONU?cF6*w7 zS-k1yWRHHr?zX-2Zg)=V!j%JuMP@F9$%N=>Nx$fzNn6zRmk}<<Vatl*^}LGDW&u?f zxHBf32aV&BHc3uG(6&`D@ZP@3p1iz&v7PwP<UpvN*MgZoLFn#aNosBXq42kkXg98k zVRK)(nm3%aZz@6vHrr)xa`=5O1=Jh584@~FF(N`qmzU&M!S}{JPr0nXA_*NaU-k+m zp3|f%8x_+<V;*;G)_;!3G?k;CQ=_hE#g(+L{9TBAUO$qd=+ZiC?HXwW4)v44QbiPm zPRU>iLQCdxQ4L3yU9+W;T?FsH(SnVx0+zqg(1U*whv9OObcH_{FUTjsBRtkS;!1Et zOx;KR&|nQbGR*x!gSy82Mdf1{f!2xyYFrl(as)0BF{TCG+Kx1FA3SK;_4vCibZ`cX z1j@F6>nxWoO-az??JPKg%&_zkob^GZI*)qb82x8?=M=cAB(unXK+2|=|DnU;pWBJy zVhm`_ZhE*sy+8M6t;Yv1LeZP_YlX`}4;FAqUyeLN@3o!>2R|@RvqpgTE%QBHlt%C5 z6nc>>U?G9qmb&zfCM^2JPu!DIjOB~kBzY*`A}%dr4pd_iR~$(=0BRzQM-K?2l1m^{ zg>$^Z%iv6@Am2VTAv3VAer?i#KrW#w2J%k3?yz-RTf`GVisd=KP(CUx(M-y4yZy3u zDxBwZ9d*7GJvC>8`Z&@g_vrJCMx#$J6)pUpYF;()?Hr|*`F7NRuMr9IqWNy1V?<~) zQ$LW{DvUeK0j?ozgClq)n7jU!Ecy)0hJrH1tZA6XnHwgEL&M~KATjp)3O0xWLS3xE zF&<~`n7_BhGEu+U?^`P-haf5iLUmqYO#u-v^iulCfqh}X<H!tleR_Mdr+2cqKFj?M z-XQKCd9oc?s&H5^p0#Um5|4HvZydU&oN<zKXcy~*bys?rl{N<E{!8m#1w|92UZyHr zQ8s&>Uz?q+O+)Xl&i8N=f2D3k)V8cRrsP2y0*@fnN$PElKdPyAm3^5JAvP|!;I>=M zYFqWfZq8l;7tryP9_^4wKoW!X$z);G6U*_4r=sh$!VF@ULU9C~e&QC8L!WK=Ig9zd zW9F~8Lz&Sgr~iUX&(Ecj_5LDLwhlj$@=@_@c_xp;xTDgm@+)`6SUPR1v7pA(8@{%u z$sIzkjSP`y?jBs9U~h}cLo2p1`H-Z_V3M?0Cy0Q3<@?Y~<DlZuhxEm~O}6+XojLxR zRQ9!jnpCfl9d+a`&)a=MF52=!Gz#7j=_fkz<ywu?`-yoxonw#Ul=vk1D|rIhyW+^5 zPIR;nx$4+r&Ol@in(*=tV<=9{H2hm+?)b<0Q|zFK3&-s`*`*)5s=L^7Efw~s7?t1? zQ)DHuEEDwTA2g}2{$|1Y*_nNZLcw0w3ch9bx3Esms*+CRLXk;(^-cejl;Yc)6(bKv zfe!Ii*JFViL!`#L)m>+<i1nOl813HPzDsJ;irKRB)zO~t9Ruz5UelbFh(&MG>Q|dW zaYRyk%5dP)1o6TIyEa)$WVXZLBy|Xg_b$8K%qyH|)L)yt4Z4`&-z|%LV{x)Ej!`q_ z?i=0u2N)&Okl%jY&09%NQS(AL`;1*yvc1dRY%Q|82i#uLt7zEwm%IB`;d@f%k*fEa z&?`%!m5c4b25|4-Z0|1}c|tmJ9(e`@5lEvw4d7beIzO`ZsqTsMo?L}^0@w3<Ix!<u zoTxhu(dr8tf~lI_&sY!E&aPlpxx2aLb8i*D6jQPin+j4$XQHwwdEoS0?6beDYC|OV z<Y}fEKVb?rrQi{;hcTbvX$F6<o4lBvfYDNv=}#!0pJq189h(W#4!~6<B_k0gGyO>C zEPrlY?sAK}7zjBn{;Do42Ij3FIRfJ#6S5rLx|DBUKx1o|tQ$L-w({Rwbl>`4VL|^b z3hG`3Q4s6le~yBH{|XE8_`uHYMT~7#&CK)fEa-qytusOQ0F$vs?(`LRlb_fNw<=-i z$OBALp6ZPNeAAh9IE&~yH4!eG+d*<rM>W$xrrn`GBl_ZiHyR!fDQz1KpVu@djoHQ< z^fRjn#-Cn^Uqfu?*B}ftFexZ{YR>{E`Sk}V?G6?PK=P~~n+tK&X9;FIW5QJ9CbsOb zoVg2us}&{7*6tw9%Q~N7AyEkw<792l_;yt)wB$}dr{_x|r6c#PoUh2}R(2r{PG|Bl zbx|x~Pj9(_6XNeXy_Rg4pvQyjLPgs=l950G6BIZ=Vd7pu1_fNn2CO~YtYBC4&7**| z?a1t4C~XifF_6U{uDd^zn|}|c>|<J+uuDoReiL{a!#o$be7(Xa6b*dlGd<8CkoB&^ zx0D!Us4mF(?ILwNXU!H3^0ch-gj6iVaFy#z{9T8B-}CU+i=nxq651hQezxHGkL<k@ zk*29KL~S;uu=#E2$^kcwr89Jhui`-R#3)>O9Jd^xhVm>hEZsar1HsUja1aa)N`hd> zG6MudyvjBU*vTM2dY}mMqgE!6A0ZN*JZQrE?1>C+=HsDcLuX7^We8k~HTvMOdo@)) zdVBS?lJc#`*Gj^G)AW;mc}bQpa3zwk1sT34?`F=9%G53$paqa2m#<xkH}q^%jeO#O ztcN3MfRZ>e0wl@^)@XCiVn7KcW%%`$ifZS9hy>a`2xQ1ec1F0?C`&;6gVXGnnm+On z<S|&XBBm5a7ijkY$rl7jD4q8Ji2!_Z@T5@&0TR)Zb8`Mwdk_ef5`|}TK(KyHs2lEv zP`)-WcPIt1lJP0FEJ%+4cNB^W1#fatRxG=ht10j0BNPe&YFz{KXZNhi*!k(bW{FBs z5uri`&P1!YSGjra)m2dCZo|pssDe*|zkt&tBa7n5h#e^$Rgnozq?PE@RxiHia{}$V zWViKs6q#x1-veP+9vIhGk=L8AE>HoP<$znMaQw32OWfN`A(T6O_Dgp2=-}9K;2NYz zw-W&%y2-Efeu=Q}$qzB}=t6L8B>Y2wsD9M@{{RV)J%SG7Ujl@AP@Hf85+GJlHMPG4 z2)O}M>Yf1M4oYeKAxSS0_ov7pAV@-Sd`^1543Mh*qn@hkejP*|wDtf!{%11Z-#D%5 zw5)&#DDM+n>e5f8<dbL&S5m7B{%Hxuw1VQA7avS+43XxX%<oAOdTyt!<G3ON-dQR- z$~xk&36)SG+3*tcr|?+ihTCQcWR{(!#N~$!*Ll<dQ<0f%P?pq0qaWh}WT-j-dmM0U zrOG$5*q(mT&hsf?i*o)&>^!$>Ut~OKu}A@`zFOk#DLP13l(BWrv$})AFJe7)v^YFs z2A-z-vyBX|w05k^G!+*m3H9W>*47fXd~rW1>wc2h{iHyDHXm@(nuyFrMtkWYA8{mz z!V)}xYfC+u_f)?~Dt`@Z95R3iMmIfb-w_n1q&D>Fz;m3mC>!oVPX!+a$IS>v+PD(6 z!@O3h2QdSA;{I%vLo2QJ+u(iG_}pU#ib5jULx&zqLfcvJgK+ft_2W}~$;^oev8mc? zPIlo>m_ULe%1FWcC1mE!106bs&u_wwGJFikVX8t+NfH1^Tl%r6e$}9E!rMtR{6{|- zFSvFT@kg@9;yw8u$b~G;Wo_o>spof(Ldbcu?Y29Yd+|V^qW9~Ea=6xG8VJ`tYq5L~ zVKHOBaZV&6FYfrc`43$wa*I&xA_NzCg)IHbTF=4rGKra<FP~gpoF`X|sXm0@oganU zBRN9X<I-%cq%}(Im{H+ACGhb-2Qyorsn6`|HZsyWCh}1V%<vRb9}R%(6McwjARJ(R zr>pW6$k7bY4ob>Sk2X$p5>iY?mw8tB3s?}A4cf0_bYGU7Y)Mhk8Z3+BwN?D`biX*f zjG*QWJW~odSC^e>N^vGHD~haYYo@UXp;dSe@2Js2Wu_hbzQ9d^{6DK0fd9RcfidXm z|6Rc_0++)@OcH7}TiBU=jchV-P0s6q-ScB|TkJu<ZXz^Q28;jyuO<G!S4)up4{M37 z4P-{xLuxEyMBs@##-`AC3FS}z?h;LCb>x@<%?i)A=Lymw<EcHJD&rj2tvyg*RV^p7 zA6w&83NoHs!0Rfj>r?QxezS=-klX#`dJ#)*AYWkZLG*}Qwl|!jA8@kO$3H`wwy%_a z2%0DwXN3f3ZglhZFIOf{c2^*p9xM(|gm3Ymmc|%X0ON6`8Uon}$-sFq%I2B6Odl3T zkykfbF^^k`SN%w)q_AY9Ldqm$?zM=Ov}1Vr)4auHQm4Spi2+<lOnp4oZPz=@Z`{c{ z-CF(s=8C9Np*{6JUB}CDq&Ops{rb@M?r4Gs|N4_P_VoI4+klAV-09h?j?GO|t||q9 z62)jpxb0sJ5bcBiFhHD}fd&W)&wB#|=XS1*XoP;}VrGm1zpmUm3g6blGU-{xE)%}$ zm2V7(VXKEA0Ss=%ZU4L$Z5M{QVz<(hS~XK+XRWJoC!-~ptk@|ZMEE#AA8kRBHR!4N z&SwhR++5HH0l<Ae^V>=NF+hZ*{xv{EuhU)%yQ;{?Ka8MpgydIms7w^?ZYQND`F&Q; zjSrxxP$Hz1vhn?b^dirCn=yz*VQ*~)`vrR<3PN8mUGk^#By&+6lEW(@oiMs@^~FJw zpb6s3@7ff@`4>GV|NhB0nkW8zB*La5(1JEK$040it{1O1{i3hHnrv;12TOw3x>9<q zR!HE}a~?k8sxQLpg%|3Ik0Q~tx5e6i#5(MLtAAM6I{^(s#i9nZpAT2g=ShBiQ~lw! zYK6e!)<jhSQgb`EX%#L0k0MRk!)SYV{4$LM%x_%cDZi<cUYs56acP&jCFc;h!6u;B zNmM$+tg%%XI`R#vE9o;Ekj&I_O$gAcJnq;CVQ>+^91<KwMtY(V8&xR&xJ-@xCW_Ze zf(kZzgN$0M>YFP|+_H@)R$N6lkxLh`82uB=gzIrT*E})hZn0vaXY6+rp#reHdu-PZ z4-hHk6@D_h^rh7gEczTkmE>ME`m3r}Y;r+s#xMhcS#FA7SUvUAJ&j3aBl!X^-B1$E z81LKs+webaepUYToE*?R>NZI;8&RgjM{|S2_DysjaI2>dU%uEp-3_Y0x|+>lcblr2 zy=|x;XzuE)t1oex9+-M*0(Yh?Q2G%t^Ex{9RzvhwL&Dkwt_Xvx-<WV^LkhjYp1u=M z67N=hrzZ?k@Ys1YtSMAig=AfovaoXCh(DR&lp`Go7<#a{<*uvH{%F*f*x7c!>Mfa8 ztiMWa_I{%hmvrB!w?dhJz16-3_US(i{ac^DH%53?J_EjqKEIgWHY6rP|Ere&wm~bc zs5}eed_hWk2lF7cdUQeqaLNAY7YIR3X?O7GK_ziLy1JRx9CiMnvHzXv%>;HF1{Y0u z<`5Ebt`ORK)fwqG&81IRO^FcP?aOE>uJA<aTCEs&^yiZAW_Palwx@B!)yuY-Jg<fz zjF(&~k?XF{7&4?k?F_YG+HmXL_vF*-%TnqNjI~tn+xB%L+j-pJi241<w}*AeBy*Ot zV7>N)4vp=a2+!Mn<L3avq6yfwe@b_~nT{!f=ATMicYrB+-VUun#-m2l-n*6m#Xt+4 zLB`{dNDjR?P=``xFT1`xW8<0Osg^!D99A0|G!C_oW(j;6^E<q@oF37}-l=dCwfUoO zuOY->S%3^BT5a2bZx=md_ICy;6k>x#%+b+Jm_X+*az!orkLJ1+`}g!m^fNHN1hA-j zeP2`oU{OV)!{Eu{H(+@19y&0B#qma~ke6o=MG5Wm{7<{o<b^fVdz4%av_q<#*;dOj zJ=rH`Inix*YWQQa9WWV2_)#;hVg4(&x}OZuhrI_rGfK~@&;_QTWI*+XaX&!Qgs_MK z*@JJhhdv|dr>}HH!cn~=_ozL+k{|UlSuuYkn!%PNy4#qWxhsZqdzEs@+va{~Q-j|Z zH++~&JUHvp9<U~F$*YYTI&Z&5R)|Q37mG4pbIY9feO0vnG<g1z=R;v37v1-r(i$RN z&f0UILW9qksK5$Gf*Y)G#0XCwyqGHu0V|<}`%1|1z7i6=uY^+XD<P#(%5!n%Lu`Ln zU=J*N;(bP=ZZ^@Nsr6W-01tkiXorNx3*Tp}F>mbV2Rd~(2Xu<PrRJp=Y)*q}OwZQP zpYIOo5Q#s;M(}(aH+$N&SP*8VtsBh6WM^ASd5uNgP!IOC)k_se;%yp8Oj&uaal8W+ zn6$x8HS0|p*aD|T0XyL6U<aI#>Q4z243<D{l3)q+K4!rL*of)9uYulifi(~Z0>t2J z6_YjC)c)1-r>V_z-_+j1H|^uUFalMf_CKoiz1mM`y5r~yw!gdnXj%7KIWag>RS%p= z-S<bG`Dasn3Qr)cW3<EMzA3&F^3R^QVDdYvYpnObTLbi1o|$`tB}6hn$ZSQcC&Ib6 z|MXyA<S_CS?_yh=felMVQ>3ifB}FBz60CRX5JE5|V#gKF_mj0qn5}T(K$|Q=hWE=) zxpzb#VQtfxwTJ}P#2%hL!ORS=;zAxo7%}j~i$No2F_Tf2KZ)+Z8lGYqqCL+Mlj;_L zhcT?G5MQ|Dt8_VanNjeYRclNfjfSLE{+zl-+pBOq*R{ytQHY_2l96NaAU=dDkz*O4 zJADp)^)<9=0=CP6wSpwGW^0KUZVhtGP7(*+%1>n580O5&a}po{)er^2=!}OU2M9H} zR6*#uceGYI;Maix5>l@@s#CWw5l*r4F(BVmB(6mxlYoBR#H4}(LzoP}^N%nzY*NXd z#;Zouoin<gx((Kz8kc6+ryCkW(tBolFQ@fO8_d+tYyI%XrC7Y@p&ppO_r=1@I0OH2 zSwR}dUi&_u#D{(=XJC+v=OYyqq0qqgd(=2RQV%)B+NV6X;0nq_rKj7hgjrbO4st!# z?y^~hOe}zMj}nvNJ7<$Oc`;Q_Gh)(pyT0$7BC;4ISEt9M->y?U2`NuxfA{qNBJM4q zs%-PVZ2<x42I-cNknRRSIwTbkNs*SW4T5wEvZW;ilm-!`krI%S?v|34uJ_y<^}g?U z=3U?O&i8!px7M&6{@3ZX_q}G#%yk~u@%x?Yi4u6`Bh2^Wr!&Bdz1m}~Cd%lr|w z#@mUrT}}T}p)qg+wM4IpRasZp)?(`7ozBSztl5w<N|Vo`sXQ0cJ{Jey2keeF&QGVB z*QR=FY_oSEFMd+36OLe+q%P_fDowPnapCMHe<kid$cMhJ#3+U=I^#s!)Li;gkFLGC zoT@)x(#>*rS#jT2bNO*(YvcJgDL1>+Q}!cfgm|pjiEL$Ttd<I`gpg3a_~~ypI_di! z$4kP}HH5$<yo#Y$)bGKeThH`kUW0g4)%U$dTH7DH1jQroPV*$Peu^-WH+ROtXcvwj zCWbqrkpEB;{ZAdyj~2Spvf6xnDL0SqfPN$mDEAT$ex=SQjL!%VKZ=*G;WoFPB-14e z85Dh~|B85}4z_d0cAMF1nepg$5sg8Kn&?gmhuF(1N{Beh5<l36i4uqY=vuil5)&V0 z<UKIs@t7bS`#N<Z7u0boyLro=9W(f4^k7NO!81K$r{Ib&q{DR1dQZ2WwLR2>;?wD4 z-0kTj%#eX-iF{t{$F>vky409<weF3rz+lPVD5zQeST4YuVLQ^fm8V$p_6C)M&G`Eb zn{lg&3RjOkE7ar^l?R`Kh7Y(RT-UYnsB9+}5e0H9E4@&Q8%DkIej1-ZJZ*1Gq!$fT z=+@M5&QQ5dSUFp(PuHz(F0HLM`mCA<SX6hJ^&AXAiEDwMoink~TXT9AE}B$#>ZyBk z-6o|*_!U7_%!Jj+fCR=DiKkySY*J38&;Nh61N|%hBRi0o)T{)gY4I^c!<V(5Vw3%O z?65Fus8IoE81jb=_sPGS_U@eWPnv#>aBz`lB$Sk*x;*WZ{mN!z$fei@K;yA{tmIFF zx6JTV7QWLAv4<`658*ob9`0`hvubQyph58FI0<~ndfT5(O5^p@OCGGuz7QB#HEfu= zyW&Nv1{A^XBQP*r|88gSg+M+8c3}Y`10+HuSJ(rK{WDRQxm>^P0T~islp7Kv&U4xZ z6Xy>;QF%0e?nRhe22Ur5xW0}npOMO_t5&$KjKMa^=62MXT}cOWYEOrJXlXS>Yh4?a zLzL#!KOCF)G^0{Drk}!78flRyIIZW}*w+ju9!ZX8EAKF4Iw(BpkQO0aYT}VBiw4V& z#YKmgf>s67zSebN9Y%_MsEda%la1GUk7kFNpfkFf7TG9Bssu;vch2f|J0|{kYU7O> z@Mzb1gDaO(>O*q!!t)!vn|<ybm3!D>sL-?POvZ!H*1dUE)B3K{o{bGjIy~Ev`Vl*D zh)9Y>i8fd7_9?_+#!EvMuWE~u9+-p)IC$c(nk>1G-a+$`vAE<nOjF3M5c7~)?dPg` zRUP)8w=K8HPc+QSK~rGN_%`9EnmB|gO5NaZ&UR8}2Dy(0Bd200RG$z8(QJ%-e&RG} zi2Qiv9u2f;9Y-6o{-%FDm0X)oTtM6)iu<<GhFJwqi}7mZ%)JAVJlEJy(Zf;exI2yd z*H6iclkYBwkK3M28@*#xzib}f{Wc<`>HRGT<!=9!q^$Q{<dXHW>K2!fqY;C%r}xi# zI`Kk|eRKzTs<4Z1Iu<T`eac(%qX1JXhv*^Rya8vv@gA#0jLnldB5H#V{Ms9pF*%hp z9}Y1Fw97DK<)(!12Q^`gzFk%g74jDS8DN965E&8T4zZ8~k3k<{k3mx~q0k;C6bgdJ zB~uFUxTFCS3I$<8VKxv7IfrRyB*D`Zum?j(71+npnV{mdSR{~VJVEGYqVdS|vVJMM zId&b`1)ag@q}oH+B%y?-HyrynyYURhvuc<F@yV_oeWR_}@M+d1P5)Gj`$ToV)TN!4 zOf$F(JcQgNHu?>MRd0f)AbJnjQ&9NXlV2eCSt0<zJ{4f4-m`+0mx(!C>GFi&F$&U+ z?i(~6wVrt=5}Aa4WAHU6%Is6NGX7#LfNjG^VAz)28(>>GF~msyU_KbkJ%&$DpMz<u z2V!I@b}?6l<tSMBRL6P)c4xRXD2B=d{6HO3_@j_CcoZV(!r-;oct{B#aWEVm^K$}7 z4Cyig4{k*a)ZhWhAO3(8_UwQ6fD}gkuMbF?A^&_pYACt`nC#pAI0X0Qfn&u985G<d zO~1T!3Ys+uv{4!CH|vR4gcf01n*c^=k&RZknc=dZho4(U2$2025vo1^$bRGtfb=H% zaa3QCUJQ3A5{&e6yunDQx}$6<$4>CBr}p-17)K`Rb5VtJWKD#vO!g~|>_G@sn8cuf zNN)LkJ3Pu)jr|atFnvR*i&$9w;5$asCPFyvw+G1Wm1{m1Co5RW7iFazWZ&0DLnXDH zCz&T3`1C(u-;&YQ6S=XXh3OT`FuKmG{~=0RR#zNMn$chr5?=j0gnX8c*NpcDqZyj$ zo#W)zXQiFrmIU~T`<0N~d)}^+EC*>93ZlO_>L-)B(O&KvlHJSrLq=eio^=A1V&1aY zwO<{T_<#YYyh3IF#b*`%dUX3ID^)xVI@RlT1#XSLg*lNT)u-7Dcn-}C08b-j`aBqY zlu9C{bv!ON)bV4h`GdV__^px#*@=w3L3J|{58Dv-cQ<|V83VKl%Hk2$O;RE9Ax`Fx zKo16X=e7Juui?r93vJ07l_3GE09UsH*QzM<Z#n#5Ei2@DHdrp|iQjWn9^D=v<vlJb zsC-#`8+%PdJa?R)tO%fG?m@+Rj)t);?^}USI|Vl7#MzPy7T0&P#5$J;ZDnOd0KZ08 zXEFY869|BU^ws9!K=(7yf^-N_g8?FabrZ}GEYs|869xb~QO;R}5CCnW>?@$nF6X?5 z4}NdWe5sad&;Q0-wMo`F9}i4iGF}!xwabw|o?P(Sj+Ej>>yMOr6(SRShmuQKO$w7Q z3_N>3p3HLJRuPcJd*`pr>p-(WRTW?#OA9C35P-A~^(eh%Ff?SX1$i8J2|mqXR-Xp~ zZvBQ-FwGI?OOrtOZ=}7+B6u{64QTfpi`mz0VrwWfAyPmCgj;~iW3v;tfLe%@?7u*E zxJc|BhXj~*oD9ocqe-NfC`LV_Ke%TBso;CZ-bYOWxVVfA@_a~2kQEfZ6(<Rpg*f1) zY)Xw}6hB;wja0nUZ26$DW_3*G^r2&c8gzcVpc+#*zrUe&wkB3q#M5;s0d>7;insb} zJfqq+qCb1|T1nxv8U0;nQbr{z@6uWO%VNi-dxlMyPCf@tHAZ!#MhwL|E<=#+`Jv6t zuF7CThkeMYENCKuUZjgBUK7bNa2su7SujO9Jvl9|iG${c51p{F+ZCvZ-=UnWH}WYi zZqS=bNw-}?n&N8?K6JBpV|pcPP|h@Sg%J7Ngseqxi@&ajuMIU_>RsQP=QN%<!ik{X z{c>vMTdiK#1m2&M?i4U8%{d-^?{6$Gcv+7mQDAe&q(+f^BO^M)$9ll~RH%I#a;`a3 zOGc(@WMtMTM17*W@;VyDWIb)oDmZQHL?#LGaInE?6ODUmYDn1av)7i*Grq)Px}%G! zgP81&TfmIuFZk^B<ZkWe*?xYPjk%!>&N+1B0ORL<b~*6>T20Q5-{W>imlD<J7r*9m z|4{i>9v)XE<}-1#i+y;?!IE7B2z3TPp<8ALj@`W`;MldXgHaDU7=;1H&YK^1T9q1H zvth@i>Voc&Vv<A68gcLto&2E^vY6_csO?&w98xNf#YZUIH?_+Om+u^<7C7R##_?_9 zP1>!phJL0kbmL;iwd}wPCKP8Pti&X-BISsAc8ezJ5$S`d8vS(CfX_p=-&>xW6eHrj z>Q9S+a{R=+DN4GBnh@u>?Wbva%yaJ@O@^A<EyRggOUrjOd`ie3`m73_no@TY(7%=5 zj|qSKT$YVUSuN-Jr;f>6$QxbIXlgum=%Fzt*=<LMnE|Do{e4bO(RP7^US>q6j~m49 zuF2r12K-DAAI%<Uhu0*RLrI{b`#3idUO^+>fs$w3i{Xf0Bp`r><mB7)ApM(OkF+87 z!=Qu-97<~K;_C^?5c>J=GxuY5h|rk4tk}1I*cr_RoF2dOImdyDPT)L7^aU9jDcq;f zgi{Pth+4S*3qrkejO)jY`u29xav%v1-!!@N%tcXtXA9RKJ%l*_C<0yA;ODDHbQRfD zsMJ(C+&WqfjHcF<Rkxe!L&F>$h-zMzzCYCA&e8F#!Dma-jpzutn_S9TrN`aI%FchY zeCl57&0^VQlY%g5Xhf-XAJFcYbhx9QN3(H~Lh|W)fp}N_42X9wIuQXln4F~fncZMy z02`U;Mg&B!bCBlKeFdWvrvNSy<vd{2CBTfjSHP&_TT0@BpnZHy;eFqwLyzbl2dU{$ zEO|sg09~--wp0?MsShyQNX6PSn)H9{)76iZPf|^7?NlZLjm}psy;2<lJUa1<>ESS* ziM>@MLe?JVnf4j=2aXn};y!fJt%;lGM>XzBJvPg^(SpFEo1%s+I{;Je{AVIE(C97~ zwsbgH%BRxB1K(sSyZN0_egkgY<v=d_{rFX?n1;Ojnie9GKFX3noI^Z*iVZHJ4!T?_ zby~GvqL`PWnu$Op4Zk$N|Ekc=OYVuw)y^~cebri_@nf56&~aekfe%G~lO5sJ&`7p; z(q?YHHR}}bx@vU}y`avzaeOdR6a29K7nNL+nPkXg_FziW$gUMel1j%@Dw0th=(|_m zU7eQO!<s`h{9VE!{cZE10YRPP@cyG-$b0q`Jtc}NrZ4esBW-$Ulc(UfJZbL&MmuA* znuyWic7u6i@RkiHH^JR>vJf#o+FH|rT*ZIq@NB<3kmo4PFoiE3w>u5uzC*Wncs*Tt zp+huD{|TSet%uo(53|Q)Lw`Pgd!a=sbxz4P4YnDkw~^ah!yR{WoOaquiPg^e^ijfF zpH%GBx1!s#N9n~i6F#jrE=}+w*wA8g`9VfEtVNEs>_zxQt*vr2OCi7h!8@T#XHh7* zIi?PB?@#6^bm-12OhE`Gg&I)e1k+ag8?XLEcs%Ai2Q<AK2@-M6qCj}NU2@O&E|BQx zuXjO^H^3EKokwwJuaAL$N6K0v?=OUIMszc)h4@(ApK;fCUNmjh-*kWY?a2wy<aoUu zPO0FxZ#p1C>9G?=vaC$;FZTjJA}Rh|UxSd-f0DXyL#yI(Y_!}R<i)`E2nsAt2Vcc6 zL~s<`VJO<x8t8S;OMa9dfKSBh?A-(29d5?i!z1`t=?nP0r{i{#W~2yy52o(2YXN^r zO7CFSL3AJh9a>V1NeF=}e-nq`uM>6hhlA_R1#f(g@IHe#j+kpb<9$dwx!~FYL&Ua> zSixs^Uk=MS{y5iIijbIku|##og#%ym$h$O!c#=v`*OeS?1Cz!l*AU|AW6Jgt6z`a? z-6W7CRo0a1tY*eiOcc&WQKt%sr)_?tk>eS2trJ9^q1Mf~#E}0x5$As<oZqJiHQpq< z4Z~SR9<aExDTr0W48T)Sh4$*sTL6RovIKuqH1%G3u3KA<xjaDtvsL%QFdH$zY&Vk; z8<>}-Q>PJKJ!AB7Sq?Y%iAzQT?qbj_O;3TL7c{3I<0q1}jsmn}4Wk;N92&Te!2$_# zd6VNKu6lMRvuF!3Hcd&3x~sMRK}wx?L#EcL_RH|?PZa%o0vB4CK29xhtM4u&(i13J zi<;V5+8>LTN1dQ7GP_cUD+=W-v^uNCWToMH4W0*jCzvXwvC#GEarB-Y2MeC57g&jt zwpU3~$rqjJcSVvfe}A=K{GoN=*m+XWSx_qTz2`O67N^&lZAE#oS7EMll;Fh}f$m_3 z7RgZ3QR18(eL^^o$3@0gfbLLW;_Qml;ah9y`gKfFwrg=~AMKp-<`?aHrmpRk-MiLU zi}?-Bs{0+vpgC>B%s}gnmmvD9uvoN?5DJau!6HH5(ySox{wwXv3evt#aatM}AnltJ z{U`1FP-rCWQ}UY{?+r86sm68wA}{Ag=&T?h?<%LMir0h8l+4ZeY6yya4Qp7pAJ_!w zoZ=x&zOP`AV96!HOMd$)<{tfG=u{KhGl?zLX5{1%57t;WFQ1Oyj()~&P5u17p_bEo zRGpC*d?zgV`}+bE<|-qnj82v1xZN^hH0m$4Svpu{@xAuHafS}?w>T<INvOv>WehRE ztCh#bTQtCMibS8kDbDiM;jWCP@}6O7|K>?cr|vz)zH-HpR#|*q3gaWB@C7Yt_8!59 z|4*;Du>L=K#TB=~uan`2@hQ?m;=J7NtUPG4=hiO^@wbEs`5&?n>255Q$K+)qjnN!T zEC*k@Ls@)&MNyk&LbC!u6!n?QnMbAM19PRnB~evhL;i}QE)xAgP5;d|T!s4Csv2vw zA8_xvl<(CP+e`31ZY@gR-jIhRt_-+~r!5qQgis-r$)j@Cjs;#BhHbzwOt#gB6Q+xT zT#lQyV|lPEX17+b_1w!{^4BXTZcH`r4?+>QF-ldih&k+R`Z4JHs$>?l{dz>0sUGgm z*6>8ys-?*<ETXC4eojBfrm^D?4-s=Z`AG7uFBW%mf<h(ml%JkpuD-iLw#q)7;~$tt zf?em|W13<E5vbD`ay|6NCh2uS*N2pf1D}v%pQJw5sC*#pB*-bref>#;r5seR0plU0 zN6Ce(Zu*mkHp~lLVh;8pH!`*?Wjy+7cii{{#$?jl5F6wVvFq!5Vwd9h3Gbk9qR@pw zob5LuBksxKdP%ng@3YS5AMMkRFQ*{ykO%K&)jfc{hjM-h-a{EBz}`c>Vg`1eeFMzS z+aqk*!mGcYo+eWKZXv6H`mUJ>hkc`5%P9di;48G`fUmrPy^R`$y^V5!VHjc9+o&{v zVS->@9uo5!mNzZoF^Y7p#NnH1$*+>u9Tz_Xpc#!AHz6VSX%;frZZ^GgQp~Q}S~e~I zxy+&4khw({D{w0FFJVoFuB)&nKRm1{C^(Ac8-zU#i%GJL=05o<V1&U-OyP?vA(Kl0 zV=)Q7eZ1fIaDeE>biJv!4=oBfaY2l-Ak`_FDQVioqPWfD4C!0~%*BL-OvSYRreAUC zmvI6C@b2jQBRDW2hXIrQ8@v{&XWU?#_82y`5r9pX(Rf{jYXMp@gRj(t&BoAqEhzKv zfR)%5@RfGIfs3vqrL3v-0^G_wkOja6&Rfz{u_3-eEI;Nx-+4vECy_0@*VBL&|1r8G zo%HaK@aT~GSl%n-%!L+@B#5;zeRM{omsNe7>N&*F?<$yicG$y?5&tpx$e4r!=ycys zI<D33t$M%RphfE2zrr9+*S7ExVHgB~&IliOKMq;;JQo3$(sUt$J9?16#WdspHKsY@ z^sg~Z7j<|{Q#R~xG0k0;e~oFb{UJC1E~eS%ZCEi{K6vy#0pFMu(z<?mx<7QDx$B8q zAREAm2KknR;-+Wd432R}ydbiLK@Neh83rFe)?;aTBkASU?_g;i+nTnXg?P-JlpD~v zR;=1btGj$68tQvt48X%{<BpLEqH_7;6nw=MZgzkTyo(ms$2$S7ux_bXYDUoli}Va& zi#~uw7LOHH@R`A?i>zM>$DJF7CD|Rp-P-qeyMtf#vOEps;Di}^M6AcE;P-cifgC8X z-><PATQqkr6EaIfH$jT>*po3`_@SBPaSE&KiZr>3I9nz7T+dfo09Pa(K}BeB$sjXS z#!kSl!!pbCM<T5Z6RVe${T*erEA;dh3I;C_Q%D7q95KEvgmVIg4xzhoM|ly2cSREo zU;_mn2ib*$gmw~s03QO9{7uO!eMRdr|8NPp`5ieVfGip<W~<uRgQL`T1{h>>kCf0* zv7b&REgwMwMZsP4cpUC85c9X^_J;lyWGqXD*?Y0=y#DeyPpey>)Hli9+i1qz2QNpe zAF;ZAP9gr}C^`G3v342T?O|~}j+pzXxJbp|Y59CWVx;eZdyi1$_goC~1FA>o9U+$N zm^akk^G2hj4J{|WA8qbL*`vIRDfSb>^+C-xsc$unlau?+S;+;_d+|vcn<*{rl#Sye znG~6%EXkbO8iOT=y2BoR-MC}c=UX$jIQNolzU{A>H?!74En3!lj2o)I>I}CYtXcdY zhX*15lj*_#AUp{9pGXgGaeeLHu4a}i7IoDR^9_v8<V2ch0NJI`34%wFrIU}Ru-tTz z0Ji9O2av{hCV(__&;Zh414x4e%^$=CCqS@JYYhAwHO#=uxRN{WY)}(?Typ5_XG~OR zWG*HK0x}fW4O1O@YYc~)E1v;9xMdF4vLEFi5aT}D|80m7+!_Br0WqkMaz~P(v=cZa z*E{Pkf}va4xObo{v6z^M`bSXV_n7n;j3g1-|1V;NJfu4N1+>02eugw(I6FL_5wM0; zyS%~t9+jT|3_A=GeB-|42fbFy`&HjEi?0*BW8B}C*-~n=UaK^V82bG5js;FB(ZcI4 zy;oJYNlhy@$Rfs&yjow2LaaWJ=D&0SltRZ4fQ!`ofMq;jA<ZwV2BS2)t6X6-ju^lj z+@HWm95#Xz4+=K0suGN*ZGRJw)7xOxM=<gQqbuU^QI}iC{0Sf)V&lJw$Dm<{-m64* zQqz5N@(9Q;<nfHr)DWj3J3{BPJuFtZ&69q+Ct)J%RP2I(06>nd)v$dc%j)HYE!#rD za<Oy{DPzZ+Q}K(Sad7c)HE9=IJY3kCXBR3@P3OQj2H`-7i=H`vCQeu1Z~Yy4a4Z;U z<cLUKV6Vctg<|y&aP?2Fki-Ch3qu-6cLs<K`c*r5-XkqcoPNW0;AexHr<9=^zlt3r z!DOUqaVlXrQJblJ2swLq1a5S``gjRia})CpLOAsG5{3!VS1s?#vn32=B$NzvU8IN% zs2>8Rq*XUaimkr>9S<AaQ;6vBnp};5<;P&&#c3__k3E{UoJLN?Pj0!DeyLPu#K4^J z0*b``oBrntpWG@d{idjRAHw#4hr}f_0nF=}<-pN6pGG|JiZO(V8SpVQ|0BkG$ob_D zpAGv7!QIQ#8DqSkDu>udRBU5W8$L`*b^=wrIIf<JbfY}qhNlQeREVE=h1a!SY)Qlp z6396U;%M@7J$zHRutM)+A-MQ8X3)W<-(q+p-TJNC#I-2q2@QqU%g@?of=}(Y#J3V= z#C<2l3dGN9C+=DosngcApSZ~AF+q;C*S{T<dVUQ!yVd^hBWV1=adf{TXj9XW>AKT{ zrI~c`Gn4XTSbNv&lpc(I^5VHOT~sDwN6r#<d9Qd$#m13|?&jw1tyd=;(MAuA)apmZ zwGwad?x-pFzhy|S_!!td&V{^k*31N9P-tk^4Ep+}Zyf49>j^y^KNJyDT$K5_vhw15 z$>ryOYv2fT@=G#tUk%IGzIBkJ%*j@pZTSR)FCSLTdZ(SJT#a3gw@UE)hID+~f0j!G z3%(twYR`*M_B&0luX{|H_ar@<prJOhc4O;l#F!1}+segyUS>r-|7t<|qfy5aYlu<( zUi#yeIQleY%L^V~11G{7l=K{m@Z(aKK>-^1(uM7Nop75X5SnU@nGSg~v$gv8ddH-T z7=zQuzF$kvKJ#gihWOup*iVbpQ{3K-qlxz&L<!BuV>Q=~2bmbTG~X$%H_=m{yX&Qw zI#G_4v2-gpQ|C>u&{Of@fj;`@tDd-A4oeTczS}+D+^vAMTyer$u4vg_ap@_1RDD|$ zjp#gQ2B}njqefap>^Q!4pY9IB16G;*u~dis^>J<gnaAWG#-nK*@`qoZWv3Vg+9Z6J zz7-Y2<ciAl^}JRDi^Rrg`JKY_#y+D2lRQ*V$-GcnmtafYgIVCj_otT5`ntVIJfGnf z^vhJwb6%YNYncrBnlFjue(!_7fPHW}Gvv!#eA&VqMw-_@4L*N}g6xP%O=KaMMa!CV zTr_Is=3%y!&&;@@|F-KfUFhv7&NKhk9hMAYOJx%IZVE%<IJt+8-X^4MQEF%vg2Oh# zsuX-8&icwXU;=3Pl>qvti*Il~kMvE~<8SSp*vem-R8_tj$bH70DCm;1Ezf~qqHMf% zZ&2vLl%lcTtfksc28lp~ObgY)Z^a(b=gzv;ooBMY!hlkRO|Bc{*pz##!!FR47I+wN zQD4Xl+2AtwQfi~>+uWDrscxt}PK_<E!OeKL%2!@Orn%Z~X1mw<2b9|uO#hh%^z}U` z6N7xBG`%mBb_R1zsUaQ>FxS-P6nI6Oc8AAGY5aDu_NZOX-O|nP>)i)N55Dg>*F<VF z&q^0%jj3HS(_H4!j<gS?7cG>YJcYZazQ8s8t(r!8{UG?eYU=)9RZ}gvYkCP>)83kz z^oHpiR;3<&9kmkv=l1m4WLe%iH1Ag=`9scKFSn_;xZkR|#aW4xzi@MBc65%>RCxA2 zN@9!HD&J-mYIxC_d$}PlE7TnV#k9k`DVF;4#%gtwrhi+OBNZ14vUP8h$HuvKPJ$p! zz}EMX=IRTW$YCMBN&J>d8YA|;fJoi($;C&!+pg!VwdYV1J4`|jkoKE$A)JidpL`)O zQC98iMu~iADdslUeYdb^#!%kkxn1-Nfd`dW7aX8jc9`Li-$}cmJ1_8SxJdW|;YmBH zW8}D!tE3%5Vy!`X7p!!tjaZD#zm1Zt7}0rJYG?K(>P+er8?RkaVP&fR>x36S+^#i$ zpAQ(N&$aiW#fDiE-Tewx_B^1}aN;aH@bu#f-JBNbnI1}d$LupwZ`y$HzS6JIkx>jX z$ctgEVG)}8QXdt94V%*WNlAhm!hPH96o+GIc1C?ilSfvkBis4IJ#Qbnwj92k5(~K2 z9+m$NbTZMvI+<K+7#V{15E*1*4!m>(h1QA?xqdR>ZfvgZGYVEo_)5>$_1p+O6u!<d zy^bH_UaTcKUuTB^1}I=a1_sZFz+@8;vZnJ4LVOTZ>+?SEC&Y)o7{g$N1or+&z)87V zQoM7rsy=(TINdZdB2?x*9E5ncX{yn0K7BIzbcSy_he>JRy4G9i`Y_l|zK1k)=5-Iw zp`Fip$U(m&!ynTWFZ9p0UUvTQI!M~7fuvnC*w({$^)Fz%`X8`e{Q=n3qj@3OLq-E( z+xpM2ZM_L>TVDd(){nxr^*><S`V;uJzVY|A9?1)d*676h3F!Z%e<JYmfbI!X=HHTb zm~+39b~1l9`bhQ%T41BUcMYbV-uKhIb8VYZ-*w_NsF?esqX#l1TPUofiQ|}5*xgNo zHuA-DpOiN5g$ha2#qH!X%v9B?yqGrWRa8||@k^S%n?kfS5;9DJ9IV-;>e7J&uY2jy zdGD%feCh3Dfrg_$VT;e4<NejhB<2X~Ucz<-H%;_u){H#Pr1Eboh%*lAe?6}CBU74; zXCe~F6%d$R(H%7MdOQq1tcizt7#|TMGxGKJ_;OtD)POJW^}7WqZz`<?l}<sUCcHWP z;_zvj6_}2NgYUX(w#%bzf<r>Asjsmo^PXqxELrkNG~NNv!bKY5<pura3LYKAP@&}o zGB8blY^7QfF>m0(clr?c=t@4_z?+8{R$VPZnN3w&zP*9Rea&zSOh7FX_N<}w?D`M9 ztGpd-LOg58HwPwVej!j4+4DsNjmywRdf-+thht!Xjwa>C8veGCF?vv~lyEiqm9?W^ zM6AXR!@yWAV1~rf4JEoE#}${$D<C@`6Os@Q{^?|r2PwTFBKRcH&n-0xw&wbvsURqO z!V5W7yZ*&Pa?fSxaj)h=zCq`9r&ZOzr0p#KC2hyJUTcCqR93OiuCjymDC49}KzRvL z8OgUO{}p58(As}=D5pUzZ_VlUdt?${$K4lgXRvJDYv3KktD|!a%r9a@_QIldLSFG| zkyRhPGnxpQjIG!T0RTWB&+=B~%AUn%R;}+llmBHW%xgF_;e#bbnJ?|dfsCM^HM>g( z7Su{J&e*`yc1@60$rSGlAwR|X1(T&`=!D47O^4kVyZegbE>&Orc7?P<o@3Yw9!r06 zVKg4ervTq&xCMtZe2xK=BV3yfoAR@B%N;Rfu2E)?unTisp9qGg#LzvysxvBlOl?FX z9TxICZ|CDKgvvt4|BC?xlNiU~KZYPB`7e-Gu6et^yUs$(pG_*5*&j~Lj&>UQ<T(cU z+d!mtUc2+7ZSL!u(l%Fn`%oNCG8)Df6n><3K0BeG+k_ITpJxdna6RF{o~S5obUZFO z++=vs-8`_YfYz_k-H2Z;rQ%RV4n6^f0a~(x`KIQ1jh!?!xlixNoTp#NlPF`Q-pQ3K z!nu*sjrrAU)1iHT&1<cx`C#nb+i7D$>aR9G!*ieYJmF1ehx#305J7^dbogIy-Fdu{ zp$2>BAE<ih?UR786ufVrvDS?Bd00%lbu4X5xJ4)%ydCST$=AjnfxYGD`sZ7I0oYsq zpxVu!8_9dHxBSI+{G4~E_cn%(JuCDLZ8=}&+qh^ShL-OYUc4BYk1O0X;-eGMFV?(- z>?_sYP59Ckg89v??qX*VGq-VmzI%<(Lu`}V>_K|UT=I!Z*|B9|K*!nA#*y}Udw!%q zK4`2j-y7H)k+3UwsXia+IL@i>rcS2rE%H5TU=}}-Io)V5K6fZPK23+5*ay>l`TCQv z33TKSoqSEO9XwiKeC9vkG+p)Jf!7)nWXo`92|ITlgL7rWqN1ClXXI02eNFGw*Y2r) zH){LcqfQZL=9Xko@?$Lez#oTM!Df#t#qC-?L9#DarT~+D8CjgfQ|?2ft-YZg2H`Z1 zXcN1j5jhsmrP40VpIMSDC)_lu-{Q)%s>Be6e@P8|F*oehRPp9E5~=BGsl*DUVH^LD z?SsH;c+))}L$_U7l>#gGWa(;C1N)&|M;M$?!$=$wC|Wb7FtjKVhx#^a=t|6MY)4|- z&oQ5u)g6_pCBeUXG#E{;ca1tt4xPkfX68?P4UILi4}s3w<I-Wj{#!{<7Q7_r5wu4F z7x(t9L$NwD1LMn+ug~(7k0a=4EGO*zEi0dZpQqr*)}N`e*kE!hrB<9C>Su&Qf`9>i zZG@AEKa!juh#(}kRH*iZ%`$@nm17ke6pcf5t8UunA~Gf!q9xU)%aHOTqoT3A#>1cK za1Z131oD<eXKoES{bm-_iv^1bYIA^tg|GreKE<F%B{saHQ)eCub(F%jgeeKake8cF zQ~KoIG<GAsJM9ars}iB0AgJw6K~V6gL4muP?-bADEx8NU^qaT+uetiuG%&1p6KNB= zJk10<`NJV0)Ye|YD|>;0u;{}IMw6`dy;R>7pAJ2h^MnYQifyoQm$7LT{LZbzxT)Bd zG46`h_QSvKaRf;9j7Mm9RKIl0`@NIz2ri6|(AJ*<qu)FE)!;PH^OHCUM!$FR9jMp| z5!z!DVDx(@-_d^lDngqSHu}AjkHeV&oBOg4j0@_&ck;^OYoMm6^DFpT@SS{zRBZEW z@4kl}dLzx8EU35V1O&tDsLsDGhm|iKZ2LwxbbkPyKwj04eOgJkj*q+^-0rdI%~k5t zP1&iBZpiouF8WmGc!P_7<c07S-T0l&=!R!iU_0(xz2+rHy7i|asH+NG#Exl@sfO45 zKsaDm5st$Zfv$iw_+t2Wr#s0P<7dn)<zjD&Qj9dQhn4NsiM=Gj#kYOnBI=iP0#26l z^YkKHBaOCGiHrN*K#{m`F5L~|o<85vy{6b{vL#<2Rb>BA=inAJ>w}W~`sGiF2)e}y zV|ywm%4K8klbKDK_~_1Oni{*R5Ya3J4{f=N0Ba&=N~e^ds3hCreNh)W=Ash*OaEwn z;WLe^2*wZn3S>&_P^81_iMyNQH*foUQG?&KLvSiuHr5>hLOM}bI$O_3IJy|bw~wgN z_S?Oug8n_0=*~r2eO;0R%1m5&To8Wd0<^N&$owL0HtvaNqI|oKp+RH}3){EShuI6D zQD{i<TpQR6YQ*+sAvvY5o(H#vH~-Zr!~mBUOvB~i^1?ac)03)SeM03WdcB}eNFq}q z+RQs#p76<#+ikw(bZlrhDW(oeb0Sr%1_IPR4@Vd>IHw#9e#e@;`jaMMg9O{sQ@!LY zF&m5-t_p?blyT4#F44!qpZHhef<q1}*}oGPQdaTW)(VyO_k(%F9Ne8wsd|12rmX&N z#0B6jKpTy4993{EJwMR?+%E^8h>M5nB{-0EVxA2Dv;?tXa0^N4V~=U@;SBNV7}PyK zX-tsCLeeU$2M&)kKZ6fC({rT<t7u>?LvzYdH6I+J`w+o_fI#!gE%3M4;%$k+s%<@~ z({1`d@ENqAXD9&l3@yNWhE{?-^<M0pJygAAduxME2$$B!i#J2DZ3Dy$BP9*!{Sr7f z`c(2iNPm6;eRL0plF>AV+w7)bryh><8&L$7=!sr>tu|q0#3dGmF>oe;GAVg&21_q= z#2kkX0_$E!z;3@ueq)ZbcPzr?xE$Bi`D4&kN0Gm2M5JT?uZ|*$zdDMd{?<`s5aYO& z(Ml1;Mw8#jwJBEi8df!Q+W}TJbfqGI#eY-;3$XatFM==%B?JLAMrpy1Bc>OkEHO_- zt(=>=Hm8=^AEl#m@gw^N#Cy4cW};Oo3;*oNnvn<KKM6AS7%&8>oeimG<d;rczV-;G zVj*Q5!sKSZay?}j;G4oZmHIO5Zd>e+8c-u0V4nvM2DMd@Hvi&S+Ov?Ghx3Oiw5*oc zH4;`y<aeT;T^r^Se0*;mm-fqFX`e?R?Zcx$O1Atue(EQ&lD^t~6c(A(n0sTXw5^)M zIXAO0_oZ){SK@$mAJ`R0*C0h2nanlEbO~i?#{?R#k@#|BsZK4L!vXmrWl!UoJ2&&9 zvd*p*i%3U9t}BoFUJEBG`0V`O;$B_aC3h6IN`0qrhwu3gRe$g)pxUEp=-Bx;&GlQ6 zAro07`_Uuct27y+FC(m<9&a36>}^g}a$a8ioWn_;0qskWPiRZmjq_&QRaP5>AC}7Q zAo{#4{2-Zcd0XD=Oh&P>G9)=TIC<vwKA&soV%z2ClO509y5aYoL$M#yVje4@2|Tr> zO|CGd>kExmQ;6}!na50&Hz%QX-P(0rJ6D~M-i-g?!rM?A<vSj=O=hm8iS(UwZE(2w z5TvdHQY1dpLnbyMv#1|E!87s}<amhLh%(slJP*62K1#XxzE-}Vj@p-mnxIsLL$&o} z%NcFz-=wTN=VW`Oy$jnB*9I?YQ3&5*{X*5)AmT2D{hrsvV~XC)*u$f@io~Yv8l+#E z5leg0E0DuL_}k3HqP*YAnz9G2GZTrRn4;LKY+sQ>{^xG$WuIC)#g3Wq9(Cx@%dAne zQ{E-LuhFL26s;&zOf2orwXb{doCf#W)vD9qM2p*Y@L+x6N$BE%c#seD#)N~gHU;q) z0%S4?+g86e4KMTa+qRDU=~2l&aSZQS)8_(fp#5>UBoL2p3nddFOY_P`(+57PrAfxb zDTAxSG$sEon*G8aQL!%jex*yC3=xytvrUxOZsj+w?QPy?dQ)*-irF;Km$E1vkKx(K ze#{rc5hQ$(k*pu&caQ(}Q}Lrl+R;eNMos6M?bd60)iLO!B+!N<f@_fKg5HOGl2R^f zErumV_qqrb4@n@&s&`VQZ)#%>rmJ+pbd?)Q=9t8w$9{D)>zbk97(2m=*4+o;`)8z^ zo;FWiSSfFQW+%!@ZcUv!tc=X(Zg?R8a@ta}I_yIy_k`-O$0E9Y5Cx^v^uVdYJ0Pd+ z49jWLc~nA3gw8=wd!Ef@TZL*fjnkQa;K1gCfu~D+@Y}2{d5OZck7o~VnzM(UzIFN# zN%3j$JoLHEFd(jca`6Ydg~m6TxNZn`fnNH(Er8B2l7uvrS`~_f?`0I8kcSOh-#_%l zX&`=Z3(tvtI3VQnMN;x;ZjGk;9)a9dH@%edTnZizQJZVE)JO>~zJLuIwVhMb5H`lT zQrH=BpS~<5TE$#=52fuJ=Oe??)QMp~z9ku**<s~xuoC(YpoBgV`Kp9|;yi>6T8e^6 z3|aZFUG)8}A=PsHag{2u-IImJX0L127VUP2!CP|g`%%lo&`Fc-27#91umhvR7>uV9 z(ag1Vx=bWS8@;8jKhy&&H*;Q83S3@)Vb7>QJEw$E@|GsKSmi-vSD}~^?RepKlDvpb zRSRYRhFII;t7~2m)aVPf%Pd_M>Euk8`E3igJy5c0M=nPnuxl6M%BgEiARq(9N_sNU zl@h538QbjbaQ80Y&-C=$XL^7=@F|=n)S9_pLc(i|-ypNQ97-QwAZpx^Hu>H8J;ikQ ze1ewmWAavWY2UM@b69a$`Nru)a!t+DGUtR<JuH>p1!?%Q@IJX%;6*7FwS8r2n5y9y z7v9TNYUD*~zQvQHquh1(f(zng_o46KmUGLDDzlR<PpNgV+|=yoB{ZJ82v{#gTQ7|z z*0qLz87>gv5<jAUkh-zE(z-M--q&NN<&{)<gZ~F-df}Y-NF_m@Q?+lAb%zD_g>rJg z{&xF45SL#cLfzg7q@89^PdM^$JKP(YxL;_t$d=EfXJ2VYuZ>&=kRs0dAEao(oxXXi z-<=<Qt66(~g*H3pyYrBHMsrSu_QDZo%~`nG<%Ca&Q_`~>c#O=OqBmEE8waEI_<Ylc z-C6`kVC#hL?K+AQGFfz6zZD+g3M5X^LVOupQpG|U!RxZi*go-V&a<twR>iaf#UkA_ z-NbP{`h?)}WM}Y%<oN8-8gZ-Rl=Y|}oINVa)j)`*e_lXC#Uqy&JVsfO;F<Pm411kd zaK<=;;J*1edH*d8J~mY%rqyg)ynVOsHWI7<Y}|CNJ4tI~3%Mw3HW>*@la5KrHZ&=B zxYa2)Ax2CU<I^h_?LW&ueK^aHLMA?ant=Lz!hI&-L7n>0xkv?F1=KtpQyRiJq4dr_ zGow4)PUStVaz=~Y*B4l{gE%XCSqu%s6bT*mZEbFMXh4!Z5}stAytqpTvx#1OyRwPm z?i^>j>MdtAFXE|4&h-|F;_+WKg>l}VgV{u0_BYTD>jDA-Pp2)VKKt0m)BF=)LI*}M zsFeNzFG&~vExdH_rv`=cB9Hkf+%CBqOBbwJRhEera$L}ZrmC?z=HmMwfvh_+DO5%b z(-4A>_;<gkybM!PN2GasV(Z{RyD#bxht)yHxVZ`*PKq3WlGUq^CWC7tXC`h};O<M< zOfiETe5<9c)g!_wEX<JJJbo8_;>T6lA&_^i4VYmw`ew9`K#x2T2FGeE5r<2P2Pr0- zNI3JGCojU8K3Lhle{Mub37Oz5JbkhHUWO<}ZYqhjc~-mQn^vx!p8M=hz>kbc^5--= z7q}FFP2vUsG#Swd(4@j6fF>CMnnWQ0XtEg%AWQ^gfG}eay^xI6{p<a4x1!rh1#o#{ z49X;E+NUK$pyI~kvDxPe<B{2Q3ge-9K2~+lWcJ`8Fo;Z`K}ymqyZvzWrijU<HN=}7 z-0A`W^O;l0s8&y+H!~6ApCkBjZk0?-3wXcUN$k2!)2$);aZ^xMX02*a?gcM#n5iS# zUgQX3WGn{J%k&Jl%xV~=<f8&pSRvS#PngG~o=EwCNEQg`ICn(+29@OiRGu0^4DTzL zE~3Y!f@s$@??|~}j#9uBKX$=x@>SIUFhzKIJeoJGQohUu1~9Rz0Kl9V^>6F5G~MRQ z;a`TYtv3W~V+$osb>4-5I~@FkPhDa4^DtI<P%s3F<{$XOKCT<R!jOA`7cM42=%J`g z63y||4kom(h_vtg5|a*Y{w*p`MY}ulmhYjS`jhjPi)jxX|8G>ZM<a|v@JZn1^e1!J z<YMHlD12geZTi@`9!!w>h$O+p@_g~yG~@O99x6~G|3U^nF}sR`QPDy$`u6W$Ekc-n zK?hre6XG5!IjD9EcRw9nR2p=AC0CWa0ZsH*4f0~r9B*z1RF%Z^gk{o#9y8rL$HHEL z_a#XP9ZjVEbc1;XDoc*gUQN*4N8Z8K$V!R@WtIEl`&V6p<R9t^rTPgK1Txg`$N%jc z`Qs;J(gf!A^&abKN%FDN92<p3DaC4~H#&^@Ke|NIyF5qIAfgn=JgvMHJ}l+lL^O<z zcw$v;#6atn3MR*{O|N>vq~#NsoIbyW!S{%dk+hs4^dvS=R9Zm)gK8E=5uqz?UvB3< z9h%4F2YqJ>Re||v>Ou(Kb;s`(d)7Wxl-f+Th-|j6xvwQ~{M2<;kz{&$T+wHE?QtE_ z0S}*TWB-`tMsZW>GO^(yHgh5L^Gg?_>qJWzmx?<FhrAmTqnT(wI0Hb3{Qbv|pf0Dh zh(39D^Z5hxXUgtp_<f`r6p&(cCN~wij%jY0Q_@~H?z&_Eoe_Tr0ff~JB~?tdUj3GK z$H>wHSX0>$2_^ynpJizv`xQL_vR`agu<Td8dXOeq5)4~XO@c`Rmgo@uDl>bv|9rv^ zHUO^Fci>9J_hQ(MgL5*H3X2z$u|Rt~J!e%b3c#-xbuYKv0dAFcZ^GgdJcdY@&%W|r zlYEb`^z8i<a%J)CB1X5K?yk$yDZr~~b@<aZ&*Sb?jb-CIuHfd-2d%P%G~MOuZ`6qJ zic)l^N7a<Ip#kk?U#fE7238u<$hEX__cxYCc->NTkGl>o!opt*RNna0-~0dxh1bRB zCI<@80B8#DGlOj6-J07#R6r}0>TcwUoW9ogvLrq4R#la;q9Bl`sP5t$g~=<1Ty>h6 z3Vg;`7DNlcSOzRMm~V58Y|h*+J8-nbVm7Z$Op?BqIWiuXatM<xgM9EhG$t1RaX`|v zDcNb&_e_p37prIN#_k`7s*}@fD|#W=`>UD{leHnb<<@*Bxr;smFRkQzi$3<0TXYNz zg|K;i?lOd&LLxDu)|{4>*W*Se_Sc3E*gVSLE2TUows#ePossk9ZO;aM`aa}@+Av7W z8M~N&yN#=p;418uHIiRGbix3_UR|}x*e1rs^&=zcg+;1r{QIFpNpsEZ$%i#rigeb6 zV+UhP=A2#{-glnjco4LAUJju?PH(8&O%D-UOq}lb{`e>6WzIF{F%6G-oxFv|ylNPT zHh&S{9!UrwzQJTGGt!o1yf+>k-go)oRlkm#Td~Xx>s-@`^?_1jVUa?1s|PCWd&*zA zl#2Zn`0wG}|4F(F`48~!|0Lbj7EaR-v-AG&e0AQB9PnKvySx5KcAX^x_tbi#<`0}L zP1!2-+?rD>jOl8{i0%QZdkm;90~y|QS_a+ci2(^&cQ3mafc-wio!j^sd&A!HDeu2( zU1&%jU*SQyW--*EtGSrB@EEJ_WN!=IpM1&D_Y$Pit{FgS@-XX=QnYoUvw4{3$oWPY zw*{d39+=)Jz5|B;AwoqpEnr6O_|>s~`#tm8uq^3U%B_4Y_ONsoL?T>vogE?Ohfn%R zo`(*;^i&pad}mgW<Ezv*TK$CNxeo!{gd*Z)BMoLH1xYW|gD@`7J}VxG4l=q@TSjN# zRl_>AZsy({9l3ZgTI=|g{`%5>7gm(M2+$}Qh;_kKsE%NMFp6COqiV-16{<u278v!< zgOLFk!BnU?95z@UtOWqn{kba@N?Dwv%B&y^9U37s6i6P?Aq9Z})Ym4UVcl0<6FbeT zZ<g)A6K`f+-wtQ%@MuCA0MmxtUZy<31u<%f{nOUOjpLvGNJN&kTMPDiz>D%p7ngc7 zA93h=W?0K;`EhSR9`XL)`Dq*E@BB3U;2-=H9U=$$8$UJQ{|7&fGuFh``SP2ezS#N) zKQ%M{J3oDL@_))twP5@d;8O+<7YjdeEh58UirkE>t9HfUaCp0-X#zH6Fli-nFCLoi zjmZ!3*hPzI$h9xNmC|&$_#-<baOG%eBVRYMf$7MMOznGr=_?(2GxTt0Hj-8MOj*wg zO^CL<`ej^eGF#>oo42zjJM$&(TA$n(^ulv5*g76N8rYtVKJI@IPCslW(V8S)q^Hyv zdcZQw#y0#6B)OuQztNMX3oCDy${OrXDRv*z<pLUrDCiY5&^T5eiPDpH%;RuC7Vlp^ z5`dXf$8S3O!v$L8f=fe=*VbsR(qo)*$H644%fN6u?Rn7N#JNL`yyJbSlpL<0m6emI zi{QWlm>{{q|AbewgS!*5L2j)AT8efR0RvR>`BKAkR~Vfn8fB2M5Kme44?_Q;@hA#W zAGwXWR8IW<qtG*`AV8vlrJn1WKIed7Qlw4R1A+;_EL6=GGeS9$%JzoO`44bg*_I|i zKJ$FXa^SZ#kFEggV$^-pz_&m`TUv(UQ3X9IoXq9I&7WfR@!Q~T5(!fw@G%H@2@XJu zioqruPER)gc}2o7Pi_T^M0NVa&u+|tf1n#6-ZhJ~vkZ@SVV-1A>6nO0K;!Nu->Xr; zmQ|RcQptPi=v5hcmp*3r#+$cFBF+P>g)t6f*ZiHXYr0Nr(rUFuab53x^b%cjSnkR5 z_)-~G|6M@!NKvA=hXZh#)g(I?AP0M2;!CmPew}DZr`3jHZ`H}8*MVzlIDM<-CgrPH z(q`eHuZZnc5K8I*aH3@}pr=^d5tcNjR({P~Z79<2ZO`~k4KLVQZl)H_U7ct-d(+hP zA@9|RmN@NyPP7dA`-zssW|Ll4T##UTj4!N!t46b3H6j7Z32#K|)AI+FMpw=6rA1|= zfP?<3f&Wcj^OiGiW*t^7T(!W%(d@4l_!*i%X)l_;(q0U|(q4TIFzgC1OUf+Z3-3W8 z{bl|A0RNCz%}RsEu-m~)l;|nI=Uw4gF1xmptLxAvMH~VI3h0m`jyuHgL!!%RuEFX6 zzaM?4D<S^(<CLAlxr>RK-kPP#Xlgf{d>o0bkFf^2>i-SIX;q;ej8IV2J(v9@_rmi2 zM4Nr(VY=H^z0WZ?(g8ARb8+A8E7q;tJ?Zndr-GM5O`&p-Ner8=ek#To{Ox_$y={j* zuebGGStQVrxUGtV``^R7&j>Uhv2<7zvYV8<DkBJAZlj@n+bWHx<zfDCz(`#+y;OH_ zt{RPhj4LMWX#(+}JpVvB$Jd#Y9TaUmNSb53MP1MARtnC^drQo1D?C<SnG!q&of;7- zxb6(9B&p6JKYD3ZS9v$NSm_C7FTnq#=%HGVgC<E%dwToRQ!-P2^2nUwCjoER#)I9L z++P*yItgV$x6X2Jo-Bqi4J7`K&E<mFoUaY#KGqkFAA;U5os`?d-w{Q6E#@(`q&Z7S zr7w-Xv?PnMWP@5>?DFSOhY@u%EeNv3w8xU&h73C`w0-jULnpC=e^p7s=_F=o`d@Ss zT0)IUV~##^J^o+oA#tyLH;+-3e5(3N9V+McZi7j|D7QpS@}cG0m||#2OCKkdi$r%< z(Vk}>dvy_N(&tZ9LpzV+ix--hjoSk>>Z4Gz3@D2Mm)y1P6$M=KCjm5F5d7EMbSS2% zX~=0KvIgyw(y;2sL9AMvg=!HaJyuhU7@Ji3lv8F&64kq1*H7Z-tnqTsRT&YpQr~ia zNSeB*(@<ncbTlOci_l%S8#;+ik6$Q@>HhYxwgqpuWqp?6Q@UI3-1F{rQKF8Tx}3%3 z#T9(ZcLlGOt;^1tac1t$MEiC^tbNYeBg%4C&hbAz(2Mz=K_wY)rma|(sqna1?}X8D z97V|P-6;X`r~A+Iv>TPeXrKl$U2i~5WR_?Z4_W5t7h7T7E>L-$s4&gvY4@txZbetk zAJJjxQKaKrJGMDH4%+mab4j%jwUg{L&L73Ahnsf4S!mJVk%qQ1;DWH77y}ACZHHYg zE5ja@wEv0imgm`gV~h9vU`xR(dd$-9YKlSrr=5@bjC>En7r&xkf7u8-n{&)V441|l zx)lgcHgvnEJo$KN`h#p*Xt{1G?D=_+<2Gef?bwv}@j=PNT@5q!X-b{VrHCo*sn`yJ zQAr-s=;$FMDx8uFvo4dj1fGi3C&y!52aB$u8cXiGH6P6oef|sd`&C8R1*@V2%x}WW zHnF`Np3{S1e2OBLIM4Ds%L;l(-RoN&)xK8T|Jpb4<QSY5aBlMJw1CZ0wcn=&kb%<z z7GTFqUY!=8KYn#uKrmJ*bp0H5TENz(?}cabdp03UeX*wTv%1LptKi5;|6e)1b8uv& zQXIUB(uQz)2=c3o@}2PRUtN^x7nP^DOT4+t2qIey#7BbQ$jGal-qh(;6J?tGUrm&B z%vYxc6mwU2Ub{LiVE1273s~(Q0k|79QR>dI|IX<JKj5PAyw3N9YNKx3VnKUk3jjIn zf@N?nK-kcJ%{p@x)A}b+MQQ1BzA4O2;Oxa#J63dc!G0ZztBpy446Au6h2DnXQruvI z)<AMCVh^GE+L%(1i7Zc#{(LK|a=B0U_yXZYlO?o)m6gK7Kc)G;rl=U3T=g;`al^a( zqiDt>**$sT$2uafz>jsrsTUa-Jy`T`el41b#(XwbJo2Ku6}41eb>={tLKq(uPG-eA z%vhK)OjaI~pdH%w%YDw}J}Si&k84`yhB~5S(n8P+a|_%gy-iP(ZjFyUZvEtc3)lJ0 z+&_<doU42dtM(5mKCAXZfz>o=JrS;y<Sx{@^TSn10ecx)0n{`d7C8K_X&RpzSXXjz zfSd(_@_s!0EteY#wIhr)J4U&FEnZWIV1Nk*d|<Fl1e+k?a|WEsurL5KFXQ+mi-iG- z&4|egxsg7`PAwSu$arCYAfs`sqb{Jh_?g*p0Tyz^^BRAIR*6qnE&gf=IcPbGFT9Bw zKyRfD4}JqzD)r{n38?g6m{&MM@zRI&Su)V!|1Pnd2yNLygCz!qi{AzRF0sT21tpdM z&dKltI44B_;2fj`CK%4b{6c4#UnmOm3)5i`Pkj_tV)+wZV(I^<#PR^BhCh$LMKNs1 zf3suQko%@8yCJiln*1w6`1x0cF!)caCCc=VTv)3m0<60!@7mi(*JhQ<etbN{GB&qa z*hPmZJR^(?Fx=&F-rfYh9|rQN;MIwbU*-gWZ<y);^9{e@0N)Ut_{ilXEeX0V9UcN$ zmJxU(7v9_yk&+pco}tA-LiA0KS+4oXR}!RcK4N{Zd6+~<0rv$@gJ8ZO=xxgA@&LZz z0U_KBbTtJG4gx#vv3!m%=OZ0_$YK5q*9~i+!_eL%xSQDB4ba|W58x(ZmBNfgZ}?QK zA56<g081R`ShRfonTmrgyDam2FbL)y3jp*+|HHEFTnzc*T>he;UiP9oCIkcHuMi<U zyw(x|A8pTwRI;g$)3;n!JA}d~n2jRoJ-XxcX4k5x!32nczpDJ{7q3+-11%6t*cxlB zKf}~PgzD<6$*&~gzts!zIEg|4tjoNJ^OygE>`VzP!f*N-5IQ+vLZaU?wu}bHJ%St| zTVA>Eui~cY7_^o5x8W0TB!Dm<Y|Y<`{A2d~zOTP;O?%s>TM<9^s^8%p(okP*eBWrx z@0Hay<6N)7z$~^>c##*XN@GK&Er!zYuO9ygN%Q25()*G2uR9_3<WIlAiu|{R5KHq_ zAYST2OFH*4IL=@q;opk<2_NRPc94*z-#)JX=ybrGPUdKPEFHiCJi)($gpU=P7}bF& zcpR-+Z^Z>v!q%+W=Zs*<Urqi^OLAa=#|y>!G0^m15&j$!?hnMlXV+eNx5JZ!=p0jX zix^AmfPFc{!q^usGRZjLXMeBqr#2#PzIXE>alR~taNuf)i6{Ew5)DvwM7S_qiNh&G zE7yVoV3&WygI4ic$v{Fpa`;J!c7-R~PT0TE7jZTYXFY&j5ENddb{@N-<84heU=RMv z7vgZ*!0bW3e-#U}NQe#a4+uuClVB;Lp~Bnz=U9AG0-IPaG(Y<{lU08AaF?b60wKKl z2qQT-w>K6)^XjO?q**fo@CoYl(N98tB@7ocvmpm2K_?RD(HyVIgiTb#Ck1KlaNpVb z)J!>&zx56kXTmZ!!4m=)RCzyVT8~gJ3_DqDAq=NSxDS8!+s;<pvzpcSNqa};sW<kh zzBwc#Sp3DoI~4-qsl9!Dlz8glh8OQ~1Yp>S$P$K~mSe#2STO7)^l+=Lyt~y5%7}$U z@HhBWS3OpH)1$XicX@rt!lj~4p{Q&~dy1*2r}BivC|vDhwbxL{53@SO^AXM+GJQHu zdTxcd{gd`Mo)pCJaDjZS#r>+T7+W8wAp13ej_>Ie*thnel?%H2)W!VM1x3Dsy*+BZ z#+Oyy!>b3zOv}k9{16*oQK6du#ok*-Ro$=czS7+#-6bW`DUC%+BOoOWBApWuX#oYD zDh(na4bmkoARyh{Eg)U{JDK>zTI;;;+V491k8{QzL(t#-i#f+|_&nU#yspo6JadtH zxQw?^cHz6%*tWO@IbW%xj$A)NF)SDdD5o_({Zh5LDCl<-<qnTId%KOSq-r-!s8~Ey zngxfH*r&Q}j$`Xb3cdpX8u@J7qDUswLAQ3WsnnL;7bSu!$PLNTm*2E<-|vY+OkeKs z6NZaQ$U0Y|(ts2Yl|<wN%Pf3>sPqLBh)TtD4P!)zav&?n(lD0A3Cx2;z&uE(0DUTn z&yAEuKmZLS!d8L4bODyZY^GFM6VWE!FBr0zNwUpcD~RBih~k?-0#4HoNA0zTw?gvl z`B)W#YUrWqtT+rpraOP4jiUbd&_>jV4?UqPBY12$@2=_w*Z8_qZb576@yMy&oOX^Q z;;rA{35GA9rhNWdOqqB26+@yu%LDao!(vC6hhiRM+3G%hrfA@hM~FKAE4EBBy)gbo zB~|%H*~|`mOc_|`U_Z(iss=sj4##iIUjRh0HLtU7@t0@uk&ul2xe^Mw(W|FL!Gnn1 zn~Nxk<J~;#YZq@m>Ac7$^EQcq0)`zwLK-x!9g7Lcxn2iKpN(e?ZP~{bh1{=%x>?x$ z^e;EK-AWskk#WIPWIaiK|C6pT`gD=?U8+U(sq1&sZ|prxY%BO|fzybFIE)f-`Z`BQ zTLUx`hTeK7HmSWtMSS}q@(nt@oLMJTkRRlM<p*7kQx2F-1@`oB;r?TOFxYxb;7T=v z0NG57c?~!b^<3bp86dE!gUp``P|Z9m;i}muT2DhTdIm<v<zO_V%gaJ5tOG_4u&c_z zDA)ju4q#zHTi8`4z}0v&#pHpcZ9cFV_QEWNmNqS?%)?>4N11@zbb}A}wxD)5AuMR} zxTZVOSNTDzoWLoVX!Z-9A8h=4ez5v)`N5XI=Lf6*mLF{Sdw#I`4`dQ7KiKkTeh>o7 z4_5yzKREZd{Gf;qU(Ho7Ayg)xZ4Oi#UiA`|dR(e;SG|PI?Lbn4_Ywxz&=$gb3F96` z_Ht9_Ui4ku42yPv)OdVziHB^jK&tT6ByY5SOr1|)cUO3|^EvDkZQ#bT=)5ovwZK@; zn#Zb~>LX*jb0k^-eolk+60(U6L)mk&1t9ccZif;+UIH@_HLMn_IMi~jJPt-R?WffB ztiA5Hq9Sv1DCpHyQ%x)6T8Wr@vfmtMSNb0(aZX$^kPz_hlcA-@wWm|I>WS`I+<hC? z_he4-ZP=t1RThgE`@k=?bUnn)VwJ2X(piaP!om>oP@1+K(kRxR&s&1l%3{=!bUXN8 zM~LEpU}nbubU!f3zZjYb1T$>2>2+puBnzG>XtEa`Eu{?)D!0wE^ACakSd<2Xs{unq z{P9zp!D-@QU@dLK7O{+(%W71k0RxJ1`0rAjV?0!mJIRj$+YF5Fz}gG>ioiKy&Wp}s z@cX49k#~274Ub>raTKBx@wXc{6+*doQV{RY*Hw84w=+fzh`n81!&CH_{yD!dLABs0 z+zwC@I-vP<$`#KULKC@TDZ9L80iI6BfV=-RR&lRGumtvGPOltrxqsl!ZZ$Z(!*-iY zl4?P^0n-y-!oekDYnvnxJoK<|T|pc+E<y_iSLtMar9v5GgTDpB`^5a55YO|cv1k~e z;ShEjG#uiBcLHxX1TQHAQFR+cr46~=nkIf7?eUN9or2XFP;vNR^nqTpBc0^&rlsVY zkTDQ9qwvpBxW=J5oD!#L^*oUA8hQj{bm49!<{MsDKl+=fd>YY(Hx!7>BB+%yB3kK8 zzui!cDC~Ov)gAE`O<9tnd4$Uw<d%ovj#=V2yn@!Ue2Mipg3z-+Lj>s?mMxK0t`FWc zBVubfvpKxZgzX|mY-}s%$10A5A<IY^c2n@Uo+z;xBiq^nT#SvQ;*LNV^Z-%*I^|$0 zM)!;#;;J)SLk$|SlW?M6kO1+_<Xor-m9kWzX3ZD6naM_Qpd`iO&oJ)A&l@a3&3`W$ z{a|xtu^{8Q0tPW;W>R8;YTr3JNRz7*Tzz$*7U*^0>@?gboq#KQy(W%Y<@&z!kAfhE z@v02{uZg$}Iar4<eQcGqAp5y3Odm5U^YfwRSDpq@*>%!_;^(NCK(VWtK-_o5H`UY> zyi@MxQ07-dZAJ{<saF-s`bM&EFnC%SME~m~F2$%Scs*CF;W6nzwU_|-1#~e|1e?uc zC9R+0g@AAJu5F!hJQADRgQi<r($dj4`OA+iCg>ZKIRh55U$@a2S=!ERyFAn3G!nod zpT}|$r!lhFB<j!}F^cBt-*We+E^gh?4Alh(GgSBGwq16l!Idejvw~|n3}N|vQ6UT2 zL23j>t%Pdc2|bjp2MC6efr-my`X}d{>ILV$1M+b?Wt5)<B<+x{zn<4dfqs9FP0mI6 z@!(FaJ^C7Pt+k78N%0|mEzFyUZF!dE{m7iE@pg`Pu|<g{$(i=h;$t;vryw>n<Yp7Y zCQ9joWfZ|3j6=^V5BKt;8uh7#p74&fwgXV|!Y40zj&WJ?^1I{3;4lz$yxeJvCMVWA zd5!mIYsc`!H$xG_C!!DQq+@M4V*@>3O^{i@`!uzS_RRC*r@TjaeUc7G{l4UA^r(@f zSFz`?&xlV=<2@;DYslVA58fWt?4i<}SQ>*hf<5GTKg>n-lg~Bf(;dMb!Mc2|OV6OZ zMl#!I-QR>cqAOtzAI7sP{dy(L%>ZG}mK`q4tx(Y`N-*xnR$&~6w7eCL@e?<#5C=a| z@t*z2hViV7{ly`YlfptBjg&($pcQ}fh_|SHCFSePRUZiJyAc&N(Cy-`B2QhF8hN16 zAIh;hUlDGtgh7o~qreG$va?gVMWi|K0Q_t!eUM-kZs;;GTH8h5CXyNQB1|`v7fYZ} z?T{t8-|eo8zL1E9+B2agdp{3o;I*4PUe1VmF}Z<79FXN9x613%x7-ZyLO~#yuFy%M zz1BFk`_C_pj#>`lCCw~XSG+GZrjf$tAOu_rN?s;F$&15vQjPK+8m*<lekn_w_ADsL z^0O}BwliMd{^~3qLRRjYH~vgW!VP1r?L(zM)b7#24}8*g9S$bFjP?mdgwK|&kkSY7 zDr9+tYL#0W^fxK=F=aVM-oM!67}fL}(xLpQhewB>tpz=ejo*B3`+Bh*76vv$%lHAp zz;>jz`j=r~5>{9kn2p0c_nvl#hoT2EEDYTDS!-yjfz5^>nhrlNDSM1)p<Lrxrc25F zFe<2CWJfYpWMT57B0g{9<kJhc=1*Tb7&%I0*`-y!J|cDE1_|F3M0mp2v{Kmm^+M4* z?U}GSEaS(H-uE(_3l|d{XEQ@%J4`kMK|uIRz-2%f&7dNgR8i^eBhp<)U&qHLl8)9U z%hz+5pw|<y-5_BAlJ%+s^uUB>+mBWfJjm9%Ev8R&UQ{C%vwf&z$G8J4gt2}9md$Jb znRrE~B`l!3c=KNbbi*k+eJ3XtZZFMUbPmOB)L<Zyj@jijFp|AeEWTjFzsMvQifL9E z0!1(a$G!Q+w{O4LtHkJph>u;|H2U})p3YS$dxl>^HS+*v8m7LzHzPj}-3VR2RNu<p z;}kNl|3!Vf(H(bL1aosrp*Yi5i|*r}*<6N<t88vrFv#Wx!m_zt3b1VMgTH2T8Gg}Y z4MRLw3%|B*dt0aP7JQAH+L|0nEtPHe43?Csv@g&|-nOKANh<9>%I9I|1$mQ&LilaE z>S(Wk{V~ikSIp=ZKFf6Tb6motw-00t-Mz|}WU}hlm1VA+<PXc7>r<G*hH1ax2`qCG z1_pIikpXd4Fv}d2K^v%YjC|%5tqpNLMwe$Ozk)Llr4CnWu^`y!kZLFa1fK)~FzSin zG)VP!)m!y;*!<qr2V8i_W!trAWuDd*CvMh+9^Eg{%%+@r{GUu6XrbNu;G<&c=lx`= zkDM-U<iHH|oB6)P8-!c$eN@c+#1}_0cwanPd-OdxqjLNvb!$w8Tg6OXq2_0(c1u{0 zpjbkjhU`0;(1~|glrrvkIi^ZchNr&wbXZO(D5vWE9vJ3!FMO%j#-oTMwL+$Fv#eJA z;epot958baJ{3Oi-Ce%vAxEToSUg3C9T$#g5*1&I0~K~=0x{um#+llU5s(}f;|Iy% zFA;$%=08ozy;<IFV=+NU^i*`W{0>|81(d!NH-|YPDbX!UHU7P+vysOdhVvqrvUV-S zMkJmH&te*Llj_ac<yqIde+P4k`d$###!wfK^Ujb>mfL7Xefrk!06Fg`kn<#foVSMx z)H_<B-bDfN&IibOdzfIr0|wnNInU=(&YNSqlJkJB2My`RW{2E7Wk@+wcsnKS(oN%{ zmP<GNz0)F>LHMw^!|%&hGREOq31LKLy|E49@){L8zUMM3Y7^vHI(Ds1EEyd<JUqyf z3D{p$FPj`5ECq82s)8i(I2A|?4<M~7eu?5|*rv>(k^+fhay2Gjm4X{mo~zYmM(*^M zd4pI*1`w#wyv*zwI~puHj02Rt|0+3LO;5a!T1$uN11swEd3;sWi5T$DMV)FVKRnTz z4q&}CfgB6{5spS(c-37=UXRXvYq0{SRx^Yx4<>K2Z*)Nn@E@=(pH@Bm=2~?Uly@u7 z(W<_Ps(D@*dW=q8T9xcqDWfK-Y*6d}A)ou%u#!(;7sDe}DT9=cNEzHo+SA$L@hGaa zDKQje#H!kCXbo8%OUOs746Mxkipx|TC@B3T_u8JW?i_iSRx;S2jnl2Fi}@RX`=3M= zXc*Mu2j@e$*Zkf|_out!!Cvcotw~m;HvzSgL2>;+x+~S?%+L(X5IBtt2HUWnXWrjX zca=tPd4JZS?s7EiQd^nBl@FWRUQQ*t!L*mCw(?tFbHC!dB5Lj%$@!_t!5YSsBLcN8 zH1q{a^7|4m_G?=Adwv$yaN|Q}frz=rT6xfwv?Czs?Vg_<1`9_YWBjZkYVm%FLp!sk z&IC(F`<i%fVT<USHntvCdWVK%vgahRO;s^`)f)b(R?F=H9)r2Y+I5iUS3n}@9iQLv z5j>{hIP_NyhotQb9NLw&-W%XC1->ReTbN|}#*MAVl~m#3m=N$B%c-i=R`8sj+C0<Q zR76aU8}WBY77hH(IBX9e8x2W1s?opLBU<;;el&tfDLFW_rw;T~<FlsYNs=d}4MBK& z0}ro*7w?bq!rN<m<-5B#xM~)<nyvTkXV{ZN5L~YG<GQmiFv*ozPv)&xHp6*u>~#!f zvN6R#Z}A?4E0=`gYbrIx6taG*SBCxAQ`x+x<vByHUzMY$ISzv*R&PG%<vyfX6XpLk z2Nb?j5EZUshZ)xbh#xt@6uwNL@VT186h3dD@F8&k`K}y>3X6mB#GWbCYbpT&597DO z*O@wfiwRtEDhKcCgfz(2yU2noRs!J?-#~BxmJd+)Mj*^n+d%ST4hrCK0>^DIRv0ym z6(%ZEpUw~N7_WStzh)Ofv*46H8a!0{ln`~O_Ah0fw(zn}lGVoS`*#Qm;b`;06g(a@ zp!dPeZTfbSkZRxOK=8XP>ttSN#Nq}9HW)!HLSIO-S|$Kk-FmU9ddiz;8t+s5_O|IG zNLHiz|IP!OPd0|5f>ZvTUmaiS{}31Nh>E!>+yS$_>C9<y;i+^m0*l;bTc_2|PjOLo z8D|Z?f<Sv!qWD9Fdyo(u>v>+B?fJ(_Wx^5AM_T=ok*WZ+(Zj4FYtaPSIvLL+mrxfa z1k2|<_ayelAD=Ausc-Rw;XM&NZtfGw<p0o3+P*IF^*Dy0`!fT*xJ|D(g}YW#a4I}W z%xU~PN!+yrOA@05f+X>A{biDvz6d0VAx(EvucE|12LFvHF^|i?872NVy=IXAQ&Hl7 z(`yF#cca93>aZv=uLrQ2ne3!V@gDs*QR4qPNjzQn-PTNy!>bf3dWgmH??sA@8UK?= z@oweQ{}&>~0srGjvFQIaQjEs`zZ)rb|DQ#QS@ZuXQjGQ<c-{UUDbB$D=ScDCKSheW zNB%idy!<aB#l8O+DK`86d!$(GHA)u1p&P+?=${$#@mA!9=v%FpGe@2nbU(BRpT)G< zr9FI?-bh=*Qt3PBK5Q{S>YT}pJ5B^}2R5cT$eTXkNP}LopRg>y)gZ`rO_pmrKUi<} z<l+5l-c2opc2)s)bli=yQE%)#WGG${HU(tl8(od!4&ZiW{M5|u*nj2zUG@-$?cz<| z%h+*z<A{Axu+y11pr!fC$RO^y{415S?#$AcQ}$c8w<di~cD7V#&kIIQvo_yRK2TnI zar|=V>3Mb|et0?A@Y^&A1v=*GwT;D@nZ<*9K2Sv-?tIoMn~kjR#7Bp$AHS-<?$pEA zZ+Not4$;|lhKTUv6eF`Nl%orq9AYKbU=yo!7l*bkb62r$^0v3ycK5VO&YQ<xw03tk zc#>*#CDv=#YbTAFlQ~L2_AuBJ*YWhzc7hr~$+fcEK3*jC2U}VN|0o(HFrbH}o`q{i zYL9p_Lm54fx*(z{Dv|ED5{~e;K`pE;miGrx3)?yfA(-@|YJS`BXVj3-L<P{JqbzMh z`7r*78sgQ!dSOA-aBl9UQ?YopqaCal_F;Ty#@weW`q0ml2W|oH&xi$F<zE81ppat~ zXa@=9smh5}nS-%E9Dmp}J+orraX67w)9n0+p-k#L^&VaeE3+2=>aV@9u<)S|6dpcg zhKCRDg79IHB5Sh1$UU~I0AHVse+VB!eY>&A&@2w5#>5swp#C<%)1!nFIG7yosq4?Z z#EBw68$^Tmp!K=Czs*uRms_6`v>+iTi_(3h#RU(?I3=P)Ce`KhxDRo1n5#)g(~<Mc z4TAI&WfH`+!t|S8UMJ}4)TJ7rxW6os{=9b$vC!J3O{Hx`@*9g)p6x8Uhm7k}Oi+f` z_oTkmB{6J%!q9QY=^4a1v`BsMvvWzjTdd;K%WNTN0|hQ0QUnadnd6E|3AM=k%)xrv zRUf}OW-XLsx6fS1YG)Q}L~Fl*XxrYa5-(C0izWPp{N0>)sR3AbZosWOe4oP8Sai9= zJxKC|Yw=M@TQdUnsB9+5StCE(so-2x2F@QD4&eNO0PD|>6lGxN;RIvFKkPhvq?dM{ zKDeFd$A0*{S)V_C@vi6BA!kFpriZXdW8I>}exE@WJIF2SqoxQvkwh&)9V>AVcK)8B zKZG7ShV?ytePfGV&(bvIjcwhNal52tx^@rmJM2vfk2Y%5=B*^W&LEeJFuTRx-kRSQ zpq}C6YRZc74)VD2)la#U^<S*_ii-tic*d$Oy+DEtmtLT^R-r`}JgqTf5ejeS+8l6W zFn(=A55{_g6T3^d92_<`U6blE4F+M9u%zAXi%UwFTfvGYpoF>4Gwl4_qD7gd6_`cx z6g`HPy};IhEV~=t=D=5XrlV}~t%4jzxxMPksJhFa__AS4Hda_vhW(?6rbU_?))&oB zzdE=tX8SH&X;b4D1K1xgB{U(@w(e%V>1srD@U8PQ3LnK~U!y+$gY(6&hYUDh^Ou}2 z(mQa@*C)R=h7T>Bk>gxpF<&$7T_1Vw?w#2&PH!A*x^MMf)yK|gVPC?vy#QRh9`43@ z1GDMuJHthUO_+#aU<i{6zQ-=mfD=CO6W6>p8&nWxGETTpdFf8Kp{hlI`|r2z#qEg= z7YcZP{M?=_69;W=Cp6=$Sqqy}S$F3p$SwnUXdis0^r^pD?n|T~>;RF#!q4`}R17&C zbmTw-^_$tg#4CiIcNR%4#Lse_cxe+?pEWvDsVq(|1XZ<YWf>Zhb5M+~JKL+{T=uhZ z+oI1%6hsP0>=>M||FaQDDI9JDV*70b^6DFwUirfa<bWS^WdsVke`y37YX(N3eOq7z zqNV^wAU%cOMj)s?CN|T*=K>-txpV<J2#D#UB7|)=YrNhNqq7=3B6H1VW_q!U%PbqY zZ({)fW4-_|W`?&>yW+wG0Aua|FqQ}aW1dKWCx!=jVu}DKCJ6vzo+w~I1_l-oFsZ!+ zj5+=WjKN4_&#{uY*Tow07}rG_A{p0(YVGH$O*3KTZ|c9x-=;XeTU%WBef7hav12Q_ z(juxdQw#s;;$pED0oCb<xzwoJ59HHxJq(5Z=>h?aUoMfv=n~FINVB&q)KRAd+OeY3 ztpc*tA@nvx{ZW>*kqWaypLQEbC}BE-ePokE!72j4kSkKWI>gb!O$Iii2sXkxRvi*L z375$+o@#u4?KPB<ybj7|^xNmL050b6BM8`qY+-gGVVGSg0cID1;m8_UVVOT5Doo;I zg3KTO3s~l_Te1M2`Ged>sQ=fYKcrbN7=Rp@hpb!5d6v)&m%1hLd~Z*oG&t-%r269J z@GjPMi5s1T*!g^d-K=WDM^>ABsQk-g-AI#X@%McKamQdHKnfde2KSUyr#6|$`X@;l z3j%Jo<UG}0f6ze8@pC}F3xb##_2ceKEX+)fSfrW$1*ZUSmsc@_tBlT(3jv6$nCWK1 zE=S&y`UbB`knHhYvJ$?62XGfF>U`!l3Tf1ax=4Kof<smCrQl#x2n2_a$o#yKX&^#G zUe0)m!0g)FeD1aR7cdQi{Zel@HwSvdwy2Q-sCXZOjRh~qi56hIf?;GZzhbh=_+SK= zjO2&5+7H>!H@DU)j7+2Ohj$rH5@k*%O;0QjhYiWl!P@?Ek7&YcN#%%wQnGqTQyyl6 zIzv93#4xRG35xpZ!cGYDK;7o8bqk8mEu1m+HmGfqe?dH`61!zU@R$Q)d&p)46^F0? zOJ3Si!(jdI8Zw8k|8ijcrv>YO)Grq+5;78Xo!f=AZZE=f3ua7x3?iE^pYvtQpvM%b z2$n`eypC!JFGxs~KVt6YNfiN&q9ETU=~AP>*stNpgJ~3NYo6?XXcT<<#*oI~<5hNS zpgpX%)&w8V->EzDv~ekt+tcJSbC>7y-nnLA@RgM5gkp=ddm2kJckC%?x?Niv&X1cf ztye~l-wtBpJnq4L=@7uxQ}eLQuAE-m<d<VUsi%RR@1W4y=$Ct%P<<k<^1$#I9{wGW zRNMHp4*Js2dtEF)w!nl1$bY0P{O7^~<Udjt{&Qgg@*gP+|BbLfsd%b{^WDo9jwGw7 z-|xxz3zAF~h9pyr9q|H*ea1vhc^UV?j~@I#b0qQPaU?)5*_3X>57{J{lm1T_3EfA> zoJg4HYg00N&nW|Qy*_^^l$de}iID>zM8~>mXD34)m_c3*+hby7OlWDOy>i%a)z&bg zdTA_sch9=Ga@3GXdt~+VCXvOG{ux$&GpqhYjkTO>kzxAz8r1zI=PM`y`NuZTv$-~M zW&h^pxB>PZ&vEl_rII3zLVIy$yWM(dF-IL^+u6ra+LR7j+PC8^mNJ&|A>&WhoKNy& zc9neMZVTQPO*A<B35-#{g7?QDb;mPiy9c)~eof&j?w=y^=-9v3&fa^^HI}*EdVdiy zW1-rytaQI$WwPpX2emTvCk_q?WJ_{#e*2BvvTBHsgGz3#dnqEVYV`1;gz(liEmpxZ z3ONVmNizW!$e`I~&E!({++An!U7C;M*!kfmJME^>=6OYblO1!Z_>Zg>ijH20IlOQ6 zbE;;#tm%MxC>|uz_+W`NJ&;K2)&+?)3<N+Nvm=_>(0GgPuoNoijv|JUR&NTuJ%vXJ zeM*E)fY=iPH9o{ry~i(-*z1I0eawi)7j34|R+`v2?N-zxF|0ILj#e?zt4Y{H&r3ba zIT}d+qB~{wt+PM)72l}Pfc~~{p*(w!om@`4>^%`<<0L+m{TDU^4zGt)Rv{oAX+;0X zP=qFr;3-0tT=6JKl#v^hk$aVqXO)q6B2f$+9&CDfwn4jpVN)@v*c+9USBZG|C@7b- zqs7TPpQ~z4kKkxuezk>hY?DE!kFeOFrLlOho-}RHljhm}M^9QW)c-RmN^?T489Ak- z7rOWq5RrdJctjR3&)jwqRW_H%_V+ICK~voDOc0!*$nJPH&;tS-Q3&%yM^&-lq11R@ z(p*$)Umt`}S70lGxsQ_y`KfLR6RuO1L&Qh*H^=OFmO2B)K{H4Q{~W3Vc=3M@)rp?{ zJbqpH;h2j&*m?%Ifr5v_9KW0A+#7!bC}mf8@#_-5@#1r;xs|A<rD9pvV^j>`cyRy{ zn*bvs4)Q*{TX4MioT|?_7_H@itH|Ma@j2C+F)(V(yB-sD1jmcdsh*9Zn!3JI<z-1K zzQT*AczzKuJtwqn1^}`vym;_xhv0Qc3}{s2J^EPjx-c&o7Gb-@i%T50b&*(fY|Na| zK;o=GjPAg>`8bSka(DZn6f~?Qsz8(Th39-|A+mPh<cYJ=5jc6W?Y8|kexyr<EEL#y zCVn@nIS(9wxZB0H8wb4k%n|PK33di7u?uI2!9F;Pv_tmhUOOO&W95R#9d~ryqN@56 zFDV0&nvYaDf5VFdp4ngU;t_wvivyd{$z1-m*x1I&D4pkzrpj~HmADnHppk<YE0F8* z-^%JH#pgx4>JER^O$4*KF<sh&AaHw7wd6;lzlQ3#!u}enJJy{uPSVu>k@8mfsS3=; z__C0&`~V!OzBp99KVD1YMqZQ)=wx*?)}dP}T-z<>`Y3Ek{U4J@zb;ezs!SOw37c-U zjog(*>)GxY)6TyIQT!RopZI;ZJPN}0f6z?y-+76yr@euudErt0UpvwNwG;hcJJH|m zM3Dc1oyb;^UN^D($eVVv4nX4ceNPS@4+@Td?%GpQcVqW@F&PO3x?mcWRNB&pwu%Qj zJgc^(LDGZVpw%XC{fWmhwcdt+H|E7SyT2@dHU}vYu_kVtyPY<w=UY{CQSEiG(NTBX z=df|{AfwXMUPh#zY*onc;c-6h15UMSsU$NFt{<x-?L9PSAScK*dnF~l%%!Q=t}F1Z zPV~+%`TWe0DVO!*uzEwMp8hwWCZap*&B4?}S$^3?wUOrFi7M}S&$_<S=o2P82z4h< zep*r~W3|FaY`@=8xTxda+F2tRiL^um(O4IZekKa1pK0FKqi#1mbCx(;5fwC0SCXC; zmY|nK4NCk*<C(hl?OPU0a8g3NX0Xq1^HN#jYGWELzF3o5p1kU7R<W<SCt?f^ycAsR zF$@D1`Rwjv=2YDffI`zqzsGGltVS@>SOgNRCFbEU6q@d(ttsIPMp!B%2|%I!K|(|R zO+s^qk<dipB(z*k{OGh{*Au3Ncada$A~KPlgNN*HM89}HaXGRec(gq8SmbdqJ+6P+ z<9#c#5z!-6hD5u}M25(e2nIt_x`d(G$AY+F=uBW89EN7qH<vsVvd}y4XgZaFn?3TR zekIP{0O9}9#Uyp_uP&ykFyLa6b3xR@A`I-6Th<=`cT7w!4f{DLSB(ace^F_m{}3s~ zMK9yiqvQ^ej`Ysplvbp^Z92p0bHatURVx)^;_?5LV%ei*A`u!?MKhw6a05-j;eP$H zg5%=R8P42|?>6H&>bYq6A(|YezW0>fWCe|#AZ6Epm#GPj%<2?)nW(P3OeM;2FB7$Q zMsQUh)<nyf1j^hXL+XW|<>iwemF!CP3sME$`*XfoROWLnoGxCeRB<ls-<~MF`dAaR z25r8JhA3GCl*B`^d^D;6P${6!8<fqhZkc@^lv+YDP_xpQ-~3iSFg|P#LYG=lw@A9b zl1JQsDo4;u_r-6~R8`obS{5Zgf#!z*XJ8W!Wrt$iqo*aE4act;>QFeJm{Crl13FsU z6&=k9Mn~(0(b2wt2Xr)|Vn9bbLWa}PqRbiM$VWeYdlK-LqlkwrKz^V7v%Z;gLl0Nc z`z*UKaZ*U=ddJ-3S3Y5@q~r!#nfhjYrenk-4~CEBgW68yHd!3FP#?A_TI)R27tZ0S zXw;j>wo!YkW3y$2TT#HxELTJt5ud`2iL116Ek-eJ+;uQ0t$L-OJ8iL)@m`0(Ryt+Z zXdSU_nU-O&rXMqcM^2$|wpOt)8f9)tTwL>eTWeB?#R9>)j|qKOuA7uje50$vxc}s2 zpOT?<;laHXfM4|_XZwO-kYRZRB{{$CHFZ2zT3CH{cE%CmeYYDynO>dj{t~79jVwnh zBc==~<6o@8q3UR?C0MKy^7_9Zkcz5mE~prvm~3Tf@-;i+P`sn>>+4<XFZjkzl~ETU z5`LS8d9}FW-iCNowDz@=;|{maPbJm29ue!W4Y(Z*Z^av(jVaG6x6Hj4C0+-i5nFOT zF3tV*g<(q(!EQrbTi-)(X0K;FD1q%W66`i8#4784wGX~|$Bsp|Y@fRSE_4X@)ZWaP zFgSPMBP1;q)x586Eji7wbGIH+hB7-w;VAL)S+KCy1xZHOfbrx~MuDLb^3Cr3+c^~L ziQ{WT{(3g<I$jNVf`<vs&niP~J4MIvpWiMV=z~?FNam7Z$i#h-5HHpGY4oMeLoc7` z+eaU6p5SjEzmPGGVtIF$3nZA5@?$id`0gQii<pIwiNwkS^V-?3JwZ(f<z11ERg6K7 zmN84g+@u!|x<sRKOzI|XpALBicEjXhNt&79q&LEz!ayE25SYv;w6Ys0INyco!?eJd zuI$u8T(GNb;%@<LWw{c6=);NeLtB<aAO9e<`D6aN+i5Gg)Fs9BRPGylPsXli&%C=R z<bZ}UH_*o6vzNKoo2+6b^%0#VkIS^DVwSxcRd8NQbYEQlmP7Vk>jRZT8#G89ypxe7 z?6+*JtGIQ%Au2P~03)<@*5*y+G5)HFfW<!d*T4yiEnqxDDp)I9L-%o;tH8=<5t^t2 z4HB}}Q7@7Z8qmA<4GvAE4(Fi!Nx=D36FhJhH<~-@G=ng5@W?rN<e+B2pS-gcxXX^d z*8-h*1blzuR{_48`@IL>&HOyR7yl^~yTXOy4ImWLj~W(95aZYxirT6-UsX~t(Y=su z4Kn|ky-*IXq>#SpQF8RI4+KU#pF2t;OTg@9V`G>0GT(q0p4VkAk`$~emI3(Z>+`hb z6^C^D-&VYwB7!0VpHAI>Bv-!mf{kJP;28nIrdLfc^c5|Rh~g1%7Y;u{zyIvttz_F& z_hF?I{urV0#ckK*4`x+?CX8=2xXZKp-u@0IYXSz)ggd7B;hOMw8fdL0z6Rv(V)EZa zT%vWe622y*g)*bzJ+aKqfi&*VB*>2{j&d}S(I9)X7>!08^@sw(wwT<2-i9KLHz6hG zt+1Haaf_?TJme6ncY0srv?~X1&;QJxy_Q{_@KMl`S9yQREHeeA>l4@HUCgePr&$Nh zJRT#I%hf-tAvj!yaX0Mr@2rh&wVkA|v<n7dq-|2)Xqs+Y>V3#6@rZX`7Aws#dV{rk z8;4*kYAZ|Vr@|dj6=_Ng8YR^*L8GMb3(zR}Q3hzeS}8#5%~f@neyI%_CFMEiDbcsj zRg*lCc#*Q0Pttr=K}vLGH=X+KXw=7vJEP$r6WAbM@W6kUZrX{*qA<$&$M=7<Z^vP} z8yrTVIfz4szO;(&_+zuiSba31itZi$>S@)I&4%j%j)D2p#ohpOk+9Z595hQ=YK|&8 zIRhO_rH4?5<i%_b_dI;yBWt)EHmSgcT@vvZ7(RiLxN8CUE&^yF-2PUpJ0jAx&|hdc zjF7x14IP)07sB2yBNgPqs-R&EcIA87YGCbR5Us6@MAL?fPF~KQ*MS+L4lsdf5CIby zHHNEnNac59<0}Do@TeE)w~+*}mtXXQ3BWM@wqAEqme%;0Svi}Wk^DY(Rzr2RjrU6l znaADX>ku!@4X>yTeVgXzmJuZ5WFDeT6l)UIQ9*cHE|_?rpE4)u+Emr!??b~s32M7e zW<U?-9?_ha_O}rr@wN<A$UGwcRN$NHy%l*4c)S#kMC(Gr8-So2zcqni41`!=qa`Ls zwRe}sFtICRm^ceJ=EF<%HaHw|Dc>fXG|3wSN7v}V=5isBYQN;i1c7&JEH6p`-mO?P zOsrjBlViNRufEo;^$q;Cc=&CD2b(vG!EKrOi4Cecw^Mw_y)tR3R@R>12b?zT2?a{4 ztd|A!wkb&F4v9<7_bGzzIHVs>ekNO4^MsJSJ2ltWKM+<Qph48^aZG!fG-%dy10~2l z3P9Ifki1?o4Uh2aehI@%6@yYEJBxfZWWv}-R3f!5x4w7l$z8%d#=Ad%j)PlBLN*Uv z@P9C0c)ntChjH38aH+OiqXyAs*g{6>U*{nY2iwof(~mKuY+Gj-@N0yAO`JFW0x8`o z(M&Jy)fB8NU5ED04pN5;me~iF9^W-vd+v-rLiYYzhR=pgbv}18PhPM6oWlcci~7!C z6V*Nalaqp=FNN~=M~!BcM2z{(?Z&wZub-7L2fmpuBt~2-Nq8YOB6CyJ$`OskOgs26 z$3Yh-+s`%ov%?RT4d41q36!ra3oMYnjvCQhOZ(97<0l4Nqk*40lorBD1wq#(u0h)n z$)i2Cq?js#mk3rn#t$a})XicXK;5`)VNf?o+aO?jTZjkVxVDCnmT>-~g50#LT<d4~ zUs7b?AsHF)$_z>QU&y53*z^;e;r20f`4v0p*p!6agLi0r4_PK>1%pyW=A;|ig2~>> z$Fjdv3BfJU)Ytho^%<Zc(?tA`o#8{^GJER1rWDzCk=H+E&;?O6%ONT9+8a}!#^b8V zS;LWT3r;_u3&;^<6@ttw=NxHUy-EYV{Kp_ZBt<@ZW~$R=Oi*zt#rITv?k%LMzWW0{ z^T$i1o4pd{=~HxYPL=QJgE`W?dKD+wsY@%H3&rUn3OMzc@9CqtLF4-F`#+fEy6?vl z;!+KkH*5Vw!Ua{F#+_f)Uh1o%+<qk$8%+hrxg{&2Up8?9oLlxMw^x=Kx+7I@agA*3 zChzl<=s}np3eO*fS@W(I>E=pboChpPBGxRMYu+c#S#o{0T%;VyS$~4~mh&@oG<{5@ z$a#cbk<{C3n)V{!v0g2~|H9j6$Fs_?W;Bu6LCa;(S829$bFm{IuWEYz%=NC*8T33U zkJE49{h+}YH5PHfg8aIRA~DYzQT<$%-r1JQXa10t-hEKf;d3^!y86rPp|;r&Hm&4j z^%S)xp;dEf_z-liDSY<%h(sHuuog`<_o46ke!J1O&$kbIJ*Vy|q5)_+kXy3?ZU>aV zboW*})BG)T**(yFLDbgugWxD!Fh4q%Y@PHuQ=h7)AdfUmGM7rgJ>$^$lqNk~nQ?=p z^T)11oyx=M#AEF)329ihrwd~>G;;)-k@v?`^*i@}8MH<F2hrU5C1)rU<rcJ=8Aq29 z6mCfWL*a(>@4^kEKLu`f>Hkv9<`*j^o;b8b>6Pzf0T#FqN{k<$JS5*^S!o+l8#gSl zi&!9CgT^#q+dyp(vF<`QX$UY8na7|H8?l*@QS^eapt5*4iO_=)JQ@tHzT@K|i^O#l zD9tF|b*K#+PCWF{F%}lIp&FkE`e*{1rwp9{M+^aCHc-v?<^i80{#WyX*VmWSr{3L3 zzqj|0G+y@wlV&jy@)5JHL!OMhS{ZI^{rGn6=MJ?~F}Y;K)aDzKX$@~kedu-WaAmdD z3w*oRV!cvk_Mr2c8@;fQ8ZT~_A<$OaChxWMi#w17DD8&vdcd-t?4+Q~(Y_fj=FYu` zEQ?2IQY^pbeS1++|8Aq{UW?jOkKNaW_tqZWYS|GHx?XHx(JWkE>)xi5ZpBS*di0($ zVg$F!gzC|Bm03<NH#DNqy8|Bfq<Ulw8?k}WX&X>;NkPp;d6NscQ66?<G-bqPSL9QP zE+FPksj4e*2=NO;!fOnh4m{yik-YG#NKCBDs>r0Ps>t`MmsOGe@Ty1(^vkNqq^qh( zYt_rD$ToOY<PDU|s>mcQZua7dByKTq5=1>Q;8l^Lh?h-~4maM!j^L`2p=QFGA|)Z+ zmsOFXeUQ84o!e7aRgn)5E~_HzST3s~6T<5*t0L3kRgv?@;6W#ITR_W&KIFt<p}Er{ zeYZ_)JA!FJa{$SJ<ZXO#{HH7a*#O%oDCH40Il?z+Atw$8M88Qhp>b<vEE~gOT|z|6 zYMJgsO|{S7ja@h7q&stM;vaO2tpo04%JEgm6^FiF1~m{FAiyc6Qwg(P3?frOxfT8l zaFTP9WOTMfaca8jJAVAIp03fS_{JKeyjwC|R3Y8des217o5_=@Lti{mF1vOtvtr#D z`f3Jy5IS6sj}2vM#}0<#>EhEs@Y2Ocqko<i%d+Ezgglps-O2Q|eJB>YgWgcoItqpi zvA4UyA)U;T(~K;86kSd-+KX=ei3KlDRB#NZimB5`>j0`4+k*59%<pQCUm}{u4l~f+ zD3p_K+<`8ER|qIr-v^IQmKk~C2(D_y91W(Wr@H6ySQX+n5JUrlk?J%Nh}UOI#B;pe zeYsg<1Y@+GQH4a+ioI81p53>ne5pW}P4M*|v+ME`&C$nF7=G7U2)+$_C^E<Ly7*x{ z#_m#;Zn;5@)Ba909`z>8^9cHEI^IvBQP*0CqPNS>s#RMww8_7^W8QK#jK?&8@N)E9 zM3ga!Y@ntVgfil$2t^7}kO1}wa_G|wibVgw6njO62QSf=1EZuHetc5^fA(DtT(WJr zF-ETNPFyyP$j~p4K8B9qQ&5RngOhNwpD1<c(=7y0*ua`>{8Fy`z}*Blm<Zqa4G#|U zxR)?*a2m!praOUNQ7rH{B;i#6@&}tV0|aCp;~EojdkfmrLkTx0`Z|qO(5&9cmAk13 zff!}~3R_@X#>h$_nBv$lfmCB9%K8djgQ!1Gd%2r-nV$i*84;{}6pYuB9Rol+sRgJW zrRG<K)roG97L+}|o}E*~HUm#dMyo}GROaRQ81|kg?I8$WVwRSS1e+6MT#p^l2w1o< z_u&^j2#_l$a~PtDdp23n{hYM$EXWZzd7SrEyABEpo3Z87-TX?~zyzJZc5NjVwb~!U zEpuz%jkCN57?|40R^7#GyGB{x<QLtJy$VKV>}V44fdimqGHtsoKG&$VmR4yRf)vUT z8d*@kYS+d|W2lW}etjsKCiHR(soDo!qQ{|Z|4jGe<FoYaaKS=*Aq^YvQPi7EBat_C z6IFa_@eb;0fs%QCygj#Ryf>3EA^1TFyI22pK4rt_2JH>*G3M=e@`o(N^2Ps{l_UpQ z$xj7r+gTUX+5@HAV;g5n6IDiar&EA(HfnpW{+n_(D-eIN@@JM(?w_)hbtU`#-(wGd z`yk;qNR280IfIJa0zd1sXU)u6XhMF$#L0yuG>Azq^4N)`tTp6ly>r$0!2Dn@@`iM| zdNy8^VZT$zPF#zdf_y*X`1!95l5Eva2G>}9Jt#fmriMscThn^_KmU{S(J0{utD^XO znv?LuFY|1XD3VGdq`U7m8z`gGgw+q3AaG$(I?_{q9SDohLP1`VNG`GPQ6l&>S-5&x zlRqiK^buWDlu%da&d-(-Krs_j+IE+u7Mf6s{x9Q_To|3I`2-#5@2jgfs!~7e-6hia z)A;y@@A2UaiegnFN_mHX=u_@2`!$x}8}~LB$8m0My+*$Fy@MvfZ_va>YLsMWP0S#I z?tN8Wu-s-PP4sX@qS@5Vc8fp1KATUGgzbLT@N&h2c2$64MjNYZW6z)MnA00{jbK_! zMu^kAi$vQ-Jm`9(zxip&-dL>oll`<g<&Xsbv<$h;3%NCo3cxxe*$Cw#TIzd|E%$m; zOcOn#<!!^ad#+l>XJM`+(*lF2S%XN3A(~b9ft+|iFL>9<B1YjGQPK1J%f6Edf>@=w zyBZ8z`;jq8F;L%SY)S|nel7?>qP06_&8wbRL+PJAvKHZ16QMGtuQ0PXq&vEB2$Qvf zDh~EXenhUh=A@fb@f7r#V#51OqZ6#SBK6EFI0rRh-p1>2s#%VU#Rp+)`h_ALF<3S- z7oLrzx8TlfRtsl_HXnx=QN4So693^{$rMC}zEl@#I{JkA*7Yw!U(OgrimjNM)<J=T zK*A8xcI*?H%ygq2nv<2h{@5e!kWy?JoA2Iof3Z9whmKKRS{`M;{AiI88}aQdZ<TD5 zj@@;C!0yjlF=~+(0Nq<ZvjIO;(yrWuzTLd~QPYlC38&pF8hWP(n-=nCzOvCW<(c?V zqwy_&$@5cRF^bmRxj$+RR*8SAR8^f$9UVe;_nvs=iw*Nn+MANlRD_6sJuH>-)@RJi z*p7k)EG>Se8#Vg+Vy^AsfAA>1Fs{85yg$tPvBWdNzEis2HL#diitJUcuEi_!L)KEV zR7kL=;U0qc6Tzle1;Fl5bXHw;va)9PS=^zk$TPs@t2brm?6K~hJ3aok_$M3ZGj)?n z%CM$_L-#;hJhj<n^z`UOje)Cx<r1v?^!BAq@*UhJ**$yIk9pVFU#M=XCSTqzICc-i zC%}2)ahB9vk1z$7sy}3u10Ju$fyXPKvi%vaeDL^>Hq@W4XMsru$76CyExX%P4)Sup z(4!?ry=FQw@-8JVwjn37$@rC3nD&L|2CGJ8&xf5THiLyLoj|zKKyewNV2S&b7~i*Y ztD*bajK!r_5)qgsm&LHz(GB%B&y~0swxE$k__vS-E8al~XmQA8vrMkCkc2;JbXpS) zf1a@aMXb6F?L#f57Q*EUBCs4!*_M%Bq9(@U_V_sw*Mf1cJI!$?kOh4PYEO&vSngkm zP;g@+BFHmFUgjBP@0M;!ebnu)%T(l|XODMdBY*bANGz>Q?SLpG#bW;l)ZhdDLr9lc z5UfXlUUSZAE^UFWc&DZz$2I6MJaC^<*B5P|#<O3(B*2eDD5)fDdb(Q~skiny%k;s} zy#gs5+_)Giqoq62KV*gQ2`<{wZykPmWk{#<fkjy3hKYRuAdk&jN}smOoLaV_f@oq# zC(1S@rx%DOy53Er^L?I<1pI}Jc?2YYL-qvaj!MG8J{Avh?trIs>Do@vBe`lwyEKrN zEYqMyJ6N=Y4(ReV{yZTJQ&J%Z-IAHWG6;1Tz?LNYQz6QThATvm=72&3jikqUTlRSq zn+t)Gew$jUdPl0f(vJ}qOk+%3zI2ZX0{0j+bqJde5`#y11k7K`4?(Of1{q_*DZMQi zryoSmGI&8tB~ERr_wd(y;393<MNY7bx|S*Qx0Bm~x!hxS<im{@oSu=Uj75VTd@uA~ z?Geb4g@*p{kp&y|Gtg2A%ax4;|9B}0j@Rp6I|u^wR2aRpMI;NYpl<&BL-GM>RItS} z2HL0h%r`C$f){o${l%6PHvqM<#ixMq_0Lt^2m3TUQ?{7WTJ}7ILEZGd<+M&qr(o39 z)F0dRJrD237i{zb$>hR`j=L>$VoYEyrtkbp@G_2yW~!I&-W?ok)JUsw?4O4;$Y7nm zETjD7(JF)kmSm(j|2Ybw6p`9?l^A@31s-N9;T*@}w{zGXJHMCfxDQZ#JA86l+(GAX zlj?da`Hg3R$2@1Z6LyHR2|)4W{Zl0})I~1nt8HusgeK@sW*iO@Sc_!WFvxz%G51iR z+*+6zxM#Trl{CP<j?ZZ5cD&!|QCh@ZS|%DtMg&cE!6qe}E;%&IuF`(_O?fc8A9D6O z?-lcQkrXO4w;o%U+N_&rIbeasqeL%hr069Dq}q(zQm>2B&BjLMtONS}6E-D6Cv^D} z_DyKrC^r2~lL;I*S0tpFjpi&VcdrFj{7FMCjKH!XPQ;lF6n`)(r3OrHb8vx&30<`W z2Gl_kpBWkn)=4z!G%4Xa&^)ahh5Y~;v5Aj+qbPUi=3zpPBc5xFz$U@C{mPBd26{)~ zrjal8!0d$4%+&~6J?Duj>!FHxI3F(8Pt2?JbLd3}RJsYf82aNeHWswo5TA%(ty8nX zFFtW+KZE~mu^JQ!3bpx(PeZGO;pucJ#6SZ;Mtrp<4Q(`fSmIT2WQWtfzQ#ruK2Yc^ z)=lUwdICPgj7X>jJ%J7MW()QM2rwf(VD5VWHzT!xhC~+iEcLTk6bh}q&-8})UzPFa zp=LD|m?ZKOqN=D)ttX$mq_?;5;SGrqnA&>3UOc8R`AB0}U2B%}7686=xT{f3_wn_4 zBP${_!>YhuI+j=ePNG0NqV2gtT;V-k?OQF+27q5_;x5=OAv^cLdO5%a)=Ouwmn!F& zQ5k-#221GtTd;&)p^9+xZUI!06+ji~)Lwa!(4a6c5`QVPDJR4Z&i6(ef~A?hd;ud` zyUurYDEhp(+|FQywt50?^c_K+n@;{_O!deL1e|tfps_s<4sp65Ci!HBBNel@6%v(G zbF_Sb;eD3arDNpy$xHHlWH5|xY<GqD7g$)g=4j!7?=E)O$=m1bldt*4j#ikqFIUMV zDzLEDBy}Ads(k`QhE@x&Z;hP+rY$&$G@ld=PM#%ob%2vzQs<x4fivlvOX^<OtqBOq z&H)v0CgElBWq`L7zk<_lg7?kUPn5({{{7~)Bb!;!rFhX-(aF*7e9>wY2I>+gl9aW; zg8&{0SL!bLfv>;Fx4oAg-zV!=*f#omVE?QX2zNGkgzzdNcX=ew3IXOb(u_DZwwkE> zj=G`wt08&OHji&s6r$Fpx<j<P8g^=WCNNSFpUYv|qTEn71>Q-{XaH_SlfPHM18BlG zYz`>#3^utD5v=8q&qhlLALETB3)E6jjj%>9>q0|F6@qqONLQ|J_=DeEZ*!*e!wTm) zo%?|5{XBj4%gEuYbW8`1NR619SnK0VbuNU1kqnL2Obwz6jVMvvE?SjT#iHuwxw}wA z5}ZlqzcYc@7W_^3Ler0r!IDZLS?&Y2P~3F!VdzXWHYqg872oZCdm0YL)NQca`h^G& zgVlDmRS?iBqUc~mpv#4Kw;I0xl1akWVN6fe!|DNp+6)VPaQxGB<fS{?0zsfT9&WHY z)YuI)k+n0T0{{@X=LW2LP@Tp(C)wwapdlp|4b?^4ZrT6PiF2Wz&5yvaqp>}BY3bQ5 zD?TgcR{z80s+c1U2K%kj9!umR3WOXDlVE$TbR5*!Yv_-u{38<FG-SvhqP@G;GL21Q zmh-wNIU=jk>e?Ldx9dfigr=W&(I1N=kVlO{y0Oe3xV%A#$e=S_YR*z^>Cb%m{qVXL zubhr~Nj-W@8iA;>PGhtZSON2A$nRWVb!BSk5Ajomv4CFMf<G?@E4z2G<P;?s2e$F? zi_W0jxqb_S(AZk%K8tuT5@u%KEA#^z)$cRzh!lgcb(VGUsW1Y8)={r&LrpM|6GZrf zA8ctnur$H-619w_154%QI{T9Fa&Z*_iz`m{qYq#iz2g7e{e%A#Df7<zLxS=p|3~3Z z{twY%YJ``Y3)b*}w4gK~a_8%Rt;MBawFE=J@fnduLV4*3mRPXEh7`6#ZiIsc`f`mW zN4Z>X!8~&cylMDC8zcx8Z#XQ-xHt7N{JzV@eA{)SkbMaUbR`muIb2`5)=o{76``r> zM;L@Ebn#hCZa7pv(|f>-Z~^P39awCs-nMIV$)tLxM7GYY<@l%{-8hjwk~!m#Y#rkD zx?v>13y!F}E*D$LI}6#QzvR5BVN35I0a%Z3-k1gMozQ$f&%Q&+uVYYqe~{nXK#y5C z>R&o}da(urcjwdI-lWl@^&PcK(>05peC<7fuSHU8?KZ+4+RE7=^q%X(hW1~qIl6Y5 z3Ks(<?40+!S0RcecBigh7aj+jLp&D;D~(BladrLFPS4v`s#WXUah4#W*o7fp{&pgx zrpRiDwUhQVH1<%HMQjqhShM0Q8qff@w@d(Zog8ei_pA>ObqC<YA9+LYF|}5}hR+xJ zk~;7GCwm1aMJJw$Z|ELn4FoIltcu7T{RQ}QBVcPP_i@#q$e+k4#k4F<d3<8|6SBW} zW9s1PJ&AoXSbC2Np5Eij2E{9ijvpaE@3!+&^L%m&pxO%?ez?gpPx#W#5&y~?Gxsx9 z8|IC1^cVjN@n?&_dG5&BR*6DZPIK!-tp2-i7)4cTi`R|SVG@_>j8x@EYOLJ`8a8(= zgFIjAaCgox*iDgW4&3{YT$Fs$^+i6v(%#N!DPo>Vqe(`%nX~`hix0zWo<xE3|A72q zy7t&`e;N4;sXkI~UbNBFiV1QXp#3mC8`|@0g0?MT--iHLj~g7;gEjVEzy*nCtb8*C zf$Xoao{pyGBfm((yT4IAj2t7ne?|46(`jvRAF+!}1P?QHCMZ$Rt9--dPC4;#8|54> z?RpqmJtn5?s~pLFSF3&WO<w74<PN(1udSY_Y(0Yu$XB&NmdAclc5};b?@UOl!jgHT z+Ev)E=F~eJLt)9hHdr#R?E><U3MBIwypr^&NTQ8MZT<@DnS1Kk&(L9Bm<LPiHC%zV zbH)0a)Uep0&7&9qv~7t3=WL5ONrRmh1oH;r!8{fiX#0#569(Gu6I_d~Pp(PXAZvTC z#7O^SY0oczrJ5!rgMSyg`U9Kp)}8Um$K2))5Zcrif~2Eu`!+2S`y=}?G>Rj>g!R~n zD$h!~6;OEAMoxxc|DWnL=H`NitYGs%Ms9Jb0RxBov)~>XK-dm$gb}unE^GB##}3Mb z+}x_Vjrxx^lU&`7>i&|fbB8DE@-lAw>eJQc5)Ac$1+?(Id-;A{(Ag>R;2J&uuI)vm z_bc3uOS<;;i4V^+H_$EE{kR$Qi@O*)eyZ5=$l*cus#lTRzuSf|8UsY9Irxh?=mTAO z9+UCrd&p~kM}i-?S1su2<<W|y*UL_VFFb`rd@AGQ=H>`|#pOcN7-MBVJk+GD(NE63 zm7e5wM3!_u>=RPjW83tn4?}6`|GNt)<iA}&VR&uXaN~bu@i+$b?pnr+r(npySUgQv zsXG7r6fnGYYjfz+scl<^aW|?D=m*q#EU;AF1Jv7Z0bcu129+$u^nGVbLq><KAQXa$ zO^f8z6b4Pf#3q59%G-gyyQ;5c9}*a!|J^sC_7?<?B^<%?UgFEir=eFrrq8ECLk>I{ z7$(<nLfrFj)6Le<_=g-EBZC167#M*;B5Z)lV2FCqswM|`)H1t!!Rm6=*xcTg1zYG< zkp)ZWVv&%7sl&>byOAjh`EK@i1`fv$Ek)XMyz_5nC(xh&ru5{)DLw61l%BpnC_S92 z&l?w+ehi7I<Nx}BBL^rwS=hB!AIZ=Gha2}z*t<9ol&ccf!>@A`zO7f}54PcFPSU5S z9W(&gW6;YMGWD2s<r-LvZRAk4y#jO*dLJ&;oHbxc+mry$MP)TT8NpW4GvKJe8HI9; zV$l&&%BVR^W2Jr*!k;}W##_Z{!iLsuU`s%*qHlqs7n^^NzRgYx3;iMxwRHm?g#xyu zPnXd*?We;aS}GC#6gIqzreq+Q|5aQ2Cnw!60#Efh;CnE3qWe(ktsI@7H+}c+GUG2m z)uCT)_+-X^6@7C-ghk(CzH+0hK}8rjHTj`DO*7dYH}LsFD*IQA$pSMw;yK=a?jY;g z9&iyauj~&sy`0IzW?y#(%ry<K%ayS+;IJZ4>D}>GB+3`Z&*Pp(f9><~AcXZeKxIuZ zUdc*-2fDKb7W4T=h4Xv0d3~{<Q;bPk=HL<dARNhs*=We>JI%tw=lNW;f(5<vjV%MP zi{imWANge51i(dDH0j<wCZMh?UVdm85e0mZ?UM@sEZl^_c`6!^RpV9L+`tDM%KP-r zX;EMiKY{n1#h9Q^yiaQjRvP3u(tx-`1H|(VdWyakSnVrdFFbY~yvmc3)`MD0!c;G# zHzBgYH>r6as7PZAgoUC;nv7%b9c~i-@lm+P^7aN@YDm8h3x)7o$KuYp6Ctq3&wT&d z6A`CQGxd}nY~+@xkrw0C+lROqU?aZ-JhKG1mhpp)9P<2wzbS<fl6WnebZj)mAU4%N zxz*&h5>jel|JNte<t<8<k@-7)Omf;s_c73LZN_sJ4vWP9*y~wZhk8N@U&Hav6wWho zKpDt<)ynVx=DEN`>UD>wdTLcvDd+buR5HYytpWXolKH%#s2+xPmR&;~OILxaj)0K6 zf;dNbV8K-&D?HT%kAw2N_WkRg%MUG+M)^~Y<MX?3(fJpgleE*0)7efuT!`2>%9GU~ z>{O$BIBAd@SUTxt5f|R(4I>DY1~VxMu6XWM8k0=k=bLrOaF~j>;8-*9$}aZc-A;7K z?;6VPT($Ok^~gLsyHX`S!C?=A_YYj>6$)KK9xStHmbE=RRttU<QZfgvjHWd!D8bnA ze8gnG!|P6nHT45v*KN&Ty=`b$t!v=pmY9%~Sa)t5m)o~-0OvZ}`wCYbZyJG!R>uC= zMfLF}h<4ST?@UE1?4Jg3{~6Ijfn~G;pfeTt#83qm943TIbh9Mi;KWl4p_@QZh{p^p z)Aj{|g83UDD0DJ_5k5L#HIMoK+}9AqpG;&$Z5WFwxcQg5F9I}v%)s5TiSN)Shgd3? zA78UFk9p!epK7Bxcr2tlM^nG?Ht#hX6=AS!yb>jq`eD54_^n6D=H*h*|Ha;0Kvng< z{l0=qw{&+ZDcvCmD4}$hqI5TG6p#)Hx6&y{H`3A#BHi8H4QFor)qlKk?tAXN=Ztah zJBG+!&zf_tg+s^iiSOqd&VZf(rR+c@N15i#D}Z<|yXt<2CV#`kr9rGH7@wSquMt5_ zgfW)m{)MrO$dcczzY0Yc#pZ^x;G@exe~x3KqFvYg`td6Az!ZwW8dX8Ygl-E5iBLW0 zRpIPu7QX{kl{W(NS!3!L<{?GRWJA>g;`#e<oA<=MWQXH&lmPVj?kjfZnu2vw+#3w+ zEbli(L48>^8Bg?)@L$NU&$VpG-!{TSmKx33Ut<>CT7_kNXCX4K{6p3BS?EnEQBJfY z$n@29f!|kxN{A1H1;$0ungaC(#fsm3@HxM3`BvuVRvm<0;S&bkP6>Dmv&=qp#xcj` zCLv4Jmh7(uH-l_#zSM(exv@5AmX81@vL;^;N~i@Pd041_IOI&b5Nwoi1{+_)HUj1` z21XERYeUSkT&n<u7w9?z<S_&=kLO|%SbG1Z5gu~%&qla{I4B(e=J6km@c8|IHo_Hf z^#9oi&)or~gPVmv8sQ3ndHjz?cnv@vS0OI`(FkYIDqtKC1I*(;8sYYC;FbOoHN8yv zmqz$R%|9FACQG-~i$5FT47_1Jk&({xM6#VZ5BIB{GVo*aKbMs0;n{FY%zh*%pW6eO zHU0{Gz~Wc`lq^v}VUi^%_Ziv~2z4mK!JF4T*~ru>I6aFvJ@j&^>MTb|>j>*R25a=e zZ-})Y*yvOd##k{!tw_z+29sVDs>Jh<h!(5NS+;yMD~7&!PNAAjH!E@xy-pajUK#3h z&=$4&@uBse3r^m-RoZg#KuWa#onF0BfG(y^dsjkm3DCty09|ZJdDqnXeg`3+yF1j= z7?Xl*#+}1PGwR@k7ENb#wOEayCNX*L(n&OWpON`qA(TZOOTZXZ62LFw@1R@&(tWJ1 zV^omtQ)yhw{Yr_J!BR7bx+EF{pO8M?EW^IvMn0b@b^4&;jiv#1a4|}VvQe-{)(@Ps z9V>D<*I5luqi=QBV8I7WSOo!`3N2~_qazbxSWdXnfL+{p(g&UhV;3uRrkxi(hi2fT zdqRFAggb5#!Y2QM5RUnc5Qd|>15YRe>H%aipdJ86@6Ev{d7mi9<T0c1x()FU7c|Zf zx$m1luM5EwDvGr(93Oy6gYK(43rwK7Zp$3a!EHvvCGtTP4O>mqlD%>9jjzHjLYNjH zgk2DDARns80@=B#lOKp6z!<{d^LffGL)gNU&~P6uRN<B({9fua@o?lH3}H|T_>&<F z((ykT!gY_MZFc6GNyF$VL1TSeIQBE>i4)U-D#LF;a2n{%?<87*y28^YW+=Vf@Af!^ zEZy+WX1Y>l8Ww%h>&yoqfLbUQmh`XT1Nbn~#=Sbmg=yU>cqhk*=dh;u27Y0IPb)I< z!gK-%I{g%b6&5OBfMBEC;?C4R5y8^pFmNy|yzUGuU)<7!Q$TnBH)2@ob1CB}<o5zl zB$?Bz(RH`zbZrvB)M`E^Y328}Ym;I&#=}kaO=;+<U&p|9GQtL>fiF^L62>Iq1zi3a z`>MeajQUv%d#3{CNh)=n*GhFt^?)wiod`PW-QdFC{^7^wkuR7p&a&?d!=S;k9tn<8 z;E<4@Q-M!U=Ql!VrXSZ~?jBPeO4Uz|pO}7pe+12E9dE9hQp($VOY$SaM6l5WN_Gt_ zZ>X(6hxw+4Cqp_==vpv4Z>eJ9qOaPy-s`L$uzyO=t}?bi$0;f7`kl9!%iRpT3#1Do zSdFsCxUVIw%|D(-0V)MgCWVA1FZI3^*bdV0UK0$97BJilYpL4XQva)NM<s6$czju7 zKkpV|u0Abvhlao0fjE!j+a;yvrJXG~9xgaZjWEVV60<|p@YG>gppL7BP3*)`r|Y|- zg+oj?6`nT-Z0G&i$4*BD8)qOVTZ=S9j%-~+CgNm2lsf+TKvxE+aQQAb7jp$Ro3^{Q zH^@}q+iZLj5psJsN+nl`FD@&>f7fbCKd`3dO(`SGlk7f--pk$cE<TYk>FI2_7@gL_ zH0omiSH^gM=ym`Pk|JFCWzH{p#-K2j8oQd#VU|kL{x0WhltdB!`HsU;{nczb8C!?r zx1B|w`*Jx?09dGK;t#M8vfW@#YgOE{2rtf0U0e`X5`Xm<!2l`rjLBpi2kGO=&iV;u zb5(9)mQu@5-shjqqk76W3NM8c72BTk64PdP{-xegd^JEM(B5kIcK>_PPIi-7nWmEG zKo5&Se@?#k#0WnPKO$a{5&#gtPNC-sn!ZQHAMs*p_&J7Z^kh#ZiNSOQ%AL;ysRD3$ z=z-pYQj6-7&_fD<7y<g6>|1@#uW0mt%5w;_ZPJ9Zd-F2jERu#hnEW01O{+`K*!Nuw z1jw6Fh4fQlkl~Zh{#?kL2zuqVQ<8UCql?s@mMX+lhr_FgM<d@qw|PW29Q>wqBhYf_ zo1MX7IqownT+@V^8TS0Tn${`GAvdu*MKoq@!+<Je)%?{u5kDDw-}iKuJ`{L|h5A@- z2*tEl*N&{MKxyI7=^*;dGGnDFGO#*DPZh372?mhg_yhUP7YxdO%5Okd+XzivH~pvD z)$@R1GnJDR%zAun{CE6#8{+R8uOqcbGphkDxd4j_T>|xuNNOYh0vVIb)}eR4AvUem zsD{Y+;`<z9{9!27zV;8JC*^ygN+flkC;K4?vi>u;3>B8>8XH0lD3h@<V?b$R2$VL~ zB5=W*FI6hqjlZ<N_A6&9)=XXs+KfYOpuWHzdQ@EY1&_5@^HzToxEa^>JIcwQr&Q7Y zx&^kn@F*B9>LhUT`Sds%1|7vW!{=mkg>{P<<{!CopR5)RiwuMS`3>Yx{f&hGS10d| zG%}#Sc?Z+qFr_UQrA2%)pO)v1cl1KGtQf8d%f2K3ezvG}K3A(}K7>bW<1ufrec?CF z_!ybOzCgox?H%tzz6B?I7x-GwuYxW)Eg~!#H@|KMCd5Xm9E6{^msFqaZ!}qvJZVE% z`cpCk`F~q8qqTPN)){&;5#sBYr*JUGp>_aAU@LsFHvzwA8TvgDvg~6j^nXG$^M_^z z0u#*$<ovIQW=cLwA)t=JXv`%pB4xvgf0b(#dpDd*k$*Y*WWa~YEW9ZdyMLbknkUKL zG#Q^S6^Z?n;pkR2bE}$x{5!H4?TPk;R6Y#dP^wH`{h1lHsKM=|-4Tpyp8m`|523c_ zxY)w*CgI0=E+t{pM-z^|b$)x3e!Ov>x8nltM18t0C0irl#^Y%)QGj4DVm*R=zTIk1 z;Dv%DI^{fP>b+ayNpMYwb?q|aC#Y_Jz6~2Wz^ra-WV<s*aKZYYaGNo6E_lnd%T^li z7VlK9LXYmDGaD>wtr&?24Q+i`<4f$Woj`XEl`O3_ac3kRuW=Yn5BdYI^i&MRKMcKV zR=vyix6PW5!M@o6M<$tgX&mChd!)zPKV2#+4lsfcp_lg<^l7a(JRU0~{=7$Z3H82@ zZU~S$cU!FIzV|8E9J~icEHV@I^ZcLUflV6x0~~(SC>S6q1#p!qf90;|4{}XK;3^0_ z09<8g!`uHyiaL;gTh+NO>O^&mr;P~mrki<Kd6bgAQllU3Ff$FP@3gKj+;CQ!%8}7k zVL|$Ccc7VrgmJyz^58?g+WY%vHlw&Y=K?Y)@5!s)1)PR->q1{?1$1HUv6Y=dvrZE< zDc0{^Oug`UnNIs1t?$I<n`8?_{xQ#H_omniUi`q^i=ANo{bJsqu5+#=S~WbX<K+>< z6*EyM@&hrJXb+^P1XThWqWUs272LiXwfo~s*HTU>x-5`TPgBgi1j?BRpqy!C1Ol5h zn83ysCa`%56WHX!1U6bQflUD@2L)@~R)A7Oju4CxUc8h)mbn-NVw+zp@`9126tdMH zO9^BJmETZk?RXoEyD*|k2f*`xlbwntc^Zj<$DN%^!iv>9ggu`;<=&KDD(EcEPBnPu z+&415wM|mhIoq9azYAJ~h%N+yANUPGy5E;8L6H*vvkGWh8UiH+hn5b`EF7N<+m^tj zE>p1ZvRM9axH4-_#5^D%$vEm_rLx)wr~@vNRGT_9q0<h@@!LY}ZOQ1AMHR~Cy_C}> z$ISsMMjs78btvbHXSuuqC_BL@NKUH0RD&6i|B8y{w}>Wf&e%O6wCRcAEnhkR55DqC zm+y?vZYfCj|DYgE|JKzI&QweE4v+3~)b--cr?La;(2~3JJs9$+bL8v^<W^WS@3Dh{ z1cN7^2`~iS3Tx7LruJaMny30JfN%s8)*RIe>inh|O)}gHWcurzWx|0#Ce1V9aq|-@ z6qa9s0Ixjt2VR+h_;wqBAPN2fL0Y8!OONvfY?Jgn!u`+)IY&NPn3lYneX38P^Xufa zdYxzdsl25xx*;kVqx*qSruHv(DL0m(6Sdl>`Xkjp7TG4otJ*$hA7f<&g^~DOl+p*Q zF|j+im-=|;lZ*z_HuQe&NLBT~nyTESOzwg^rj{)vcvGy@r1~<FLq0N#LiWA?3fS^Z z%%Q%($D8#GG6ME2eC<h1vzrYN^(vLdk`N!n_~=0QL&SlG4P}g#DL`FtD>X&#-Z3p^ zT3Jj%9&<uWe(_n^tNLjCifxV3N=L!cAw_C!igd~Ly7qf~Tju%;o{n&ywlPj55aTKV zRI65epX{0O8Gr8d&_`Oou5qk8k<*yW7pwwXRtp|MsB-UxXJBa*Y(2c!iT}P|Hdru= zvRa_o)U(S><9?~Os;3Xn+Y?mjEv7p>OuS$8wue6QSJZm|&Xd~+y^5|CvwCS6C<EHB zg2LczHXuV!hQ2|28ig6RueR^Vzd*jkWo!7d&X#T8kZTa=YF2=*Mgd>?v0i$Iza?4B z{u2mnrsu_@RK%(``-XzTF&+otdY?(pSda@;0z<#Z)h&QPBz>yPSFqrMF~*|=TyxT- zn#P0c3Gx_^Y@IRhef~Afeo0xdLpKP#$o(V$j}G3O%?tpw!_0txz@yE6!=wEickKmk z2Yn!qZ73H$^)+A)gV~-x=+U%@qR5iCABk__(J?l=X)ItY2ksUgy^SDhE&(cpxA16g z%iSg_a7(=B2S=#(cLdR%zlw)LZ+906z^!+;@M!=0qHN+(Si)?|xA16u?FLM~KNL5H z+*VKUE~Vg=CG39FqkYJ!Zl{Ob!r$h`PCrRac>QWeOTZ(q%$2Qn&@2Y2?cAOzyCtjZ zbs56d1#032(qSr8^^s;_;zUPEmjHk9y&Y7I;w(KgsMSjQuUG!0nov<RbP@=6Aa8XX zTfNBU`;wChx}GEbb4XS39W_%e=YHugyG8Fjudbj|{ToALW}cDwyu&f5&!FW6>N6V~ zeQN5N3~^P|)vr%?_m;1=vm&3el<U^ikuvDvQgm<2PX8#{pLJ|FIjB&-4%(3%&JAB~ zLmk2vf|08WDywIb`?A%o$)(YSy5u?pcbhs9N(M^%$<J}eGkJPWPWX?vH=yUsL+v() z05-Mmu&STJNp?%Ie)}PD=iD<E{$#M;ZW|dk&6G_t_iv_|{I8c=nxTCXXm|voE{{aU z*0)t@ildI0kM!%)%}r!$9!5Yf$Ivt(#A64jRR)!^dU?a9<rZnJ6l`BmV?Q0VPK}Sh zyxE^t)!nvXuG@V-ZKXD`Iq#7Ww^n^I*)usw=6SG3A6`%+=`T^^>TY(_Qi6Bt{l1xJ zr@<AmP@rgSgwoA^pFW4<tc2cv=SW1KOfhw=C}Xu%h9!d4jE5;)ci4iMiaV}TEQ|NI z)7*Q@Kb+>KHb4oJgBz4EzoGe7K;gh(6n8lY!Lfi487OpS7J;f}HwUO{=KB4E4~2*= zEJ^UgFO=2Xam7G~5?D;VhSss5De~8TO8z8j$9|V6gn4Y(obXZsV4|u)krT<P!Xc(~ zdMiatt3Y3gvX`C)gULgI(Ta%mC#Mc&=2r?R{vlc{RPPBI3G@OJ{Rh+=4-J)0wBWJL zm&MDmjCWloD}5a3Lf_N=<U36y{nF@_*2U2I02S55BD<%$1OExC&V=rDcVBxODuaw| zc2E8tgC_JE8=Vm1m86eC?VCX<q496N(am(RHPzNsn@M6eZ(Z_Vy9fziDN^PvCww!B z4fMIgn)9g{m=&~2F{@@L70-4v(|(IhploOBaI?o_4ytlYMq3U$QPmBbpP_=s!+NB) zM}(JOx{BH$VJ0h#kuR>ssU}}f2QfB7_>S6883;<0$5o(2d923yb=kEV%OdvA>8c_P zFkQt0>X=}<im*>=#W44m7);L7_uB_9?0r`pU`1=KfHG+xOfDqA(%Nv;0xOf+gEDE~ zBq)=Pf@&xH45)TGRf1}#wiWwpe`F9snE=m^k%q+-^N9{B48Tshe6aJ89oXqVX+TPf z%OB#iVf!h>@#;e)r&eW3gXZQDb=^qGB>B|NUicRPcJfkG*T~`<5T>}XFb80#Glve} zAg-yCJ<LIHvrchD4BV`xxWTpV__B5BpzH%Cq^|Z9F#y<UT2Y+|0t7!-6gQ=IV1DY_ zVeB2a3%9TM6x_X59Ki-Rg()E~zomCOc~`NEf$=H$Gj|LyK7}~=<yyY;P1p^>t0F`b zL9v7?AO>>wzU1BmLs~a$I+wSVR<@Q~d=$e7D5e6o6k>9Eh<p7H(#7-ESFd+w9ipAq z-#``H(eUoh@FGJ^+kulCkiGG=%FRyu>&%Pc&r7n}Ubv0FYAzR9#JO>6r>hgKY$jQ- z!b|pe5&V9Fi2X9Lt~S=Dbvmjf>H|jV*gr8%X<xW==mUJ^9!JSPj$;he%NvAblVsKV zWW+s8eQWXjhwYk?O4T==D%;$Qmyk~lFKovxF56#F`&Hn{WOwMvaeJzK`{aGG`7+yB zC3}VEzh|ocd#39DBQsT`|M5)K+8{Kk2Tfe2XPM2hZPLI_fNhXXujwoaL-OIgo`H7Q zF`;<0cR@v*zkl2e%aJa5?f06*OKo$-o@asK5DAorbYr<?bQZ&5Ok!oINfDG#`(-q0 z2q<WZc&Q!z=A3`*{`WZN%5+HTX22RP*bPJ3Yv8muD?rP8BB`#{2(Pmgb+(z!n#TIz zVtoG8<#DW*>OFDgQpjHC9<z4AqMa1m%vsKFYcyjBHq08W=++udq(si;t{tunN)jZU zbYRa&fT3NvAYjdkQ~bO`gSGE+$&yr$jyYDbl_TS+u{@<zVtvlc19Js8j~ZL6PyW35 zJuK@pZ-d$n*1oS<z5kz1OJNij>BM$)P1LbuXU9AifAvp)G^h#}I`+ez$n|n7yR6hj ztKO+Q2duJpe$;ICBfoi6j*zZPRbMyIf)rV~;r4Vfe*-{=KXRcHz!%6tv$@czAi|6c z`uM+=;`5T1o7xxNt+`;a+3e_4E?K#4<Cp(uCCGngbSh@XJQs!rx`&nHbn9{^Y0<gd zOCJhzIfMS~awavsMRx0QM$7UFvL&ZJ@!RI?WVKB-FCQ|?X#Rj*j8e;4wE{DG;YCNv z@er%z;`c#2om4x%RtdX~-d*win;BgHU##J|-^gT<-QbpsHSA%k9lYPF9U}kWG<<+G zcl;Nm`P3KsFfD*IAN~tz4v6OM++R@5YzK`xUKNSV6ClzrKggtiy;Y$UU^%?>xm?p9 z4Pgu2vi@nW1u-%QfDm~+UpW#J%J>51Swo|Qh|eD**fY6bTwpyaE&8cYuFthyq-ck= zBt-sFZdNw`cr0u7m9YDb)=kEZj6ior#^2RHd!K#sMLRZA()sMTa;tj-*+caSX~>X? zv4jp6p_gqyn{yuZNc$^YXJRd>;x@Zh7OCR3&F5#y;iC03fAMfswyjP8fs5^GV4L;E zS>$@gqjqe11A`~Qs-g%bT&MYHFMI|+z}md|$l-j!5mfkyJl94Flad<UgneHpZb3e? z{Ynu&Mt++|o~CV&RYo@V@cz#y&~!3%S_sS|jSP6C4cJ~ir2WeyO@D{})+5bBs4@I6 zk2JGCNaz0`*);xNoS^y-6+DpO`Trdfp3A*DR8d6VB!IR-6+3OIL&a>bTS;vbvw_|S zqT&3vu;>4{u%`kDdm8_D%?_>d(EewmLn-DK=Zc`HaHYQA^C6~Bmcw_u8z^|_fjfLQ zM4%ny|E|72^Bx1)&)?=zCF2Y3`j3(OYYYA@M&pB<hjSn!HFrcH0*1+?(BY{Q7MLV@ z_yC=>>-*U9-W3U$B(ixu`=<3dQ@HhakgESSho5%w@b?9?J?TVzskTu~3);jW#fRLc z|8a2SGd{4y-5epzZ1VBW=~uWvDH`;T+tknA;-uzWX87Lq=e8QQ^UzELr`%e@zl>Vg zSd~KVQ11DGQzedGwfh`Y7Y5snV}Eou#9Aqb@bcwl=>q4djGN4tiALP>rR||O5SqIO zpU}6z>v>XW@s1L+dTOm-J=tr>m9BRY@RLhB+mrZGdkd_9mhPc3<02StBr=;?^f{BI zM!pGy|FXYrMhCsgKqE%SE)ev*SQgUOB$&`nfO<Bdu|g<)9%~jr`1sS93ctIQ2+-*% zR)>rZGngKJ^@_5%ez{@532_zlQoY$TTj*I|uRhqkJiI)@oUll+su$&-8LT+7KWG{o za=r<gPZKShxn3D^W{87aA3<-N9v80=I5gNr)oA7QS&T`Cit2F^JbttiT3uF_dA(Gd zQ#CoK;sM3dL&JrJjiUjHk|Y|rN{O*G)zWJhUD_yh^6F>wq7soEP&nJj#CWont^0+5 z>QJ=(L9qHANR{VRC~Io>A0Sz?<=ktWFvka>_hLY4G=Twf>0)pt1k0s;|D#+wS^4i= zn&UssrKRQ~_(<n1#%CiMSA6F`&A|gT60~&!EfK2ggo%RoPn<GTf)TytZw5vMU|^WR zL2_fAzec{L$AJHytA(?mvrh8ho60w@PI&Yjw;?PxBm)Mdefi>P9lxMdD>6o($^}o= zmE=?B0I~a0!Kxn8ek_m9B?=?7USP86>E9-~#t#Yfd^p?#@(9~>TA5pPL2_w1V$e-K z%F?<hp4Ow0kqesyS|<(}+fD}%3y5n5Pz$XCwUEajUgsEWCcx|bE$}+m@cIL0jHnKS z8JAXofuR|o7Md_r5Ao@O*&z{6fJC^yyx}t$J(wZd*aRW1aS#eGf89OvK93NQpb924 zDghhoEqrWklor`B@*k^n4xjCUjFSEm!4y(7f?BYtV_W{uB-b>z;Gan@3P2nGo#d`W zgPVURxsj*;Oma;<LHhZ3j!O*C#((FyE3d%Kzj9oGewai^^zS5h0K8J~;h#w^O6@<B z+{xvCNpe~KN^+qh?P&Cn8G`$CbUV#lk<sd!i;f=^?8xn6KZM0v4j&1rYDa(4h`agG z_KfU0i@*P<q_s&Tr#u;?yR(U~K|K;<I-4DEMTxpc1^w<Ryv1pxO<@-Z5njZZNz$1Q zwXHx=68ZL|VT<EGPJy)&yZ_g6;Qvm6|65k{|65tnTZ?~JR`g12Lvd+=d4cfSa4AkW zC$Dq0zKX~1Nuc7@&R>3ESLaJNBA%xMuMePr^~=tmK(J0wFn6iwPla#GR`^K9J%m#3 z$H+uaQxjd!PRuzBYN@AqomZCm`fGEWDAoN>kru<_I6UTUxXI&wicce;480(IhS`LP z=A5VL?@-ibwHxd*e3EM~T{7vLwjp=YchcX<!tu??10G?o1pOHc-_{tbG}Haq0`&4C zIm2-}t9fX}$BHvgOIr^i;^%n+?|`ki&p&O&j}SfWnxoc=>Ypjfht1E<3`84qBxydW zg5wX8^ig~fwgz$GNO-WAHB#fi`-Sq#<RR4bdY=cQ;4WSd!@}nmAByO(sQYGyb^=xD zg!Ob>OFm)#o7~y@P;jpEKTsO=n|}B!cQ*f;UB)TCC3cec<{wmEs5%$=1BSmc=kli@ zbMA#@&Y1|bYE<>f|0Z*mhkyjx`FDa$U-n-}kWGON_&*Zl<8YV_I4nV)rn^m$2|E6= z0S5`PFdYn?3liilLG|FRTN`kYALpu#h(c_l%cOP)+J%cGN->VS#bnhoXmhY+H__$m zX%z;3B9J>?i1D+=keXrca!Q5CKWd|6_BTFGpKT;UlKt4t+X7eBMPnW*_u{>tT-8Ih zaKONLPyU;M(K<JuwUpXr<QD*ZzzKbBeZbqa#4`iaKKZf+t5O1kFiLEE$gM+|-6uaS z(y~p!);K(Ec^7!r{4G#ZPzKj1&XNDC<uH|xxck8rTVx5V_q{H_#LxqNV3eGL-FM3l z<)@8Br($9`Z&3O_iN6W`5}q||P<@Q<A9m)jQ6)eB<F48kjeLW-?eW(VFs&Z$&?+ZG z1Ebb@d5&79y)jgph8(DFFNKt-PQB}Rz$XHnzD(C?!@>VGi<b&t<G4MqIzK6aZgnJJ zqXM_Dq_Ifg_O){B_C;YmGc!FowIu>kZhH?IpIW-<^{<L6;GJ-{{5;^HfRpp|#}l1C z$mJhUj1r21g`#tqD2eP=lr+YED@syGfc&OnSeW}wm|-@2sULv3j%yOZT*rqNZe7Rw zKA_u%ZCv%$TL4oruXDG!Y$Cf`D8{xs$#a>bl8A`ZyJM8c6IV?TV3;m4^*nWN4@q<& zrMVwlj;iMyv*z@ppK4!z^;KU6D?&C!r1*OR{)S@2LMj{oVIJmlaBr=u;l;bwG~35o z$?#>+nVREk=9ksP5e?1<8-@&!;&$#|o9$DngEVibBG+Hvj<fK<x8x`7-j(^20-G1` zCk2+@X$UsXl2{M(3-i&q9cKy51r%8RSlA5{wUuLthKs#M4h#e<Ty`|+mjvIac%pks zM0sg3<0p#XD+(rFR=jr)JPEwukm^UBZwau6gh*Bko|sm~$#V;})@L_;)78i2mKKI- zGkpc@`*XC93iY2-)4e1?M4Wvh8+wi}%c^v5?EM3l3Go3wn@IerCpQ;eU#On+TK2@2 zK^WV3a7v4)52%t%dtx=sS>xd%CpwH3t(JZkUpO0KoqY^OEETm|6Dtxueu3!rIJ{Q! z?x88UyEDRSkI->1+E1wKrY=!3!@;N^r<mw+gR?QQZkN{bmS=+1XZone2MW9%n|Yzc zi^2t8lZW;fMTW4xa-@>j=Sx!SP1|*^J%QA#;0&khr!A17rFI0LRmL6@rcP-m5y&Jv z`%i1%=@mpGSJ|IM<*MaYOqB8Opb4V<AbM6-Us!!g>DINTtsNj4{>5gd^9^t&!y!UG z$-8{g=(%D4ahC~GWT64mbvFbt>0tKZq3=q`gXIBN5y82$hdXmMrU%)m1?9WUs0EPx z-E29X=bBdUE~Ue{Jxj})gY53gQW65w^TNi=2b1;5CzU74;RSOQIeGHmHmUWWlz#${ zkgZ^2*;rtDzIm=cn(-+DWuryrJ;nh#OMF;<q8OTREn<n4+g)UgZN<b07i(JJVAg*p z@T3(un2}(Ez%$@irVJd+TJO^mOp_3Sh=tg^s||$Quh~AS&p{u;><6t^0ai8z1G0y9 z=9wzBeYN3Q$&)+gyZZv6kEmZ)9lbL~I_I9|BkMfhp6WW;7m)8lTw^pq*+f7<gX~O? zL5AJKMYNt%kmjJA;dq@O9W)m+ddUijPHG=b(R6dork-wXai48tFY`+(UmhP%yMraO zUm3klc8^Z>N8QAQCp*u@-5|63^g2&#>YLtyL7G=h(?8-K16Q)=aln;~UIDn0y@a`v zbvyvBWQWMWybRtCa7O$jX1oJWPJ|124Y+3Ait8`l)xtgK^AxF|w%x$4AhtEfuE1#- zPFWh>4%if9mUzo9#h76cW>g<b;qs+E?EC(f2MJRB0L6pkE%zo!x(Q!}ID3l0?uldJ zq1_3$uBD#w!27ZQn#~iP51f`2;&AqU#UAmM9_ZXtI{j~*H)%}R8WHYy<E=Z)b}t2^ zIM_cqfj6R{66EG#G{{$?Kr&_3$4ZZ5sd|ZXfi%`u4BKC2!e@VGBr>LBY<V@I*G)GJ zH<9dJIf!DIUkUMG!kE$-|HA6d0o4$+@PS``krPz8y#LC<!9Jxzn?6oHm$w|8@hzrZ z$NmgQqpGsB3Fp{|wMcplyhMf?n|;qx*T~xPFZ`PA79Atq5_FW$@_8=Hv0Wp=DRC@r z-}#QQ%?2<?@CwyS5)elWru(_8TDB>RGG86v%{ca1fgCSftsL9AW0Bw$kFt-|I$uUx z6<uYX*ePP)Mv&{Ae|!cJ(^ESdoZAS0owIMeMbXvDsoepHaE`KT);UXiTNGZIp4l}$ zxQ)2hIV1Rj$jX^r6z*;0OPzD8KWxppoiW~Rq!Kut1zSMCqH{Yg{M*nNI4B&p=)#Tw z9K^0sQjZK?ndoV8!*SAPSAwF2O1nW5?Zq^aIxMo$HTB{)vUomC^cogf56;9w<9U`X zN+dKC-g|gq{h5a))J@-!x```x?&|z%*{)u@W)l9OZPvY(B0Z(S@gsy-_W~o*8$zx{ zKw^22$h-MEuFQG?ZRRe|*BwvE$8~EtDXS^dAM3E~tIN5smaxrP-5-?B<~~x;&nlW( zvwxIKs+3f=p==Y2m&rEBvvXL9Z-9}dSXxn4r=%S;Kwu{4e6EAahd5}urH>om-n@ny zYFm2VdT_N~!o!5pD>M%|^!q^TbY2VsPShw0c=LyT(pXOC7$-S><5rA(75)PR>$^LL zJ;&hsB_kgZ>^f=ZFmOTaIIC}*kCD&)1BfVq2kZSHR7$E1^>nF1&1C1BDvSEQgWLMC z2`#$WpycyjVhN$U{Q0gg&I@Xuk3>8CAB%VsG5hXNLFkO^zw9O26S=#e?M9=6y9s0V zJjOdz6p6;-%+2(V-Fr<pL+6{KsGs_cM!)VO3ausaaKXR(4J&SNlRvYl!UI!LkP^>m zAXeCv`?zj<&J{jg!osLzrKWYdK}e`D{3Rjjs5Ra;e_l}q6J~fb&7Vv47Uxpz(<LAd zY9*$APA?viZb*a2abL#M?`IjvR`7fBx=|=!U5cMcUelfMp_8F7&^eo)XZWKm3p0$` z)}D$SCmUSl4h(NA#$uX1KJU2V|Kbo&*6TOn?mfjorx-_QK+gJ&99_t~{iTv5ra5Z2 zvkUv-&kH4y1ak>QyE?u+Z!(`H>l6sOkGpS~d(d+u#JUZUFpNB)iyPN1BeSSI$yZx> zTTa+?;(Q^gx13{F>C%6IvXg<gU$qb%RbrN+o7-n~%qBg3VB}e@lZ(I6<64)GzmcyH zpJ=(rRzsd-ZF01ZHPmmXd|tq|i_a7ReZt9ju(Ust_A(wWE?7O-c=70aR|Mphr$Z3i zdX&eJy{XS*ceezgookmo+hUCy2ekyUq}o%*myf!7*knv|ws{~0Uc$OJhXs3!v(`iv z5S<%`((}C}$Yn*-M`6J>)Er&AT8+f@ap_u6CR^cS8S$&`&trg<$-Ym{4|>eq*UiO` zTfel>tX_+Y6X@3Q5^_1-h7|V})I9eWi`OAFcieM;6G^5j`etsU3s)+ayUrb;N0y*M z_SH)**0tcIWnESvk<3j|VLNlAXM2y@sG_<p9KO1(0@+rNJc0aKH(a5fc7BmlQ{LE* zr{DSc@Q`_Byr}1v3*~4hNlOBJ<L<(Lv?-||L*}h61|O0Lk$D9Tp%6WEI+|ktUT-ec z1oib{iL|GDwUerS@8|Y=&{xmnNPa&0Iq7ZTBLOu`#}<LeV>MB5fA$WMF0-iSKy#zp znDWsvsdblvYFD<m?M286HOH1BOU8bF5X>@vA8+q{?!c2qq{xz????N5#JJX0-1PY9 zf`L+-@yC=@Z3o2i80g%eND0gy@-q%<*hys-9U?4Krtm&Qly&g=Ww=HoOP408Po9o8 zlYG)ECq0r2-L*p36JnCI>iikUo~?(Yd$Jh)*7+*<WuG$p7FVoq{AM+JqRv<Fl@Gqk z(M75}S{Aq0S6kjXsONYV#!6*Ek3G@H?`3nX3_-6A&?({H_dzKQ(RJ@z70o}Ahn9w- zXF)t~NFgG6<Ts=p*$~r*U#?q$*v~J8uREifBeK&fC+YavhbO#dgd$jCGnDNGCY4%k za@ZANgUQ=2C4wu-XU-wX2-L5*lYHj2!bMEc(#r=I`f{v^f>UNb*}egPF^%mWR2vJW zaN=uEmW4>VPaF|OksOm5JER$c&~RA@MACf-#F{$7Y!3}t9vK(qS#|N1CRv4Z@CTHI zEcCI0vn=hjTT`xV-9tK3?A!Rx4H`ZbjUJc3A|2l;Z~IJI=p2%!cx(=qS-_T=gJo|U z!D;<&;Iv1U;4})$w$CE>tBpxL%XPanEVw2(F}Bzyj7bx4HA5h2m{4<~uB%<`&W40t z_xLeowrlnkGSf$!a|`62U)I97__sUAHk{-4K8DwoJGOlxJzK;-6zn}6mC;wKUU(Mf zX{UHbX6kX6RxoeLALWxYN$Vv;L~*^!;;-GgxXR${IiuX#CVFnR^of)Ivcq!HIeHH+ zqE4bJ<5?KQPX8D}_R8a4x*~H~bO)T0RcT#X2<E#(et)nSzB6F}yp-^Od-ND~1nHe6 zwN=5+(>qTo9#}I)EhJ4&c!1~JtV-@ZdS!PS6&JI^xX?u5iIAK@8s_!UJ)(=GLeO2t zTIQQI*&|4MJyKkRwTSNYFi(EH%T4{((07ax&B3pW3J@W~sQoF{ef3n8pBE7e4;_B8 zag7`_2PS_HEnCB^>B?)`aZo&*4lH(eS6dOEIl(~$qiz{fWg}|vC)agQzCzT!liKE^ z4O=Kx*ZGCsynfvd-L=ax&Q4i%^}VH9Lfpy6t}!KN!gU&%(d8$rhgqgRdz))V2P-l4 z)Tgv`Zy`L1Z)?qb)00z)^!p#;1tqdI-=q=;B=xWVI&%@|7nn74j7qLz(%0quxZa#Z zt%aA-9Vw`Wr>};qx|XKGUHek?K9-2TiX)-Q(a-UQ&28MNk1IVp3jIc_oa>l^o}!&T za159h%zUm9$_*WNy-*Yq-Co%2KaO}Gm1%+-FC;9K8vkj9M<{7YIBum#$badKrBBy& zy3;`oKXxs<20pW|mXWWZea#*RrT3wO-Zw5i#Rwa>N{DD@8b6gcRL>P#!0hOXF?>hH z_0VP}eE-xd8Zm&b%@15R8-Rb&AQaHZ=@B_ibKw;&gZAlqdF&)jD76qRDSf3I+!T`} zx^B0T`JncJ%MK7NUb#?TP4$4yzD0H|FPyKyTAS0={VT8NHt?|>8extd4Dk}}Z1QdH zO9DUKU1}r4dWxl@iwxPV3uSU;4Lj|ND@IJ(=;=Q2JVYG19aQkDG$>tJ;(J$W?x*DS zKD*$cOAR|Ja0(5IycKC3#nL-J&dHB+p=hRyr_^Mfds5O3zx-FgvDkie&>gV6P^nnk z13WDJRWzosBn147GDv3O<FgO;{9U3E=kA1@mI#$z?Oy7Hy@(1d;>T!~nI`KoWw1T4 z<suIiQ={>Y869ot$v$cbpt(a$7%tvAEXZ6GQiT5Oo^hc+3MYPC|2-n(xB;YA<aWY+ z6kKDA-2j95_aP4sT*0ao&i8w`CKk1PcwK~XWhq1ykVg6sNWr?662ARoNQvwghV2YW zzWx=vXtZdmg1Ckj(%uXnNH`y~c);glABOmk$iY*+V6pIHp*jAigm%avt`$rl-++8y zWlhN!QIV08FUdQW8+WeZAP`M~fwIx4zLavVVIUB#m!1+ThD|OM*FO6cu?&Z?(MP5V z4HD*{#PW_>$R)iCL^U-wGl*#aydZqyvilU;@DU^1fv|CPXw#+9Cf(=uXqqX~Fb6Ed z%6HZgd$TE482$q73^pN%;g0rv!{S@_!uDnxtS}G-vr>L=kPD6X%)LS6z_0E^K*l8B zTDB?miH8R#zv1;yBU6FwfR_$;vgaEYe@+s#JKJxCAtM;TZl+}Od%+^?J*5OoEVEsk zI53Huj|E$ayo)EK9vXkdy@xCr8V4C9qqbP0)~HM6B3eW(vwU2qMuFd@jwXR{CV>~; zIzoKWypMNHu!uS&NUYzrRpjxc?O{7Xw#_Go)Kjf1aj}Q`9La6-=C0I11>9i)ODwU< z`1)NBls)o>N!m{E6GeOzS5LIBu4!KsUUjxq%65}|5C~R$en-6HHSVu{o8A@ZI}>zV z2p;e@OCpgF@U!Nv&ZP*H5%OhA=(%X~c1jv=+ln{7!8D)QWa{HFlE2yCj1kbnJ)4}7 zPH?_-^*k`VSl(E<si{BLUO5Q=ZoI|Gox(8Bt2c5cNl#7e$o4bSgv#$Bc`imtnIv<v z`;VoqttEZIgQHJQgT2>M7b`i3GrzJ^Av>Yzj^St%%sP)U{Bu8j3Kq-cnx>&@B9A4q z3s2D3v78y)`ZbYv=qG?6yR!;8GrM%-YSfN?Ci^&|KKOxJ{p6$<eq}S6P(RwSyH~O@ z)6FO_ON#O+<?Hu2DNrvNDWK1m3!;)C&2(RE*NfSIx~H(r=bkIj0xX0Q7GyF?AQXbF zZj40r#4HI$Ha87xliWWlCi*7WD2h<#wSA`i1x06_DP;DB-K=?e9esrFS_w~L`yceb znNB=p5^>jU&}Qvev=Q1*40^SW<8?(YWUjI89r0q&l}TYaO*Wg%nc^#7B@|cnfZgi6 z;!exB<Wm{u4RTIbH{r13fTpJYH<0WEUR1e{XVvO$2WFMC8-${s3!-hqnZ}U{m{)DJ zL;|t*7f)Wc3B?)e=4g6&u{k~iEHsjVz17ua1ru)ByGOKh8y$&yit~LBNKM~zHju8E zBcZIGsU4BK3KV4)(7MG*E6N@T)xN0DU?G1!ke(DGC5v~KJH+G>|AL`>umeI96Fd4M zN`{%cEaNMtZZ?lAJ1S4{gSuFO3-a^Lt=Mms=&IdBrAx}DSbNsORKr<LpY>yy*%Tm; zu)+_7b~H<@QUr?iOk0G8r^T8*r(V?eqNw2xe)f%xP(ntIaZ}3cSLfhqyLi*B_BJCI z&GUY>m2X@%NwQv7$epYc`UG!CqI_&HG2DSvQxjgJ&OPEY2P|^0s>%??{WVIVONXBv z!n^ilI7LS~_RiQ$@LljBW5*b)Uww1mRwc9df5kE@o~0f;URxsCL|YBA5+>K#8+RAd zc<?4X$u|fE4FZRl&$N^B<K8P9GN+wA>?4NdJ)cw5E7))6d#ee^hyD-!j3YMO81Gf) zBT`2=3NvfJQ?9ePFMU>wN4V6bW)RJ$ow5mUsGxS|m30Op)GHDlA2P1tlx1694v(S2 zZyuw4W4L-OrYZzTRu44}X{HW0hbo7&GDuE;HCM)cC}dp9i+G&bu_XidRm=<p-jlB> zAZ4+$hFnukA?j{k#cKnH6pb$K%lpO?T^p0aFIw+X2W;VwpD}3;p=%yqJxSz~NaSF$ z$qP-Y7-(xKE=vqrw1lu~9@rOK1n&Q;W&2r1u$tDzXVs9W{L|6Nd3SMWbtY|ONmKo^ zD5cH0+4Fi%3;eCMyX}Zig0xCw@i`(7U&VQee?puae`$O1lq}~Ji%A(!+UK(!niomu z!oQnw6;?_Eg<xd`&hXM-nd7TFqUE1n+yoVUl6V^YEnGZDbBh?lEbAyOFfokOB3v?( zC-+DvH)yCXq`v?z?pxzD?=$mLJL@iDMw0T-Kr1*)c<OO)67E-{(A?K(-y%J)5HyPW zl&m_C6^mm9Hs#g0{8c*YL@*zYnKD+G9E$m97spC$$}4DqmE6Bqt`_lCm~h9y4#2sJ zG~5^IfLQI1GiPY*8~5i1;>C_b2VYxSaGNg}l)SF9!(YGo9>TsHGdpS)+uHE8;~DMS z(zGrGePtbJg2t2FJeDvf!7Z!e%|p7*hGMRB8x5LO=2Q~Wg!0;A0S%{CLFdQg{j8Y- z_$dt<SmddeHM#O>J+_tIOLjy<OPpOx#f4pEsSvf@H<g*t1$Nn1`ybtQKOSy+Cm`9h zQpw$GBjf5KYjG!hgB^YSRr_8OzbVE{Jhra=Yqw<!_O`?B`SIn?MVEH3tClR-3qq8C z*mQnbi<-w{EQS9`XN>DB%(*{gcJOLxb?D`}Jng>!rF{M-O0DiA#3F@qXj1*O74JgF z)07&Bwb}$UxPWFR+p4QPGA6rNul4eELCjZK&Ii`t-9Q?w>n}GKo3f`n&v<iXP}Xt2 zXXu`>j->T&L}qB=s?Xf=ZJ0H6l6&~W5#~x~>$JA@-MU|yj-lIQadSc+cf26gM08P` zHV4P&`wMMb{(VN(kvCSN!#DXCi%@;mxX&CA;oP*3gky!+1<M6Diaw{JWb;FZg&69A zr}eH^$~BIsyJ$SCB&(y+4W#OA!pG#Ac{x(8Khrd*&7SYf(M3Koe;z;G@SsPwQdsn7 z);JqxVoi;HfkxYT#2C5xakRDO#>`{^L7uCby5kn)Sq4KjE_H1rUD?Dz^4apWr8~Pf zWW4;YV>oXSq1=+^OtTSt!m-i@&985L*`K*$Fuz-t4g1aGu0G3a6HKhQ*k$A?!m_$H zyx6PP&zCRSO;@XPe_t*<{W_l9sShQjo-ab!2r@l+z3jQyt4_Yg$4~n9uB9G7r{SAH zQZ~1i<bm;kXL~1>S1lJemIbZcJt2%gT_FtRvtzVzW)BVuXNUADW}II1>{VDn#C-*L zKeI3r(Jk}~F|K;@N*|C<dYTlf3ldBpw==woPxl|_zOc%a=|aM{hm;2f;xnYST~tr| z`^j<DLLc{VXX*v@u@oJOCeGg3G0i;KbNCe=XvL&vsvrej=wh=jP>rQRjMA+~v4+s> z^`t8@Xh!;x6nGzUBzs=2w&W}^<j<6`2#^=Klu7p<RjV(|ijZLH)@VIiIiJe0-5+hq zakS5$sU=1{nRi*8&-6ZBGbUhb^_#4B=T-~mAul?W6uX=Qp%0yt_2%l4!bW@cVwaai zzf1HFC@T|$ju)NaaW5D9p%9^nNd<CuI~Iax-ysa2q=oGEypLsA$rz8@^w!0~my4X= zcwcuL@ATfO{n9r51@4?Pyq4wep$WOW48m%g(5cn4G;__an%aT^^Z}E|*VAhs!fQ{M zK8%a0#?k*;b>f?Ooi+Z9@a~4|cFA%X`pk7;K$|hIiY3L;*yzt2S`S&sUOBd9cKWz* z3sZy7+sUcWHO<j8t0;ZmHT*d{r@AEEHfon(cGML?Y1@bIE09W%+)`KYR|Fm!%_z0W zMXrYSHHKyX*%nZxN=ab4U=x?ZUY$y*IEX&Lc#jz4K}<gr?>Fb+@L4Do$>`L%4mq~= z$ZAGSIzV9AgG(1O*RB3S+2g($?!Mg!PbJy-^T*Wb$rbwjA5B`V8qa%VL!^pqonIYY zh&@ENF>NLfHJD5tcq*BQ*WDpBlq>_$-yZr&kt=cV25tGJ5V6l0ZY+Z9$czo`vG}1# ziZu%psav=2g_EO1qo*J()m+`whX|V)FVUx`(TGWVv;IOZkXD~QR~vk{#)C2BgEgU~ zgk6tMCpOb1u0ww6+AcAE>gq14bopN&R{U`BCO1ESvyp%dY~0=%%+PwE=9tdkJ7HV( z-1Zz?Fn6(c+<3uU-JZ~%r|tn4blg%z+apN%)`_NTR6UIfZ&;-D9@+AC1Rq(o)`u~N z(Xy6`&i$IHhmeD7+tN=q6@6StZ+M8NPe1rv*b9LE1La2->j==0FhmJFL0|@fY|wrv z1c5nN;2{VUN4StrCQVcAO|EcFjU>%c?FsQ6taVp4<qCAiHs$hlyDjAK41X=bshu4E zqPJtelZIb^QlC;=^&%}KU?tjfGe=DhFAX9!)7xEeH|#pm(9l`)UDIRGu25n8tg&FV zzy@asZHFJ-5r+qTixxyp^B-10B!m%)e302!)%HtWglB9Smr(JuU6p{gn{kd#=w6&s zU3AA~m%;J&q#r>as&L@I9*#MuJ_7GY2dk3c6})NoU$bRq@({e2=Pa7%IR<Oa2N3y> z#yw$zQq_%eRgKK6C|5EzveFkVa_23~s|2u%R>6+xa7L!^JV~iWjyB9+FLA7yLz^$E zBSB*$$`&*>*4RN~!<P^=HsnG;|AVO&^gr;8LH{G9h<EpE>nO=-r12s8XBS8Tj{9An zyZH<kf}ci7*ufv0Jqu0+gxod<y8_>qMcIN(%W8{t86%xK8bQ)zEc$NlX07(!>e`^1 zXBIPNcjun#8^f1+T7Qm4Tj4>X$3?rY+y1JzUH3Jo$J|1z@RN^h>XZ%UE5-*(B)IJA zjQTBKx<-@+dhimw+e9S3Hr_TimP5FpY|KA<@TTwrJbgWedJyhIx`(VOb>7=9@81`% zs!SE6D+-S&Xd45qjnuwhcClRq2OZ1A<^_?PEjsft0^n$<+gO-6tA3CqFTr_@S#f2v zxeM&<YithI2GAkl6sW4iII&{gTTg^_F=_|fxS?$CGUDV#;ms+QaSASAN1vM-SKeFb zhBZAHmVZr?O$1jqv%Ck#upaGGj_A~FBTwn9s(v@I@3`8rQ2C+P)9V7A69BTZgk#Vv zFlsV6ncjO@)pDFP-L-Xg8=*a&hBJmm=DLKhZX=7Q)7W;!AhOWqdB+mgb1*rZCW3=? z7?!$f@7_ihK?DmHS?Rh$_`L>nAt+#xwJu?l-)lgG6&Bg(@`RxOUIdyK!m!X*7do7! z$`6AcwRbu`uBMb72osQEHeT#fWhx)^s7-fM9atovjhFm)WW(L`Gc1zLmMFnK%Kq)z zWLHI0U|`4E(RNoVaewS0`vFm6{~(6KctB`sRg<LTBaIw&(O_B9gs}>0Bd<}2ZQ7|* zZJ)%J(Kr(__ahAWG8}t!a%E8HoG?vs`nnnIyI$iXme;J4C8L5fg>$SKz&xQI84>+C z=PW=jH7-j8wanTilXj582`ek+v$hacjLmph?2{?CfPr77Y-?No{qHTL(|JsUl$hk5 z=QP?ZWSaZ!XoZ`i%N&R!$;%2LN+tAVC#oK@l8E0f^5&<N%_{G!5g&O@7Ed7(MK+AU zJ+3+?gC8Dgk)EjOAQ>OfcaC{>Bhq^=P|gH@)n&j>no&iUs@4^!n5M!i>03VG!a}Xm z5=Ji>L^+tXjL~@CIj6VOTJ}DRx7)WM>-SIA^R-_060bkW_UyB2CT6S7hn$*g=T>go z-+!MG3OXi-TZfgLDR4)cB!P$zc;f4nC@eGk@c0o2Gb6v()tO*<rmzkvB(X$dfG!J; z(C5jyL%(GKEzgBBuOrP1FGO`p5co>}yK%#Fg277ExQ5z8yLm{qt)5io<7^X(si6O6 z7LsXQuJ1X_Gu7|}!q4ZKVtbw-V*BEN{7BQ?7E!%_7Bqi`K!Yfa>+X7q;s-P~_XZ|3 zR`)U4NoSOWc0&X?qe9JYmp;u9&rV|(L*&0&H+^<!1^2||nwL2jgjYC^14HLBNS+3c zI=t>13-Nqt?2>o?1r<-qn7xQ`QZ1n#={uEx=Wij$@%^KS4?n1Lfo@GytnkX?W2T_F zB}CBHDSh3i8S1HG<WhSF>?<0x*E6O-AxxZYV?tBdtw1@6A0B16@iSfgh*I>nC$WV1 z!lix}SKtMeK+af+gy1*iURTi7iHdEoj}_&a$A9iNX;oKz@j|h-DJ^e&YVl2uQ_k!g za|nyN>5`q!Tj`s7K0DH+8}0q7x{6r{)o;H~MyE5leGnU=Vb0BGP|=@ytfL_N>w&Q5 zPajj(ovjr(<>@%h>9~(Iyd<6OOQC$j9JRbOuGT!!C!HikhlGFMX?J^#v9fg{Y2@Mj zx@tRQzOv*Usrt0PAwiR+DQ*EI0e!J_Uxf5gRaY+~xgbfq;gTn#R`WBPjXlpK%Q}X# z%361)x`}G4aR@d^_1g5CsfI<#!|A%z1jG|O!&<^3RGnPvSj-c=t;_EPNE=-Nj~0yZ z{DjZ^Z!(=5#GSJi$M#oSURS!*@%Jd=Pl-nt3nW;ui9%|pq$!^AD@2(<%H8|Lt|K5F zO`Mn_H%Dh($0t2Q#|IZTUXwM|mo=W%mDdMd#|H$JKji7pCnkawhkBAIcu9)BUG7Yr z2cO(b=!NnwIAmKLEEOnD_z(mSwCrbDkkewWsF2+!WXq2_9cVc}eN#5w5rtwkqgyvc zzKJWp;5>!@_Q1zfeaE^2VqITTf97K2R3uWrYL!AMjv89h<T`_1t(d<Ocwc(zLAb)n zp4iqsKTpxz`r~zl#353TiULzd^26h=b-*ULZz{o=TQ{B-C7zN6f=Wg?VVpDdGQOO9 zR~N!0KbyE;5e-20Z=Hw2R1T-BwGuMNjL>Fgw$NO^6m%|0kfTgMzP~&9h2lE3*V3U` z_LFs3d-uAf-CZxY?^E!QQ7wPp$dJ(#_fn=Q$r-9OSE9AatJVK`^X$LUJNuXBnb-fl z=2;x(L{~Us-?Z+q`1phaQgcU3ks5&_!R%dPoVY545j?S+=4?Hm)uDdbA;Wdkj4vl{ z`Vo-0^o6mM*Q?WQUe(p|N{fbBaeJh1AmMDoOc{IJ3NlH;wO-dX`y=;~zS8!za&4&^ z`hG1;C({4*5HIq!1Wyf0@cXb5{2uw8olm^TU2*$MbPt#mFPTzbDa$>tgLpuT=jDT` zSv=#5zdEzz+<CtmQH;@4Os-|lC~dn9k^4o)KXZve_viy_#`WspjkfbUGe4ur-Jy*e zMbGOSTS;YCR|hwC4t3?Da}{E9-bt+&<jB10d`}vCmLxyg*jp;lPU@WEfKZH7V^7gE zXz>*igBBkL&D=7h7pX_1JxOBUeqzsoMq<<cWz0&xko7A87StXGRlRqLE_4u)IlMDp z_2uCUua7o*-?XiBo;0p4<x8Iuvd&C;=hs)lO~Ln|xAQQX)Y4qk`5ydS45H~@bOT>z zH2o&^AKw%YWKkQZeA#Yf;dB%DI$I-=mT3%H06cn?{R14x3@a~j$PyGgI6OJfm3@%g z->7tz$H^zF7f%bfKN+r;aZs*|3HBhx<T#f4A(k6cZ}{|qy^-ycnq+D{C8BUje(g|g zw(vCZy=67~m;HrNPn&z+V&d2d6%;N0R5I?cO=*qvkqD)e@g<hGHo(AfYqE=wf=YX$ z+Y`ibE`CL;MNV6%>vvRS)m_hr*lJZ4m_tph(QhF7dqJMnr_Lcdm)e|e9?$)wCQEr- z?6|FW`;RVl>aU^2&BQu2Zv-1Yml-WC;n4_Z6ILp>JPl!%R#V}4ks6eUsd{LVm72+k zCmjB5l{?Sq@POIv_+|ggq@d}CDtnJ45J7vQmQ9C4pb;;PK!>C12VUBZHiugQUfPBh z_IV!Uc=rmS)-hys>kb~PUF#jKUdHBl<pBOPEPH9HWnYO+!;VnRUZgyJn$qQvpRrsL z=Dmb5J!^*inf^=h<e%)_G<t6@hU3Y#?A_k<tfg>g`VYr*NF;H6Sg~&%_2^x_vlwFW z$TXdbp9Z~y=<U;n0NVSty#$8>`ad^3#G&+I=vWXMNk&2<iH3G86zLC2?3}*uKT_d_ zprs_soKcH(PNeaiO6kmu_9lfx+eBrG*Jp_2zt-<1Bz4)9@zDBrI5>yR|FQ^KSurAC zdutu}KgfH}sHnO%ZC5}*#R3r|CnZagoIylDC5b3G=bTfK7J`71B^4PI1j#v5l;oTx z=NyWhGiRai-ru`>@9y2ZzwXmxobzLiRkP+AYw&|n^SSQ(e&$5O4KJ6%8#A47p9qqo z4vV&3%7T~r#490vmyh}VZnf)&6&*ys;xe=##S<f5PxFpkOow56;NH5A`o@n8O^TRQ z>=8o-)ncQ&7FRh<5`-V+p4pPkv5#LR$}%6CPDnGZG|V9r*AA%U<BhGHS2W(wyq)r5 zH0YV$qtN4soN=GsujLuTJ<lRU7qq`~UglZVg69db)YiI{!!<Q7oU4g5IDPjikjs8y za=YVQ(#V!IT%28L<Gm8&noY&CibUs$riT5o(ye9T>KZuw-2903jWy(%<rw{#sP?z3 zXycfGB&zTs>r@!G1B8WB2x&G-)*2uvzfExm%IH|*{++{5IG1B#QShjCtTvNrZ#>sw zwQ4b1Zt7}x@c6*!LpcL1(gE_~P2AlTlcAbPY4QOBk*<3JRS$_{#pTfGiD})1M+cBE z&)FsCnPur_=WhyI=G=NCiIx2*S{Yf+T-{(1w@+HikU0mjVu}%x%beSH5YG}2yx4hJ z9^?b*LIE03KnYG@2$qA<a{fz#v)WCiI%#d9Ua|{X!DaHmcnz*_Uxq?k-!2%qotz*y zoH&z$(9EUQJ8mN-UHOrVu#Why>gnl_rmq!70eVN1*{kN}N*D1g_Aep~=#(^EK+)=( z0>3P$uwU91i_QVKtGt>|fXGH}fwrPz<9CdhL(K&KHkf!ML<IP3)>zG^-Sr&rvNt!c z`3_rto>ja>#^sJ_@k{Ka6-1_e{t~ZC<@)_6t+F)>bkklMv=?7o3$Rl#qeXdmwGlsU zH|+ISedxz{OMSIv-+sj1dM4sfy2Kat@!KhHe)Fqb7uAEh%+mDNHh1Q-8#mD^u&pW0 zm)2_vtWu_}!{3$?LUyyO%-Zumw829~YgOcDm#c%n^|a8#gww#SQ))`^Qwzt(^=;$a zm}JzyBSzh`)LW*@4+yue%Hbr|&8sC5WGlQ6H-B;tC-asgpur1@3Egy~Z%J|Aqvv0q z9+yR!IWbieqTO4Y(8)_Nhzyb%OQ}%oJ<B`V_QX@6{aXA8y2$L|D(rSyb18p;n>-Ka ze2J40>pa>j7tg;j3k)9C+_#U{uuMZ2UUe5r+*jw2eFbP3g)I_}amgmHVPB^shorXc zXb^Yya-U|ucf{K1o5>VQPxT_vua8PMlJZHx;53<Cw(h(q*}El5_;MUq`&s@SHR?QF z81MKek*T^H>Xaoa3Ii14C1(u`3O8MySGuAFx2RlRxA|2204DR+-G5{$0k*t0($h9l z>|D&}yy_QAFC-Th^>1MgS#6YP7Tl;WFX-I5*`h{Wlipqx*&b4KgK<F^-toK|UpiNu z+O#QDR%{{{9XvO9>8?RSNLHdlzChmWiIGQILw>KjH5qYk;|8_ky7QNhHLPVOn~P?j zcSqEEZ~-gOEYb&$2lkGk&dKHP6dNL%k2#7==ddQ?N~o;IS#1yTmx@bEjvYZh*Sq_r zhZ$Kc@$jT4VXt8+TJKiA&BVv@;|7&eTnk6?n+tkDVvz+y4n#}>-bjA3n+qg?;5gch z2#edhg9{w@e*(u!Iz&h|?`$@3ED#_DdkljlVDwCwzwlv)b;BU!0PTB;_qT*}qf+=U z{WJ;}OT)@KhQtu98a;S@pZFh=xzB`QRe9qe7iFCHa*Ptpw0qWsZpFQuZrSA7-JYx4 zstP>;pjG2D&V!N%e@Z3^{w0}YkM=jor1F`Iz|TiDD#<K_*-ftYN%^o+R|pmGdZ}YE zUn+wSjfXIwpr!S9L|_G9`zQU$+HyCB>EXVB=`hMqpk|0qH3tyL?hfhEI<Lx%UR;8u z7Qb_jM<^qb@_^G}7rX89y9m)dKtS!{xJUGIe6}?yao%^3wNi^&-qA933fwBkJ|PNO zKe;l5cfD1PhLx>Z?Ka@esuU>e=vkICx!={*<-f7m;$ytUilo}G6u3FJFsG6on1Qv8 z0{JAX>4UtJ@=otvzS#cSO4{0!gW~?<Z?X{%LV`c<PM(ceJ3HSF7`x}jfjTxlAL#hX z)IAuTrWpKTPFB8*n;E!iojTWtAI;V4np|adonH7=I?Zqc99Gb;<M+dOdmmM&pOCoj zk(TEy<QX=>2qctF`3z;Zl$20z_B}Kt_cIhO8G2GJ{?d8DeNQm5gs3X=bY9^@E+UB= z?Il<x1&AJWKqxt9M+WqSY}R-9DEJPAsBp;KZiHi6b@xctcfITbt0O$(+q@Z9U;rvX zos7(*B8e1M696H7tdK|n<`??>3teYApDjlToVx4cBxr4#eF*(Wk*8;5e~CL)-u&CR zQ}BT%QF%oi1c?G9BZsBdrygsSpz}g4GT#N&)aa#2J)q{zBKoAz)Ee+u^3~hEy)OYt zci-*5P5-*g{s5l-F33|$qQ~>G>zZO;yzk>$iItSQ@@YrDOp;O+di&l?l7!$5V3OP_ z)pO8fdWIOJsGUyX4aBV`PpOyaCVJXI>>I;*hus)oubTmAOnCiKn7NX@gZK-#@rR)V zTs3=1lP==aB7R}!<^6($fd)G{oQ_|E-napAb2*$`e&Ld(eX!(^T(NK7cJC&N?;$4l zO8A_+7{o>MyPRMo`ka<BeB!OOjOCihw&Ga(Hv=7mTj+l&EPy{(7W)j^jZ#pzpBTv> zQ$wTpF8RYBEWY)>Y<Bi!?HC*jBcmIb<PVVEzJY1ars5Vs_VIORA4E8Kjw`0`R+^!O zzM1vLO!}bfEWc;SLvIe355bkW9Pc?m3oGTW(;KmNJH<FELyHI!F@6+A@sRhaLBUkG zk$_@YQQ-sT_Z&PrlCP7zBXfr{zB1}Z@NzARkF?&?uY}8fWEWtn<Mgw<TZtaBv(1zA zKIlu8zkW~`WIG5)tobz>_*wSDb`U3re&vPgNA{|6KVu<GVsWka;4`*;L7aS*US<?v zBB4zJW0~MJ(obK=#p-iiCVHdFW(t9Uppc8%=ek(*g$I1iKE?#ZWP2%Ps4XtrF|ayz z95bftPy-bFl8UrLlgH<;gLxtxiaie4i2|<Q-S{7cD>F}cXULjYM__?(a2)|=!eu&6 zXZN)rs|2MN5k<tljn64|=vB)x7bUFa^OJq)zy#B4<8#ePchLS<clS85a;vU5-E!iT zQ~ZY&(B){^WX7Sb;8sPT|7xlEbdQ@86pWn0_B570J2CGW(ey|FyFMC1zG(;J-|dG0 z(R^zWi)r_Z^W-CC0O@dr%O*HTp|R)j$aDT+LDZlujd2f^<x6n=;2VmnDm9*#oVjki z8vkcnugKXbvx0Qxv+qKo&LxM3vYN5eR^OzDS;`%DFJbx3`Ge3dw{!zPzYEa<?&FQa zy~B={3t{V{*>3s%iI!Mc)@`!vp%FJ=YkANPWqQI=b3QFL!}@%A<>ZduwGp4uNhA>G zX#UHHH_cE$6NuxsK6=x_6)VsAA;jv1<Wg8MZp!kpUgGwFfqXvwP76_RF<0z|P`v$; z!nJ+ZT;F%N9oYx!(UZD7vZujnk#8Ma;kYss8E<X1svf>ejJ`ZhqbvKlP!7+B_@e+r z86iA6oOiAZuDb8x9+{-S{LzIE^s2#r2ejFBPqGZO$8Jj>61TIclA4CXawMBh!||dG zwA=6g`jQwv>!n^qLXMPH^3nHfdjd^1;62$sP56&1HW}1EDmI`>Z-aww@afj2Wmh^- zya+K3?`(X9g;Q{rR>Ej(n%)$)4@b`iccxG=f6)hn!>i8i7-cgh8%nm~(t$1ann0Bj z61N_Lg2w3WFf2N6f`f(Hik2zr?8>u;I7)s|iyt2wJC^U<AH{xTei}@p=o}y+Rg?bH z<HYQ+YH1Iw>ZF_3S;4aITd#rQ)p5xv4W|75^iTfRd!#iQPPd5`=(Ox2te@tEU%nQ8 zi&@}TZF^^~tL*GXUhG)QDV<>SaMbYy-OH$}*8Ij>Nyp%6uaH-OBB3rlf7tw^zbm|u z@Op1$z{7p~C3p3W$wyXy_V8NCnh#tT_g-}l+Q7q0o<2+r&4}={98TLmi}jJAH*e_n z$P(<V#Qqeswb(=g7E~<s*|>JU3o1Xyr@`#+pDPxpioNz(4FXP1NI5BR_s@DH`khMZ zz9=W>;HDLH#BV&O+|u#N#Zhxp8^Ac?TXB|3y|usD8-HOxCAOUsj_EAe+x#FQ6gxr+ zU+gZhJBRn7+N9ix1JVweuD_4`RX#mx_*Fi+i#-r|npZ7Tf?D`ffGb?dJ(Oh5N>&OF zKW2~6RshIyYR_A%@H5Wdzl_!Xax%oo!SiukYHehTtN3>TrC|@X=)&+H=XE?&xrj71 zu-Ev`?a$AJuTQp@)T<IPZL@SrmBu7UL17y1Nukrj(L(er8P?xYA-<c;Zw%{%e7dJK zTY+o6HWI^!T~>vSYWLXr9&HY>uD%E#AL%+kMP+P)sZbxc!krHFiKqeR=N!TL6LtMV z9zJIzIIWARa6hTDG}1xXE1h=3<H4}g2RhLU9(GvL!+1G!5+Snhouy_J*_aWw6PD+` z8R|@LO+IsO?~Zn*Iqk26i$pVD|1cGAefivG3vSQ-p1!juURYv*EO+?X*d+n%+eeTb zt~1?#R8C>}ncP-0@RDa*8QtYWtV0b}S9%M=Y(vH!O=g8t3Cl>c!p*qdf#TqE-*Vy} z-Xac%k838C3>U+ME`j*19gm2)=mY2Pj%d`Vkx}QjX!<dmJNof%Mqk%cQ`vDKY%M^K zTFiqZnocu{*Vd2MoATq$097kbegSpI@)NfM<mzb#5KNB=bZno^pJt>EhF+b|BgjK? z1gJ@!EjPlEO}lT0dDbp=!ueZND_JLkt%P^jwltd-2{M+j*jDsPN8T<QcZ|tSPfWOv zX#Glb7h(5LrHfO^v4)gZxm4Yt><t_F$z73mLycDHfaH08h#ZiGttiSAocuirRHSrW zq|rAL@hTtC{w$-^&QW|dZ!Y85ounlSXq}jQ%j2D+7E39r^art-M&EhaLpTR0;5PP{ zK({UO)RRW`pHNw+(BjGNaqpWiTdC^lHws2Q&{o4&)!S>7Vvt@xh58rWq56o;D7}7{ zB<!G9O}x;4MH6^gMZJtC7&sM`*3|p$CnXQHMb%CY@o`5-s^U46)Qa!)<6|7MYWZr+ zkja=Sw3f}rt@rw&6x%<#DucnY>dQS)blL$$r(P(SE6)${NewNvZGeh&xbgJpL$N#d zl#{A=Yob<jn7IbtLD54*XgbiAAMl?Nap5GWuGGAd0+QF4-w$+X25+-#)K;Qs0`_QC z=y9ZBmakh~r4BuAFMnx!AoaEFK=NzbAb5F#@>7O_MDsJwNnd4yeFvF3sIR1a3x0yC zMU5S(LEV?@&Y%$xNDkLO)g$?effv^IJ=&5N_B0>NrH2LPIESu)2Kfme#oY903s&J! zqrccg+0IW*U^qR%MQrHn#uM)a3vMg8PzXj-yn2_cM1$NTT)~W{<VVZ(;o{<-L?8D0 z(qj7F2QE{wK<#Ne!>y_Srur1(AosOL*HM?)wa<9Hs^Aap>1fk(S|s&lYg_I3Oq=1@ zOxq%O8II4Sb|^C!sgc9^f;Y`dag+i_S7hJ$&=YF>x<Yw00!3l4_vW~9@~q0pgd6-K z>0R<KnGL_@VG!(ujhOPHd`cG0Rw%?X;r_toqck6;XmZ^xme+(}KieyvQu?>jRzhu0 zic25CL`V+I-v*+Ax-11-w1H%EO@&_^FTYTVB=0*Toj$2#RyG&6i;;0&W?-%B>gn1j z-H^4Tdd5DUbi@^4k0m+t9&1Ud4Q8YV;kg-{YILhSMGw?#?vCBW-bVKa^_nNxbTFGt zT&MrKR)hENR4U>BxKfcB`0SLn3RWuko`0%TMBo0WDwQP80^8-=Q{oR#zbxR$H5X*O z^Q&=Ro>B&XXbnB9qgYwGM+yFojw2;cPLKWfLQXg8zY%iwZw@oI4m4*e{wO6-l3>ms zvQ~BSAAKuoEF_ub;xm4SE>v-?F<JncR<85~@)ZT9RtRB2)0&%uF{DB`0Ga})#@d7e zt2Cw|R{b}S{mtnii&I|psW7@WnVgdC8`bi!;_af1)b75VRxel^z4R~j!}k~+&+cG@ z$(~XFTI-!`{^hlvTA+#4bZN6g87LlhB;CGNaQ?5BdWwC|h_rTKLAl<sQMrC$ss;Ql z%h=wVZ0=u{i)b4_8ob4CW9X=nSS#JK;1ZR=K6{d}RE_}N+y+b-cWXB`3i+Vb57Jq( zrYuATxmW(0^NF_=*rdU<(`Za|T{_)cI^@m&>>e<1o%w+)t8B03hgu6}8WE<bHwM36 z#;`}HO*IgT!$gm5sL;xH*ZNdRlBXO_z>szw;mO~Ki}Vz=4_h^`9I2Fd^yeRbh`R@` zQBj#!)~#JM;C^QHP=rK?yR`uOJ3C#NiJ24EEwd+y?4p2vGqtzpRppDZ5FWn$wFqu+ zd?#pe;tJTzT5up{E^j`j|IrB{NFs6F%(|ZZE4Fe@BU4KZyA6f>0CeUy{$E>i-+yj1 zpoj^Pnz_BVXC#lyFNG`x!paa$zh#c8I<hGo5t~-ViJ1o1jjl<d4mFP_>%{^%-b*hI zceE;ncx<+-H}!d>lCn9DHA{Esx8T`>&^VU;mk{Kom2qo_`#V;`UGCCmNDWM>75VdI zXspR~WJ5csX1VFqqEdxrD1OgflVwO#(bKi;Gh&-({zOs<@WWOhXCeG{b&6{J85OEZ z<FjO7`{(v6!`$xe=E+?e?TZPwcbxx1`_<n%Nbigd=JRs@zW)mN_ZzVOMGt8QZ}4yA zk5|PH42yN6i!XllaWoIdtR?)_K%zTFHwyh_9sz&r9?gtulnWKE>OP%%Doq!+WWF-o zJz3P){L%R_Q*8-DQRC95(AUu;2Yc9t51!s5e-k}I%teL#QtGF-+*=*)Ak|IpL;z=c z8TdsyQ`ACo#9>~Qa~lSe$~fNRnXuW%eMiCPl<Wkq-0X00<^D8{)<QucJo7%@o)mPC zo;|#86*4DP-}Pk=T*I}<F{LQY=V863xMYkkqA|Of?DpABDENwdq2EBC=<LJ4uZIBt zo*t4rnO1*KABv8lm-`9l&n;jQ<GMH7M$IN%p=6K%-txHk0KdfNkDTNJMJ2j@Y5o1~ z1J6>hq_OYNUY<)4Mo;~ikw0?KO(l)QmZp69n3()@m&))M1MaNEQDsD%stqV{2pH0) zN`XUt+Eg}hq(`goQe+S$J0#M^pzWHUX^_@SOAW9Rx7oL~CP+(;X>iyrg@1km+qb9; zl8<tuXk&QjC(d#Hc{b#3?jIc<+l`SAc?`cxBsZ+pbL@ZZ8FAE2MJ8!f{nXr=9Iy3| zj0lVHD6fm?D5;BpVd_Bk0R6*db9k=7{&5^OeWj`8_JY~eOe&J!BUx<cD@z5rs&wxE zh@te$PwELB0sfZ^rTo3$?h=eO1aj*?VJwlsY0q|gKIbq9em&x?-LqHC8}eIt61&;0 zpy3^#96xS89>4JPgI<~NmMQ)+LC_C0!p_|Aja>4H5;ZoVmLB%!uQ71cpY+U7y>2dJ z$BnF$d;CJx>J?sEkmVa{hVpk_5DZk55SWHHBml=@(oULw>#uDIu&NY@l)^5<Q>o&m z4Xw2px;<0B-abfME14Y+%08KP4qj~%*mXs7Nt9|EO&76k>z%}-l!%&FTJTs8TI$(R zB-ojL%0JKkripYy=?V0AM4vjUoZS&pj&gI~6{j2)Y7CJC!ZC(IMqqeD!TWYY;F8Q6 z?~Fi@2TIs>;WuqoD-R40<$#O%(yzt5;@4uHY8PkZdcBzc__dg0Jn$G(*F~hi2~c3) zs7|*X;Y~iRAMf%PK)@@hFQ%F})ue5E2)Y|xe};j2H%Rake-OOrHNk5FpB`H|?)^e| zbpq8c31cCJV3(uC>``P@7j#8QS>G3?tXwYJpmxpgGQWJ8Z#;;)4nvrinAIFD?+M1j z<5iqNAIBTQ1?Pp8C#T;+%#*);%P8g8ApM*#^G|IVhClf-Ra4i#3}lz7&*;;C(Uxhe z`B!b3y_A$A&8^uBIv==Q^HIC%>AvgXIrJj=lv<?4%{ZJwj&rN%b0UxZSVQ&(6rf$= zsT_NO<$7)7ua+CcMP62PQgyJEn8t|=k}qlaKhFuBS$yI7U`hSG5*m_VF11e4M@9;T zyZHl?Y*z?|7{sWzzGph-VNl96z#3jgUHd`nL5ZYB1FLlzo=1%jN|}gB;n#G?=Iwq; z$xn}ZtHrI$CZL#gKCdVy$e)t}fW3%-428l?_H#E|*{NRnDH{`Es;bd7UMY@8Y?R`B z1y1G}8{X*mYJCko>G_68X1U)DeS7N^iT>i@)~Y7m*f$k3a*~%!o)&lJtXLQxGCVP+ zns<1+k>)mN3+drSQj>f7F1gLOn$TKNX6hrbBo-VCCG6Rh10!38TtjTm(g7>V?Bt?* zjbyr(UAovs%|tCV^jv00-v3TZCXoaarP4tU6crv2HJ=8~tYLxU5O6%ZNCZUR@4H+l zATP<yEZn5ZgY<2Vlu(atcw2rNMQ=$vUqXFruxHNVcN<gCeqvhDuI>YcGbsXXBszrE z-A7FGR1dr1TqN(<(L(X^6sC*$VxBxD8^`bQvjZNk@3-_!Zu#O4JX9t5%2dY9uMEqL z3FgdL(Q6;7$zWFB^P4T=77_-US?PR^sSKvXqI17JR4HrjmS%?ZU7AjbEulyIy|&W{ z87t!YaKULup0a;sWU9Fz0~a}CxE3_bZ;0Eg`v2d0NNoS2i3Ce`g|Pj9$~Agb{Ll%t z1kpl>jO;%q!Fpi?YT2Us=iGG}x2GhVQW=>|Qw~HNC$&CaNl4riO<k-rHvlGf8;3ej zplB>b=5hI7coDW8_s2P>Gjopnzqa02=M#OV`gP0wuR@1B#c!blIMMv2bDYIb|Dkh) zKC-wt$RU2weyww4YJ)mQiVSEPsRdz%2vfA6wIpFKyw}Hhy8EN+WE8BC7{PFj@gwS4 zU};~QW+K5p&?gmV*#18fI<f|S2^~+A{t!Bn+1CFXbdFd5lF(6Enqf#ZH?7@^RXD|U z=g(yrp?p0|G!sHd5}gZHTM*jI1U<rNGW=KPj6YeK;qU8`**8I+hdARyrhE-oWQpFf zeadsQTYcjUKl+eZ&KU$jMWHw-IVcP7n>QCoSV%9ei^zuhgyt>pPpUTsmd((G!W4r+ zwZVuTRu~M)kt)1@ohSL4u+}?$+}&eXsYV*!U{EC}WqX@qMrRY<{5nh$z+d$xLm>f! z6E(Duy_~(Y+{tFZ48Bb!+Hy~fSg5{vLMaxO76PG08@Ur*-W~!GLb;>jU=u@XgFWwH z0lOCl@dS9~n9^SU3kebW*k`Vx2lnDG=ubA5z8&Zve8fEYRt&we82?8Jkq#*9-V4EK zC};TcSJ4wO)}&|sr<OnYk;%inlx5bno|iz+SvJD4>gppb(F`Z=L<ASH<GM@9q23<} zeIMN`Icvs<qp8Ufudno5ePH_*0>gRtTYjh!RC7B^&+D`<@BfC3Ru_O?btumduhlFG zD{_c8TvCpZoI9OC&lcw|!TuSWWqQ-i`A+G<n<4Ma*WpKCi=vnHW*L}v>hB!jy|mwV zM`wST;eV-UbeFuVs`M;VKdGx^;5!O=S6sPUrhZ&!#@K2P1^HxHQ0GJA_Ypz&|55p) zmH+4R=OscRFgy#plGnR!KJsz5G;dngKtE;j&&KFDp*NYCEZiO|Z%To@QyGUr6n;le z!P$<N$yzubZ_|n9bjS#d5g6Hh<C^6^^NZu`?wH9ulYR01!-rRn__eq6%GMbSRgd`B zcVF=u^FD=B+(UWYag=yNAD>x|9<onL%qkgXG<*<dFnr*phjfhu8W@uQbTuHH8QRC$ zjOgz(xOJeC+rrkeaY@KBa1DFy@rssrbL2k#>iJ{RQi<9{e-U4r5dovh!F>RTomm=o zRZx99qJL`hL5P@!@9Wa?2D5(ehatt!HC;Q7qVyfneAXjp>ruk7!K@1vZ49Yt+7v(A zy01I=*s6=p9|rYHW?p0^P~0%MGgtc=RjEU%&WxD4E`fbJu%N%w>(=st*EqQ8dEB!$ zq5fCNW`wR+g{6_F%0Z?0&(vf9kGpDJk6qs;%~&{Es#D`1b!rXQs8z%)_y6wM>&ySu zv)4~~-LofR9&NEo(0lr`55q#T?lgRmis)Sto1+iy+1<tHobs5y@Iez5?IM!9sjm*d zB^DSYf0+m`{e0-sX7)CRjbHcW;kVA;U-pq=SI}nn71kO6+<Z$g@N~zQP7##oZBnch z>@fZ3p(?AKUSNY8R;lOn^hfmL9X;CC<?%ZPBr1Y>+Hxwq{SVAx?3oy@N7m*%U`)vR zS4>FlS4>EjUz(HUIwqv@D<&i!P`kb{2nLLrlbcMyoRFgUVRuXQM~UphBMTI1i`zIr zrOqQ01^LZDw{_v7xN6E>9YYn>kneU%&ZKOgH>irzr(bY5X7EkE;B?lmjf3Znd-+>A zavM2A9UYl`>EcgYGP;cHwx3_gCZciweFZD-c*DGcG=pl&q8eu=F4H5&x=0_Y`RO_; zbP{@=l~=zDLw5lUs4hPK_5WE#=|2>dfd7o5G(&-Tv1x+;eu~_+82Dp*G%L)p3h%u> z-QACmKF-Q(xqI6XZVbt#i&f65tLP=psF;6HHc)}R8C8g8`(BUP>InO!L=~;(FWDck zr64|$yK3T%YJd4i4v-p@8+}JUyloLRCA!DNH7y{~jB(w7dX{JuJ={dl1y3+%4b2^$ z0jtI9Vv!@*A_S{+>50FeUXcD29*OxbX}1RD2M5EJ25Q;<9<+7UB_i>ay*H;s&Mz)G zm)FB9AL7<Ck@3#Sl9S@K)F};%J(XL;{`!HN+M*K^)7S?9^E`Jqs9vey!JE0PZNjhT zYp&-3^Uf&@L2=NKB5(q0#WoG;m&0f#YW-8nww6*Ry93sE_N&B2t0n1m4e#4EvX=^7 zpAff9Hfy_7l|J(&;74Oio|G|@3T0i@Z@d0?=02k!iM=b^LcRUr1X`T&F(LIp?Zx=? z9FU@Ikhgq$O9y)klag-%-)dVIez;_nWSA>Lbf}t$DP~V|=8~^LLCSZmdBh%1-Sdw4 zJa6nc;%I7cO{j}N|M<Twh5c5-fd4fq?6(s3?jP+%^k0+0?pkN2!0jKEf0cP39RQwo z8Oer}Q~zZ!{jc~-!2dCr{ulhE%2L_*w_x4%hsE^&Q-=xouQ*JHL)cboxr9mc%S4YD zeEeBrjBV~Q=#R(TYS*EEkjBjW?e?2yo=n_GyfBUhBEI&KTldBI(HTjly1=K<jBYM6 zz8H1&cpS&U0~JS86!`!X{SI!qO5946<;K@MGI68l_@2RCZX59P^IJW9)SS`d$-YFX zZssn{_F?STiJcKL!Y)3a2(0f*vqU&a8U{92D5X|lkY|~II6>`c&?2^(ShG(CI8c2G z=7EG<j6VNOs^?EppQ6GEL(bOg+z$XgQp64hhS=GB^eNu%y$<R#pV$eSjlul&>XsUQ zFb>pg<}*#TSm!fEhV2@rPQ{2raj<0b(?<=9X0fgT{L<~U{rfZ_mx=fWTb!@VyonGF z3Zc!e*$T`PFvK)tYmMC^{CyYvn<<W#Y$klv_{iq#=BLO)-0ta503T<6KhS!eKKkUQ zgjaf-Yz7P49<5uKOlIAM<5P(Yu6M#0BW$8Lf4=d`+tEeqh8T?E8)To0CgDwQqS2zn z+F#SsyeX4<Q5gA+37jeodoh}arqW(CPLOtYP;{J8A#&sLQFIa6iM~Qv;(dux3cJQP z(ZNiCMH`U}K)X|RNUA$lkKnV=n;I&>jZY6O>g{Z;IP6<8x>pqOo__vU5=};TR)vRp zAP*B4%Hv@}p@Y;E3$X5`i(RBhY3Mk#MN>#7U-Qg!s<>l(yaSP792ZgoP|JcnO6l7X zlnZl=G`ZkDDaDt6vrkIKS*Xis=HX1-7Tyjics%^WVp`c)LX+DE3Gah{<-PlGXaSpl z8uyM3Cnh<(`Y|-~d#944qnhh|N?zK-avVT#|Ht;>o2NZHO#*-H6%xu$0`3)Zo05LT zRDY0hM(s~g@T_D`3hH+?b(ZK6EsTzO(urWv{fUJen)XIf-R!jYo0;Z8>`E4z-I|e8 zJuE^5PI3BOhP|<lkGb0uqLf-*Z3Sd%8*?xIpDjSv7~F9iEk+gEi#F{?7Ne}Xt-M@? zsZdj*w**m|8B`kONLF|`G=iwQ@{kYPz;ln9h7K66{1im~boqf>?L?MqcgoScK8zNe z3j&X6AM(@HvTt~j57$-cooRPfiD%09vw+A^x2FKhbOt?(s)_e`x4(U|SFR~|yoeRX zV=hmBlzKy*ihH#A2XUV%R!U!gWNPjpsn6kfdz`J<t1Wr2nOjhf7dFqkdd5|oQ*P&G zJc7p0BR%D!S!|~Yjz*c>I7l^HZ6g#UUm}FQM<n(kr1G_$3{S*~z6HNId&e()qZPl= zgBm>th|cvM<dF2{*PkKO{T7RY+l@pich<t;@(qWkMTrcu?qBMgGalp<nKOPUi8&=I ziF>#IU8rt!ZLCa^VKWw<2Q9T>@A>9IoT`n+ld283jv3niCK}ECn#X;Jd9<G;g_pAM zA5`ZF+cepI1+@m;_lP8;)};k?+eE}GY0arOc3{~N5GfQBm_{muANa<YVaFwNwmHbv zoZuibCBdu~3^&G7(UW#*MaL5hbhXo-(BJwzIB^k%$<)wp_dKe&YTV_M1?Fe&3(4?I z!KQ&=F8kj?FT~|q=ykdldf%87WdiPF7IgnKsm1i~M77o)Gb8TP9f@2X>k0cNZ}CKn zILE<GO~6R~tEbYRk1VZ2o7%>6pI9m!80}@|i6N|!Y*Sa(ZroEr5vKp6$u8iZM7#c{ zlU+d3e;n=lpH6lG|0ddX1*@^%_&m>7cFy!cL}eF!XvNi0S(}+4CPOyn5p8(Y2-dT4 zqR-T}L-_tl#IFuXF1OS}H(d>uJ_UW0k?S!4QFndyV*nnpg6$(trVibw<&mW5FM9(D z3Mtk#Ax=cSxxJEN_e*-snfF`?q2w4209L+2*v;H&lI-%SQgwfn$;TG>G?z^pSZyOH z7F%f%p$13T({uEPpDGW6pvaQwx(na-iENCTP1<=OZkO$)sa;5%4SH?$p_78TP&HSw z^ycNtWrLc>QI`xs(xQuBjh@HUI)1EqNw0YE9!rvpf2SjyM*ubB2u>JO`2h(BMixBn zMTd)=C>!BJL}EWl+F&kuHExzV4!PQT+!=29uN-oVPw2m&?GHK|dPMh~PS)8t*zK<^ zEUF&GjPcx^QI?OLMowD`pY7JH%ON^{o-`l{*?P1R;ZyF;`=|Ts2QEy&rQW~=>Qr+m zk0wXZ{S0~Sq{Nvm$~|Gb&ht2+*Lx$r35q@8c``W4xpV~8)(>&K!nRIow+_|1aNPZF zba8Mt=YEdqIzL`K3_xv9=SBlXua1`X7u%K)NwqHAStrBUSDu%rY**AB$>-Tjh^w7b zHhJxf7Q|KX;7-uS^yjWKE4=Xh9xah%eRY}3_s#Atdv=@^@s&qo2r(dcW0)nrjPmIh zO07goIfUCz(d~icynIm&EqC|bt{y_!&wk}0N^|3sNnLh3C&bYAxw!FkKJhnWXl;|h ztYmh^bW#XMSLv&hXO3D%9Z^>=d`CIyClw+e*j{-YHXz^F?{P;9z_byfqM}W)UQhaP zo}kHizS!e7e2`{1`b+>2HNUdN`*NXpH&m<3vB4Zic>hOWiJ_j`S-{0eC8?6zlR0>O zcxW_+lADX3cfpvV=oan}yTWt*dNX;9gCDLI&JBkPy=UGI@^!;W%wR)iw_a$!!<dZi zN;BBdf=G?X=sDRMw+SrPRzPp8TrNSg-K^Vxn&5U{^xkr<>y3{<ygBJJTYE7v2CMEl ztfsC^`!5yz+AMg+h@oZ{3W8$YVeG(>0xwA5aDfdZaOj5)BpmzhU;&CTf(GlOKLkp_ zv$TFxi?UOoV2l{W`G{<RC(mNtI>|*9zRX31W0u|dDZ;n8D+hwGOH7G?t$?ZHltceo z$!gl5?ZC@ypLBq-h-&qR&23T+VRB?s1BR@8i|fu0T`W>waNIcPo)tl`muV%3(DXC; z@E}|-GqH4D&0D06PT;3FPv1j|@`z$;Bo`y%mdGe(fQsAP#iQ{!>L|fzG=XTeA|A^# z+P8H>t#Hp18D<)1X9N!|!I=^R`%RWB7CTl|M^nC81n{tzHk<Vh{rQn-mB9fo(dD?X zX3-pJJ41HzOM%-aEAmN^D1~3Py5&&SiX*&g*J)B11#hpk%At-GMtEs~LtMezF-bzV zW8y9JICR_(GzVVZ@D;f|(?gH4a6;q7pM0}-DdI-A`~9ae^7|Gi&*h@%afzQiLqQ?F z7m6}V<OOh35sB+5u#w#RWO!qQ;|9x_a1QI}jhc1m^(up=%3(`Q5(G|9*|es<bn9SY zb(C`Gs*;v)o;vhk#A>l=e%z|a^<clJrzv-6v;M+}=OWjPDW9Sux5jm*Y*(*kV*!Co zXCCA|k<*x-IG8hAKAKxo>kG{G&m&Y0)nLo(t^l+&5^dlvDkCfLwOShP%88hk;OA<D zmeBT^u2{A;n%ho6${?=~_(<=XdOi4_2ZwX-#YlNJPY(+Saj?`pB1QuJ(Q|9xtv5Az zD@{K+oYNH}B`ALXkd((l7nhWvKzE14Pmoy+&4>Gi0Q1`e4?{KzKaFWk@VSu#VIzQz zRqiJ&UyG9Qd6MuzuDoMWoNtxnwhHSbO(%1S1*CCsqytJWu0n1Kw_PV~Nn1|@rDi-p zyS%sYb%Q2lew-&^O&zJEmAIr1wM|0y5L-}|(1ucv$2yVx=#WQS=`+2-D*&OA%s|Om z;qtnlxOUQ;nRGXCEweK-sU=~Ja|7T?=@A?(PF55?;2)eOkXv;Apuk0S{{X&i`jpz8 zq!&FIqvS^h%EgxH(<4`s-m0X>r%G<8o(&?)8NwT0?^r`n>3Ty@F?JYq?uGtw!}sC) z{BF841oahC;i;+c9fn*fly3(NI!cExw4+1!4Nv}j1HK)+&{hlG|LcuG$M2Fs#}&M+ zE*Vl#`0nVKOQCQrFnIZqq4$Q(d%d#D={eE&=%z&4U#cYUwVWo14lSP-iY$j7@B?H& z?uDQc)!W_>=fl>y)q-+&me1=U8RnA@`W{iD(aKP_AwT)XQK=%)v+Ul-U7uD4e1NS2 z7B+1-57k*PF?XQF4uz)`MZP6vxHvHl|Dn5gp@(&yxBIL&^lgzxiO+bBCcbBjw*I{s znOciFcTE3!gH2&c9gfkoa5`uIeizxLsW<3!glTPWb+%=el<vK6qctts$s+b`oA73Q zQu#=>j3W7dd?&57MTBxm1Y=<Jyd~gHP^@};T5qi7t;#lY$ksfqDSMQgP+;YRm-S9S zNlaDU4)fCehqX<mV{@CwoXJh=R>9UgaV0SUcaoYkLORMS(%aCZphAJE5rJ__1V1N( zjcpyQ6b62J7gY#~b(z~bm?;c&dS5@?7b_t<EVQOoSIp<ymAx7#HOb^c07&ISxi$9~ zD4jDbTv<Z2_ROl56hxL($>2+a4qd4np@!^kp@P$T;J41a;5*Ex;_{%8O&2H#t%y`Y zIJf5h_X3?bGWc5VYL?U_OAMV9o11ynx<WZtdRre7eAq3rZSqU8R$%Hd`0&y9iW%_L zo4Ko1QnZvkb1RO3wd}C)8g>i#H%+P!IEEI*@ahx&en0}fXO6+Kr%J+7h;5rTqw>?t z6D^tbilX+FkVh_-=~niQKZOj7yJRcqoHf&j4c0_5xJIq4E9so^qO>A1Y2L<J^n}^T zZa(WX=ZNxs6|q4!6E{(62~M3C`-B@7O|pTLM!==FOxg8Nc(Ikcvbqu@)&i5Z!{98h zoAbm^%Q=np<%jKa)W_K-gh>e=#%fD>@~Hz%icirDi?`q7*3RV@yB>WN+S%FPbN=E8 zI9%z%=eH&gmXk#^D1gh8<&OAbXM~1T(bsj_S@VmDM_Ifl5M=+}@EW7`f->vyfU`6Z zBD%rK`@F?N!y-vj`_y$>V`4(%eBeZGplLlSJznBSZZ`jN#4<@Q?x4JhC<lQ+T-uxr zERSE=A8cyd+h0wJtp9v&qrauRVXZ@RHB|VGn1jIt1%2zs5?p>81vM5CdEQs|@vQ8X zb|lX1#pZ4XUF@`$)5FP$%4(`)&tp2^%(i&O?X6|FDoO1*x-&MSvIbsrcD$I!dv3F3 z-G6?6t7hH$y(+-#SJeX+E`9BjQ`}Qrdk6Bz!mcJ%yG3fV<()))WoJVabRmxL1h`zn zkHX2lLn_<1O;uUMe9erpA>ypU)*O%PcYbOkdKUB9+t-R(FY@tj9*tbG%oJk_T4)zi z4S9r2X5}qy5tn;*K^~w2wY%%&g^0WnUXIxuLTAF^_+-E%zBGQWOd7wz*gA_c_uT>9 z(w-quZ*;TbhWEU@aI=U>S%<#N-t3K|;by{d{*nz-YqcxK!m4$nCZlAy>Z^&&a!f0u zSi?=U@zzJ=voWOfH5BM%G0vly3*644yC%9S78$bq*&9pZcd^3&)`9%;lj%!@<KD5Z zJ(|hYRV#d|XHv7q&AHf+vWqgAC;q%md2gCa!{m%qWMT&QNl?cZ+Y^c)!Hv1u{Xz^c z?u{;SD(CTSv`XhS>2>=eb7(}Pa{Slz`HZvgFK0JXhZeVYdpun<`-Ytb&1?PC7PJv; z1T8I1U-M?eo?Wp5gvfV=aRL17h>HV7`RDRu#3dc^#v7y+&OeOevw7p?Wic*XN8N1> zu2`oH<8v4!TPgB7dbw<ew}yEEAGv2AI}Ejyi&>*F&exJDr$b(MDd|jfc0FAtz(#+| z9AHs+h{};+ulqJBxy+pBi$dnu_t$yFW+LiBz8o98smx40KzedUIyT32m&8qEt!^ns z>XT%rBCBUsRINczxH^mEQrHQ?9ZWDd@lE);h{~o_PVU8s!dV9_T7*ZAZbfoOEZ{)* zMA)O8Rep5&k6x6p#|t5K#zF$_#+bz9pbBu8IGF^~%L~VJG4Zi&@Fua}yni+r|C(r) zLvf?zC)RL&J%EbDk9KW9a5kJ~4GDDd_<3&Mo^Q@Cbw<fFP30P3hDynfTCqqo-HSmI zagb$Hf2$toQ@Y9;tPyRv)s-P1Tl(c4TjP!)EWUc{rT>yx9)Ge>v6An_QMnczA7R8l znm{;K*^odI&e!tuWf!+@OYGT)m@n%U6}_~I6gv4l(f}E|c5+;g?b!@4uVKi6L#OxL zS6pG_eo>*<Ivgo*cO}{(mFl({G0%`MJi8tnttx7a;e|JWd+?&xmR^2?mlX=no$wS# z4gCkh)qcn)DeA$&-i?Uw<_}G`L>u}SH?7QawAwcxat4o|waO$OY3W(L)Sr5lyJ!o{ zavT-BR{*xd?UP7^V#<cy(HJ!nxAG90+XOv)UCXd)(W<Kgr6rHzs9597q=)$`)x6bG zOI0lUz3c0N^aBs=HS>eRelP-C==!WkS2mtX%*me89P-<?`P`ECO09NjllZr^7>P8I zFqPTWsmlABNDH|;Ya$*8nySf$C7#Yt$P&rm0ss^`BwSwxK|3B)Tsk^+*6yhlVrv>; z<8-{-K5gnKRyNM(ohFBi2)hYSwI?G+91vdRJE^j;yQz(<12ALy<Fgj`P%=&gU5U=w zkZlrLxwfa{VbF=k)NqXcBw{)~V|P4N8AudrRs}9<`6#d6PaPkwA82bO)Vdtb^<2RL zeh+BTNqz>KR<POS!5ShNaoKUzx=Vkx*1iSQTy_j7U+ruG`i?^SXGg|+wc{Takj1c` z<q?7iAccK;r)J{rrqL$+(E7FcnPW%#2IlJ(e`VwNfi0~Xi~9WxBoYTkNSW-uw<n>P zHk+Fob$sY=T&&^lDXFDn-k9DtLkFN3y_{I)X1rKiEzd}mvCn-|>yii?wObUm(mfP< z<t(!pUU4OIHtU#Kc|y{W+>t+>oSZx-^E76~KOTinG|iCj7u);^ZDz00=J_?+1ph*t z7gZqInEVxO3a-%xPwX#f1DMG}{$QK8eSffxz+c$rj;t+J3-5T_kKb%Fcg;51Aln#M zfNb;S0A!n2*K8Ag<6}IM97LPX*J!g2qRpxUh&KM$XtVoI&<1#V%{KgJ4@{p5+4MF@ zCSDAQQsq$|dHS(YEtKEcKL#&~k;kvkW-2-a!8ZLl-Tt*k5NV(w(hQ_WD7DDa=VHfs zwtA<bHPBkL?mOfV;*fG(0}h%$i^T*u##7$QlvC@>sN%nHBL0f2^djJ!(@=={?Ngfg zmDf1H=yQLU3Ayf&oQU-EN5?-Y8T2+Hjsh*rL*8zxV6UiPw~n=Lz+R)PQy-l!au$Y7 zOkRvds;sMjX}ni*&f8gOF!*iu!`0zaSWXo~!uB*|HGFVd=h*tvp)J6gAvMR7Zj~nG zizxIBMx$S?px|wjE;-cGq6n{a5ND{tVGAIK>I&kG<T{Ox>n@FsRnez5ubn|>S$Y)w zQyMSP<eOp35jU1VriqtF<=cCCLsz7)coC2PUD9)dAGgqN8ax%k_@IAd$V=z$CkeR8 zQ<`WLosJtN>&_)r258$w(+FhvgJrF9N7Hi=p(9V{V3vo<Et??Kq=QsbVYN7@4^&4H zhFVv*tql%{iV~KqRbw5+kM5Ue{l=QL_v7t><mC}pdGSSWrxyfmJ?w`AvlFKSv&|*g z@+hjbG=%No6$10FwvP1&bGo{z)~BwvJDQFZCE(|+OAs%!uYm>f8(8jM1538`ZSXb9 zBY~J0mLnBNV7x&~h}q;oR*#K>pyX7k@E7PbT!W6KN+*V&#Ylm#goVlvzb12{%>on1 z-65*gg4csbQE(R`I}hj4K!4rgqZoJvpMrL+t4G>B=%Gxx$Y7fp!5T@Oe&t7p(cA~0 z^OL#X^rM$ZJ}>niYGsG@(uvA@I#^ytC5)B_0%Z|iA=cwIS=PDgP{%my#U^N;s9S+Y zZ?w=?3*#}<8*HMG6sZi936N=s5-|$C4%~R<khLtH;mYBVmBEqWN@1VXQ!?Nsvh}vd zQ|{pB@>@WiFKQZBvd`<q&!hSblwgo<2tm3*O~%+qWS~qUO`jrNvsTnMR?i@3>&d`j z37S$R-<_DJf_I1-Q@zB5{<yulJNI14gH!M?Op{VVfgU-O>ZLkL?V&2t4_o}3+Yq&f zsc=8+FSk@L;?q>G)ss}OO7Oy%VerZ&2azWA28kX1Q}GbA$8CR5Ob%?R3fy1^#G1bc znfV)jHrJGM@a|GYYjH!BR0P+Ua^P}3|5-LyMw&cMZ9`d&`@xvkI<L`B=AtGysw(J0 zna2xk!>|R0{oBolniyESw0D<w+7A1$t%{u#j>&;wF&&N*RE#}1*`0{WBck_h1`)5~ zCdh$T^W3p+(hp)Z!sry=*Kx+Gi`}GyrL{F9jq&O1vC@Am1aOVIy1%mEfYlVrYW2yb zGjE2J@2GYf(YgnkQYz|#oKYJJOKW<&2_lRgT5S_kzup=~OL2_!hhu_aGmw6IK=`TV zu5B{!*L(cfg}|Plb$l+4j_~c$4l~%#$Z8g+{`wbmR7%JyVztk0-sH}2>dTan<;1#v zT*{aLB$w}QASSGE=ck)fIObo&#V>AgpGYiPE&YRYPGXF0_v4fYxI^28qMO!*H{AoT z>1PsrMLyIVBpZSw%yU=S5Uu?ohrZN$Z&SPdtnzdqo5HdD8g15dSBs=3SwXbP2hnCz z0Yts-Yg9TKa+pk=^9J8tRi2Kdh;j!Z>^I~Hl3k<CHQBW5O$F)xo`3KFoc}3|Bq-gf z`3Xx{qVsNWQ7xQrf1D~9FjE>^)rG6L7um(bI~zCQ7z<JgaF)<-Pz_&<n~;6$Dw`hu z+O$Ymyq&ueOCrDREx}{iGJ7v`yWujZZ2E2w_N(YNCj<yE@h4auqt~OuNb2E*%_S0p zBJxP)h>dE2o&{3Z$<I|Zxb=Hj-*didy4W2in#NX(1k2^ipg<zK>M<pc0}$P7lYzU9 zEdxE%zQE8$--Z7{2*P<d8923po}VlyvsrgfUD#E&1=PSk<R91vexF+4&5`cW&dzZE ztX-)gKh4H}SyDZ5kNn8C5bETyiuACzwYT4qUv`rtEFMm<BA9gZL!9cDLjz2QrU~>5 zE-x=n8y4l0t*?3ptAVbr3+J-}MZCITxOOQ5c>noay(QYHH6;ZdzlJdWNTd$)mcixM zT`5fJ&GE+`3L>>xhkwU2|9v<E{NfqCe}QK<#(!iukJRdk<(!dKztilR=4O3ACQ@hl zAcE`563<T|h3~$Q(iiw<rs6D=f<INWYc13rQRVF8yBcOAwGp8gS)EP+)H8;*hx!Ga zd!Q!<PXKzVqRi7cqTc3(KYsgWQ6oU~W;nH=TvcJx*puy7<y}_qFL!Rde~R#!X4L8Q zzAe|UBl>x^=wto*sR;V$fd^{gfrpa&z#E2IQ%3yh68r#bwf2u&Vz2i014p0V*SGei z?inp1+UH@xn3N3^0|xZ8{Ew#QPisQW+J_ea4n5`l35-#5sFLCw!TD6AJ$(}8J4!5k z9;6KY(YIULPuNGhXZZV8vF6gb#k&uO46sSg+SP1zpCz+;ZQn(`8=j!h;3U`*<M74t zUHatGxj<RlE-f2L?eSU^Jf@4nU{o)Xy?<KNw6%Lqlu*=DG-Q$T;!UxtSx`GM%1uQR z;NT8QjccX&_S(0b-j#Y@Vk%q%X!R!^kJb~X>8*Sd7oV|tm_1uro9|Y<n5LOpqZJ4} z5OswcL4*V8`L?Rc@`*pvTUm4DqfF)5cZo#KB;U3&M$jeSbVci<>>y>P^emn%;y(J3 zlR+S8BZ$T0J0b6F`jC1}Qd}~`PMo!#3OFj(RcyU5h#}zkn#g6az`(mODpO5nC(6X* zt8r6IGBVaLh-}fXQ^e*6q%xU2{@Esd%M*h!mz$%O=k?|_HhUi{$;E~AxwTQ&uPuo& z(SC)`)@*E6qSK%tP;>ZC0T=jB67Je>!hJcBS(b4!*R>?xV4c5pTXaH*vszK8AegiN zV?d=s15)8fB^2iIRLlNIG?c)vDQ^tFe9T>>apuPACbMQ-dDn3k;!`MPt?K2-ggr&| z@L45LALzVgRc4WKGCyDxA$nqV8Sm$22{ibV3ZeSh@^i6H``Bh^3MGh)-H0KKp5_@& z-ioVo8i!Wp<`>J4z34f;KOj<ja@>o}8+&qdFgm77)V)M}jjW?A*mZB-o$E|80P_i- z!qU>ddAq$m&81SjelC+oCK4hV7LSK$lzaxnGxNSfnkhzJK#I@4w=ICwJpX5Ee)**p z;i=6W5*jF>+2G}WsmVH&t;hYqUeEIknIYmKKgPIr4b4oOAT-OfS@0ysh3_B@_v!|( zs@-9hMUO}yy2ZWpOaD~uiKsmxb-4dbgLSVeP8P4vOZ#GPejFFb8Z{4<mwwV)=_`)% zAn=iT4%{oRIXdgMjKE{qtU@I-!V?fVF&;hFrbv{i%rdKh8T~NILl?7H2AeIc8F&U+ zGwc%Io$Sl;_;4iV1QgL5mN{{AJBFQDu|68%x-Ut_erHeNB@zRP;oW&lykTMGCW-z7 zHGiWg;_v-9BYZXh-e_6$CHedgu5krz_a1q*f!@n7-Yq;us6YCId(2=!^Lh}6n?N}Y zht5~sBHtgGUrNQz-8XqX1sPXsN~T?!@4Z-0{#h#bkZ0=F3RKKNT~N8Z<OMt<1osE$ zpxiVR^GANY9YRrM4RR&PiOg0*a#a_(_i>)6xoL;T@x0;$y29m06w1`AE%0x38mbaw zTLA$IF+EoH_eW~X!ul#&=!00rgIUuglQ$3D6GGBY$^|>=$Bw;XYEpjD_YtGxbOc?U z&qR*HZs(ZXLOu=LmC>_HgvQ<*SjM&w(VinyG+utJ_+{932}99{L6DWzp)C?^Y&?=C zB*xkz24GV<1^Ig-Nhisq<>MXyuLW?a=5A_h4|}5C4fFtS8WZWyg<o2$6I(%-v56i8 z?!;%!A`h)+ujeN|f%9l#g%>T=CoSN7F#VnTS5{P5FWs()4{O#p)0@i(M}-a2ZQUqi zGxw&XJJlKemtxM6W6QP8*`g66vC{H@&O%Kto;(Em#`wTo9WOJHByd@2alBkK4j#_8 zf^$Rv2|tRC*7HpqbK%k*scJ8?ysTH}x@+s_x{vqI8e%#Yj`f{^CoEypn=%khiP9&> z?OFUj#2@N_R6HxUbv@(ih}S}-qT0&8e;syR&Q{Iro$Ysa4mTDDt-+2jAV<Z;Nmzgu zl}9AWQ4DItvbyQW6gShE!Y(&mJ6&Qw2`k@&zCUT<NLYvw#Hrd*9h9$%p;SLhtIc^= z9#4n2<<x_+U;MOz{%HM6CQCJOW?%H#0oGWIl-9w+#d`O^-s-?|%Y=iAMZIE1wJSMM z3$I$nqQhy=jMvWnXY)QD!1$yj0I!AXspn%yU8-4I^A=w)XfLUO-mtk2!WxsK<CNq) zJ^66N<C3n!$&jyJgg8WXNj3*kDA57Q5wwbI7^pDnvS!GY*duL+6Y1xQy3Zmje;hTi zBZ{Z7juY7d6Il~j;yB;&nbn=D+o6G>2(F*`mVBP)iUSu{rssgiUODgh^t3U<QS=h0 zw0%dvyOF6UBD&jpLq9+mJSXU6cH<a-qA6CtubE4j<cMT`X+mJD2&Z+Uk8*LwWdqg` z>Ol_bXWG^IR`HVCrx`t>&frN9r}BsSl)13+>g`}httW=?skSA&7ta3=Z*Lt|RoAT# z)6J$!>Fx%R?nXjdO1eWjWYHqsNJuv#NOwwugdh#lAPrK2_^pl4^S(YOe&?KjzU$Jp z=NRL@$GGR1Q`A-a*A9JTv+_{2|AqUhUJq${%i#;a_efXteMUd@B%bGX<eAQjqa(+! zyQb^q>E2hP@A=v16}tBabq<XiENZUZ(cuq~qC5!KKlrUXH0f`L0#-Er$d3<#9Wx$m z279%LjC~p-iuCW;Hf@*Ez<i(6MR1AWSSQHzX{;Xz?y_+EZuxG9t*6TZJe9yPmKyQ% zojM#KP`nhkQvC4SnNOu-r}tvhYWwNc&ei^Y$xZPu9)T$#XMtED=VjrT?>W+d-B7pF z6S9&7BzI#~z`kKNyX))A^R?UaEsjydY(PkH+31&F!}am?;pg6Il{5)G;;YE>7hD#+ z%#SYEI9`~^x%r%;EP1^bZv0+pbucXD@#$(C*kt=I9yR(){Sf!(2FmU`4maf!8h7oM z8@OLTl*rs}vEQESLUva$zj3ZEjRjoNKEGV`K+2TDq@MoRdzjt&OG;E(tF7$oXE8#* znrX-4_YD;u+o&}&S-+OIlLS=8OnW)<=(9ZLv%7hIwPC4}#1wA=*DhRQ2WcU0H3z+w z{lFEe=$fn*ZO=j@zFfhv1q{ui;b7Lw)50>h5FztqK80ZhX4jmwXkH}(TO~G(665eE z`((CC7|;<0I1+NT7C&fkEJChZnza#s+2|yK60AR`cB7KLD`7aVmik)Kf#Ne?&V?`0 z=V1K>wLR(F_YNiCabEs2Li$22C!m@{xOI`URT@*Kf_^4#^W5=sx3IeY8!!Zo@UxBJ z6^1tb_o0~Tbo%k`qEMN<+A3exu7Gq#w%SsSJmo=p#n-2_8T52R3HAv|jB?dX8hIFl z^zyGyX)?&^dSdF4uMC$leBS`CRNpM5Y;S)p3)nL5=+9`seb~p$7@0}C$jL8g)6gm^ z_`0E)d>e86YHA*NvtUVfenO$Ot;Xddh;_R~+QNuA*WQhz?orB}SL7fAme-M_I$Ops zmcWZ*MwLKOgCKH*S}}7QwC}4IsirxPepxGiZS1$cf%SZ)Uhvb&`|*ANP;=Sw0VXQ# z(d|#zZ9_dG87?9ANX+U5E?$}IfJ^ky&ix~Hyf;{vTexsF9SzDRqKnUzP)6>qyHQ78 z3H9Q@)rkFYfIC}#;vCXxzx~LPlj88ZEXs(7hZz#+bc8zjq0T9&)AHVF4|VcEowc$k z$^f241Z9h%_|qgTFDx|_Wmis$<GXC^H}uoKoxXsiNxJ3{?9mNspp8Zwa_cq&LXpBb z`LX}sfR}#(&i(@Q{{^_q0y7NZOLz$R7BNyBw?j>6LLU&%_!PkgH;48*Rsl#b7X#?8 z6%uaVOfe{Inc-&BddDjG;+l#yEX{GPzkhppG$JAt5Ahv}CGFpH>3l!*PWO5R?*Jj= zp~=x6YxNnD{pGLx^)0b}uT)exHepW-WFG^EBZ)J@1)AvNYa5<UhV4uKZnD`)m_3me z)=8A?Od<vbx%Cdy@im<GJxAp^(-C0pF!9kS!#j2Mp1{jj^`xU++@s`Du2%dU0+;p| zjbaW~{7%4p)+jq`O=Q1`hfSKHC{hi}@cuWhH*K{eMxfEs@NRU(NCc_IZg~F(=&c_y z5<{wCE2jvYsGpAQu`jQ+ip{OH(zY2Rm$DgqH<=|8HkqYYD6*b>A@J}g;Mz5~oj<B= zIOgRp5;MBlnrJ$2PjDWkGj(ZGqcy=}B(qXHs{MG(Ytt{A|5=^z`t+&1$QgJk{|7*{ zJ-KwngR)fn2_wkU)auBQgEgbgs=xn(ccAg<31yZ`x_4>Dr!?<Dp<Fz>i?Ux<{t53# z^iF&1dos23K9%`>ionw-2VBFajtVh`WG@rkTg;XYhMXx7vFRd{MUgaYT_*d*71(5l zXbNul=JN`KWt)xj*`5sLLrv;J;U`d2+b6p~bJ|=lGf7TiUH9FpYtv(;#4~!E3+oSh zD6U3Si}}5l1S8&B&as3Ube!ACog(`7Upg{%enA1ZFN}bb1rV`0?49fcA}lzzliQ$( z)=ZtVA?L{RP{BvTMxR)GefgR>S&X~N{lL<!{v&vd7k0IJztD_+E>uofqOy9?Id{lr zXjOgH>iYS>fe?Hf8OkLHKu0%XTLb}*S-m!)0D=!*2m~KESxiq*m`!c8Kc3=f0v{*e zg>TZ7gcs`JC>hB_^(gW2JS^0MC>cGDGW!swkZQ@s3f@WxY74E}Am|3QX?G>)AYOv% z;gpO}q0{@x;(ZPk-&?ss&;bG-_{Cl*8U?r4G;p)H_m)q&uzvAs<Q{hGW%=w|JNs^e zpi(w<m2mI1j77?B1fWv{Doyhz;P?fd(mNKT56ht9w)bA=KA>W{mbK)4a7x+-5ju6y zDK)Yft%87}QLL4XLcR9X*3&lQ{86;_4XtD7%uhCH7}u0)!Z|1;aE#Ms{H{?Vt|nW( zSt)v!dRW(#jBsM53i%S{lxl)Fya|M3dLIC%9lXPr2|Df28MUOg&bP@$ss!s@9P*uO zTdo?Npk3Z&wsMzWK+A)OYMs^u5Haf0wl=*7&XsVFpi=i}!n8)C(ks~qY{F&3v{_?U zTCxu~ap+oo`Flb}C*RKfqxl`ctD!TNgYUiRe4#7W0&Hm=K!qQs>oh{=*J5XM0Byka zd+$vsf}nNlubKV8!9SUARhfxh6$R&cZ?UeOgVRmPr)VFvs|m04mJx>B%qV+Tfc*ej z0)%!ylI!%^XTTnTlIOv5R)<i@hkTXJP|3EH-der@sF}`plw}UOG&6QaZOh<_V(Ohm z43M+&vz({vl!DW?;T&G(UNm}{<~a7&G1iSN>hMVx_(?aqyf^o`oVzkv{APw%IZ$-t zk{1Eyz?hvI@kg972QEx4K-Y`EBjwK|12cYChyOGO>$(>oR{TciDHNRg6a)jts$5XC z8V-n-xkoEN(R>6@v<v|ht#yyqLV&4rrbqqUm&U9X31ex4+c`oVpqGxoS%YGdLJvE_ z3iqZ^0fy&)3W;ZM(4u}eMYl;nj07LP1PEA*;^@_@yd=|kyG&7S5)dM33KVcy!{X>A zs;(pwzEeKhRyn0DR(X+#xT#Q`vuG~ioxsZYNKY+`7JW#CFo|I>=zk7%n@h+fu$FM@ zsm0TxGb<Bn(hdfpB_a|iR0BExee6GkR0uWc2ZIz75tBh3ljaf@U`Ad&wKOo}|0-lt zO$q(WjwSJ%mV!pxP=SwDf@USaU22p}J6*0^rbDN2&U^;ZEc8bIr|%#5-=UgAai$=H zbWNu;+UaNi&yPp*7U`b)iQm`~3w)IRix0p@+qMYhg@r~NsK)7k^YJB7D;=@7z^9zH zbQzq)zdy1FYM6rFsg&t3D4g3Sewzj-IbY!84o;H4)aWfZ$Nwq>@DE$QwOr!;6Y;J~ z8D|5e?tvBl?;qyKd%U}W3g=%GG(^xXm??pU)|VYkUYCbkrxzYIzMEga_dL41p6|*F z^D=G|0D!h@CD+33l^E)V8L<@yQNQ*ZHy8J(pN#bePNr?Owk>kcrVlM%@fe>NdFh7T zl)Zee<!!Wn>=k!7WOz9A@=!ml#ZJ%v=iP?&2VLbmf*y`?A1y7|V<n>7Nn|msS1UPV zmd-E3fYyv<bkSulC%AYx-wy++YZHUu4H7(51AqeVBTDbNXQ9EYr{w1CyxnonPY;s| z+Xh=NlVyi;-VU|^g+)iphVvPpd|hm4ownV7#ZGN34J-L3dONX4ZyZ1o1t7u{iU<V} z4O{hFN-E23eYKC$E+L=gmqjz~T>U+YxZ5h7ggzgYR~^7fKU{yi+dJ}oElU}2Vm?tg zJEC5=Q?>9h*7hv&-Ls)B(l4{Gu&md<G~4?|s{K?<Wh9ri?Bk|sn?aJ~QLT!$&}ZS> zgHiY_OCu|-_pq~{xME=_juC1IO@vy6;ru$E4(kUTw4S0I!>Tgd!PfH((R3mUzkP=| z`-u(;l&%JWk@vs>TA;IU5_W-t->LFptAn@K+4`@2;Le!s-7nvl=O?F28`Ud*&FxKg z&u_im)GueYi@{T-&@-k-TND|d;5{Q5dk!KRC>DaH%5=%)1!&2dr3q!48cNAiiga4# z1z(t%qSfA`l^A%kX^C%^1q^AyCYQr8>mX`Eodjx4%sGH&k5&m>nHpjeGq+}7ZUnlP zZb_ab0luuVvVYd?4s)_Y{s)%iv|`OHH$HFP)!XTY4DdM-p#9d%)BWVRYm1G)yXV|o zFq~WtoJ_npBAU<BUWfI_G0eF}oH^0dwRE9WNkV_{8?!1C@piA^8ono<XT)aoCRQ#Q zgQry+jB^3-tgr}VAfH3`fls2@+St#lmdf#~Z1&nMJJPs*H}O?Z@k@eOc(jV^2gvyG zq~nzvagd!<&s)e>E0|`X<Ks(ux$YGX^!Tfry1X}66E9<4bv$}Z@BafbzPh~#%bjvP zMfTO^lg4T|OexwcJiZ?6J<%zq{3EF)PUl0Z)`uT}9=~XK)1_B}7KJOYOFHI|H>@-x z6=ZbGqF<d;N4FdW*l(mVcq;ZCTraPDZ?Z24tG#dT*48;vkMxI{uTE5>eeZbh+OHQf z4vt!0v$i)_KIVT!@WU=;zEgr6+r@BF7Uuxp37g~*&!>uhyCgJouFpEU>qaA#gt_Za zqI12SfK4I9AnfJ>yHiL1l?!h@*VT55jF-#ptS?&>KlcC1s5?Lr=(2#r>n-jh(xIT! z{`unC#T7h>a&|GJx|H2vv|{A&9(=3$EziZ(<rZc10vC_#T{Nf1_UVT@_9AnatHzgP zbBAX0o0eyfoaWV^Nh@{Pn8gz3<&blITog9776kff!sy+=ks@^94i5C80}pV}JVsNL z5X3V;&b2x1_5qP0Uc|I{Hs~{G8`^ihHjjP7UjJ3IT27e`ks%Qpn(Pf{mv+O+L&rAs z+v$dvdDV3*m+R1!sh|Sxq}l0(g<ezFli2dn-UTdxrhMntC+D*@8xTg-_XQ?Dx&qb` z`82)rxCz@5t^gk|kE$>z)CPtL@pD{Kkd3@z7cXt0$%6z9PD}-+q_El~S(H>jLlL^I zSLam~OX1LT{52E_)EW;HHOd0IwX%~YQC8ET0Yw6mvQD+$P-a$5^;|SGOJz<SMJB4P zucu!W*m5&+&j723k0PAWzbS`BTT$l+^sp83kXU++nZKD3NqmlUsT@YK06MJ4%xB|8 z;^QNom9~{-UCCs2oFliCWpM--gB~ZUhd>&1Kbhm__OK=KkTjt*$U}|%a5x!kMpJPd zxCj(A1Q_32hO<%h3+pGLvptUqO#A0G!^sezQh5NR%AvFIoS>&0^k9M>VdYRwoZPqx zRGYl;F_|LCbjG!s_|iD~h$}yH=7QJMqBLn}HhJ<q_363OQSU5G_}0rvv`0&9k+isq z{5}c9tAB*e=6Pa{@6x#)g-0Sodi;1@S{4WS?+^?$q{g_GqxMlEH#|5MZ5tC406olW zIhr3OO2dOSaF7eBA&N4jNf82!1owfdkAVgS8X->#QQj)z2qsgzF-K$J=RReUEdG}h zjfj;Xw?;GMlLn5UlFSa&n7UH=*H|+o2JREcWs%u=ho4)c5%RYIep`6RC*XI4QMsHT z_bH2Hv3AIl3Y53{ID&Q5ZniQzQ|Tw_P!CzJ#g~Y2Jr+qTFbanLX{2*$g+QK~``9L_ z1(5K5ei|JDH{zU@)l7qLf;9A9lenSNtzr2)dSW(%*r!KVO9snE%dg4di9Hz_`2m6$ z(>|J#BlhKbRX&4;Sd(ysIV>sSH*d0LMf5ojZFeZp_On@uMW;o5M;B6_?=SE=q@lj9 zQqE2w{@_fJK=~mDKSY-_n7w;ajNZmnT@+_{;UnCK@Ab|f79E!jf!2a|G!!S2s_n^+ zB&VfJQekfm!WiryUnGXKSS%uLVz~l0XKn{XbU1XuItPqY_-h5&5p)_T+7A^`v`N9= zWQr(S<Qgcr_=+gp;O|pRMR}^=)KEsM>11B@5S=haDi!?IIx0nZJQ*+daNJ3093zUn z5PWVg_1B;gPfyOfn)4b-h11$p0uPN5cZ6BQJDnn4FMm0x_$g^W5e75B3YlEkT^on? z#<AS-a_8_MY~x2?-3WvKGnW;-j`dLKlsYUtWZgb*Lhn}WmFkwr40c+^&m#;I1ZMj? z-XVc?b{z|Bth%;A;k&`{VV8_PFPdmpm#l8h(lC;E-gcU@{3y0`4qic+!29wz{7#14 z2Rp{?dtls&C7!fz{x=}@>07^Q5toM<SjxF!CjMQNb_|de{XO?b0uO>-G%)elX)_kY z+_YR*Q&OTp@|{DNIO5*0tq5HdM7Xyc$F_J^M;u@VjF6rM#O<n1y$OptV#UP%n&i4g zgi-wk<5-#W>n#;xngoO?{3-Vq28jo84h%yg+()KO6jy{d@QoF~o2l7ZQkTqi`ufB~ z4L@?R<h4tZr%a;1vK3E+0!r~akl!etv_J<~;6Ny_6#pBdHB)pP^hZvRURh}pa}L6p z=2Ipuaa7B)BJd{=?ueF3p(bqM1M_L(@-SV#?lM^I=t^5Jt^9HlgRXaL((-sXL3+Kf z<LIdoY9jCeDivuIO9BST^l<DE<|iS%I8<+_GEr=(;hcnvK`XE!>nRxP%ot+=kzWtC zlR)Azk{h_<I9}+2bOo<bT2bGEYmKlR_5>A5lP(T{{t)T%od6m5t4li|BjVT}%8>Dr zgEFXF8K8{#J}j?iz;6c^)Pb{{{sgtOwHO2l{kF58pfZ^sL)`DVvA>~8zeB}fwLFv~ zO;DtI!3T(wHgpiuP!6hP?0)#=zBb!lQk-oj==Q95Dv3tY>hzo1^qXo3WdI?bmG_jJ z87PH{#Lm5x$mM;rD)<+NH7mKpCefKn|5CA2x@uj<GdWtXw{Xwr=JWa|IljEnoyv$Q z)>Zo?!5R}vgOhSyv`$8NUbN0c=*AMDYn~C~z#59DgaeP>EG5C(#^%FXh^IsXS}v0J zRx+Lv0cZi)QupT1EIPblZX`lYOG?82J0_fzWV~T!(ELf6vP>wFGXJ_TCJ>RpBD}Cc zCbqD$jy}a5gLSgGqfREa7nKePmnn{u)R9s>E<6{(%;hwd6$uF3Ys6Nf1O_v2=_0#~ zzn*e`#2ql^W&j3FkBJ(;VSYe3O&u9Dt_Fy25!w5sSOahATz~U?5hzo{?-CwgD-i~F zbXK7MnI#4-oGz}z{K;KH?^h1zd}L_OA5B5ev3^37`i+TOb`)4O?yBqPu!k=!a*+so zZzM3=Tq$Cq7uJ2g1~rYjopvI6Ne5r#TutYP-5zsxj{w1${W$NCU+Iy59Lp&A%0h1X zW>DwJ)lxC}Ri8kD2UnM-59g;0%_!d_-im_{sb8HF`iL{t6-nSp@)J4xzD8;^6Auu+ zHRnRoNz&*QFWRAv;PT2#qDQKhW>ARg!YRb(ilY)lHO25}EPNHXKdt~VbCzP_!!Qr~ z^tyuhOkV@g-}wrGBW<$dW{l+|Lhw|CCw(S0C17wa9IH0Ay5$f;^Db-qy-${0$+olD z<8B=7F;^XtF`6mY#$K{jl=AJS@~mx~Z;uz<W1T~$&la`D&QQ)tMNtC&v@-r-u?PMc z1G@fUY6d}r-CARj&@|g{&i0t<>(0|>)RH2vVwWjNpP#q>WvRkb{b6A-{%MUV|7pd# z{AsDC{ApnUEq|J0D}P$C2-MILZqsMnV0l$0xW8J{8U?l{I1xooSA@gx{NAd`{L@-5 z{L{j#{L_+Z{L^v;y8blthyJuafBw^gUqX>H5%KH_50FHmMv>ZFqt!xq0+;QL%vm2+ z;?gLfKoFSN*?)`^!}&y`>9>^#i~WbygZA4>XpM;5JibK;q2U}e1TqUEIu*XgLr~<1 z8A=U)TTFO=T3tkcT6&~^S|^lH%caO00bdeZf;ff!Np%#M4wV_E?+Z2`gor^;_ABkN z8c_&MR3fWkra1|y(bW>FquR|S!2;n402kXXA<!)_IN7U^f+K(`9F4q+vQDcsGipn+ z>WGMiA}IiOr1MwWG#O|$y=H+yZa9X(e(0KKn(waB4x?luWbKDIhqxpZMkcb-IOBTF z;&<cpDP->Az=mQ=V}~V2CcdKv-Q+|8ANZuBdwLbLp~a{%0BAK9P^S#2bBY_P^PNGa zIkcC-Q};SwxFkRuJ?0?=l{xem(-Cq{oKf*4SbdMK+9?IfYyo9Lo0;o(FSAKtkQtP@ z4c$eV=HPmjgEGkt!$Fz2R=P6cR0udBxqydDf*^=07J?(b|AUuV5Cf(N1a}v>9)`ay zk~e=^AHco#@0ddFpVlh4^Zp(4F8giu=Etase8mCxp~+9@zv_L0sw(gRew)avQ1jzs zQXDlD354gmEdqm3RaS`bf2lGj13R)F3Ef@Qpk#NjBdVIR(2mUaDtv+Va)Sig5j(Jz za$qYLZSGqME;*E#U-b{-_P>b$$mArwXX@Y>$dv>gW4MOUR6)Qsh6?B}qk-x|rGZ1E z3a7+4KoqO5>~M(HJzB97{w3qNCKQdB^=3<O*DvnJGTW5Vj39*(vh`)2C3@V^%TeOE ze6=$ek`Y;CM!A3&e3Wv%Td>@WF%Y#t7y?`In8{Iib7z2zEC&~sc{+fUFB5ryQbbUk z08X<M_Ho2xthg?Jw}2FsaShA?^=a<_j0XkqwUPnaAoKJSy!kN|S<VC4GAJ<tB+3ek z+aXw2AZ`dgf#x9+6i11D5JRYfz=^E_L&}yV5P*F!I91#4zD0+nhB2Q=HJ?~&6T5c| zD3Rw7*D^@eGCciEKEw1zSe(mRHOyLdt5BqAfqy%9_YVhlT*}28<k#O|K(e<D7D7Rx z$kyvTLGkblbEA29SR0#I@7fXwcAiLD>V>#C*#T^EbYg(%IJs_^pYyoL6T=Yd00}yI z!@ycZM%kYdn{tLPYkL6(*#n8f{%79U#15;k)jiebPPEAoWS_a2PLXQ9XeB@noFL33 zK1WJ^=u{_RQrk-}TOm;>YiLvZ(>+QsxY^Fh6|=JuVr?1tdR%`yhh(&^jS(*2US{-Z zg_a2n{6HH9OrSb9%$c<v0dXhH3l4a3lO&8z;T3&EB+h`vVHj+Hm=2y3t4TW$7K8GV z8wNpk5N0It{Xe*k|Ky(Ca}9=J43Hn!bV{4RJT`%8N`<B5R75h#@~?n{Cl)`nfPsnH zleZ##gMyI*gOPLmS7O&HXky0JzeI7`6A%NPFlc|P!g&T&)eWkGii+)piuxC~=EFa@ z0U-AeD&0L*5)>sTb}tIi1ST{Ew(%1Hb_T?Ozu4fN$>2ue@B(3z0$`K$k-iF|ro;CE z!jJE%8X&b_;+{%p0#ld@8{psPPS1<3jDVyDhot610t1(C4zndO&&&z3jgSI58A$@X z)9cYN?$P(NCojF9{jLQJN>l>un{C_jPMCjFSN}=v{!Il^VPQDm)1>N|m~z4d^dciB zDIZj@lFB2NC~p>WTWcn1_6a+K3f0mSl`IU3<w1ox+ypt?$*DtNO=dIlHn8SB7OXk+ zX4|Q}Ghn}RYPWI(IKy@%AQrb^=17(>fu?zVpJqtO0&H(GSL{02Ud<xlRD%RTR>5L~ zrwQzDa%rn3QlPp9$(hODTv!tW2EO~MjKAvClH|JsSz(j)d`dBb@(Q@O9xs9Y7-Fr{ z>~p_@HjB9iLDRCdko!GeiJl3yS{hvrw-Q^j3z-zO@k3CiZE4gVt1Y-QG%ZmbEtG)s zHf3)f9;{=i8q3{6?i?=Hw~GHlgLUBY!8+>8_jTI-QYY*Lomq+zGd#HYy}%_Fw@@3p z$_e;BL$53l2eyX=oOC`^;K@Dl-QUxSx+iKIF$Z@tmPUDxHvniDztQ(CI=FAqzj_Nk z<qcml0&V=Z?tKeboQ;i2okoU)YP`WmB>rT=8bH_RUrhM-P$t-G7~+|xaaK;85{w9L zHhj7SXG*>t?mBSs7{SHMLVFKDSMQ*Z`+oK0g25fb{M7faNnd}3PI~HZvGR@3icl_e z;j{3eC6p_`Uawc)_xgcanm#Di%H&=uA0u~N^AO{3l(+M5UdmA8lb-ul*@|1(LKlSr zY%>rzloL21sZNcGT#ck@2`b6yaU+Wv?J^~&zn{bWU;9NBUkAEEe@pF;fJ&{o_-psn z^g?%!-rve$*P+Va{k>dCF3{!r^7llh?giQ1?@IvOm`-5tG)L+h%&9qt(rw5E@9Tn7 znNKWsx2ARm_h+;WYH0LE!|1|g2K1gqb|)tV^qTAGq8Dt=)`cXlJQ5Kr#8!n1FV3D$ zphUGyq?cMRO`Y+btv?cNmerGO>TXp#+jK@a7(CzV$Anv1J>T+Lc1+JcV)wlPy1ZSB zqMYPqyKLF74%Zx5e3p!&{Ti1LzN})N>#5q0#;#m>vGIMQ#vt*WdN>&CnWVsAm7+p% z^AN@-13N|h=%5RC2lKHmTw5fPQcgp=3F>!$0@g0SL<Nqol;$5%o|sm}9~@yi$n0ob ztQ%$&FR1R!@)+^0Qdkuq#Q5SZ02iX&#q})4gqyJfpa;WjLG-1Zrc=u)qWaye=lr3y z-(0=w3i|ZIdq1WrYoQ@Y5G0!FaP!?zt?gilNFusE|0eLWhupK_`j<cMikCe$hqGlD zCf*L(wB$!xEG#eOpOzjhp=UR%Ce;rg#Xs<<Ph?|VXi>FZP=Sa{ATt9z{Ou^5?X^)y zq7%eN@}jXJG#etgG2&<P0%57EliZz!$(xghc(F=Kscf_c!9`)66>iQ81~;=G7ReOe z`t3L<kDLes=LcICr$^ZwV#|hxezS~^+aFFc!qOAeTj`ATzf58_m~r96k3~qMeuBy^ zlgYLIm7E+^u9t!~s{SQFYI<GJhQlLe#uH6q{LHKm!>ltJdqO%*go%=LIF2zfmVt!l zQ%e{#+y)DoEW-C;QdtDA838ZPXb8?JPkKk5Tz@#6^K);?_@2!q%Jn4@=_#0&;BYi2 zV%*bnY8u)fz2KphMdr)?xV><Eer8>8(18b=UjAEL&1imPcxixyWLY)>p1?du@Ca8k zr#mjLvYCWxf}@FqYSqwbeThzxVq}*`69-o-X?u~0{WUX8OcajQr>B9a$hz&gHW<zw z7&aJHo|p+bUlb?=UPZVGtB|Y93n0HatxNNVAth*YZrre|=yN_t2M^vldb`hRtwZF; zP9k_o2wR1!hJfqSy44zn=mw%+O;;!OZpYv)(i!zMLTB|RvT_4PyVmFEfqotEr)>xW zmt=8AZQ}bG=L>sd6i4h%)>~5BJj8}PLQan!y~W?<qM?<&A+5E+PiVQG9)6o`+?FKp zV|w`0=Sm|V!=DMs<ZP_GOJg$u^h?(d;_NUn&rVDph!O#&^Ft54l}fO<;$8B?uhZpH zFdmLc%fj~iG2kg7sl0p2Z7rFRAb~fS6!pO(jLvLd`T1Z@L;X|k7vR^Ru(Fbjy5r}X zwT}eT<)e_DP2;nY$n3n~&^H-ml<fC&fKifVHznU0OHdDqp+>`($O(s)L1Cm8$AQ^D zp}4fJyP3jI_1?k^0XSDwKPISu47{!<ONpX}jCl4}fv0Ls+EGL4^26cJ+Q`AYq;H%` z!Mtmoe%o+L;91?RhX}gd5dxfJJywI(YvSytS^1x!g}j*sz_8#J$;`bN2L2lGl(bov z*a$S(jkwiRckeH*s=oI#4JAwf(9^YB5=r-ge&%ZP4eG7OfxltPAMRnRefPPkN$zuB z>qCQ#$~<EhrqHPhTZG>?V1yKu?>2&GqRS0EaU0`pj{<E$R&dunyA1xfGQ|7Ts*Cr^ zM8!S<U=%!myQtM2m7xxv!Oe%^F_ne2Z0s~N_lLF2>1=xxEZ%VT{6_}#?GRQibbVIl z3rmD^0J}e_Sp0tT8<B{g8|5dJ%Z9{TVW}&PSXb%3WtKN-amr5&qVQ|$hrSc-VIbU8 zwqKv0&SbMY6^Ulq5k;$BzUlf{xr_|nPY1AG&bIianLW4Xd)jP8<vvz5R^H+3R;l8& z)@Tjy{iB5*ZM*WxrChC)JJCF(ZkhPeh4Rv^+2=)S4y2`8fHX{)>0{u=_@qn{dJIRc zyueP1a`$Vl<E5v>>;mn`T=D`XCTh;7?id0GcnF~96$<FdjCcM<>^#481UdlBV_H&8 zdj)}V(9^aIdJdLpNu?3x1%{!Haj0V)bZE*8tT70X={K9D8#?>-KZ$q7{!G6bp55VY zy&Gfe)^$QAEYmHa0)r}d8&WT&1YZ0`k2z|j$A#GPgUsShGX43VG>*c0*c<^u%JR}K z;jrdN&!@zD0L)XyMM|j+N_10XXL#gL#z;vl?vGg2ltC~Jsd3?XoFN$MA*|svoueGI zd5pAFQmj<yd8p2Ikk^c8ssq^S13_=#76qiKBP0p9KN3_^R>L&##fRrrg<?#EvW9bX zs_1~U5GtuCkcQ!`L=4ib25_tff(76gt7NGofNqi(A4yIrKfyG726@h5q%L8?;XIwA z{`h%}NmO!4R9GO7ff|t!&1?Y2Y#^8zevw`QHbRp4g*5RgWjD;FvqnJPaVY6Ys4!R# zvj{(j(Tz&Z4WywvU$TI-X9GCT27+hd7SokL8sQ6R!c$P?CFawBJm*k2z$KJAoTXD` z6y#~<YHc9lOB0}BYGLz)8ncTzI*J)OEZ_Yoy*ZmHU)UR+K3B~KmPIPtfA(eXJ4JoH z-BZ01%-|<KmRY{a>lSc$@k5vl4Rw+@7yi|DpC`+w9%S6hDAnwSW#YRnL?`w~s^{2y z8EVYnzv^gZvNlZ=&V`r(VXMcw$aUxW^IH#Flj5Ij%)utaA7~TybDdb!9T*at4y(T7 z`jFDAXQq*^k-N-ysKt8ZF+=*Lp#eyxQ*rXh=3W|G4&gc^JbT98Y>eGN?3P&Hig={x zXY8<Eh5Rj25|^<$9VTL47_LN+vAOPuOwHI+5l%)MPh9;*4u<bNKn*irUnP{&{yrHY z;vIcJUVR*lt$_?ot%HN7_{$|$RD3-Z7?}fV*r~<{80&@8!+;;n27l$0hbwU*fE}+V zScW}!@1RvP=Yz$sOGQvyXNJphh-`)bwilt0GhhqHXe)%8)2D?XzVO8xd1{6&Q9OPc zL2W7qS3J5LKnbX4JBO51M$!jTm!sx5lVytA8psCJJ{wt)IA{NwsGGZruPe=qQnlqy zYi)(V6h%cf%v2lhpk{qeTe^V2nS+_9F#ZCKEsqpmU*zdGeiRJr;6~iqOvy+u<WOzZ z)aFrm_<7211h(RF>Qjl_Y1bl0v#tRCa6SoWnm_=|^RaZn4Vvf4eI6<>kMB2rS}@NQ z9yCuQ3YaIic@z!IgNq2wBPp3XeOBT~(*x#FkQhd-b@qh`)gCKsR)GlBE=Ob1>RUe! zCFH_|tMDd6oo7ltb@a)ZpoZ3q2g@0hEs%2MV6JIE%K@geV7=6D9#5dU@uBsIQSWm( z5P<dA@9W8e^;}CG$9lnfO82_|$`kjmJb$OL`;TcVNdIG+?Ec?rK-o74z;E4@P~8;& zN%tXI?u0Gt%yHhr#p`Vkcm5+ntCo%!4_87fq4<L}`^y?nmoEm7k&m!)v`bY8wzOj# z8Zu%RD#-20E?n2Yk~qKmdf3JΠnZ$nVPe2m(W};SUpt_C1J5s+YKKqX^FR7Xa7D z-IUg=#$_Kl?>J_P{-ipYV+!lT$95x0f6Ny!Z*ixd*^OfIcb+WCsDCA49?`rx|8X&t zVf#e_zov~_xfIpFq};_5!FnO1&^<N#ws6AJ_2=&C)?v1)QM-Tr_1@f^r)qmsTT9cA ztKr1TJ8$osX-&7&{IkB<nUF)PBTE2s)(5xGASImxConPMk`sjCAcZKVhbf^|MIz{h z>V$ego#aPDl0=<|t5~6_QKwioEWG95A4mO}0A+K-0T0y%iG_g&9yR_bQ(AI^1u;I3 zKIw%D?Pj_-GhYnjzkX@OjMHkCDqu#RF{=n_rMv0dW;RR-IF-5p%Zlsa$$dC1qc1HA z6^fJTskOnV9qETJ0;Ux%ANAM6>xOXB!Dr7BAK0nbzN0Jca(eC{VGOJ%#UbG2cep63 zg=EKx4|rEF&LKQxR!$g7_v3V9e7I|vRD1MlQhxWnObk^LwG+HrL@Sm!)2S>>;eZe< z=s*M=M4$t(gel~eR0J<#S%7znXu>9!!bLdx<s`|<Z=xr&XlAKdq%eQb^e$8=Hvlgm zg-a%CmIbL<cbANZsOO^QOXA6f(nq1G5EG<_GxFl7+fwIENlTZ$4p*crK+RXglTD_N zVg|n#A)T{~_qnD`xBU96Li+D1>Eq9A^Q=o>=M~Ze5h=_^;Dv3P8VyvNZudgenPhqc zzAw}1&J}#C`gYxQUqb9EP-pb<-q+Db*iH-B<duApj^C2BQ^Ak#JJHr{8O~F{$U@^z zQc8*VgvMJQsPn8#ro+mx#?Yb8qe%Jgly=M3uA`&jX6{D1sY!F_8AENz5A2h7c#=#* z9l8-CfD)t37J3r(3~D@n8kcyu5red3dRHE3VtWV6Np4`0quNNmzW0hly>Wdw-vy~~ zCk2A;eIfTg$*_CF?Ki+11YrM(Ex>Dg5+1_4D_x04O%+YE<1R^C;DT`Py95Y)-eRNL zXapNE@P-f%zkGtKz&en60~5HfN%_sbM2)RCFj;3z12%yg84G2?)m1z^D-cF9?+zIQ zWp0?5c?9;k!&e?R&Xm9p2>M7{=LQ>%D4LXa_9b86!#(TYaxjZELJZSrwo~xqQH+1& z%_w6@4KDtfT7)tSqs;P7E<WI06QHqCL9S>Mk_2AD%+nFg1+Q!FAQ6BL%@WNVO@+K6 z9IXgz?hvDN8L%^jsg~dtr`{>lqXn-&`q&VH7aoJ|L*Y693?&oXhb%x=c#e+VJxfa) z%8I7gxgSvbBohG88y<{65c3g}`3~Y!FfQ!Vg%46y&B)qJB0_lM<v89@Q>koBpcl!@ z<9UA%V#3vkPNa{x2ggxWn!OY3GvKa`X-m7^RLG?3X>n*+s!*#(#uB~$Hd-xK;CEX7 zXl|ca@)ONT(b~c1lOl~@!KWr7>54&1b~N??OBU-kH8X`nwpCq@7li}jnYyN}hT2D` z&Z=a4qDVHzWp^`}&<I~RMLK__lnQg-&PK#u&n>(l$)!F<#<fecImLRp@Mmg%$bwXi zQzEfJ)c!nBm^>7w4~0cAF|K)<g0TJo5GKb(#kkRm8!B@v$og#Xq5yD0k37nu3lGgx z#wR?<sIsS9=xJqRZ8uAzB#anT*Zs)RRh?`<wpU+u1yKmnWeieyj??jLNP7~g5ijb} z*)d#J?|V6;429N9hdG8~GA3TGZ+92c4iBU2PZMjB5YoA2cby0xPgqBaJ$|W}`&#{H z1B2;;b?td}=1k%EM@krA0k6`5S07FMiNI59Q|Ew#*I)P_u%T00b%UvNJ1;)kK8#L5 zj(hqYKb=_E#Fx4*T6P#=pbj2Q1%tjy#ARMKe-mya4=I!$iD)*9(INMQoE>w5@!2Rh z)FyVwpLhZLf+SoLFBsikHKcyRx(TL9R3?YX)H(3r^%z(QAfhDt#K_Cl*m)ss1(rgO z+p`Bt8JPH*)_|oD2N1=<QtVYC@pJd3hG>76YP7#kJ(%=6wdU{CDN4DoyAbOc=)ox( z1Q?hXjeq<Kw}2PV#=G1TPxZi|?LJEWJDO4qMgcg)uW+GYS-W2d>B2}vj4(uuAayZG z9GVHO6A_J#h|*I@4eU)IoO7TgsK|j7DliAeAZHw$95OOg(BCwD$v<e9t$)%+1@37G z202q8tsm>21`7}|)`8_Bq@g^;PDDkp+@(B@bv0PwFX$QJZ|HBNmh2zMh8A_GFkI(A z4N%xiuRkjUQ~p8oUycgG3&uzaz(~^P78gU2>w4XV#N3I*46r@S$sW)D`Y{KdAO}7< z1u`BB(Qr0B4n#W+R2)X$v3h_iz;z{#cqLxA3IDw&1tFc-F9*&qXYv&G2Z<V-3Wlf} ztf-pJHOx<Y=0JKRiV_%#l3Kq2f6EkcykIQN04z;?QE{<hrJUDY$jqI{%zPB%j(brO z$jsv4m~7k;*pKQ$N(sPZowg5HoJTDzc}RtuN0Esj`JKl?JlxMMj5wDV!3q`SlZJ}3 zeg!sWQl8_Xe7L_N0m=l{F)Y>TV>;82+e}OmobPL!pT(SvvfesnQM~l!7bTn`7k%-^ zGlw;DAw_GyZsyK@wRvBBzn<H=-L0u1oC20MIijQW^zesQ-!FhK1=!ALNTm=JZu+@> zu(Vm3;hEZ?)8V&x>*wNo?QwQ@*)qIvk@Qw3_~5{HdPBobI;GM#w*~NT_B-9K*|y@y z&a$8OKU<jIJUUpI+*)xIJ81uTyMJC&Txo<=<oRXk*6-xvbTFXk>@e|Ay=-gDX&EqF zGH7pWX}-JK=*6n+xOtv*(6JZ%c6-m1uP}36^=GID33uyS1zESu&A?~Nla2D-Vkg1_ zJk<=D3^LzZW>@{>rARHJM~{epkw)Fz4eG2sx{Ug@@3^aiHOT+fDU$0w002K%Pm9MI zer<nS>eveV*%IpZ^QOPAx^jM{Gwv5qA=G3O28-rHnU<F6u5PkSS3{3BiDcB|tn&&+ z$hGTJLfDdHLXB*TZn5Y*o4sA_v)SEPkHet13|KABUF_r{`>;pgNg1~6Z^v6L#q|u} zLjj&+_F|GrXI*Vq{nJ~Pq>J^bX)mW7S8eYOkn6oN;eCZN1qSN<9ShxUR_f+~b^4Cm zIuEm}Zx%#(l}7p!*WVwv@Ao{&saM#QbndD%KBx!pApG2S1-*Z;r6cnG4zAIlwMc_8 z`6JP2V1-|l4by3c!!nz{;o#z3@S_FN>|z0nb$B#N`19Sw$|vf4KCI#OYEO*ZnqHo+ zec0kBi#xwaGo_*4JB(kG4g<zfn=&A9jT!z_gIz{V$iB(PCYNzb!At8;+^bR#yNu|C zXGP2}O)eYp$o4Oy*<!jr5$!_28$w;H8XBLH?T?7eE{QV;{)GV@iz2fQ9dY0Oodo^T zga{SO18YuXR^(6<xy233BkiL};=sZ*6Y?oD@N&aZBdb_qNMYk}nMXDMk~Y4jSof5- zNLPO2pt%74TF`srK+g)YA@krT@$1E<%-TrZQW{U5b85_@C)A#wzffb&D^h#9Hd4QY z%w(-^NoFCvT9B+?PV;p4ZF^~Dv`}FW{A9S9iGBZ^#Q$pRz@6si<j_O&Zq!leBoTaX zEpTwzS??PrX0-gWvAJ!pVZ5Hgt>dTj`RCEzQL%-N4#2bS+RgQRZ+Z!QaJK=tU7z}@ zz74$1#1?E>iEuycJHi^}bbqGel=1cU#^L7k!X*&}(BwCB5bA%b#q$KP{dEAW9Eva9 z-SuBwR`lmuK;H~EUexqzd-trkvj5~g(Ba=95G-~5t@nCw)Ht0*<d+A2Q`_CO<Js!j zQgLK?#tTe~?FpW+kJSbi<#YVb@#qVS*^Lu!&pq+pG{(EFUhfXqI1^bK|Eir$B=T>$ zI=-%6$=dLcx(r>?F5LJzPFANPXzIJ;@gvKch34S(-D=WzkyZDP&#!Wq&ZU0j0!Dt1 zy~S$BgcALCDEyu*V;v+;7Et_<D@weSx{2c3eRcPI56mL^Rt3Z=3qhgoQ0Orfinj`d z_CTSmk3neesrfDV{aOQ=qqh9K<#YLV+B&I%IFN8a^oq)pzx;aq-B#>-y^7p@q8HB} zD&jp#XWyr`S(BX%jeQhXZ!}9kHJ-2MI7_c-%J$&2XZ9VnT)~%jlByUY557yAsyz4} zGK2GK^;NE(jKBOl@IaidwejjB^zc8q82)S6wCS<bs=an(t{xltvl{)SmQ-XsNDwgf z&N5@yV`tJ<yN058>|IK1g<e~%mG(Bfy%Z?+bEqM$%A$Pp@d?u87xaaYZ$7-m59?8r z)gooDbDgxA<O-(VC1maR@J`~P^4SzHw8jWvh`Eh2Z@vmi*BZhytWmtC%C$^Bm6~~{ z;V)mJS9m+lSDr+HYpc!l8wUKpadz4@U0BIAdSo6_j~?~)e|fju!&B}`RG-W1lE2%{ zo<Q$NYk1`aZ?hNbAc-lGz8U5qN%tj>N-S!W`GvIYDD(G^<M|S*v|kD&RK3Vti2rjJ zmH#iD{YPWT8l*Vhj()ou`F1+NSAKas@@+A75(n(4op%4hIEz({plD6AkNxPkm%=rI zp8x;t0N}sbC?V<7Mb97AOU;~-=iAIiAB?+4h#+mgYVXe>jn{~k@X5>nQow+_1|EJd zA~YD{g8?pLtOU4-<iX$~nyG<{*q?JdeohQ7;x{fvF}ME&1O5|kkE=S3;)_RY{OE1f zle&I!e_~&ZjqoWSjGfnk`ckU2eHFRCYhs8Xol2R4hn{gWN-^3m;Nk!70`8d`JYu|2 z^S0clX*3UH-&&`_ig4AFj1!celgtvqcl5Q(M?E()zA|*7%py+5+<E=689d}J1!T#; zTM5V_egFYog5V){6YAOqUFlF)Gt_kebsa%bSWpyr$UTR;F5eu{*_9MxPWz5LO0-yS z*~D947*91JftYZG_{8?1_mS7IW?zy=6Gf?5&Rvxqhg|XRzHYyyG>q3clDNsES*+#2 zH3bj1?**_^3y2|)QfrAJ_}F_QTfSq}-G?Gu8DrHaqhqObgb;H!(>6KL%a2#&D}l}r zTgLi`uIc+4avA!T;hU-Jmf>$qDHmsnUUNC_qj#`CKBgi+fUu-eJb>Uq517ooi+JmH zddS^|BO+YwseD}Rm?B&k<k0SrgWXw$cE{y+cTxjMAd;z7BoJh_l1poScGt_a6Qr9{ zIlD`}gvu%gk%>$40v3&LBG$%kAsH7kl2I8Kp(_N)YpHvL5R%j-LI?x&fW~av_UgmU zh!>Uj9;z8gb)seXA1k6Va(ZNwa4<WGJl_{pI#t;$_+L&BtM8C!9dWCIA!^A=p}i|J zm6!-(0<3{joq(UUO+VfEOs(&#!58FSdEH!8bDdZw5=7#R9f&y{u>(u3Ev;=oHrKbd zBNv7nGA=t-440SrmIm{*%!i+h|MGu%_9&bLw)B+S_$F{a?E6yh0`yAlo(F?ryaT@u z^i`@YSQ(IAmH3~t{_-&kH55kMtLxhSKm7s3{)6ervzd$zULv2n14b9$pJz*3J=-m+ zL^_W)afhgNlEGIDFgKOYR~diD-1=Vg<r!8(wEp(qv_4k-9+gThR-+w8=)#38;o_?W zNNBgiLrV0+o&#^m)q2Ai#LHEF{#@q;?Y^KJ=ay8pMu!g5u9uB$ij0f(Odr0AE{f6$ z;5#mO>pD|7*5IHuIB>8wVm0KWfcdbY`S?S+-E&n4><{zeysPoKJuw}#4tJUv&9hiT zM^c|Zgm3`6tSzNMYzFw%@+p#p>}YzlN>qkP%zCn$)S82*Ohj)LQ&gG;G^-7UWC{~3 zG}x(=Sz}*Jl$h&I9c*o55q0oZIdUx2U5!rD`<_mJ??H07p+dc!!>TNIZj`w@zd1WQ zn>PKx*jtrq@j;jAxo7!L`hCZ`U+pH@{Ean8mu=m^C&Q|<n~)`p3vZs;OLXWzMGx^V z%191Q+vQq1(ng;<9a+-ugKzKz+o2MCy$Wxn1x}8?bL$mWBRm8gDL_X`rrm8zykd)P zCg2->%ie1v0?!OzZ68)we()ADU0S(0{kEjsfPoBs9ZrXU&0+odl^B=xDpC{44$uu_ zxJb0Km0dKRSqIerT4dQ7f-zje+c`VTuDSJ_xUIZCYZs55cE7rTXMb1D&7y5bFwkBU zLrLNIm>{_IrhjXxcd56CY7Jgy(f^|8I;s~tKkVfC+Ggn&4H5^$4BpSPn7?ynVX66M zyY=R1aByPcWe~-)E*#-XyeI_!Y=B1Wi#0s>^@_K%n6Y2IN1bVpZ%D5ptz4&MS5m~+ zE|Mk)V;NZCAd+S!@R4jgJb*%DEGXM9oD_f5`4IGpg~V5q(ioEs4S0Ty27MIW0~};D z9e>7+AIti?GycIBl5H3Liw{5(7z@ezzx)GmMC|pYQBHr|p<mc|u^)U<ST)z;<>Ps| zQLzK-24MNjx+N^*@p63U5!aX@JkUYY(Jwqk%2Pj=J2HC#iV#a8Ss3ARN<G;+h;TkW zpE)A9NvUpTeKELp9sUJ2CG`B{3y+TTik1D*hb-VRA&r+EPON7wnG*J8Gu(&%36I4A zugeepLh~^euY3*NXV<NT2+6$cAeVgeSYJc9J{z9NH_d28h{#5m#RoOWx){fwhEk5n z-5BZ6Up$&`5>ZH_7$CL4dddGy<onHo^PlcqO(GJY@75garRX<gZXvzd&sx2KBiiTV zR{6lPlvsdzgYVUy@*F&|drPVndGSNkALMdNhnwg|92CEYyS_WfoZmcDjgNhP$d6wN zeDiQx`OUitnpuzwYVTKXxcN^012(F8shFr$R0Q|CNKErm<>fe*$<#q~NN?ai&3^8f z+)r^29Ks9w?ltiX?2Ga3e1A$n2nF5O@x4G?Qxo|QzbPzp$M;p+TM_VS8GHBmtu1@B zF|*@XkI`QG!^@$C%Z4?LIUb(rz>$&f<5t1TQXQ4*E5`mh7bD8<lsr5l->*w321b-E zR=%(-zx*xZW$;lhMQ6#v-z;cZ9-d?oo~L93BY^Vnrtm!dSJr>T|GO}RyZ^zNoDk1? zj`QW?$(xKcz4@E<6y$2L_pd}Bf}MC7{p0S`*XAhp8Tr6n<L{{fi<4QQhU5dR_P=YQ zx71nz&r^}P(HEq$V}AsWbkF1Qw0ft>4S(I=edGH!dX6Ia*_>3{TC_Xu&du-<`49VX z-<?aoZ{Rpt1i3*l?(tulA&wSfT@?^>2w0us96k-CxjL7FpMN#+E9oPzFK@*3k+vHv zR<`AjV!Ng<NDW?6x;g_Q-{*2J$B*o$E>l<PM38mBy<_lO9<t7YtMhr_NZ0xOO1V1! zr?3#!9{e2Y+`ahykaq{YXXy<-<o8>~NcMNrYpTEu-M`nmrmAkKV5XJ*uifz;x;ucW z0|~3>>-@KAABv5R+_&5T9-fPjEKbYk6EU?SOFoq~6*mue4?|lb@7lT($Chh3%;~za zkB;hEz^8i&(q|4YOl~gG$GSupJu#4jM#)UhG`k2PRWfBJO31U^T2;yL_Q}k%h4A~} zm<4%OtIWg>c~(%X3Ou@(nULuMc%k3A)7f>A^+q)4BGZCdElU%6M<fVqGzaroBEVqy zs;1N|PFE>XRsNhp-Hc1E;A;=TCY4ub(sRy^iL1iu>;|*9Rq{#XgdT=$xE1B0hB}eb zd$!MKrl}{I^=IAd<ZIvm9TExDr4<BpXFtD^$*Xx4I+_w84a{jguPLNfuQy)_z-#-Z z%^z5TONX7TLRlt_Ds9p4lxz#LfRLw{B(C|-2wpg|I-L64eMsO?(FV?N{!DRLdOJDg zqIHsc!n?3g1wHlkWcTk6$qX@CMG9^HNv$$9hoV}=B&G`c-ygv5&&O+zRC4M@lfFr( zX*lo{3aS@rE-05iNn)Dje_DoTp;#vpsLdc4sJ&2O`_{Trf1$SONfO}~550<~73KVs zyn*wXoFs}@3tI;_qrEMjk5a+QXNLksYlAwUu~yuWxd~_&y|DZ*uv|G#m(KQ0uey=N z9Ilel{oMiH<H!p1h`f?A-_R9VpW!6%#T3E@?_2e!z4dlh>+R=mk4Jkphj|yl$BcLH zA2a@b;M|^?wTAgnL-5_r-r46g@19GePaT)9=q>pVIx5cY+9UJ&itqpP-heuBve5f# zEcIX;C&}EU)?B^zqgq10n#M;pjXpJvUbX6|Typ{`1v05Y{XE(Xroq%BS_mhqI?@&o zT@y1rTPgxKgoD7es6fpkr%);}Q2sS5d@iB-sW=-~Y8EYoIE5C1L^?|{n>4CDA+5ml zNxT?hgQTH&MIvweoB^wp4!rq_3-SQ{xfNxhbW)%z^Rv=a0$K<MY92=B)49UG3UI+0 z-n+SlG-h+E>x6w7>2CL_>Z;(gr*NfEg+OzEKR2nW=9NbGCvwGqIH-rR?2F7RhRF+3 z=eQwB2sH*-3aLW85LT4QOlr$e_Qagj6M6`9!#EFw1r`&0lh{EZ-OSsoptpiWDSQxS zc@dp3z4vWd+#@e6-fRwW4ktfPVd-IoIx%VtNGyj|S(2V>GxjKxunn20_eF4PCdKDr z;9F*njI9q7j-2rKzUgs@;L4v&g=K^g0wauEy-DhM36l}Krjf&u%HU(RyY^FQB{gb9 zw`H^2U-+dI2_hHaEaXf3%GIKEavxMm@)?ENwxUf}l&LM1qG{RVm(8j*RcT<pD15M? zXq9Ul$1+W+Qzr3VGuWUMNpCZ%@Z0+jnoE2sWdZtSaEg3=EN{W5zO~B+bW5qFEC7>= zh$wvmk~(H?TP^dcvS%t4L+|wo)ao2tc_l}jxv@BxIn8<!c=F6n&o<;{xOxt_hFZ+R zc*eMT7?!;I@(F&fK8>7vx6^(3QS|9+D|d+^#0x}8K1SqF@Cu(q8r$a}Zo?u(i*{x7 zX1syg9HC%v@Dv<8dj$?s8|w&&(YKr3YLiMPacppkXf$b*XiMlLQpK1dM5)-!5LATi z@}s6yI%Wv>gKJ#>TqQZB*UV(`gwF*f`KqOZz#8#|G?S?YOb`-@<If*TODc+9r)Xrw zJfT~2E2u@8jMwZ@rPWZuXS)T><InMv-Kuhm=wf6{|8PXIl5Hn7Or{1fK{$}icLj=D zl~VDUAlwM9LoN4SZh*E{!ux0J#b7N8_iN3bG1&W@%6YZNJm61@F<LNZA|u=FAC5@Y z#OgG{U->V&*}9d3Y|S6TOiP3J0MHi5q$tRP!8k%adMdVRWl4SWw2;~XZd99i3k}iF zlhW<%$vF?6vp*N9=I!)ZD~T_})1(=uVknVKQB#X%&ChwDrzpW!oe8E?K%5?^w*5qu zF*|KRklM!rVIuU`=3~Y<91xh%Es>4WswMXq90zlxim^fn@WZmT3Lf}t$J?6g@%?|i zy>(dB+uJ@W(jY^3N=PW7fOMxw42`6M(k0zPBPAj+N;kuRgo2cmpdd&{2+|;pAl-4+ zfP3%nzTWpb=RNQBJLiw>^?9E4tovE(S@*ivnyoXR#rTA6lP5o}z?*JCILH)}bq|eW zE#t6@6kq8Y#NDDV&k%-7--M7~kG)k466%43C0q-(0!D($f*(sh`aE6^+-=ij>Ec4b zvu;ADX=ki-6C!WK5B{oQ8p3%S^}SUC+fai}@T7!!2yPDoZ~@LW=As|MGH~vj5RSi0 z`G1?x3zHKjRO~1u1*<v8k8f=Fu<GXgbBbh65y{pOt9JhdZESVw!eXkrB9pTx=T19E zt9zLH;I)18WTv`VPuJRWQIXgFPAIDWyp>we^~#MV`l-|20<w0!y&K4?6NS`S(P{O$ z`u0HTnrFbce}v@e!eGqWp`h=u*IsB-mOGV41gx%wZ`tCQa)59Rexq@zaffB?Ss}gz z9^x@&%7dHQn~yDt6>$SJXjKX5$JSU_dLQmQk=uEqnbW&;^$LdQQPxDZBcE!D;?#5m z&yk|pQf^#?xsDb`PFWPSf1ieEb=+6Mh;MMhZ*Zl^MiHY7<KZ<ds~wqoxrv9b1Y#Ro z2u)x+v5kF7jD(mvccL5VIuaX~ZjJ}{EQJKPtZv|Rmu-OG07xCv(62+It2IaaSwl5j z^Ngh3H9)ksbd0)3INT!wjNgW)J%q7Nn880g&Vm7qoT8nHu3OB>fKE>8%fzH{y>6|p zzGyCQ>g|p@!bc0k_SaQQS3N?={qw-!VSK8#oyp5#JbMKhBabUd!LKs%-n&kf^f;=c z%R+%+nI*kTNfg@BLHomkoNeo~-Fu=N!|wGh)ZTsTP$8-tvGGES&$Ts{nByX@C}z+r z1Y)Mlv}dRFbc9Iu*ZP&L+^6zpaWz(q{2-F>>SFS9e<rUunI@zzksS>aXShlwebT{C zL+L&z!?<iLN%5OJ=0p7In;iMcG`@?G@^4+1nTExwXQv>ePl1831rfTEEYmc5jR_}d zwbsGu8zv4oU!(l2KGZ%F3$y90pftTDBe1_rKBq2j6g(bjb^k^E#v@!8xacjJ_1SQ? z4wIg8j9PrEWEhj@myaYmoh|SUZ_FY6K}9-0!c2WA?b8i4KT{cpwieG#Z_FxU?5PKH z<REI89%U8A(mIS-=PJ?)jK2C7el6<Ny9K!Gy&0Ve1Al(x5lvf{A+|i)!ht!f>o$?A zrh!-^)t7PEhdfr)UT5jbipeT0@nVZpxOZII%OYTHOTX2*AFLTgPw<Y0^)lNXN3K%} zB;EBvi*OO*yQxlt7LpV(J7(iRPB=ZPVH6n6=-=^GB=*ORaLgepXmG7wIT7yqWZgic ze*BAfmS#67e<{Xsk3?_^&Mp`dSb6j>!RM%3Ba37P$wg*b;36R!BiUOaaU`q}i6pGK z$t0|>++LE$if_o*Vy1H~eWf>E-`N`^A!5x*){l|Ry=$SkR=Cim&-g`HfMmk>;q04d z4^#vOxWBK3P+NYt?(_Yo?D>St^B(0#Znih|dD}UO^$54U+ODN6WzTso&nM{pF=2yR z{dIOaAB~!`#uX;+`mf*VBm;l>_V@2ZfOjP1rg@lubnt)ws{1-mGhGfe!U^_X9yf!P z%tl7ntbi??L^y#-^J=PJB+1C;{lw-yvmA^bOy$JpNVG^2;rmRQ!3EdSR6f(HXYQq3 zt8{}q-GSW1j^sd}QU5@Mx}ES~N>6^4%Z4|wLT(W}vU;d}*GPes%^JM`3fE)>vadj^ z83yOQ4GsfWuIRgScyPx%kXv{FU{Qx+&6;8b{tR@4GqORjWFLISwIum}cl8K;s`Xkv z@|JHFh32#zxDz?CL8#=I*m8c!sL20F=uH%uDOF)aC?rc6#B%Iaq;MF@b7E9IbHTAC zW+NVC?qo(NBq~CqIpRQnW*P1r)fDZP8|J#NG2p}e5Dwa~^n0;bArvmAt2a;wlOcf^ zwzZ&UWjTN3ARO%mga#MYp>0owKn>o^2H_$j2B*$&&WN>)ZTu4wJ(f<i5^(%#@<Yf; zm^)cPs(T<+LR2lqr*K>?IOh!r2gS(iLDtMK#N@P$%BT}>sN6MBk5mEP1HcJTX+Xaa z7OuN|FW{13K)8QQ{(qZyFHBC@*F4YfPsO-yJC+)_d@X-J_bSN#%Wb*gmljyXZ0*-H z?mwq~kxa*r!7XCh_rRr-Pa7g8$vMnYh`N4l+^%I1dGcyT&P4*Wv?|T{%q2bwC+yhm zSZ>?ctU<ML<|<I%>NL;{kGi9k81ylQbk+FgLZFe$SF~!7$>z&#C`-N=EY9y6;^%P& z|0xdfdrA*>W)E5Xy`%;6&f*FaNlFV}Kc3HD)wE+?rxsB03#r|<bWAb-Ixg^Oq*jDd z`<~4ww~9|satpxEqPX2%Q9Xf=3qrKX?fEM)n>hDesXtjKa#Fb3v{)zFG*t86g@i@G zvd%Lbs@GnRsl3H#`dvn}(yBgmC7S%TlLmwWU)e`z=;Oge>1P4F$<SL8>;3N?sC|l7 zQWVekyN_ipZ%{vV8W$!e^PI-ARC)iY!x!QmvY7VpMy-?L2KJiZt34W3UxI=L64L#! zxLKKTPsu3kr)I|-3~m0~7A{0j_iNtX(1)>Ad(IAw=)7~~<oe(-8iiN(=6%jbyRtWk zjZyoXz%>S3YwvRyh9%Ew*_Acd_p!5bAcBWxLNZZxC4(05ttPu*GIzvsg?gOj?6>>k zhLK9_o7SvHk}m1;rsNY7*Q<|ZHKzm2kVq%CeB=?gArd*22Ob<y4~YEh$fGx?rxM^= zGeoYuePnVM&3TnqclSYYS2*VSSw5Vv8I*$M#cGa{GUkSYSSGxr8KR08chi`hfVUp) zy%b!%83M&R!G9FE)(d~r0)e8R_mjbunjl(uF1tw$t2wlwA6%sgq5<sPqtzUvzid;N zU2WlE1<x0F)6vXaSx|Cot}tY?I=2qyd)sWTa(#T=pl(A1-mmYnx)19qkXMhZphHhu z>+G+}W0E0{O29L!*HA8^OT|Pq`o6`}<(oVXpYn^ZsLem;sf&QCHbb<ql<Jnyw9w$0 zO%SNj3&Cn7WsGt`VuEH$Oi?^DvXOj0&4=(Ug&>noN=y&Ve>-+Z@<G{MelA8|RGQh- zzY!s)7U%9GKpJN{`%Oh$G*XG>+kSjTNv&@TysZtQW#-TLqfbz5&arnGV|_0y=SWhE z138re9!?*Chb-_wZwMZ8z=H(pAulY4p?>h^C^jmZ=O)P5Jkbap%r0mbl}L$H;@z}n zV@PkyjbA$MNG|=s0)NyB(ZTR=>n4ELf+x(!8pGqvmrjUVH~obZEA-6q+%fKAJXrpW zBK?Ada62$I81Y`bP?MjR=h5<vyQo&cvy-w+{k?^D#;Jgl4aqX~5n;=AT;JAT!ZW2Q z^z7pz?|z2XTX>{7V}E^4YB~=r{jDkWvVgOy`8E^C3-Eu!Swh(lS8!&Q8$@Nj%*&n= zW%Q$5+rVY>K{V$OLxsF+i1_1O7kBwpwC=?+*x`;~X2U|lu-|%$hROD8JCUc^=Mff$ z?^m5w2N)O$ZEICNhZvS7Ze}GHKM_aFM^OWpEy|UKa#;Zv9t~l?u|kS#u}D=4f3ZkK z$~IEuP0Bl@NYSk8(a|l6S>jo4Nrvl<Sf5$i^qajx4^HBgp7oxAhw(Ti*t6af@buyQ zKu$DhcHlN0lO$~`+H3;qfzqmPadpF=_W5c^n?Wfd$<JCz<*<8xktU3+jh(<YvmVBN zIy`#Z9;d;3e%ie}Uz~Ziw&(THO|tP-kE@Z$`S0c7IH?BM!O6`0aolf)Ym!;p=b=5P zq(1u^BQ>y(-%m+BVC$LXp68(<$Lz)g?rD-UT*@v-7{3Xfr<~Tuy8Wwbo$l^8EHn;{ zd!`I4`X0BoGn&QiFH~P&z~BAJs48{c>2bS8huDuz(k(rYlh6-vdf>7Iu6mTq<Na|c zbnUdv$-H8i*Nh$lUfOq<x%#B^{Nqq&+1bId8H1!T4C1}NaW>P+8y9eX-sr#G)oO=4 zif*sIA02UeI?>uSd@kG|;-17tuxoSl+3_mwlNqarw#G--Ur4?Pz{w-dW4yYIu^b_7 zC<9!kC>J%#g#}!rC|AIXfR3w~6>WOP=IlHb#PE@b`}VDD9y27VeNPE#zIc6uMP#X% z?p#N_qV&f>E-EkvtN472$U>rA<tW!$5g{(-*t|c|?bNgM%x||nZ$o2o{(J;Gl7YeL zY<{PPb*t%v$<$g4cq?2PV}RH3rk{L<m9x05W4qQv`p}YhAqzooLdu`^l+YW6v=LjZ zUbk<cevf6t_W6BKxx?3T7<eh_?f2ZF4tC*hWK^Om)|l+Y`0WWu^kN(cAC09xvIFn) zwa3O8Yq@3=@|e=1KBRo&^&`7-Jac;`oH63I0v8T*dm;g%A70<-9LFVCYkYK^i|8_` zXx+a1>{J%T6j0G#`cB^b^<-c5*VFh1Dq7fOvn<B#yUNbHh-s}Slz#wSU7a1Fj51># zIA2@d%cx<jU1#CL?!|H^stk&0rn^QvDA~7u`>Lz6-HQkO+qMur0vB6L#qO3unY#q; zHk8<-8$01duW6FWZ`@Qan>;&N?egT6`ryBR_Td8sbXTFl>u6DG66OmNJy(G2{u&sQ zY{qeq$X@3gG+D(q$i~vxbJG|6S(>@NtYmOqNkiD@GiKrVa@s!A*1cPUF&~`HaBj}g z5*@bj3$RQHz(UElxS#o%bEU*PrAmFoJA}wfKBe#O@a$%M6y`_BoH_E+a_)E`u3R?Y zO1y^Oef}-EZ2;SZ9<Kq5QRCmLzi7gU*GSXg-?G?NqPmXlv^YKSA%K6s79LXVvY~F; z6n{M4-ZCcaMOil0=D$8O%}w_qWY}q1a^GoVk6^N4RmdsRSIBD>>-YFBZFR3d_{qKy z&$T19vBeC6$+s<H9;e|B?oH3*RvM*FwStdlJp^Z5BiQ{SV67TX9}S+Pdma*%LiY2V z-Pfd={Lirre1$(W?(JMRrr#Z%YX7Z>9A<#<mYoib#R0AKqU0Zw6zA%u91J?x*qVr7 z4!#$jOekVDW8ar%H(JK;XYI<vW8}!{b(P%2O}M3ODkF+=|8eaA{@kL_@2O-SBJj>~ zGp;7s{zg|9ER&G|yt?~a?$qi0Cd~Kb^c^p3KLTx(5u-hf{B->X9Oiv;Ixmc;eU#d5 z*Cf$MJmi06$lXe3pF3-vrQnz=Z|eMHW1EohcMs!$JM2o<#Gp+Wm8IljmB&_<<Xywn zHCOkXs=cRg8ob^prRGmUFcfZ1v?V#V-E4UGV0led4_4XlV7#pNp+BTs#i@NZCux0F z#d9`k-9gXi_;Ijx{&7uzgIB&-YSN@69kb!`_0k<C)8+4$`PIFP&-8pK^?le)mp=nD zPB~J~C+4O^l>X>gNu`sS4ct-3q&2<M@=eOIPNU|R-#Usy$c5uUMk(0$bV*;AquCuf zG9s%}nDcI#VPf0RBTa4NQ&(M+4~WQ)C^;IO8pQ#>(NeU~ZBK$M4`#b7wtI<J1Jk;+ zVUEd*+uk)2nXu)udaE%J3H`M6Vb|J~+S9FNZb3L*&|!E7JSi=Bn(L!O<9&^9Y8pP$ z`eK{9Zim#ER3_W${!u(j-#F`1MBn732E3MyhLt`bQ2mBUAf}+NU8(CfP^NADP4MP3 z&bln$!X;a-nkdJkFg)%$%c0u}l$Bd#CTb%3-_)A4vK59)bPAS&8#8i>i`Ka6mf>8L zOIfLpr6~mtK~Od-NH1FfdqPIY|3k<g>Af9zxBGy7eVC)xnYY|Xt?@3!Q81f*%q)yH zlRu9NKakz*tzDtL2PwgUt&k>K<L5%$2YyRzx9elE{Hn$fSImBDW6?E!srDd0C=luo zEc0L?pSJVM2>dimN29i>=9G_Swx-9`JbW;BC7O_=0&Qx?l4fJbvEZs-Y%fmv0TVUt zhMH3$2=YJ$37~@Bf}n637>GiEC|4K-BkL3NMxt1?&7t5sU<9~QAm|;M8O~tAf$e4r z7{aa0PuO361oD1%)(#wkss}nBNni=e8-IL3^I5iys_50;)4^-ZY!;&E^!%rm!4%9T z7NRO0ZdfXoM+6w$vIbw=5)+rNRes$`#WD;nPhRG@JwT0sy<Qf=tHgOEIH2iLz~9H8 z9-kGotEz;X(j)y%wds160?y5p=Pw1)g9=^;kV;1reHWl-vlT_x<@cX8izd<&l*qR| zN<r2(VH&`AX{rMZ-tHtmUbcG~W+Ju!S<vy+R$^<&@7F<+<1a7CUpI`z&Rr$+i&fWb z35C>`ajXXm@4&9qNJgVcuVH+@GRV-FdxdH7PC;oxg++5Vo!COuyKOESF_-Rk>lNWF z-RLy5@tw5jg6HJUOMOqT6n+;%udz$L9avl&LsRpM@1e7;4S!(02NilvN(&-LPU$(i za21le*(5a@&A8iWusNHw9WBkU@7i>!|EDXZmN#vu`+|PLuBg9xg5^A%Y<@-Gl}yli z>BG}2*c0>sSqLD%j-(NG8y5}?Sx=KuRBMk#n^qKZ{K{rk-IImSsDI$ovMIRY!H1;X zSSgG}|FGQ6PeV$@Ks6A%(T8vDaU6qW2**}*Ll-|Sc^W9x5QODbMQcsZ@Txa<V-RiP zijxmM!3NB>x=&P`FO7Ca=A&S9>ZCmShf%dk2%+kNqSp&If{lXeZ&%7ZXy3G=ThtOW zu$qD_u>#G-6UwU_&8m)}*k6XP{1#21oz`Wk<r3$60bs7)ZLWvRVR;RSm*Nqs{sb_g z1RIXwG3bp)FDmee(r-V<c{(G@MTx#_o@cTHWBE*coy~NG<+G?P%i+~xcq;Bs9HL>~ zrNF0Ga8}`|Xu#6vTk-?e%~z?1v!IP{$qQI^uTnRGrGI<L4OqpmQkPL}x0jqz{a&Tc zpxW*%*`xZsPW^#uyR&4C>i0VJ+w2vnl;Jpzl56V`>`}f8zaibQnKsw2qx#RgLaQ&z z+8JdJj^4KUIPbZ~bT*cTTeONc-95S<YEVdS{<Wd8^oEIk=A*6CeuF~qH!|1(Cb4gL zfGvlUt-7rel672{B5fl1aV~;L_Vq#%){{MVLDf3H&@Y77L+^CoBp_K`wdHX;#7SV3 z#d(sV52LB<A0B$PxJ7(JDwL>GpiJOdMp>~<_dJVg0M7M98&ex7ubF-byi4iT36U(v zz=wV&xyDjU4tN~j;q_2xfp`9Gy2Cn#2xG~%6~%l5yMLrs#|M3<Yq)HHH_2f@V7-Ld z@w`>7_f2usgCWEnOSWR<&rf^FNl@6##44Q8G#vgeEECIO5?xE|x$mQgyTbE}4vCr7 zj{=VEg=hFP#)Q6?$df(T*4C|0G*b=O^u!C3&`tU|qOB{Ye(3$H2uVNehXh}MDv=+2 z1%d|Brd*VBG({BmQobQXd*>~LOn!-{J_IjR?>aOStj<V%Yq46(`M^P9SQ+L7uf1Jc z_QG8guf4%Hy6U|rzTKT#-gx&%C9R)e(N!Ls+jc8zbW{&0KhxYAr7IqM+r1*Oq9#s< za;Q!M$IgYrm<i>up9PM)J*WsjR+J-V0XV!a9BCXVN689s)Ll3}+yV|5&DV9{Il1tR z^Pxh1Z2^a5FAC&D0OcUqrz;r=?Bxo_X7ukf<S2ZuSUjxWkl<kpYjn|Lk;s?M8Y(XA zxhGL2<tS1d&+ve$Z)I0|F)wp8voUQ*xtEv7dKVArss&vmwCJ(Ti=f7-^dT4$u|e!v z@ms+J`x6K-3>%_W(sXo=b5q{YEZ@ey`o>hrU0oD;`lb6p?9Ny8Aic6YQFgYWGp5B7 zMV~9d(#6AC=?Tdz*D7`VXw09#KN6RJq^oX-^uHxl9G{U6@>eBxGi8=U=jK=GlnraC zYjsNB+pT=Lva8a0PnmiNk?~!#!Bk1)3kN!kd}x3RIb6)aPW&V*+s9CD*N0%9HTxQw z&OyM9Fx|4OG>pWcfEAn5!PVV_1iw2_4T(1yJ`FxDBpO(wHga}_1X<~R<un|H(D08) zeRcJZxI=1%19y9T7k^Iv))>S8zToXd#YjBdRcq}&d%Vl7xj9{3VM`g_p>_?^Yw0c_ z4En6=x^$5VM1iA9DSojl(DP}aEMo3Te!<IVsI8Q+pc&SOd+21c7}y+w#KxgozRmuP zF?$kJdwzbp%hl%x^Fzz0%e$?FPEr~Aq#m<-A4r|fj?cXhe{&zlAIH?(tR+OOcT-Mq zd;Zpt@;ljV&wz=|Nsnz%_U3ix;cxCn!oE<lC6#%+aUp&$*rnj$+H3Z!Xxg01L6V*_ zo44F}u	nO_ZEcdwF}g1}8RbKIglssp_O;*{nHNEQo3Ge`h=>ez0RBfr{B#uJJNn z?JI0ol&Blb*NBUk^cTIY@fb4L%Q(W0lNpdS5xZ#~C&`cIW`jl=y!U~QG#>`>x4gRa z?dlDTZf}trDyN5EG5WGGRdEcrT~rh6wqN6BN#VaD5?IK8wY2i4_T6%~Nxq2ZL(hyD zKC4QzDQdP@pDl|k0qj}hMPlW;)qTv%qg~&$?8oRws*SAUD>vq?Irlao_OslT%14JT zm1ML&*d99+hOFKGoQ6j}uD=~%DZXYl>k=d5PFDBckunWTCS*!&<~H>A`<CbHe|aIW zHQ&J@w8daQr+bHW%~#}3=UP4Xm}k<pdjqD=V_XK-TMA6r<oc0tW|rp(op0)FN_7tM zREkSAQaaxp?Ga-Kd)zC|IC+u4xR#`6lBt_y<PN$Pqq>5ade8x;5}v2atE5<~JG}U0 zUhfi&C3hTI{jj+7<#n4O@Q$sr>Xiny;z@nOT?YH1YK_Tm>!$aDFAF3NpNck-LTyHU z%WZ`Em~E!O%pIq|TUt@Z9LkvUEssYT%P3<R%m`(y-~Z;K@3iiDxBIlTe;fv0R3C7R zbNCCR!|h;hQTWulta3-}Kj$u19uEpp`5P3X`nOoQKdLHgsH&`qmD`|NR>C?E;9ukY z@L(HNOmJA(uNxh8t0tPC=ZRey)#YMU^SR9xB|)QBRji|IxMQwECMJ+#qhItwVCfTr zV-IE}@U2wqx=rtWO-<O_ylU^ad1c}c`a?95bsoUomhzR$+^jcjUUHGYgfvi*&qD|# zWwi2T`?D!?bH=k<3Kfw$%Ax=?QB2?<PdN$iUWDm0yr96lT781Jv9?Pz%fVO@-V#ZS z%Sl)$lROx!fsTCsKb~R#Y5em{M!st$>yv6Fd(_E)UE)BsV{PBbDvuDRFKjh_O97Uz z8`2vt@PgTTv@2fAadeuWnII2mbl)W}aZKo-L>ZkkSh10gb6yc5$=@#>m6gw{pmO>n zesbYQeB;(HWkN|Ym*Z6;{v(AHU)Ywcrd0pUSUNw`Je9C4e_kbpTK|yVXF5ehpvnji zA%~6H7hL4QdZ=;h5lG^L+4p6^b8^m3l$^Ftl+3)(a<Mbd5q?kZ4Q5duWoVgs9|6Z1 zLhHJ9Uzet)W8d^UMqMrp0v{2sP68Ugtz3{r29-r7q}N}k2u38Z6owcXY>%Q%t(Yyi zqc<LC@u_n-p_Cv7yhUzDB46!Ea}64H447Zao=YBC>K+!I;9W5DDKK;UDO%^H5ir4c zUL}=^c&Fw~3o!NMMl#M_nYquFM72fNn1!a9-X00wkYO=fGEz<LWO6}=Fc<5filqaJ zB@BC30!BKD8tEvA1cL*+L7`SRB%}k(8mj>;#J`}n@>w-QOqWuXQ#%iyqCaN@P&7LL zidI0_4Tbb)&WMVCriuRfFQkgu&z2=MMKR<;(*_k=n0a>SEHLXKe1B3KZE8NpW;E33 z3mU+}6%ah9p;aaZq*vywcKT<IwecZ8+p)ATxcxb`Rzf;ryO~_HspTD;W#;Axz`qGS z%sAUSft$uj8Jz9?jF5+&gbd&!1l*lDe#qia4M|~g&%cL2IE<`kj*kkn^2bM79zB8K zbNgAHlT{`fi3!)(XNUb>>l$z=5!%mHbCuFdIVQGSPk`4_YDibrdg5mUs<=v-cQ=G3 z+q#-1CcFGkjOWQd2960y`Z+FqoS7e#>Xjv@MwEsJxp&fwRIDME3o!1y4Tn?G7h1no z9{Nnm;!O=op>cl7fGjM52JVZ7rH47FhCVoZ{#nP&_l5XYhxzzc?t_j;Q_fcp3Nn5p zUZ1k9Ba$K@)zfkj+?4=NyH9I<b=m@D#9kP`rbAH1n+s!YIu~Vh`+FPK!GH+cr}*mI ztkaK-(>4+tIjkQZmq(|8sRCu1l)hh8%d2v~w$}5Zt5a6~=s0D5{`k09d96^Nmcx2< zzn0@gKkK5q`<OOGQV$xdyx;m6f&cI&A57{u%8d<<Z+qHMil8MeKqQ|`%KilxqLEg8 zfdE56Ob;w_SL&o5=Ts)9iXE`};ByZxG%|6g`nXPw2<)rn*E%&Xm>!-vXuI}KwVKRf z=%gHD*{>7))#ZO+1Xtn|AQUYIN9oAv^7aU(zP!1+e-b;cpbnGHuMNo^q%cHw`)e9@ zcGJ@py#IS@)52AMuQfI{@?wm^mA_WH{jCPZJ(Ct1(NlfrjXtP#OTbb+<H1p|{;$gX zKO0@&U|Q!~$ZjFcn`ZKuO%bEISPVI4cuN#Vx-*@_8ZAzl-;1V=4%oADMp{~arvYPZ z4`o!fIHjOkc2P!A%WpWUWqVd<uu0E$`%Ql*T;<#EL_I}{w|gJ@obdD;m7@aUDpkVr zBzP4SDkO5J=-;3uKU!1M9@e|GuK-Sl(QR-tjPW1Qe*;p#XMg__>Q<gHd3w5Y7qNe! z($mT*uy8j1%IR#(tZx0diLP{d*yxU~*j)>xa}@#Mt?uFs&#fIAylV~TyK0Y@cDwW= z<f;kJjTd01hhIOfaqE7u{#DPqV%R=E?4c;pVEhX@B`I61KyL`U6<PP)_>1l0&BFI0 zTa<-tzH*;i*C@|Ny+<N`r?e3axo^`~ol6p3wJ*}i7}YMS^w6Gv;>j?!qBRz5XlwQ4 zSLYkSl3oi}6Wi2>?0fp3O~)S2@6w-UO`WL*(|AK~32dbpaPt1{Av|1*J4eK02g$OO z*(7XjK>|9QU`^_=Fu!)@DVdIaV1{T5Zb?M@5ni7<yxx?Kjf`hBm>brr^!L`*c6@fS zcbighON`=>KGbieg&=-vlvZ$yCHe&CdZwYH+#KRwM~9echkMq`?N-P9pP~$61#@&^ zY4Oja%~k`x9)@TS=-0j0n3nihbf&v5t0wWzPcvp<`n}lq+b)=01P@AeGIIEnN?(34 z*7W|tle~(WT&D||T&yUVT%jpya<}w9msT2k`@@|lArx@>DToB>4+e|ETf<D2(rk+n z6DsZQ;vTABMDRpd=-VHZhBCf2Ze`zK$ewU986M>CqWmP>aKA9T!q_vRtLSy#|32lD z|9Q$V;WfrWZ8@XTv&}gdTQ&hW`_k9^;>Z2^gXQ*wUGBPIo*!KZM%-!LjWb)-8;}mc z{*JX+zeKwPOW>1J5Iy+r6oipKt=u^G6<SgnqsLA9;mwiTY>OOoF>Z7gwRZyATw*hu zeM2p~+_klPZ<&$(6fnCVGoNA#|2YfExpT+u&3q^R4w;MMh}hpt^7EGdN63TH8B(vf zKF8WpyOr1T(dKD;%Y%H$#hLKgSxBV@^|Ek}3){(yc^b)}eVn!A)w+U=2aOz`mv5xg ze0FiT0oUfwE)rL;;xb`NsLk1WYjSHi|2<|^;(X^w60N&IX1jXB;N2E=gVGoio7$}- z_{=nf4W2OrmJIwsbmu{-%-2Ab9>V&h=c``7UcGb$0~CG!&KOtfacwwYiERCRU1^b3 zGH=w=IyKaajlo4{AeDl2$e$iX*DMG<5E9DsoW3tq?AByV-$zT-48G4wev3_Azm-Gt zeetR8#b)>=1Neds_)@U|(T1P@gfJ)+{%ZLzRMBal*Vnwgcg6pcJ&(o@^d<6lt#3_! zwOmX`BGB}<SZVK1WF2ZYBNvTF@bo!|I{fV%gkIqX`Y#ZERU#~nc<*`pb50SZ>k%t8 zC!?&N8M4acy1@{yG(g-9{uyE4Dztsq+gKMqdSe9lvN02`HV^R3=OJ_ooW6mm_^iSg z{2wR(tTU7zZnoJvg1$49UMv2DFiYPP(&bTs4IiZ#)Y`k^<GxrU8CML{07LB2MdK>` z1cgVu0HIfYLRb#M|F!<0gwIhWeEz>H;r;)vgwS?}tuZbe-*TBL*~~7|Jtnx`Xvm`< z413c^K#EWas`6DH<Wql${*bN%=`UAbzK6p<LLT|U9Ty?G@X$pFlQM|;bPX|gQf{<d z^G_jTQY)~vm%8_uk_vyxaJ{L*2HLPUeW48ukAHPW+XABLx)(VFr<a4Z?`N3Xg*Pui z<WXzQqX_6w_nl!5(+8-*FZwuoZI|b=kfEoC+<`F?(o^f{UD}{}_50=al)lbI4l>-J zwAH?GtJ^h5o+`C+(#u9k`1BGe=I#<$91!md;-xjNTZK0Ktguk&(d@_;<!P#TUV*)o zig5MrWRP~sVMtyxC*-N(M&$oO+qtpw)Sp3rDE~dK$H$At@9?-~fS0!n$kWO25QI}K zan8k*d;ZZaK*s7~6dCPYF39-i%YTw_GDZA9$p{*c;8H69PiN(ljKK3>$mk&m$mpcj zb3sNy@BbvDQNq8-2pTitzfpJ?s}MREij2VZFEXaMj~^ctNlBb+l`)<jot2Df#Dxeg z30XNgZ)f&=Jl(1JMD-P4NuQ6`unJ?p+Wz!lyLjNI8q8C;;b^tXEWrDKQ;(3YQ4|Kv zV|)6x0iV&(vZBZUM&W&0X_9mkqrB|Q=V<q*#&1SRm>2Bu>}Ngp$u)&&8KMXET*S;` zuFQoKWyUQL9n<<a%yyQT*WU`UyNs%Ik^4Qnwim(lcEO*BS!h9C{n({8m@vLYjadGB z37PEN_mmDt+u@6-g%}X^m5A9BL`}dhqE<mv41?_4WLk&KD`@Go+~e}+81aHr&tOE} zeC#eMYKb=wh^W&zWFB{iGs{oDCKZVl?L=k#oD8sRiJ9X7RziXcCL?pM#*J4cDUU-t zd&bAziur`n>Yr8#Eu0k?g)}Wwg$#I$ruB@^e*GLUkEd>YhoNv=KqS4k>vb$~7kOIE zV|(?*Wmi$x+;>=+1Gg0mx7}c(>9s=-LI#$piU+)X(tE~#m9(t7#r#+npHR1Q^!z^U zA^LgUEhC_iUr5|;LyX?SOH`wM;I&bPpm0XUQ}KqvpUd6!y)@S}dZ{ud2R3#V!mS7b z3aw7me=mFb_%^-6;-an}@EuK`9AEb{uO5(qh!F5={q70%XogCVOLdFEo^qW~ejty~ zVCtBd2)mhgs7j9fgvD(Ad6+Fto#M7huixxxO><xlBPCKn5|iE=S8`E8WIGakD-V6o z4{vmf#IJ|eb%FHvS4=Fj^jawQ7Uxp;{SA@coI9CbRJsqPi51C_3PViKa+9ZB8I+$$ zZptH@1DWHwbfW$6?i8^dz|sn}UTcUabMa+8%f}mH&J2EN3vztZSs?8#z`Mrrwn(<B zqmU`xFu2!SGU>1l9eEW!DiDcE^bCQ*)B>1^D9ro|Ocx~E!7qc5$9x&Q&V4_OSib}Y z5UY5{&Dp*DW%BZ|dJ|55iP{~i@07xQs;l_(%=xgJw^iEO0<s2&xNO4r!d&9}lf2l> zou!~FE6D=yj%915msQ3|ZZ|Pfx$oXjAr8nke(1&)5J~luFs4rQMmK8{l!vd5q+MQh z8(YytBEd4tjDwQOB+`mG{0Kd_wDcbTFmED$Olg}S@w?L?P5r4n>fe-|n2P$dsn3XE zmV*yr9cDSrlR|IW?h+@Qfq=0*>OFX;gZ#jm0@V|fgqDsb`JfOxL)_dFn>(*g;GE@_ zI|;r82RE;dZ#0P|jGEv$Fq{=;axnS0-Lk)Z^4r=Yu5L!kj2rw_Sac)TjN4np3~Y8F zOJ@6;^%1`rD4I<l{>HtX#kN8jUmm`Py@fDvS#D<kmQI?9)&1H^dLZ@CHeTbNt7)JH zbFYdYP1^%;^b~>UGK!%P)*eIP>;}$Il=BvF9wvr90C0~VL~4X^L|aKGJl+H=_>#j8 zO)`@8=B5qN<IQW<F1H#D=d?*4b2qccTd+wInBU4kQ;YhfAMK}NUV_Pfz^YXOM)T|w zf7X}s161;7RaY|U?OxmpKNrVNZ%`m1IEQB?!Xdj5dK}HxbJe0^T`l;BO-LdAu9c#% z<H1zsy*1v@yM*T!Pi+qv@r|yX`@WnwwSbcyLzalr8#FKbyQ@&N!ZOg|SqBgrxbqQ& z2)=d-xen*uhnT<?zAlB+o;mg8Ir97qM@XPP-SMDTKl)U@Kc`GZIQ^FGLFR#gbsw1i z*;mvAXm+mpk(`Qb+Vo}MUmMxxwCM%qa6?tsb%DBX#}YO3{xr(zgpPM4nOILLdIN8C z&lW4}GDW_lm`+eeIUiBLfVT^HCD|T*2XGJcW(Sx$XQ}&GANT?4vv#7&{sojB166jq zi?U;&%06cR%1(tUyZJ@gsZeDnLzUeel>N?S+08-O1y6c|Cx@;Vg`fM?DlZ$}BKaNf zr}nbp{$*vVVE0g^3F(>Shzvi!5A76Xi=L6{m9hGrB;R_@#_^>4<)@9zMQOp4#V$-0 z{n=zdDa*kj-V3<qC6yBrfREY?LmQdADDGS2grC3G)bGusIoqDUntO9ob#Oz9e`O^_ zrxn(S+CHU`G-p<dCjJSw2fc9o7{k&wA+WG*h))|$(m@SQhLH}J2x9<&veV%)7zJuC zTr~gL3va2;DF5sQ2R@$h4Vdo@ci-!k-Up1)+O6jXBjyK9`9Id&;iiX>4O*@C|1u@5 z#g$}H-}*}Uz$WAc-CFS1h4Axw{-S#P>~7FKjpnTVBSq^uefPQ|TyzJr$@LfU2+j{L zBqIO0;Qc>U<9XA@?OtNCVtlG)<@8DqKDP;h(XEAW%!QvH^A{ce8&RTrUGD<%UsR^1 z9<1>AVt&x8-S>mGAn5?0tELxQto-60fbVQVoapF-LubOzBlwFV{tbuTy{`UW;kc+p zzw<q~cJ7TQ>f2RG(mq&`T;#f|n%-bz2*=!ln9@&#(eenL0R8as{8!CjO7H52;cXj` zMOYSU@tE_0I)cU1Ua?KOSiC$pHdEB%shCW>yjZ-%(l%~To3DssGc8nYg1#jkuz_7d z?e4CM1VVHnjv)V^$)y$~c@;btR7)?mTlwWMpkhpV!JVVOx#I*@K2kG!1_}$h>N{0Q zGA2)O&YxTQ{+{3oZ{k=7;3r>a-HqrO(2PA?Nuu~*;PLGfUag1SOYHlq?asc_;pgrA zMeYBt5=-~G%zv%Yed-khRPRO3rHi3xw~rR|3<<gKeYSz$*n&vF(sAb%&#e@BAKxWB z>kTWaIIl%~>dRzx_Z{p$`C(oWtxWT7n>uIVG)Ot*`B(Gd9gFt{tXlnX4Uw!qPnr6& zh_^?&BNHnhIWb34&^;4aYP#j2w*MqrfthV$I8~YX4W2xWye{xTKT$;2Nt5V!limx} z0a&3?pGv<Jj+n#ZmG4Q;tFgwbVKNg0b5hjbNH$0Hu1FM$muDq^CeV><@GC_iikl)b zF;8<+p5&emQ$JI<d4-)U2WliFvH%eS3J`Z90b<68IRM<7Q)3k@kBb@~7(*27+E;bV zbA2UPbTnRzt!*M!Tb`(WeC_$Czzl`5lwR1oA!fK;x$vO9q|366i%y95d&4;w0-gw( zP9+`*|L3;My?Xe@r7erlfdl3I!U4_L*&nt+or1#jw$~Voc6LOO)Z#|m&{{0;5owAg zDZ^1o(9fzElWTa|1JUA}*FW$ZQLt`bB|T4bqTWu$5bZ67-^gDrZs#mMh>(EV+<s)e z*pe!iAxOnw`<bC=M?f5jCT8Zql3G@;{pEl@`kN@><mB&?AeGPS244s7PsbLWv^f?^ zl?78H_NOwB@Dtvk??PWuAtG|H(04QD-1ZFwp^MR<-e0$F(I+?V>9d&(svg84j6#F` zNK>b^%xXM5tE}bwAqpi7iwyGNu;qhJ^`&_rgG9}4y(f^tZ$wVJgg*=NVI^^D7WYs% zp9f2UNidS$;e8$}Kf6{PIFY6-bL`IUGU?9}@3lQ&anpy_>=p^9b>q5xPeOq*D}%u1 z2)PKU*{u~cnZ7yoIdQ8f`Pti~aVD_%JDZ=F<AYy)0breEk!^A}80wiVW>E>QCy2#w z$8eHFDyf2`AKNIS<?)zrcrq{IYO|6MzViAN<rm3I5lM!j3bJhHgDkut%Q6Wn^E3E( zBrDd+y?9?A+HUFeGKyN`u-cjN*y4uU`$8{+>ZIT?Ew`x<+pr};ba!x2xe!o9P$AfP zB-EZ;X7%VtgQcA(Lf>u6FYNtxZSc@Gs7vsG&O9pvvEwG10?L9~+CsT&gQQ6rrb_(K z#fznN2PMksA6T=-TJb|I?KtE%R71z-i2h;(2;-2c>sTw1DjMy%)QIh&t4UzB_1dH* zv~2jGyn#ZV;&y!Ez|>r}@e)Aam92`k&RW-C`{{WNYXx&-FTjdG=Z;7F!z@z_C)Ig& z53#WUo33kv)l-@8DQ_5IuVnMxh7u|eV67OX4476EU=yaG(OY?nuDuF6T0b6G|M+-S zT7v8b)=D;_McQA<wNA6T4F|-~{m4}J1q3)ht8Dr=0S3|>t25CFQ_<)kg#$s<2*yS! zdLGsHkU_ae=2;9;G}i_R1q#Kg^)NvQtbSnmIW=O+A68fYFO;TSk%?kZj*5}H7~BWQ z;4W%rtd)4>4`|yX<fm}d>IpmqD|#wK5?MWU)x(t;b8Q$fVIR<{8{S^J)Zka4oR@W2 zM=GL`!W{3_*JhzVr|MUMz{Ze4u_FzzqaCmVrqFpo4|5bfI8pR?4d@YbL61-rJ@`>1 zybb7q3rGm)@oGFWja@ZfS^VK_#mz0YMj#nPe6^$^y>O7_1IUthAC;NO)P?;e;Dy5a zvV*`SQOFm<U4=^bK95f+W38MS-@yV)(gVvKMzJKmOV9wtlA0X>kqef%)MCL=EP-c8 zUb2K|c-r-nCCh)YB<+$VK7X@h_>v{nf3rmFf)&f27bD1WAqYTGl}my?4n<Xbozj*~ z7~raMev5R&mC5nVl9ml%%I6o(0P5lo-OT}XPl}lWbf;_{J5Cpbei@+;3GyNSa62h; zWQ|UvkcA&A6#x@8ePvINi1-MSkqJ^E7;V%E|HlFV``;_T{}BOz{qGgv|A+v<{`U&- zzZ8H%XE7(Kv#sm5m{t4hd0=Y&5irjgbpcsM2(9H}t5h|l`<x={bZ0~K`|SGK{*1P* zy`XpipP29OfvMA5Wj`zCz_+4%>(io3(R=3jo-!^w*>?-=xcW%T!&*NX7W}*|tK|5O zg+6v|Hym>JGuh@-Nt)|(3qHSMXn8li;iS&;2fYp6b4?ZOQ(L{9Xw<NC`nL8^n2c2U z>^Wpcs$HMuJhozp`#jC>#pV?4=2Pi|4(h=UC5t_`F6=_I$A0!qk~j9*Wu*AT(0u1G z=H_h0(PATq)^Mj?w0_`@$of^{W4t3Rms6MSssBvc_sVr5;|h;SQhH!IF{4pv9GzjT zpFp^7wVyL_Q4FD~43{V@cnEidiJeKw<R`9mOpT+)70QxM^=7nLxo^ZJ>e6v(fitfI zH?LJnUUT-vV;cDWsC4Zkj%AJ|4_<|)eJPiPd@f5NW$sc)2|}Oh{fmeX66usfVM*Zv zvs44mx|*845VJ<MseOU&dM3CaA2Sc!OSmqYNke;J?rF4lx*QQF1v?wboJ@1%b)Bso zzca@9b(}li#%i3T=m(vR6_dQ}iE&WJwxBGd>&%_giNOiXYW?olmKtdvF()%>5<|x` zgw<$BHs#czEFsbCrFFQa8fnw-?R{p+Ja+fm@^R+(+HA}dpE15Ip=mc1e_H)EzbF5r z_oU0~*%wAUg7M08BrdQ_n(YU3TY4d+#&<n<yT72oD^TELC~yzbO}SECmLJjV>)#tl zP!R*9h2`I?*?HzAs@c^O4&_f?G<>gFosFz-K&%>b8E<jJIqPcYwMeJrd9D|h-0oE1 zaS{`2d1A5OpJu<;#yP&b7I~j~U@dZBPL)_{u`C*PGKnO*>SB@bZ6j6kaRRr_E(@QS zs7P3p@bn&8LE_3Dne9vDvkZKUsKSl!Xx0yg@^EhzBL*0A3XKePH?`Y()vcr3CVkAW zx?BAI1|9dJXnC}?X4A%xc89F5@T&1GsCaZjbiDM$$ZWE3Y|6C|u~=u>7fm7jBC#tm zf%KGcm<Ef*g3;@t&}($>%ENl6I$rbDYw~y19^84STRkzds6$tsp>$sey&d~-Ogdp3 zyr694(EU*Su86-(uF;&h?G|KR{G*NR8CU<DIC2}q<C>{tT+F7^6FpL8=dT|<q+t9u zA4^6z-l6XTKoWdRgmZ2oKy3!UIxnF36$Cs)+|;o{c(_DCY0vgicKqGm#@^CGc2 z!<OgU8;hFh66LL@Ti%cRBlIlQNYP$B;3Bp@4fsy}4ypR^4n-PYs%JQD^_xYFpNN|6 z2nE8i`h!H7v)$%m*P4b&n%Fq%wCM1p2~)DgU|7*S^?>%t*3?wmWEjnisBi0JPh%<U zPCyb#o69mSyG=R=tnl?RA=IuQGh)<RCOr?A2ElJZm-Rj7HeuC%u;|lqU>DM4ISxXF zOrh))2I%gV3s(nIUjNK?Y{*hK&cRyw`Wpiw*wiXF0lHIh5)8gZ!-d*mg+|n&y59xe zV;Qo(3y2c#PGh<=p9w;(?!fL!zxiUou#!$W7%BN(I(@nGN>#y4Z-f#rg0uykB8=zq z1lhy)AMwnFc!(NmwdAvrX1zX<PYAM2=_O@0Zg9kt$tN{NpBErJWHD~=!23}RtX+ZL zkH8ARBd!1zreItQu)^^kBm#?Eu=gpjp5tv60E-PK7$*kIWIWC+U<wLqU;--xZ!;cP z3W9MfAVmS*y<}kNCc!qXuT~%VDv6Iv7IEFMlb=?HvERdl>*x+mv2r!5Av@7agOHs~ zbOK?;`nvvFx(v<)I=W}>nViNA$dv@7Im1!#bPjT*BdmWo9VwV7sg=E>2_sT*62_8= zbKm_sAQ<!%tbsg-KUrx1EuB8IP3Sj8=RDcvT&6_J?BL0$h^V_kEaWPTnArmO<U6|J zIv>!800fcBJE)AtCpiV~p?JLfxL{~X7~T;l1D9>#bDh7i?r%ahZ@T}DB?+)b5hyI! zB^Xp(XHb^D2ztbUlm7r!e1yL!oJn>$>-vrlE<wI`r85V;ZQo1WKGlGnFVcd<*=~Ww z!)n$XCV_TYY0sdd%|mwV0*O4$f=~^44*KbpT~Znq%4r%74(3FN^DYe@K|Vex1{tvm zc8w2xSa?5X4VFq2PCmM^bVIVN-11dWdWFz_v{F-z>})r*(x4n>+2t$xWkr3^x+}xd zy2N0bBr5Nmzd=(X@FV)Ov5Jr@*#d+@MO=jNNLoThnE4I=R|@_5P$N<st{0_?-v$%5 zTX}zhZ!YqjCVv`Zlsr4|iP;8U8hgQ@5(h&_C95-;OInF5>4$`j4*XtYUl#Z+v^!oe z&$&-;kCuOEZeO^wg&uQa1%>;?_H457nB8~=t6px`^4FO90Q>&#q<{Hmqc69x|KV6x zPoc(kI!t?S2e<KP-uGx>Kjg?S$L*+w*9%|W?Chi4@v@zxHGx^T9#qtGYga@$Qfs~b z`&E@~Pxu(8!zl5HP~I4A%J1b=cGBG+>dQM%%_=C;8c*^y76%FsZ8?39FbKN$ce)dj zk3Jv)>hGs0RMS7GQ_TQX!*#_KRzZ?Ku8+h_TqxtH)*h{7Dd&}~77}P5sP_FTp7IVo zAo<8xpwG)!GYwzwO<(kSa&&YY2D_Sm&aLG8ckjF*op)M?f}N}|lU*R*hQ>t|5lX@@ z$e8tgPMnZDjc*g$!oiyOigW5p)eV1d#I##v1m0(+>^9YSEQH{_PB~0=*nM#w=W;TY zuY*Q`h6zr<K(@(YMyP<8WuOQ}tsq7Pc(XtVnKB4r0!9id<X(20eKJ{=p3=QrI?gYF z2OH&i4m|D3z!QavQO<5V`Id%2QPE!@LMd7@h1BjJE_O3^M}qHZ)Pc4_z!edc?Yuks z$CS7<kN%i4mnIF~Ww64fDf-8}cWD~_G1V?jpFgJNrJ3@_)CDH4^J?5ex$`%elB-wE zQm#k^ikNW=8_q3Cu6Tg(LtxxE`en$z|HjnR9eSF*vs*PzqvEN<F@Y<)+)fu#M2kqB z8p>KtX|7RElwwX?$GF(*`r<m3#BLW+HWXY-Z@duJq)P~B9qENo=x@THn^@AJ{plA% zkpVWhA@Vt1zL*S0w=Cu<86kO<Ha)qD=Y=x(pp+qBl<O~OO|bJ7-T#Kp_Cg@SR{n;T z0=jYR#v>EjFC(|KOG2ZvZ9M}O9n3<hu&7WC7BUjGi5p;!mz#KA{$dl0U2bBZ%T1hl zxrt>iMFV;%8aWrDvC45N8d?{kQAKtk8VJq{(eSwx4Knfz(NMb-4I~f^v3nfd9~I<m z7<lMZB53lE$cTOn@$nu4_(KMu1R41GWg3ol9245vZoD}8KQe<<Cjz}&4APs6c~^}| zsfHuBltTL(P?aiBpT0uwfFVJyvX3fFN?jnjP9^RRs0F^P4TbH>706{-FM@Aq%wHj| z()m01BoSR_P<{8W;4Li(P}m`D*hSU{h%ZVa(h3eb8ex~IZV;k+gTFialj<Y^^p;G& zPn7M}N95CxC})HH-%G4W;@&?JTS%wk`;WwGL-Hm5kyx&Xe$;sb`=hZ*ayEp2X>3Ds zXLcp_KN33+9R(-VUlQxWv4(abv2MJ$`44JD67?3n#Epd$A%e=fx`g@OHTQJAK~mj| zCDPTsNQ&UPSRy1>SpVr->JJBStl74LW6jzKCbvvLuHpn}d(8hLtG(_BH^=VkPf^XY zXHXv&)Y+2NG@G3h`%o^dpB6<=HIrsEu2GD;Ivfqef5;4q?wnGOjQtNX|A7Rjo;IMX zx&z`bFgO6yv;7MU)1QnAkX@6OD{&GBEFss@1)WEs**l8xI3~jDuq{%xzc~B<CuN0R z0)9n@_Y?iAun##*;8A3ROt1#S2%P*N_-a}-Np|J*uILG2Rv^RbihgYwZp^CuA&aa{ zi=C6#U!}Vr)*r0jwgHuK0%v||b245&W|{U(_Gid68oARIc@A!`Zd^k09UV|9Er%kg z;f4wk1J_a^*x2c#S}1&Kah<-43qe&r3Yi-QpyNOx@7e-rqYKfHK#2y_2-FepqK=uM z_y1=%0@%N=1pm_;f!=>}BcQx?anZQc4BdY;185ZTLZWnZ*J_|ghEFQP`^CWQaAj@w zuwhVXaR0LH{F|uk|3Orxu>MOOZ6GHkcewhe6QEYH7s3l2T#+tB7hHgIl5PKvz0}-) zV)H@yl14SNchoN~Ym8vw90q|xUrI8}hXZJGwg=b)mxBI(r@9w+-%DXly%biFOJP;N z6xNJOVf}L(gI?@f!9T)!c^ebG6xPH`rQi+=7e`&kY%i{34H=h0u5fu9)4mjPB*>S} znrJzb11?>ZkbF4;E!`oM08^<@!t<$s>W;dCqs*aNFmX~ld&d+^QYw(7zUBQeiI>t} zlIx2iR&07RI@c)99+)a6*zSWPnBrMEY7c=-UN+N%=E*BSD}Z!AKz!5omIk-l47~gc zfv(#Qjv6fj8u}Y`X%+P0Bt%sel@Q#b-$8TZwgSPe_Mdjd8^c!{P)+=*LfPdD4Ocv$ zLnE)!SR?^e5ePNq%?CorjXFdsA72&FCQ(BPDCxy<Gm$83Ls^5>d3n5fsAN~-gO3%L zr<)Y$hFKaEe7OkOS{!RvVZ+i2m#d)3ndlK@mz8&UCoUpWsR!DreX$C=I(YdeK(H^h zGX-cT56B0o`kM_*M+gWab`9#9{Q`+(P}z3QMY;eW<ONVM@)GDT5ys0GN=G-=(WM7m zK9U@yfq`FpfgUk|<Bm}pO>UV*4ZL=^laW}3@#6Y$E#Dhq%_0m`PzF_}7I29MpCr)1 z?f>uQ3nqtLC@xrHaL!?KB}!gMD3!`KR8!17OLqC9`35iQAk+G**>+14oO`rnf42uS zpl%-s6gYf@hL9P?ck!t}?V<wie?AqkUz{GJ;DZJDR4{m1@zc<YPX&0FGz`C_A^9Z@ zl`d)c^0MOjm$X;AEV%Y1?Gcv+=eoR4fI9iV0Q@o*fF&->e9U%3$RLqE9Nn#$7oRnB zFJX26VK?}!fkeSl>gswLbNElZGLl;c+qV(yNBVXqDi!QF#oJVrQ6$QF{hq-(DWC8P z`Y}taZ`S0RFN?|C>Ndx;SiY)PNjR=qyOYiYx2y=Rbwj&3iSg_q>`0wR^_@Y>!@5B7 zU0qL|JO1p-1rJId-Z5#8N<oTor8JVt58Tq@OW}E_KtDvEq&9{7)`r6Ftu})JsJc?v zfM>9C$|s+Q*U?w?2JcU3E(82@fUk%QBp1{IOctka3pEwV-gHCbNz(vK_PRPq`bq=7 zL9@ipoCWcM?c(7PAntn+2$01?u|N2bhus)t`+y}H^$dY-+VE1AWrf5Pom=7YAX=HJ zS~j&iZdp(Uv+PQsei@?gMP2Byv^FuPdo%bvYpr7P(Jrg_*(=fMp_?}a3V3D&`}E}1 z={Ht}$WydY`ED{J8WS*vZkY-oc&5V=R-hq+`n#~aly>|ZLm=f*)H5VV*(jTfO6jlg zcnG94%YNXF%Zn^~ZfxK`QEJ$_!a}aci1|qHxRv9})8&wm=azgtWNa^RisORIQ$9t7 z4~gqlQqvWcgU_kO4@#^Jx-ArFTjKHlqxl0a(W6~WBE5G8-^x?kqhK^8e;}jD35vZ- z5VfLO|JhDc{O{(Xzne9cK3OObfREId;684xQ7J{Pk<ZE0cgs^0Xxnm0jfG1fg4UZD z2zG%Io@v284S54l4stR&J<1IlYYyfTh}SNR3lCC^??vE7Myv<Q&xRQ>g!uu>Fbby_ z)FLIgb>*rlx`aX~sur3pkKED9f(n>FqRNk)F0Tt5mewbJget$Dvw=yoUDlgt<)Hk< zH-#_q>B}4VCx(K83zsZxethJPkXb4AG3fpod(??t7Swow1OLKD$j)-gjSmxRI6ast zj2QmS(*LD^o{(Ac)y&o!kZy6xuA=kWJvihxAq7m8xw^FdyTVe!sW0Z`?+JA5=sa9p zRl@N-iTUfx{0#F=$3I$Ecb>-8N$E)Tr7wElM=C8SWU%|owYyGX)c0)v)ad!Y7<&(B zxW4Fr6bYgZ(V~+`bRwcf86koQq7$8nL??Q?1QDGmlc-S=z4tPD3qeFDdLO-vHpaXw z^8NpR|M%Wn@2zFl%=zqc?m1`gea_x<-8pEW8eKfQJrL{rZMKKre(l|up6X86FZR(F zZXvcwCS&}i2yNF@k8RhD*nryu6iRuE9%I*m`u=w=-1P?%ZWG8{xtP@us!{u8ABw~L zf!gJoi^sv=A?gkiZb!(siZP2J=+SqZL_V0$9xJ9mA&M@3sdmlaSt^lsB^##Ri?as& z9+EK7y|G0#(ETOHvpEBCyaJ)UZgb^yIKK8TjSn{k(bOfQ*BPROaF3XDA46%M{d}ki zG{2-!lNhBO^)kVQzv2*}P{y`a7TVL*c(LP8^>bY|<ckA=u60jPSE7t3q`>4U^Ru7r zvdu3wAfU`IQ0CzDg_$b_MAzEQk;$5HRkGy$gg1vk*vhq0s|N#S$}J62YYSj(xWtx0 zj0?ol8}PO7$3pI|3`jf?0Md0-PQTzoYSsiHrFgulSjf1gSR$iq=!d`x>%s_k&iT&( zut_5Hx0(O1$#~V|&b^}Y{-geDO8%qfT~U?)QU5g!|55Y*Qh{r>|HxPEYd)9FxkBhc z^Mdk##37>k0nKj4fJ8f8R%0Fr{%2~5&;znP1X9a$rRCD{{%iWKJjR5}V}t(vh_9fr zF<HM25lNUY{#du~g2{OEhO|R8`!fx^MHw}mkP<ML9pM4A9~P{XX7qi{Y(=PC`f%*f zesies`+rhqa&1;jmtpma`-&Ma|3Bux4xgC+e=u7WJmM<YzHTb?&;4@|Isb%*)%JAN zWJlCYUDz*UNlwy2X$EYQ19A&+@pUITr1<NvxL5v4cjd34SN_U)<*$lY{+e**uivly zRrShWHLv_N_sU<nuKZQ&Z+wWSx{43nf8zt<Dm)xgk!!ns0z({8@Ca;z7ea!Q!VF8` z1l?1TM%y@TX0)U(yA@$XrtQWM^hP(OY3zZ;zrg4P&Zb$!bTcmZB(WzrR4{m64fV~P zwcL_A8gN!em&znc7L@&N<o{*<JBd~${|9qUE#e>Z)ueXjU3FJZe-%a(^HK@_Ni$MK zQ3-*0u=X*`lO1xK`;#i)|HpKV#K`0^X&<-`!nXM!{ID`!2s5phqHf<p5V)ND4BB84 z-<TIXB4KnHMqykW5GJ5<z7=6zQ)r6(K$tHAhJN&8sfPvqP|}D04f_)OZ$GffDFJg! za1Bc-YD~cW;GfJD`R{)4^sg<ofwn|fKtiQ$|G({_cJhTO@-O+X_0Z-2B{Tgui8;Cd zi~esvxKw^Qa8{TOFGPTNB*yIT*kY5NT3{GH2-Dx;VT-_i^Fl;`-k_1O{XC(98F0_h z2Ctzh>k|VkwdH&R@t@gya$jEf4_gltxuli^!u;yXaU~`v;A>Zq+vJv9kxPkcOgfUV z$hGY+S64`k2U3vJGWk!ZZfrd`uEcy^a9n>E-zS$1Tp?|Jt^#0&u+@s1`%FfWiQ6i# zN>dk3b!iT3Il^wFpzT+FYef{Y6ud5dDzf~ZN=O4dP3ZnTO?)ev#O1qOm&?<HMx3hD z|6btuRx9QPy#M^+nQ0}&=-Pk&0E&U$|DQjo>uv!7W74WFSzj*~cZMU^8{8GS{#rd) zI2ZwyI)hdZZi*IHN+++JtnNyw59nn2mqyZOT8R(ta9}yYM+=hg(ZE^Y+G72+_^)Se z<TGg3gF7#{6M{Q0Qj0L(-=WcuW<+OQZu*HX3DA&-GKjm8kITQY0x05=<R4gbWfOM> zWn8~$S~<7%N8(?&F_8}%c`x$n;L6s8-!oEa6V0fDXN&*B&CA`FR!keCB-~adu9~{M z?m`cv{+%W!)j&<ipAw^M1qLM<onQ($@_vl%pC;<WJW$onUu$#Gl+Yf2u?3ncJ8Y2y zG@o}|5CQhT*FAD|Vp!w+J2#<M5#gbhd}!X^-Mp*^AACA7gcS#r+~$G^14rOt$p>Xi zl79@YDCppf@~m{)vxENzh6JO;zr&Ak0O+PW|05!dYnc8IvIzF=f*GPq3!&3K&{Yel z1brTUX%E|fN-|cgRD(wTN4zLFy6TK)lzuG_9P0P~O}~l=UR<Cu800?0iv^)z`gf^( zf9DB;hs4XZ8M%sj;32VKMhTX89~{OtFtW`?f@i*K;F%AcBa{-?8(p6)+HfK{0=8B} zz~z->m<mNv+2Y}I6-eFq??S;ZMZW$|vONxX4k7~$``>=BAssY=e;F080$mZQ<`wzh z5+VMP8O$PzdT>F%_>ZoAwIjG(Du-q8f#bL`)}E^csQ?$`@9_#5tCRBgbQJ)T<cA2u zq}d>3fU0iI2Qi|!u7K|VxAz0tmA~IPocWxH`~RqBhBiRqXQyL_!N$;w!;ATYVAu|{ z(F<#W?fr(QongDwL^JV`iXZ%-Q)-6-$x#2%r|b*uCLGIaC&Fh&5K-$&j(TM-I4**- zNpHXT4$vN`4I5{_7uwQDWisn&p5|5Qxeb6?1T=|{Qm|0{^r%18Bky)^ABEJKmF(b- zAJ2@hG8LtU(Uhu&QwN|~ntdIbFTiK$nSZI)aWXx3i+E`&VMj)|*p!nOh`Je&{LEc# z-a3z<(fjW+xaj-24DFrh?8$<@Ic%KN`69k62}rsG2-p5Hq_`(nCuXXi>BS`ryr`>n zxv`eY*O9Qz6U^s4R`DXnPK9V>EtQKo&d!bzr!HHm^qV+$3DLCBsa94}tg%pCwi(g4 zB>iB&?R9mV_5O?<88_uUs`V90<B09tM%ne1QP!_sb(H#b<^2s{Uthq!V)VeiIMx0~ zSGN4lW6riQc7V#*=vr!??*Hg3e;w?L(-7?IH`rGz?SFj{uU+i!3>LBVQKpiMa-SB3 zsb3(VDVUK;uDBa#Z|8Y7vgPs@E{>tl^YgYg@v?=?B2*i%g@5XCQ)9?xgYPNAVeM!> z?QQ4j@AUi6Ewo1k+l74k0Df*3-_4+tqf>6i1vWd&kJdL~#-p@*g9N*_yG3nD!^_0> z%I5p|j~3zYTD`<|2j`Xd?LJiB+dt7t*<(uHWg_0a=Ofgnuc3FI*YAF;;(m<h9^3q7 zzVHWwua;$lID3QmwFX7gpSz>ZcujeNGldj0h1fHPKRbx+x6+AxwE)WodiDl-@cB1i z!f0nEWx)r(_q%(sH^8xH&R=X0J0MH7eGMPK6J-@1)J^VwubBYrmJ!nSl*Iowpg%hx zz^Rmbkn%D)*i&lK+mfZvE$aaU`Yz-vvgQ@e%kxj^njR-=(pZJT_h#omdCPO{r@V}o zFJSWY4({D!%<Xiu5?}&KJ>Tpx@^!dn-JH!0a&JeZx4G32&&F_hXH(CU=Lh4>&ff$j zcT-;afs$ul!M!_-xs7gCdyJsq4kI7jEo*-!H^>bXtaq!~oEgj$?<P=?JpEevOC{W0 zW;iz1{lPDlD32B&&+un<`*mWHc(2@6@7BkD?OM<Jc1#PDU+k|pw7Y#m;(b=c_U$Zy z&85U%kD8pp65wnb_=uEgcz1I_ZJwUxUdIV-sZpexijxGw`&xSz8}!a-*nYoaoP4Fd z-)G7d%XZJVGh&JdIj1CKvHR!Yy8OSy+5MT~o3PE)v(m^nEIe1)hB7Ziq@O=S3Yx)n zcaqyZow4zNk8fL;p4`Z4Xt+PM#;5Jh+S9A-?q6s9Zt2s0AJSr)YihuPV9=K@H*T{u zHS87S`q%f81$Mq8*DLCj?q#~vyuJ<2Gi#uybbjnQL1Dmih{@v^rcq(o8g{<QSM~x@ z97z-0We?pcgJk@IM{iS09w{97QBkg#+P>3cDnUH)09p|&J=e5fd<w4Y!}Vy+i(Mqh z4`6`kJ{GvtvH)uN2x^g$Fu}EO2ekx(S_m$+?1Eb6KrN??C5U!6P)Q@G#51^(yj8sC z|2h8#>b@Vs*_KNm^45(gdPs;Fm5CF0Cab*k%m-rk!zWhH6w79?1UZ{QV(aFemdq+} zntB{u)Kke&H}-ON!5K9(NQq-_m-=t=b=a2)N~1WUtY*P=`U#53Y~Jb1T)7l`cO`b1 za}J8#HvB90KoS9fYo>W6rW?iAj3vN3js$xX*ko^be!skxNX^KuwO88B1$TYM^f%l* z%L`xOct*KSUc+zbhr%&w^fo-nIqG{emLRM|7{UPiBMhO(1AoG++}0G9BMf1C0>75! zwR3kmfc?JoPmn)m8xC`Zq&DLSayNhv&A8V<?5txPy)Aif+UsfEv7hs7jpFeeLWf7R z>oeDW`z+p@&g8t`408|xw*_$#h!6nkV2atM=U-=%@W*}q&n|>EikgB3!p+d`)Ej$g zFPA(dSP1t|vh%K|ofBF-lHf^0G45k;F54f?#}rRJfEi~o7|X^2$r24g_ZUtP#lIlx z-&G+nnfH3)$2`xskDC-f52cin{bZ@9_?h_YwW(86y>e@^-G?9(JfJYeWOP`FC>90Z z5c_!&al!TT{Lc9I`vJ`=_pLuA2K_+yKP1p_81!r=&U@5Su>OYn-Z845?Rksb2DYHW z`t|aAsd}IP>~Ia3&V=wyo}2fAqQ0PL=oe5lRp6g!hFD9%k5|+yOH|PopWla#UVHtb zhv2m`effQW-QJg{`FWF`Zik0+GcD2)=cQIV&+m1mO70i9Iz>do+`L&dvQ9#AzTb9- zq~UAn2<tkJ949%-85Z6ezc#O?tx}^WYDlVS3GG@QLS0;)63A%SgS^Jnq%N+pr}GEb zVV8geV)!g4mMCj?^vw^UhJ$9$L4}bqrvu$(E`ZY{-k^<7mtQ9L?3o=?z5!RzK<r<@ zD);QsCBPpfVy@J`k%B)O_A0M2G^uNnC2_&6P>NtQjmc=XQ*{+^#O8VawZF?iCg%W} z<COD)En1Dj#8~NNlB^l|s7Ox{minCrylA0yWaZMN8;%&^B~S|jgCGE8fCyJ1mU?C- zh*aLKGhi$WEooFU!;Pkk5%Mee!85pwxb8Hpjh*$>kc7qh?3r`zBYy@tEv(!at!v$h zB{vO=NIB(nXLG*eC`6>vNsm&-uQ|Eki1p-vy<~wpIYFH%(vfGJe#0C&*sqjjL-=%p zb#E8!d=kNp%LBUK`spdBJkcqj>DM2*_9L}!o7|wnNYp+sipz%1ar9f%TphCe_U&Kv zdzsG?pMG^Yy;I{b?Kg9SMeFB7oI)j*miP_4!>8ACAABc&V~D57XBd3rHV&LzCeQEf z^_MW2PfBA%YZ159zbW1MRmbqjpw39z{(218OFIA2yD>}QXFwK@PP+Q_(3}jb3O4b~ zQEB5Q^a*RAV({m?K|q~!9Gl|&$K*RfP;HH@A7MV0JHyLQM#3jgTH49YhQ)4$<`=DJ zPUeY)v=@6i1$vJt?zU@<f#3d(B+t$3NQ%icBC?DY@~nS<tFlso@8+j6uo}C3y6re3 z#Hg+>#4dNDr3yqw7e87LtwAK_<P;_jQJv?p%XjOfJdrVQQDz<1?hCo@I}&?mDPP6y zyaqA3)mUY<9XW8>*_#pQ1(_v&()jiO=GW-f0RGZz;6lT?IBH;;6zw!w<xw*)Sm`6h zkTmJ7Yi|x~GAyp?fNYF7pE%f9?V7?P&}diq!ip47pffbpR+?KGj1+b~nnH$7os}3V z>p*vs%bC0Ya*0#pimmtFFJ=f%)AH&~@m_Jr8vI#&93a|&p6XbwoxRxI>#C{YpfnF4 zcqVXxJrvq@^LHej!<efUxkz=s?w<zQ-L4eZ6~Fo_@vxfO=^qO#aDV7_MH~K~N<*Xe zzAYz!&TWr;H(VTIFX6y`Dl%8Z*?ikh<)XAEf2{V-HX956A0ZOG_C5jyYB%H~xAqja zHNX1rGGR4h1kV=vq)6i(na>^A$;Ic2*2h7)_OhD%Rr)S;FlGzEpA0+P-YSOdNS!YZ z!e$#zhSrl-0STYIrGr)QVZ9e^^&(B@8$jl43Uq6Jx;IT_HU512`_V``X0e?AqHDdJ z##jQ1-s`X&xb_|b!FYZca|`b3+9R^q0~RpHC>;nCeYl1Op8@NBa(Z|+k4^&6%ZH1- z$B<$b0F4R`K4yLF;q8e$TCDw955;(5j&_=oBi+_>Aea;6{9sxtuy>wBGXOYE&tkUv z+Gd&iFrFu4d&MAJKVamInyxviX>@}&dLwt=v{R_|WzIHvA8#*1JFRbzO2B;%>(7Im z4qG^qi-V=S<ByO@ZjfTB1`kwkCO_tUS_fzxRz>1DU2N?I{cOS@w^SieZ*L5y!2``) zorSin>FMW&mLJvc8UwmTn4^<c`>|=pNYniL_(m6Tb8u)1e+Dzkq12Ec(?N5NsMSb< z*6r#=F{c?_ppu4qb)e`ZR4@tqrUzyEK>10W&l+9-!18Zz<dOF#Kl6-nXcT&GFs-ph zRmWwGcy$IjlyuDeyXXey_QhD*%=zy2ay<|TTx@KJWE;-{@YB=2U=hH}9TN;S;6M4k zk}FtzHZYJ%Wz~tv%hfZ;EkdA%Eq^DNSkD5ek)v%7og!6Zh-#|j>CuG90LIxxs{}wA zog7P{4pxn4piQT})y7lsi{92m;9|SiJ{3Tn*AMBaHr4|d(-x}Ud&`^`_(!3DQ|Q!& zQ#(2guup|9VlFhq?x+R<g^subson>DO${e9Pfad*XQeRDPmV1BW%nXuZ&v6?8uaw2 z$yjuJ1`TVnyKp~+CPWOR0mrJwGr%eMq}emr5L#qF1#`a8YtL_Su{Z^sF4O~O(7KC3 zpuPSGKyRmk3u}xyfSx*)b@-mfGmM`CpU$^WMU4NLd3hs&O|!;NrQTAt+4@JV&{C~7 z<FrCf4AIe7C^!gb%m{2YnSY3RCe>b$AMiLtF&n1_(N8^UB~QbgmM6haIt~`_m*kTB z#ZMZr?SvgC$2u~beD+S!%byP{ZFk0-2Bz~-w$;!-WIo6)`VMqcn*L5L2@ouPWZZ~p z`kjW^DH~^UK8UsCZ*(741wz*IV6z2@QVrK2X%}4Ti}OQix2z<*&%7iff>nX@?|ZxJ z{68KtNul);I@_{Y6&B|;>?hn$hBGP|XUt(Fu6<@{5r(DXxM7CH<IACjg>xN*j3h>R z;{-dUf-~mX5|5nc9CowJ0ZVw7idQjo=Z78^%$x6PR~pe8Z8z>PgG2;M#b>Lltu%9l zo}H<1Mr7{|vMNMAzc|Ug;w(ckoA?o3gF%VLcbNIQ!)Dg{vhE`W3EOdxq25yWnwP2_ znMp{^pEqUB9i?;~Umd8mS@F|;@QpPbd68C@PuI7)HF3ahh+_3Y0j%Zhv54R$FO>~P z)hTRATl~WU^o@}YSE=oKdns$FoRsaj5FzFxk45rDIn<lX#<a93ty#MMuDl(hRr3*% zpo3C`c<ZUIPk=|oph$vz(#i)XG3_)q$!o;ua(Vr^$zS#5mVQEBcqe6+ew<!-qTm~& z7oK~mr60K$UVAL~KcY7K-pV+uIy;G}*f-B;zow;OFPzeTO-{ou1K+-+VZT59V#Euz zhV|&^usMA2Bf43`<ljr)OlT0^U6})=thrf@HO}kjO4K}!<1W%XI`wb|F8Tm|j)wX; zs$$^tf@Lf^#`UM}NU^@I`~hnzBOkkiy}nfuVmHt_vH||Y!qMH9w;zk_wLqSb-mZLA z1F6O@HS;IMX0LMX_V*m%wMxPHKU9PBcc|7Kkhx%Ez~GSqeDU`@f&@m|#YYccR|*z! z8<`5DuD3bd=4T}JedIcbur+zaQ2z$``aWZ%VTGsCEy!4XtFx&4pxLUSJ!EKpTrOWE zWZePS%ox${a25pxNX#z<O0B6IUX>1g_-8w}>^7}%`L);HY^sl-dYnZ+80_#3&EIl` zcRddlu^+Z?L~n;IL=Q*}^<^)VCO)1%ZO+~bu85p&W!*X`KTs>YR&l_ZM=*IRI)7se zF`xrvF<p?%rZ6#GYz0CvQr8#&W8q}PXkwI({eidlffNRDw1YV)w^VO!qw)46C_MJ# zYr<a|l>8d8jcw`-$;t4NzB#>t`~^26p5FNO6K>S?XTxn$a_(RoiC8t__t{3;&NssE z7?9!?J%Q6S;)m}_&b{BkCh~@y%z8D$XReJRjpp@N_t1*x_l9<Pl?H*W1p>0KlGrK> z6mx7+|K8M++w;vw`Zft1tbK6)yiCKY9%XMXL=r^zNDa;=q^;TasvcG^v!6}vQ=n~6 zLoP<oo&eT98BLWS`6Wm$RP_tIARrrnf+oc7hwYA&Q_1c}?19rAefdWCM==#~e6E2` z1@dNT4pfm|7rDLz(2<?f>@!J>X47gK4&gj3T^2$G<X-S$D$smTRof+*^P}T;?vfXq z<(Qe8yp5jU9R?VO7pA-e>>oF*YbmMuEY5S&cJt$-FjI>=Y1QX1m$x9-_Y@jWkLtBK z(w*;o(A=|?z6t1YVcrpa^;QUs#~9#>7z`R?KSq=s9@rY=Kf174DOs3|dTmswJ8jlQ zbv#K01T!}<Xd9qvd-JLC=}|Rijzgb|M9(#sK|Y8U$mb(YtK*H*;3MHCg+34~s5zpD z)#28jZT~>!CchQhHXuvNU4#~08VMb#?sx2Po1_Y6cD+=n*99uHA72cGfKb}2OXzVY z2wAk&EWlkm=Hpq~Laq6)1GNRZ(D#fw4MRnu4O8a2j$3_9I^1^Da^+O^mE4i`{PYP1 zJ{}VziSzYF*-abMe~y-pCDG>J7ZXR0;V0Uqj(z*$X%`ctj(rGmWADe~HLp+Msu=05 zn%77;f8&O=LHYT1HW2EjTYk1eGdn57r{{|rf{ID-==mIn?aa~vFrFsNdYU#<LO~<C zL*#9QQo<}2QdI$>q&<sS5HIb#+q>g;mj1is6_VtB<Fu7Q**SGiniw%i#zt+3oA5VX zL$s}%{y@;106y0?mqny~?n!~lF&p;bY3CQ&Q47I$^TFH_xm66Cy*Q-sGyQ?AH(rE9 zceS=byhJbn!}6A@d>1AJleWO#t=iP+?Y44q&OdWL5Ii=$?rw9Y=!9_SP>owP_QLSM z<yFtQmmc^s8Kwy!4pgGPLGueS&36G&??K}yQE8QX=z?CV!zum{8Y^m@ynN3QPNGTx zb$74To(yxOv``HgAB_dBo>d#)#O#7xnBoH^U_r;njgrG&hRq!T3A#W{q%}=hCGLdr z8yUp>+QRf2V@|rfeAyj73s2SC0p@wQpApeVqFHER>9fUyT}<oK8<;6#d#_DbbOd&B z+s4MOt7wBV^L!A-Ym!*$-Kelqn-x@_PIn3;WV)3QgsgC&s0?(;X*<K{i!|ty{gwe} zW*r|Z3=|Ihb~!toqL=h*8##Ub$RI`Nd)r8@@goCC|7XGZ*^j}f`05^GQR=6x%LrIv zO^veI4DI04-Sq6>)A<*^cGWvnYJ9K9l6I-o`aX;y#aDggI_huF+1`d+MOTY>F45rT z6r<fmT`N2n+cQT{_rNVr7`&Yy=|T~uZTxUO?y-CTjL5a;(20jJGUXwhK`A&On?&5+ zQ;Zs-vytoWT%LNi6~WX5A6!J#%+9RsftMS4xk-UY=CZEOr_1Y4jKnQcgx^7djJ#cl zCcmTqg}uH2u?1QG4e}ZW?1JWwj|O39vi<<K8iuML)TRa%ma20;MS;p>UA+%Z(N|r` z`V=b!=c^h%GVoaecLPQksg8XO7|SQlflZ&Ss43xn3~JW6v^(jL^n{6LVy1E@g-2|C zK^QeRP02wmX&x)8?6CfQoK5F2^kN4ZGfW}pC<^&hZ_Oc1-!&?K_7l&c`wMF>D{R&k z;#|!h4=KO~W^NvNkeO@Di73>%WmgBLFukHy=z)8|?`|T$4&o@*x>Z*PPBT3;VBtL8 zK29i^4dB?wD1Y1uM}Zv2EcML-+tWL|rcL-RQVfeTXi%HiuN%SUf9hxTTYVvB;Yk9U z(>uA>oUuBiwdQUeFB~Vca#@nrL*FFQ-;X518U+MI%@jAtSi5QIR{U~3K9<ubnQ(6K z2rAIht$vQ1KBQLCQ`N-dlue0NoJe8qP#^t%D~lK@bI+n00`gVxD$pW;BDD;T`qSG9 zWvQnWYOWDC<t9>`+SNw`@;{bY$8q#*=R{_nV-<_bL|uPA?u!*hRnY3}Gx2(KE6wWL z05F9W$uXs<@HzWk>umkN?2PwhVIv%9^3rWWd00|=QT_JHGZqPS`D9$lXUm-5CDCo~ z+F--P_7dP?*3s+Qg>V}ao)!NtAs8Q~t*VBO7*pcc?u03lx{vz`_w;`m#xw|ZeZTE- zZmB-CWe+~aXA*vTv^>i^i#9z#X-o@<04vFJg2=G398CT-b|p&wU+fbvi7I@{oGRqa zvaWlF)p)trpy2Ap=1tFLNYeB$4Jl|1Ypp&ymba3(JEWEZ{>Y!I#bUc0c^O&GnYv`c zr{F(=%d5qwU)1jWRvtUw7e0F{Uh37(5;t6P7WQZgxv?6|U&~ziVc$P>ob)E1FJO|3 zbaOM_se&|7wXG>K*)RJS(s>s!+o>i%mjmhrnH~=_sTIE^fncT^(aSo##gB07&c<xd zhiq-|@P!;v!{2NoJ!2$qJgtt2(df&Ciizb9uA3fP93z>yvElie%tyYv+hp=f)bla@ zxuI)S2ixcr$(rQn3Cpa>i1INypg~zMLNz1gI63dxL-VPaAMP0oWOiu|1p%J6^9!rY zx6AIcv&a7U^QeO|POeMYNX+O}Ql&xU+9^F|Jx5AxVdA_UaMe|cG!xlhj5Dtljf`=k z6#v5fjp1P3sZqLHO|=4w@O>|Jr|Ry2<6Hwg*C4gy03v`cfxi(*>NNG2031s~J6@`l zgtRu4UBp+pmwi@EYK&vc%M)C<ejd!3M<}76(TUH67^ZUZ{F>2kpk}FRsA(;8s;kU# zZDFa+hKGh7!idIyWNh3}GvS*CT|3u`IN3i%k8Ne!8v}6E$^Gnlh7(cE?2#~n0SUFy zNXrZ-1*CE+E6uE*?M%`q;C!_hlFl|Bv8-Oz`&6wz+$G;s_b_im_{b%)c<JkHYA*1m zb)Mm$Pv?{T)N8p%Y^RrA+`T=WT#voN`xvLWp<|4orlOdga+qsH5&ioSA>_uCha`*j zD$*|}@xHp~p?<a@0~W1#G5)K~2Bzr2TBZ>X)41Y;Ru1FUAhpJRBC^bvDb+HLGrP6} z-q^M~heEJ4QDPdvj<bTGHTU4w+Pi+0>l<&W?<st08q)4^nZ7=)tfewDP10bsSSKG` zqS<M}I`JreTZ-^kkf2EG^|QD^yee4*NZvOuYeE|Q7U6f6*4XAvBf@J(&Iu#uwv(f` zh6U*gUaGR(&lgrd5fe+HnmFq-ln|9ky-s03i9FceWHwoR1gOgG+wq^H#Kh{_C)Vfm zOa3r^S$q0@E61wR*<C*(?VS>=rlrG^C1&%C!&3Bgbt&6LdDGrBt}-8&H*yH4ai(}% zl`_lvv_7uT8aMg2-56X}X~Xwh1-`4n9WPO`O3f3-kxZiL;n^1t)idivY)-$~f4SaD z{&L`U56Ze|FbX*SR<L4BDMljG`$h_H`Q6huniVta71JgZtd4DWm)gwvY9{Ym_$bEA z;!tznim(ry+`Y>hDg5Hmd6BzV`>3A~|1<5m(SlbG<%Ef74Ptx<D0#|M)uwN&THH>e zium6B`f&hv8UJa3+!1G<{wr%FjIT^@;!UUoRmi52foY5n5Q?CtHJmKae^uT(NIAjd za3c5N2kOc8J$nf9$$kvo=x`(ZhSGKkwOHFrN`}!%eg&2WT^Q4Cy*p3)*C=u2vt3{O ze63>l*t*zg#?LCi=v^1nH+16h?><XgG*OiiH|yQC=9}*&)^sbhrt~TTl*c?D2P!4m zyrZ^t%e46Dx&iPC@@<v0kk8t@ZQ_<OmM=e|M>HK`4$n;1mZ?+B8YicHPLE0iZ|o@X z9(_Y_FZA*t=hDkQ4on?4HAIYf8FWO(A?p9&iqZ#Q%Fu`9>xa6wTgO-%s#W1%61cqf zodPY%qsu%B$H<5TYe(tezWa0}%LS@BW%9?L$hAm!d;vG^29H<#=iPxnyQa2Y(ebNP z*+c(K&+bV1{GfM49c)Wss%c}1^iy(SK3eY=Ztvq^<o!?NBWhB3or)#2FjPBuIlQN; zs;2P9dP=&@GY>}Y!?+K3s)oFTn#IGgT`idcqsxCjIH6FYa$gZ_5z}I*lz-J)C<VA2 zZXz7vp8>YmZ1{_er`D*XE~MwD=abp{WnZ2@^Gf|Ld3+kEKQ!SK5bG!PON+S~*7w0( z;JMyX_B49H7@B33!fUA~*}}E>bwe>eif}2HujKJY^#BC3x9x;{Ye%iDdT(YcJK?_P zea=^6`XV#^<$AX9_$Uh)u(t=)yT$NHb=)l8cnX*~MlE*yN&FPt0lz?B*z&&d`jk=R z?@v}l&qMX*(U+$b*7H>wU!%C1&f>&zgvKb8M||;{;LtoBDogeQId_PMS3san70s8) zm3#3K$k)v_w0(;!EF-+3ivuHMPOituEquZ4jkg&^X|4SC(H0p4iyhA7Rloh#$XUFc zcUv`+mV=6B?K0<32C9bc<;0W7DO*bKwvAjOd+I0kzV#8`gZUct=(f(bgb5pQkA{QN zuC)$83{T9ZPZniqjCci|F|PDqsDiIi`(oc{5_O)3`R(??w#SGVZoof}qLW?<i^KRD zi3EUqGzasgd?G)y_iW}3?P~08IJdv$DGvTxK@Z*=A^ZNqOl0Nv2eN1J&IP)k!iWPc z%8E<(7>T==M$XJt#NWRWaa)VI@o@W>yK4ObX(&ES!u?|ctMRb^rnmEFi3|dc^8h)! z--6l1%H!(iEMDV>@E5@y@(c7QGxoBx&Bs-@sLt>j-HLyS?7}gDjV%VA0p{x@Dp@eU zLC9Sg+XwKzFjs;K8TW3C2TN6BgCSGU5lV{TkGRp?+)RIHfES93bbBjLw5B?HVQwb! z65s@Z?;rqMn7dsu+dDtkn%!$Nx-;wGK>qT`M$at<Nv&rYgQU{aNk_)@k?y{(Dt?=h zk}{M`{|2Y!kAOE(lR0D6@2q#6Fve1z%mYH;rt~2E^RqG^e6~JM1UU*p%@(8)AHgbL zFGH*nS(heFEJ<Ox)wu4$CRzh^msx<P-UvDNyv8=O0f}}mmX}_DOJRcmE(p+ofF%et zVu!$5(2kTh`F$FHd85<84*^7Je4FB}MRzvES|jgliZt3SYP$bk>+2^0a_Q3Onf0~I zWpH`Ux4SxDW|0-CsY3+0t#MxqwK+)Mu3!6TT(rHM%7?2%=8(G_Yo{yvs!&J#mfDA# z;W;g)+@XjK22L{1H9Wj_%VAbHm$km3T6@#)1!LTf9Uemq_^UDAZZ|o(Z`_`PdEAVP zMz{f!{rj41R!gm#I-cTF09EmIs*sm8Cz@fCE)zsWoUct_lY2zNT2H80qv|BpB8wi7 zM3om5?br=V?bJfl?CnYucnxOcBIxpr!iWO%8(vUu&(PodM&E|p68N5EgwdkHoYL6F z@JJCx&C{zU@$JYIapzEa{XGtXFd|>n<y4)Zv93Gv{n-sk_xi7Xb%2byq>5qVa8NN{ z*+GVs%#N|^V{8{8{EvM8j)?tlR^Bc#j9f@^&w+9x!kjRJYt<Scb6g?c`Kf@7ORmpv zK8~+^<pD4A_>8&wbzm+Zw^<PCUipSEqzzw>RcabG=HfTjZN9tz;RP%a+X2)Z^qRl{ zv9DaYJ7O_{NBhJOu!2;BntM056Y_dRD%*8nm8|Y$pyt`Xn(r9o@y%+|85=HLBg%=o zFQ;svDQzrQOOe~!U@hexvBg@lDt9CqbOi4@8r&^~^QA3h$k1)D*Buva><I~byBAv* z)#OBXo)PTAxxaWvkTB10$nf%UWtIAxdGAc}>YD>?T_<%lVPS-v1!)|!^}2o9<GX5& zd^Z*|WC%Cd`;UwI%c+FDlZ(T;K|QT!A&2lO@^M0Xso!HkmIj&%rs(#w88nSe5DD|f zhM5qkxx_caXXgV&RqKbSBbRs@8mbq%Y8bh^`#aRMAO<;dvA9J;rLGHYX>?O1(>DeC zKdVa&|J%RrY&}6}VadF#50dgj>lp!ZaYok1DXVxaA1Qf}r=eh*^-Z|_W@*s9o|!)b zi>dvJF*96!jau;#M69~ZKFrp3dQl;TLPk@rD`HKm@JEJAmZ5`3MS!0aPC1QYcmH<~ ziG1y_Tm~W&Wgueo^@j{VqNA+%okualmyH!NpiEt;!5^^U!+8C0Jsz$g!z5x$%@}N= za<V9Ief@Fr))ea}slhVH^qY4vZ?YvLS-@bBk=|dssmS!yn%h`EWmKoGXJsq_ZT9j{ zo%!I(=+^tf87VkJ{qawlWUQT?$uW1gV!YX!*6GgK)S}65-U&0{g^MA|>!tqWc!t0h ze_Ns0V&!Yw1>PUG;8k~nwP59OcZS`>qblROTh<CY7&R*lw^qs<Erg13CT;T@350Eq zRSsPF$a?yfBT@&fc9N&8rPh;NZ0o+~_42mT+c+e9r@yNERLtFjP?O3=yuN>{{Rd5t z5BF(ZgHzQ07pziFV77whR3_Kzt}zU@4f6QDzV86?yp-iy4ohh3S*83zyuH~90{gj3 z`o!F68U%thR7C8?Z-Tym+IgjWn(PE?foq7K$`EnIdv}2X!xhAKu9pIsoF$FbpClY< z8Z{4U#0fx=+Kf-d)+^car+>`jTBkxBZsJu_09v<68C#v(?@QtejC0(h6Ag8YdO~PF z{y6j_$*T<iYqZC6nY*O)Ss%xBL1Kbqln9}H$R*JdFY@&t!SGfu-RPTU*Ao2~X^+2V z?0%=n3LKAqJ@>IwGJ>N)={hH?a1O2LcgH9OLi-KrXCFzd@glAMybEeY-M<t|@&^{_ zj<2Web`m59wnR{T3%nLAv@IYupF*lYP-@!OtQO%#t>SKtqb}pDDOeI{_k!Y&l3yGd z{qP~vkZy1(4HZqIeA(FVpw*X2$G<fSM<=$^FAPGh1Q2C<g^w{@0jp9t@U^#EN(nCp zww8Wbq%i1pD_u+A$%DNt3p{(n9?S>+Hca<TBc_unjJ1J-W?G6vshCggbb+zWK&;Nj zSp%-}!pQb^Z*?%^=^tDak0%;-ktRiP+gYJzI|GTm^Df}*3{_m83EMb8t~})3&W}pI zFF9Ff;8VyCHJ(jQF`Cs}5^4%QcC&YrkC%8^C_g(bDe(twB;+jiOoChLb7d^h;9<Vp zH0$F%4o9Eu9iRt0Hj;YBkmx@2vt=-)wLYkZq(Qf4yixl*Q9BnU@kiMY5|cfODlHK- z#YLF>F#;m=)3DfY2s|be^pB5Y221w8ppwVs$HIjk4|jR;Q<s_{-1I+-MgzRo%-^I< zKAiRKFFiJH8Wt(;49bM9(y}@<hF(xTGWzy-ye|8Zdgf~VMfCS(Nn~-d*Zb!lZk7G0 z>iX{x7HpN)wS@xS<<j2rs(b$BRXv|Y6jcYJ7LJ^4&3USI-P%$rt#3C-#y<<JUgZqg zJz`@apGh^n(>gVz^TgfD?&A%6_R=Tr;`VH<Q@L~`Oueu@T4H+Gt7Zr}wj)eC2jU2f za!cJ(*gz8zx9gb^%Mzx^b`-ARfPZ56>Otf@=Dm(0NrDeP7TF21Km7o|eVZ#&GO-Np z5ouBXfuZ+^NGxHC(urs4&XVp0*1Lzx5FUAreLr>cQ$fXCmUPvq*EmyimX^6JLQ1$E zdRz)U>W`l$5dJRJj1K$u{s|A&bJg!R-q-&4a}Rf`7Z$}#&Io9D=KLv9cuYh<tZov8 z^NzBc>;BUa-u-kOrDTG@6oRZ2g7y@Gr4+)tnYcg4ho9d1pnKn`;s)%~%1QTEP2&vM z4S}yQ@HK^_YG+(o<K=U<pPXzJ4-pPqJ5SGRE~hX0`--K~S>Q*;-SvtdbMSStoU>V` z7oF0%1&jVcM1#EqBRnIrp>lANpEj6fBkrD{wfA{uE=J@c<{$^dm=H7JB>BOZO^I*8 zMq44T@!bB5=qGT%+DOULfi<I<l*$(T1y?i!Ad#Ai4(rbIu;lsjdY;9vx9+avXC)tV zw)nhZRgdkTiR$Wod}K?oSMPjespO^;IRa`IR}q?#3$v8|gdeH%PZ%hRD%O-*o>(bL z-eZ>2exR+<bl2PlU9Hs87!oQ_>uUHzH{O$*);zz#kdV5MyL0VvCeWu#rOQJb%*8Ey zt9)9qL)0L+zfG6QpN}>;4=Qr2JVp11=<PHoqX*h@DN3E`R{bQMHe-4M_m~AQp+pcu z`~;z3LxFo~2ZkU7yX5L6>D;`ZZuVU2P5rBaP!3qQ>`1J2=lR|7P=V8|jZdXqp#~2~ zwPfw?-vjXFNX_?4lkb}Qc*-h@x!cJGl#g%7c^6yON;b?c+6xwnmZMXpjMEpwkG;>~ zg;bVRt3&wrG?VulqngsI7JeT2oLvtL6`Vosx$Pw+PxPxYzkQ+BSr5JFpa1k=3bigs z$kpSH>6$GxP=8$y^;wgaqus$e70*)YvB6}kk}KwLEK0HfYPnfIUH#5<{X!qi;O>tx zJnON6<ZPV7;sU5H=;Jz=qPMVI<}W}Z_Wo-7Us3Koow&V=C@7WjrC!6AO|ZNU2tQwx z;@uzhbKy6aM?&z=p6EJLIVJl>Ci0FVC0w2f6cq?#{82m%jbou}XL>`XX|VM|$U|U= z!sJ}i%SUjLxG{S*o8<13t^sI+d(5fVd)4pjHsp-@ib68qOBA}CVj?Y{Wzkq8(-1ch z_GRwn77wEeuS@V4F;Ls{(b&^j?+vF>>G7!KD<AVx!z)G2`baejPJKIGVyjfBma4Rx zNmdRzl*-2(z4Gpr46WF%k54RDT?~P(J|WY@^N|?L6y<=;JR=r=MW=drOZD*0TqlJj z_$SRX#UIY@`J&|fOV-{K$W3jN*z<53iNS#P%T0d5scVm032i<x3r4Yfeoe>mqWqjG zt?e)H%q9jxrF$E!@a{c{y02|-m{-iKde}VwV@o`BO(1ox1(t0D2?e?+Bqzzv&L-S5 z@Sn7|M6!EoLaTBk*gd05HcMS42Coq;uX-$oFez|AX_!<GSxj*yKc-gK4IQ7zw1TiN zPdz!PK|%Lu?tbc;e-PnMHIoP&^SJTb%v_~U9=Gjhul^;wqCm|i={DuysG7}jx7wn} zz;VgEa05p1m_I^0C?s#Kn*547EFoMxtxfKqd_F1X#3FNc^hPE=d<tdz@QYu?JuF_s z$@C=cw?TpBSU2MCM3)^T5Po^!8qWFxFIF?UjPDsmRyd<NL0mSAMfZJ&xKgG-X%9U0 z)T%W3@8K?=ulKwICC(_nJP>x5)sXU!Cl(l8wv_?G2h|8t1q#f&c^tw~V|xPKw-8>U zo#W$J11HyC5tBH8pQUdMxVOA6=uHc{m02z%v3R%pRv96KxlMT3>ju97qMX(FTgOZW zJagkXbkh#uUQxW%4sWmpMyZD1hkHT5#w%<++(^frm=2sHk6*g}U^#j~zgY@G#|<(A z#~wuEtB)UzY6%B~d;M#4f@SPgUXwI@qy(k;A(NYgImnVp59*MzCqPMjEalv#&-zn8 zq~EFnc|gRrJOc+S-JZYR_=Dh-eybgXjxRdS>)1<aeCKhT%isjdzgl>-Az1X@J|0%% z#4^u00Iz!cnBlUB{#5k8YB`ztpK#M1U$7U+ZY~E{S`YXwVQH4jN3tbil6TF+673Ta z$|Z3w3A9<n5$~S&*m;J1D`4jeh)Y-L&f4scHsiF`AXfk2$r@WWg%9#gQiJ7j=op7u z+_X2jlHS012uJ<Xkc>?gF*DAM7Of`}LeD5I+%&t?eEr54)8%pQ*+Bg|2(?Y?8f^qO zj#Mjuui9b(@^M>iY(Iy@=69x7?d_$uv}b3UW+e+|(G5fXNjRPm<i?Yd{=IzdZ(Fj+ z&s`^dk+44VCmX8Ei(FH;E=-rXA8!;sA~f-_CcP&og@ndVKJZ2Do(^sIRr6ymPPQRQ zeQAiu+S(=O)Li3+*9XRoiMs9Z<|>9Q0US<jV|zCM0LDWC;bafF&Wsj!z=*L6> zPYAuiUlEr-H4VnDM0%OMnlrUn^#0KmC*lF~tRFHIWtL=@AK2u#&rGYLnA*or&pJEe zM>r1_r!hC?#QdRC#q)TT8}$5m_viZjFInaoAMJ#L5>y$=Gb-M%s0z_G6Sd5+fTg@x zf1Bdk!*(TDksm}AFlJfGS<Pc|fj(v~AO9q8Yw5rArDs`B0z)=5kRM82{+5Bdd$Btn zYF+EN_~(BYkV%8t@;tR>Lv%?shR3Odq+Y0H7v&6HqjFgZedLA6c=_%@mz4@089Vc9 zuwq@l%6uk8q19(Wpn~f>>sGa<HD<fHk({0!5UQ@5^EoK7?1dnGkUh>b+XT&OmsnXU zcDHxic%P4@Z(tpyK4t$ZG_A<-Mg6Dj$u}2DCbFsnPwCPA4Qw?b(=3Oz<Ip-$tOu?} zknVA?DxCRFG7n}NM@9v-jikb{CTG^IMJ?Ly7gw2n)|tNlGvEFewPwvAllJP-u>6}Z zy}3(NVEOlDEb<`{1>28VrEhJKcJH^#YGbKguN6<$Y!9;X#0%&uRH=NckHT@D&)R<e zRh71g+HrD6^Y(o<eJ<Jji4^6djY%#4Su^^I$tV_weC^WntYo7=z$Re@hbuCgT>x9> z+IfRG35|`>YOcb}Ry;41T^=T?WKrm=lTRq`k^Jbgwp%bdHrvW!&D+W!1OEK*TP6hd z6UEVQY}TP{M|HWzH?wV{7Zd8BYSG+xKfVlW$hhJ34Y!t%aN(8|*H4G1vYO8i3`<*f z5Z%u@)t>#*c&*dHLrSZ|V0>~dY*9mow?B>#7KIJYNwTOFVk7VK)<Wna5sj&%_p_Xi z$FPu`4z(eDosRGXV}{S4Rg)7xS3dc`dSq=E#=O((-*+DNfa6vm6YWp=`Q5pVwMer% z^BumB5c<!PUhlhO-yXG=UMOK8mBEVQlREYF{Ig81Q{5&FQ?N-IL03K()RcUQn1yf( zQ@+mwCICDkC$tfMLWVmbci8!-GN=6I;ui;ZK7JxNynt0t{dj1UY%}XyQx6`;&oYh? zn1=d+rtYrV`eL`KfyoD}VG^ktr2RpEp2ntKs7YU_$=a=krP(d!o(;Tt-t_(aP;+$S zi0{A#({?sdO6~bf^02~8LI}E(72!I@7#N)t13)m{N1Mwp2J)r+yox`Jvm=jS#?@)A z$4~$ro!8EDS=O99C@}uP%}lj>^uNlQd}pT*MZ&(b$L{(P+zpRpBGl_27Mq=3u!+Bm zF6(VNIcb`%S7>VLx~ytm6*YU}O&p7XCP1HPk`$RovUp?SQK`D)cg@(h4@>vYRU9WN zq>Pk+nA}t6?&11VWQmNF{?mh+iJ*G9T>JqUwvm?p?Z!s*uN(7qzJ&Hmr@c?oy293P z{|Ut&yd!<IHWwr^^`#E1P`*bcrq;oR0wJT%FAIf7YD1TW!g0455B!b*B_?mR%u@Ov z2W$5|o>06v!JqxEG?08e-I}m~KhgHxzMh$yImCW$Dm1Lo-~NCbtP*a0U!y;%3d(>z zNrT7(=pq+Zi6x8#nZEH1Uz7~WhnaMnk>A0=-m;?w?n8xt?5f4^XG+7-!CoE&(3Bvc z0Rl>n4&;+N%MK3nLl(jt$M&K%H+a3O3hKK(!wSl~&q53Ge|h%2#&;K-8hbd{-)PoP z^3dO8`&MJnY$>V!@7CJ5-bh_c%QOc7aoDU$d^S{z`{}JD>Z4B=)~7a)!DLnil#g~Y zgNu&;Ezgzy$?2gDB?kxKJh0s>h5Y7@%MFEwvAZmLM%jMtUeh=rKnwyxAh3D~Tm$QX zC|OQ6qciXH)3Z$Q10Ic(;ka9%q5Sx}Kt}m-d++H^j}HAz5pfY9&&u1*yMkf4L`(kA zg<3ywU^4-d{IsNa?`-|W-0_-K%Gw5lp43}e?94ek6Y0IEBAFhyh`hV6-X@ylX?A~N zN8XuS)%|p$yngN=^c*2y5rubmkG_CI5&iKf_Z^#WfEH~o^Pp5$RC|<xO}o#O<l%eo z86qUn4&Q^_REcj>K%EHW*YD{+a=0A0!MJGwCDYmbo7__!%T&=%QwF7+WfA^eazPKN z>2e(C4sh#mB4UC`_XQ7Mtbye(B9au*h*L9b|IY3J+EZFj2Uj)Lh#<Uo$(`Qofzsw} zkm5<h%c7XfHg2|nF<!@*?kigh`lsers>NZ1Z-3ex$6NgbU=Il&zGn1!5Z*bgZ9+r! z`}4$Io{`V|s?=|YeeT^gHn+kr{maakVD(c(h~?L3m!jtTbQ<(ghMEfIuT{%5%^N4k z{5uPL3fkU0-_p6fgfE3Z)gw;t`CX^Glij*x3Ep+W#r@1h!G#*hd?b&$f%@VIsQLq# z7@#7!8!#TjIG8^EhNM7w!M<I8HYK}Tyz!x?<m>M<0u0>R5$D?$>%|!Ise$f`x9C?` zGq?(kzmKA^*B;#E<H;%ab;8=o;5N4O>c)0<p`f82)`RN#xut(8E|+|TUB?khM1&|v zlW4B5=^vY5+Q%|f>IlMh8CAVEH~pd+L>^!p0`IRNzPafqEg<BCZP?fz6y&U}DIA0N zS$_}!s>;HtLxlWQrP*9R6?CZ_r%v}u`Sa%bwqOup!$Alzq#$E&w4>Q^mL$HOCcG^T z%iPoAwQ#q1XrMe>T)cf8CL-=8u8NC2yM@jYBH1P_U8{2geqBE&nyM;!l_K&p{bf~E z<2TMmZ0G#B2l2DQqtVO)JBoldQG1avdh4+kO#cRN&2CsM|HBoXeHYt1>tGpcU(MkQ zHCS@;E?U}{{O~)|+p4{CnTX^&Sg108d)IhOIDu*Toz*Q|n6%@5&<ga~X~uHNKKOvr z_e2RG%R4F{-aE{6`CT44E{x`qgNo}^<wN`crx>LHF<2f;yq2>h&ZI4sUG&0Xos`{} z!?<`!13#G<EOtq7)cpDo%O9tIc`dSAYK`3_ChvRAL<#QL+@K)&zm>Xre+R>oqi>sC z`|@T^x|3Im*@#6Z^kalY2H<Z_Tix#ME{4x}TR53kG|_RRJDA4!zfxDVuD5&cWpOKu zRy4zryET|b7$gNG`kT{LZ+f}Y;((+ATG2d5>-u0ClB-JBB3*T;hkGb9yQxEHQ=G$G zNhhxsQ);yQe?>9RrTO)DS%GUguT2Q1jlSL!ort(Bbak84EwDBw+BrVpt4+x9Ir|Fk zcdBDzBq>DMaz#;xVI)0XfyG|6XNjI4i+xDn<{~XS@C9kmn|sN$bi67FJ!_K9XR+i1 zFR96xc-}IRNaPP3D9XY(2+5dn2lkscn5qXFA%ytY*|5nDh!Nm}bMA~C-eg&9|2nzl z`0%_UJ#g*hG?<3}0ie43<6#PKhB<fc({4)YbzQ#Sd(T4-%?d9x7$2jRsqL%6S4!jk zf;+<67W$SKl+Afl&&qFyb-b-yZ|umZrj{?5Fbx%&E!O334msi+Rn#rW7N+An;`p5! zZeJ@OGwM^V{_g1RTZutgEXx6VI#I8p!RCnrU%Y-J{|637R?+_DI<JY7;m^#%wP7X) z#Pm$7{4KX?3Vxg_QQ+~}IP2wu|6Kgb!M7ev7%A~_OJLkOWFnULx-`Iq4*Vne<DSt4 zrh^|XP(fg}NtghQefTfOOp5_CLof}c`2}Wg3cCKv`{pYk%R|loTj6J^sZ}g>jl2_4 zsDwm<Dn%t~eZV{r6dH%kM-ztv?iup*GR9DP)kD9JqT^tKis%xqyi9EqBuBwaktO|H z!u~4xyv#D$3clhG9%#FrF&QhkOANL}S%@rv7f8^{!~m)+dEqZcSfFMSep7!*_HF{l z8{Lb;^S@-fSx_ZUoGmq|!@c0E&k1=e*zpZSKQK9WZ7&<-S!5tSi(%3>m4L#A^pX_o z6-b{b%rYtGd~)<^invD+J$n7?HzjfBA+Ew`2Wl*Tr|asjGwQ4wosk09drUqNs*lRO zeIHjU%jLgMnMF*C7x`c`fQ(~6x@YL<pgXRVG*;S!RNd7m?Y2fIp8cC1_L5JmoplY$ z9|3_!TrGkhT!_Dbi6Q2Z6dtXt52WiBJ^dVQnqPQ2HYxb|#yl<mc(}`HIhnb0)d$Ol z>$I}k{^sEkj)UPe4i4Bz2{3012*YL2m;Rd>mUiHf^0IW+y?+_)xRc(26UoDp58e(X zS*H76s(F=MnqQ`(fRsHpN<yKluIDw#{jVVk-bU4cyLs?6HP|eCW--!|7$xz>x$SQ* zYMO>GAdKr95a;FQsWiU+`1NI~Ii}xg1fk;vQ%yH7#B*Z52minC%L4viZ_K*9aqBWu z=Jy?-&2U;NK?QuT#a^tzGGPq3lTblQ{ysU~l=O3AuxNcLK|)#o12FA$j8vRZwl9rC zKCy^?Fih|zZkkq|$HF%BanB3>SL&`Ptb{U^srPZ|7pru4L(7u*!L;)Wl-vUNo04+M z^H@mMgkGhjkqFTq$Zp-8LxtwRE&LMQ7Ov-GFx%JD7rEMJ4J30P%TfXZJsz>2!%^p3 zec989Db#U&FtR?)?NPFh^iH~O%8gMm-;ulupB$!xTTK&tWgg*g(7E0Q7W8e<0`I$T z;9Bz71@?U{S>4$emVml`=l^;CRr%@V{a3K${>$61Y%cO;DZ*-K#uG9npR(MY+t}Kk zpWi-y=A$D&P-JI`8J^Ve;NIVhQt*d(h@d#2oA<v~`KpE!OBC27^Phg+zqg}q?^Z{& znz_2P_65CcdpP83_J6VW7En>WZQHlB<P0L6iXb2oN=So%C?H5TDj^{)T>~N^-6J9` zDcy~Lw3L)|cY}0&*MR=}zMuG>XMO8k-@BHxn0;P*_H5U3$v)2WcN~|-DNVTI_^Egd z%i+#8te%)NRKi0jzxRHMll|Nei-m-sX2Dx+4&Pk{U8<TSg;g|mp077q;aR$+Y--8j zb4a@##Z^^5kFhbL)*=u(gE|b450G4-P?w?M;*gC$qEJX4KT)V-8Gu5Gj-R7Y#T^sq zGYniPf|5LQJ+RpktZ)IV-d9RN<#F*rY9=j7T;9T4(z9F4u`r*-c_nebK@L3Z8Oxd0 zxT29#OEYb?Gf548wXdm<y+yAmRb{M;F?%x_ulSNtr==;V^e(#l^1c#}5wMZV;)&Ab zyoury1}VtX{4lr;afy4IF_({;id??Vr*VNYbv%J}h=?;Qe!c%#EiEdbjvFbNODbcy zyd}Xmtb)f5<*O47s+)ss5<%DdwHx8mjC0qH|AA$4694xs(@&IX(zsLm5&~uVkPn3I zFc7w8By;dj_i(UbOSFYwXBD@we()G%wK%@_#0;HTPyY5?f?LFHaO4qog}aKW=H3D{ zvXzAShDPeQQ3<4S3wb;Gu2dWqzk928O!y?Bw<8kzRyyd9Y*sTqo9E%GuS!5ml|HGu z%FD3c=aPDbL@3c5_Rj4SlIvKE7dBVUw&IQT-PXvsuq3Gu$*_FonomleN&GS~$FH^& zl$Vzg%o5Qgym|D22M1*5QsRwe`A|;rD^8=mtZ#d`nk}V9;~1JFu83ucYs;aQeS58m zhGvOFP59zo(lw@(gY54Ub~bu+(+qSAj0K-`BK>a#vL3rPY%(Sg8AuXKJoeKfy!%|! z()l?lbD%6{(LGqdJdz9r=Z=liS6j=5O;5kvdxCVmQ}JzLy;Et6S)X(sw6Wqzf4wR5 z7>80R@{4P`>E^TxPi_=U4js*-i`L_Sfr84Ja#T**Ze^5?-4aE?NfzpGr(OGAKWy<V zVVBKF;>g%;Z+5s|M(GfxgtdtE#fs+AZb{IySFI+z0?J1)J$~@({<b&ajb+l=rEyv9 zVu=zIKc=RnaeO+0g%eiuk}#vndxO;{7Iv_jqaSp#Ud6+4c6$fJ2lwpucFc~{H0GSk zMjKme%Yug)4i~A>W~e!5Y^#qKxneWU{2PC~Y?YPOuQFS|)43~@33f(HNbMDsz166& zy)83jXg4YXyIe+kun}a^sg1W&xr9=>XYAu5Zw@=KIWn?4+a7?VOMbPA>?6n&=sZ+v z-;zTzUq>b{bUixWpk7amlYLcS=y(Tn9sZ<lVId)SRyt;T^md1`+BepUV?O}tSNZ*T z8N<9;Zgzuc@1FM8EKN+Jy~DkulA`$4CpklGfx%e>n70TG0K5~X{{(Jm0(tOA(an0I zE*e)xt7h~;ZHx>8wV6#{E*WnAicXok@q$2cz`R7xg1c9N*cI=xkdXCc5Yl82z-$PF z_rpYq|AN*~V$2VpM86*qI45fq|4P=Zs4mU!q$eh{yMF%zSu^%_Nwt%<rX3pDQr*~S z$3_#T^63?isYQ^ZE;VO>@HAe4%Y2O86aLbfT4GMJl95t~Gcs&}farisLBsuA%)oPj znBiB8&kLL6OtFPl0O|B~PA9$5Spfw(Ky4liCyBji^E*&uFLrN8an42K;v0S)YT^aT z$yNVcIkf`iWRCnWp52N`+SMz)X|nGAh4Pux%7ikQQa=~EySC1_u`aX~v<p30KBR^L z5mV@@16$7z7dCRbd}=caB_>i-7n-cGyDbx6DDdU1e9Q+Mn<*;}=X1rS7L_5YJD0Rl zzMP8~ya_Gq*<}+5k6X6cRNZUd<(t?WEF9Z5#oo-q#Nw9EMSvQ?uqPfk;2jpFihr37 zG*!o83u+}f);_4v$4ljw=JFs)XqC|nh!RVD8@x0(xWl)VUOvXb&UFJ^N>Nxv;NntP z_I4GBinK7X6sW*iX`saTZXYl>#_!y=wdAPINXE(W_kt7mft=dN%xy0o#kT(b5{?FL zH#0)bl&#@LvMt&<fyGW^_wRivH3Df%R@ez>#I8VdG#*P<XPe#-Xq{IS#A4~0n!yg} zjBwT(e&QG|8&F#8oKSle2pr}tAaELiz>&dCnz+IsQ{8ne!T7Y00%(&bau&5$z$w{@ zq2}zF&N490#EGrz;Ec-qbmJ{R?$CL^_H#$bol8GQ_k>t1qmwh(v&#|AOKxFEv#)$H z(1?dDwmhwt5%-b??j<rG!s<791Bb=$?}Ph)sb((34DA0iHFF_m)czwi(^09x{NI){ z+$HI+AbaRYnD7Kgh&I+^OtaL6LDrb*^%9{*NDnf6&;>F8TUtP&|6eBY|J?&0?0@!& z@4x)O2m3cqe4Psai6=e=41N<Ka{LmB&L!B``y|N_{?ohZrn7%$6b??(*WQsok=ckk zY<T(btgqIW&X;&0%p?m9rY@w$Gn7Ovk6bN2at=bI=j2J;;663z7cvKrfa*$7yrKQH z@++c(xz6F#>m*MMY7F$ysg${gDn_H7p$-*2xDiL#qt@*gLx>6qocoUgUeu&*E7rKW z?k75{RC-Gk3v7RenAk*}nT?-reUhu>rLR6r<z+bK;?jaWczkV2RUnZH1qU}ofJ5w@ zs9zHVM7`kAuStcOP@i`dH4@*K=UL)i@jca;7JH{MwHh+?ZCm#q?hL-f9ZQL7yxIN5 zNk^XUeco!CPn93rx0{+bh*?}uuU*G}WpyX&Yt}Z0h?+IWWW=<1v0B@#Pi)O*T+d<{ zEiATO_Bd6wXog|8K~RP#(oc7p!AUq!M|L$STvt%5gZMJf663hUsBBkiMDdIqUnGq~ zij@OVr_h%ly5orrCS=}dTVmc!0>%wq^eS7ehblu;Ejogxhw`Cm8B?AF=+r4`dAE$6 z;}d1H<We!1GFoq{Cw9b^r}g*F<-H$5{Q_(89#mzKq2k?jDa?I;g@_`1F%mcT595$O zO+(%${c##HkPfCH<q9|Bi;RWS_!Xti4*p>pGO+#EX~^#!e3Ekv+$<b=8HP0&`wr>f zk4B2VGx`vN(MV|4&(TO|+WBZ?jo{|(6?E+)%h4oJRVLlsV3Qb0J8HCrx<{i?!f|(o z)C=dZETJYIHcONw4YzM6X*6o}ZEy#D;;aebHY}nRnNZH6h8;cPp@a;Ewr61jCSDU= zGh%Cdt<WadAtv>@Ly3y^$p;FpDQ24umz!%`9M-fw#UX|2jxOQeqhT-^SM>qYgA%q@ z_4GZdSwnIj`}89MG)6DRzGF|Z9qy~$#)^BpScSPhmOYL{a$`?low!u8>T{kYHXA|J zA8fNT#P!6;!JeznldL}=z5JGZT4D@tRofSfzAWW?OqBah&D5E;*NgSgy3qaGq+P?V zkp@b?Gp?Gu#@+g%tAo<HR^q$j9l6bd;x>N#5pPXis7GIqeyg0_T%ATuG?<!Z7LujJ zSl;}iv4{~6p82f0?icjCHB0yH{3r)gUlV%mlk2eh8JFvJVI|&<*AE`zzBCr{+M%;k z_51MrHWuE?)!Sb#v-T%@KkWAjxyvhF+nr7+k{1=G(KRL>UJ^gVqqj<zSQu}^J^FgL z_~SF|VtJC+R3;+Lv_b8fMe*(Y-(P3N^qS1+4Hrb+;_e>8BGMUir1fa$H*xx6I<Xql zH&b-g2__YeXkq1Szp;J2+&goDSB=^lvyDBTSoQ0Je>oY~cY0Ait`}r*a})7U>tv2- zVcqs7edmiAWGvQu=DL-y_3~|YTqQ-qo6SYZ-G$w0h1m_W*F`#h62;uw^fO0K$INc_ z*jwhi98IpBy6!Euaru^9Jm)$*gE{T(WV7{8KU!N<bey<vmb9M=yBZAZ4ITz1tkk6% zownSe^drPL=K<_|oa113?ML`fqsCy7x}qJu+3Fhq<!g2_&KnZNj&*(A1)rLVyyFa0 zIyU;=KX&auowHn>fj>I39{U>HVkApdcylJ!!iksslEsxSC)f^>GwiOePYFZ#BiEtP zv*R7nj7ZM&C*l%w8}{@qId}gSG%mxF-GfP?F_%|@?d^$+EjKD>RWXyi!|q2G*i0)C zMfWKOEZ3}Tu?n7=@3DM~NL8J(8GXpSW?A`8WBW^P=|IhRO%|GIYFpo@wx6RP#N;RP zl(*p^Ek6(@TSogN4DY7wLUQb2i}U1Yy4u?T?eU$~-RlZ`W*_tOcDp(vyZOxmpNuBn zThk1nHn4j-?wTgwycSodadLL2dwx@={4%+b@Q=&E0=)K<?QiT5578U#-l>3Cf46-2 zI5`mmyh~gL?D~7E@{JdH*~=wXqcd(73_JYv4TS45E1S((igG9(oZk~70*lsgV$6NQ zQRr}z^@#HgWBB)gvchX`GB7FIB4+kt>hBB=eBZ}I*V{7QWKX3ODU2TOe~3zEXIS}S z=zP}XN<{xuQF{3|Bz=bqAnDg1{6f;FJTH*+()$2OKfMBGO(pIKB0a?8Cy|cw7bKlC z<$oVZH~lk`z7TVcq~HH=q^yVcId9sS>G3?#!<3yB+Feijhj^*kS4D$u2e15(&7R<E z%MeBw4smoIw*Ee)VnyA2)9%rOtC+QKQIE)SQt`Z8ONaL<tH0soVR~gHr{%o*xc|`< z7w2PzJJKd8AxTc_Hwl%in>mI1Dk7VFZDgLeH!2dpb`Vy5=#B{F%6UDhZ!mZr>#kK< z;;pKp6<?J|pE_pEz_f;y@)hKPtK_*-wfnP+8L(VZB8kx$1}}{(w2I=QQPml~lh;ui zI2atQ%&Fp&ca{>7!(XpXkoTLG%wrEoZd*B^edO^?Rdl)`B)aDSw2i8v|7WAG#PL`h z52$vUJG*p4z)*;p!*-Hm&hIT68EsJGjKRWa9s;<YQ|+d^E2CS@Aso-152q0lHP5ht zfvHf)va7A}SNOpOCy|;)msj_@A-`oX#JW3dolLe(=L8g%HyIvKSe^q2g=M=Z$vM|% zjz-2us;z4bwpv3Btgyfg3jPh7<3(Qr2^eG5=OU^rbco56-?grHMQ7F|0qHJ{;4at; z(9|;6$G-#!>&_&u&cF=b@shDHf6Pz(;-zRXCXVj@Z5My{?(}Ia^4TfvnIR+u_67UI zFO*6rd58K~0-?mJAitCt?&Aw3Ci9yTvuM6hV%oncG3K92O!7A+2K}kT?jqz9>|Ba9 z{FGwxzezEqpHeLKq5_l{^-m=hc&@~JZy=PI$xkJA>o+Bq{!@wZTvRaa?dA{_F<}9( zqypC~?xkm9K$<O}X}Sc}EPZ`I4l`QB&3jaLpFrQdx_>!V|6#~j25GgD`Rss%#+w;d z@?jRy>_8iujKt{&)@S{C-Mp8WOY4S2gsDls``ysX=>ZiRw$iOZ5#cx#MCEChUIKb% z$_<6c8*)OS!4eDfe*L8GwGnlA$cLFV+BdERMRWND(xTiv-b~_rO(O%lzY2X6cQ)W{ zHj^q+47q|s{c1>DGzRN?82{IPF3zi1+=KhRW8b<gbzHNoRXV?~jI?JEel(g6ToYWh zM;$3O?6z5lc2(bIR+|^^QZ%E^;*B~HczncFY>0f+_KeS(s*7|CRb^O5itWLk@!RKw zcFYZzZSp&Mc+qs&9F;6FVESHty8_D^%F|5oqxnnG&kAhqa%{V(T2mj#z&nkTJ`6uS z76{B>DG7d=TLmPk)i{uWhG`N9A6f%y<8i^<OBTq_tyqCp1LU$4=N@gK9m9b}9V-N< zkonhMf&lqRnHJ8fjt9tbL-tZj1UcTGa-l~#8(<S$w*aBO6Cqh02BvM2^yu8;K!0X= zDsE9iz$b{=OFa?b_-JCNETtk>ytB+^{e%}S_z0&LxD(R%V2Bqqg=r3{dqgrqrTF%q z_2nM?`X5)nJWG0KIKe<~RsU|HAHj|b19m*v64Hrj9uC-XFkb_TbkFAlY@?V6tXjO7 zFl-dSxLJvb2Igk*r1xSiAr+YBq#|H6W`rJSI6`1rN6!Ciz_$1O{e~?Lz|3=BOr$jm zMAKI~AjWOEr1!EdWuIf3+k6H$v-Cvro`vc(Rne8PcL-j*ABUo^bUyt}2|f*-m;@(^ z)B-wZtvCz@E)$=!_pVW}vx4F3vzR5VHsV;*qO}wQja8I7QJ3<o126jYOVtBT#nHzD zZVZ86_Qm`OR3nd*+N9OCf(I^}I4F9XQciS)rnWJtZM`AJKHWVdBc|x<+h^rUWi5%` z@mqD+GS*Vr7+}_3U8{C>`W|xYU|1r08R7L~grOverrLOCbz%!~rRCI|mGe242|hKK z>6xlNgrfA+WVQ7T9Byszv=1)YxUf{r?QNW{t*)hoN-P(-ym8@qfk4P3!Ykc%XWN%` z$62+<_d8vvw`L9vE%Oy!YEd$UNH;U}r6$vN=DI%@WMX-u2xXeERZQWmB?Kk78231{ zAMrGe!A|7IE6GR#wPOuFzok4>S@;}?{(L!hDcCD^{ZRBh@?LL^)f(oV+0i~;kvjNj zHG~#LV1pap`U>(xL5Hz?vl}bfuO~XZiAC1@J*td3lgHQ%0RbW3;jof`yb+yITs!4_ z0EB;G0zh~Xz`qq<10cLQ;Ig^w3;fB|sO#4&W7@AwuFiy9HlH`<uxExpzXBzPPt@UH zzynfou#j8u;p`LGgz#W*C?9+=6^EK!<~r}kojd60Q_T0gu6cGQOetHtgFhc=1MA=o zHjql*d4`PtCWsA(wO}*+C%9{D_djsgd;cBoS_g2~+W){^`~M5>n&uaGO<4MqyY>Ry zwUQIyu9yGBT}NmD?s|#&kKA>{8^B!~i~QoQsWkv(O&1G@>Y?AbYcfPB8kE%Ae{$E< zgMhoHdxtpdH}0AZaMzMdfV(aV|HWOGE&=X31aQ}V4}RmWpT7s(btHnj_Wft>+VoG{ zb<@p1ao7BR=B_RN#9b3$|B1Us75O7~-FfxT+;#Auxa&`+fV<xLjk_j2`y+R~y!9vU z+VFzA)|Ywsi@QF+ICTCKcdh;>?mD0+8^cN2R797~aw3*SVN8phmd;{5FZA9M5Btm; zW>14Ea0dHz={9q~t3Lh47>V7dOS4JuuZ(oie=cDNgZQDq6hoZc*gxum38>2$fNGy~ zin2dgw`{uW<wC_#j^J9iBXc`FNTQKzL|Uu(KsLk=ngU_@wbA9<&vP&W5RnoUptu`9 ziHMY_DS2~3C8kCkgL~&^dc+`NMtTmx{-k980?Fe3pGC<o*8Cqy$vX4y(@NdeEB7{# zfp}9L`m7Y>fAAiKj}ACxqFNUzfh-5+c_@Y<**IZT{Bsx?%ASyuOFi%MH^Fjx&|caq zuE}ASk)aPOBkXd^UqBkW)#PVV5ZQ(=0Gk$i)^$^YKRRwdXxykp&Sb&qgB3GzQ$Oj5 z8%4v5&k~GH&gCCip?@Avvi$q;B<z25KKWlCPm264YvI2-pS*}#`2YD#5_Q2al?aaE z0ij1-f5-F|4tWXTL$!UuHU>BMfGDy$d}w-=XJx@M!}b33M-cK>5Sf(|#fde!Y#;1_ z?jh%FYHGfAiCvVz5p{oOU{M#mfDB3pJ1##dtT;PZ_Ew~O!PB;c*&l0gqGNZE=2l|o zj;mhd;<_JX*WH;~rp6%<^=40s;kosXC(TwV9S3Vf2A`N0;aaB+O=qiP;)k~_BJH)f zulPApu+AxGqxw0W9GwiSciE<?n36~|_rHzsy&EH))N+SG#;LC9<s$1H&N#d%M;Lbv zm2$H|n;{i<g+&R&&V-uJN8ay)qXQ+>WY;tYWG4goVNna)_Uu}3G}vhzQJbkKD>q*7 zDV$IHp7tmZkC|93A*}Ul){aYc(YIx_YSO#*KYMaV$Dfm}6$!EB8?S`-4-&9pIG170 z3w3dq>f$KMH?W2G8xpW<V5o1Ng3gB93I>ZK4r<9}0!9gY*T!aT2|skC(VJ>yiD!Im zymw~J9UIc@I+2Ld?z)hLr^n$P+jZ&SYeMQ>VXjvTEjYn#6pl<NdUw2In=d(h4U^Ty zD&s192MMw%doCDYfW2;2@1olz&zd7kh-<oA&z0`Q=6R)p9nSS;<F<@qlOxrEsaLM_ zE+<#D2U1j}dQ6*<N1p@vQJN8=HL}9DUg<GaO9wH_H7`jtg@hu*&L!*rYi#nJBgMI5 zRrCgmwE^pIinS*DY_jgP<nqnkJr*g<@M7-?p(*h*@kjWuyl;m=XG#C-!^3|yJG}OP zhll^^;UT>hZPWxZzPy+TGXX9|TPfWftiFxsA%5QE*U#Pl8WMt)`>%nj>cXMuENz&o zm!bo1-D~Zi@dGsQ1uJvi5!@mUMNg^zczql6myuUvSfJ7np~b%APz;nReXUnGzK7|d zaD49hHA~;xc*8G$-$eDUh2nHSEkrhs_IIJyV)hXRgxUkD9w=n9&)P4{vZY9DwFhJ8 z=^?DV!)QVC-fb(FkK?`Zl@j~q@J~7E-upxI!IA1PWoNcm-JMjOQ3>5sdg=aSKP3^; zWNj!y5*_l#as0^5uXV;ax({)|SyhOpw<eiy+r~*F&4hVMqH|x?*i+QkajIU1vNw6n zF$6QNXDj1stXCB*w+-r0*6ytrd*67R-P3-kU(Zwtf6=_$p<Pqn+~41@JM;pcpaI#D z8+#QNU27vJr0uYh?|OXWiBL6;ci4{~#|&n&FwRCRKO625HEe_|QUJ1O%p1s}4Cv%4 zDK;?EQVPE*d1VUpQ8Um-%s?NdKKq+K!bB-1{i{G?0|Mzris%QrXts|VJc=W}EM2}V z!3uf;{?AA?0xJeTgos$%MDwuUSs}!iBVFwdhmVQjkPtA!p7Fd7#Rw;Bi+d!AhUwzS zK>()?#(5?qO|v3RvnoxqCQY-h*tL@~Q=JNzUS570>(n|4?_@x>DqbbBw~Yp`3h){x zvbQ?QXc%4YfzQt<esa!L6Pt%9p~a3`T)g0v!qD6B!(bds{JBH==fWKw_R9)nZE9(4 z?ziBd({ZR!Iz~C+lj%6bsNbqjz2T)HI65e84H@wG=Qu{F%E+?$@ETtz3Cgix4Lrmi zSh=o<UA|Qff7)GD$@kI&@@4gq=hU8G;D|UxX}d%9PJ5lmCAf{$6dU}o@gb8^dfb+n zmyN(n5<$Gre>c5`d;EjxHO6(urA77eNu<AM#0HuFpr}d1y}3KeXls&<39Yucu*Mbl zDjk0+jv;@g+VW7#G<hu#_Td;s{}zd?aDOGaQBZns21;)u{1+u+;r>b?BcQ~KDA_3c zw>05K`YUx0gVK;GC_Pv4Z}C2f@K?e|lpH~cPdbexENJK!>8Hd(y6GpJL+{yPn8m;a zyO0fBuwP-|zWVgZ{aZvr>fbqk)of8!sb^)xSf1d%=T}8_@@3w)V0L%IHK?Y&5ZGFs z%C%h@NY@YcoiuKBm~^IMcd7ir^ID$h3>HuJE9|8@Oy*Oiz5r3Q7F6@9^yAlE*YY_h zAhyo-D<XhXbuZPg6fEKe*T6)}!78kr39*WF;3r9^UyRbL-+!ysp1AqxD+a~_f%xob zZFxLpY4ayUPQqZ(vkNR@G{+IJG8UY~Wt(WrH696_Dmp)kT0ON+-vYYqWK-pmWb5;F ziA>>ab4{XMdU#Tylmwz_LPFPEQZptn!`pG;d@+PoybF;GwW}qiYyE_^wXPT)|0#I- z$E#kGyzKe(9$`!Uq65f?!Q@7jvY7b?dcN-k#@J-H-lFWGMs2MPkubVD1x0*uC;I|~ z+mYjleseL^t+z1BTW_gl=r$WmHP9HS`@$z|(F0ch6%q~m-)5r!10)*#|1!~S|GP}| zSzML*a>($5q3Sc&hR@s`EE_E9ORv^?<%daE?C}Jq(O!!bvlkEN;Tur+uWIRe9Pi2A zut{W4Cj9gfze73+_lB9>a!4!_k($yCB~-Z4F(jEPp*0G{KOygRW2s$Si5n33%3TP7 zPXq+Mb>=5H^b3^qDwQ4Yg+(^tcZ)1s;0yE?a`^(>82p6Y_4=6+`6-@#tzn%<RI!7? z7S_eV{fvV6Ql_rO$I$+3$gRJ}K0X1lj|9m-O|DW1ldD|olbZl3Hh7pGUc{Ifo}djJ zue(T0`Z2``7ku$sz!%G3@WlfRkHSY8C76EV#g7t|Rsxqe{v}^rh~SGUpV#rn5S)&= zobJx`nC+~d9F5i=j&|}1Fn)9!6FuR@&wO>9p=5OQL|~5HHEa;U7sHc@pdXN0;P;84 z%rJI~vw{I`{9`vW++m4<FP%bSW4n8tjn0B(kFz{3iKzX!wEhRif|_k1j5bz!{&d<- z-Rdg&Ayq0xTf<jg5Axuo?>PGmv+n}oJ!m3<j@e6cdjwnTezzTZxh_47%{xylwA^=4 z>ejgvXz9)$sCiHjNzUETPH=1asgd7ygY}5@J(0u=m7N%$S@`W)2r=yDU4>V7-0mH) zk+(HO@t<|=MWq?DNLet&A5|BK=aif2KAp#zrBM8Gzk#_I7)-x2I1;?+eeuXfgPTXu z(u#ZD%*_FE<I=OSo(0sx6h0Kml&jlNS0hSK+B$@lbO=~UGw7wS1|Dn65n~890=MaT zz@T;ZO&e{J+2u;OgbV}%KP`k{A_c(Z&~S)gvM$fsG-c>mKX&rGeB9r|j3XIZ1DEus z1aX4;uYQ9jP+0!SPipkbPfGh2Kj~$_OXwUt;;Hia&fJcsNOnzD2RR#k-@%TI<$Gm^ z!rRK>TN<u1fh<OmuvYbxY-K{3t$Q{<P8QDnq!z!pTT#H>x(Orvq|4ApD>e!>H)MvP zO}A~%ghjH$X^d}gF^L7=y4_63<fFU)k*%H-_Yr%$T?2Z}CxTm~HyK4_qqGPM^Z;W! z3AtcwXEx%A{z~4$itYjO_VM4z+hA_`zQ2&SU)8TCL62^iGz9I<etvOE_)i8?6mGVn zJybN=ltJvKL)H_aZ&dWFhEFd#_@;TQQmcNXeDLy<Rod?#?vMr<7{i~v1dk$~t(yyW zVlAkSXUoH@hCtB{mr$>*t=q*_&$M*eo`>pPr=DM{9y1ks+4iAf*ff*k19n*ez0gUL z+i{ZDNXHcLlji-CpVUhrnaWX}J@$Q^-M0r7%Xs&j+nc>%dQQ7mY4ac>uS!L9CCm|a zv}`^sTX7Uu<N8oZC$b<j_SvdKf6fs+=ze7twn^9F`n>nkN<UY@_Q#2v&TszC+2;J> zY^_Z%UC44iKVpSjDzATK<ni@>;_@fZTmB;1KpNE8ZTQx=Cw5~~{m@UkJykRXZ>&AX zn{cb;&ilr8mXX`=`zX*S#3@^^QHWi!E(-}*Pa3#w6acCgLE6GsO&~`1tSMxP#%8^a z8UvHHwd0t`hE}{y<r{v=+#3@yX@NVH6D6b;Q7bP^oH0C}Z5i9JAOL_8GYV)qGHhAX z{W(Vp7gYs=^gnw3-Zg$zegQyP0%7C;wA8xVPPUzUnj|UtiT_r-KKZNbk4@3&<jvVw z(LEq`p=T16s5DD}#y^yWA^o)c;q<8q@wnM_M*zp&%%$@3x7eb<&POz%WjF^&ST#2m z->JGMf8}1;6b(-FkjHS*oMTq65p>b1YLa9C=OEHLJyvzcZZP)3799&tkDA<|SjOG; z4hW)#wUBX>1@UF+ZgwTuPhr4dh-#g8mDmf|3IEaYSL-0WeY;18SYrB<x}>xI)tr>| z0hPU@hUl1znAF#9>$|Ss-lN-jt6Gop86uy0nreK1_RH{xtKf{Xpj@lZRAZX??vI8) zd@zrMl9g{rg~1@?+aSuSIOGTL-5p%kN=a_<{xc){{h4GKgj@=7QXb-@`pa6#;G}j~ z_?CDZm^fk)CSXT$9ORbyN>(=jBDT+`YgYWd^LGRx>kPuJLPZyJXdVli;KhfUa{+`_ z>zegqAU$<tj>=X#iVO@rypxgY4NGD7O#L4T*(P{{;g!TTod_RMZ#GJHX;~2*PT#la zfjT4kKlVcj<iw0=fU0dSZJ6IgyPoLNd!B6dcH|klR>w8phZo6Kyj%NI*mC!ch3{JM zPZRky!6kjJ$cw&rrzI=7&R?A?5*|#}3%k&`oBEd%-}IhOBePZmSwVa3BRUsK6Q>#B zD`($0`fI!mPtS*ukxWfDXWm|suYd2JL{>t@Bj_R%9!$}P!<<0g8y_|*hM6uBz@LK2 z|3#(ewoD^#Hl1;tVA|QYvmP6nyjPQj%{w8kv>sbjlgrxK)p!D51_WW=g}sLbQyx)% zuDkXfH~~c4$#)zfxu<$x3L??oU`V}}K<QlCc9vgxuJ3Vxx9drDNHUbl^>~4;0|Y-k zxvP4H6@g<0EnZ7+{j%#ka4itGT@Eq2$RaBqb21o!hc)oNULQAwJbJI08okrzrDV_A z?lTZm<!Td>mF2fu)DD|#_~KMuUG_aQXMlUSZH8WByw_#wSmzULL)|&QZ}igxz0_!% zr5ac9fo5NOH5w0&K@(SgfmFua(tSsl2bHn5q<LAgor{l8n95EKvOHR*4!k%04ue$4 zbNac3H1&uLh*@DHFQToFa(euh54m8PXZEh!^=IOnE!0CV&rV=--aX4TX9uZgwjBAy zaO+yDuu3)a4%5L00Ych$;2gK-11gG&Qcq{AK9!G5DmqW1RaI-77qt03HcK%VoHv_W zJDsW6vvaVXrR2Rj)wg{26KiZvEymRw#SV}TKDWNNk89Ao2U2tL$-;zQ=5J`PZ})B9 zc;8+%PtWbKoakElVC%d7$v(dPFHL{rkD4CmO-X7B^5m*RoUpx<JRNi_Ht#Yhp@5Pm zA9PGc4+V&NcJQVa&bE)uiu3~hY#*B#o=b%<2rt^lrqBpupt#}<R6IF_{Qie0BTSm{ zN~OXwAvP4XcZw<O3V5*5JwFY9eT!DFjfP0WA}#nAX;^pWAj~(XCy<gGw+uq%MefJN zO2ZSL;z+=QeW17b|E-eWpV(FMs~AXS?etK{+NynlA0wnlXm4A8{@Q;A57&k;BinDl zOT!^1g!UGHkEtSr`yFCaqg1@)f?wZ-IAfG)W;(Wo&cHG4A@MM!Qn;Og>4JNymQWLQ zP^!Thj$U8o-3aLd3+QM4(NL0k`SEuTlbLoDVw-cb4RAN7FRdVpxIW?<;7&+P)4*tb zwzgl!I~%`m=Oc_J=BMQR!1LDaLwU9kb$N);R<&McimOzkDqSVI?k-e=J+RDQ$-N?! zU3oCO_MJd3P}wk#2hn*<sw#IHnff>{QmXdTBEU2=L*q_k#v&M?B2uc3o3}wJ6j7Q6 zB`t%7d;6uepyY@sje-)TEhy#IfRYZPGyqEVZ+tB`<ecbqmBNel{FRcjNVnn7ZZ+0o zi~?aFG6?QMtGE_+?;e8{2?q6Dl{@`?8&a)?l*i}>c>~x&n`KibWdh~D#Z+ahJhe=5 z5<DI2_q{v3y@>pS(4c&M+B^+pSh>VyGawd)PFco6?+za<UfCqnFF%@Ir(j_$sEW%z z(3VR9(%NhmgjSs_US9)?C^PH9VDTglmfZ?gorF&l<$>_NWD~XHduR0bl&TbXc(?B& zZmSNY+19Nb>L?zycIn{N6j1kPJrV7<TCLBT;(URCAB|&C0@tY!I>i!xM7rndPT0H? z&{j8AJIk>8tsC*|^Z;{f)!YtJ7Px&uEDo_G#)r;m!7LuU-O6}<%-2Uh!!*Nj@_|Dm zbJ<~u<B7VO=_$&d+CAqS!fx`^7QEfeq1S;xq@M@Unfix+uDX!yO_Ec)JB4jNrY@N` zPIpuv9a}KH<!&5h5<W;vK`jX*A%S&jk4xY4SYJFa62<IZ`Q64G%bXY$p{YoqS110y zMg0Ba@Q1AZOG174z2mi0k0ZM|imzB`R+12#GZC9pAmXo-a#cSlldo%JZuC$2aPmm! zr5q8yM7!55*zkV(ALFl@;7MT+Wpv;Ho{GN&XS)IA)$aud=SJj<pEQxH#K08%<{5~n z11{p%xyaEo272J#eS{Mkte`$e<7x?t0(R!$f6Bi?7?98NuY`b&xgMir{rs}<qdPbO zu(wpE5BCoTflt`S$3q}?LGI*x3W&X;z1&9i5?l`ojL7T2Cyd|P=?M<O7YQ)%o7<$W zFM7TK$NPCJ5BP!6`HemV58O}MKRJ=Xm(eg+xPY^tfc&d_;1-&&hP<h-QGg_@c%rpT zTmdM|_ogFTN9|>yZ}m+6^Yd1`a7qpw8YDEhAP0^J+@%E~hmNgOO7;w=WaAj(fP|ci z1%G)8xdEGX^IkQeo!XsIJL%MTrN|i4#F{jue0nswojMwA{`B-@bCjB^MyQYS6-q0n zphAs3?xGN{YM$68vP)=Ik?``(TM|w`XeB?F_*8g%SB&4<;oS9^X*~OSIKMjMl%*7r zPH>UykJ2V_uo>vXdn7<h;GjBcxNd8{B{H)Lli^leW3}$#1TovLLxC$)?3d{{-=Ac3 zD4ZM~O!{qU^$76iuSQ|GKKs;mY;d$YFz!FqXEf0#)aNi!lDBxr7%QZ434e#=nW4{0 z!nN`M{}0Btdo3+$JA)Tv-VD^qT?Lo#NBFs-6#eR`xV(%cNOtS%S1V@?3z>sF6k%~y z{S&6|E%}2Uj1hz}b^Du2(C<>oKATjPXdJ3*01CLa?(2L~cQ3CjLAbaC!5=-UJTbtd z+G4#X)Q~|Zk;l4g*_x;MHIp9~$X|t{-{o)X9zy;aCEs>v{n351Q<bF?{NBBH{Jq4G zowP~0R5*6wNwP%N_pTYqbBF2&)|BZKR@^H}N|hFM6ZKa)ZN&p1ioJQamiC3kV@eZc zE(`|Jk4=$(i|aoUR9AhBiH$d4-G+XiwGX!}!@kP-S4RQzr8%2a8R3_GH1S(c*vn?& z{c`uNn}B5f%$Na`MB_TK$>yASxEl$S4vjEhfE@+?OdOvKw%dzQtiE#fi|FBp0=<_4 z?RD`t4m7UVeD8kTHR>|;(R1|kC$4NK>(9w|(6L+k)2;X9+*m&J#$f(nOv(~}nH`Lt zz@?fx<}Nq#?M|8gh!QSryHtIf+`&Wn2p?%AV@FqrF?AYWBfreX{XuhBo7*ROU^Fep zLl{l31Ec9D7{Y1#J{hli{mZD=1GAZ2(;Hcl+Ho___ZE)2WtYoupLr!^MmW|e1{S~d zfS1GKX&Ts)-`51EozjaHlJ!+py=G>9K2jJ<$gpl+b}Bdq&wmf|yf6shM|3WBd}Pad z<!_gS^ZHA`ChfXZ*@UsI$Bw?wZ~g$-xj{hWmqB1A_>+d-KllS8|H&WF;>21Z&UH8Y zaY)nk5EoMHi_H8mzcXt;lNZ_uH-Sn`Gn+$P^XSZKf?%-oM=#DI>iWY_zD(ddAWEoL zcLIsxwSG2>*FrgUX8dLFd3lRy8MwA5hzjlSXK$z3;;A{ky|3O?9&IM#q;X~Fb=FmS zVMsAe?N5UMg=uZSj4{{tvtI@QFLv{)klGi4!`c&E8@nA#6Tl$w%OCKJuhzzR>%#78 z#&?%woql7tVW|!F`HuzxJ^tAAsuD!_X=FW)bi*47&g)AsA&0^2BC!EkZ9L}Z&gG#3 z<tO_IR`%Sr>Uj;c!{n?4TPHc@B}ssnH~$s)lpl~XyxLiDbnM5UTXp29Lb7b~!HGA5 zsx)}m@UTY+t69jndDY}Y#r>0~usnU$)m|4zre&L?8i(Su=O>jFZUV{0o65FXM13)D zH7fWZ_nTt~sE<RkVl8a8K-~T6B!ol3VBff%Ug#zG*Jiub_R)pc{6+Ij;Z4X8ft75j zKn(6B4$Qi9f55-A2k_Kw$vteGfdR+t>CwR?@p?5++#G$ky<lAl#jWQ*EaaQ5ip?rp zbqa<uEKdf0P1wtAuSr#AlfP|<Y4A(ul*RdN!d|XfRW1!Iv%oRSPbQH>$J8MaVdLCN z>HgmOoj?NIk$!uzu0o;UN={Yva>S~5itWflecjcB7#MSs(FjFCxwz#>8JW;|RMeB? z;8CH;b8|rIrcUMOfUEcd$a+C|+V*!RM?tXto9cs&UQcf9R|p&KLIo6I!xb9<3mk&h zlkGZMU@VV+Sp5Z<aR1mR(4H+Et%+#!#9%%~hPqlV)UhH>!o6AGoTU(Q4EOXS?xIj@ zcr+~zJM1ybT)nQA*}K5$mb!`&i}CLxLsIUZz=^wT{y2l=XU09M;^PI?Y#i5dQuu`v z_cDDB5dePi#VX27Z~GvexC7FB>+$v$EwUC{;thoz64Nu7kD+zcStTK{JcR5PQkxzt z&wKWKi3t(WRZi2s&M4zYQZwY3`(x<WfwkZP9vssR`ViSz8a|E+)qxd1eq|Pq8DB>0 zdY(Fej`(Xm^Srm0Md7B~)R({!qXCWTF9_--eow>mp<EtEWJq7i(5hDpO=lsB@1>n= z4r@Z~$tD5*y3GTz%7JUpO>MX^h?+tM1#D1Y2ZaGd0R<EwVwE^+kYpeG#cj{I<)9QF zdl;$azV-8Qd|S%ro%psC&ucbq$WvE>ADG*kDfaD;>>Kj5W-_C}`#PYkT)M=q8+>WD zo}EFfdja=vpx(T)^0G3P4EMyaWRuT1IqEY#NpWOibw|ilSTQGC@EZE{sk=;U+7Zbh zc<MZDK4Ho(lC$o@1)yZllXQ#H6sDbkumL1OU)0X}X8-8Vzoo4P4(xdni3*nUu{FKF zyJ=xHvdXG>KGvkL*%M|T2_;b_#MM8{VDj=4^EG<2&{D;7UuwBe{91UI?FR00@$k0i z%VdYLN~n>{lx3O#`ne0h(+G#0))1snrGX|-kYnw+3!sj}D*&d&0b;0h+#6p@Oi!HK z0I+&gUu7<YMy0SnC8Oz5&4pif^%_%LPGk!}8#r0%eaE^z`I0%mI35@9{=@^~&;QW{ z0IC&Ez#A}If*jE9J@9Vm0C54%wglT2>7IA2$;5_VGep^e`x@r;oY?apjR06Z?*?BZ zOxaTp;`1gFS3zUShU2_3^{;#YHQB?Hbw3+ZVL#)jTuOg7rZ_py8&k`?*I00r)P+dh z>?VVA3I$+#m+)E9d=6WX5>Lb25RECO-x^aiKO0joerrtq?gIGPm<qUb(U?kB_|=%2 z!?<Wn<<p)wra};nsX*L|#*{H=R$Vlv;8=*@D^%+c7{RZ`)ZM>DQPn!QX@Bn9+yB&I zQWz3Bb~*Y6vvvGR`+(zU0gmX$5@Rp(K8=H&ZlZU``ntpV&3$=z?imZEa;31B`G%C2 zys~p`p<Nj0yVoD(4jAPd#_;-NJA=ynB}9eQF#idPvO*|~T`Dw<`qAs3Hh=}m=NL#X z{ZCm#QGeP1B+hLBP?^8D0KNqAfK+)IG>|I);$<`$mS?nyGvR;W0&p`NC*o55-38$C zZr2oM(v7+cH$>O~-kQJuWSU1ulH^qMCD)fPQs-Gr=60csJBh!x(uhdZ^CFkd!^pl; zEW?t_Lv+*rwY5IHp*-iIUWbEBuGX@XhVkk9eX~SX;=|FP`E{hcWg{+%@THrHd2qdV zR*h9}8JHDt3hzX<dVJ7W)$Dy?0)Sn(01VwE!XO$Lzw+eO#kkEtzpUSc>3|5t#Vaa^ zm8c<(RhSOuz;U>=7`Hg$_&(FY3q+i}xL64r;`lbxL9d8D3P_|E662;oR7jW(%3l~d zhIb11VvJLXg+WLEXaRVV1VeZL8U>Uw#vMhkhLAOjp<vuuo8;MlK>DlaW*O96TT%oS z|LAoWMdEG<c}INo6VPTuIZu<%C3kMS3_5TR?^=jW#~ON=Z_r3S#5G0_G#b%;xv@$D z`ehdZ@m#po4zC%masG4w)Rype-4H9`4ToL9qv$V{$eJK002e*)y6y23I+G*G-M6|3 zllNdbBmm7i&Z;JkU57F8giFhc>*|8e#eL<`zy$!g+;nKonBAVyW>G|T;)hdB{-`j? zK*iJ{_m=?xH3$FuRvO+g@8=jgS-4z2h;X<t0DR~L1^~`~;Q&BW*(wR*8UTSFiwfwu z=LP`0-q=purRDXSRT(&C3giY-I{bbL<htrtcm}Jz+s;ke*dh}~{-QB{GW$rS1d9pp z2;3Jc9})z9uoudjzBd<||9+J7bSYW|rM?BwEBEq5&#)-Jchi`RDo}pw+SWRY_+zBI zOz;L=9Scf}203o`dkbIngV4czvqK0s^M%ok@&}LY9c2`rS#Ite`r8Yv-qF#Bi|vU% zRI)nhRL2MdTYF6t?@;G(X=j<cmNv6=uxOu_wsS4+K20Sa*fBjVJ>5K>^FH&N3KJ?E zI6Z2Iqz=H&wq?&&4fR-A7GKZOI~0t47|ss>yOQa#7^!!V+r4}#d(sBCu!fL<p`V{M zgi%9pvTAJp)AS>bXboSGfp~v$>IXdtp6`i%(7gCqzbpD<>ikVhr>$c4NR7;j$qq?d zno5B@vdiY3>gWi2f&0d;vj!+d5rWcHw7TIz6Pn5xey~=i9yx){0)#f4Z33GJ;V2M- zdrn}JYujA$6jf){eL~amnih}IS%$%kkfn=BlV;wB_J6e7hV`@C7MREc53+y~Qxn<c z$Ucq5NL8QpXur-2OJmwt@w#>Xs`b6K35QP{V86#NtA0chTyS^nFuc)<Xvu1V3C`FG znrhc_N8q~jP#5IA5qJ$Av;+3#oy|iB&I;k+r=jgTkJA{I`rYrm<8#gKSYSA%y#55K zTZb@CZc@^(`l|HD$lmD*lrNHv#!Dr7(pkuMjNiHC*zvNTtK3oU8<TA8<u!-D7T@+| zcjz*_t2|2t8fh^N=(@#x=_J!O3;g~{j}|}^3%k7b-72_<+(zCkoi0pis!Y$n&(@6X zMMVebe<e(U{#QPtWDH7tE1(oI3QDgLC2dgh#v}wcQ6SZr@zJV<<WsWd-8t4;Wq*&L zPb{RLuC&nUW^!41SXw-pVZ#%YF3`*Dv|?aPO1;McxEAd%dybLANk;;wTs@#Ew!YXy z#02ZG?ra(#R=0fNB<SLNzaeVvXrJ5|P%h)CouX;?da9^l#O5tgtH(c(F#gyi6U>WG zl-`3KkG6u|)=`fHR55%Ja4i>D%b~P8=!&6i5l4XRc8oL#W*S3}77eUD0WdFa<x8+f zq*;68@yxq!CK#}^wtW@EM}=-`%fC1h(t_8VUpIaKy!m$j==@7_E`^6%t`n=eYmS#P zuTW|Req*7`0!@D1aGLQ`+r8lBduLPAXSVB!tIqg}p_SMj4075ru=q}II+bgwi}N1` zb+kGaQVDxnjkl>wJL@K$vV6wwtvco!^udU%GjHIH5a_j5ywv@Ox(2#pc)c`&%2!Hq zQnenKEF;_ppk?N*@Tih~O&O_Gf|IT^#@~H&Z)K<b&E5Uj*f_3mXnQQoe)IbF9%`KQ zbj$VkYL7TY=run^X?`3O`z`B_l6g#z^s(+Oq^1Tx%gq$4&Bi<;*%LJ-G(_0nW_1IW z`Rzc}u==Yn%b(^cyAiA^Wb&}|E0E(7J6$!BZ7dl{dbnJzk<N2u{h!YIqx=_He<1sv z0S^nl8G&;TMoA${Df<JoqPjm{{6x|jbe%**<AJO{@Kv;zZ{<F6eSqkLp|-)0R>2As zkvaeF0JOqLnQ|pS;GP~KNzxahgD38ETTdrJ56oS6r$71d5cJwOoGp$(R6MwR?JgmD zhZ!%5LCFXA0w?g|`{3U8)e9}|2kFmF_!H0x2VJ*ruQxey;8qY}fZz&!L8iv%jlPw< z-HYbiHt6z!UYzFs`K@$$)FILUW3?ZGV{hs<voGL7A|Qj_f*&q74801I?F4__%XRs~ zd*I`Qtz3R!-TEQ`5On%*mO6&Ie&zr9Z_o;4*4ewVq=s>Y*@jT~1Xl}0J)xW4btMmY zK$C?pECsh+NKsOM{#or3(nadLB%A&c34t)Fw7f`bIRma_6z@m$CsZEc*XrZtQXGRX zwZaYRp;YjWE^Ml+uY%b*K6jHSpj_jfMvKm4fqAjh!=cZhw~%hZ`JX|VS(Lcse&+7c zQ1<)+TO6t0vO5Iv#jt}kzV3i?^5u;S)tB#A;gN`sYQfn;pv*|5@bza<BDC|pOX2yP zICik*Hm(A*GsRmjL+BP_gMn<%V>>Wm>w+++ZKIOI-P4n`=O=6PF|oqRf6p&zF&X54 zP<W~+n4KRmNv&LcrEn}G=~92m+%x$p1sG{x<mULFc2^lZ2FWGSQZn<1<Pxs4?u57E zBQR`}wr(m~pDP<XwtE{EdrryKpTZ1OO2XEQb`{4YosVhdCq&2E1zRC}V!i$AZ$p~v zTN@8TgBZ=XSaxhvD=Y*Z17%_dpN>5cGL<Nvh1`F%v--4eG4ZL8kh2`^fystMq<XV8 zr1Jw6p1=?MK?8jrNCj&}&YmJ>U7s>&6cXlr5T%GWa#qoM?X`Ke^lWgMyk89t=l=>( zW*5V4xf|J5V1IfIPbh~;UAmE-CAcZ%7OdZDy0Oeb|KygbW%awjXuO*b6?ZbK3Gyi5 z)u=c`6mxnneh)_v`*S!tygD07$~nZGZnjMC%_OrnH-gKy?v8rts}os_Tfen|a7(xj zRUK<ql7-lJfTgH`eJ{oO-n^{~8NUU`$pOv)JQb&1WJ9OaobGVk`pO}%=h`+P0KNJ- zNrpv|Kt{)EI>ZDKeD3)xAWr1b)}H)gZc=o7g~{5#Yu?rOU4MKOBZ=yzC#A2)Sy9m9 zs#U?Xp<(i~Z>Piz`gX+lu;}D6H%Z!=N7A4BE(xv6)T2cYI536SIqA1`wsh0A%d34F z@5`urM3ov?O??vHcX&u1nBRZ>_)6KZq0d|b6_lZ+JY0|R`r9hACHNO3@~P6~HR@jh zah~VF=l4NCT-;SeKpf-GfH)nx=^H--;*|f85Z6?!9(vDMeI?@^<}`>rZ+mtgd7c-E zWjK|Vp7Tkk*bk)1X}&p6lk?W3PXttpImnY!ceQ+`BWP)?(|?83^SgQ3ZP!XxxOpxJ zP<OI>L{aLVUUief{#Pbk$R9G{%=oxT)_0S48~kIWMo)L~O=P0{o9-6LHUjK<&`MP# zKUOaL^khcg$(k?s{;d5EzC=Cbs<WL{TaG~D?bTt3-QHe>?9(kh!-^9JyEs@#&@05Y zwQ)uI9rWj@3JkC+FMeZH=!Hfbb$8;r-?=_MSWM3xSk;;vJoVlw(8YE7d-nO?qt6G% zi~kmVF69ya?@$%kA2Z>8XH_Wi{~N5z@2E<DxOnQ{9VGh?Vr!&-7*&$6ZYYb11zj3U z@*ox+GciE8M(*!%3fN4xqo;xm9BHjn&+Q}*h=n@^A{fk-gQ$Iop4XZeu$IUoO{RLY z9OFP;Z5Qe~ktXNi^raAE*l&%hyxz8D1#!{nliWWvswxTk@?wdPxlmZbTmk~X|A*4r zSsk;5wM&%&F1^qH<nE|W>;4`v<G>*k+l6}VxVvQW+ueB=?vabV7)|$*rf4^Doi!|5 zxHn8)>Bc{ojD~}rRWZ^NxK$MNAzZZ#f(z&2f~pFtd@XaQcbf~`zkM8N^F5TPBU#hd zeTRA^VCzy-;3rWd-V&NSSq9YU>m<Tf_gLTGMK!AFlnhH+V}goyj;O)suy7P%IrcAq zyqJHW6wnzE6q!U(yyR2ep(C{sHM-^Ab9t9jTPOg{H7L9PE$AZ6hH+hG!vb9-Uzjza zeDzDk+nA9ln0OJss#_mj#f~W2Xk4c)TnowQL5#VC2m0^H<s!%EpYF&DCAtJYh1N{y z(5?-yeaGH%VC`kCgjGD85jY4oTOOlGNUkXJyU&nN|GHD;`&tk2Zp7-;*8Pui28EE( zV8;ndxp$4Z?!KT46o0Erb)yUa7)Ri0IbevscRd0foMIwFW_vblS1+m$T31upP1sV6 zzQScLb8sDRWQ=<Hf!0zP@%u(+(yLE(Ly96yLAHZH6$@66?7P9WTOgv`=&IpY-a|c5 z&iV|>zXz9_2v#=s;^`oILMDHYEeGFJa1#*@Vd4YAA%?n~;rFSbu9QCZkA!<=iJ&`i z=quN-yTs+rn=xCL)~9%%8kCGuL$i=z(lh8B3-Xx1w6gM>CSoq2cs(xSsQIdK5VXp< z1HNc<Qyc}rDxY6Wls0DR1j=sq+z?CMm%_xrdpROMyZ?qCT;!((qbarX?Um4^_wIDo z9%R<}(LiCRg*0)vd+!^UH?)-2{^r0CF7IHpW%)o<Ciu-<=gAnY^C+;bC;Wp2L#JBt z!8%fZoWFg4zT3vLN85%IYA&&|zVJ`g5bhiKsuCL~6|2`Cg)LhbxDMuGttXRimKO|w zjUkI{@~VmlE8p3}(QANMT_97#XT{bFrjKq9N}cCGBO-p9)9EwN!$MoUv&d7G{*-Ru zEYpTfjsJ|zRqkxlc)IU!&f9NgYGrCRXxJpo?99f|QfquJXqmdx+;zW(LGVD-X|-nj z*uwE-Pw4E&c;JKGsZbVGvrz8k_Ojc<X`4+_bD=4-m6j#NyS+7)@Z~Zn=9PhgWm8*+ zyq5MK?ein;BcpN7a9vFZgW6-Fv1ONP-dM4Mvb~X+7ZzH*K`K-gnbbn}mzv;{7NOud z`^)NLPNS+>-kKNROLrhwdli9PT_-?zKTzw2?-GHMwJ^}EFEPL_eF*VN2gEBQ{A?VX z6?xeIFXELAAzr5}d<yhDz^-Woz8ap`g;>PRjQN4Is*RD;W?Tlf@fN`Dk@xKTCt)%D z!kh3s6)4G7nZ{%HSv?B=_+HghX?doH3q4_P;j>zh2k<ySd?;MY7J@}7gIXtp`b`FP zN(OZ+5KYUR;`go2c#h#6S8+(;sKU@W>i4hg+ULf7N)NaR^_<1)TBy{q`75G{_a|Ik zjh>$6z}G)Q+29KyI5@~^`*7Y299nETxSx`_TIh)Q^>=XdYxp<d{uwxesF|p-dhncj z2nou*i!0px86Ya@e4xtCtTkABTjxXNlI8jR>CGQ2aqgM#?NUOGi13h0Gc~SEYc7!! zq$?)7wi1x`?_^$crEt@tX=ZH!1{1}rHp{}KUnzxDQYo&U;o+yb8Rq$RrdcG5KgFV# zms*+x5nTR#z2-oGz8QG-l}hJUBRf2g$pV3e40FOr3NUtms$jHb$wt-W@(UG=L0u#d zwk5Lx!kBXulmM#gP3?f#yvz!eY(_w7ITOGg+^V2t4oXGCpj4j?O1nT~i<^Mb&Jen; z?Yk%Jc!I_`z{&9~(m&6*kW=^c3NV=L$5#NL<PHo$l(cb?V1WJ1rYCggBpb`gT!H5F z7@uI?b)38@sPf@<iNv1Mv1tm}!fd=}XX(}-RIrv?^_29U%Q0>dSX}QRoCS;PP2&w3 zRxkL3w60etO54GXW9otI-Rt2TKSw%#ZfK_Ur3x&%9m6QUodX|AgJ2cX{8W*Nv49e6 zxQYNigiqg#0&oP$X7oqs9d-695w#VhLA)o#_j4F}C~06amFKpQd<OuIob4-Yo{Q_` zR&brYrl&{@jMHPRxyWVJmTKSmN-2`Hc-7C6&zcxSs!vwet_@iJw1=V$A8^10#vnS# zddTpXV$c`F^j3MhAT#GLx$%o|O<jmGk`R0|8zNxDdoPwZcEJ*CJ?0L!1p_}(zT}_O z0cHUZ<@AfcgrENkcy~GS40#AaGh}|IKVaVmLh8;x<i0zAOEjJ5z5@kk2&gC^@4#g} z;0dZwB6!sqwykt`5-#RbA@Frb_?EfgtPJYm-gAm$P<Itv^?G>RJkWwP_YcZ8&c7}m z!*f1s?T0{BFgS(?MUMJhh{IYSStCF$(;WnWfuK4dOd#tWtSzXD9Bt6P0iutjH>M~7 zV@ejRHS&;5N;s-|ZXRpO+>B_(p++i*Sire|uk$&bsK1Q^xj#D(Q^G|idjQB}k9GG? zsFu)`4!~XTpQq6^bW37SLBDU^h|Q&|b&8`a-2pGHhcY3lz^Chh6#GBLhoJc1_aRhe z#{Z)aVbBpS^9X7O3$sfv;T!eb?tW#x_4Ra%>(GcV|27ONnPGmGAwzslgpjq&|EtXL zR7vPx_Pyfp$37cyKzJH53s_c@UQW$ZUpGHGBGVP54oK-2af@emblYp)ygr;cYbDk> z&b1FA`O$(eoAT;xlkYZ<FRn|FLg1{<i<ny6W;qjd=Wr|Ornbe`G!g<TJ84Il2k#mp z`HgFd{~z|=Ix5Pw@B5Z+7+N|6X;4JEL<A)Tq)Pz->24U21_6;6l@bx@lI|{%l9n!M zhVFQe0o~WW?rT5y``piZ{(IlG+>1GnInHxtFV}K$e16}6#e64VLe+hw8(dcXo!}!9 z)W$TFXg6k5{kD87X&d=@{p?}P<FGsU|Bzq(zYx*?XMFYlL_`n$M-@Fe`rJhAK<&Fi z$IP)Q@mF1J(OYg`_B#-`TNj_>;n1CLgLTQ(R*^Os8X44)KC&?i9}ntkCn8$6jB5Yn z`pGBZT9-+{H+Fco?Kd`7C-#d<zmGaqg~$3iGL-e5{tos-85`Y&>Gcq(K%E=jZL0)d zdekq@PB+6YJ?fA6k|H<Hh~%!*h>?6v$aJi&Y8hphKX(4p7@x=8bk(h7wq<0oAoo|m zUXaINp#3YWM6FE0xjsQkE|q^MR??Q~|68$=@!y)28U?mbpMO%GYjN`}V`Tg{i#lOt z{xax+=NEK7bD>HRNd#VW(@unUJ?cH4@Y9*Dn;Dk}kIU5RzYom+C9D_yKN8mCX)Ic= zrQ*X10tiTj?6>`IV$MX?D#DV4;8in!)izzuzQ;o4FKe5Q5VcM7h}x#Nwzpy@pWdu# z(1T4|6WoNMm_sO#hbv*U<`5(C%bq19KG$a38P}YqxMDl*g|9d{7t=N|7=kW(G>Dp| zC{VNX@ZV~dKK!X!f^{?Dsza}Ok^W09(yM>fBE8_;^mHmw+I5cLOfF7&2|~RgDyOO0 zZ?^SQEglu{DcWT`Y5rY{1kMvdJE?=W5DiF_e8E#sA3OpLNy>>hvjSSNQOAVqj;F5< z(a5Rnr(D_$-t>5;_{>Z|A27l@f}C^@eqnM=bm@~wVbPFz;WxS@*B0bkk;BLt!-Z45 zmjVY+A`u4!yeDAvjI0kv&p{Dj^qkTQX30%~O5oH`SR!fM;HSNLXLouHjE$f92`L)a zI!S#joC(rg=hg$KfbTWEe7`zB9#V$o<XR!hi<X_ic?J62#Sl0d9;Hee5B0HtWotAS zu>76-8CZ4yDrvlP6%LMYLO2$J!0=2Y(7zNL0b;~KC(^$iE{4R1fNNr(<I*+3Q3Up4 z(SvWQHZyI8F!$rCX{%)22cuo10Ld4B)gt}wMY^m-%J^{{a#qu+iYP%k9Cp4BjwHoC zjZnBw0!RxFzHqJ~BoF+LZ15D21dg%EUAYYc;ix4w1?-<?fRJzGYMd^_m>DP7?FBgJ z(1LRg<{AS*Z^@I(a}F;OJP;W%V8(jBM8tVHVXk=TN{oh>Frzsg5s?Qj=VVwh4!(C? z!~km#(VPaahR*-qh=g!sfO4goCeWlrgtT`(qWky}>g+kO@ATgqk(g_FLt$R_ASc|a zpquK~V=i6Yslb1=9%+1!e0T6!A{)&}GyZ%Z6VZBvr+<iWJRBiX!5@M-Xr`AxcmI$1 zrq)G7S~siMw{#gjU2&^hh)rpTO<IUe&-}T4!KS~J9`&Nh1J8rr1Li3s;CZlsN-u3Q z0@H&*%3E7;V0!3aaJv2GCLKS<;|>8TMCTEt(g}=oJBK-r29Q_~6IlW&@}K70&nlb| z%}N6xludqguG5GQtM7TCTyx6(cM;dWq|*mdwn(GM-nP;9@ahO*WxV?)1RdXGe0Jv$ znGiT4{`ma27O0_MJbM|*mU|<TrxxL6;6rJ<V^iqnmFW)-fr!eZzA!p2tdo!zy#e8> zjhCfI{})f0(0@K={_B*<!^;XMtld~xS?#^=weI*(K34(+p;auIMJ|5tHNS1ho_cU? zRar*VQd`ok;4|pK&JpinX`$8Ne+PeR2wFi9*=PRvb6)OANO%4A@*j6hBLdH|6s&q& zX=X=yd8pA4X=ho_R%={cyoI!LZXBLZ?7#Wk;asU*XQdTz@Z+`H#OSIf`RLEe5rZ)+ z7`qr`Pu0`L;Z8aCN*hO{{!k<x!`@t{ogk>lR-WD8)%;VDT@S1P7VN+ZaDe4s#-a|a z02E<hNthlo*<Aws0Mh*61rc~b!ioF0j%*?%Uzmh6E-6f910uo4eNPcO{}i3~y874L z#aoAoIraoD(RVip$8DB{LmC<E1)H_MHh^3-td`tHG@SsPc@ph57>5L;2IlKRK#ooD zr;b__M$d(N9cch2#D)9*4wx7=zD!8m8cOGjD|!q=(VThH`&<AG{7&1cl7eF{<X`eC zZZ25f907Oq<Dp#@Ri>~$Qee_A&cD!v!Dex;O!_Kh|E)<sz|-AzD3CUIY|rs@%gtlI zM^d9pM6H7l2LnlOh%3aNdoMiXNtUX_LJ01v#}Gu~FOxnoduXNeN8Dc#$=Pc7q0k`A zCz8clEoM*3e|+LWBhF;r+XPa{%W39o^+wBErUZqgbMHE}NXtP!TI@O{^z|r;XUjWL z^J0qZSI%Iv_{XI07pcPrjP;dZ>5oajDN>Y&BAc-SEd4R*`$bZ5f?Z``>5oa@kKhD2 z@)=9P(jSvPI1?g{b}zuu?vF{I+N&3&w2{7ta#0u~O!|I)j6wFBw;h>{_3`RHzaDC^ zwIlPa*(6Tp=>E5HrpF%8_%F`Et%1g0ESX!<(EiJb_<7(@FzY;64&4TC9?ZdKxrB-m zleq~EFV*+Ii>W`r!I<%44z2+-{y>^P3r=bxlIKwTWiW)iSk77l?_$jJu*b%LqZ&*b z9M%3c&9w0VC(Cz}SJTW4oRohXW{y_>eVD1b@IM=7qO6PJ!}JIt#z@GpdP0aP$v<>| z{r_jU{|}$xp#R5bxT<w8tA9Mh{d-r{pXLIV-^~RfqCOOZrQ3hzf6#4sB>cSKzf>3W z{j0j*cXNTtKQ$MyOj+h;DI`8zC1l%Ypwkln7U?QIFbb!5-`=z$<5}hzO~44Mt5O`G zDe-wnm(5is2f_DPGyB#wos(9Edk73;z%Ng0Gf~z(qMInX`oAQ`%IeNdN%Ffh^UgDs zvTDN>U@ApPd>WA%ZBF4>QM5pOlaR6>60GSw_?N4|6yYl9$iJV^r_+or>`j4i6<B=+ zu7X{imt{h&TcVWi1hQGpx4%%ozvU?!gl3e8Z;3+!YOm06?j%P-Wz<%e6dse&2)Zq} zMOhCy2z^?#J(YDho)2c}uehksilc5J8);)}bGTikl#4OXTG|6jufEJJINf`bnl#}( zaOUrI>xDdR$;`F4W}Gmyt<(F|K8?D??_L}0(JRxN>y?nAQyQ+v?&TM^92p7Q9QV+x zsDm`xSuq6_W6gm>F3ATpRi7e&uONhDWj-^^qRXgzfb%B3lKA&l2m6~n61(1^1s~2x z6H=EoFbiOHd64V;7BYVyQraq^UHcxd2!@o%_aviIjA%RmX-L_;3d75XFhPfmJ$cdp z`zhtKkxcI(Fr{=J0yS1(O6f|sayg~!YyPXo>ZSa1T(Y;hYRem`*YpK5MTnQwWOT!Z zPU-QatP7NCP*Tg&o;!4w<6H-!@Fl+lw$XF<Zy=Ye%eO#5nN{13QWHlQa`+n-7!vtM z(9vv7gXn0Mo?L&Rf#_)Flc(_t3#poWJ>LFIL`H|JM!chGqwgR!dB|D!98Xp|Y}+T3 z+L{=H2VtZSeI|Do%Aj(l7;Jtw%aa97TdT4Bg&4=<$tj259R%1>{q)7Jf8AgQ9R!d5 zbP%Ba)j_b2w%Q3f;`&&GDNJP1MD8v8lYV)#$|$`!7s;~rrq(}J5d5RXAXh!pE~@Lb zrP_SqLleVR<ghihCuQyP!V(jV@$ZMfw42Tjf-*^kNfrkIch3w0Cc4<U3Pb_H4t#wx z`%_JL!gR~eNZgpINt@`-{L&GvcpIttvW+hbWe4z&+hs)8UY(}VjJ!gnD(un=@6Jt> zy}qM?+F=@@Yd4)Yw=Xj}edOiI5ph{CaQ7)yq_f-UZv#OU-*tG>9Kt|QzupQ34Ff-q zdCdd{4NL1BcxihEG?Wp>+0JK`u2D{!b0Oyk(Xe$Ld8f1ah5EN~=^Ue}W9KyNL9QaD z3-9ShHLTvhfkVBkXu{`OyiWF;CeF?_`eD|^BR0^<iABTthQW#9szxodqIG@^hAc2O zCHre?YI->}g&GzTYpz2sO$3G&mnH(M*tR6qF*lATHJqyM`IL!742JpcPW<zB4drrS zcbC2L($^RtUQB%1=QJk78Zi|1+f^gn&fK^li0yZlQkUoNUtsz9y8G=N#aElP2Hw{W zE(i)W4Yg;}C(e2dB|cj)ikX3WX^#>|k;oNU2Ix`LUwHr`C0-xmrRR<?r{=T#`%oS- zbdFYTHTrj1WXo(Bx*n0!U6gr}TQA;L`<IL04%S~T0tdYsa{2$_A~5#)7UXlc<(Bt+ zeuToW{s32rr_8FJq<tJcVG8T3kj|!2Xr>S#BO^N4Ya}gOV;0{9t4@yh@5eH^T=?6v zUVy^s*03#P0R`zl6$77?5f*{Ux^sX<;-H9#zFwLGgo^+&07k7QasK#PZbeLp*Zv#d zp#Ly-1vA(y4?%WF*v8a1RJ1`9GT7!Y4kbp7|G-(i4hM{@7Ka!5yV6UcZL8U5Cxh*q zxABPuPyQA;p0&YOi@yaEOq@nAf156|u>V&uLBmxu0agDiL<_dt`@$XkPz=~9DvRO3 z!iv|Q0c{rk@_RK`q%snTc3OUK9wL>ks6+JH1CMr4N5Fiaq}CUnNW6{b1^0gQpFHI{ zYTl6=CXDwEp{UNG)BCRDGb%i8sm>&>Rqn5ojH{eqYZ+JBpNTy$LaBbgZu!C7ESql; z{lm2nBC}<iB16yQvxQ&|qi916j#E`g|BhXrW4&$4G-!r=)~EJ^y`zEXLqo^R|2E70 z{tfxA_B!s?Cr%eO+&Vvt;i%IQpEg!$DLcl7+Sb*~zTQX|s=6l44_H>Oo^k9iuTry# zgYFWPWgB`%@zm}qb#2BacIi_Nu5(g$AG0I6apXD<>}9<G*jdGozF(x21}<?2SkD4s zdoONRapSEEOGEoz9+B3G>JJjx+Yd~jwAjhZKOJOsD0FtlA`c-+!|aRpbo7rK%0>)% zK|g?~_2Mi}D54*L;YULA++$B%pTG@mFnql}MErtsC%_jt17OHtaZ+Ig0bSHd!0Lk} zgkPW)_ys&413+?B5CCAzQ{9h+(5F0LC|u(_2)GSS-pokmZ9|_1L{IfDB?$O$%La_c zl)nj70mx^fQWoK7Alj=_a}cZhy@-MUM$kQwoL3Lb0fq>3!28@X&^%3K2KuNi2oUV1 z6M=NTN9Y4~<A{bFKDxJn0g4B@E{y~@^)4My;3Tm1kOt-e<Z)mQ7-YXR2fPUYk&BsL z{}8zt@y8rMZhd7A$hP=n4v1yHG6z@yvU6n)aE}1y0F!H#!9kZX6`-)~?fg|?+ZlKa zh^atxgTkI6N&(0|$_Ikyn4QfdO{SS4I1Gk#wKPb-AO`6dXn@KrAz#V<0h9?tx`HzH z1eZ|egaJSqL)0rMqX@Zl0stsO?tfKN9TR-{*#OF1H2x=)k%aP0uXvuSFTzJ3%G>(q zVv-3z-v8BEv{B*2@MA2kVz3InM@We92Iw9Ls<hoeMKlD=oac6Yavq?ryle<K9|~h? zFh~b0uc#|88v>RQE43dxr0}#9AGLBm`sBleMVk`Osk2y<!Vy4&x?5pg^N>i?<|n6c z1QbfO)ka|W0$OMDL34F(PRjYm&H5jK?$J{}0?yg>h<gjweYl*4?LZ>{SG2xEmGH^u zv%BTq-Jez`eLwH1f)ow%dk-o?3RSDm5Ke%<i~ushwnUZ^vLA^CZ3caAt?I_{lk{o? z9HS53FB2<GmE^p4XF*6jJ5dvw=X<2c_e9_3OmSeUf4=E$rd%!e!B5aK*C57+{jnp6 zSsd^rr83zg1(V(w+wm|jt+%Sc1P}^L0BngtGPod9BOpMv)?5hiBX3bB76{-;gdju% z(L+Zl>NJH9t{ZEw_)?mP6ATYrJJei-YzT=sL6vdA0%P@C<wUl-AojmY*a-s%to*2a zo6oTTR}nuzCH1PqQj~J4d&E53%b*~O+ph+>A8z!?H}(1fABh(a#$PELW=Yc`Ji&~r z#-L+ct5s|ib&BO4umg1CQg@ZN!KSMy|5E(FCh{UczEm+lf#jc7`W5{8AIbkN?aMg- zGwHia$3AQ`^(x_>pD}AGm4U3`GE6eaY}#@W;I5J#K%<%{5Bzbx(hz!u%L?wuhWg>O zzbP3=--CBDmc_mlD(i7i!b`jL^A?)SbaShgM>S3`&2p4svp=CZl^@iz`y@EvRZ-F+ z9xf%$On2pz@?=ap{PNw-)-UdI3ucBz7+NVA+6b(YkL}D4R&qbd)2{wG;eE7UfCIDx z$v<-t(H$)Vg*#3qzM5ueJ!I&6dk0f4EIh-Dt6eX2#x;j61kM^N^}KU=Bn+n#5)FoB zl`MS-;PA-}B`CXlvB6kSB+PqFd#fQwbRLhI1Fo5D?!9%~3jMtICdffH>_~MF?JDeJ zsKp<{As&Q%e8n!rI|X@`YmJn}LE?e?nTo{1&8G|Q=+p`yp#j~@=7_Kli@pOy*oSV< zRoI8r(LaQJ5dTNohi@J1*)YN06ZyXINDWqfYSmOx7x#x<m_nbw;CdheEkXE&3;*vx z%OgC1w-^D((yj$~3!4iNuVien1O>$FeJoh|$q0fkXu$?OuD?K+bOr$-^7FruF8==) z(v>S!LlRsh8T5DAgHQ(=%wUwD7Ma);Smki9!}#|ImXSYllriiRC?P>9jA>LOzW)w> z{2Noc!}U*0N$?Og{cYigV=fA{>@WJ*`-)Fq8*>}0cNYKwJCFsL60DILZ-4AF&G|+Q zX7`o;AUWSv^>*uOulx0EqHll<xj0<qKBi7PrUIEe6BMCy4;X*?-6au7-_=0gepa(R zSh7Yejew;)(O}60v7`=`@QK-58(7FdWF*!sklTBfn|?QZm3ti{nOqM<^9KOV#5IFH zH~q2w8MW7kXB}F}-j7vfl*P;uOeq+?$N6_<Ksxc0gk@+_WL;Sah=KGvF82++GjXsb zFrbkzTC1E)_xSHA5-3RQh%x<?ke;fkr-c5@m5}|nK%V&o%<wVVrx^4vRqlR>H(#DU zNCSWgY30)~07E$Q`9d)<qqL7TJ9yYq_qM2FT4>*Zgo#n;2@umGZ7(*11j&o^)e%6L z&LbsA4OLC6B=p~1=@Cd@zUAFGL*{t1N(Bq3@#|FinU;#kd3{YZKQ^^N>p-(;=yf`^ zp<kTH<@YD|aR+(VJ?rlw5`udF79!EcMsOJ-0sWt+NKo85PpYYXZ-+kBztbN`^a->t z^B9<%CrwAc5Y?8x!;yKj-9L;kI*c!#HOe4o5Sn!(qt34-9(ZJ}n5WC#ZgWpQ;hq#6 zn$48Rep;w3n9Dy|rZtn>LTKsm&PefqV+h;slXvLdwiXYI)ET2l#`TWFI3SasaOJpb zmJIX*nA2-ViYR71cPRxuCwuBgIUb{T8{qNU?SFgiTcinFr@*BrW4?|8yDJ2J(O4f4 z&TZ-3po>k}ZF>O2ZzB*F@tey8iUA-Wpe(=oDakp;15z3=8$cM$pOlH7cJK-$QSf{O z5(UggY^%3)cj%O1y$uku>)=+qoXN^3hESk)2qdtXx_ZnA?6xE%R1v)U^Uda75m<x} zVt4|@#c`qHrL-P&-UGcn&^x$KUdbPDF4gPU9*^B7SCppuaj?MkrtxUF+f^_Hbp#76 zg$n2%5TbV%gx3C})Tk*!WD!AHOdufzl=r^(CbX$M=+8-%cb_Ks5&C=%Qor8Qv)}|< zp#s4YI4~S)Tqz`Km@gkL1I#KL0zq5oxz#!kyYB|n<!CTl@B_K>8!W*Ma-9@zpMKS= z(D%1q1y~1SXBcb`{Gj1C9;uqrZS*1dicL9ZaGG>Sdg1%C0}Mq+lvaBr*l8b*E7W_` zbZ9-<B29VhS_JDD;mz;;LNoA*MO$0(b&aXXx+$@osk7>_Zdvptv4MQz2I01Ro!C-> zL1WrEf%jbTZNWpy-`dnmZ=W`O(|k3g>r7IAJVdP^=d}XzE_nY--bFkj@4~TTSrp`5 zbp4VL<|_>Lg!)<w{WL}eL~3$_mUhD@f4~)CHh0;s8A|%58xjeX#`bEj8oe-sk9o}T zzb%E=88RHUj-v5jcj&H|dt)rCr5zMiElEF5)4tEdYb5WxX7vVddrHDZK)K6QQ(ZGE zl<(cO!nQkJ?de(BRapc%$E-Xq!5O(zp;r=jRG@K(mqFwpkeASlp2@2HR{I;)O;gF> zQ<_%SkPnP17pvViuZc}ueG)Z2#DT!XlbT}np|6!K;*+Lsj<WE_tG8s?JfKu4u#7Z2 z2Im(t?UwuG>Gnl9u4?wCq*;E6<6hp-vEchvK8*yZXU_*N{pGrZDYQuAsWmLN5f8wO zoCcb*n3^Qw?)W%swQLnr?DpPxM|`T9ex1IoX@FH^M|{&0#OtNZtVJas>^I39B1aUG zKBe&a#cM}Lwb6#x=E+Z#e6ZdaZiu+QJV{cE^quCPJecN3rxc$&iNkm`<~HT0TQdu~ zpLND29Jj9>h^6E6oz#{I-%1@lz{0zg3^Rs8sG+ZhZ>Aq@J}IO6GUxVn(?($YZa2@~ zHl;>i?=KT;wI{f0tc6sLHusSyb5}-saC|tB7fmFL=R}w=$qd%J7mWPaUQ)4qZ9+AV z=@o34)PD8Wk3;mZQFc!Kn(|=zCK$#?(Rp+5h}loZH*vKPd<{~ZB7Dd$PDDN}lBB?0 z?@G`0PVXfgle^;Dl!~?klG+QTJ1>wNUm)SUK$3rfB<00fGOxwyJMh*xlUW|^%@Azg z48jV#eTVQFR7mqXn<UQ5ZkjDdg!p&hdUvYcGV;zt!Nqwb!ik{!0ah?ck|G0-&$Wiv z3hgE}%{I;Jrsd~Ah{!{!o<{8|TMrs6ybXe+V7Gi0#@U+5m%#_eD2$V<gkV9LezwNI z7c8KG1q!fWOF#SE4odx?S$>uLL%u`|<1F;K-Lv(^+8b-ajY&7w1RH(kR{6U{;FL9^ z6%6Wgj&rFCtVP>m2RSZ*hhgjcB8@&6gEjU)m@Uwd1h~X4NgEoH6P+{lUy;?r^GORO z@$lo%A4n_~&@ZJ>1@}T79vRnKGC5$G_M--UWi<88%r>8jrC^#8)DzdyfmMd?@1;WT zZR5qIr{#U}F4BMF<;QG**h6}q$<Xec^g!Kpe&Mj1c%^JYpZ+w$>tVWcXJEh&?{TyS z<dpkTWha&*%7(p~r6C+--yi5BN!U@XFtnjDtTf87eyH@i&26aPa9_rp)C1M&UT|dr zQuG&YLxnC)%}q=)odDW5`jAiDR;MuDSCHGBL)L758S*s8&S2wr`=^<eUXDh!mB0M5 z<!MM<5Nm`+V9oNHmoqSbbeBN5p*jH_r8A!(-lXn=pRsprWH#^aWBQ8me1)?W!^KJ? z10&a+4G4hPI45~&vTCMzcw}>?MhSfu97p$vr#;I?4k@X`8;2>Rf##sl$H)u*g;CeI zsqIjBH8`x1WJoy%KJnl=ht(mgp&2_6OgA2f?9`04S_R<IEv~NJ<i>`oX-P!Yx+W*& z7R=o6P9$u3@C<vEW$1tw_bIqb%g{{a>aP777KRaQD)FDg%d&bTp9&anhdg;USww|+ z(%NbaGdDJPP($rO0k-b5rnY0@u8_-*&w-02HffA(rqpHyq!jo_os}OvmJs}5TN|r0 z@#C?#dNj1zm2XS*d}amNz_~Dai&ps_0ZUovOu}x#dkg`|Ccdm(v(>Qz4fAVZF6Lf- zrP2p%siiYUdCg062G+ZkKTmUTR)y_|v$zFfDJlcx=YqmdN$c{W>BY7k9mrEW^Z0y5 zB=xseg&+O6A#wNc=V^g&Y%2kkCWntci;Bnmq6q_3%JuGx(*m@}x1jAiE;-s_iBk9D z$E~Iz7IvSoXGcOu{=MG!DJ86gQf39{!25id6HBREO{N#5b)yBR3Ew4`x~aqI__gy7 zGpXdz=NCU;+$;NGep*oB+>*b_^dj<f++_D~l02ND@<3gMTG)R%_?ZW`QHF{FpB>5E z&A2wGD=e5K(-&OQ&wYt(EAs<ah2V;F?q*2afi1YY%<7NFqMfp1;Qc+ruXOba@^61b z`2&}_uUu$iiwlqOzQyA^b_#PhZQ2g#gK@7c)$xfmQ+)K`rpp*ZS_iM#<W`9O0uKek zP~FkG_1vBDKJ-c_Zc5TN+!un|q0&{4JMj@+|L`Wb?e6xwo10_?TsHPa)^_}lPtGOJ zVCVPE*jsE|`eRn*k7UpnYF-U{>v_|7VB~v;n`iEkw_p^Joy{)m9aC`ql7GI72z2Q! znuL-X;JwH~Us>6CrIPa%1oa<mOT~%@APzQ<DwTHjUZ9(t4c19Lf>I&tKF^ZQ7lR2m zw<HT;$HZu6#Rd%Ny12NDgZX{=sg38uAM?BuIfeo`jVI<ZUK{i4@kLhFVpg_J{IJ$F z$S(3^JnS?OLW9H%o40`o!nSb<Nn!On1Q;-zAP5;2^5JP1@*yQFHtex8ffx*%0FR6| zrorZ`3`N6wT;A`fKCVAeeF?qn2{5E!ND|Bl4q-yu`D&*v3+qdT(4lhh$1lN7#0kex zK6E<547DK?u(VBxDN2H%1}vNq&jMDL29bwi$7E^qs=qUxrI(Cz4!g}QuYXG=hJ8@k zU973n;BIq1N&zwI(R(ZIe5uTgPbfm~h~U|}h^aV)o&JNy76r4W&Thq1h7uC7)0JaY zqmo@+x!2DdEgvG(%^}8l&Fl^OY`5b^^B8V>KFw#kNkC~GqTX1kYQ(9gf8Pjre4$ME zwXSI~TFir7%&lLS`I$^b3RPz}Ex*f$sJ|Tt`$TNOzE$8atu-zH+iDQoCJ@`!<YuSk z&*ehYiN?S-PwV?eK;Zm{+N;xmtx!%5@ikI&nSa?fXb@o-I%>-0y!{?WWIXzuiU<gt zBbplyzQ+=+S{*{u+RbTC1HT*Pll$a5GK2j3C7(#>_u{wj*AJX(kL66kXgt|E*8~`S z6JOT8MHqcCEI8hSbBtL`z6l}?k8M)bv~;JRZI&Zyc_R|)P)_oG{miNRK+Xir#*;O; zAAkc#Vsi}<BGZoLvwYO(xw6^wd}%})-WOFiDB>i;w;J2-MBYB-$ojz4Q!-JYhJ>Q) z-6Z5n<jf)kHiNnP2RAmvSpEAiu=k?PFqG%=!CBSd!RLn}*V5X{4{*Lq=HYM?dt#)g z7ONp5?CD;U%#Rt`UY%r);T!jh6J_qPbyQ8iCRtENclW->0u{m$Qk0PGB3sQ;p5Ty^ z&+f|KyiFV=VIkwBKkPPAX@MhbLWJ=Rm3bi+kzoIo;C-_%b#suy?EX%18;HYe2XT0B z9(h4|f5+i{jzcY*yA2L9)ioc$L1wOh4snp_9MjC_g(`2_Q!117egE);Sa2rB)IqK& z<P&t)BKJIB_|>t<yGy69C2~Z6n7xOZP>p@ga^{6bLoqnV_*m(Hb4<$JQDvR}wzLxD z1oPzLUxK-d{FL0ZQ9o`_Ol=dg<(}B2{aWdtks&}zsHuH1y;dTFVwQhGA?S(mt$wuX z9(woW``dQ=+#$Dh-jB9hX=s#vH+qiF0W%XLB!FQ?K(3>YFRAE})WdL_aD`2U$CvEO z5t{DHpO>2M85xA8n|awT^~%gkF?zXjfmYlU4$cw2irdD&z$=rCdRnw!tkdkbWJ0*M zQ;_B7>l)q55t{B)`MsSWsw++R<zp{3-8Yf7s$hA|xW-C*;K@`(wNMwZo2a>g)@C=u z$0`h5U54oq2p|l+?vvnukTFJrTznjewn=wCZn|ezc_}M1d6oAyMLrjp1>cFD)6-6H z97ae2jJ&W#a3AWk(RI)AK0oZ|@h#wXVU1q#Q_LB=R19=yO^}Is=vdw7XHOt=7)z^R zBwEMW7G27;&Ls3kveNCVBx&3F1V3fCWTDJUE#Z>;Wm;*Z=;LS*dI!|dwdyn?PG0BN zSP+VY)Kl5d@4=~)7oWIS=YjT}0DwCE8DmjnEx?QesSkV3?h=P8bgk;p+n_bJq zVpE399iM~ZtyX$j1Jg}eW~Y#6H1|#KMVCWqdL%=Da6I}QB~>qTk{{816lz5y3wmjo zTSJ^yx<nJx&7PqpBA$C84A~zaDTLd15b;b;Bd@sgg?JKhli67zh1-Cakz8bU=17mA zId?Krhz@9VIH%i@zhZxAu8}3f8Ckq%jHWnyRejg11wQmD82__z;&bBIzb?S$lWH_z zAWo8f`_8L1k^9O_eDilg{zIeaX>!sE*Yq;~L|PLv=_t<w5BZVRd#PwD^OF;kL@u7a zcK4tpzw7aszA6ca$&LR$=HVco4GQ!QK6cB3ProeC<7@0=`dy#*NJ01Z+60{ISsjKp z_@Y-Q!naKu1UkRaU(eybbjFQ%lHwfv@*6ImWBm_NrXs5N#}9^z6rPxvCm4sWDcNQ+ zt_H|GG07l?@;-3Ue3;2-sV4m((1StEq>KRU?B@sXT~x?CA{tHPQGGDP0cDKRPh|>O z;}!x}e%TLAK>j{sRjRP!Jcip8VHVM?XI02?PYSU6_uO^A{_#g=Sjk?0zv$RB6?9?? z7l&(1iTjL;<w%@Xk0}|W>>8{LhdiBt&S|d8jlouK=WoS-kL$9OUR8eX9BYkhsC^{& z7~gGVk}7m8m3&Vkze_z$TIgIX%X*<~?4+m4b*lCho=yNA**?pZ`;Ny-z8L^q(ho0y zNa8^Y&hBkpOQ}_}&Q!5uLW)hU*<Uj=zYgTQ!|yOwY}FN<yNeyA4`qGGByRD5N`-p2 zW5g+MuhI}Y?|aJLRMnm^r*naW^u@D(g)c^2g)as_wBS2=Fp++|cRnM(QDqwW*m&$V zf!?$1HW0pe4l{HfTrpZ-F&qv{&NJ>e95`lB{K7Jz|I(h}Yg@pQFt6y~kBy=^ZRe3o znS=<tpWSLER9y7<EdT!afQ~e-2l8EHIXE2qGJO&L>dD{27gyi1TeOzmAa-k0dq=%m zs}-+zy3LP$6KExXRDxIWO&C6NwWz`K_>u(K-R;DSw@P%8ZoG*n`2`kRtoSYBinO45 zpt6m2T7^2p`>p7uQes*q|3G{h>LXQ~Ror40p?AU`x1(KM9o_`@3L}fQe@(pYA827S zfQ{QF-O>4%QsM`F7W+g1F$$bza3qZq&Nf&m?_8b!goS&s!!g|=*Rp${@}?vUovE=< zqNvl_iNUiHTF!Fq{w|8AA(~=kg~^VA4*2XwDMn-#-=!3-NNQxTq_(NjDs$s`K@&mQ z_lave-0Iirt5*lG%&jR<Khv;ll%86FCIS<YWQ=kbae~K#$iy8{Q(dcXk)}tEMC%K8 z++U{dh{B%6K)^47MYl5EobCh*uPu@Fih0Z`;8gjNTk9Pxy(|Lhi}tHeDs@Re;+U;; zqaMff4Sg!nc<A?-Dn|`o&ua7H{Wx!TTwtBEUfPj$HG_I~;I)pdT;n<grLr5P#ybPZ ziu64S=;Lp;J11T&Kb;dUAzHAptvr72;Pj}dzacWAoTaxN8cyx<>hs`_)l|_X+B3)9 z{z{w9x*1l>=*gaMef;)IstXuIZ0Kx*r)a6i@eqr<StllF?>V0h6t7^<pIGMADZ4Uj z?&L#~&{KpyM6ybxi$uRF?k1cJzm55tY7RKV9A;MpLHHsj6h|v3o4=M2C?h<tlo7M@ z2xSDc1R;$ms{Q%dDo(Q^#@qgm!f1Mi&A0vQ*A+%{iul>$pKEYGH~dbZw$GLo|FwjL zRB1G)jGry?L5%kf>ASDWDibjs?$AoMc<14p&x_Vp(s6O%spDMLVgnf1beYc-6I%;v z=kYD0?&LgJDi{(MQ`j`p_Xsu#zb=%j<{zO1UB?XNa<{|LVF}(wf6^BCVKG?hXTElv zjrgi0(NB-uQTdnnoJ0+N5zM6)BJx(n%R1@l6-F3i3CyM2`1@Nt3Ts0g*YyhR{cuG0 zC&VAoRC^mGzxn}Pme?J_ciGnMk)2$#L6jC-r@lvWSN0ZB&bCES_8Pw59u%K1a4;4L zt*ee4%*JoAao^M5z)b3{nC);ARb#Oit3QtJy4|1i)vRYub*J2GU$o$8cIWy2ywYsY z_GZ${5~(m27j^>~Ub=<Ss~pCu$?=JGai~hR4zP1<L*t_g3I<<@i?&)gOf%-00r`aF zX{wB#@=n7Y+UyJR^ngKziN*8+r~$!YeC1i}-cC2NhJ4f6sG4O-@<z_jpNZ}e--Nx* z21_evzpzwta^=-spO3M^#+sJQM-NWo)13niTlXufqZo`|@K6=QW|QnKg{dz1&}Y2s zj<3~5-EJNu{>BKtiO;!5d=_4~-nT6D)!4Chrze^L3h{bR@8?Wy(4DV~L3tMY$C)U5 zWDix0AIK2gM1w^;&ykYpX61r(_|XMJuiwAlDR}6kg)Dc^whZVn1TGt#`yABX{F!t| z6V5?g?rfCi)S7C~r}N24JQJ&JaU3rrAm|}{;5hf}QBBvK_sZmxH$ITo?GNR_#<CS? zN}?Q$X_68-Q!{H~N1=~ewtiV$6RJ2mJ}><s4&)QbTNj-fm#z|BHYqXWa#>I1$sA`_ z0LfDFMMYh=O_lQyjLaKrwjx@f3IsCh%g%YT*zPX_QAI>AIdhW85u2n>C4AoO+R<oj zbTZ2P;)#-h;!+i~D&o7$GHtXW@;vNBiFUenaln`!cK8FA7*_BOf(aw;$K{1~fWNZA z^}uDpB+lwFJlF7@+!_-NR?45%M?)l}O(b$_tqEgqUOHjXoWwTp2+t*~nOS)Sp*A#T zPqgW+VG>ojn{YjRDT5U*pdcdu*ugF!dAgB2^M`PDYXA%1z2H;xPvN`Gj{<ykL+MNi z;%m#QG7cXC==Ge#0jX9K){e$;Xn@K{KJ7^nq*wn$r(Qv)UQef9N~c~`=Ou(ro=b>K zo*qyziw;$!&wDV-A_y~T|1HvaSnYd=DAW=*nln|`H_cd_W25aFwMV9H7PUvJt%<eD z7Ed$XWo6heEwcDU2+bU+x8jC@|5PD<{Cc;042S+x3&F)iYDwvXna2!c%#fBG7;Pq; z_a0}0mntspx)U=Wt+RwL(=KO1$;p@DsNU)G>5$+94@SVl@Bj~!0Dz1Z05a$?Dp(-} zD~4bt34zSe84b`6+Ek>gi+xg#j_<R9OY$M^HIc@=8*4(15jWNZYHj8|Q~g-#>c-2Y zOs1mal6@b`HvRP{#q0~yHBO@c0A+?Xw)LCCp;{l&gA{!;k{z`myS;eQOLvG^3}T>c zVcb$nhUUlQtY_V?rH586CZuaQh!*tx7a1#i`)1K7X<bZdu!*<?r+Nd#ue&sKa*{An zCaGmG|9n1*!+rmIefR7v%RnqubiFJoU3*iPnXgIx<Dq<2opMP*LYd$X<6obp6l>^1 zI3YhVCw$V^OT79tUg+j&-GZgMQCoyTQ`DF6;jbXh&*o4R^=qf!=I2c#@f2f=VOG#f z>yNy9pa$c4w~)=@2EosjN;XGevJWWI<j(+RYY+jLErOvK+Z%fbus3X_zR^tXDU*QQ zymsN+{K1t29oppn8J!84v00r0LUY-jmF{*B=gj_6ZcG)JP!NYY%$D~XRCCzN&N-8~ z@^Y~XvAEr(35(@20$bH;z^dk*Cd<pu3&Znrj<Pj*mMx!%dWq&Jo&hu$#^L3Rwx~vs z+xWS{P9?ZD6!p4lfV(TwA*H8(eG>WT0m;t;+A-OtwteB0K%f*EQg(t*EYDb49c~(! z&DcSKAmkWpv&K``D;$q*W_5rD$XB!^Zr8dBMCKOG7<(mdG+h$5ZwEGpUrG7BV)E7e z%UKnkoi!g#p6Qe}21f2U>kyI$u;nB_oT|Fl1=v|st<nR)`vUfeS3O<lM*)Nz8eWzM zysyCLlQ*0Y6>-zP^CW<TtU1tjOh)y02fe6(!eN{UYhFRX0=O7+BY09_Z8y)KCq=dt zfRE3}w|K^yll)j>Lu`N`Vt21Ln|m(5lBrKd$KnRHZ9%W^c>JR3cqhvJ5#7wnvK9Tq zV_vM+(9t0c3fUF*07-Qx5|WMn530pB<5Fd^BB;Gkf!)1Erjb#;G;{r(pbb42%#S>i zs{xM+$j#+a0<&*M-wt~;KoC7WQ^EFNRaM-nF8}^D?+ODRg;#Bgx}gyw$1+!8j(N1} zA3yVquih8f27`HlgPDU+myndanbi=2)RNfz+&n@K{pUNDojz<_HnCd873$PKSJpX( zGNEP}UJY2AGU;cp4@hnv<KyREhkAmOwoOMIs`_wA9f74hl`h|xGv>(;#0Hi_Hz$o+ zmrA&3HNLdI#TarGv7Lz7e7ZaI36<1ZGyN#XR=X?vrOQ~BZExVZ`0a@#oTo*O(IpE8 ziHVM$U1s`P!!t9Fohx2w_o1h6HQnq7<Ppl6E$gF1E5mpF^H$TZTGBf1{P7zS#Ps|} z;hr-=is}G78dQQEG7tFdz>fVlaz1?yT0TlYrFTup!|6&F)V6eSLBvhCTex*!@8L5M zKGM1)z!_14mqytDhP<CPD?kM><N`!&O?ob99s1;SpvD+w+fkL`Q+l-dDYRfcG}LhT zn=$~8Va}U#(tLqS_MbxkL)-C|`m`QD>W_OU+isJXUpn-vLB*b@x*@U|!lv5v-Zz!v zK!fTO7blA0?nes2B>Vil27*Kg#Z`mKfe+<r3?_IhgF^6&J;=C?bcR4FekU}3p*W>R z2k%{`Il`Yb5GeIt|AfIJ7l;ycccX>v@DT<;mX{F~lM%1z6zO@HWbdNf0TC6uoJfdA zB7_~>i`={zeECaxqcfJdU0-)qvz><phLYv8;-vNYv+piVJ>QJE(Hmx<QBwX?tV}JC zdvzXahc!uAP@Z96G1bsL^W%9CHTU6u{nWYgoNuad{Q2mw!#$TGpAs>}$(&W(Q=MRe zs(79$T9=0RhwD`xU_MRYEq~3$vUuauVE9OM#Xaw{v*C%p)xBj8#{{y46?sgB$WmHO z!__wBVNI4c*j~$uPHj-60NfS)W0^a&PqPbMVBPw3_;g=s`&W4EMIPK*!qao1uH3sl zdoi`eE3?uULkr5g`yu`mZ%cc)J3h;|G6&ZWMH6_M5}gAzmetIYfAURDEsj)y9LUCO z`<W%cM#sxjpaI<D=9pHoncUh-6$5lXNLbY_zOfM__^30Af7#mFwin3VusfQ7CHDc` zLVFlbK->xIw}vo6FHSE*Aqyq3ewY*;Z5_sZsh^$c+{4;(|7qM@DlVQTuopkSZCHYT zGYnQXr@03;u&&lN^oGVICMMLJ+s9k~SSM&RgE`-Z>YclJ?44amZ}nZ)wyd1Ybs}0@ z>I6R&m@PUPRXj4t6-ehVQ<;;<zqR<MwFTpRflFO%qa9Wl2=c%t+TukkVQVym+&Y&E zl<WHAwV#0k<>Uuug?Hv__vqcjT~-^OVW2>fU$H4Ka;3qv<w*mv8LKfMO@r|%eRWME z8CWs|d61U(!4ea&S|te}0wYUqxpCg1df)YJ1?J0zM+&2z#=#)E<AkI)vh-EMHKGNr z@o3$~lnfRnMyMnh_c2bw;T8(TYxcC<VTAcg1-+_+@;PdmZl#h={^4W#+yfp~h*=n6 z5Q#VoNf|avF*eJ*o{B&0gC^tyteTFH3AN+t<004^I|w}tm7Z{lZbh+vd(&20^fVsZ z)T_lmTC5n9s0#3GHk_S~`<w@rf$$6}r2jP$hJP1kO8byV-`->5=uRs4fRv`aA;!&c z;+x8;h(E}DEDr5qt@!b&2Ms4PZOML9-6XBqV!15l-G_^HJv*CEZv_Qi^#PmR(~wi> z+IHa{;rj!GU$p>&TV!+zgqfhNJH75q8jn88hZL^$;DwAT<-`bL*Dh28`s>UM=&z6f z6G(jo^w+W#0f~PhAh9F3w<!-m;>9YkO&_t13v3I*ifwKnw}ugAGkr^I*4m%fF*+x+ zVz%E@98POyXLA5(8n1!@k^A_N+KbDKt+2Kx1xi9uQ<lO|G_v}@E8pz09EI)<0Kg|= z-gQ#UcP4hWdP+5TfmN#ZR?6Rb@YKu49SR3Nr)t${-$9{iK3|43qN$`~M%4slUbvDx zVeCJnyC`-56!&ad9)mp!#5Y2vDgiCN*yTb7P?w;6>IwS;Q>cifH<u(cq95geS}qDm zTNaOa9?0A|6_CEFGz~ccq#2|}-ZTgWWvIf$P_Xx63pNYT@`iHy5TM22WAP=~5Ym=A zZ&qJ*HcvJzv#t*y3Q{m`pdIZG#|P=P9g!!WSDiqtS;9WX%<J39gl@02qIlon6oUQ@ z2&*dm-vPo{|NB6A7y5q=ghLXBQX>MxWIyjdY%TMWmP2GeRvbH(_uan+Dpi53CAY7B zbkzr-U|%3>v3cWL<Z6nWP$O^jTEmo|H$HZdt4QlT?D__T5O%Kt{1YYMK|+pJ0OQaE zohtYO9@I9w=F?X{uN7L=*#R<(+G+R*uwsB>N0^{82v&O;`1pqrzdHhy^>^T7IreK6 zqcE?GdZMFzI{<g<3y+sn&rSi5r4%ps2ACE+9;U*L#{%QVBz&$UHjYSjgeTM3?7l>` zF96^e#C&`LS0I+L?I!@u(yY)VS3q?!7kUCNAup&_@tR!Wp6VyYqO-ZuyIiqjYJ35N z-h~9ZaCC{Pf#k*JO7a43|1EhLM@U}O5t0{b+uf(*K=P6n6nnjgr*rEw*CUZf_)KrO zlQ<u@*n5_R%iMUJLr5Q|wBF05@ge}p6$v$%+LuwpHWM{WfyNkF8l5HcW4kaL9y_n| zLp+E(ZYVAVx}r%I1{M_JjvOj#Gfd9OJNOJeSQPDni$O9r*?Y?Bs0T?+a)C@k%htC- zI#jUqjkMa{X!kO07`EMcY?J}-WBSsH?i8~4vAOpef(&E!F&h*x4=wkA-9>jRo8=!H zwX>TOsTu2iZN?ESf9XLB@UCAN8nv!uDiwHX3|{I;5<ypSEi#&Gg2-?LzrM_Q1xRjG z3-Z4E-w-h9Mg<Udk?UzP#<G^#s5JARi+7jU7wJSaV=;>9X0m;{Jno68xl`(+@v0u( zMsb3-BTR=69&Ai3b3IGPc#lB9HIqd99=+!G00Ay5^PiyQ(}O_4hD)j&k`vwiiRfVC zAg*A_Zw>K9d~C5!#4>jNLcrm60Ae3_%8$VB5%p`}{(h+eST%XwBCblse8GudTm{mc zAj(r3zZ>f2G>jj4?>A8w@DX&ZwcdOZwZ}<JN<q2!j<Kp=H>=$vg@}}5#UH8=H9@MH z)&7%2B?{E9l8mmSfStv7;5}%b%3Eod#V~OFE%`V9m5%^d)9gf}cjex;Z)7MDA0bV; z`e&gkjO4v!Ce3Md@}7*#8Iu^4OD;vCW*;Mz`E@kDc`$Q6R@GBASQbg=O0Y^G0WXbC zL-OF+Ta_-@fNo{Gq^-;Ey&FDMH0?B99ZdeV!ajDr_Um@+$2|>ww1QfG@@OK<vxAJ% z*Hbod3HYS<$#z|`_~|qGopkow0x-P<@)h#@(No!=n{m?yPvd1hU(@qWude?Fz~r(# z+<7b)13%SvG>rC?ANmrw3!E_3twB_D>jY#NW1+F(JIr)ylMDJQXG*R!Uc1yZH3fCm z2>ff+Nhi%TSO!%o3-hUg9)9{1-e8#%`&0ydVrtA#c!G{-8H>X9xyEH&rt1^*8M$0Q zb`ultkLSIh)-_=abwiJVZtsUW6Mpf|R|N2OyR<I<_OG2jbBhTgOozVII#^q_6|^XB zC)l8NXG(l&?lNd)LX?ZP<wae3hjGO_ZE`0R(a`8u%5U48suQ>|MOOlHSSFO)U2l~h zW)MGyBo`+1%r00{`@C02INcK7v~^M)m>#*!jaTbk$mxRSoc-6_{#hQ8Q&?vE(H3i} z;Ri7*BWc2uYFWgvmH7&_5;CZjsYL_&$7q70!i4Vm1?wsDFIfTH4Y9r(oxO2xgN{@u zLT0Rs9~sHmO;cJq2byL2;^~>}<+H{r+3u6FxORegPM#1D&nZt0oNqejlH##OQH?#I zM@Om@a9Yd7oonh`x1y+z(o(r8u0<sFpil#>D=6F(EzI+J8<fey3XLHm&}@DjKkN<( z^R%W28>9&_XEU7<ylV5gN?D8qP#7DmoBm@W3pm`=TyOTK<7$TSt-G=lUVqCK8#TDb zdG|zp-J;&M054PLF6u)KYrCW84#$u}l@FfTe7>UnM0Tn5Jg(`ZufkUc<YKC7mKR`% z98M)|s=2|qSryu}h;t$6@eBRcRa?PtKZ9|fO0TVEok}v2hX(%~ufk+-ND0yVC{69i zP+@9@%Fu!|MauGvJ7Ntzo%J5i9eolGc|GCUH%MRR)T9*6sFh^7nDt4rWx?8G^vkt2 z9fR~fmCgs`E!c#x2%hFw%OLxvpJ7oyV>yF4CCrGlT^WN6`dv1i?E6oRPni3bHetyd z-+a4HIZPhk!W83u7uh(|Fx*Ge;?$On+Pcm)`_}X11j1EZ*lSR1cxQt`-r?o+lSnq^ z&38{u=2bSc5^7LDoHO)|lH3ayTNeStTCug#zVVmU>7NtQDOf(Q1m?!q7r)rET{Len zbh%}Uu%1!SKoL4_$4<V~wb30n2bLNschRG0O<pNFsC(U&#|-o*Z`d3hg$YNW?rd;h zxQZW7dDV<guHy42{!+<vIN_f4I0rQ~%@P*Pdrrsw&Y~I@0>?ji?n_7n?0E!#A6U=N zNp`f?;@Z3R6WeQ0s_qU-iO2>$vXJ8mAQ1V`SNQ6Ndq|>9cbna_59&@UL8Z;f(W-UU zxDoerbwB3<4-vM6(g=;GW^iOGqcfL_O<VY{6lKR~b5XjPMQ#!b8W9pbrGe+O6HcYy z-uV0rd~&|qt;8nkzpS2t`u_o+5xZGx%cwSqT5O41=G5VFtkA^$A5p_U44+%*)AH`w z9C?hqNJg~GtTj$NNze{hdCDSSI5ovT+nblXiE<B0vG+TdGeh>;fjPV*-dN>Q-*=R; zs5D6(1Cls}rIZ!KT(^HMJsGwgj?!irGv5AnOC7u>^vGJNh)zbHPX~%W^f$@tB1b}! zK6th8dGc#VEkFwh=q3yf<S`iehb|XihFoAo{kV7jlWWS?R0pc_6$@#P{f%q7W()7{ zyqXHF7aHvuImE|$6`)z=STXVxd%87b?G_RxGoMm{Yuv~AX>5Yp%|18MdbPXUqA6HX z^RiRl<dqW+e*JEkaYcO_8rh6?7{L;avq^(_lbmB(<dr<o_&tgH-{YFBNbRs&{18!C z#R7y`nA8!w7x|;3Y&B+`F%AFSz#Uf2%6d_vZXx&o0xiiUITH>BDMWwr9R6?{I7594 z)BXU+=rQP%K@`hS=xJ3Iz%i>L3KlU%A_}GsE5moXs}OZE5C7E3c=d>7Co-HHRh@zN z?>XBhIECokt5*!`(RpCEX=bKx0sDxyd1Cp1^RZvk7ieFP5GSU`5<25<vfht(aLN$9 zSEGClDLKK~PgmG~x;{tm5Wt5;LgR)I;=D6+AkJHOfe`0ekuyz!;TB>K8hQtL0<^_@ zkj7m|9PWQ??MjYWcZ2T7iwS@mo#<Te6-X|<>0Lkn+1*dR8*4oqisq=ISx+z?=+SPE zkmM1D0!iNMP9Vv9LkJ{!NSBhl`p=o2<Z3&Eq@u3^G*X}#CIBDeP}RLy+3sRET%q)m z96WD8i2TN}0I3ur*42-4wzCG95T*JO9{xnY!-#fGn6vIT`W4k6AH+i)skO4WrxM=f z=N(P2sA2?MuK6R@D6bjn&lk5_IcGMtT;A`(3GVOm%jaJGyaMirV!XZF{6rhEnE<d( zwTWJKg;G57fTisACI<C)u6JJL7s_I;xkq^`r+C8)z4^SX@^?G{9W*|ZKA6N~scj7; zfIIU-F4ch7rm9+6ff|sowwwM+4LIj1KspN4fUU#YK%$4BgI;iMb^ropkA&4ipqF~Q z1o#BMDG>Gv8kz}Q3Hx?yPUaCJzU9@|td}Cb!rFtU*RDi-VWF2d0U;t*1HgxUwMr7R zpCAFGA&-DmF=+y!5PWKw&UyJDto@oGb%a82X!wQF<;NR&!<VT6oFv#&=fVwu?h&uF z)dU?kMEUIG4y?olu4I2;s!a`9+#=8<-^>aFz|IZZL$E>}cky#jmuNW><rfueF_7I; zB88$=e1DFrn7LA!q6ClJky(cBz#?^c@E3x>`NjhRm-)SyOApYo`TRrYMAYS|<mf8Q z3CFapCiE2m!ydh*J<4o=4*v?m%K>`8avh)t3;}vT797IL>_>A!Ty8O^pB4aQdvbq? zp9w%Xag{3w$Kt<1_2ckaC)O2%`+RSBWH-5$ApT7A)U;{akryRJ#1TBuq-HkVhLz$? za`ZXIgd7kD{u)AP5I+MAVszh}ry)RalbR2p_Dhg2Hv*U0f>UH}_tmSsj@P1{(q~lt zjLzFv@B2DloOTKyT(yF$!q@Rkv{Q8qs#k?7HaFe8ngW2_uNRlPr+j&Gi-riWv&%k} zUrudhd_eAZudDucczij{lpm1$1>QxGy=&v*;?@5W+;r)za&O;)s{2shcZ#hu5wz2q zyGhjs1K}*cWr8ZyhO#Vw0XV0bcyQZ5yYYv5UAL0*mafu<QOWfa6a*bh%p|EARaDF4 zS%|+LbAWAdV87?QL{q(g_fy)CAqm}go!zi>$7QqPcRaCuo*)74evik6y4&5)nUop) z**!Qih2OrR?@V;!s2`JDRu~I+4X0DQ+0(qhW<Ik<@GYX6eZ3V3IrJCnV^xDp4Kf|r z$2G`}$2EPUUhlMijb#^g9XoiJh$-iN-BX`nQR*o@#>j7B(VeKVkanroPCsANbPCT2 zjzp8YOqFd*2dT0IhIz`tJ^i#GRd$Q5CUc4jc98{(s(_F|FH>Zpg5p&<VMlY@ZT;Es z3zpEx^In4!xaJfc=&0<GIBV(i7ZXV*?o`~is1Yiu2&cSo4-iU!A!IwAn=7`*W1G2R zFZ*Q{hi7Sh!6QB~+1lZbw`+3M`}nF<xcKCJU##oW<6r?><(yi#N^}lZ|B+u}g79k^ zEc|Db(w2s_bsZK82E!&Z-oKktJZ;5o(1#zOyMdw<+|3(IC|(r!EX!NVD&q#{7OxlL z1}5yxcy2)^4L$%L*04A5VLd?juv&l*%K#tr5-<J)Obih}l!VBdRV(=KnHa_2nV9H* z$HYF_J*39BFSW9JIO8Z~pKT`v{wRHLx@uJ^5yFDSv)~nCot>_#^>j8qr-Aj7;F7_p zsv%fpIU`n|c7n2ydu+-t|D($(CxYg|@b_@<B3Z&f|C21wB(EhYWi}?)9rg3-AN9|_ z9~H@?!0Z=$ZsUoTr`mAm6QR$~`<F?i6()pNQkP@HD)(?{k+@+|_7EzR9f{8{v3&v_ z*e5nTKBQULFdLpFOo0U=4%J75Yr33MLq(I4PB|WDnRYyR_94XYn)VP^YdNQLgS1N4 z6N!aA5KuG-sl%^Vg3OzJt*FLM`xJ^l|5UCz-$n@3tw@2KS)CTM7`+#Li?0F(RChPC zSLH(nf7k-Lg_RG4m%+JA5fwz)sICBknN|fhuld%zMdTAPLp88g<w6P-oB?Iq`21Gz za57faDE3KN%=OMMV{Z_BD<Mt!w|ST~9yuUjTshd5R|K|2G=OcprC^&2Vp{=6h#$`p z2iRtX*j56zJ(B{Ejmr}O*{s3W2rrF$SBItx)R|of0?3O{XTq!>LaC`qgorSv?f`ja z(F}|+)qRtS7c{OM)^x{yzX~b3-7jYf!e)<FINmQOMM6tUfH3pO2^k^GgwN4sAh?`( zq6$Kod3KoYCjWl4TRA=W3W5D5Z$eW5mz_sKbt(XAJ9i>N2s2UUlivVgroo9sVk|<L ziF6b%m(~tSSNd}_84)o>Jt<%_lJn%QstKR5$9<DR3=<K_M9eD{r{yfzdy#%89_&4% zgR<3LJ~(R|Josb|el3O(<jvkfso;j`>Om-=%XL)*eJ;Fsa*rm_%s#W`^R}<z2zO|= zzc?1MDAm&RUNKP7(XsXkh<q=2s&ePkYGrHFkh*R!L$u)Io2+d41Fa9SVt~vNf1Y04 zgs3bzT@3WA+`qY%4>Xu3(ZBmuSW1}6X~=!m7Y{wR_bnPJydsq&spNu9d29{MYrdU| zHMCti$ShSAr~TDFbg*^Z4E>Q^a$L89xdMOc5&fJmJQqt)iKlg0abdT$@Jsr+E?WO= z@z2A;7Xf8tK|>}IFBIuum;SLIALfda#>~szq~#(_ygFq+7jIO>e#ll1qWXW>d+VsI z+I{<5R1l?Ax+O(ITDqiLy1ToZMI(|@H_|2DDcvny(%sz+?*gA^@8|4y@85~vIcJP_ zjCTyzSgdPZ_u~FTbn?09oL@+97BU&c3J(Ph^3x6(0~%ytR?-k2eq?rC%d`&Amk6`_ za7IGzIfVmp7C3x3D*aFEq=D}574!j+ul+HO7=&7Xj3f5QZNwm{==6U<`StF_8c57= zazm*{`$5W;yx=``_V8FpaR(9xWXlp6339xLtPg-s1}78Wf+kHQA>?PE{Sl0dQBD4~ zN9KWWjmPJ3%?bRbpQUb9&mVXlI28q~$vx!Y__`0i=)NWwyzN!A-E?o2M5TBy=*jp8 z!fSmAjnJc!$aN3?z~WqR_kD3LxciUdT=07X7$Xlq-2DdvNbMXL3kuGP0SDJ5IFgg$ zWf9BVk2e;(9?RiWI!qZdJZ{5+(%u+BoY9Vw$FM}__UJ?*FFA@9De4@dCFRz&41e(@ zFJdV9jg0@uqpuywoncx7AMeMcd?icnT(Wm-dLx6Y@6jnErMq^Lt@$;(30rBIB@fUQ z(oUF#iHO088X)nrgZ~B^32*J#pOE-n;(>ljQ*MYOq6j<!h?%lIk<}KVRkRas;Ui+$ zRnkOjpOW~2bc~j<okX<O!y7JZ4a8C$KNK5_p&6?0zpPMfOo1jY?o0L)nRYQO_8@}0 zr*CI?N|Ycs3r4*sS5CmcwONLR*hP!W{^7X{3o!!W*AZG#zqd1<u=XLQLv<_owE**j z<&tFkh#)}ROG9>fHUYFzI)^DCgWPP3N~`c$@V(8_0i<F(JT3Q(?FM9QE@7Rl8<Z4( zAhb?u@QvJaHDJ!06(2-@4_gLc|7No!rZsCT`t7*Xy?>_%E`7*RqKGwIhTpK?htNM7 zqy6y7cZ~2c1wF%0DAA*^pXR2#s7n2GAThHFd0WC(_x(#AIt6$o0rE37Lhwo)1>|SG zAX?+(MpcrWlKPr*yM{#q2<tl33!y3%UI%=%4-O|TsaXcEkP7~AT83;ge8r!46U-86 z^x1i#_^F9PY<$hEtNKPJ|JVq-F{K1oOBWo|2H@{DfG$)Ox2tojv!dJmBXhQIn8|0K z8YG@>;WZd5OxDYWIt9<^R+y?2DFYO_xu!bj-478zzz((Xa#B@HB=2Mn%=o$2)ZNv( zobB}7xnJ)4Q}rh0v`(v9Td8Mh)aoDM<Hjm_xp<o4?a+F4dK6a1`TNI#!>rYC>VEu4 zyp}PM>{SUE<syXUT%OsExGv%QXm&G;5g;qi)CX}DbAmWzpZ(EWeB69{$ojjt7(o6i zJ9o=JLw)b2478r{9#^Cw9L8n0Vf`Sx@rtg$+Y--S!(L-A3;urejN`Eii~kR<;U5K^ zt?&mp<af(Es9QOrSF5q-D`v}PfQHV)9O~+4$jhl64m6<(6Z%wZD0QxD4H^R-M2TW+ zw^I(v>|c&tB_r-zqYCC>0LRw`P)Wq08;&e$$c`hTa+1|aVHQfJ2hwDI1e`i7No_q- zAFiu&?&nZ{APmJ<xXoK>3${73{`(-C&pFOAbI%q3IZTTN=h_JWm8B`9=6t7Y`tGs2 z)pyU*fPV_p82=4SE4-g|V{$+1#@K+nJyIL<pTV^9)PD}sR<>&2caJ-1$>tu(llQ$- z-5G^T-bttzkYR#9GRF3U4Co-g1k|X>zM>DU#pjd$LES`tQ#Uo6{|t2_{Rir%^(S?! z$^1>--u)Zumj5SpQvv2i6Ptfyx68M=CnwA}dOIJ_F{=#j$KO!E>XuM{%j1jr6_?>o zDlvsDNG0b^@D+~#quEDwALOcmkJM6cLKY&t;0-8=`5ER=Gq0#a^0Ge&KX-20PE{mY z8ajaHdXJm*(wKG0lGRumTzhuEruTboOaLmFCPewXntZJKhplVV8?lZ|pIAf|!H?pC zROV{0x0Kvji!?b|%QeSfF!_r#Y*emoA<3Pf;y&Ef#&LAcb|WBneA_$AGzMA}g`UNf zrMZ>XTpaFbRR*KMEOXQ@v-f`ZF|uQo)Ho4&Z$#|SWxqEfw!8>G`C@&tXVAaXh1>XI z<zN}<V;8L3^~%lf$v0P1aWyqFYc!kF;0WlN>$wYVhocjHmqG-aSiz~{LrdyK!1>^B zbnWy{y0%CDK-VgI9_ZSVF-X@Mhrb+*Y}V47l|9h4SBD^7qfz?}*BlvJ3A6tS*H-na zBIOXqoYr=9KPmWra6$ityj6MS4^H{H{-cqJi!!Bi{a4DoHITY{zMD}h=XcygeYZFa zIN+!5=ys=%%Z0`4QmTvPXTKCXJ3Om7V;r<kSHMLhv)pPG*$5I`GA#SJQ8w;U8+}V5 z6SxtU?a(vca(aG0z0G9fdxQvwJzAvfGqwl!AWDPXjZf0z#UJj$$~S+y2jlfNFDXEx zhQxSJ)NH{XYLKG++yL!^YYF$J!Jpa#coEEG$qxp?G$}|&H?l8)qqw;;lMPN_w)&Nk z^?L&IwBOTO<k8|J^lOSIeO>PD?G8f7l(mxyJ#8@bM@%8o{?7-<Q>?aYOP`WFQ*hj; z3T~Gs{(JH@ikibp-Tu$ys}a}l<ZHk^6+4XrJ%D9z!QgAQ)E~iD?0+ZuO8R)P`k#hh zUC|!GuMu4TJp8IocOQQ35&54Dzi!R^HT>$|`yn-{G@a!9r@8w)me)<txTKEsTttse zYLd+l*D=4xevLES9}gs{*}5HqgGic8W9OyhTW!hgP<P-cy_d25djxwlJ}C^=zhV%o zZ+aO%B3D)z2N!Np1W#m#3hcmLdo9CBFf7)uhty&vIq=>T)WG@7g&}Q}nNJi+RfpCP zC=%ET?Xx_avb*H1FA-NQ)}-E4KlPlFLSg}N6*?2|;K)j#<;CU;JUZUo0it9$-VtAf z7zVqGH^%kVUV=pf6vrOh55_)b5SL*-)ll<B%9`Z*0owXv*npJm9%dcw+;=4*`3R}h zcCO<fSfhL9@*QMt$QPR>)E_WEs%>@_f)CY0d?Gr!5{Gg$$}iE|BM)IEy?^SrC#|3C zF61AbZ<g#LV1RoKiy!vlf_qgC=5u@cgjjv5N38A{UJs6JbWTrYa|?+3WSPreM3}Sy z{DhYjC6+>{t21BbO}0@UyQu5V$r#SLnBpVgPi^JlJ-F}&>l|tV3X2z7ToPWif|XEP zWQ}L$MF)&bu0DlF{tuk3^?a6!zoOkQ^uVs~w+oMauExI`gkRG2eKNnjb89Zl!S^n_ zzVRyY2hjD#4cMb*xd&~RtoXl?8JK%TYy9oFOZok(9hZKdd&gZ_VMOQ;@{d~l$}h8< zYsr}Jk=d{D=fC}YUT%BRsP}%p6T9$N4<<mxITt2>Fq_NgCI`o-b>nkswU^><nLg)A zTlT?a@H~e!V9#)I0@%CR+;k^#EVV&ekVW3No9<U0od1R>s#^J!$^&4VB*o4<@?(^( z=^d-o`eN~DrnOvbrP2)b2yb<C)up_0%XoMWFJ;r(29i=!0TL}u4G@uC4}yqH38lJM z1WeWTf<&u@h~rRZDZdv9TNF&prj#v?+nuL_Ubaa>TYxB{9Z6-0{DQv+%*<B#4kQSI zI8Hcqu@VC`t8v55P=IDPd1MOrBk;D8*FYTyc)MBSAP%9YUl`iQynXOo>*(l9Qgyg4 zx4T3=zU^5M$k`+muB#D4Pdod2IYTWR1~F2n)KJ_0u?L&f$(1hv5c*><v3b3t>$A_` zRnNyK9dzdMj;=j^;MGG*QTE&c2O3Duxalp~msTA*nE63+M%dek5**ixJJ)s}lx2L< z!C;<Y?>glPBCv;1OB=rq+#Z83(xkUkT_VNnKtDBtAN0KmUue_{j#I<Y2q3!$ZooZp zdtv3e5#JexGYbE60Ks^m+#GzF6j7+r<HDKIMKpy8n9RE}8u$_L|2$jcPumpC;#IX7 zecZL^yA_FYbH3`8CUY3dn^*i`Q4nu~BkP%q0N4%mt>(cnmWKefKoyo@oLs=U_p*)R z9rjNLXd2nycCnN=dJP~+pW930=3V4mC1H_VM)$^~O*Y^3!M8MSVW;oP&kgGI3?OR} zZLD5Bdlmk~;?%>vmv$`_wW0d0;72X>EIngmEvE(gM_St7EDHOWwt7xRPuZ)w#}w?6 zK5$HJ;@6@jncAJ@piCU-CtN0J3^JCy;Y1_ni8W>7!b=)@t;i0zR&f$?O1cF78tceB z&Tx-Vz0DnK(mgn|zs|Ys&kbhY%H8WPDUB!{=Q1x9ufAD0-!7qE4eh~~EUdpvBIms1 zyV`01O=qqb_mi8@1z(+R$4gK$cjca}j;t~nU$3FA#{YeC)AyVX+;3lKYLhD=;P58* zimqPZc*bp4-~14HWl0=Q!O0)d*YW7Ibu%#2q{xf+v)Qco5u&D@_HZH3@ybD5Ui-2g zINoXP&+$&-OZtjx#4g}?C$Upb-f*yB+cF$439oI(_kO&S@C<^3Jrvk+#Gidn+Q>lC zCIQxdk5ho~&DR55iUvJuh>}|1jtebt0Mx|`?+!@P1Tr?n@)i6ud=5VJ-+eA?9?}Hy zbG!Qbgzqm>A93ELKB|ZL@)%+j4CaveTHw(r^orv0qy;Q%zHbq~@NZo}HYkPptNTp% zV+RVQPLGvBTm(cC38Ca|e*@=9dLhjg`D5#f9nfqR2Oc%xF@*+Bwvmggfpio~dS@!@ zwL#n!k%j+=Lh3?N(vfMN*hm&YLT2F6p}8uP?g$~8ZSXpeOIsmGv+&0M&iJMr1P<iN zqlfWL%Nm}a7)3K)42$7B?JO~;ZP&|&BmMH}`{mm`ZN>3izjOXa_Fe>B5xpyN<fwAC z1kYp^-Rv584)&0Fp+62&aHcJb1ahKNrbnmx&&g<r<VCxKhzrLNL|lBJkL+iZ;`fSo zB<<Fdi~up%(G=CX%#%h{EFz~M610*9rl9S~Km!^iFY;xsZ8b>W@Mip4P08d%aNG@? zKn@lPa<G*)GjLnNy-)2$G`Ou2+?F^6Zu3_Iaao!MxJ~1JTRylAENKexE*t?#+lC?d zHrUI`Td%2pflfHK9T6Zv&Upf~!WEkGErK;oUq76Gs`{jz@T>vM5_`;rDQ%xL(^wVg zR!rl~>>Y%D108HPiG8vfpk>YVx->uPr)httOuqu%up9mzw;9QO_qXa{)K74R6IYu` z_fLCwRu)&#XLfTSi3oX9T9?#!svdAZ$#}RMWMOBS!<7IC!*B`id~6?5%C6ggH?)D^ zO!FR`J-%>31UDmP(Px0DuWAVUO&|vWn0|h}4(@%{sqqR-G=o5H_&(8mcP@JH@Zg9@ z@VPViz_aAnm}l;uRULLsdh&bowvqOmhG5X2i2dT+{%Wh2uK-xO4wSr`ar;~m@qrlM zi7ftWYHc^}9Z&i5zb2fY{59cx+|E(rJ^Ei_ZT%hxXUjXI;4EYChmaYZWvpJQ36IkD z6p9H#ih@D}d^b?BL+5t3H!C0|8N1q(Hp6b1nFVI+u1;3~F1PcR=ru_>ZS(h4+~71p z^_GiJL<!2lI&S=ka?%p_3iin~+^<k`kInJ$%)zRi@ei-Uv9I8^lEx!IZ(Pnd7U?{) z^k4q21Q)~&eJIif4G!9GqDF&Hwa%#V8D{rv`BrWL9%i+po~`xFoz7hp@6YK1g&HF_ z*&2KJ_2iOwu#6SB2aTu|&Od>QJ>Wu4A-~{V;jJCV)dnlm4SzoRkghZDOk2;VIdt{m zAhfG)nL7NbdCVQu{?$gji6vKCT+BpcquYstJec`EqmKK7$(Q@<XJ?33!{&WwS}s5m z(l7cI_UrFw4!#T449d|CPC5PRCi_gi9})$LOx`?|mdVIplR)N3n9*z|T#uqt4_OSb zOXHx{yO#aVu=G*)H73m?OdCF}nFLd8k^3+tQ_zJgL##4-kC&=#%+AUI1vs{dJr%Nd zjXrki*c!1h4J4=p3R-br8yzZA>jSb=6o?QmVZ7l3>uQ4itTWC;TP{M4sj0;@L|b0h zc56=1M{IR|<3FfP7h=VmXcVE$Y$zW4^Nkl|OT#GesLggdNYpDUXJG6b{_a1|LLT&w zU~&DKg@oU`3fWN|vQNzpQ_&w@QU-`N=Oh$Og;iSI8Cq+lP|xU7Zj~F}Nf79E^u^`2 zcF))n&h(pYui^B!@$gg1{^Si-$_jWUw|PS+wPPEB&=nlLSwBcD-TSV(Z4V~q?ERBR zs~lYt+%4~?{86<Kpds9wI6L%-3v?bNVY-I+C@&yTl%B*-4D)Ya_L<3YKduH+AD8BM zn;lo$_fwfxYPPzszi%Nah$DeuvE!<Ahb1-%EHYRnBhNdH`yp#uXu+k(elEvfXHAH$ z(Z$a|g_~&7nLr?Hu2xD$u8Rqm{j4tb_Ty*mtznJqB|j9Tu?zP3M%JWf*ATQAp^*5z z6j2xt9nk#K(YFQ_(sz^|hA04B@Umj8z-?$o(xiJ!*N25fV5HRzKPjtw#r-cv^_~Df zNmw?%e&t-lnJ;}vdd`!>;dzhQ?13hs($2m~L+1U|;4M5{OZj$9Lh}R>hup`u3wav! zo}7AR<wCHGQ^>~NcAK~uZB$edDnL8S(V_*ef{{D6h0tgYA$Q3pWr_xA<`l|Qu~SeK zX_x_vB!6a~m%5X77ibvz?)}>^<VMj?6Okqwn}FyJGvP+!3ASMieo>)H=qMJXDG<EF zxrt01&A(uN+{gKK(?B4B?L3pax`11KD}0RAC(4a=w7;wP&0<(yHxfX6XrexwSrZ<7 zp#V$bJy82oFfxAFOt?vLO=!3M<<s_Ht2cY}ELL^}qnC6(4bUUTMGt{bo%_IN(KbZ| zOkRF~CbW64zIH^(gy{08)3%QHdP%9(Z$tGWdo%7AW$qVGe=n*$d*A#vuD6YJrTBA+ zh5BO|;64IM$FiWqtXJCgE8X-I<0evT!7Z}Jm#lEt_W6C<6L+cXFKJJAvmoRphYiAT zM(yPw2^3b=aw3w7<t8dae9k@<DclbgAq4(q$#SvgJXZ|#lV17XL_F|J-!u`0QdPMi zVw*LMP1%@sd7Je|t5yY!J+}tL4#!k}n0Ap=RIZoQL64uJh3rsSPQ4RWYYv>h4XfvS z2ltJH8b?%hK3ehUk;7|VC!uZYg<s#Dy}f^Wv$Sol5*7`CjbVK;OgRpMDto)fx+#53 z!7?8F!kWpY6>fdb^!?l~A8*B%2g}s)^OHhN>JvPlW_U7}VBQdd;|kU?yQA`hGyVn) znv2FWPq6ypW_lqONHu0<3<P_*!+pb>Q;p*pJ?*`=bKQx3a8>uyz|^%)z(FodNUx?) z-?hj|W#8SHR&5|2I-NDyzNC9n=o`Cva}rf;O0$cy<;ENP?i+j6crTxmqXt}AhPdSR zs0C(MXhZGX?}=dm;9}!Yd3DWCLVV%m0J&pJDgiB2Z{yo-6Kjad_ZQw2K>n68U8`!q z0#l}iQaQ}%NlDGlZh^SiuP>cw@pHdd?2nbCu&!@xvrjx^OgZWVvx@7~7%YAB-5sos z7Z;7{_;7zw#WxKNWx5AX-mV(e2~+8~I2|tn)=A2ef>#u$gH&=PjO6uB&Q1u<nks!} ziI&k{>J>RpC{gPUY!w90K4;bu4bAGgw(I2D*ne$rj2_9ZPpjW-QP<6u(!X_bI6E4j zl1=dM{HDODn7y&-9H#dbEr)Qx;tc8Tw4Q&>#Q$NS8G0Bh0xtP$N{KOq6O}h+l@tK) zwH`Rq?Dt1sFOPoMp%G`W1)|HCyu;0Kxwx9SiZyiM$?IMhoF5B_8o~^1A2bXYx{#%b zbsf5J7W7Eq%65GlabdgQW;NV`^nJYFBx|c9Iqlj{2^NMNAxzcx-NtgZ$RsD?2I_%a zMo6YV+^-gu@%xXl;y8=rzR-UIK$GY4>eiLYEB*DTS<uO~42FJwJGngJ|Lk+?3%0$H zPi*-G`n6nD?nzTD#}Y`I$Y$>8Qu~#}Y`oLfy}gUx6wI>9cwF1gb>-Tn?9LSs*%WDq zcJ)IY9=VYfMt4^O??T;nXfH^(-K+MNp>|y)I3UJoD5%ej{C4L?s(c~4PZ8(<GwWC+ zNxK>?)(|qdJ^L25B{To}lGF-^FO&f$Su}M<E}~+O&c<)m4vc+iE(pb8jiX0Om?SxQ zi|CwNx~#p?I7ZSh)^!(}UXRTM8?9Fz*%61a=Dz+E=TI;Ani5X=g>ZBq#M?`cN0hM3 zD>kzs(gqtd48Ck>MA-6{dfIsh_%r=VPprt)wW<Qo^O!-zkXT}qlBcT))!hqDL)Cjw z=?{-sVeczh7o++5kL+cAV;7TEp7ynxBH_wVqb#^Tr)%ium7#4#apvvg-Nhp`n2BU| z?SoOfsgp=#2g8P1b9N3Lv2uK&WbPP!coO1;Fh<8>+EP4$1R@^vP^jL5)j^f<J-(RP zpZQ2y&h(YYS_AR*8vzk^=x4L;VH{J(Br&OrL{?Dsu9C}-T_++sq0g;RzQXOVJb8ou zJ__x91loHDT2UBUQ6w5cYsV+ikhYgXR4mcTC9;o<ZiYgLcLaybiFf#iBwkPYGqGvD zO|=vgj{%0X5Yl2B7&w_ZjmJCs&eb8xcgR{mZD8kp8C}8J0{=PgRuQ_%hfwS9aJ9b8 z!U<5H*Ew-0bZefb#+-yuF|NS2HVdou&ky#+h%HH<(22xe6y)Om#CleSHc{|KtOTK! zeRD#}Bos>>UC{fgg%ym~5(PLGCV>@oiC@5YEd{uc59Vzfzy-WOaDftB0Lnq1+cf?H z%E8cs?d|ZN+TJ1p)zX;Rn17kLn!A>%p6^%2#E?;aeNLTVV<Rm8sf#z}ml697`~0KE zhJeW#)p_mUn;>Qa-WC&O+FJpsoad(AS{9-A<~Po*kS7xI)t<0!?Fsbgdb_KE0P-bz z>@BniJ}1vSbCY%M?i+T5Bj1osnt}KA^z?3FhD}{jG{-JT=!RG;eIrLasb9~iMF*)x z>3_h}dW)LDK(a7LI_R32)!AM=uLuw|dj-i7XUJXW>C=2tH3DNDfF)G=1#cO?0*(3k zyYLD*Oh|Xj=HrF!e8TqiXau<LX2AG;vt7onO`?7Ja^QXslU18n6;9hy%9NA?;k^xR z%L*iWqzquz6^Rgx>?S^tJ=~-W>ba+1z{u`b%CZ+X%JCqA8^s~0h8-SRiF<!I%6RTk zEmt^npX6<F(6<9)xIFqG@>6HKhkGY%_h_y*h75GQ@c<r$)Q3Agpczi#R24b3U^R+H z%?C8zQF-i-GUBVMZ8CB_?0!TJ?r!ogq`umnARPIwj&NVF7Yk7b&EDBPm5pr*kdwp- z&^%Z8sv7*JtNeUmLKn^%eAgP5SYUBp)Y^S<p3*VsM%!gS_)Bpf@JDl=Jm;Uyc{QEC zoAXxI{%FoSleu_k&bzn+8Qw#49wOcE<~)PsKb!OD3_yn)xyBl%?H|o~22byC9SHuO zgH`iI?r+@oQef|K-LV}f-=Dbdg-?DFu%P3X@MQ2I=?mtCU9`aG7;2Cq*-C$I{!-=z zCW?_Z?_pq3;VVRNV!77M)2rDC;d>k2))ukvpEf*lG>|F!U*@3sHD5kIS(@d$nHOsK zcDT6iTVGImASeEEZm^76X)-7M(+O4bFIf4*@;$Rp4w_8mLcj-^A!(`eAG49oy6pMi zH2FmRAF)K7@;oMun1`x->)WNRMBjAj`miYd1YG=D^wxK?M4VIyE#g$`DY!tG1MZ>) zcdfSIK3*1^G{T7yBi0e5=I3<IiW|+NxmXf3c{&lIs3SBY^UlQPt6?TbNS4XEqU)uR zi5UYx$w}HEWd&P&Am=E`$DDdqK9rzjTxuh^CLfj&%vODsr$*=aWANoT71Ut8IFsTU z|FqQXYZW`XtE=}rQ@>K5Z_^Cge@Ofl3(IjbUJy3ipv<1Pyi9_W2f^*&IMO`MO?|5O zd31t)OGW;OB6+4_6T3{ShE0*px@|R_6mGH(VBJ_b#Q>7v<RCMvvG`;2Ak-WwTpUM5 zI_c~e<_PgK0~f^d7spq)T$T-Zt4JHHXs(L_<k|{*l0e=l{3gWD5!?|X*hQm^2*z<= zha~c)9<(r#|1JgGXdLwB1Mj=@wpnmea*?euI}iDT0Q9!-yw`7KjrbH02wer!ySXQ* zTy~X59@p{PGwVuNAoH}UTO2PJ7$)L6qZYp2hYKcjKL4Kj!4`HHrRDf_t5jNy;XHYJ z9r{Zyb>4I#1l?56Czxlag4gV@U{*Ie0479lnho#Z2@aUmeHiUm*NMVq#iJ{PU<eap zFg=BJK=ksX-$<A~F#ox|E*ynxm>axj3=?8B?Sg*52MgXS(x1<2uTw?gV!Xd)3KL>7 zZSwTM?A{v~_uCsdi~4!5=hdG%US4ijqJ+Og%>;{ks5$H+c9fJ)kqR5ybJm$lvonCL zVOB0OoSQE%y>4tGJRS|?^jMZ--AuvPdl63#=H@ct=RI=>9MsF8<ZOz2%7j0vWyYxp z?4YIfkSn=*F~ec)^FvOUmOKcy)IN;WXe#Zc(rk@F3zrR7%tH4#qq`{;QVX?a>eazT zpHN?xsH-8z_2;!X#vO$rPbHL#JG2*1D@pq0_MohP*Q=lp8SMj{>6Fz%d;-l%=tJ82 zoaq$R5d8xu;i;+WjY`|j@@Oli_04L6A9tOKc1nBCJsn01FlrS46otYpERFCjY`~A_ zi$NE7%?Mso#fIJZ@w{N(Xt+sge<FC|7HJT-G(OdPIeN<AR9diCG;*`qySv&`>94l0 z7CorTo+!763#@|$ahHj`X*3PMMfH{5mDbtHaKFP;D6@wBUS(y28(1)()9<qJ893WN z9pApKtl5!Y>Am^8u%n~<?)um4@yWUgN3Rc*Pi_apwXK_-wX2id*Wp7h*IK6rww!b0 z(eYCB`~%Q(=<58d?(T8}xZPdf-Wk1^s)l{SbMlI6wI>62EU9`^CNp>wD^$`yYd{2r z!&a}?TQT&Lf))0xl-(%W@hvBCzPkmfUe3wQH4*g8H8?38=q*Js%BLG`Q|5qN^2I*Z z+tgsu^9nx{Dc@B(j!sZZltkNtrEZwQ2xq7gV>WT~Hr0Ze6{KGTY{)F;;`L0nv|O&u zImIZCc19=k-Ky#*6Uh`NE_3iYCGVY^djyH5b_QAh8Y};osj}RkSeb3^{{|}`&)nRs z&GgO;I^bJalkGr)caX{GSgIUf_O3>!V&lgxnWHAhEEPwv{4IkyR=!yZsVr321FOZC z7!oN{zn#p!hlCNMU;wAHICJ9vbst!d%$)`&bT?+sO3PN#ZQ;fBouP@eu(PZ4>q;aU z##PySZ|It?6G5%pz+2>5PX5=h7Wji8sP1og+C1ei`WSm*hhz@K)mDrk!ET55sYE|& znJ@lns>}2)7t#;~kBS|tM}^lD%N|``bu_6#pgOkMCu-tp>0T3u$_Zf{MwZ|oR+vI& ziSdLNh#DGmd44T1M(#bM8%*alMFqC0en^kP^?YoF_-HAtdCg~0e!&O)6W^0$^|a@g z_4Mc}F~;ix^HOGT`ehArAOx!w9+yTCvbm;_<V+n#{VyQ4e;#$HMiPu<dH0uIEhb38 z9`f`PlhYN5rV=v7i<@gCBN4eD&Vx)4WFF+VkYD@A^f2*k0(xu&kf1u`96-W!Ewp1e z0)Q47rTj)AMt_i?5e>5FKsstMHR~`+{`*3{ohy!+|5qQMGI~*UHg0trMgD$#eaC;a zknbP+_<;ZALcV|O;{*Pe3;F)Bj}Q3WyZ*mc$oG$Ze87KqAzvfhZP~~Qhf~wW#!k(^ zF=&S$`e!b(0QdoyYz;Cmz%Epy{GFLbPDgFK1)YinR!%Ng)Tms=0Y=n>o5}iReT|dj zkBd6zSJ_sN2^DsJL+H&wu~GIg^05ZN^6XoCmpiAk-6_c3&G7<~$~4z#u0++%>S4W9 zgX7LQ7pr_pjFYEXDpJ*id$<PP=j_sfCEt43)6^dqQSuwFJ^}I#=)y1UPD${MXID6O zZX~8uIJ1o5Ox>MuZ_0278N1Q4IgF7sw6gafP;<?~W-b`KB!Td$3L3R%cEU%^mT2h3 zF{(ON+N(esYe7TUV&6FOfiCNr#-rgyX`Ht%;J+1t-|W6JG^TwBIVE;_7-HX;rcO1u zkT4l^035P7xiJ1wjc0-J<-Qtk?syFx<QicdT-2`PRCOC=#;hUM(aS>x`oXFutw^tg z<z`H0Q;l~S=eTloAe)Yo*%GQb5o;2ovHblblvsbsS5_+Kj6Q4PFyF<_`4{<0W&+|k zf!_g31^fGeCD|FsdUf5%lFPYK!<JKHHZCVvUkG-B-FTKeu6W5g(4^r+4bO)lujj$C z->nP|J{SlJEix9MGa6RVv?IDRSOaZ+hMa(@@PJEq9Y4I&fkS2{gUC9_c~F0jSJfMc z{FM!-IZzT4Q9i18bGp?%u|fFB!n}6i>7h@@ymgAkZXXkj-1<X)vSjZeKZyl1$7sVm z*cFUZ@qEm9BQT9U9Q(cikAEf_XptU!S(_vWWI{js>P5jE)>v3&%{dcA`LlsH3&Uqs zhtn_OjZ<UaL8~0Jr&SvM3RBc81sB0F2;nuAX0hSuxj+^|l8!?e&(MNH8zH&MlQG#3 zik@wYauFp;HH)84p-KLZJ>STKi!JwyvVUMtxaEb&UTyh^Lq*`@1Uh_kq}!Lx3^8}# zhPV=?5#vuxCd%&JMf;rHGtHq=3r|9I$|OHLrD2%BcDQds*!bvYU-D;c@&J}4cmZ^D z1q^;}Gq0bWw&1!w<dpaS`Nhq5vu0~UvQPe8!`@Q!AwmgrpP>AJ74i18?DL{a-1xmE z3y{r<&E+7!LB07%rKdFKn;k(Vc{|^7YJ*of!}Su_vwCe3@2D*(%}bTjKa3h9aK&=w zH9CZAJ51rmOSP1sl5@NElWOC2oAc<5`WX-76P#}-6}N}Z8SUe11$L#}c1H^>d?=rn zft%`?kWlqs4a-{62XF}mKcJPH+te2p8vyPfVpDvlrU;FACwHZFcc+XFtQs%G@#~7} zyBJ+@;|?_H&d;VND$oTZ(@GnvLf}<S^jAxDw(_QiF?<{XHlH|_n0=NpG#`jY8c3>G z^2NkHR&W|0(Rdr>lFJ4Dg!pdl$w$|<aD-^gh6v`4I+iIq#*O68O&GG^t86(Y!~=78 zhq=W=vQ$vJcoHL{D<rgAvX}N(CY-FdB|Q!2$8$6OhHP*3Nu4iY`VIHJu(~gIZWrd% zQ7_IV^bfR+spJ}MX@CY7jaO#<XF2rye+_IVNZKhD&fQ=%39v+9ub3Vl+MjO9-Etiz zUE-L>FLk+A?<clo0qf<E$+~HEJ!3BM?qEA!{gR*zD;t8+ECvNJXO@0VGXw_y{P$~2 z#Pf}wlphaE4I7}=f(mCox@vd+LQa$R5I2bk?Z|lP-Q-u2JP&Coe}_uoUaFq@3wIaV zg{n^pu1&n2LxKSeHA!80uTWYS6A5jvz7!056#Np4tt^3r9ANp;?EUS1UU_j}j@80* zaj?^-rF`S@O>-su<Uzp9<EfQbzPzEj-^uz?-cGv)elnscmHt>Yk(qC~u#?Khl3d}9 zzM#-jBp4PiST$tTx25Sf=O~7dosv3gn5wvtYaF)ScL4|EeOjT95t=vM)o-kHAa3ds z+}>Yw<IFrbm;)?6ae{X#;N?P}WC&_O-T6KkF6&~);G<I`CSIjRkmGsk^j=%kUKTGa zTwiP<u?|++r%uIWuSxT`VQbpPjI90*2EQOr$rIH4rPu4p!-O83^8;|N23Z2e-abDL z`sn}Ya+;S$>|+PMvOoSn8WQf~^(EN4_b3U*h6zU8k_@&0Y;r&8ar0BI#rgNrOOoYL z8X+0^GuK75trW!=f_aMr#pxVQq%d1MuL4_9ezEBA!W!fo)bc#X8XEd?Fy)cEJ%4H; z9ER;N2^a$S`cO<2@1d?lr(u*mQ3hc))d%0SuP;+!wTG4&YWAg@MSQ|);+URL?@>|Q z$t-7{;8p$ssAZTh*n2v{Rc>=gF`5xJ<9@1nI@64ZQ0A*r<(1Chg;1{MWq^d5HmK|# zHPnc}xL=mA3)}X*V*bRzg-9Cwtbib)?CP${WgM?EI33}*5aVKh@_7+lKsvFpmE|LK zhAsk|BO!Hy_qENxi1vO4EFG}vSj?J+-MRmoiR`SG0~8dj%n!}kOZ<>7*hfdzM$zqC zjy@UTDLSM3y&7BmMr71!C#`;E=)zaGjvlk+^hTD}z}_1P$9h6`U#kvhQ!8;4acX+J zloiP<qhn8J3EgRVciy2cG{Dt~bYk9Nw0*7atN>!5R6mgEk$+W_kdpO9&#wNwcnxM& z)MVLC@P$Q)<d&~}@vQq9q}dmRhAe0C`Wn*mDjsSo5E4kVeDb3wOwY~Hi)M34`@+V8 z#`k(ft~z1R0`guNg#mhd15;fQvY(2g2AD*Ko3bZ><l1e!vZm(g_nG!8@x1t*y}>v+ z0VCJo?*zjTg=f#o8%WO?n%III8GK0)%B(Z9r{E5fvS1et%Ge#t162}#z5hU9kvwW` zcEosG|K40lTV~-|6sm^uYbEWa1&&A(`=9RIN+if1{lPCs5j&mD^HYA=U8N9n0AWV~ zYE}3mL)z<~Hj#u-?7@E#5s6So7oOkdQ844U)uufQ;aF;Y(t)?R=&2)Fe9&0jaq2j~ z>S{(j9ZwwW6GtEM)zXIb{Y&${rnaU*!RD$3_M{b#xV2(-|CNh({c29L-L~>*u^TzH zj|K7HZ+ISk!Ey7+de%p2tDc=E*#?|6ZmbjtoMTYW&3Y6x)IrTW-a+VOBG;=Ux~lg% z8d&~9G`Q>x9>zq2x(#0qONO)F=%jqxZGK1R%$hM@%bS*|^P-QENxf=tnD#l@n#@bw z1j#O1H(G>2kLR6+BhhBe5bi?VI+w7(JcrPA>s&P7;#s&S2YV80zKVNb0F}_}XFyxj zfmgR9&zK8_*3+&Dtm(~ba;(=D=8Vj!2y7uAx8u=($GLE#hzO{+wrc3nIIk(LC%UL9 z>pYv1f_9n(n8|UYIm2aXS&v5pgBGxlXO-I4W95R}!BeDM5FU66kqfF<Y+L^<7qrmz zg_ddJoH)&Oqb}piS6&5-pzA7a;(k;jn*t0Yo3_qx?*y7v2TNBdAh&@Cn6mVKzk*WG z-2AHGdIkbHlV*K`3tX%>J~`B#?SI>$pY(~}uAxd)%>a)A@Tj2TtafdCle@AIN;asL zzvDfs&EzaIy4VFh{&1urRJJ8`LE<bBVu5~0uGb?L*3@1}VM@K!0;uSNuwO>ZPytC? zCQ`+(3=w=7yvOFlWDM*2>p~0K8fdQ|=5_hn$LEuXWK~9H2g}B@i)mXdZus&CsZ>AI zmZFt=J9|t7oMq{)(_+73j0WXp>8F2W<iO9_=#mnb)H8O*lNmNq?p<FSJ>JgD$OJeU z>y)yVRD2M-_dhyo{Lr`cF%h5vPo5?Mca{3b>Lvo?<)p_dI}2Bg&XpL&Q&1GDuY;h< zyre%vry;`$5TXU~Qo{QFY6-_K^+HjG6ELL0NGaF!8S|p0P#I{k=0WO#=AcABE>eBC z$cF~2w~hyt2lz~Qkn*8*d<g0_;rr2piZ8qdp~x{Nl$(V3UI<`)dOs8vjv_4LoInGa z`+|ZF2>40S(fNdbC5#M$PtnzdB_ZM?$He3n4n5R!jjR+j+Yk6~8R?srM5H8*$g*zX zy0&IruZkJoqFc=-X!ShNK+_!F#3K9&$`_Wd<V@-KExN}@>9h{?<(2l9!;{VnnC>Bj zUUZHa!BxF<o^%z#0m<<8pLn{hWnu~ROe3&(NLirY@`ZS&1qBnJMAC<q6r)pm(lKcY zNF{ni=8tElzSW6fW>~?5%!VMK0g^oJQZp^I?W-`3?et-^BaI6fI<E-Xm*RgangeLs zLA&`2nEgEMVG8pYIyR~dOE?nL$+7-A?2gu7TdJT(suwVj5>=KhjiG3x*|MN9krI`c zE%l+CphFJkzwsk>uxI5r(&DkNu2}x`o_v9`)TE;hE_SwCLwX7BFW?@oKD2vx)Z#z{ z^AY^uSuGn`KKw-Z;BVDe4w=YDutUgg`_}anH;FY3n+$CTA{C563AF~n0Z`6>=o}|o z+cXlox>qvT>KuX=@^3XHZx1N~xU>x84UArLZuAeg=g6#<qsjAA7LIjL)+libUj-{i z%G$lGLlHZb$@$PT9hM_OH^-HoO~p7Pll_f~(Pj5jx%#o}aVivxIDOpyiY`r|A7|UA zAkO!FR;6=Nqw6~bY~zKcvDPn)AuhQn2n;nwvL$&}IQCB8<4UoU<#z=ijdVMcHDV-x z`L&$7vvE1941@F;9K2%)9zsz*Ul#`~ydbr?D8x|tkcC_nBJ4~Wy^jvBO4g9`@gUge zldV<n)D@!+p@rhgArSmL6l|Ddg70T!>JaWc6x<g|^e!)P)jF*rG$96gD9Qjj&j#8+ zb@L#M>9fMi@X=qWawRlxJxQ+MSEAz&iF0}K>UA0Y_@lN(voyOn`Km}vo-7}Pk7~+m zSa*kSi^6C<ET(BJIEc!7E4zUi;vO1I(!GdnQmz-_p{gNT1(u)j42Q^emys^ejrslH zOFH5LYb+|`?C22l+KURfNb_3PUzGPel|8Dxs$_(|HH3pHVMzE=4u_|CCTt{AFx00< zxZx5g$1!zRluyWyzjJ!HxaG_69jke$-URy=a;=NPKuSA!WkPPJQJ+w6a?b9Mo9mex z*wdr(mO+h~t3GdhBXI9{j$uO>5|)I524K9C;HjOk$8VGqIxE)hL@X00saMaeI>f(- z!eDx-@jSu>A2`-$^i0}gisr=rMoLpSB(0Q@#(svDJzhUN=o;O3`95<MsliRUN{b}C zq<81*2Yu&vVk$hf>VT2)fJNMD;MScvbw@KbTbt)Ly=z)xv3o<+&8W$nq*>G0W#Co5 z%JUwz+k*=}vXP&?4qH(ulktiL@wSm6f=9_XHq!%JU!Rr7;LJM{8&z|~g^~$3WSD%q zoN3@8H7K|0;~d5H<8<i`q<2SM-C~!2vW&Kv)+FN%zu|$U)9#g_FX){NGc0;|#O(Kr zX#FfHFnz}m;M+S_e8Q#$VV(-$oCTU5so<B5m8Ed_8J=AQNI!LUSQlqz*&}e20w<B4 znBcmvrL`=h@FTZy{fJCsEkRT9;rL$nE+ip@_mH%32sUEeVrK-IGGm|WTK~t~cet_d zvi6Zq?ki=Fs&?rHo!dTb4G1Y8q&-4*Fqw@`Zj46^7?!p$c(fH_;&>>!Cvyp)#uoa% z(TV-xmL77I5E?b!hK8W^X$+@(;tQRijW$E<nUA0UHQM{}uLPn)2m3-1$1Rl{2G}~V zZqb7H^oU)+N_;LY^G}`+zDokN0g5_UOuyDYz;*@<@<bM`Dg;lA&QIrfd&KYIe0**) zITFKwAn}bAw$tb*cw3(@xM~2H7s~?g5953kb~GOOG(@s%COUaVQLqCmPI_skO)bsK zlrWY~_XcUS;6VRXlj>^+Y+zwy>;<awvTKE_J)0m72YEWuT{r$A&4{YKCW94L%rs)l z^U@jpGenl9jq=cntL8w^!I((q>dk_O?>Zm$Dz(Y~L^;miDVh<&wGG^hKT^isrBP$2 zteJc9w}I}o*#U|_nBdyq;t!+c%z=$h8ntKBm2hsvc&5?CD7aQ4p*~M7@7c_4Tc=CC zQbHn4bl~V^p5kbr+;~`0e8CD$ZLni;bW{r8i2qt(H{cdfDHKpk8P4Of%h>0!)7I05 za_Bs**I5F{lZh>vJE>9vyLI_74YeXj)m-W(I5hHH31gXpnOwIe1^u}vTu73T8M9l} zTkDd`4U47awj{dq+oH=2%ZnBb;KIV6C|AO=U2W>evaywWstNYVtz%dGLf-W2UFFKe zbI4i2qIICml`s0SewE_5LFQU;Tzx~;MOoGP^&&9Z5lAZJ5{Kyd!QCc(v@HVq+#|ZR zI=e|6dVr!hXPm&<$x+oIW>rb)`loexaAxU#!Q;ue=hkVh_IzXpS1!l;QPNl%I_}n& zhnw@sr{hnPNY8QALo<rf-)amoyb4D|TjY{PY3(<3+JBt~O4M)~P@*y--b>WDaZsWH zQ}uspRFei!qn@U_{;5$<^WlGM)bT@5qk<CEjpk2@8jEt8;5dqS^&n9hU_puM5&kS> zhJX4=hk4wtr_NcxSz|HwlFR(+PXSP(N__T-(>Vtv>OA{P^AP!tra}LLAHO9k@Xs~s z!t#Sg6@vVsQTr2){%0gA@PE*#<!e$Hi*t8vaK&gP{(qIIUsm3GEt?{k+qu6+_`+Nn z8~4QzSMZI!L?GAFPhS#$zZZae0w`5qfKrtgJ$klrzCY1cD-AmmQ50V|2LtzVlfQi* z!FexF+qRdRLZAUWcT)(2f~O7&0S)lfO3}Wr+lCQm>KZOxf2=OkCY16{;8vA3eC^9u z7KNa)D(&T;s6<)?>l4<fkT^F4OaPP5v|TEYlVrqKZ=FBs(N?p-I7gLjcFk-JXuicX z<CQp_L6f8&29I&@m_ox>tJnTIdbr;av0gBC+GI?NU6*Zqu<T{>a18oQE%q;mkyL$! zSURssG<CHrSX$>vSy~Ui{ZO_1I$m`NJQiNZuQ44<BzXx|<^a{hhtqzuS2C8X!%h;S zdoUCq`i(Xcc%au<sOm(?7mn3ayyz366?TtzQ@oDiqi2O>Efa@_YQDVavr%^Tmu_;B z=s8l#=sEln{Kwx1N#=f)Z++doN2*b?h2Umu=e<u&PLmsMV$h)lS!+FVJRUf>gR7au z(R9+|kANOWq3yCbkJkPq#;jk@%V2n8c}y%j-<Hj;%?ge$&c%qIRLsiLI=h<rM@*Mh zI9fAW91Nbs*Rv!$4x&x-nTqD?FRw5XapYiDN%sl`84JkE(tk>gO+*?!*3HVuq+{d= z&E8<l*FQcoc0QFEmPv}8r5rh+;(ey)_@<6Vl^$SiW=um@rLS&eOuJK|cP?a1+fsgY znSZ)}gH_iP`fKMAD)o!@N6Jm)t$)|fKD^snUBOCCu=&tLWoSVI%I|qinlPfM*0rjV z{a3v3qT!qssUI%JyzrFaoDHegQ_7S5L2=Ei@!oF@uKky5)B2;=L8keduhI#ji4fjm z7zuTKCMK!tgB-JJKs+;1UIV|Sa#ts^$iGq1>4Fz@oZVAtOy?hQ>hLcH`@iOqPkEj( z*B{xiXcWMAY0=Fjr2Tm6aLaTSUBTSt3EQ@PF*8h#h>hWyNgRVsGNr=y!^o^|AD*iN znFo`du!;SHH#g=~`$s7lwrm2us<+}{bQQwE$;e_{QFwxw*gS8@4G8p>Trn!i+)&t| zUW}+&#$a0_E-`39ki!t{0Qo@rh;MA1b^=K;kG@2nkQ0+0dT@0)yGW=XpB`T-86Nsy z!`U^@t@Ku&B{8jlHH*xZfjxl5*oGJsSX{({l5TTtUpjP|;@qlpU9wRN<e8osUHwR~ zU~g?XuC|-&cd@f_aXIimVjuo$AHU&D-2du!*QLt#h49w6^jb~>pfTe*S$k)HR=Cx} zWmgRZC?sIrnVq|nxi6h8-F2!8%dA4j@>*b5)~({>VbEnB6`4-+C509HaR|LMqTh0~ zU-4|GJ=j8-P1P0A&vm;)n#Q`ex2e3iytqxQyxO1lSDo#!ip+7t%FP&Z+4H`1g_@f- zQ(Hogf$ZG~PG7tL-gJ@D-$A|i3$YiT7#^YR&zxkL^9ZqLnT!~r6<&L_gKQKUVqW$! z!$CW>F?VgfmttkBOGBOHfDAtPh*G?n?8a$Q(T=@xtU2fN%IIZ`9&-<wQG;|~IE8va zg;$rmh`4EXSE7ixS@uSvNUv%3gbgI;BsDV?9ih`GcEX1SAaikIJboLkee7u!5_jX5 zBVge$Q{|p88Yv^C^OAxv<<m=wVd?WXpC`oA!u4j>ihAD7#Lqk`x8{1BEI-Bu)&5AX zl@#4fa=dVi&XGIs$eBAAJaUMGUi-VhYHfoWSg*x<I1JT7bHD_*f|FKc;bAkm#)_}B zz{*StKyEP;!=anJonP%o4@S9_vHhHS5n5_b{j_+z-)(7`71UytFn(}*OH>^f#^G;x z@?*MjoU~8vpgAX!hI=xvE`2)mv8m^XF7^|HkC{{+0-`b@74jCxQx@+`GM>Gy<2vvn zS{4p%THxifFu?RCEld2eY!<S8#iCTv>eN!#55!JHtgrKi#66`KxjYFZjljOqIo`EX zh@SJ8o<y$Ih#G$4A;vS!{HYPr{$V_+l47JmJDuETB#pB@ke8p^z(qUmamo*cP^=_l zpTH`jogR!EtRmd9O}CaZKbj(yG46?dxf)oJN{=zr5GGAF$5BXLdqGyXoA7hW2?O%V zWz0x2B?Rpgl3ZHK99<1wXwg&5ZYm{gf=~!VJ`9B)iWp)gkHY%GY>UXRsBCXiejgI! zg~AA>1nKia!GWrWY<ZzjD>)9Fu=YmXbr$+;eJ=Frste_EE{$#Mcfb}+3KoliC~Ra= z4ofGx1df=@Z5VQj8o0&C9Qn`HXH6Hq6rNZ;6~dvfL(Rx1P%ms?U|g>hKWaMxm?2Ac ztUHiU1r!><h)7?8W%WnOI1!RDElcBx(2y;_gfAKHE<T=qTmyKE^%*b4yXCOKyPZa& z8(dTT9Cylt>7;5E^v;CA9D-tO4}uo&4T0f7$bc-bS-=+y4(xHE3r`K+`pq5`!`L@d zTU5MqtM!Je;55uP;=^|R)-Wd-^k$%j&!W1^=~)xtErREoud%hyhL1}cO74Ym+w>i0 z^lSOAH`{M_)U38`Uxzb0MG3^zx|?MBY0~;yEHJm+P^%SDe=kY<dGsz5y3-C4F!nB5 z*<WDj3qG{R50#^er_igmLh&KaY)=#&R9hl6oRfwM2VALMV-C0O5ZO)dx#rbUR~W)Z zl&nhi0rHV7v9HnwYsnbcmn%K0LY^vxhxiM|rsLP|uuNg_t(UT2##jm6zFSibuF^tm zev8ArDBg-ST+}4c!NV;PgqZ5X*kJs#0Om;V85GML%jcJ4W!bbwSn+gr$YH}V-sD=n zepogsP2Z%z+nFq%!}mwBzNd>$PeqrV$*E4E0I_1nQ?070KBlbs!g?R^a@3Z3NxiDb zcbRURhx1V>$~rGhFShMNJ~&GW;onAaEo)>|Z8sWfqy6Xf_`j6n!2gaO|Ce$c_}|gv z|5A<v|3^Kpoz~g~YY2qS=Fy2Pnf#QL&``(48M%#}AAZ`<vNiZRI=$Z{f>@lMa6^;u z_7y76bx`y($)@TR5-q1E`BsK;g(-8j_B|r_%JQy8(z<$AZ%q!1N<;`gBfd33i$0lF zZn*}aNI(tVi!b#X694xp@c*Cy2mYrN_<vA<1OHPB{68qbG5>!B{@<^_uf-ZTwQacX z>9zl_|17=!H}D$xcjz?)|G%AH{~LJy>i>pbJ98aA(CdmPd;dtUcdyNMsmvbe^~6z4 zrZf)Ido0hgpdGrMd|dE18Ffd$B%RO6@QGoXc=0^RL1sE{$h{L}!%C(jNrf<{(gmc5 zGm=C?|HiJZIN|?4#jZE0f3xe@q6c;j{Eb~piap}*c7FZxl~ZGqZXE|1jkAmM4JE?` z->om}!rtNP)Rko1;Z#Rx+*I321M`+`st4%Nj)xs$aj#J_x{=~oq;;RLo#m3RxGHm- z?qkMV)N-Dw-dn4y{!v$V-r|(FA;i{a%Mrfz95|~}W%W%z*~+pRof9cE=QNuIYOcml zR+3m}8d`HDg727I8(uXWTpj?r1?g{(9*d_`22ZIUAtAwk8+KA;+D(z{RBXW`4k-I( z$6j;Dy%ckP0&5{n2WbFM1hL{RxCLu)c7!)$(vJe{KbHv#GAq9sW~1HStuzZkS%!j; z%A>q}>gw1g3ULoZ!37wKuv)5Pi(aNd0@YmWekcLgJA7}@zLg)nsM(v9m{pQl2(7Rr z5FO4|Y?+6$Pl^;+q1%Z^3$L$q94);;n!=Jj9yRy9nWT8@R|4wDjD`9O2K3niE=H%R z-X|Mp)PjSP0b~`gNygIrRMk*Q*57Zb^GaHg&HV7^ObI_Yr2_bGN-v`w159hWjcK-$ z*P*~F^__ZGHn(vG*#4PKV~@?n!@~-*lURI>v90Achf52r>>Z1J>j@IgFwxrOa6tkE zQ6MWR|H*p(EilGBSI@+=CZX&+L_S=P()BTQ5;$0#vr#ZX4EfBR2^`tyjeKFIPfAcW zna0Z`s2ADh1U%kN?dqV$CX_LXkArGgZi=AcrCId3NX+!rc^Y%>^J~}2;$zHR1}CSe zkZwBMm8wdiy3>S^)l%Cre-=jId?)a5NCY0r+&r{(a79IHGUxc@QgoR0w!*0X$L-## zb*)6HgjKTOt9t2v#fG`*+fBx=k`_$jhXG8oP#~oS9x(Ox-p*JfQ5j3ID$52rZs;lx z%ea~{jfMhdlLX5O+X@5tyA;O<!`s5!&;18|-}Fm`ch&rhBMcDBUCMDsv^j%wgUfhH zA5b>oaQd;J7>rVXvN*zV_U?NFR$}cYC>s95-1l=HrIQMk$oL3}Sh`FvvS>*!42|sp z23X_wPhPm+w&%${y}7mdT86toa;zNBh=yhFRGP59gbQDE!UKnfU}kw2c#ACf+H)4y znZB%#4Hi%Ka9#pq@>k5!pw{8%H=h^g;LHyF+z<WuRA>jq6&tw1Wi5r}?R2SPU({H* zD8zR?=;?B~-+DTE&*wo;1Jpbv_kuca{5gyJ9^GJi`yYJU806bG&^(?j`Iwn$vwh3s zxa0f86`TS~3WoKw!SH2^r(aoj9n^du^mO36pDBa+<Tkbp0%=Z?hCfCvplmoWN{Dfh zLK%d)GK<F5TQPW(@<-P+c@H&M)>M0g3y~!jexQKi72o3cHxGat8WB{?`4R8sg`bWK zfxz1i4!ouU-lK6ntsz2uY|YY4AflLy6~u_pgo*%On}OHOqBxW!sW%hiD;6p;I!s&z z2!p$@l!*INo!jh05q36hZ%Uvm#$pJDly<NzKD`t(vZ_3|@-W+8KV-&WKqOej{|W3Z zv2%A+P$vVJr%*(+yqDYx@8Gq3M9e#-tXjiRUXW%;TI+orw={9if2&2Cq%Tp@7FpyZ zsnooR&_ZH*?vidK_VNI#tJa%ROH`o9C%De*2VE-eXsx%{D@x21)g~PGm2Oxz<7Hzu zC6q~2)4`>^%a5togm=98WpF=5Nj!n?4q6|ys1jMi{Z!FcK)B94@*A%6qT1*)pEnXh z#G&!WdQ$LKm3E4H-8gcO2n?OCIhowQ3hpAhk6*=fLJYzY+!f4RXI=LGANJk?tg3G7 z7bm4-)7?_iARrwQ64ELlASK;M!=k$zM7l$yRZ2=gq`N~vx}@{2jlS>kJ@=e@&-b1C z-RJrL@Ao|HXRR^DZ_GKyoNKe!p7S@>c5_W!3FGtt>bUVVDoXnZMUQ80m)3XIdiTv5 z2iV4@cmIBM9r)iZuWO`b+}OMLa=&ojx3}|epl~LxEX7jVlk$Wvuy5x@gA43++~>WN z<@X~AOEMmB4(AM00-EFBN6#~Y3VL>U0XE=)=G9@H-NBL)u?O(%%JKc>VtMmrU0aN> za2pz6@!Y<KUv#i0!VPFJ>a%@fjp|&ct#sMr{?zV6N6}R)np@TL(#@*jAD<QH=Vv^o zo_l!hZ+w%&&23Z+5+iGVFth<afzm@v-e7iQAs@~(;n}DKcB7EcTS0{%r7T_%s^AJc zcke)B)RmKSQfBouzoga0?;H@|zmNp-uW&$s|3VVTzrq0l{tHPUzb~-=GY$ywUq}M^ zXB-d_jU89I9DgFr?md|#)Nl-p|K@}IKfnh$Aj-9D%)?Rq2W#3unr}RH=O>lV($UCY zh-D`y&=SRnNjYd4mBhY`ZK@5%S9Fu69-#AbwLi1A!8Hi)liLf|CzZ7JF%)WuD4;Sd z+yOZu4)8+Xh`Wf)7i_~TLa@{A9=~L%6Gh{cJ{j8!|4wDtbq~}(U`w^bWFS=TZ38JE zAG#K2;LK-j!;xhAS?&32yoq|qM|{JPlt7`%y8*7#W$!CZkRip$lLaCyiXZm;tKwEr z)(9s%s5CPC(DO3-PvIwTB#G1q<cE;+FOZR~-briY|2~ye<^%FWMwh-Ctwt)n8H~V1 zGfT46hp-SA!MR}_Inc;{Kiz(rcO-cf+<9s|?0WNVD{0pTLV(89OS>JtdL)}3d!2-B z3lRYN#v;pC_TQFI|9EbP<tg!*<e2JFZtqTN{;H?iu!v=D^Zkh8!%x>qHl4d8AHJL@ zbX(T!-uP(y&0FvA>WR+J|8SzDxQy<(;Ez<TQ8FA=ciZ(;&R}17U0-HF2KVEj@g`VM zPn>SjXjK&E^S~e*$afc5JyRECApr#$_gEDH`gM=&H)gwogAs{chmXVc-H*r`HS;`# z&w5JeuKly@((^(R-31V#tL9%C{-;&*;>X#1&{cDMlN3_b(MPKn40UY=kQP|DY)DdF zI)oEkcuk9j2%u@%5J*0oa<;m9@0|248p1)XFpS$XHuQBi$);d874>|k?CqUUHa2#f zyaC7A%hO6-h9sn?5PoGv{KWrr#EMOx^*V5YHWn7}B>KlohyS^i!zD(J59EQkFY9}E z$n8H5TToh^1Q1@qIS4DA-f<8T0dF=K9{OQz*6?EeV6k8WCQN`=6bOpuGo2-)2g>y= zyKa=j><1%JExW}SB(A$U?ERG<oPoQ$PJ!9XiKAx{O+}+0eNKi*T;B+IYSur)`gj!< zbK+4YAgsqiSaPIUQA}9!mRj_IPLG}o>AnH$J;|U#tbW3Tn{ynS7#vqkI=|x?i@)$Z z%OH=FKhGY#e|A0NuFVO!CQvvgRXIa=?mT(35ME!KwB-yEJyTZbNR>=dv)m~!ws+XX z&G_ULypK=7{gfP@rq7qlhbyBk;@jmYB8q#04HSy{kJOrS&FJaKS=xbYn&6DVg9WB% zbwU+)^~C1HEXbx-E!OzTXDi!lJ+YYYHB~cKwvTzaGs<s0I`jUycqhN54gQA0jFx0Y z`HJKtH!9#e?uD*Md~U};-UuZR?UvwvG5PVm;x^n%Gi+=AbC|nWWc6Y1!JTy8S@_N! z++80-zRxG0dQ&1~-#>VRjl$QtN4vQC$(3$~tSr(m2TRAU%~hnwowwi66|?8Z)Aag- zhJ^J}7M@`ZkT53F+7165|G{}Q8&;a;T7#-ghTwO=XShcvVvqBAQzNVn;12&0af&qB zO8JYM%&{lR_pEMwne6T(>Fn-N@Z|+^JquK%K1*=V20S%7bW~_@4Uksq#7on(Y_JCj zpE!^E3g`p`GGYzS4M?(Kaia$dS*NYpe000rPN)bqcPR!}p0J`?^k;FjH{XO28n7B+ zNdi;JxMBCcA%axTQv9BO#`FA~@{{LzDe#l$x$ggy=PA7YZ}L3D3I7$Ir(EGJ&l7g+ zPoAeU_zda)t31!w8&>~_=lP#qDf<ucJpT(;%KkN;=d-`;Q2%3|=YMRa3{L402cKMR zU5ORs9vo~%(zp#|j06wq=ZD1YX9PLT_OH(fbY%A=5p@U=LFD%_t!MZZ4O)~{TQAS( zw)xCeR6BZ-1WO>qr2h*dW0DOB`2QGrG3TlB`@1DArA^E6cPEq3TVp)~?d7GLTXz#n z@6>?o$K*skE`>RUNkuO_I?4}ZZO<36g$Ht#X1bwlFYpI0jiUGno#(CjHjQweMd<5D zC_W1r#5YeuDO`0uv9UnC93((DG84eJAQbwv6E~FqJnv19#Lx*riCgWBzP4nj$kg^q z$2gkVmk=_Lz346&!pV?S^8~^P(7G8|n62*g)D1f;B6{vTc?vH@n3PnfYWmUI%R{p~ zj&Odx*=#88n9t?I77j<(U0wTb+USxz(UJa9*iz0XX}&I1+alg2(L076(d4GD<vbc6 zJFJKvl;orK{3{!yO#kZ6=mvCWR0BxnCdc9YcSwl;9tRQlw@HZq9tRQlw@HZq9tRQl zD+!TTC^dQ6r+b?tjbqx%X*ZfX9jrj9XK%QzKxySm{<hzOg!zD)#N%0cjcc-rro&mA z2y~r9^_z%pSz^!>26UaoE2h8;9b6|F==!-%g66p}_py1JIJEfLlkaF}`A;@~mY{4L z8gC~x1wamf0B8JxIfZD_yZK`OJ}d7(PRawo!jb>$dxF1h2?GDO3P=74DGv}I`#;Fa z`xo~Ff7=qo{BKs?f3x!bo0a#ImDm0J|20;g<LH00^8WwE$}>V}WDQPC!g~UF{(orS zHMijLigM!d?6g_<gLRLF9?5FAi}u98_QbvD5^@Ow@|WatYfL+IL?;6b>RfY8>4jKN z^sv}tteygz7&cR1n6BBs&Uj9aJl?-Cv3_;`g`=IT>oonH-YE9XR|iXiSA2@8L)UlM zlXT$jd}x<vb49SPISe}gAmDv}EL08Krgj#|_WqD(DOn}Fm0C#oxY^rF8Lv@w{j&s) z4=4LzwHNN`nYH11Rjk>;0W*1mvA`Dxn;5rkLGoS`V7(N}!Tfq}nlOR(iNVB62Y0&2 z*K?@DPjJe|^-@U=r}NL2&YNHN><e^*RX!{{e_!QuPDRAxeAf9L>y0wE%zT-)w5fx^ z2m2uv0g7Hf`>*?w<uVi_2C?3oF}Y?pmLlnNSE+9wtvkabrR0u&7Q9e*28hmCnA!VI zHImDZo?%352S?pe!r;n<HxExiOHC!m@Fh`T@9v)`GLOof2iRh3bFMHNHw`iN{B`Cm z8O~jh;4M7|w1xwDPgQ~D3?iva=b*XjxF49lNC`rxZp~wh&LRQ|6e<XumwxgB#k3ZK zQWI)Z^-B}$jC3{!<`EpOz}47ej1~jb`Bt023!T;%I&#J`DZ5V}qeXAB;g&sRslklM z#TP||v8!9PK|%d$7Q;_|<V62&=Y1eU%b`e~Ue=i#BUgUNw9Ll)c~?zW9m_#%dXwI) zG;kA?hv9Y;)TIl$35xQ^CaA}>Lmg+}fQkDd=eOv~r$FBWQipG3{%ZWz?XRQn=NtM( zM1oa2RODb)Px@%GF-BhnSk>d&4_5W$D@Ak<FN_p{=00fA&y$g4T#UY8(EOoSJl?-R zmi2ZE6ww;G1<G-|1**VyZe_%#Bf*<DX2eay4z2VdY?*;p`shzRLBls%eh$=mcnJbz z!pgZ=C_T_Ge?olxtwhO3m@iNq7G9cQJ-At~39n^n3-eL|D}99g9tc1yeTH+uN}s88 zXr)h;=+<qOH~-GJ01MZV+d`jiB3FVgu+S$k`X3eg%>P;F(_N|qlm;#Q{az%v73u%8 z(x=z?A6EL1@cyOJ=gIfSe-!$({YMIYw!lIk;I~SjzE}UbN}qqqEc~B{g+dOug+99Q zQx~ZA7wzb#z@NmzC%+R5C!xebo)!7jml8uQ5|<3jzNf^jSn1KPdT>dP5SKBkm$Iyy z0=+1wS>-KPPckc)<ilv>El=x$D%&3?U@?{;_^w4)wp%B{Y7*;>`PBv*)b#|#9*Xrb zIJJ2&J_^f{FA{Z>o`_h|5EMdwrXIv_%1tw4dsrby!S7^a2d-46d<0i2h3CMUpQK%% z*FVU>0v~&7u)t?crnOi95L~i!B1e*;>`3Z2gOKCAi$m(WXMZ@TL&0A);F=dH5|MOm z25}&H{7^p_cuK_Ht~EvxSk1{m@bohp^EX7z&7>sd=MW;|Wo~d0kc9cN$cc^R0i*gU zH=52fH+VnMm?WR)5EuL{Wx~WPLQl3*G*X?D<)OSCx83~RI!ql194uVzM3Q(i_(a+# zK1)*CwlYa<x)2@M^rUcINSVyevW%!qWws^e-27=@|BA;4F1ny@N9V{ToEfB<8MCQ5 zIwTsrDtH&A9DcVK2<a&=tY2H&Y%k>39JO*^l1U;M?=>L&Ai_xU)`JjWmb#G{TOx#H zruoE23>(zt>Oo5!xLsQGtv@{ouL?-ocmW9pa<1q$x2atRr-wI9vTj#UCcTpQ=I4N^ z_<0epnHe^=n?@DJT|2Mim0a9Qryqmoc5dzP&}s%f>)C?sZ%(@V*jU3Bqn@I>qaEAZ z9h7|ziZW9b`I|99PfzQ2SF)Mt%JgL3#9e#6`eF9tdV03`E&I*L`Zc&ZVlGs-2wc25 zjTra4p{=4*Od&KyZL}ggP6aGqbfxu4R{h$fK?XNzN)4wHkVrZ^%4J-_VwqC5kFG>* zE%v5+XID4BU%1chwE0omxKbji6d#+FrpoO$Vr~3D^l+$q74c0^x9Nn^`un|Ly4PPX zWtxcuraFw2)H;PS%)fiW3jk9Arb?=vbZ?ZZ+j4{jKHA}=OoWKbm8XNWo%nJFaJ{}g zgO#VRiU%cQ|GSytbk2th*3!^tx@A$CPE{VU<|t0#>ib$X_+Xi_xq-amvAVgryyA(v z`AhlU^m_HO8zKBF6S2p`U+Fy_O!kyy^_Sy)!wkor*>YC9?^eIrcLZ#f=BN3R#3`eo zB*ZGGM79!&e-$A~VA&K2($QUC-MUkF{{jM2lRt;T5tvU?TW9M2(W@r01>WGzIJ_44 zQoXC6%YP_H#fIrE{r%|YYtu~~EMRE*f|lcvKq!62%pT6gyo)L2I{$f#8s6Au^nO}} ze)9Mf$5#t$B4D+K4;_u<xh9r{!^&uMSxbmK@!+ig)}&-f=gOTXUb~%8!Y6qiutP7m zX;!@OUZCaDPZROe3RuDNXr<a$*SO`(dueMr2+6KrF%gncE4iU#5}vyX_7L%89jVjV zI2g_McURu+Bi-?A`kF2xLufy9n4~gPuE&!yvqqWYT>hlK@=_#xC~)DbIE@0v2z99` z2Cj+c^M~sOjjU^YS}E#WiX%>4JZ3n7Y20Z%<c>zSkyCZr=U>Uq^ggeRdv9lI?2_i+ z_wbpmyz?cnd}>AMBjRBw#3ISx9wH*Q;#p^OzYtp0P<<Jze<0FreUL+S))hdsdJwqg zL+`ot_&OTM8Z+pka&P$X{=%tVlcDO9D*C2*t6aD)o74W$(#xy;I;@aqx=A<b5H282 zQgh1L#)NZ~?uQv$gAQPRQ2QEbXjdmPy9QUul1Z*q2IIp=8lyq|u}1&&rN<wk*YDkL z+uEV$CP%FMIFn8Zqq<|_gYaoZ1hp3j+lM;CRM0wT*kZ5_dYTtv_S-6A_CHuf1jb+w zpWKLUzP8bOo$0{9%IceO9I<y;VSdx09onz7{WfM$Mrx|`(apgmK~WWp6xR1`!A2ep zN+gD89Ti6b^Ta0wxP~@d<Q81tn!x%W7`Bu8s61CE`ss&do3Bz!?x=Z<ju@RKynFxK zZP)Pi-)_5r@;3L|`>sE4yEHuSak72<AdJKC!6v{g>~MUOU9s!bYS_c>YQA^neH`i9 zH^iMG?%`d&x@NbCZ)&NEaUR(bGoK`q0FUc|M?Ce-rW(A__vpy;z6}a7E`Qvd?;`Y+ zen<QGERw%hSC{<oTH*Cgr=U@4FZ~B+ap242^atM;MxB(yLZsgE4yk6{-jscoj~k*C zATiPp;*}XH_}G@V9vz%O*zkO?yww9`z+7!s!h^@fJlgC*=I7+?+@i@rs=}7=($h22 zVF$?JMZeULlYjicYoD=9+liH4r|c+aj!$DqtO`8Uo!Hyg&y;S-YHFh(Sq*@))m~Cf zQDyxv*lJc<uZ+ql(0*g9O=|v?t@gI#maN9|9Q7|`wYD&jtVWFrlGU0?3*e_;AD3|G z6-w6%uli{=T>0U^>{<dab7m~NZuS1TI}-s<mDc?HTok+7rDsG`JFnQ*V#Yh3Ahs4$ zI4F4C`$Co&HE24AOY;3h`_uE_V3tRnZM2F*{fk>tqTu~o63SW}Kz&x87I(F(Yk^!~ zI}=<N+xe^$*K(O0Vfjlcc)v!lM%3fr8|FZUu<V-x%44%cC4jZBVzZz3b0luCO2nST zkW<l?DFT%7xWTfJDH8b*Q^3hWwzjOOBAgC-2RBhmJGJd=6*3u51K;>TonR79JM1;~ zED}g#!y(#|Sg`(f0y{l1xMIUG@xc4qGYx5NVhr(+y-nl=9{mu~*YEAG+f$LP6lh+G z^sWyO#U%qL@${TFM7$xKG$B0QwOJgG+Uwj`$&E~FjQp2M9^iE+K&%gG2=U~w%n8?} zqDnW1eW3+<HOYHBJxAx8+a2HQv0iW9@bLK&;%YtRCctIOm1iqWnUK+$!>@3C{5_lU z?U+G>n?r$f^ilaYM_=RZnf<%%auFiQdfGj&canh7*^fp3dUf|UM{T=yUL(~iXY@Fk z=6^eQ=d@o|0H1Llzv0_d`TbzYZ;yMlldNpK=$*|?kM!EKBg?fKn#Z!pa=+5?8%q(O z{zJWV6+?Zf+gMP2O>OwHSNdo|PIBjYBWu^>^MLs}^EJbZtLaG(QXiyebG})|yaufu z(lo5VJFOXUCrI1S5Gh`Ztc@~-fB1cDpugWC<Y`A%-4+&&m;5S#fEY7@B6>Q%&1m_J zf-vJ|*2puvbAM;oX4o0n^_sujw7R$may0JX8BC@p7=CD&D#W7JXtrBC5w`oWU&$ux z<->cCENF|vwq`=XQCM{Ylx|-ZcG<+RrU^a)&3qXe(HGlcsHNl1ib&h5S9MWb!sfu0 z^Tqg+IfOwEiFAh7UD_5sn!&=eU90!_^lp?eS7iApqek~kckAnVok242bf~bW?tS+Y z*ymXFH61MnE}nH~^ESP&#tAdVra#@sk#u2>t}n;dSJa_ycOjg`sI_@P+?;#=b#ELG z(BO@27(!=?Vkgyw)5co%oQy17+T3nMRxeUEk@0DuFHQ$O7M&e$$&jDlj%aPW-9Sd? zGobGjrxScm(y$+sKJ_{k+Z%+47@>EZ2Y#0-5wK%6X5mPs^HLw4;T=SscRP78K}zRU zY4W|W4pV*2-+#W$mzV4D9cstNVpOai0Cb&o(<w^FMDJq>J=lqM=A&$WE9!Z1zOr=g z6zftkSIm*BBy)uxY@{#>9BHc3OEXU%rtW*cC;#4$X7{*bj0mvYX&@jnxPMKx9Z0ec z6Y)yv^{$ATVaN*Jwch;raih}Uvwq{vGpno}>GDzAV>Z_bulYz*TD3r*>}Asn20%r0 z3C$w5pMEaMo`p7<+>w)H)@h*bm_u-V>@M{%`mhE`@1Fjs`rXez;EPM;C5pM4m}6+^ zjf;29(NXKI+<)+;cJ4Gq?2Y@Zp(#Zi4|bL93Jy*yzx8y`8+7+hbl|cs<`nT642ZPK z`{tRztcoeQA)H4X#il`r9ysDIhoeNHQ%vN;lUsa0^@2g8bb%*VWLEgvRh6Muz2>9C zP3~i>qG90#=DC9Vxy36X7Yz67@>boMUs=n)*)3AnUiU&(+^Xi79Ioc5K)XLVt_nUR zt{Os<G+zxq?qdM*<V4NXydYpbJwM=J7G81#JUSIu7o^0#Vca%LH!67Ko_crk(NOxT ztW#)p0dedbs%<kbqXHTCIPx07Z7b^wi!5ior6kc!!Lit7@NpoYbw4bw811{4OS3U- zDvZ81-&F`mH=|r#Gi;t=FfN{>ndwtsdsWv~ikGrs0my%|yuWXNRF`0CK2l52Xp_EY z9_Ywrb*+R~%&WYC=J}CHP`+?VuZaC{{g^)|VD4HE7q6y9X4@HZFY1mKQ<71Ajs3CQ zgZS{b*W1@u{uX+P1!l$DNdW{*PjQYkL>6B?BugodSO*`Fr-&|-Z?5mgm0=Cv7E?5* zw*~4X0ZOtI^WD1iuLXj8Eip`=g?UnxoG1Kf)xzCOxiWS#k!13Tehe6i%u-`TDsV1k zfj;mQWGMy>lHd<>1PStUDJEPns=meCDDh~u@Z;y|ZS`o~^aYRVyC~%-OI<u_jSLMG z!L-8)N9lW7RFMkYqwcMn-oF5_OTbUWb<j`56y-03*B>pPu7fRadj4`aTI}M{*vXOQ zhMnrU>{I}bxh^>4G8(^nejPDk=ceS|pX_PqRB%6L-24#d-fLRoy8QICMhM;tl7JT( zalsL5GRglYK*_^N?umAqSuCZk5>VwQU3m$p@B#_fuNXwuW9n-x^DKVs$_cogdu9%a z6uxeKi%C&hoo7^YH<g~a3Ta9#Plr5LL&Nb#N<NBhyS%c6B&vFfwb^6ZBZPH7oL$fA zNOdhXX8FF!Y^+TECT-mKSd~?G&UlqD*V%}ah$lVx{q1ZkB|77Mmr^>)8Bi2<d6*KN zR8XC=Y|`)Vu|ty~ihJNdcy`83y}NWRR4DjCl=Q%m@XREZEL^9%<urv3$?&N?hZlW! z%R~ZM_+WPnM>#Pa<f6{`t4>M_(Hmm)HX%}#<oY3BP61XIh1IudFT(p!DB?ccqbAD1 z_3bp;`Euv;n&pd3iVM^Ds1X3j@tbf);Gv$@r&mONnYlg~CT10F!_m1FB8vVa=EggY zVV^DWWy$tl?8|#!VTP3r%{QW&C~eca50f2l=yAVGY18BC1uF1{yZ2%?xV6=W&c9E# zy!X^F*b0riDUYZq@m{AVJ=5EJZJzWfZ|^lr71Tw^&n7Hn|CsK~+xcP(45I9OQ+3XJ zzlMHdS5$95gY?+$k<NpsfnkqrgBBIL(QrFmRD3De+#b#uBH1`%dp?|tL{815U86m4 z^6y6=7om))qjjQRn>Y(@Aot-GN9vPV-VRPh5il2_v61Og7@+<EaTQ!L_Ki#;&fpUs zVTg=Q$&_5pQB@*W;g*u=1v>LYoRnpd`oL&{-!KU{iLkwrIaL^3{9#ulNMjlrnF)pq zd8h{V{Cp#lKQ;T?8W^ts5FWW4Wzt95)ck(%6=|9(5@nkDAlvL%DBFzJNg1FR<Z*a7 zj83i?)a}AV%bG$P|8h-P0Nq9|KmEayX<%edRM#}*A-5wo@H3&TUkRcAO6cVu2}#~2 zlzH{8)G)GkzA~NP;0l3!6C9a}W23Ew2+TuhLn{nxj2Zi`!?^Jwv;#^5KG$IE%-<1o z1fe0$C=Dz^6*Sif<oi&?n$m#y4X9WG6(;~xbEq_s1=S>rqAjV(z;Q@1z(mP=Ze>RH z!BT)`jAW50o);Uk@L;kS$pS;(>p#Hr$U8636|{(CNI0YlVB2yx;(f}BXpxe$9lZ5j z)IJjZ(GD2iRl(ZY4LCj36{VCl27P2%Kp^H-l*!)YL<=cEegd7rwSF)raH43g(ZL`= z#Y{gKHK;JSLMPt@6*+g)gyZrDAe$y@&u-q2kS}@#VxB;!X5VBj7^?W1fw(^dIdpIw z0G@9cBE52)0gsUb899<S;DkNAAtS$nrV86Ni1G<kEQ3#(Lvne(0Yvgp0TgCpJEmhl zOorYH4tZa?=E8oU#pueAAz9!$uc8uh&V_YwB;in?^y6aWqZD+>xy|rg+5sFX8E~Ya z8GiqnVHOYxW(F!wzOTK9rYsIB&Y_C^?_ijqBE}>VQy8k){0=4(+yFpFg<HhJEtBw~ zB#brGxnTu!(*Q7tKF-;x5b23cV^k-X86rH7@2AyA)(BcV3=ml{bR?1(9lYn6rF6E3 zAE^B5QciD8O~gBZTAjQF3dPa~p`GubP`_s&RD}f!<sbl|Wb#mGG!)u>3k8hzk!u`x z@)WecH=)+xeA@S-_cpu-OSWqQ$6x!O5S^OdC2^Hm>Wju9-g`RHuio+n@0eTV-GTp= z0&Xb_s7AOaGtrON@&)^tTm0RDA6laV7LLOV-DHn`TvB8MgeB=s0|Y;*zX8IX)YSkX zK;W**v`o5bfQSQEUwsw84;y=fe7Cqpg(Lcx#e9=J>NbBb){4blS^9oex2a4jnrjDf z?kO9J|4>XHh1<^QDgw<XT%)g3i{>2J)f)eqhyiRnifU_dkG|e9F6O(h*0`>l@22)w z@yY0nObj=u+Xf-rYK@a1<bNloe?8{TOfH(U1p7`&+2}n6LrJ+6g?mg+RE(_i-Oj*f zKBOdxG(qkG`rPyV@6G<uZLEOFX$E|VqWKPv#ymJ0Ap8e7III6L(TQWe|L_y&25pY{ zL~0or1HX|#DMsMW)W6ytXuUqMp*&q^<pEBLf8B^G2|U=L`s<CTYlTQy?@QO%Jf5%! zVFF3Yl;nL@dd%`KnD)&jLXUFpQsji}N=m;zh~NdkVQX}l@@!lYTKQXox0Seo5Uwal z`w2BIod+!sFOfyI<e?~Ve=4|NZ$F|<?5zmN-Ql%ZlJA;8YB+7)MnZi|=-W};rV$<$ z)wrU?WaOpBHf4Of!$*biqou6-+du`JTR}E6>>8~KQl&?1iD*T;duKND(i%4?UnZU& zE0ucBofXQ#oZF80u&~RbK|lafE(jQg0<v$uzo?)>nULxz^F~4s(oV`cZ^DaCg=;4S zf6*^9WJ%-4yy?t!6x|EL*g80l3YW}=r%G+_!Wrld_dD7+(#c(D?fBP6q8N4!JynEA zdkb<{hNQDE=s&-6zHz(oM|$`D+an1Gqjb5TkCMw;DzkF9wliGDUgmv`OrnY%1d+u> z^I|d1C$lIXt?;AjJ_hk0aZmk&3X9-6P8|V;Jru0ResHAuf>t+G*S5oJ_=}6%^*1t} z*FZq&&F<>?kJZYPiKlwj*3GEIqE7U&H$p`}*ZVfDZ)`kW_m2!bhd<N8nJP#|JSz0} zmwQwg?w=a1tcGJQEwMs3lIEZ7jT0+*x7s9zjG6j@x`ch9|FSYlcNSDh7X--3VoEW; zjbBz~>dul)7q>@`)r+3-UB;&?;PA*k`EW9b&nzWlNXp#lqpaDTJfp(@jG}y(_vXUt z$JyplN5RqT$FtG#h~;k%X~Ej34U9_!A_aUlcH<LE+HsaM(stu2&prx#>D*I5x~eKR z=ovRmoVC3<uOc$G)f?@F4K4v*6ci5TEmmzZZD0y6Ns>r}e-6N?Xhh|0UkuLjGe8%& zkTndBX?(|7`xG%ehRabooZ1w_D4er6bZa%T_4B8v5ItI7?)J!2vA_vML7%{hUMUPS zWWD-es4hAXxfXv2yQ|h9d<bHC4-<DpXT}=hPFSbNglIVG{IUgM8erAr=yF0E53YZu z9M1Wo{_ZZrOTO066T0{&;d5jGQuXkPdrL?anFBZ$EjJJi`A@s4W2-=iUUs)8@c!fJ z<!*1DY>3S6^<47C?(FVspwS9oNBXk&a(E-FW6XlT46>)qOlAD64Y}D@5%-WOXGM}% zRa}?uO_5Xch`qPE8jxa7PNf|CQj*M=q&!}>txIHOdv_Xh%cJp$@WXBQ{bFZ9rhvLu zpmbE#OYQwLssInOuXa}t0w=&P(BsZ}Z^tRUE@*&jCkN-orY6^$ZCcZl@t0<=O5{6N zGbulv9PezNlVn>QUI;5vkF>PTw<G~ey9@F&;ercpGgQdk_`vvltyo=s>%+KBs)-m+ z48O7AHtgjWorm|)?b8(7sDdVW(Cy>F6C~(KI8>u^UqJ{#FtA*ov7h=qrqiKr8}@S~ zLHlxj<hOq0HRBuz<1LXB7RfHiPSsFT&;lX?1Jx-^3nDUwm@SOs*@<c}<Ri}om80FU zxGA8w={KM|fRuHWi6!AKLFs=SAcDM&;fXy(c}^88gU!K%-4V9;L6-92K8*+O5Rys* zaz@mlI39be37scsVl<X#(vs^|MNL$F8*h*o&zz@>VjS8}>`vrMx!~}bB5(oeG_4|t z`V>~gWJ@2}%lnrJ_Y>5_)B=a4nzYyk!3T(2u(*6FjSxh;kik0SODft^ap^t3@C78O zA(XORk{)3^1^c;)gzX!YmRIz6q<=fVH3YrzWomhq51!*ZH<7b_0~PS<A%C7Dj8k`| zfTFbR8{(E%FG1Wdp<@hs4nQsBa5ZTQ0uNeV+52uTBaC;Wyp6+s&cN{g@na^+TMf0) z%g45FK)@>KgZtd%uL6^r?<N&BxROE(D_gMMOiqd-_EG+3yH^%wNafsy@FT2!i{uR- z^hvu@#qm4FL5_7K(q;D3SF2Ve6#&in^Z4>+g~fY%L@VUxSf4!lAl_%s>0o|5kb_xu zNP_wLod-6#{}mjE9x1N(S!xQ**KtdP7j*H?{(LaBA`z?Fb6ImR;ot#w{`R2%^Uwkw z{0|~YkY4c2XP+VWN|{nfIAEs&12b*k$v<bWV~!vyVoY4j02hq#yR)X%O{dM^zm*`R zW?vt5fbJc~z^3t<pbI&sCz1`~J~5l`d&~m{cq&w;L9rO4Gj3Sym9h}3FWR``@pbgR zj5dl0Ds!pG*&g>H(kf!t=r9il)1~Ygfm0+Ex}XCj02?Z-{S>^grC>o`WH1f5*vBm! z35Xw{#cD@4_%7qgW_)5TLK5$4?O-jc6PtCD<@tc=i&02&r>r1|x~nBn6~oWb2E*^r z8a#rpLo4tIzK*vj=qY?L-jD<ykoj)D@XHSb-yOU!%!!~v-mRc)4!$dRWuYqU2JZy~ z1WKy+FfH)NnBHu_jdj|5b1nr!R#+95#Dy04M(_pS2uR=?0r#A$n*C7R)Mm|Z;CcWl zM#$GY%ZE|dzhCN{DwJ@sDn=xDN$S)i7c1KZB0{_F$Ef>|Nn37ERqptPVfV^?nYYri zrJUw8>Uv)(N0y$u>P{HqokZdO35t`F^z<Mk<T-z>;##1_P@W=TYv;2;^98+MOiXt$ z|H)@zh(>Jkm9CO}-p3@n$20Qjl6U;RwsZaXqHvG9<ZCXDgVtMOc7tF6#_@1M&WGgj z7N5h2vN6Bh|0ad5g0zylPZL<^XAkQFksN`2uFBql{R$>&L>>fwx_hJwB5WTu;{9Qw z_~$l`S1+)VIJzKsNxPj8Ojcyng;+PHKt}TSxw@g$a!71NNd`o7!9zas8+k<8j!@%g z3b@;j);}e7L5L6^u47C3C2@8^aG@<Aq9vdGa@(R#dff>j1#Sb0f2<$eluL03k09dq zkQnw;3T?{0wy&96VSj#kwiMNa=(W2`xg(l<EH7047-kc-KW30^p<J&imDk6EZ~K{9 zM2#gSvCqBS<-^JrS69giz}0J<>Qb`f`qa3DCp#f61;V<dkeN-$*q4dEs}!86Y#{+C zpUH9f*bBmb7u&CoODePX$!ral6Bj1aZFYBO$55UTd^+*WB@S!2HYcK$1%s#}Hjq9C zKO}|nVor9Z{a!wM27V4(oWY)&2orKb6Oq51Ji6~w1}0?PtXwLWgjnB!1UYnHUujg| zQ1EsB5S7MY&ybEOSO7;&>hw`8UOS);@k*pa<kaxbpnoK;fWP?tkt#OCk5U3Ea2|b% zhgZW;;N+<J6c1PIe;O(*f3|1lfQ_f)(t^hN_{G952VJWX1#9#yA<%T1(|q}{caRb@ z`%y3^;ck&g0O3}Vmq?<S?QrBazTdr>y(^E>gBDMhvpJ1mo{ui`fOQi$;MJ{b&VVIa z<_Cdi&%}?l8{6Ve%M?)xoxPekY$-$Dr{Sh>8zLHXk-MO|gXg%76YZc8Fs}{keZX1* z%eA>hG~j6Px;fW<ANQu>6*^qFbV~dRXUQE8@g~Z5Uj$EegIe9mYHc5&&V3D_wwIN# zbZm;g_rCOFtbk8J@OYv-KhV9NS4gzPzL>lGBHoTwJ$~qNF|J2-NW>M+Tu<N3^=mO~ zXU%+y#XL`ArcL!a;!z2{0p80ZRP_t39`ADvmyqwdwsKb;PgBd({nB^AXQ)qi6xwIl z5^L-jvgLfa>M&OS(Dpu@`GhD*Wrgh<SMiL=bLj-Zh#tn}`^${<!QntQ>K9wWEv`^z z#x~D)J&eVf=+3QdIfcGlGideU*{GqZM&^-4O!+U9Q(u^S6|LvJOiFp)rqdHfl~052 z%*yVY9Lh0M(|~Ni+-f_1KoeYq{HKu$YW#$@m<K}lR9%=rTLY0UssS?`dr;D?X(^8i z0Bx~9ZHzx{VLxr(@~Dbwf{7Y<L2WT|c_gT1Zrud6`JsELu3%#{4bo6k@FFaG+^rF9 z<<_VQ8V#aAv(`_~q$FRk8H1lf$}O^F^%nUNL;@ht{<a-ra$O;I=!KZnFAu#C5f4?d z)udcd8u1?X;({mm;7R+!tDoAtjd<<q5MB9Oho{504o9~pMtav}o}f&mQ|J|Gc=)ip z;QgaS(R#qe?lLG;s>Ve2)CUpn6$J!~S*Sild=y{-nh*j$V*7p(Rpj!KOBBrz8@*Na z;b6zRo;jeJi)98%XAWqh`=Zh=iCbY8D$**iv7m_uK=w1G5zH+SP<0Tg3OQ&f)Sn69 z`%Uq}1-w<oR`=peLK(sInYs^(+1Tnt5G?a3v29WxiKni}%;CXE_;2Sq3#X+sOM%cO zAsPz2))C}be3koD!G-vA-1!8V0tm%14}GzssG%+6#mef?h9FQ{ODZ4X6iMO~S<uBS zv^gUe>(acErFj)Whx42aMtoF07xPi>ThJ|xkgCuvWQ00o<bJHItsNqQ278PEUCc*m z92B-|@63(6szG$B<FYg@9ZcAOdgH@X8@uOC61H$FbgGl`2sDf(4+WwMaYhbPWe7&r zDUFoZTW6$>{f|H{6ft9CXzV9{O*UPrmfiBMG<rLy$LxB&&uq0$?WGS6UNIG%cBCO_ zP?L7km<f{78hks%LM0u<vw&wo;3ZE(3hc9scx*QoCtl0K;vH>dmHVs=@U77;r};1? zTc*`v-uOgHwI)Wj26fc!O{kGMZGt&|(Gdy$qY-J;QD)`MBc|Abh>;?Vv@r`cIz>_@ zwGxi9@w~M@og7l<Cn~8)40ptY-*=DJedt7d`WjyB`{IDN08C+os`tG`NG1mv3AQq- zCuttc({)<0QMoK6xh$I;JYazo0a>|5b<Ib0ZJH7B)CnF=y$sJS6Yrc?4z|hF2S(#w z00m<}6WEGP0M+087D(^??#7GpyW6@S)D4y~APee74f|UtHnP-W`usdpnRtQF4jO@g z-=T@rU=R8*a{8t@cnjJ#&>o1qRf(p+z@#alJ>2=_#~S>*8yC&*Zi&CU-C=~f@rp57 zLK|^nhH<38#3+RKhDxg}aCktVBs`p){;)LDr#d%Crg`2w*q;(#<nK~l*6$Ku&F@lO z*Y6VF%<od&?(fnYSnN!<Jea*|AH#=gXfF{eO)LRg_XQ_Zn0{A&o=i*_b5MGMg{(}3 ziGkdeUJ<e7Gp!5`dZh^z3fc&W!Q8fpTZr{79pt>HovFl*7!ax4Kl4^1S+n}WX!N8* zez~Rp?v}`M>*ljXJl#d{UI!gcoz-3XryF2x3{F-Lh2vvW_|D*bg0BQ!=~kqZ@FzF0 zE`4oTu&-d0p%T4>cdM<bnAou;v;`X+XbZK!qypUErFpztX#ua!$|2IvU7uR)$m9W) zd##lb)=U8!Y;mHn_Af~i9h87S#d)asr{s<Sl?nr<K5=FjAy&axnv6>yf5O;=N&f-H zK@KwlO1+2Jx0iLaa6NPY4uhZrK>kaT0I!h0Nzs3i=6*{2Cc9HWTJ_@RSY+iZGt#bf z9WYhC#)sa;#{HPTq~*UzXi}gJtN1;yZMdm<%a+g9WL=D~ZIFidcOfzoWh9syP&#>v zz=$4n2f?Vn37kK{Ves0lG{O2Q)vx|837*r40lyhB!1v{!P(kXS5}U*Y?^Ejc^4xIh z06AQx$vl`ic`kTWO;#cOlxEAIk{^8`1{rOt7Dt`f@k}ikhrI3YQPtc35fyZH>r`c+ zNwP)@19i}eStW;#_8*>TZU}{1$1`w~4%1*~+xyVw9ng&WZ#{SZ@Ps;Emcl@1+qy;L zsJ7P_-|GMdwz&D-FUNjP=rxG6X!i5Ma{T(u1uJNM6a5F$aMH~}6Crh*&jmi<YeVb* zsorD8acy}Mhb(_sW&u}Ws&0WZ|I)Y_T`f0-UqQHaWH|ZY={1)^jO7vs2J*0&;TOHj z9uBE5A#K&-$-F%Imq#F!E}dV2wv}fn`2Z&qbj;va(DpZzH~doK4LbTSacpDflSeSI zBYfeIVh(MqmPtMa9an%V&@-1`p$>VNH4Mc=9O^2<uYimbQ3$6DFNCd(n2joH#X+qz zGWZdBi(NagD1dDvnr*{W2cb5ZwQWR#@Rcm#t7<m5`mc%l=2>9^xnTktghyu;Sy9yS ze^Y=T=pPy93X|IW9aRc8z}plF!VdQ%`{ZbVZ9`oLfm35G+ofI?6L(1JO>r0%eL<KT zrW%?K0=p6kjpJC!2mbq?{MZx^6N;8vN0vVNv&kQ76fI?sET#IhJ=(xWSuA=_Rr8)A zt0%_ozJv=in>6cNsWsJHUGUKpp=c^$o7f-Gi=I;Z7c9|?ERp!Li67z@wkFrTzoycD z3w*TqMzVC%^)K*Y*!2n6)<6o|bL2^PX?8)y8bE3+#=@r1rEH(>P;>DXS<~?J-rGB; znqy6Gg+CN8-+=cI8b{iHxsOMYiRGq2NhQ=E5_}R`um|HgP;w5lxOgPqpdl21wC1Nf ziiC_+j<xh=iAhL|@~~6{=JgxGMKjO~_{}rq56=Zy?Vsj5nOJvzwd;Iq<tY5s?xWjw z#ecOceA_O1v51(9izl`XL}?NB{DtxnY-`)5pG(kfDt^CGA#I1ILZtpH6@U_&OUtJX z_;($ykn}s}FJR7Jyf}iLSZqL6g7@Tr@#J`S4r6Hx9Uzej7|(b5pl!YZL8*c7HUf+i ztWU?QQZ6KGei#Etq#BekH}Fn;?5)FMl$PEi6Muxuc?*vC6~jd<G=^Iv039UOzgX-4 zW`!D={$h0h)3^XD=mU;n78VQ{2FCl;CuuVq72#r=;l*R(4s8~1TqL1s3(%Il`jifh z&-4w%^o`1>05}h?mbMqbdANlFE-q!byLZ3=WAKX+A>AwRbK$;q%qe~aPW@)PdkYxr z7_ml~-`<`U!yGC&T-AMr=H%ei3+zAM1E>C#w8kbl^<yD?zTgCwaTjYLEx=9#(D{8e zu<k9@=2z5NiKt~#0y+hPPHeYMwWVXQq$z{|6jdv>X{lKTf6NITw@pi*vB^p;Buhi+ zn2$}ul~oznU`}*E=cB9&k)e*&)9IU%&HkOA%@mKp>SAu`|B2P8!EA-5f8h*GU;9^R z&HyyDD$w`|{`is&+D+#1;DC1(ATIS|#BdU}tV*p0GnEufSi2dT@MMt|(&A#x<AxuC z0Z68A-uxO3(!Uya|6-hOy7jEkLfU%%GajF~qev0$9|fB(!?)oG{tD;B<2D?yOW-!x zo<FQGLN9W5X@oGUF`4-YFtG9|dMHfaG=iOzfRSA^-nL)zE3(<!&Y?!=Jk|fzIQbW& z`%hyPII2&7Mg|V02{@`{z|UbtBFqr>JX&NI-Iv~lPU$f?JMIa$Q+O=4xdl#+)5*_K zTh|}ld$Cb516Sq%PUwPsi}cD}+a<+0=mj+cPW|nmb>8;=$KcnwK(mFyZ!a3m+a9cc zUQqzU+`=2^B~|t-kBfR}k{|w<M)%v1sRJ+S+Y5E)@ggkYu=bI8=-yt^u#3Y_Yw1{u z(?LG$eQZHwEQ}x-HT2c-wh~HAcD-gV;AW2svr?O4o6EYD4TI-xvs7uePxkEE@PN@S zKkSwnuu7mw-}KU$@a8mtek-?yDXqOz;Mz+#c%2q@KPrl<ItroP;lh^x`mF5=oqCZl z5dUlM1E=Soo4n4<L%sOO)!g$$rPsX2WBDu=PdnLpm{>Ht@ui<?!FG1lQRb?6o@sEE z*8K>9^&~}=$&6xOL_T~)OXed&=}$Xw;%bX<4!~eWGG4ZRC5uk7Zl%*zU*Z%>W6hTj zv{<U6%<mJvQGVODCP;biZz8_juh~H*(W2+O&<vYD{(|6P({`vh0+u_9@6Arq9Dydb zD}xR?&H2nYy6?@{I5EP;2upB-C)*u}=aR*p7GQo89qx@#CDlRt2cn0En&rd@H;U!l z$bh3rk8c<0iUIb{GOx~8cJcSSE^oYKecxpm;6Boa=Uf&`^J4T1Ha?dc^PhyH8Wz9j zZD}v|Sk%aS`Lzbh7rr2zcy{T#jG||VLP}}sdqS%&B3H_bu5qOCfg(7c6V?i1?AHp8 zg(T9V-Hbi<B}a)n;!cl%%ZtUu>t^5sZ~-j#_E2Iqw0VE(6JM|nnzs-t3UXM87Sz(k zWfIroHukH2`6W@U6Jcdk3OUr8C9PoYdVsn(Z=sUS;?a+1cB}~+;_3oMu=&nxTUFYc z-XrK1HSxkd?~L^UC)|XN%LRI7w4)-$Nv6*}IQ%kv^CR7%a`0s7%p6w7mEu~GMqz+_ z%7KR+(-GEY`?Uf~aom~DGj)cI;djeBV+MhUpDUkIB6_61+|JIxP-Q#cpYetp*$@@L z^=8o`HtA=OBW@F@5VZ*3F!CB88Vxy*uh{ZfN|tRG{Hm&Hg&J_i?Nc!Yqg-ZNS#T%s z<zoCaWv8^7h-g?u{&P=kZF(FY`but~K+(5UH9(;I@rPFTnGBdh)oI(~Ev$^3$=4Y| zGF1g4PKioeV<^LnEcJ61HL6ZSFBw{|M=ncDWL9miS61uG`;2aMqXZP*?%f-`BmH^g zAl^j4AJQ|6((?wf=S`b^fx)Bxt@636gX6X<ca4YLWswCBcBX>b+zAU+2opM8flOkE z6K3TPPcN_gka_YD(VKQI_^^Wf{wDsLQ6YwAlJw>d6CbHv6#ezHy3;Hhfvg|Yv$Fe- zzB!A|-W-l!oFsWTkBR8*RW3ZMnSXSaxF2smbx;)27b~RHGtaWXl$M>Zo1&zqW{0Kr zO7D8Qf+y*9!=`ciqwNjhxF@Wag+Ryr%{N;i&Ia@k#$H_TuDr?jnBzJUo?u2kF{B|o zJXG=@HdvbV3?U=i9`i>=dgFbU|H=xDKhCqSWss~I8A%v|x45PKDdo8?X5`Dmhx}`k znaC#NaDB@!>}`2)X<NmWP^9>$32f;fW%%V3xj``9bQxQTL5H!})df?FM}QRnVD<nP z|7!x<{Jh);{8Or`kjK^Z)#!Z#aDCMWTu3t7ZcMEq1S*Lw>)M~}D1G}ERYVck;X*~% zbOQ?`IK01PJ|^Lq%CyPGm`QS~3N9WIzZ{&Qd8mWyIza82>1Fv;x}DMgZHR=e-gGZb z@euNUkV6mleF(~qd%fmE4K9HC+R>Ugn_i&B(~d8!P>!jcvY%CQ<RSBWo1K>sjgWxT zA0Lc^QwtGKMrT>FnH#YIu{v}W+?Iu=`qxtGcZrvj))J}Bvx#StoE?Hkly*adm!f`Y z>YTxFcqA{0XSp6K^7vhxTN9`xybKo2)%Gt8F|y5=?}aOl1<?1C9K&GltDx^()N6`q zgi>F}TSGGGeOo-e`OpgGINIljSm8z<vcG5FdkL$t9&l>-QOY~D3-JVHjwO@%12&*o zhpdcSv(VJ?8c{uuV5xtd0M?v_U`EEJ%DcE-;!=2)Moh8V_X5=hGy0rZ7ovPA4%Otg z#xr6S$}t1z;p!as0(N)jDJZ<W99)iu`*#4q?Z@8E-s&v)>r2z?uC+H2qPfj>cM3#- z*3JhCN8VTm5+$K)SCPXG8!7QZeoHUH5dx8B3OWZCkqF%SqPiJ)Tj$mH_PN)3gbvgm z6HPGrkfV+~p`r81y9bHA+l%ppX5wj_9+%?-DXlo5Kp|=AG4j1P*~?k3>v&d6pYAA3 z6PjMM1<K4{@78*y%7{3}T~tlU4~7`I@=6!rEN=Pr&7)}V`D^qgly?&sK8c}G<}rt5 zwD*Qn{>V6ExR0yc`#w}`un;k`ix^dGyQfcbSO;bly$l&ILJKC8EC*(!$@&~m_`@6s zTnhz$A|HXsk^rT_I8qVVQS^7eu=ybN-7$z=2C+n8KcTK56zwSpb%#Q$enE?1;wO>J zBh#NBp@6>%DV1qD0;jyJj+puNj*7$Ka;=iseLq$>sT>0DR+(kEp@ZH;gWR15Hz>ux zxusq^DiWKIl!PP<DP^ax6Ls_k9uCO|e}W<m6jt`wnR9RNS~>cb7<to}<>-L}x$ZJ@ zWYdyr95N8HjtN4NW<L6Y5RO~ODF{jJE_(n%)N!E@CTtLrHsj_GLIiFh=rIEa8{K6Z zAmk7ifMU!bASP=@AP~enyv0y~7=bTkb|5AmkL-gt!CNx0VXZG^0DqRoxKOa^V%Obj z*%)NgZ{MB`=$bRV67~-#h<Gj$h8N<WIIe0v`%p|fFK^)%Bx~x*x<a6VP_3bb8u>R! zhZGb7{Dw(1{CiB9^xrpQ|M!ptnZJW1djEomp*?+a+xJ*d+CZ^|#KUUaWgM+sS%m6+ zI~G&+n)r_|9!di};c0_XSi=_s!^4wv!fCGn;i&rM#Zt6=ER)%c(V(h*HOF_(0SB1^ zeK_n0u1B^mn}oj4hH}f3zMZslR}@@{`e(jHZq)vv(v%5fG)RC#REaE@`cShkuauQH z_$i<FH;YZZY!-da1icaCkj6D*wHcwK&pv(PBQez>y?2-Z_phJB96njTmqbe-HV(Ns zC~(HIkvr#_Tr#K_$rsv#6QFQ()I#hksIs(oeSg}+Ie+0SI^TS=*KYz`gP+_t<4^Uj z`c0bMPxr2Sr*|_v_xmq`*x4l6uakvy`Ur7POkUy3deFdq8xQ>)ji^SPfgwgvwvoe< zpB`y2i5flx;AN1+zE@i@*LH0YE3?zjJXoY7EA+@hM*6tJ()`3<tgJ-*dHEZA6|x5* zjboCGkEeGa9cH8_S^Sk_Zj~c$l|#IA?4+?t7~MUA%f@X761)q6TePu#F_z60-<E3W z-e|}6u|E19xoj+RP)VyKFIaiyWYoCTbv)c;i~3Dg7pP`;YUPh5+(wJ%h!kQq(^L1i zGom!pLk|C@z$O&I`p#gI$}k~8v%)fZNyeYbCzy+`?86zOd}+G;ZplNl)7NkXB&y9{ zg5lR`%^lF-YknZ1k36R0P!{z2B({wQ2VdiW3@T_qMc5}Xu1GASdv6VY{XMR+<cJ2s z4U6<s^h5LoW2_$5Vs-OwP4ZXj-l;^H-25swHu*pO^@xr21ePXYXtI-5>PquQmR805 z{U3L1BCBS)cBN3AQHoVJ*L19Lt??OMPdNH?g`JVcZddVKjD{kngVK|u^wJGLSaa09 zviJlvbQjOX8P}S8k7B73)_l3VXx+^<EUkIGJWc-my_s5~RLQBL3IQL%A{(X`Y9yBD zQ^)0XCYQ>QwI%j`EfK^FLd$y55^aR`{_-%&33@w+!8I&P{Y(iS%#kU=JLVXO@HI%a zjLH^F*aaf*r0kaIim@zJ(wu-SF}tY%xQ>{be5l$euLx9=b%&jQBIt-|D1-`=-W%}R zc%1=I%k$2TTfn0N5YYZRcKfzjql@2lKmA>`R4FI2`75JFkZM()PfCtkMpvj72E7&g z@{z?C$c(Cd7bVdmX?Hb<hB`uz-(KX*ES}~ThS;t-OOkxI*GWoqNt0P;hd7VP{c)6^ z|Mx><5tY|0k_6&&GMea7>v3gdo_57i>-tol4{0^Ni)|Of!q@Z#_)NWmzhf?p3}15( zydwIzIF#$1eq8{MJqa-2Cqvb)c+u&;0nhwd#A<UU0u3%gEE$f99)!|<*YPxuT<UUD z$w<usuZ59<RmR9t!dug()ZdxrZ%ylbp|qgsJmS{N3+g4SHBtZ?S-6j&#vTQz@tMd6 zjw2@CP}&kT&rlG%K^Ig6h;&HX8NDi2s!$=*e6yiui6`@<;2e*%qvD55VhZ%cPJe1J zo8ePL#w<OloX+Kn=4+EW%1a%DlR}rNp&yfk?6p6RZwPM=v$ZYvG%F_`rcLY$LKsHt zGGR6m;;;EV-`*wfswz9X;5LhGyX<rJmG-<ModMK7K9Q(GUmO6w_V3{GY#4fYOPj&K z_L^Qx>!h~tE@os7)@n$a#&f6nf4=nj$P+SOC@P*~^*V5XkwF3_;%w8=45yhC@Rgn> zz{pbR>&GGaQLsKl=hbtC5K+5LERi!y9=DJzs*ps#PrAuqTkY9Pl@=Lfc+CQ~Zj+Jm zX^@xA&lV4bu?64+;@K3J__~!AOa<e_`9rx9K)**x^eLgjVKTFLlyFOTTP7ajP$_;2 zh1(7+LMRMi-n*DA@P4L~Ije~eW2J@c$D~4leGj8ry9p<gVCvJZTn3!MsE-r77Tkyg z#c9NMHL+5$0qa<KZ!JcI<~k45;8EnMS$7m=w1|beC_o9ef?3?XlnT~73+EDZpNZ-T zgK57Yofxa5?>vGCVmmY9ws<($tLolG1B3Sn>pV5pT&A@aBVu!cJ8G~7>Qv4>u&KNS zXA7_;IdS)VdKeO@mHMZ(P2|>k^8BZjnGx&<@3M9S?1#xT>fT*Ij5XeO{(<mqM-Pf` zJ9?c1rk9r~ypRA>qm1fx^8*jN1h1N*A52fK#l|ij&LF|}SoS%X9@DALS6s1;z*TJJ zj#tnaNfT~eS!tr7uJGU==xK}!m)R6>yORSpTbA*=4G18IHVfVJd6D2-yYvv+D!LdG zda3HbSh6fQX3%{kA281)LTDx&FnJvB<ixcTXrYOK+d+50JbD7a@rrM~!x(@8c8B=r zwng~()<DorjtS}p-PLJhMzlgnf+@7fy4??ghQb;LK;tCM#N!3~BWQdC?GFP~fQ^>y z|K6yv@9&MCOa0d9QJx5j3R=-SSXKQtIm9C?Nl+@|fGgvu)Dri^P?Z>wU<nsv2`_!7 zJl`LWU89Uf3;vZ5j1bBoK4b+(T9HRuyNVHy0-pR2+TH@Js;%1_mu`?v3DVt-fTRf0 zA)NxEv;vY!EJCG0a)YEwcM8%WozmUi-SMrBp7$KzbH4BX-+S->dG=mwjNcq%%rV9s zb28a`?X}=kFiJV$OF4@yAGrU}yfq-f79`FVl%uO+c#9Bp^Iv)qNaAdNs#*M@)@S+Y zX`2N`At!txXW!FLPk&fo<Q5?58p~TDy%=S}RPPR9RB{KuH_qJd_Y&Atq%>~OmH7m) zeUQ-8MOW0J6X#SBJpUqaSpDR7dQB_pT!~h}TQt2#3-p-P^9SJGd*8-a3^+WT9S1It z^Se3jD^@nUxm@pUHfEpm*G|<MRvkDHU)5fpY+j3A?8e#D-gmp~qNx37>t23+CD8nm z2Xoxk)aiQus%QJj<n_sXu`eL%R(=hv?wnoCb{*T`0IsLD*K0eC(_#~13g--yq=nUE zn;|cpNWYWr%qEFVi=CI{*IcgkOuMbBU2P5X9|K7>{w`Nts|;KGwU=ugz{Qf-73BTK zqr)p34#P<?3`WxIo(Xy5mg~#Ob^h&bLwA?`t<7Sw%Voo>WgyXHd3tc>=n~j0s8mm1 z7VAQ}&nI`{H_z+Xg4b|xo#bwJ+E2vaU#aICmnkbcmr=2iQNchw*m1mVc*TRcwKYoQ z+@jV}TaI`6!<i6gruJp`;l(k<)TPVyup#-?Hh=#0^kUsrEm~h?ZLLViM&PQUQRelU z)2yzMrx$ukxlekcvU2ofAv!RG<<>b%o)6~)^_k2iIVw@*h~1oZ+AJ2SS2A_=uD%-G zvM)FvY$ShG;M+s)RCm5M$q|wG$|e29VSIP($!y@&!O^Li-z&jy9M`)`J6FQrB2slO z%yl`OF1CdW8<zzOaf}07=YDWV$CBy_4V74|%u}pi{!nD4bl=~kA#DPLP_-!dLxGaG zxJGqP4#Ue6LuKcJ<71p-3PPSE#+JZ0Hd}?Yu3Fr=R<Yz#tR81iorPYc?KJSu6kVrq zc}Q#$ee$^42wYxK-Tg+{t~7HXK0=)E400@V%x?3l>qLJ>?zxc2u=6&D^oKH@1r4PG z%+bj<xbo0&ASyzHxUv&?7Rr*iLHx?2$ZWzYVMEcQi2tv=yGPOSU-{J#Mbjc1E#Xm~ zg^OoB<y!Yg`%y@^Bivn!r`nD_6h~~@<vSKtUOcPxf3o_l=fi%4$o!M_XUC^)iCaW& zR}pN~6nm|{hcrEbd*hCNuW(;a0j^VdjjjQ$`(@fMhwTETBt@rH<v*OCTUb3xJT@s? z-!Y-ys}lTX;42vRp_0g!yEjy<7JlRP;+u<80;3*`Z}UC*w_KmJlL0m88{`gq)eZbO z+ZV^^48wERr#P!(fKxNw#jJDw6q(7ihl>Ei^`yn)onqkp=(H-{QTOQl2)JqtwILVn zWHC;sC<iWgy9}pm-L899x5cVF>`zWF<C3P_T=v&58@B;KaK^s-`fw2{p>&+T6rX;2 z-evc3BI}2*iR0CA<3YNXSe4uP<>h*Rz>=9EY5E#YKd@{VI}~wdc-np4{#}T4n&Nt& z!$-L8f)*Tmen7D2?5Ye9n{Y#7I1sx!I5fn*o_9&HxxOUb+u5?Az!tmOn_Y(5Oxf5N zZ4|xlepfiTQ8D>cu-d<MmDKiuY3FAlB7IIK)sw@0F+fAv_;|e5gO$+3{dAt=cpqUw z7;B42sV7XU$7g(5kG5g^q}qc%4I`!V`$b>dS*(hGIW~D(lms<^vp?REy=?quSZBf5 zWA*i+utL5}pm66lc<%_`)h^30-&2D1!F|r^4{Up!wXxN2$kUF?rO20vDzthU56;S3 z8!yOD)?UX<kr2I(F{CiW!ZDcn^f0;<Bf9h)lWo1+w=h(4L0yIDSu<<Jn+hY<l%0Jx zh8}O5?3n=wiJM+7(3wF+-W^SVTX?SnJr-37i@T6J8~LYy-p{3kb2^Kt$^S>hk(V#I z&XB0~(3O2jP8sJ>m|r~lns;Z6l$J7)LI8m8QM0(9v-5%=URT1$COe9l?|b8D5jFLY zsSXuhedYstP18Y2JZ9YC`iBKMe!fvUn&1CN#1PdECuPTd#E$!J@1q^Z;p(A3ws1Xw zXF8#Y_Hzx56AP?X$CHbdIdMHdibMs&)E*doATC~6TlJO=Yv?J97sjZ`jaTdFn;Ey1 zdZajK{51!HYgLp(jn|#&uaMK4<*$$-sgHuwT_h)*(+VC0I+%A0sKLVwYxeiX0Px^b zoCCpODFP3F5d!{MsyN4B##l0%k2Op*@vfLy#~J>15xf6i^Y_1$KEeObsU6yfUu7%G zS$5VbOZxKM-5ZL(i~AN6TW4s$GN-bqw@9=Jnz9QfR4V$=eEbVi-+5|_z}S(w*MUbc z`NKKmqdQ=}_U=&QDd`3(<jCj+{>qn$445zQAP`Cg<_kQ`2)_sO1s;6%?|}K*0}tK* zmC*j3NpIir0TTxda^U6g8rN+cvrsQT?YI_C1w;$1Snb%a?|*hzZ$e3~xdgWVkBBoQ z9BHY9m>`b_-yc8DA9yZK-+d23weVh&*EdwtGZOnk?swob=rhY9^xmVM3OSuG#Ob{! zVT27x%)$sekXVEf_8_qeBOF0u6Gk|L#4e0@4HAbi!j*M6oGkVEoJe$FTgCqIvQ}Cd z6*Tr3R}au!5D8(GwCb$zScMURofZ4O-?dW8r07kzsZ<N;x2f!B5z9K80`SW^-zhak zan4rxwtf!)4{!I$sqt9$z(cpIA6UQOL13GTyO0_@{Fg%9+lBhBLs*%Z$J*?o>)nQL zpTthRhq4^wJ^@ys3h}iYcM+XS&NePArlVG0w0<9T6=xn=65%9zU~9sznO4q4be}-0 zL2`%ugMZX(oYwyl5o+A_{S+_vfh~?aefP9EqQNgu^ntKr0o^>8L!AS%^DgJMwPFpA z)+f)N9+6WMSnZK>6Diqt=;z4Tb?C3C>!ba5lJF0i+9&_9R=nb~fQR>E4GHWpOdo1} z2qs0k<c#%9<@CE`$(ePOAu~~|fGdtn(^wVC5;f|}&Zc&J{w0a@Ik_0rDFkp8-iC|) z9}&2@qJg4DqFmrvZ;_}|iXJ+3h^q^@9Zk&-DvMFTK5cHcz37;2Jcrs)Jej2MJ-G^6 zoK3=^*aGfX)c`L0m*b7oPednb?_cbI+aVl^knROjxuT#-@ylc9?Q4vuQn#8t`lr`! zDXE>FEoQ^MaNJHKaCqn}P!-F%*j3D-BqsLp3(>>lgzIktR=`D1?ZIL3SKxFLM@Ycx zdN)1)`qc?V3xfOk_OhR^GjMKuvJ1r#t99G=_+IE&&{aMi{3W3vbH&Eg_4R47e}VF_ z<m6FVr5<^X{Rgfw<q@8thJ^UX@W=gp4@+$s&LiqB9mtf~Cfep{ZN&`q)G(a6xzQ$+ zhbspf5+>weVvL}ed^iBg8OVTg&M>*`$DkY$Oime;Bb9{7VU^n2n-8OZ?U8NuD1{XD zD88(n8twYU{D&uQ*HHtO5h?~M-$A+7n-d_{8lR$@`?(!0Yj7<yK3+HXW4nLmpk-!! zq;76_J6h)8T1I@3Zg9XGfs4%+=ro0~$b_=;JdRD8v!G2PxGUeMF}enCI0M_tn24-= zy57Vw1TGJ^mX=ISkZUI~`Gp`=u6s#v+ld!qR42>Q2a~v$5#HNyCs+RU6bx7E;4_xQ z*iPU)DH88oYzgegr6-=A(w0eX^}M3q2z3d_ekT;`f8v|8)Bj$#Jc26(I6)Jd2dUQq zAXS+zQI6v~PcbFLnM5CAC${x|Ps$m)$M^g+ODMl=U+g1CIi39G*F%-Exalj~{l(q* zO0jD(9*6n8Z%7AJ??f+GGLr#{Yx}AdH@?g5gCvG`qG`S96e73>`IA7+T5XNsRqgz> zD8a%0;k}DX@E3tw_a+M}Q^mWrMtNG5kKwJ5PiHB7hdIQmgh`*Dr8*pldDvapWxlf3 z?F4h+CU)JT;Powr23^T)$Im-mII!~CZS!gyYIwC?D@uoivF?(VJOT*b`#fW!SwDB5 z{IM;aM!`<68F~Pl0}Z;UDS-fbAG0mKzAORjOZs>w#u{j^pLeJZQiyj6Iw_KtDpH&q zfpuO6NLzrSc`4}4ZUjME>VLM6gYEf$X?Hqh9x-_Qr`e5tjRK(dGuTIp#tdV5ICFWI zR{bV{hSwL$TzKjFDD?+5sB%`xKX{fcuFm7q%lB&$6O}IUdM;|ouX(yI4r-N!_l?#% zmTPd4C<?D1;0nJcaQl9>UExNc|HEKD7IZUjCC?68YdAtM%|W`xG;)1}^0Y~#Y#OtA z(d(Mnp8OTl9ME&zj?#YVpM6R5<n(N#lSqu})Xi)PPTBM-GbzyRdu&hAQb5nEr0vdS ziub~Y#UDZi_D`j$nv^9HEtkZ++y|fbg=f89Gh?7AwA>3-3P_3<))*9q>^xgaI(yyL z5_c-<8dy@BD3rnjXNS~@C~S=6CagSQW)pfeZ13zqPYGmtu7Bgd|IFqC*04QbO;4HU z8SA;8amy?!0-do&y4TM$R2weDGX*~J>`A?Jj;E*|T+=Z+3GNOqOC9b9^*Xg_o)bTU z57lOz_|rV*l69cSMzs&j1nm6jWa<G8yy#P;XWIy!i2Bm7GiK8<+>Jh^tC$fzFms=o zveNBUdE#IM51cHLe$QANRiy*SNB!a}+NfNWZOao~y??fg)c-r5L%`?+pR~E3oCD`# zd3n8?h#c(xa;#<j8wM}lZK67bIy}v~mi2QkS0eMO#7gm>W(<<KT-PW9fu74?)SC!U ze>n&rJZw*7PEQF-3<j_1_Wy{FB=Sz(zaE`JYVW9JNIVR6CNhsRz$vdzJoE$y1g;Yi zfQ?91&9u`fdif0qOTnAE7fHEb_jtm1V2TeX(56hc5pD99m39=wRpEy183bJMGET^Q z&9bkG$~+R!x_esGfXa=M?SSQKTsVq+@b~3&@G)pE4d^E>?zJ6L=i>%F)wQwUDCR9s zO|!dh+c=9HUzx&(qn87TuApXh?d0Lac^sMy^URyA%S8@&nA2Ic7n#@oW|#AsweCk# z(^p_i7vgs$PQ0DB1UtKD9@EcRVA5TPv;?-Gxg+<lH|Br3xNVbEeOy)xTK}2u>RNXv zf|aW`nqJ*E^4a3YqrTVYb3pp_&-@!8bK=>Oft7?;1(s|5PpyO*_apkcniF>MpH*ao z@^g?dk{zzZ#w*1~$7_|{kB{dkk=my&*lLc?N4wt~MQ^K3?_RnTZ;8^l^8jq;b7mZA z`51vSwO1|_5#-L5c1EJ~B0dSVAFC11R{;;fN{Dq5+;~30#s@f|9D)sc1gB!n0u$to za?OHq%#lsq`YmoFXDg)29?wZo=mqiy2$>e-;ag&_zo|F<{<KW9aOC-4PchkZQ4(DP zJG$}$YV}rZGeM&|*~4wx2~qbGM57un<pyn&0%ko@bzNi*XMqCM*8(ZSgT|@DgH+Xd z*eu|C)zMKKe9Po#H_GK_KYU|ArU}0L?)o*#ZBt;cZZ;aHI^+1NvthAl$Un@Aejk;U zdVpBfx4#{O?q`<@|5O3#d$}qcSK6UaUa6-V99N#Ycu=P29W7?cW{_ebxNQPbQRseL z1h?k_IV8!YoD!wv@q?N^r_|%9udD8<n{wMMFVu9(4Ki6L(u!;=Rdr(4e52ZZ4FVj> zG&3?)$8yz~HdRwg^guFI&Z0~;H8OMB5q>gV&Y~8Cm81GRKsbpx^-?aXPgFzhJc>Eh z{SCs4+P=Hy=L1<=T5B4|py_;d!Y!b`W6dLXco2W}zE|2uTfXp}zg{ZESv_*L#98hv z#sOS4yg28*)JL4$I_x>s*p9n8=&p=KoL^63LZhKHK0ECX<8+~ZZ+xePfzCMVOqQ=j z%x!mdZE5o5v<))(R!aOlMa_vrX))%pK%eIUzpvJI$V&RE+b%G9&2@cx9dC3gwmnr< z4Y=ElOkZAXUHYOm*CwFZ1D8jqPhDn@uc|Jpr%A1rI4_;4w`-L(9KeS+jf0=zQCPmZ zINNJ6+)7X4m+32Q>*H(dqn4efZtJCPOOpyOlnQ?lNLxuLd&Cw#p&RZHNJ}>8C5xSg z@ED?p(!iRw<b|T6OUva*DF>~EhulRhFr;0D&cQ>tQ5vb+#2t;op<6f*R;bEt2%4aE zCs|CF@uoPF>}!+&oq#y$POv9C6gk-dAr(2*2`|;~Iqb-AeCOoIlyZbr+9onaIxfc< z)%Fl5GH8$>HF8pwEM~#z{%yKKN6OBpmgF(1Qcy~G2ocl>9)gAfRdtg7qeILlG8gMv znf9&CNg6sRKQ4p=c=>JXfvoi;LU9Z_g{ei@_W=<`|4dgEh}v;7Z5r65FzFK>Q-K0@ zi6i%PEzu)vL3>O6ypHwjRlS8mX=p!h+fz%bn5Kb;oPnACMucia^{i?4ymb`Z5sPC; z&`h};`&7}%S)$iyW15C!1%fkCY(LWLC?tTb_hGHjAP58vnuG{JmupIJ=)B$5kXPm$ z9+O%XvB29TLYZz6o?0I9owX@}))c&@HJ7{{gTGFIkZh;wgzVGkIVA{a#)1jA(rf*% z_Mu)72tLdxCdwLA$L}5CQ)n+Pgb^Q<)i$LfRPfWWPI%iN^X3+49*T<xxr+sX8Ejb! z<fAU!c>twAgs|b_TSz{I^ZAR{e_BNf;H55%f!;-eaKep16_6lIfV?q7HF%}oSiwR9 zMlI9J1c96qt?=@OBBeQW3_*Vu)=ApD0_}+MhCKxk;0A$u5LgKpDC%sr*ly!qADs_- zJ{0i}uSZJL;?bkx(Zo7z&k8@3N<^dUFg^Q(Kh*Zhre-V6wr0MdVKt-?K+opJX2}T` z$SIJUD`;T$Z$!_g{TIMlB$vT>FDN@#)d1huuCysQ>@GFGMBYi}7)SM2smJPU(NB^; zGg{Ul|Mj(|&ZlCQ<&_oem4y}15cY8a_PR^O*GBV~7e2AxT25hVH$bA7mHbux!DIWX z`Og{cBafpBzcBld%p_(7iD(eJW^o^>Ki|ky>q=b96VXs{eOVKe-0&)ZpHmcn=n;fS zqopYc{2qVY)Mf0^X-SuDx;4*5>UhtIaKP*R%(y0lA9pu{FB@pv`;qhrS1^JsRB%YZ zy7Rt29*yK!!G^KsrgxXnfxh7R12bejLWeYvNXG^V_BJzr@_2jbJ5&feabPYPRC(Gp zk1T>#UFb7wv(sA(>tICC43rZUa+d`6d12}N9`03LsY&=-OX<j_kr2+{+%Th$^w1g< z2(b>K9;mdq=~DGsDVDv+dSf$2@##xxroc~RO}vZ2v}s_SR*|KG5{%E8Y^0gwUkl0U zw2wb-SDgw`jox#LEE*ZJV|p$9f9W3erhC=BmrxND2sfg9Tje}76Qmy9bD({FsC90w zgPA~^#<UH`r5M4wm_YlSX`2@8gF#lOT}T$}Ll*2qDZD7sIXn`aWs}r_SC&a^frSx9 zBzib?yoyjbGzh7f1X%S097UuoNmg_*%_H&xp}APLiT5n5Hxc_s&d|~d`S2A?))`}( zhg8AP(?MOedfu;jx@%1)o39LzpaJL*MuoJh><}jEP3?mGLayLX*$cvpo0Q8I5g`1X zchP_j^pTlM@wJw}MSG>3g4&eE(~o<3kp7Vh%S;-MBj-{_1O<}~=J=K&YysssXfNm% z3D}R;VFzy~2@Y3qVYV%~p3sc&i@uQx^fV|3I^-@gA8S9SJRfu&9l}hwon^w%ED^3_ zN0r9q5EY+ZMYaISLX*)Tgt&in2%3`uMFgmz^=J?lBx<TQ*3VFXba1MwLo3k1sj4=n zf#X|yd9rtIa?p5*0{v2Q6;anGrwA`^#e>G0+w9x8+p}#DL^ab`9TsY@z(mld$&-pA z5B~%AdYO9*a6es~J7&Lf+ifxDi2Kq}ZcD<yTsrE@AE8+xSS2zcd_LFI<qX7J30;rv zDsx=qyF2fKAAkt-%%dBUzowVbktV)k5R|@urYrjSadpBKq?qfn4~b?AS`+c@WGM|D zwbP!t|0Vj}z(zu(^zTz`G|;rdnCs$4+YoB@wL*-;k<zS|R<c`^ub{Y?5PYCju%~Wt zex#h7%9!-p%yFDSQh&gNVy)0dBUIkN-R?k`c=S!=Bf}19-mH!{nAYWQgn1f<O}K8y zm^}^^!5%k16sQ}q7LjP!6O2q=#C>vkus=Jy%s-)!NU-!l<;~;KhZ!56G@f&mZZ`ec zk9@|WN8-*#@gswsMW|qw`MeVqFdS_XH%gRxKED>E+&dVBg;u-|2wz0@rH|7}p1j#0 zlym6+Ob(S!^wcL6QGTQvI<{hU>i5X8s<}zO+_Gw6zPC;IJ0CuUDx6(+zg_7Fe~wfb zYsfi!%3VBacFWxyi5AUL7tuY$g}Ig2+(o5~!8OsL=IYD3`j)-&qUE}*05Y5v7aKDx z-Mlxedj6>H+h=wYNu_o7sOCNs_MkhAXAwe~|E9avbEAt4x89~67)F<sDJ4VLa(NnF zEALmH(Nt_YQJU41I#Mq1CNapGP3}wnA`QvlH$rH@Za7XJVc>)KeVJ}jp-<K#59(5Z zi`N}<0aA73(?srM?vFALJS<7IF7O%AB>A5X*7a4+$QrF0?9dh*FeyN^&nD>5Sl#== z5u-)*WZ~tL(!dJ^dz8b)xv@QPRj^V*TQx~97?Vk0C)S@Q&YvgGa1xp3dK->RFYBg4 z$Hr(ZJwEFn1$>Rsm_Sxce#mus5bqS6u%Wxq>e^kVf`w1C%mtks_efqE^60A%#Tr`k zPSkTwgq!tsOk41_VhPGfF7G*KA-`4~{lv9Y95I|-+%IrBesJNqLhovK#;>C(Jwyrk z2{)t|g>Z$*<RiUlzfXsKJ3UE>)261suIX5uP@d%_eiCKV5dl!>!*_J>IC-@=^p*Lm z@uj(fm)vZH_NUBzx5X%#tLBNnF}aFG;p?JyMX9+<IgJMJR%ok9$rX+r$QA1H$rtL% z(ibic#`8+(NXZ=s+DbJWud*?dvZ&jS(&c>pSlM8Cb$ZfaGZk=1oPa!MruT5wAevUW zWinT`n`5yQst^cN<tZ)XesK|+wyAP4XA2SR;@N#PVHf41c4jco_Ub9MjYyLo(T-E9 zON20<&9ZQlvLfx&gvG5E%PJ|YdtPPWr)}6av}55oD35$4(#hDc4ctlvo^^xosEBfu z*UzoCj-z-AZhLF*^uHpePt2U$CQ>?W?CP3b6q_P_Qgf!fTbmMl&7HTOY50=x_>)bY zySWMW<~sy=h})OZNF>D|Hu*r>j2T5)mBYC3y2?k<v^#|1bZAvc&t&0k*mc6|gcPG` zSH7y<Yde+WNfM8N&cj2vP&&-ciQZJwLkHj>?5O4XSax>l$u}G;S%A=v%V<2rfcC+< zN3dWHxEEyF76-RQ`+nel6B-5&A%y&Er<jJVFQ-#7HW~xlY;qujbo2P1H1pIA%}ViW zPe^FpW+tmTB?4}%8i<NZWK<_az@6t-7}Nj(LWHj{+0Pb^Z(VIB`*fW=rb+#TQ$7&- z8W#dS%mLgg9t6_1yh<NKF)cjAQ8LMLk57{&RKoywg5=;%P!ZhgRiK)3mEv|jU84cF ziZb9<F(O)ZN4roR$`64sD==-Pn7o%EjCM=!jr<RHe(72+F-qS5zMq38BS8>RQaobc zX`90yW)ES(egB;BY5m)O+RmZNf;&&^8f_W~2E}*SU)OY|EjU5&5AFYb(}@pmQJV&2 z!yeHRa{g^IdDA&^iVO`TkQfSw2jM_?hjp_7WdfY~)}QUuG5-g9!<I@m7Q2nzR_;bU zka!k58yp=4ZW?#)b;yzGn7TwfrwhSo)MJG;g$+s&V(73u%lr@}0bb~mKzr^)pyUG4 z5}1|MH#VlXdlv<K-r|TpcYh9WQKiJZ>wgl<zLIt#)4{oC-W18v7(i_Jp5VxoRC6TG zPTSa~+4x;GvF{Bq5!b=V9Dp@%nXMk&Y^?vn&Sc?zYw!makE|nk0x5i{!Kaz5exEZ= zNq&2&4T>jZB4^zLUySI|mf_NtK)CeFw*$1He|f1rv(7ATj=pbYo#{QMiX_#w8xr`L z*+g2SIV20y(({j<WBZ6&RAIUjpKDg-k@|zpZ>LYa(L0#-H*wjqHCkSYEp_0}MfX6B zp|!TV%YkC-&K^!*2TSzMV(%YXp|?HW_^{L}p`MNdn6a{+c3A}N^INfBzc_PhKAm0& zHK#S4XQ~pybzi3!E0M||Fk-mnK(T0naGM|(krOi)-H7AX#yFg$nIW3d9bJOD-bciq z4~0BeB&gszoy!Sk91hCVzD<)Ry6?_aZq$;+J$_G=<hLY(Y17bH8rRpuM*F%#DpApb ze6<+s6d1xT95cK7x!Aw%*ylWQB-_`=1yXyFyBA@L${oB2UJ*OzOv?^*2t+ewxUu$c zYFJRV(U$x90|M{+IYu6dN{zl3A@brqNg3@x0SY|))*L8C6S~g>_ao1D-NFPfwYO#$ z)bZ%LL&-LXNGnQa<R589J|tgUZ4qu~@_GB}8=!3@@WndRuG2*LQoEVaqmRTVG9wn- z8U=q~Me*~&g;=$~m0FX=K_Al$3N>0mXL(k=!O;`MyqxPfju1L&nfE%FoEC;O;#%;h zquGxOJ4RUu3uEmxd^&m(XE&I-o_NGG-Lf*{__1Q<$p>*4bZtTx;mNbKJsq{5Su^7h z>ja*9mKH<reBh}gxh{;aetI58ti@M;u_0)CSPZ2w<*FMS9iJH;=ZzC_s8zW|)U1KU zF6N4@f4Q;ftAknKbo5Br)uedz;qeP3TVwsfnZ4rWy1|}bz1jqt*^FBs^<LV;>EHRA z)!ET;zBm!!fb`)%m_2eiI_fgT0avoRcR!;+&=PY!H6}#JNvPHnb}fT7j^h2jQ6#A6 zmW>aJ>=&zBTW$x4lbHEZ77c@;ckUX!gM%jA@1L0MLu_P4))sOlORP5K4+m!8mH+Ur z^jjDrm`^aOom{_eTb8+w{9ew*%5q)Ok4|jZli&L0dPVTqu)jI7VV_E}VGH83VOyB6 zVP|r)VXwlmg<yP{2iF9QR@h?DY8?KB7De_e{4knJW+iF!Al;J<c3->w_t44?<B6(S zr0rLC_-$O;Dgn~wgxa0Y&VoVNkPw-wEd%U%)4Ta@(8lu7W~=E%&X-?z*TBZO7a_P+ zx1M0nchkC{Re|;h`A1y{U<B$D6b@fIzJ_32j(4CINqyk#B4^&ComVb`LF@ObD4$@{ zEC&ZjMA4npUYPU-iWy$uo{4SPU>y-oYtK!-01)iQAKFGgN4yi?(c_7MkbTRSqF67n z$i((^jrrE_9I=oG`is}7!Sz3SlJ$hWn{h1Idd#^5&TwD&FqDY6$qbaed4woJf#^i8 z&Q>sy(^ZFVhBbwXXu^u5C1|xXt1`Vddb?!ej`md;1{|x9m0}`>7gw}*c3df(C_k~T z$UVTzS(vh5KiSf2(ewqfrjV6UQ0fe`S*}m<(3%}u)$CiZcMk^PJGwtg$<OI@gcjoK zFXkND4(aBWy*obVEa1%0H5%0^_IoC5bqcc^&v)Wr#VHK#tgwiUFj@0+&|u9Oz`vTy z>zvF>YY3+{o9p(Xy>3MV34DRM^~KQ?CQRk+IzAXyzMAWnvyI4@tlRHUVY(-Lf9fWK zx`~9M>-BYe9qKU4Rz=Z-K{r0tzbko|?O3w&_%3p^VWQlvj&9uuzLKB8U`^d6)}6fT zrF@^O;KCgRUfXHt<HTLKn;fZG?M$`yu1%r3^#*w@ZVTP6D=HpZm_oe+`ct9fBiBJ) za?kv2PPZjxaWi?;{7AcBLn`X+;nymqb+6R!A+W^rXovjjh2%$J6z)5g2b|Da6389E z&&O*~SroBgBS)t@)MaSz=aBUJh2#b?>Z82#T2v&z8Id4HSYKf5j~uL@OU`ohVzS!o z!9ursDp`L=zFwo7g!i)v3k|v!+*7015z?^G@MzGRwbAol4JG4}=@CCJN5w<vh!egE z?9OA(W>wp$VgNaK1?DYZ!5!_X1zcEI-~GIQj*(y2trrN=t<>2lU*Bcpe9hIQsMF~C z$Dm+!V0j3qpAzz`4s;_1QGS((FIXaJIBwFn5HNhQkfIYx>G|F3emY#WS`55!>_@5j z?B%s+lkqdDX*j<E9l`9KL5kzE!m1j>zQ19>;)`WC=T+VfGZI%stUViF|LRu(_Zn~O z3R<6F6Cg)B=fhITXE*XI+rJcz8|(JAqHe)@$G-cs6dw3iTP+p<vv=Qukyk@*A~*K= zSL9ev3Ra6{L<&S4M$R>{@79>0+a;iAV6gH(=P76q^jSpxnc4dSR=K42+?t5~72O~9 zVdW1x^_~xz5E;}1Cl%?vUy)(EPPZF35>0RwQ~|DZp3lv4{Tq>g4!o^SQ_WxzdU@?F zut1B%ZwmA|!LMN0vq#EwHQ~yf>+1G!#Bb_e_{*QOg5Uip_vKmLBp+wN1s1{2`aSqr zzg9co&jkk+Daya0AxD=<+?3k{Nn+^*@N*=-n|glrqIniJh~VRl!^)3C$$2#Oz()^7 zjfPa(!&WG=+fXj(dbR1Gnt@n%&!Dn{)MdMW`qJUL-*9`nrFI(2*KBg<{JGNEz6lp; zSvs@i*dUeES9OUoG3q{Yn$7hO)GQ2Co8-8wo?+OIGGUitAEtz<`>-BWWC9NZSbaPX zj&Qv~?yP8|;AdLHtz6-Hz2xeBL8d~hfRtP*jabnr?(8TY7LDimk^*;y9AR=8PO7I0 zF?pT_zPd@=X5I61izIw+7Y%%qz`D`c<B(8+B=~N*WXwM40Z+0aPlE*Hx2};G;uMeJ zowVVd93i*2ve<9AOCkxRN{a*Q_(sx<b<+5r5{pCiC0|G>c7p=fzvfx{XOU6tO1{nh znrH6+5hQuvD#|CAQL%QM_%Tpf>#UJt7;87T2q7J+OdV;g5pG#A*G&i~B5Zyt-^?yy zn?P0fK6SaW_xxy+5$<NYtbn#O|E&k^3BPaFI{k#DD%dz>?>XG{30SK@J2s6p5=~Si zo^iC#sCe1Xg-I6^n(mG4GD(`17iW7c2I^)e|DnsS4C*qQd-9*X&pLOr1q6S#PA%Qd z+S;qf8l}C_&G3HDOn~UjiD!fjGxd`#y9fvSLHA}D%i3qP`#353#3Tezxf`T0cIzdS zu8x#kc{TVayTw6Q?<Sne-w;lgZAtjDmG*{{c)_V@fl%%fhPb~QIfYtUubqlD6D<bm zY*BkdGk|Oob<fjvL57p!UuIr1)6~0t2Y<71(^gq<(>BYaLLej5yg6??(NHN4jAvDf z+oIsXnO?hCI$><XzsTN#uV)j3g<)Ezvic%Bl6->ZAsDlq4Mx<|SGm=CMLJmHK{9WK zD)L*-I~4g`&bJrx(CH>VJn$S9OZ+(b;IcToPs|nt0hXFkvH`J5=1T;_Qz#hxAB{U? zuVIZk`arP;<>sB1mddAFpRPu=Rw+(AzTGMYgqv!7&&&+L-=1NN!s07-%>a%x&QNOV zS?e~)w?BXT)yh%}>6G43bV;`|bWF#np<w|N#aHPoYH#?QcUC_2m+HZhh8#}KJ0@5b z%Y3!E+y|fI)qG`yu>i`uCsExr&!$dXg3&adM77fJPK{)+03uaqvpai->)>U+IQ@aX zHrNkc%69jrpOn8)RJx`&37%5zq@WIUK|Vch6!LW#!(Gi#B}Z9ioDsf^3)+vnOP<mG zgBOvdb-5>GaMbbo)&AuEek+jgOGe*xe(^5t<rn7+fQRop;w~^NpmQy9nY5i=dwKJ% z4a3!5oig90+Z(jr0Gq7$^WuE&mLwVUT1m>=JFzJDGrN~Lc;8uoh(MB9Woan*q`V$O zbI1=~B}Fb#;A>f^eV=>JAj3|Yon`p;X>o#ke1xrQo+uV)uv))$PtuFc`S{3T`@_6X z7V#ff-dtG&C7=nVp212CP$O&gv^W^lIC-7NOx7>Jx43^XYDBS2Ym}I6*#!1bEes5e zx2!%9bIq$&Gb717TN>TfSH>JPj2wFsb<h!hn&m*WP@f=0J|XzpHoe_P8#_TGyGTPV zCHVQ%5~eB|ho*#n0_Uu}I@G8a!3wCQv9&Y+Vp{?pt#cM-J!%x2rvfS;oxPbBx3jNo z+eD@uGJ?8O2N&D`ZEu1B{G=e7L%JL#rphR93!+NDlC#sM!*WS~LRJE&`15^IJaI*b z$x*4{1Uv)htd)jap~Lx3sC?g?%%E1zN_mr+uN1{ss=yoReC(|(#;6uzIM`DE#6Y<L z+@&6%ol7vFo)YwTNtcs^o9(^*iJpCXV{Y#yA=J5|9G~-RBySdLb#X^urB3wJYLWV_ zQT4%<qUIb+51tpV?~xtO`JLN-U!{2Iy&xi&Bt}ZNLD60N#(IuuORF{x82s#y5#nYW z8aDVvv(<8k%T!pbFXju0bz@EcAQI^gAAm0UqSNknlsOId1cG@2!jq3aI7s%Sl4Di4 zC|j!~-EjF+^=8h3*wm*}eh9}ay33wKCo?o(Nm0TYY`%NB=H=Sgx!&55)eiA#MdY2U z6FC?XoOuC%Qpeo#;29o?-Atn2I_b_Mc(=UBc}i|buz+n(ha<L2He5|uo84`l;70&y z`SR+0lqzC4!}tXOzfKt%?4^Vu2MF^9`iVD9i-fh7?hlnLg4czpqW&^{by-a>!*-lx z8~gGdFz8=eT?V);JY;;hn^9r7diyfc@PUbG;O)hIDeY!2UGEr#G{he<6shny_4%!& z86HUXbteS8rbGG3ZagS|K)^p>|82;2`~$*o=3j}Q{;kJez6H-i7RKq$`qBJWT42Qk zskoQ_nb#2u<EQjb>MVOt!}eIr2TBZAiO|x`dZEIsSn{>WbJ}oGAyT48RO+AI^e2lC z%+VQp;HA4XsTEe_3qK&2Lb$LL=o%i2DbbO8+dVc{Nx(?+vSYU$$Z_;SGb8bK2B9tx z;^F`y*Bi(kgf21C0Iyvb=5>SlfEXKQnpYtw2>ISX{vc!kLUvpr6nq1Pf>1CBadCrC z#0?Y$Le-clUVAVo_6CXrp-q@A9uP``K^3aRnL;EAlGEXSTZM0*6^1XQ(mn?)XUZ+K ziuKd)<qB0ld%9}*o{rccH#;oU9d(hBLd%)&E-y-P_Nul0E$J>o8ikcWShV<DSb6w* zKXwG7Tu}2KeR|-?7lXY1RMT!CC;O)FY?#wnY4sHo8l-&dmPQS+?`JIWNz}D`EDCB? zX+l0a@^Q{8JhbIQ$0E(juIJUBMuA9oRglEkrcsrXyh9!wG$M)5wvd7rFhQt*6k{j8 zTyrA>ECqr7+xo#0K5LeoSO)SW+FA&B-MsMz!3{oJmYlfm^3>*92t04-1EOd-=*+bG zgU}wyWAjXP1YL&v7iSAXaM_B%yIpI2p*Wvue=L@_WjV7~lW^#fBVd_!r|E6Y9SjQ< zdE9=ZY6_N+Gdu8VY<)#IEYLe>3Oxi*4fK2}lt8+xoP=vu!N;Bti8mt;xv!wsLKb1( z^7~sJtnNYl-sB1r1s^qb&{_RpFqZmnAK`C;UeW8T`%ST*vn0g}ip~Hb0sf_Tm{}uW z**xe6j+CjETHQ@dijK64mfwRC{h!jc$+x-dF|}E?y^OWf<}P&L>u7SBR9UxNFrknT zY;PGfQ1o5$e8p!wV9nL=uVCsTsYws#)#pYB8DakRgI)+&4h`0U{?`A5fPcXH#J~N! z*gr`1_m-u2{W*}Zal~Y^__tvS%J%wV`uoWJ3E4XFp#wM-+4YjVgJC7vUc@4JO>*JT z@W^bh`7{H?+RkzvSfD>%RVn_pB5esM7cFNpMxVY#bLS%LOOB>a^J0<`SZm4rep6B~ zPdM|C|5|1L2zl|U<RJt9rC2@h!QaAq_(%02YJIsG^~N+htlx{@%MKiipHO$+quB?} zd@+7$_rsm|IKP;E)|TB<4F?Bnq6Ek$jwWuQ!;{zIVQsr(Wf1JP@sLsaw7epFG+8jl zK+!A?LBR6s9b*Z@pm)3(seTp(c?m?)m%q)tvDbf}c}`D$pLqxtpfQ$TbLJ1P!8(@z z+stzRTWr1I$iLN0KR7l1-Bja9I5KpN5kd+GR(>>9;M9G^Ghf|VZoqBl#22?6zUVUk z+stFG+gfTiXKOO%8DykC7}Rd{(G7&h84@a*E1*axG&2P2f6WS!Jl@5k3Bkk~oL@NI zBltVXBzL`hKhfa?731P{3k#URq^v<HH<;9m8>uk>6w?JCXP0iMyIbdSmut4uXa63O zaK_Z5nff-UrZDHyBL#u|nNCGGoUCuSE(KHJ1ioG6H*)s;pxhQHcjjV9de6k>#^4la zZw$1zz7Jl96I2W9xbrH_6LoUhh(3+1YK-dvF)7!V^v~LAnttu8rPqS*)eEwU^h_t% zGPUo=n_Eof4b8T;h`#lX49ymb$5w)0w4Es!eFfI8AmLsz;g>oDwIJJ(P_;las4xrU zF4Terf`@pI?X?l~B@2WK`i2#P4_68eWrf@YW^D1v)qQDp>I6sytcPa7S&U=m`{nj0 zA<|An&}yB9FSz_M2k<?Amytq>O)9Wyd-l9}<@}4hFCJTeV9f(dXMw#!oKKXC^J~~B zdDsh}%sssj&QBaI65!Lv@m-7paIw$rEO&2{hBWxB*&>pkMGF^TqtM5{M#Yw%eE@yV z0wMa{H9Tk%D+J?TjuqInA*|bi%f0V^?&@bpn;%5-P;3U>A|m(Ffh}9u!u9}M*vPt( zl^Ql|?~l{g85b|2;+?%>U3kFu$O}a)DA&a$URM|q@cpure4o_Iw}uWUrxE-MMDE@A z*hPgbq|V1)AlJo+3>zHre+320?e9oV_C}L&@t=V@3c%xZ&z8)2ISO#jc0RNfK*z~y z#C5U7PAB+B`@rw!Uh3`D-6xH)U7Fpn#iw@g^@)ukQ(~bv|8sQW$&`4QH?sC%U&T#T z7AkyuPqRd`VqC-%2nb%Sz^A-?5?<n)Sr8tX#o@nG>v6iav*z0<1Rd;BP5k=DfBor= zF83S;F$IgmB!C}T;$9F%1GLEJT`DT{wI3vYOnAZ5^&KFooQ=O>j95_qWDalW1!>!Z zFrI_FCCHN)ctM!fA&iwEzoYcYoLkQe;y({zgvmRByqt~~WOW?EXd)6RdzGfbTbM2G zPiu)5sR;7*AYYv&?yqi&7Ws+1ofeQ#WBj<Fo-8R*h$v@>)3n-(ADBf#z*#uN@}Pgt z8z=9i6zX=)S8HS2wY^7lnYWiUJ<4%z;M3s&t=)2tBD(7KSllMjKdrn-t<A1Fay|+K zhG*uc7xS+S78h%a4X-Pahp*W=ZdJ%3^^Ves4~xS)yvFL)2=MIAgReklP6j$UJo8jh z9Gs|n`EJ7-JVA4aQcW(l^2+lfT-n!6&L4oUHo$PeDiKc_hIdaTT-nr3wn|1it0G)s zDHXRFhQ~?~PlAFi_$!;L$zG6^eYxa(bx;S5_cpxy6V!t!iR3a2FXa2lJ?ow|swf9e zWWD0Z2d{~Hwc0(W*bzv83{TN~_%k_z-f<0a1l-<bDRDz4_&1fP=E-pHtB~(7^VNx) zdm<a{L5wmYZ}}L&#}L%*y8OEJ+DvrrWk;9ua#B1>zSy*&G+A1#$hC(1=Y2QXhEtu9 zx0yctxN`~Q@UMC7=D6z*$g<{>#3qzOC#Ogsk-jIQpK4X!1m4OxD=@3-IMGRBJERnq zNc*W1HqOYLeK^34I%F15(V6i=h$6rR35lJq+bCBzKN=8PpS@}vV06gQELF|XECb)) zWH-J&?6Uo$GV4J7_M?A*#J4XWnL@y$ra}nDVn|j3MTyE*##o!w4HJ9ASSW%Fkd=T} z3N|g_S>m^oMTMxp^Cbk&V%Zipwlbd_XaS}99{G!J??lB896CC~2Dnz=$I`@_U_1Qi zfidA)U8o@rj`Cy?c_&^qem9u>38^euM2+VS({X&mxZE)Ny)Z@;rz@<JYjy7KYI7nD z6rKyh063Vy+DGfyaut?j)?&!q6^LMhUR|(0@J3)-SOcOe8B(e(DLtrT!$021oCPJj z2k863Sm)YzGWS%@NfHQQN;Kw44TRLIJl;o>7F6LNOYxeJ+Cuh=`(quy6uMyW0!$F~ zvM@vkh45mI6rWIq16aI?avllfN{NXdD%kN7c|vv{P;`ef>{5M6ZwsX9R*u}aOHXej z3iYm>=CH3mTU~B!?6D!-h24Xk<_)8;2vw~MV~z;17CHJCB<)?F$qL_w_sa%t2=^Q9 z?=fi#WrLR<jB@#l*yV_^;7N2TBs}ag>iI;VU5Zz6J1YVD4p7-VV18?9CQGHRW+jn9 zUtybZ79@e1nk`#R6hdQ>vgU3<Dm$;fjXN(>VK#7HDiFSP=WvQCB;{PHI@F(Ll|<DB zYfk2k=-{}6KzO3^VKi|_%CcIu`#YM|Q}u1s+18Md6f2qP7I>P$yW}?#O9(KD!+jVt zs0Yl0${I<5G^_UBYl3zunh$%MGv^ScE%*ItN>9Isjk(Rqyb!fZxtGKlE=ubznd@mp zX&^Sngo<owmaIs6qVfty920L#K+@RJnWeirb+Q3pf-hMj!-|7ly^@3Rt!3HqTa``r zgxgt@)_4-7uBH+hPQwbQgIjTEpB$bkG6IIaZnTDVZkKzD@>=;7Ynak~8Yy|V8p96U zva$<pX+@IO+8Ie@d(tc9(4_m8sU4ecg8})aX&O}f(5y<RX!Xs=RNNlWU=s*uM|6CL z7LtPDMK5Ep=|!_z{GnnGUb?SIdf2N19V7@+@?`459FTtaXlS8;THXT;hXO!&9wm4K zl8}^2HFxh|n$y!~2vL3g<mguUcMOH`Yb^FvMuN{Sr!TF#yJ?ihGZREV7M?5<wE!Vs zHTn&ux+&_aqvMrdx=X#DayT~qwz_rCx*}V|tS2;dB#=I7g;T^5MLalkk|0@kbD>4r zS}j7^A?9lqoOtP`rM37Ep%rvMWgq+Asqjl0Jx{gqw&wSEY}qQdANkALmhCDh;N!>W z`R~P#Mf0ETjviNonBEMCUBR%I0w7lQ9mLM(L2O6>#2yKPm~#h+#Vvzao*;;k-v=@N zJ`fXH2eJ3}LF@>I9gl$6xXcy^J`n=JZXuY#>9%FTa*@|?Dvl;k?y5Q_O<mmHjN4z> zFW0|qX`&(7{@GGv!I+wgjHVQ0_4Jkwl?cP~TZgh|ucV<xe2`B-2}(Pfh2H>cipYTJ zM;VAzum&zuV3x*XvE>I675RjH`*1}PZ<M;xRz2Dl5()bfJ>O7mdM#NtBc#e9!<TAy zqM3gQZ$r1hiG=js&d`u?O&*jOo9{%k2#o-NbP$ODe*(aNE%Kj8psz-#nrHiWN{l^x zk>*ePctD#VtSCd50Lz}7HpSPHN`!)vC1rUb{sVcm!c?Ec7#^U)ks{Ko-{q35-X@+r z6d(xqLY-R&3{h2r1iloEt3($JL6B<G4g}^(&@DoX|5pLv|7lf+%3T%x1z(tsjB7?0 zZy0R=Nj9}Ap+?r{)kANO=pX(8Q<LljVHyv6zVV>HQOa3cb{Wn&=$fTLF?#XlO!T_( z^!anrXom3!bsdewkdhQ(GF_1-!_@ov{m$!d-}!6askCUK5{>PDLZGOaeOL;;ey4Ig zg-o>eWMW2QGW)Ln3L9D0mLApHzQL52S?^$LTbiywcylI<SxtP*KiClF(2@~nIV)3P zIG|W+OKb4(Mj}xe+RG0i288a1=TSMTvEBKpajFi}0GA1v2DkviG{DsYW>b{m#!T+} za4dV}uq=W4zbs<{s&UGsnOzJ)DLAqek69@1$P9-%p?iCc5u5wKR}00(7l;fDvJ$NY zL}NH=biAt|nUg4muDw&y0;KgbvT4}|1|dXiS^gNQG*%L)_ZMhR;g{B-9EKHd26MAO zm!;M;HDD{NV>a{6s--~yTWu$^&~Fwm7&v@eF}DH+V?4Nl-zC4)023ggQkDfKfT|ZU zQ%_@7=8QGv2A=z}E`B3M0Q>~dKci&dw4kfrC?MU4R|ws7FP3DTtomlozWRA5>;h*- z;o-O?(>IVf!+`Q>$<TmY<;U)4ohIr@y6zz#h5bbDDVDU<VSU5geOv8BFo*W+%=Q3L z)%Ct5yoKu!x%gM6$jpUXGW%x_(NbQV&H`x97S|f_njLm$(Z0Oi{Pgz6*Mpt6g|8N8 z(M&3KcHaJ|+EQsS*K=}d(Ehfz^OnoN!KK0ci9Mrn37ep>agM&7OG6C1fUq%dK7S<V zSoGaQPR+b~!o~_jAoX#WMDETDc`W5SELtRqoM{*!)wPaE7xeY{dxoH>Y)s`l{eTom zF7dsGJQ|09ZnP7CY%J3I2S~hw_nQ~rF~sDSgitz-Wsxau@u~{(M{w3Ws5r6hmmqHR z7M#df6Y!YBvwwZjpH1<k=JM+5@EKQH<6_%)lk6{c@n#6+fn3alJihj4q(1hRQMJ}g zP94wlaw>O5vM1l6#99d8gs(xF#2`^XlPs)1P3KwTuYLEuM=Y|P1itmlY*IRNJ<NSY zlul#xLZLE{2THcg&fcskJ@1r=HN*b(abb*LeE;&Dc(v?{=kkSykxzRnFhZ-x3|v0M zzTc<J^ms@ayy*n5Gj2ovtQiC&E5#{;w;FtM9Er$HKp+SN?tp+v4)8bR|2Lr9AYNTu zE!PC>z?3DI#xLQkrEdfv6g+_aiil@`+1c(s{7`dArGWjY{np%5Ye(L#rP0=i@<7Wb zh1qx!>6!SCDce<2Ep<P@%W9;jL_a`_P0w|YmJS4umIjzzWhp3%6%n5t?7lBGC3h|5 z+o$9)W5MZjD+(7U>Cs^LP|Co9!)?+fEK|%EAx@GTi=t!ICEOeY@a^B}8d7L5FEJ%I z$psmnp-vNCJsz|#KR&(=Dg~KNZJy8{)?KtgkF1ushIDyCxj-wAKr5V}l@{$AEB_56 zW?f3m$(chyp)L<janum*cO|{j#<qk<mMA*K#FkNfLS%4OChZ^DzD?Lp-DG2`yBKbw z>4iG0DfaS#AoB76Gcc;UL6|B~1de0A6A}5dv{_-9tm)e_CY1@|Lq~Bav35k{w2SPw zWxiDIP+CpCYl#5?8W4B{0(X7_dVfRy_W)>$ID}H4rqpMm3A41_AU?#ilxvf{TR$Ye zviy!7L2w!WzV*Un)25<2t0uPl8K#eDX}jT9d}t44SxTjValRuF!v~AT1aZ~N_u^w? zY@RtAWq7Rv2JzymTku{b-vaSkp;@93Myxe<r8`W{UYuhSO=u=iRZ)n%Tu>z{EW2D4 zfq0#oy3)6WUJPP0IFn?O&9Dm(kT~Q1;mS)UXFI{K_etDSZ#m-<1;#cCx`)&Y-nh}z z(VphBp$!!ifqVuqaKi10P*VpDTz+2_S`9imvnQHXQMK>(Z_RZshS(Dkfh#~^5^M!n zeVCxJ^;9Xz#8xSH4;negHP1EqoM}tPdA3li5(9_3-<CY(+cfQmW+x%9oK1cl?s;~w zJS#tllYnc4&N@3-p5QojZAmnknLB_JHy7l1z`^FN!~n|^oW-Re(^-xY`eTC~EKlcI zt|4$0-~z2Y0<Ca@R$8?GYURH+0-Y9uPy)K8Je?MvtmKx@cjHAF0+$EkS+@vDr;3V3 zO!A|fz&cX}>r4f#vxIoqnjX)3M9HKRBu?_Y5JiV2P^3AC_2>==aDqUK_J0ll{|%iR zm3UUsOwTmk7Un>azYMzNVE07=3T>d3*ws@1@-wdU-7^11kNVj{aT$Y+dad=Rq1<ZB z8^hC*k<D^~pRCoG0YT$Vk1It<3-xC5=egWRlsxS*msfG9eT=~(t-2N)4@^_I&fx@b zQy$-S{ZKkK;?rqva)e<^E%Qa-h|;R55G5A3WrJZx^1~y?jR!+nCOF(*LPl-s{3(O? zMtpLjTF9S0A}^-!!h1k+2qr8$RHQXX)U+0!!J8NT8BUQVCE)r&&`SY8R(flp(MoQ{ zGDy>!*j(X@Ct6xzcBKs$-dbwNxxZ=;iM{k5m7FV}Ei|DkLNR0u6KPk-ElA?TdrZQz z__jzflaw12c^~W7#|Sp*`h$Mca-ZY!fPPbhenUomI%S?6v6zB>OM-rbHe$nCH_SRE zfe(*B;UT$aINUU0quVi{<DhU(R4eE>buk4u=r|~>!x9EME@@i(jNY3Ulr7Q(trGip zdbEQ#P>BR(b3v=1lULEPsQt`gBHfx<(jP>E9dQ`kEFF8Q=0(9cl4kdA;=mjZt26hK z8!iU>$&UtF$)Bfbj%?fdGX_V!k{C@>e+33|8~S@7bnL$eqNe|QAZ6v?0Q@D6P`lsb zFr0_{7Kd01-LE)+Ux9?m{~ib>^dAB_)l(Jp*FWcCN)lxV!w{p7qAS!37bv(1<R%Vb zxh!=`Ig`|Qm9I=u@sdZ3O#YaU!30YGN+39Q{4xLP$r123<bNNCmlSRD`kK}9?*kKh z-2eeTfE9*$cr!9jS0ta-(%LnG16-p^?HU=YYb-|0{Fzs?9qoCXYMbn{qqtAha$o^5 z{!u{E52b#~d;a@?-|8m`i{P)(n%3TB{=I$#0QEof&I6A8s|;}JfyMSm39qXBW#na_ zosEB@R>+n-641*ee{jS*Boe=qIVf_)^FW+>*yE@#y$5u%7>eiL82&vBtYNoO&{>|+ z29IM^NsF9Fy!Lyk;SsGHz8q4KV7WX7%O1F?dk({Y^ScKBcfZ}4e)s!A^>??=Qh#^* zRQ@k+gEQ|O`j>)@XgxsTl#0>x->~}%sET-RSmd}0%$f{|Oi$N}2wz+*?X0CIO<rFe zEX_{Nc2(A1?UnT_^<D8_eYkor#WFuHP#=WzIm=XV-`oD0<@M7h;YXU_&EjX4mM10W zIAeo%@GvZ>TJ7+!(o`W)W9!g-?0k=%s8?!pq|vov3TBO4$M>Oxrtv-k54oL`0b$8% zJ?;-Lbsv7*Xdn*%LH+&VfXD;%%-R=N7ThRiGLIg9zzp*$vB9z^f(N-%3Y^Eo=fpCV zLm%j8f%nhw&v$P-IwpCQfa2k&pnwHTV2@bF#7ZV2L+l|p`sx4S?XAPA>bAaN>5^1Z zLP1bL8l*v58VQjGrIC>CMM#%Yn=X+Kr5g#QTSQ8_n@#6CH}`#>bI$YJ=e^GJUFZG& zm}~qPIp>&TE%vqc*lW22)!Owx9WM{yk~!wQ5Bj+SbJzE8W@T_^$$U<`2a!v<2}<b3 zn%+Gqy2t10_1!3TVfQW$mkYYOI}+ieAT6wBv!KSBfR#Af%mOt+DmuE_C5}w(wV+~^ zXAWUeD~U|O){p3GWtj)rUu9adFw}lZTwz?4XkQ5~u1Q^q^94q?spuZnE=6T(TZ9y= zs5yk?uOt?PSU+N_mDL?&hgyKu<JwQ9D~z8c+s#6YYbsY@<C!HtNQ6Ez*Dj4`>RW_1 zs%Y<rRjwpjh6>k;KF?!zlhWpbkzA-~?Z*yfnr2Db8xOL^O6uo5c9YWNA_an%`>`#V zrtc)}bq84;fq=<PN`nhVe4+AUKejZ})JW1^eUMch2pHWUDRnMVAW_?o&CE2FkhE7| zf6V<&Iqwm=tST2qMa*sSPg!JSGK0^a3sUMeoGoq!o*l6`Z^!*mh{Prp(HFcLNOSzg zhp&p;hBfj)-&r*toBiBvDcyu|a(E(X*l*%<t?gytytjTe#Af$Jey!#ugIY}x_R8!| zGwJj@Vk}=sBqLqn(61g<e5+X>`TH+iIksn*PuX5`vPEYqekF4}Ai7C(gP^C9JYGiT zfkBv?8y33m@x6FmAooSc_la)S&WN*}nHVrECVR85Ez^@ZWCR*mC;24YwnzS+n*=kM zxrG&EGEQDcm@LEez&0#|&)2VblT2~+W?xp+Er?gDh_YGq2#YPbkvv(31}HEBg)IUD zUU5#|t>=2a?WYo~$VMb6!*!HJHx?J4#!`DnQnICEOMc#%eAMobS%2I<A6dJN$MfV~ zJj2l|Hibg$78`2<51y3h^WNb@g+S!Gq;uEuyXF1*R5-Nl=VKY*$B3h{_x7yGkCXBs z#hk0t{1k>+m6+w|<eM+8_kxaW?9A%}`hIx-<f%s2!La3i-*kNY-J$FA=LCF9N_l7> z>gwATEN<xLE>f7;MeY8iQ$<O<_{u#q?pJ_R`vBwgCdX2V7}^Jc#mU$CNLkix6l_g( zvE6gH+S#aF!vS(%9WgYJ9pv!#v5b23s~{X&=dQeVHRNZ6A@l}bWz4W|6O-~-W-mx# z-j;a9s4EeaEAaMQo#D3&dVKJB*&F6&Ur>9tFBVIWlTZ?f2CNBYh-`bCV{c4-K=HgJ zi<RS#m}D}gR>itIdN0oVq^LuO1d^jyMIN^z)<K3-5f!7a#8Ix?>d4g@LU=rY;w^4W zH4s~VJs&b-32nlU%|qJMi%~`?7OJL5cehLLzx&Znq5XsV^<o@`wF{Bp0u?onFPC() z(aZ0+h~ZWr&RBSFb@~YVy@?G)1Yf3B#Z5OwdxaaX&(~+X>nzC0oj!GN+u~~lh6aj* z65c+!(Ym6xJP3XSVnaf&2rbiXR8TITyv@e^q5Vyac&7@hc|Oi}z(+XnP3-#hdT3OM zznZGPBIl}A2-?22$Ai7>zNkY!5Y9J{^P$Mj95FvsBPNu!7qfdEha6-%_h-!8ULLW% zlJ)FJHpwnO{fPOj)-Iyc?l-gZbA#;xfzo`N0RcS@&t(_rc^%$fCRa)@>FY;*_2m!0 zO$u3phkJHY>}5`tKG}U$AHD99*YIK8taGy6u{^ix?LFo$E1g@+-|Lbh;>MG}p{GGX zI@Hbb)==i;IM?8-`uSzEyoT9jV6bfu49@N{cWnwI3=9wk;Lsz)1`Kd+4rNXub3z~l z=K=`9zTnb1NorT0TjkHjsw=J+<}7y!9saJ6j-cx#y6}R~GVle~Sj&hBPjYo0lMJk| z-%XFxVm>e+d1viQ8_I00`ZyzGBlY{-<xvv#79lx4kG->i^#@Jr$tm|bwZ|f}%kPr> zsd)N3UXVJJWsQ6*(->MKnq<s?9I@WS-d~NX_8VC9wZ2<k>vD*%rRzI^QO@GC>33h3 zNY}9d$0A=_*CTbD#BtAp$0#p4M#eF*h|9H0xAb=`X+1h$8dp>lkN7uj_N9WB9u3F+ zl6J;7jTW-@ofd`CQKQ}``K9qCYb*L;>c2cb)IN)&{UmoPTX@am(TDzE_K<#(t3AoL zi!TXb(?_sMpY@Q^|9@fy{dZr3wZPXuyC1CmLGCESqpyw!!PN<u3b2z{wMc_cG99jY zaP{X)1z;ZK9DLonK7Y)LLtp*fN#>`qr5GudJzwIIRZ^9dlBFAtjap`rCl-dSOP1p1 z)Td8-<l)0<ih6e&No!dPNY)w)+2A8){#YzhTJcY}d1T_tD^}ihej$srKQs2n8fR6B zhX$k{M7@)N>%SlyfHZZNF1y!=_VvqItb$`?U|c9Bj!3xiBgWQW3t2=_q}GSxo+UWQ z*c-ec9q<9lcs*-G4hCSS=xAkXvozTXaLk$uS?qC49I>?6v|vAOeMn|8C+S&3)?zE@ zp7hn!^(6`W`Kc&^V>QYID5j&8)j~bY@gwwR&1SkP{k?Dh%88%R-<^cE`_^<R>cImP zof#fQgJ4{)7J8*Cu^J86RZz+!J|cI}6vqGbs=%M&@k@!TP{DBv=9j*k+=<g+Tq01n z`Kd+Q9fKESR~BJr-h(aWp%8mC+o~nuwzsC?ImzQp6e;Z2%r6-XhnUGGk;`h2nO{oa zfGKz7%GILzxr22#p@pva4Az#DJdS2rCAn!07KO0Gl3I_dmFr!NvTmMXi68l9(@a|n z5|iitBN?H#^`{yRLQV7^)u2E0q&awX5ivnV5HU%^e$pSvqvs%^)`6%kzTX_0&5Z4S z)+6JfJ2xkD84WU(xZ&Cfo8LFK3COQ9dHgb5^K;^71IJ&!>M={SI4{Dvrjbbs`(8D# zeT2Aw8sbRd&}oLnfTe!9=1u#K03J47DP#bcK+&+-qUD;mPW#0m2F&^YCl&;z@lPSU zd`AdL!d@_#_A-Ix;NiSbB`J*fY*5Y>m^}2X>-SA{o7R_~(aQ{Q4Vx1`qtW3*A&*}Q z4S8U^{LB!FW*Kog^6MQl8FQEsyGlz|$+v5s7tT+>dlc5;`@AJf`!hoznkD8nt4J;u zdJc2I`ML1<Pk$dB^ElVQCMHsn6yAIM@>V6-L?Rq1#J>KgSsB2+VKemSu3k^UxH%CM zM@r7V<576l2ChZ}bPS<Gw#eW~-4|Q4ts-fjSIf&+Ugz-9Y09Rvxk!DHv-927)`=s? z^KcA&&OSV|Fl{8;FC#oOSb@CGrXcDj&&#RNcAHe_tYY?fcB>=@>*qnn*wKN>naJkV zHeADb8~QEee!e^(ST`L}vQ0gGc>o@D+}&QM(XM~BJG-^pI_P1tQR2M4O|91`(0H;r zvilwHWT$_6JN4phblUS`d0C%-p#SRfaEqp&0kZTOz1(!xzuMn~(?DxEQ+*rYEn*tZ z{ca3Jh5f?N$<EGR+J*1QQl$RRcAF;N%hlsh=ptabnYG7Ru<6%T<P-0ns)Q!5GuxxJ z)`_#D{>H0rCFr#K#Db*Z)~XGU>GP}I^^3YXePQ9tCL8^$=`Su<X_{_FSG<F+hY2U7 zD)6SNqL(@L6-|8ctcCRGsnDxVFCO|cT`VId%S_=^bP)-qRGq%VE<zZ8W1V{uRMTk% zozIS$iu@{yjxLNn$zJWi(i!YcRHiWHg=dbMcRkr&VNTkg<X4}Vdz4msoa}IR&&ST3 z=QnMoLl<1YD*yA`_WqZ>!6(w6yRvo9%#teGhqE`28_!Fs+5=NdcJ)}js4w~|C}_ze z5_+=RdraN#=uJT$SIzQYJEpIs^GcJFA&%Io#_zOIA9Y+Tq+(5_HgjrOIO$ZMDZsKT z8eob2=c$g<H$1+O_ikxA4!WDTJs);AIa9tCjF2{%RxGC?NVd|G2GdGur@WJ%ZB6?@ z_n$6X2x8*qf>3w9R<~kt>wl8!URkpu#L3|5*8j$4R|TE`O>@3BVCDZfCba&$&?A97 z;rH@>>R99QrzOrN)4I^#Whu+4o4~SXYX|UCH?H|-fZqW4tZx1C{Vu>&(=l*0T{aQ= zo3m{9iF$`q1S@x1jhKn*h8?HHS%+${4*tn2&cskP-Ji=<7r_a#qz5B<uRRS-HzCf_ z5&D!uSDHPYPu*@~U8Yn8a&FRar-d3>m}6vYf1x_e!E#BV(>|7EeRQ09P9FU8rW>70 z>RriXJh>_3C?l<M@>s@EQwfl#jxzYlC-P4nHA$6ELbleT)SVZZ>I%AlPQ{k&6tif$ zYBUbj)xO!(J+s(8jjC|oENEDVx_|yQQRJMrT5=Fw);&9a>M0|lj3AmK21{H`_M}@C zCxWt`GLb50fM`a(LNH;Q)g!{wYWhPw*W@Qp0$=a;<SWmr)!o~i{_gf}b?yp7C#0;_ zUcy4&o9waU$+*GEliXCQ<ik|c!QTbxz8_`ec?n-Yadr?~LTTx9%*1PhTYZ~29*>t5 zuwpQ1$jBhKuT#HvJ;C{Vq!d5zEFQ5Wj)G<<IK>awoZM}AvzDe58$u^wd={fobn>tx zsHmnuDQ&ZLK5%~GmuuuQPu)Y$*!HBPkDb=6`VD6jcBJmr?)7IodKYfdXs>S_i$ok* znM~94n7)R31HYp;d43T&KYs;j@oxnm-X`ta5k1_SU0ff<pNig?-ExN4)E&Ncx+H}Q zmR-FvA(htfk~@zs=<FPUrA=0*OujLha58}<{rqmeWHH~|^F*;s#>XSLlaF&YVY2P{ zW4;=e+d9U}SpJ8&`LF~XQfzpqV}@;Wr(;*|s7?+vi3X079(E~qq{+#+L1R5{xE`|? z)pV18svhg96gd;Z<EhJm-%eisWR0ZH#_EXUB3uKIt=)^7v7W*=u%x(=H&2+Eei02* zw2x@?3!jCY<`OwyFoh+*t?{tL!%3t%(d_i7<Doe}cRhUjB}WX#iB+}qvS92WQTexK z7bN-HOs>YW1g*L5N_ZhhI-y}~X|iV7q>9Fnb>Bq0>oUp873Nmfiy<z^<F2~XTN!h~ zGqJ~VU^0Dbb7<AfGof-g{ieI_N0Qa=moqhEn`e)(8^+>FuS~Rm`~Ti(4L>rWk$+G* zkhJ;G-KKJ0cdhqHj*TEsUBjUN?>BN|P#MI$tzuKj_j$f%%#n~M$=DjN-X)%Ak@JXj zF_0s~F0N+Uow{o$^|AdGNq0sVGf7;{lu8o2^O-q4=h4|0adNLP<1L;CfgC+p?e(?0 zu(h1&67RYuJE03Nw8;zq$<-sKnJv~u#U@tYI@tc@PwGOqU3feIltmoy5ITMah%4!n zk=CJVG~8T4gtum=d_!7qc{tH)&2iRzte>erhx?*quVuKDCNW;g^0X${KgU?apEK>} zz8^1+QulqD>GJHf4J{X~LwjGi`KJw|ETw|0S-8egj)>RQ>~7e(>yZ^k|K&wp$%gw$ zFDY4l)7j3}<~+uk3Iq>5)SqsEE*57OTSa=ItJBlX-J`&&riP21!)@nC=(v?_FqQpk zzMGZ2=TJYIUBIz-5aVq1UD6>F)mk$KNl%?XZ%J7G!eY}!x01l+>E)fB^SWh`6dI|z z-lp@@Z#?>w9w)u9>GCW0)24;jfwj&fTT7p9q^9R%+B^l88+CDxrjpMfYv#!#`>nGI z+olxzCg!v{*SsOFu>@zvBDx8_!;cQjze?^-1Mm(3<^hO7fMo!(0JyiVo?56;#IUY; zIA3Tw2LArPfDxct^50b{|JrD*1eeCN0XC*d^bl>n(=BNP_Cbq?5|*SzgoWNv^kCge z1IDgJ#DM0MT3DqGE72r+fa+fQ+Y2_XNyLD@{WJQ&`cDPC)I#T%2n7ZRe!pR4K~Skn zTj4wWe*MUTfKsitLM8luUBv=F+&I-Ha%4vvKEA!j)ufGkHhTnj+?Zh1eu;KA=-2l} zzd5?^i}Umt=c{Qi?S6`1G)oKkOf$b3&Sm;6IWHOQQjJCWT;nb%{sQQAdnLoQ_AH?e z*G<#s416UQkGuXY1EBxlTL1q4T|d>hY4`JVp?AYQkuAN#C0&$M4cx*d1(Z}$K!i|I zM{gD`F{7kHFhHq*5D;7-1mprabrh#?X%jgW2FMh0ss<pv$f=}&GyoZP;ZhNh0g?h_ zfP@1XAf7;mRk&mYWPs=b86XNk28a-lVS<1_1_%|90fGx;5TF-GsiPPmAW|v}kSU~8 z4M2L4Qb_@605WtC5Xb;Y0Wv_sfea8&AVUKIfea8`AOl1J$N&)nGE@){$N-@NGC**F z43LW(siP<$-i;*zZ)lk&(W(iVcgemCI`4+O%3wk19Y!uHd-Cr@n9N-!^~fV<*^fB! zFSE{Kr|{1%yy2gWo9K4Ti<rBOE2|&JKB%aD96h#IDxdoEG!Z%+Q3*adUstzFC@G2S z8rIS;Q)0l27c4s9zDmycL<HAtEm+{t*JqB+sc1AwL%zf23TdrdUM8@}(J$HSYIGVm zHfk{{S$bB;FRd3C@0I`5aNp=EYaB1rP<rE8p#zwo5X^2PpvQpFlhzenFA&<^YXi)` zFs}a!j5*Q&g)y^k|0!f~R{Jl+R$Tq37VhT%LRy3aq-UgmEhX$;$0}yInsalr>~1Pg zo$M;L*`RD3`b7U~dc3n~WRWqUCoayINKbndYRl5qfx8bC7w0%CozGe)2o|{yZ8`RD z%hZitly3z$T^S%)bJMGptj2D>@&P#w=<>(6^hdw9>E{VH3sVs?ytP~pVI+p4w^k2U zf=H%rZ48_YzcBh)n;E-k(=XJpMLC!ZUQNRXS#GU5E}e(h<cz}T#_g0oGcDV62|*31 z$xZY}`}}qi;#*jU^XHq@Iiullp7~Tg)(Eq2;Jr4<O@v4Lr?!_*CWqHs2o<Uy{PKfW z&7|sK^i6xayIt^PUL2y<*<3D746iqwXxk`dz<(+pHqt+*isWfGO-q5`nWrb;diyud zn@-mH_2sffF1fT0SequZpwo?|L+G=WwZD7;`Eb5+_Cc7r?!#e|@8yp^tXh-g)QQbI z@PrQQX1uLU`nFm;z&q7D=b&MoY;7XX<IgeG7jKPYT${u`Mcm~e^17BaU^Qu4kIE+T z)Cux9SO>5E+5Rn*7}<1nuywK8L=#!!b#`%lK7F~@t06+I1RZabo#>}gS3_58m#6ye zP%op=m3-zPO#gf}lr`;Y|C_VEmuK8s!FJQx>hU5ebeZ^L9d>rb?{&Pq?Yztmox<TF z!dI&&(7@}))7kd<CZVgjc6hu^<ME;N={9cOyoNq>_U%gevYmAL(wI%;R9N`xvi%mU zr12Q8f$~Hjf`8L*ya=X-;Jq4`-5$HJw8jV0&zVg0X{_;h$Vqz&^dp-fk0Y0(_BvQ3 z4b9f87rjOuwyR<3rw8!k{&sxlCMx}Dp~j2#v-u=gq;p9`)8%~Y)O_IZ8|&|*G%Tgi zq{w-=j#ie~nL@>wv5MJyttVBLzA5-*Yn&Z|NfVYVwl>a5Zb?iKT;3=@$}#^1V+=bs zoG@8=)bhTXKZo#ZVc%P8Zk|E;%HOklq;17?OTwQMcU32!U@GRWJBsFukw<gUG-Ek7 zFvzMuod2>!$D2_+>X(OY{CM00^}&w=Enf8u>uCR4-5ljWqYS+D+l-ny>RoCN?!>#L zpl2)UDIdscsFnKUL8ImdYep!lCBt8{Saa38V(OEB)+9zv?eO>7mXhk_5Gy*htaG(= z3cI8{;T=OO8Ag5JJ`=_K+1185M^!?<o+5WYkG-+)`>{i3o5S~<b%s)IufjgdhZ%g< z0l0DF{^RrFDd>;P+~p420~vAc1`aDX(D~?YkK8kjVXT$x18)?5!~Rw!w!XbhMsfqo z79ggt0O24ICj#LjkN^VVBak=(5h9Qr0^LF&RRkhNAZ-L9wN)uHZZ}|5uT@#U9kQ0h zDN8)XyPPGfuO?a5$Co!`r7+lQubA(kt?F==3`H~Q<~d@=HfFu3%^dRFleMy|mD9_U zQ9SBks_0n7bNz(QH$_wOgF2^fKC}0&BMD=6ie8HP=?_JHa{<p%qs;NuXvbR<iY5J) z4?m^oMaGSP8ec_83E*3KS~ZNph-*KPvE#(gtQK3WR`DV)*Ykl?e&$gMSzazPG6G@3 z;*E(Cd5ZhRzLgKJm&vA$uQFNXD8<RE0q54QCRTf0aX*z0Ck?990Z#hxU5riZ6{pju zpG5J-r*6YsjEQQwt@{whNq=FS1gDTS&#{q`+f>=y_fc%6R2u|T7Eoe@X&qOxe+ZEG zF?w3$l$56kYjQl_-0!Rnw#u=&UQQzwbA?>RFa|%ay|Tg|%Td;anVJAgcSIr@g$nlb zQoxg$PmMYyDuy4dGgPKD3Y28TIGtLT|6mzWq*>wW5yhQSq{#(T0VZWeRL4D~nH%qP zx>Y!o<8&%Ju^LT(YeX@vFzJ`m>1BO@%J}Np-`2{8VRgM!L%i|pmDX{kA*Q?C|39ZY zojR&4D{$fT_n_LfDZ<L;@qU%Cgx4Ub&2@rp{=$?76ziuHFS7qy=|F^a4!#=2Qo8Q- zd=*-+=R5m9=j-NZuP5>7!hzHJ^DmYWWtxZFJ!0;)8NvhR@&1SYJU`4cIs*8M)W&cf zr5G)igFoc2q&$Gtz9tgm%3q;E0laSS4;IYs=&E@`v06FGM&c<i@;3tb>C{g%8S66o zp2b>Qc3J0gs(hMAEWNV^V=y9Of%^Hs?GN`;{JCFxY|p3mck_d-vo)6KV}8h&Aa=Uf z!3KGpaz(F}=jsiff7OsoLWd%Mp6Xb*gVsZf)?kwSl?w)dOuYe0LHgezk-svrpcg<< z&4_Z;`Xq1EpZp_lBaV+*ZO@mn&QK92_KiW8HEdXn{!V;p(qcJG&xVNk$$FVQVejr~ z;b6|vF@Ms0G}^6U%Cy47l_eKXJu#WyT{p0eKPW-%bcjscDdhwE_#Ud{fel!Lx=XsY zUHS`K`)ga1zuESP5RXwJ>P|!*Hfseedo{CzmX80z@_4EKT~y*c;v7@9_edXRyZT`S z=n$aSF)^x46KE6XCt!wlM7N*`IFYPHgpIV^rdaPTIH%5s-vcTOXv`F4j&Ww<e7T|| zPdj-=Py@YGZq!j6Sw>LN4Fp04s272pQ2^S!<{=O+5`u>c5HkWDA&|l~4-FuzYaRlH z0~8Bw+?4m1{b?S{@x1q~R!(Npe3)`4PX5XgFCDm?KdR;H!vr}Gv5w#rqhBwVO^AXG z^J}P<-qFh;S3GQ4FK_8obV-ro9m6OYMt@K@<Cr*Ogs)SI_B+Km#4KXF4^&n}Ng1E8 zCL4S2ZYQWLz>|BJ$Jcm=xdMl~9fSWSF1$bBO$6cuNmIB^EOc?5*vtR9CC8W7iA9{y ze<t=#=hE@hPllIcasRnoLH{~g|8H{DDAav>kg`e0av$WSZwWd!YHs6de^9_s%je~O zU4#>VU-3rApDT|2&#m~M7Yu=t`LFW$f3srYWJGn){6%%p^vRKd@<3cZuge2eN9v!| z;fbh@Y6wkh=1gC>(e=Wm{tN9CbZ(%XGVSg@e;BDh`O>F{rb?j?PE)q`OC%bz+`#f& zBrWZSkj(i(6STW{C7jqK5?P7bkr5Ta<0yj96PP`|P?xreuLe)7E-GVhtrJ)i8|7K9 zOCD-?GLK#$`lCVkjnfGA%M<mkt`gxQkLdwRE$C$Uj8FR;VU^>P0KJn~+eHnS{)r=y zi~J$~Cvk=C;wTU+bamPMY5q^*aN9*5AWn*jZ8|C6^As;JUsT#&enTuh`E!iSZPDf( z6J&o9+oYg*GNHsY&9k5Q;&LDI>U;6F%_=24L*w#A^<EXQuZxM@ZdBbF?xMkO$c`0h zNGnsPe5-BxdL_2$R`L1NqQ<4L@nRimfS1<Ee8y<`Ue1wyWeA=1`kR{#d;Zf)X5X3X z_i$U=r>843>%r67n@XIPn$kR%E;EdUj|1;5&rY}RQH6`vyvR9Ir<#Lc-`>ADYl>_? zYOh*yiad$93dfyWX2879{ut|fGNHP9)!Bps^UtlSs>q`lr)7vqy)J33GU94xLcZ>- zy(-J;qA50C*S?IegDB=?x?N-YQp()<vPz|5f;!*x*e@@q3E~BJ?XB(%gb_{a6mRQr zPgpqL=@Vgw6)X*^9VkHY?en|kkEegMSG9-S+uPLlqR?ofrM<ox6n-J1KmGgHRkVDe zu;eOB@aV3KDocg$wwC&y71K%M2%5bm_EP1e_=!pb>pI_>O`IhsZMG`$nn`T0`UH6B zH#?^41rF2qA&W0;JB|AYZ+92XM0M{Y%YnbEi7BnnFtnS$KrcSmJ^D2nvuITt@pFFn zV1mbHd>Hlcmj4p&!(n~B-Sx-#y_anoilg=IMyK6OUMIM+qxFKX5Kt5qK-6nEhyq}b zA%bg&fHBu_7a2gEYv_Z3_pc$L*!`*Y`PINc{Ol|P7btlxcsJ*bgrN1+;wV(7z);#S zwUxy9EUV52vcYe4h!wxm*U;fmQ)no$ZmF{9`|R0tkT6HwR8KKu#+ZrJzFy>iBO?1I zg>C(BWXu^ei!=nw4X|d&0ZRpA#w<S>!Lk9Yas(?Aea37p0l_l55wR2wDWF7*o(VH} ze{`K1@}56vl*gLk&3<{e^(~U}92`17X5C#C@j8YbZ_tRG%6aTX(wx%^AGJqb5T%{Y z2YLnfpYPH&eSXk*Vis|4Y}=|@U*`a?&!j2**Bkhrpv|0vM2Q;>hT-_=TcKgg;zfdN zPoYuHhNVP2z;Z;epiRK@MDQr^0nZh|I{>VPYc&MR6T!Lwtcz<c0>JV?u+RvzIWvv- zl4EiGU*MKyW(*`6zTmj6#omJ*AhzzWS)*)L!Nx>_T|{j1Nh~9_rBL}{o}!EA)vkE= zkad>B75Q)0Q+E7zh%x20XLa5!Jyz}+Gp3Bx*Prp+LMW|>f7svr`Bdq{$0BdHi00Gf zM|R~SO8x9F&jckSD)(0T(AQIQItE_|3AG#a!f*49hvY1i6*{L9wDUCmqWvmNJq7uv zMZgMftWBJn-0}J_k(}Yl>vYqv|NDcE0bvS(faVADw~Wka!l<Cu8T(x<cEQCs)sqX} zpl{7K#eCG!OndiK??j7dYf!=nRPQ7qKpFra5g>;^Rl5Z*0lnbYgRUPWrQ+GmT8F_L z6^k41%|B;)X3ASV7cinIv@D5THP097ymInzglMf(Gg!SY(+-!fj9o6$&Z@Sz_3(?& zPPUKmA)1?!kcj2T<+8JW_|oXr6nuADVY<Y_%ee|VPHS()&=1q5QtJ0;PM77#jk{?P zg|T@**@;L|04pcu{jd~=854PV^v&^hTz=@a_*HE0)`aB){jvI*@WybN_*iVSg>e~_ za2;*)^beM-xLkHt**;RmQ(OZb6)EWXUk(ejA>08!^ju_<BEnA<UGm(S<v)W|!h<b( zROu4T7Kp;tGC#<05d{lIs(B4;GVfKJKJ{4^3S?BGr_2|W;8SybZ<o8rwy3=5)0NRB z9xEP;!4$}duYspdZ=mjb!)4z-m)~9;>*dU9e};v3evcY`S?+z3*!s+<7iy*0@6{MZ zR#cJlk<A8VF^Hcr-ee@m10x@d0x$}}C<3DxjFOxAvS0I2kyOyw{_vQEZJ^BkRNW*$ zN>hy}R95e~3=^X!+B3!qQU_-gn7$j4BC7d$Ix);EgGf=scpjrDgV7sZGXDnpe<+T& zh}m@*C6p6U9@vj`A|=!Y8V8)~$WYCJ-&Xp6HXeq^3N=WSGGg66SuN?vAG*?!Gr;%) zMl%?LV9bKC+m#OGqZY~%+*QBfm(QsY>y22oI*OWcHe-S${g>PY;@I-cD0T0wxo?w{ z>9pQ$5*9ZoZg)K7dPwl;UyfX<7>h#Bg=Qr`u!(fCA993o^S$%fmBNiOKge;Z1WPdt zKD)mssN&9E#nS=X^#tk2+I{^3w&O|k9Cg0E@B?hyi%1#uXXMyj81+YhQfTb`U<nA` zp;r$xj3SFj8GRS3gzCg$;t-Jn25mnbhR6~%tSyU30g^GIc0IdnZQY%kvJ~L(dUl?c zW&$3jn{*aQLp`-Yd#nA8j*)eIcxYJuSanB70ss*JU;>WLV%ggdOefpz8ci3ecJqBG zub66@gF2D~$p~HDG3aP*HVh;dn5n{o>J$73KUBSCqdh_Y{^Bvf=0<F^j!*Y4<QhGp zPG{DWCHm>Q1#OtevWj(b=aq@PY_-$ts8{tgF{QGnqK}w90icBd*#KA|Kn?(I2#`y2 zUsE#5ovEfGN`R^6OVk--O;J=GV@=-R(S9$h(^H3c&M280PkHX19s;m^k@uT#*Gqn$ zo$_|CG4I2~ep~2$km|lKHC~9`V5{h$oEvYD*04x8201?b_)NP@7QCUHdYWeX_toBR zxY@DIQKXH}Y-Gvri(XET9r#Rp|Maw{%i&4u)ETU4O6bg{UE}D%%o@18M=ElqbOygV z-N5e`z7jdInVxPq>|N|GX^$rVRUPtMe^L6mWgLwpvlirayTT&jxd0(+w&k}-qMcW@ z_s<_PQl30l6Wsfl>%AcToRE)-xQu;<DthOLQ;wqUu?fm+jqh&^Hs<1S!*prNe7?vT zJz;Z-?Lq&}5;OMoSH(~qsSMAKpzl6@&--6>LS#hlX&B<Kws#DkZ8T8b3~ng!yZ7bD zp6&jHVw9H;IV7eY*YkdXaFvJSLO6T+`+i-#*RA1g!&7GYTECQxXCX7z%3700AEQX* zMHtNo_W@7@U>5<D0U$g8Km`EygO3t8vJTObM=u>hC7oY7_(>jVIJiqX^IR@93ab-u zzCX_04s0t4041ii0>C=}_*Wo+d;y|Bu)GII@P%kEi{CwQT_M~(S`dDf6i7qdZ_3M< zI&2#grg3g}Cn7}uZR_*hP;1^0EoX=V|1^@dY5z*sKz|AGA+FRj0d{q8c>Kp##aqQG zm&-?{Y2naC=H=LV;&icVBYFSeQ^9h)36JTiiPySkc@SE=mH)g`%Uk!^>WPK77#S>1 zI<@~WN?8uV$u*`h+tpj%b{;i{TN=&db+$5QJO*n2H8!gqG%fxci&u;UZd$f4bl%<E z??=-b={>(2;DVieDS3X^Z2aB($0>JUsXH6h(EdBq_D>H41z7lIQ<A^PlM1lt{^NiZ zDgWc22n<X4pR9)e#)=sDcAml-iGSsSDAV|MQAuGu#@+e!B0tos?g+UiT_>H>&C>ZH z8wf@vJ;`FCqm7B>@MV6;@gIjd(><SmNChG-r7U9Z%nqYs_RRY~#VnZ}eu}+j-X9Uu z?ya?en>KC;ozEjy`<fb=`-C9(6FyP~Jpn&*#yx^lqzo#8TBHne0ym@#Vgi1o3_^m_ z8yUC+Q0<KjECRP18E6FjH!_e2eqOcg>A$?d355(dSsz`jv;R6#waZrBslEE0=x$%! zSxz)g<8^hswYwGR%t|97JZao8ZZcS4McOcKJy@^_*Rwiq_e;YN`ThePSMv_n(D>68 zeE&n`6+HhX<uzR6sAnk|@<e&8F*39c{@`&f`_MZvxeor8x7E1FANr|zG|Qw{EJiXl z3jWKHUBVZ0Llg5a!RFaY)JT4JXQ#Z(C@X%8&*!4iP)HXY5AeR~<eYcurlEip<Jg>v zgB%)Ki3qU_$m5599RHXBs^%WRVRYjkd)OKis3~A9IMYBT2lKbe9&UxkF(RIlfL;CD zh;yR67kW~rc}jh`IJ4XwIV<g5l3<;NJZr))LyvGOr{r?}ThmO(t!3W1f937@A;7gP z=jdA3goHvUVp<$0TyE5VTJjGf{jq)bj(Nu0)!H5HKS+}KKCc%KGZ!c|$V&u4VKGz{ z!hbK9=K6%+LU>}m4v7&{%Fq5@EifvWSKS8hoD=)}F;(<HwS(@l&>uwimK$Z06%ir{ zO>eJBougRft#QkYI+8@oj4Bc>%ZxG-3d@WVl5vZl>PaGS<Ly}*d@qRloD5D7&of*X z_%t^`v6N5?IfIUX0y%?*U>qsq4nZPP1}T9SQpPO;3Zx8tg7F&}I0T6|GB60VZe*Yk zP~0%QV10b$KhpI1nDpmm)DVRH#8QY$Qw#r#M)$CMWP?_!iQC4=9@jAV<z7z0Z~ebl z2i(L><IcN8)YXMVQkdC0B6jFnb**0G&gCv5@j51x<!oi;)xl=jSI?L+{Vb1Sb0aj` zlrt0BB8}=I3B@HzjosZ5#L6mZBXd)EP*VH#{W>!NHHX2UZXGP+c*MHp%v;8!R)HZb z<8l3^p_K?-o)RO#AtL35_~kfTn<&o(kJ*#+Jrwkzq#|Dh{nT(B3@LP{biubl@Bl%p zpt1l#^C0^GLE|9K071hbxWAxoP?^7=rfrQc)vvAlp)6w&_gTy@hhSO(kl?ZF&TILA z#G`w4H;GroOFb5di8Yj;-)5t)yezc~jf-WD4o|}p7$Aww{4nHW6%}{$jcSpRK@uB1 z%0&4Ci2N4=Yv<P|Xfo)P!4e#w6)@VKZm$tbcsFR({aD-F_0-5OZ}NHzx4*I!Moyi! z6|6S6Or==K=AxjVDTmuzKvXko&s9<Q!kzh0lMlTVH%m~GTaJQdN0C(Kg~*Wdv_<j` z0iL}>f1msJJz3h3l<b7ke2#Co>lU95?WOKQA9fP`W9QM@iQ9033b(k3nsFkSy__FO z+zcw-s=h6HGlDgYjL6XX$WyAY>$YLCT(;|p8#0j|9J8!`2UFbT9^uj{DJrXA%dd;~ z1nl=)bOQbF21t`h+ze(L?MWak;FKh*dL#kgtBAi}zeH%_I!hR^BSza((Tb_(^6tw7 z1l@b}>|IR&mFUe#wjdCw=<T7z%jicf)k4aNuq5IhOKQV7Qffm?*844wTLfDkBO*<0 zAtGJ>$u8J(7vTV&#Q7>op76WX$#DH-p}{{)&xG)gu-~6Ji?zMSEW-pdZ`;B23j#Bb zK<S5qtiTjUXER+-@E*yz^wRb0wb0$1^_PejP*&J!p$pqI4gjjxUV>){(Fw(Ads0}^ z2q%m~*orgc;Cm^qu$@Hd_(gwdvKE|RHfQ0q82~40@e!JyzTSV!#*v{v`4`V@%=tdn zfqD;`jQ4+7L4TMXy~Xzh%iNHOMJ#O+Lk07d%R5mpU;DJs6vDdR+lG^GsS;t^!?pjE zdSOj@#2kg<iD?5Q;?FiX|CYwB*FQO51%ia6D1LN35k6<0<&I?Q#))KmM@nS4<+pad zWA;J!UO^yvkwdrs%!m}~%j{z!W^vxypqZ}Q+IEW1j0k;V9~B!F;72gh=7O?$iXD`@ zl@g=+!qJ`g+a+~|@oq2-C91sMrYmMAA5(908>Rjix7lv+$BcV=^Uj>IE+eQVhet?y zghz56mgk!4NF{!0FV5C)6a>}LYx~bHKnYS^Xa`~HNt_(08lh?O-7pJKD9y&Mv&|!O z4_L)`nBqqTtHHKc6X-p&t-yrOSeVZ?qZNwXub%wyfxO`GnJjp^=Wew530~<lmtMc_ zy%xWMZ%TUatY5H|xY}y!x!B&Te?MbExYRr{)Z&Np(*K!l%`h$Ji%s*G+?hFZ=xv%t zruj+V=Rb@?uvokZX@Nm0h*Vnt%9m-*i;$HVYgf#-o0jVzmO>e8UVrne2OZt;7d@qY z>G!3oVtl<%I5?<Q(Rh*Wkdqixe)zD;TjF_`<>tlnAm+y#p!r<y-R;jG4ErDT(J~IR z|0WS~Jg9*lWh9#r#;#(re#HuUh{G0|E8p&H^-59K!&>oSo~DE`e{JY{WZDqks-)Fl z#VZa>9%eA!vZU1x7KHv>y><3{ZK-(Cj-l>cp>_7hTFggxGlT4F6Czea6w6uy9fCOl zUO`Uw4x;T_HsMcY5@^Gw>7(ykGT~1|IEF%aVM(hmi&yp;Js!e%qmx#j{oz><c&;uL zFW52EnR^U8SO4L;Iw4{<M6sYH&^DM8VDKCtgy(4!elI40ENq%a`o7;L{BD0t*%?f^ zXvKliV<nE)9!#0}k11Pa&sUa;=j|A3&lOrh*&i$a@LZV?F&3iuu_f?LFekvj{_s3% z!mr09@D7`%n7;3)3BMM?bC=HP()FkPNUx*0CDYWNn}%G?W0o5>3ngD@^w6^SYTrhf ztQ3D3ny4}(oE~Cp;^22YO8hnW1BEq+K4<H0r`N#-D?O`AijIX7l$B052wq|Bk53&T z|I%OPN9VCZzfbaNv$QCi)BHl3eDR)fAY%-5hj|UGU(#Oz4ZXhR=SEySY#VJ0o$mxM zrv46G<lEeDy&f9=LuOx>jnD6Bvz~rz&va>RS9v#&VH_l-ycn7;ODTG|0_mWt8=$H; zV&;FvoWpDFySg2A7rK(!NPQNEIfp6v3`zR^QOveCEw$)faV}pAebkXT%u-XuIoe!w zy4*uaWn3v`IqzyJxCk0uN6PvxONqR6v8EWI%2z@o{Di^VyO_x2nS?)%X>$p|Z=PQh zk&5DyiH5WKQn2}IA-8SDUp*od-J*<icKw!CutXO`cl#b1r6_c$Os+r}dH4N23eh|I zs8(%+M*T5uk^|^r>A>`f0cr(m&;<LHDx2?Q4b}FZMI^d$(A@~-ceia($Ha}eBn5q+ z2AH8*c@mZrgP`Ids5YB#Wh3UeFX0cOyVmXJO3j#d%MSJ31wz;{_k4N(oNoBvrVEwB zB?lBic6y%apl*J}96zBo!}!N_c7t^ut|$fkEki>0rBvJKFpYww27Z%@y1zvo<D`8{ zSdKwwwjG)-L?+6NH0RWV$gDG7dtLqbM`3c>KbLx_O!l{xRyAVQBIbLG2qG1&GC-X= zrClKjOOLzpR$vLjmU=JXJg=*_IhcBru#GU}J#xfdcg(QtAZdaQg79=dR$n~CzC4|y zUAZAO&`c_d@s9~k4tI8~kap#eAdr42hRy4{k-?hy=Z<<H-4044Z!wE1Ly=RDK#?;$ z8X<+=?7fs*z0+#A#P?av5F@UdWMPB#3yPdhKd)+tLB~6F?_1!`!d@0e5LG;-#k9{S zEf0gvhS>-g!v2k+l^@GhZS`2Jwmco3&gl!G@0OsDZw;dHXpM&662fN|EhlNOzUZG( zvpBA-iuFx5v@$Dt?YJ5ibL$I#z4Z|#TvvDpdfj@r2Znz<W1!#2bERr~avYKWW9}p4 z7PIl&JwIvno{FVz48i=C#_w+~b7uDPJiBwyVffB=uMvOkrVQtPTjrWP%b#PwPaS3( zO=P9nNk%`@syIpW?atX{PD9rbW=5Hld<|+A7HM;UO45JRP{PC{Y>o0eX0KXfECZx( zX64;ZPA4dN5=U0uGAE9zug{R;68=0p+M6=rGj=Y!g=qcvhy9P9hEbV?c|^tyL>mWu zeGteXZFeJ0ys@2N=eEVLvyPoN&C|wZ{Nv+b$g2gPM%&T_-0BpMKzJ~-GZU@vyw{H; z9R^b9k#k2p@Qx{_huyD*XLvKup=Z-F&t~o#wcJxYzPa!{n)1m@yO}<ezDpuGydI=J z`DGqH4nyLBg{L&Xd+eQVy~bd`m~QSJsOcp~PNS^ki$Zbf8g}lu#XFy1D@-i>yXN42 zAafjL^~Z>^rnV>)`zPGPgKFTyg2u%+u&e^7l>7F}r?c6af!pD}BVrl4P{hIwYJ-Cm zeYA)Y2FeD1rQ$8YPQyTYjIG<tpb!?mQr7i4ti{pYdV!>XxBp>6@PReElY!k1RTXYZ zWy-ysPQx@hK5tWdPicFf=o+k?kXi8>cO|=DuRDDN()rKi9`mt12;ro9xCBb+X*7yw zB5%LptLsEO2>yl<d<*I$^lK0D%n521Z=3Y_F{b}&w=el_pM!TiB4jw(>Ar1hYb%)m z+bfR;f!{C!Z}s8&wFh|S1T>4cP9h=n30srN`Wy`Yre6;9Tgd7bg4nrd>gtZ5i=B1Y z5zRDI?aq67(w=s?zfm@}eN}fNq_hEU%)lW5(zFsU&y&lGsk+PF000FmZ#CKEG_t#% zt}Ng5dBL9>i)~TlJD!_vt)^VXm@7d1qXB!Z2zyNwO&#S&GS-WyBMAkvUlaX|v2!WX z89CKEA2S9^(wF3B5yuva(Z`lV?w^Hwe$F0pIbdqQ;6{8{mmqxYWMOhO6vv)%0VQJU zGB&YIXy-;5Dt}N3@IzCf!@#*uiAVB`kMi+*2i})=H*G&ZotriA`O(^p+!^TiX$T*) zz&AvVo3e1|jpHlQM{jsv604=utYwj>JpLGM<X{)37?Qyuuja$qre0_pPxL@Md_IC) zp?oYMy6)W*GK){Bp$jtTBr-xbA4j=EWH0ZZuzh}ZXlU?Zzx6BaNuZA;5dn&v@m+!! zXvFz%$zFaMTWe!cn`isX2<{V)$Z+y&N<HXYSs+WfEp8lNO=0R?@N%BZA$)j-J3@e& zZ{)kj4W9vYaeoU+bdt_!5W)>aI06y&K!gntVHHH!!$%3de-}Nj>nZ--LTpBaciFO$ zVBOsBu*(D@Qc~`|8ya7}j}3A6k(9ih%-GI*qFwks<2h_Unn(@*&>_66-7;Cb>50I9 zF>m2Hgxi0$O!m`H5_&N|kgFWt`V|%x4eoTVjqq}NE>-v0F8yNaUGk3Qz5h^cb|Cjz zRMztk<>DV{%>$(*hw#EfvZyGCWdyhwqnymt?r#p{s*N{;+u<3d0aDldE5?l*o{yBz zp&Hwh8TC?aFGN=Bc_*WqN^pU3-{+7|H$BU%zekePZF?m=ENk$QC8xOk$e2v+E+@p1 z`?S_j*~g<b9T_W76paJV4?Bwzow$SVCgXbtGBw6^15h^49<`>g^?+OapaMikZm1{Z z$(lTudCcf4Nney}M9i2c5zB${@|#M4u&B=bgP=Q29K>o}1J`EA2_Hn!hH(9`7w&*N z@w~w6TM&1VE%#|32%9C)ny#XWAwF_LQkEQD-L#kyDKv)TW<Gjum%KVhsO+ofolK18 zlJo`2GW7W|<X;)%IQB(nDMTLD?=q>v&f<w)K(8K&r(qQD!oO-aap3-&QU7;A*J3&L zpOc?w_2i-NVB32$lA{wpG6sduZTI<U3j``Z22{RGpdZ>09ym3q!KonxP7M#<my8$Q zpemoKw>C5OzIfpDp(VgiT@-AWV&Y&Y2fvKegZ7myGR9U3VqS5bZ`gsC2C9D0XY*y4 z2}&$4*F%+AkfbOhWuJ1eH|Ah(h7fy01+pjrvgib|xXG5Q#(P}{A4<W#AkK4IZd|{= ztb=@GbVMDLY5UoXZd`P|$$%xq6X}`nHCfr#`Te~f{<G!q$BI^GG)(YeH(^9Cp@v6( z+nvd&KZOmQc$Dc|(`i55#K6gt0x9DKDYFAfT?JQ@agftCkkdSnQ}7B^xlc5RlReLZ z_m7iZ<(KVWp6t2Era=W7vjgtj$1i$UHP_X%TNo)Ri1Tg187{~1`PrNys=<ezR+vEy z5edY2h1eTq|JJY9dy|13=<`>5)27agqSJsK=*5G3X^}WAK3E_huFlKFs5T2O8F5Ai zAKJhrBLQ48RKO);ff`)4guyk%6GXgV`>b|}WT>uu?K-hYaYKw452e5td_$AM^%06T zc8Cg7j2M(*R|^O(utl#XXH0goz`wFBo0v{yoF6kq{3P!3+vVXhDUS%eqE}-+@9N_C z^m0CsBvw|X(Xw^8BxqG*ZdJ8&z}d>>l$-rROVE=s@}wA7sp($!bWn~Gz5H~=?5a)B zs!iK)4oBJc_|AS++kO==iM%ll0nv8Q>NXIiawEJ=-x{6{%~2Bi;V~MKe7PE|LNncX zwez)iC2%*aCvC#=Bxm?_cHitmx_(aIJlA~-mL+_;<>4un{^7#_&tKr{h;`Gn-oTX9 z*sHaG3nun3eIk)4IbXN9A-ddlQXyZrR|9mp_Z1@3_h^NDWhM6^OvckyLbb2qmqvna zUPmaQCJtzy)W<qr7|)<=f%~L6=ac7qUY8S7HcgX4$=320fiq}(sY81@-ln!u=5(sg ztp)CVrQ;k|4OFk_78q~i?|<s#(jK|jEAIM4YVGR=c;j4u;S=I%Vd91htowz|cm1-8 zcE57by=!6_soEf3fz%su^HPmt;box&?$uod4>EYZbose0>n@)Ep=2}0G*EE<B+D&M zy|14|Y-5(O$(6|MR)Juy^KbP&B~G#90+kdS&gjs3Ec4XY;c)x;*vnl;JIsD>ogq=j zvSKr@sQR9d*yj|tr@xTi9fh-GxkMcF^oNt4wik4e2tp`!LKq{xwW%jEdmNEJ;oJ}V z^u2ZZ1K-d290-neg^zuvyz2cHQ+KsEpEP<=*>cg2PttT@m?_E~(-*P+M5HIJs>`)~ zcU)*Xq7yW457?~DPrP>D`caa4@i8EpC2ov%JRDg_v@ZS`K1=2=*V9jzbtkRE+|(#o z-*k=B9EhRd-|8#aZCXXN`%*tGJm*Q-yo%5hn#XHWh)=QKIa#ATQ>w!rVd=Q}vya$o zpBQsf$2C7kH{62Ms4~c@k&^tQZXYFia*qDm+lV(TX>?PD_fCPgDTx)_qLJ^c2IpG1 z9DWt{XLbnF$-|6Pr#otOcR61Qm@=#|5sdS7LmzXdNUr^}!~>PX@$pUNP2V1p!M-Dp z;6avUI6beD?AoDCqoex(&kyyOjZ7c6^9w7SgVV)=i^lPatEpn?qsStUXlTLTT~CR0 zYIQjf-(>Jaoj2nS!&INt+0}P~HVOD{5nrQ^g6}eMpEI(kJXc)F)Wh0YhKt1`C~PtM zaTWDgl_|$GtD(u&j>}L)Qr(%~*Gc*ZjC1dqB+X2Txzp*Vj-Huy{&v0Z(6W21W+wCT z36WOm2?f?BH8;gTRI1+n^83*eVg>is(ZclVSNucu%2&`r^$J(~We=adrO&rb;zj4T zf;h4Gt<G4nw5lK6MoFnm_<)+SbO1%C4;R`Jl@HLkrsK-za#2UpTy`UF@LG+XxY*l! zoaoy`B7Tv0N5j*LFIDMm3|G5LqeuF*M)XIpmlJ_wZW@(D%6bmQKePtiI(UobL~sy{ zIAkCWHU6D<`-fi}D4@Sjnpl~Le<#yqiHsDkBLG?hI4qe!Z@}g!&>PT;=nY6hr>LnM ze#-q*_`(_sHRg1Z2Q}YYrb!(c3H-B{iW%CDehNd%A|i$Y1U{hrKj;fUXMTTg<=<!w zc=EsK4Dg%BMp1^-(>*;NhWTU=$-VTyq{X{?ZvJn5rT*`Iqb>$%q7hue4Bd$2(aAlG zY%s@RH{FPow9#owXnd{z!yN&B8nZV=tFBuQAZ?KqynnYl;NM${-HzYZzuhtc{d>I` zdOf{JjL6UAv(VE;eZs{2ke|!`%V8mr_kCQN`kV`V^yALF?YcIcjvY?{|M850qDF!) z*35_~Tmtk6RFK-c`w7Aly+FeOg@}p&A1wt>ym}}Qode1!Z!Y3t<XJ>2C@1VZe>5X( zw@=a{S`d`b39n4gssv!ko<z!Mb~~@ZJIFzgf&wJ5^r327M38cNs-co@KCtcT#N5PY zy1wS>cy_Rth2w3{cgdvy&|Z+62>J|?0a!tF9(V(wE_{cyChE$|<6vU@5zm(3-O8vt zptGP8(OKZkM!WYNPfFtV5TgBn540cL!Jvz>F?jQhgN!gi3Y|^`<NkEh!UPQbrX|Wo zZ?8eF(XrE+W$}B$xy|>ica}U1kG`LYJ}SrpT?HzjtDpeUaPSHN5Df=*2!Lof@I4ic zQomnQ6{XEoQx<iHsiq`qg0ZF`Dv82VNk1*b-$}wD=!h<J^r;A~8`?fy<~Afkd%6WU z+nxaQ0RU~ECFyVAhBZ=6d9TzB;s!O61K|Fa)>A7m(p)gWFSF(*h9sOEkLYcP-Yd|L zQ1FKLp<4zn#&gTf-KC}Dy{6yP`i*W!Rl6>ctMz<IeX3e~7u&l>k=xYUmo7(pyYsEO z0XS->8o578lneATo;S5fU0`b;Fm3!O`K-~@0;T7DbntJMiW(v=XHBQJS)0wKwpwe> zrnX$m$fh>e@^QNAZs#dEGlhen9CIFT;%k=7AZzYovqTY>%!naC900xukO)8v-!$>f z@%e2V?a!mE`kO~N?N_T!t!%9#WZ+A!UHFkRO`kaR7WKApJ#=_-RRf(tms{MSzc2Fi zgN50ja@#C$e{SJFq#gJu3=Z>%1Dyysyha>8A`bK5po~S}PMCW$r~y+AyG_r?$MP{@ zh@8Y6kx#V*B@1DIoWv}VXfByw0^?q8Xu72956OXA!8KYlb81Jza{kC#Os#ZjUDTq% zk1W1%t59Po+1Gv9DO%hs6Y#ybw00ql(lDe-Go83o&DSXM+xFn}X#OM|<JK^LW8>N4 z<-GYjO9f?qS?cyLZHz&hv5|@BRoHVcjOXK&=OpY4?pZrNvBvtO&Q*mz_tOY4ha~MM zo=!d)tEP{M8l0>osImfb`ZruvRBcwUaBVSYm9p0g|Lue+&@Gj=uk){-GMSGvAkM6z zx@(Q`KB*%^!rm{}r{}aTduOIN!?!>Rhvmb3=o0!=1x14u?ML!nT*hjP@)@F&2{UWu zX7!I~u#Okf_I}vK6wK8U*20N*)NDu0n(4<Sf|k&Ub-1-`gAZ9U!9TL6CKs&=%{7py zE2;vW5IfogIz1s*;C|a}!8<Xc1E@o{RN3ArpFS;<R+4Wn5;b8?(op?u@m{st<i~9f z3Zr3Vn3GdFRt^tsLa_PbTukUt!P&GIcy`8K>qYClx!a?xmT0$28|Y-IfF?3WB<p2= zajKJ#d?8bqqyLv;71sBm+%9D~&trQa0gt*ISjRWYhS?RW$m&Jeqk8lNrAq&(gwamG zu188x5)|ivGn^3B#M?Ywx~2M|$0OP!Sf{7zE<AYsnMtEUqXf&6!26T7xci*b^!l`< zaG?&5Iqj%~?P%5yp(o589<Sa+B}_9QBq%`Q5tTp_#rl7Ed+$K1-}isK%pxPJ>|_%X zhmgHxbBGk#j*5(m@C=znS=oCZn`DI)GP6fR$Q}vV^LIbbX}tQp-`~&g`~AGXzuzCd zdR^CjUyu8GKCX2iIp>50iEUjZOr9Qx0;=AZF?dA!YOOB&n#_oiN;NlR-``>>{BeSi zd3=oW(%z9FH!t6(4$E_lUiTL+)ifxJZcUO(SGp#B8ndAHKm{;=6z{sZ97ZBl@|JAT zq-$X#XK7+ZQh9UxzQ}a-$nnwJTPp>2?K+e1Po+BMM7(LJI=p+hMH5p)%al}8dkpep zP1?2C6;xjN-0A4GRJ0^rcj&m;z4T#lI!Bm<i**IO@0{>?gC$ufiCBT7Q~HM+)5+OY zwySmbKf7G@=G5;|ji=w+IcVWuYIVsbo`iqn<ugv|q12}C_TKo?e*5TBlIQv&ctL}n zhd%G^4cI`lG5ai+Iyz>`imrd&^MIJ9Sl6~4?$z%*Z8}z~BllSnA2M@BrOkyVOdB_K zt#kC5yyNUnn>Ma+E=ZqoZxI@}vv3ZTr6JMB;ht6DW-Y#SU8&Qh@4XA9WS?hJY$$z; zj?l<Up%JAnmuQ=;2_~<8^?Tpc@AU|cOvlb`omT8u-~6V&3B*YtvR&?n)Ez#>eo^Xr z(JIupaw9D#mg!?GQ<{zOOsrj^R9~A^4*C%%GO0=}NpDG(`Y@wyEk(^s)APqWfrW_* zQ-*qpgI*f)?429Sd68=7=kp7=2@V<a`I7?L3LZw!;+-y4t-B?UGkrjE{LyX8K$(`7 z?PF&)SFa?8PtAEPz1#euC<%2W*=?>px>!@hSvgj_e=yqIo4_c#Vif!xH}p$7^0MeQ zx1^P5?VSI$*=E8IRkx)&__tf7tZe3cxNEds=`2O}hrJ`OIU&wl*`S7jN|7F@#$Xk` zAlUT<sHho$Y8F-v02OKqsHR}mGOU6!FMw?eKv&KPbX(q$jBC$?7u7YStWKf3eHXKs zWuBG#CZ%YMNXag!s;;Hca&*2>wzarNr0kP8=JT|BCc|t$%k^u}rDSve<;e-#x$sV= zG=I}s7P<z3mCuZ=WY;Ll-sOy+a(|+<e0lMm-X2G?1NCyelnP0Gx391dWNJQ>I2rxQ zPIyYxGm_3LRoM=&zL80ym5j%nq<-#g|1z<pI_nnu-9A+>ZCd*+4d!eBeg}Y;IB2f= z5*fX9*pWf($&vLR^9o{g3TM`Dqw})1zu6}6LWc%yIt4Zb8@=tinWM4o_j2egK&k~u zV)k3*pB(jk8X%3TWBz@Vhvvcek53fbaH0~7MaQh&?SGP1^d$E}zhIxxo^G%squw@A zERQ_9kYt{K)iJ{hQALqp@j{=_wywV;pWb#bSVRa(K7bd6qKXp0q7X96hoI1g$5d9& zD#td<W-jx@cyQKglrYji&f4AjdK-D69gnFF1XfX5HWOeKowb2PxaOd@QR?UStjzlN z#=|o=qRzSIShCD$d3K&gKzAfpv)w+eXqCR4up72@__j7W?pZD~#P4##ktg5=Yh9H8 z>t%lMiz@V|&ytI0O|w4y+<te+wfC;@rAN1v13-@H+*0P*wwxEgd$UPVQ~9=gS2|Q3 zdeq6buY{Ge&_UcuKE5Z6xatYH*vxq|r?0(PtfDTb8LOT(91D}b8p<ek2iX@!ANM%a zq~+?PmQ==fp$U~Kb1fvEipu<!ymFJ>{uaubChabh{?F4`PdQPsIN8>huo6l#l2oO} z&$jq=C<HQmyuH{WtEH)@r12@l-;C8B5*2UxklxqtCwjCtNzZ-VRiY9(v=ViXUc$XE zz{XzP%zaGcniknL^0Id}R|np%z2>V9O?ovn+!HS)LsHv~E38iTIeB3&NOV@*y-ztO z^_2S~|2gk?XGzH%N5ondnU1``8aYWH?!9SuckMaUtOj$s|Mgixx-7!m?dxkPU!j3+ zI2UU{E&`;8g*n-&yi`%}KePB1lRj(Un-h|l^k37tza^;(z3wQ@uR``Y1Z-l@PZdR7 zxV!g8qxp>Ni+969jl`!MrovNQTJ>vMu0D~JH(jB)E$B~c&0{(?Z`FTe@%Yzu;*VyF z$KI^B=QIpcHu9c+Q9pbVyh9~M2P)wV96E3NpoI0<(E0QYb1m{B^X?^cW%5FErIDtj zGuPjxbT);aF?shS?y;oot8m!|j~Qhv!)e!P9{Akn^L<Gp;p50BgyOr4H&xIC-tRlq z$y}(fRM6ygkgDdF07YFqoD`o7I8Zz$osfO{%f;Q)5uRO^O0mJt9|7tDkeuxuUGA5= z*QN39RTB)gtZw(xaJm&{k?yfn-g2!|dVY%Kbj)OIoX=9g7-|1Dh0*m#W@h0wD4sHA zpLo@o%a(2@eY{nZa=A;qjx7-<3WQB59wXpz%gQp?VX16#u2c90kTw%AcDwTr06Lo4 zhhAU?`hy@35K<F<BYc}~Ashep?1!S0WDcIQD;au=6PZPbTy<{qGF)vRD*7f-`|_4( zp&0Vy1a#V})DbBte=F|^t!452{W{t_b8@EZ^?S>`9wD)AK{PAWZVh)DKUMflG%tvF zF|2qh199lMtEdN*<?K!rBl|*eaL>T+`Rb3>nmac7IajIalt<9r7v~N9nsq98g!#7( z*k@kTDqOXA?|{si-U~DDrHjJ7S8>*#!qoh2=%v`EJ{7s`z_3Rfdo5}nop&>4<I1<< zzNz#eK232mt9q=Qsx6F2jur|W4C@$jZAmS*R1c8h@crO+3JRsV?648+$6E0<Ok$;_ z_WoqSearZpfnjT3si;+E@<gKeo^?pI&zfr1s}(*|D)#gf_BmmoBA+#3Ct}oQD!|Wk z!_@pqmA~2vYfYmz8v*`~@&`&!tNfFC))MB=(JNjziLsBacxNK-79Xqkynq927+6&7 ztZH7;=v+=3FoHz#UR9nqEQ?3X(ACr+-aas}`LMM5^^HlV*KmUU;=%BV??#DMZ#y0n zJ0m1e&V?lsH-%o=xIX6-#UQo9NIe)Nk#88FJW&ADE{Xx#_yK@Ec{YfBnDu-^n<kcA z@K!{5N`L!9n|-!%?p-x$0x9TiaX{{+n^OaNnfj8M$wbLFh9i(nFIRVRb<xSaWI<i$ z7X^9AuDanPxslp)HqADtH#(vM3SRl6BT+ePtdl|~9vo?ZYj9l=sfN_w30kG~mkgP@ z!CREhlPL~<0>6FYEmP-eag?EMlrDRe*B1}}8(ns8!)^AtYPrwR7y5OfZ>a@hpT<h~ ztPRx4^>^k{0l-%nAagZAboJ}koBQSZd_|d&YPlz(^uHBlpxoFq^;N8L(!np6A=?+Y zGB5DRQzLita*VGla#QQjqH;c($`7p4spURu)0T~W8n#>6#><t7!y~`1zq^oad|jG5 zN{beq{n1o%V0B(K7rNi3ErB@rn0pk($yi9s!-+dF!BdnFNyPc$wm9GTxOe5zk>scA zvGz{&Loe_p7pCy&7Pk)0)1VnWM$-(kf)X;Gr3Uy{rG7Oc^;fe6|4c5I922938)`1! zq{mLrlgbmDN#QQ=#e>fAS=?jyU^97keY`TT>20hQt0aL&2UIZ%enVDzv|e0_$#itz z>2O7uo-!z2k1h0Izdrd;lAS5n{)LX}wKJ#VyYfozK79wjcTkVHwdlb&%Y}nwwvYKP zD;{n3t8TZ)?;!}w&)?8Mog`Y?7F{QL5%XLmy6?>-zV2h~yD>>WsO<##Jo&TzRL^D5 zq^_;LYE5Z+AzTe5&@_8S7(cqSwWeL7^4M<9MU3yZ9PQ5i2ySI(qn-P{lXI-D533@) z4yw*R9qSXlox}ZpdNzVW=6R_0*|U-Y*?7^ZT53nc#N~nlCtoE{n0QHXAGMr4#(q}! zhm)G)hwTi!XUVLQfhrxBxpn;XoTE5J4ZSB#yg!JoiN%jc2tph>T3?LP?%{5!U-Qlt zS9~6mUz>35=EuU<uM*E*Rwpvmq!9@)G^5Z8W9LW~;3SUk<~^UD-9i!ZIXXOZBz-dC zL9aEtbEb?FnS<$StK{;HvdWJSR-bc7e!%6He08)fdoAU(V33EH;Fbc@7r7})H|-}e zYqbfdJ$6VP-mK0^KwL`dz1KBQNZAdU^^yjkj6g?ISWs#X#9zvFr&JlBKaYI+^x}QX zr>X-Ho1Js5Oc)hmQ=sZVdr(96JV^}N*Ss-feyVXyZ=FDWKvvLPciqW+IoBOGYaX1O z>&`8BcU-@=Jpz5tTfY`pZ+&ZWM13Q*AV~FN#68>j5$K^z?-y@X3EAhcTjxA>zIe~U zf<he-&W?HqT>?TREU1lob4vnYvM%ym#rULGJZIG?LHI6T%;$H?EjFTO3Q3b+A+Bg` z$f(uh>$!u+1Q4e^&er4q<C*L5`|g32448o4I{b*c+R&32{n}@z$2-4Wdhp4+;FgO` z{QcDH#&Lx-;R#*6vvqg1gJalgW2kP1D%~sh)osj}ZOSkc60Xi>j6BOBE5LytpWMUv zErm*O&W)S<4d><gOW@Dz)^NH@Milyz9P$F}?q}qEvsHa`8!dt9n2_*&A2u#Ub^q(? zL{eY@Qu@b=E_OvFI1oo%51)}IwTDie&0WDK$>VWS(yTXL$Y3`M_gRWHC*9@abOovM zx(aNwQyF8F!p8Ce8G$O@?Wzr&wlDliRZoVcM2DaC;K+FI%#lHwa6Gp`h-IQ{^u5CO z1Ci3M;dAWJ8Kkd`JcU9Kr1G&n=ndzs<tHjWTqoxqi0N#tkt9*8lCz%;6W}CDZfOu= zJ)WkJv6iWDJee`~M*?Fmu8lHkdGXlFHFj0R=H!E?>k4FSPRp-Uw1Wdd2&p`F2)Y9C zG6MlHaOc*t3A~^WFQ5s!eBg!d%c>`(4s~Y44s{5j_fY@X_S4tO&(kQ@<dXH!xY^RE z<uzqsg9qFA5OjHAY%tHZJo(QBPs{?bYR{uj!5T>~%_(hpMa-@WF0W*ydIzZt5PNFo z9v41MU)&ILMoj@EIhBZ=s9Bz%Y$WU_*Ug>Vud^&5@YJ9@bcPkaJpA?!Pv@-ej&9l` z`Y??oB}+<M1ramW3tNI&feLlq*)ef4tffck!(_S7_HKd@%+cReAo%^9#V2Va&z?mJ zaN;MU8<Z?D{zp=cD_KTlpZtJF7e+E1c*28=n@3h)O*Mm~+w11*4GXXd`uUXcp#b)0 zWN#v1SZp+l8%_gQSpjy%%7WoZJ6;j*m*%)Hsd1TQ=;&eqt=*hqaWcLoN9mt{D6xH; zQW%dA8Ahpt@Oi@Ft82)z`1yoe3ZyTYDHVcL<}L?O+*S~AqYKkWISGAu7}C-zI##;V zC$@jErd+gp>iH;mSN4<xq+NZ~JA+h&UC%PLY=_-)yia^MMLo>#{X+CJKdnWxvI~kd z;$^0CG*achA?;K~v!Cl;oeOYulAcsu3XpM<Hf!}<wOU#vImWSxYM>`qSt2}F1sa$7 z(&M%_oSV4MKkHc@=h%c4t;QpEk6vElF2#%3O)Fh)-G9iwNoC~I>M3UQCVt9oqYd}! z)R^Y><bxMRD|3xsYO+wNUF!HEoeh#dsB~sucpcRl&L>?;$_|v{Tqh2EGwtHYEU8E( zo@pvWC6ewdG0&aWecaPc=Z!L{s9Pw*Z5;6TSlC<fae{8u5lJ1>#GT8RbZ`TJGW9KZ zh!<YRjkaEqGD(0Z)m#Km+O;^=059~z3!h1uB;kdn_I6#rhzC)eRiK$)_imLOrz~lh zT#_QIX(2hrwn?PEbo}SzM3dA|utLOb6jDZYt<I$$loyTaj%qz0^6u*9V86F%^ilD) z%$X|R><$bYFc}i}zg|*&ZsugFPa_2uy8f|1A&FVge$J8bIsQ^>?QynE0`(>Du2C3D z>@x;R)XYii8GFp<Q&E0eOC&L$DFMsTMK$0%qP3p~@}sguP&rSDM06J(bxjgP)a)uO zofSRv>~n(wD)?N(WL?fV4=2L-+~2`qyqTT#D`xkKQ{&EFK3^rk)h?3oxxy`cSLE5} z3Nt4%k6=;msv4~y{7$$yt)9djn|N^ebd0tpL5qFh^Ai_x8ue)f4gBYmIW$Zroq^Ff zFK?yixJd=kZJpuS66@#bUJi8ElPT}M>W9lLjW6v<_uNNw{M0j_&ap8Y&9}PUcDp#b z#YXgd8Fp8Q#F3^lL?U{=$pR#oWwU3RGLJ#|!d=;FxXe6?(t32ZMva*>eqXp5w$5<x zKw`a^HZ90Ky!OQFt}tlA?w|>aNTw&BB2haTk#s7;N26=jMgi{fGp}^Pd+EogR>qm% zJ<zLV&WopazIb4(>x@iv`Z1eqnsBf^)AyZ_?$M9ZL!4Wh8@_};?r=skZyO=5X(<)J z@5M*e{(3L|_5)d8o0FB0MkC&#HU+81o$A2SRc44n^Zlr$sSm{UgCOg^c82u9vx<aT z)k?fw?NXACWrMK&t#x*%4UTsdi}Kru^KWa<?yg*|oLsCB-sNld%5L#`h0kVFpZ?+d z`HicJ8xu?Z3HwRb_3wtYt|@75Of=Uf?DKUV&{#+#Xv97|eJmojYlz!<@USG=Jt$%E zodl872DRQY(zYqPMfz&3q2OLauvXca?}FsJ#0yk<J9GYTuD`bDI#)TYU75RZI#}pF zjd!j8o0Vw(qT9hd3kxTb0_wZ8FCOU1k7q^i*HfH|=B=mTkJhfE;8z>czPMv9KR%sf zygc>Q^fz+t$FJJ{Y5pXBwGW51uQ-;ZI_eDxQrRq@!+AjBHz9EC`Un+raESI28I+vr zxR>ewMnfmMq@Kb8MkZ4!N}VNQdhu0nGP&SO-suCgrfj3-hV|<fcevzxUjNUXg@&|U z@X3r<xL3Ojz9UaS#b5i*ZM}APfNUeav}*PXiIzw|DZ7r2E*@s$yy5L9^(JMI$G*+( zs8Xbbq^V|T`At5tK_cGP5`u}AlB61fcjA+@uWYlUgv=MVANFYM%{>0<a%5ueuEpEl z?_Ca}5tiX}x};wy^+=6R>yNxZyxNA2NCdn{YMHNWm&;2|mUAR2E^|zNXZTK%%4~0s z>e4o?IPs4v*6%UxC)`9O@uj`|-@ox#YL=M3AoN8;tKo5SYT5Z@iIvc*j=+0;dduTB zv*$Wy_+MG@3yqJgQC-@?nB&6c_ksDLC&s)EnEx07<`0rnA=v&qu)h!6kHGelg*5`! zuhlf4J}49~OslepN-$@M&JDG%r~B@|O85?ZS~qK<%!5Z3TMNsl)vHQ{xw7U4ztFvL zy2aVfZKO^7J)%#Ju!+qeGX7=ol(@yrvq76GhI=<s?*8Zt>=(LAv&7UsRY}Yj9iQw! zHJ=*o`i8<ZdZUSg%v`E{fLh3mJ^P)cA){f>=Mpu|l4tGu?{uEGuLhhmyodjhHREa_ zOO}Zd9aW!;jRVPzs=E<Wk>_&!He2mdea$I&+cd5=IVF}jt1Oh6gw~wbuGzLzn5UH> zzV~#>>9gPS_ReVUMv$oBFpX$bBL&E_srg{q;_$wSq`c5P+odb)*;iVu&=XwkR@?H= z^J;Luo$TcsBG)TCM-ps@dLL;}Fty5sdLJbh+Mc%C|DrqBIBBJn`-8l>_?Hdwo%{tp zo(#Xu<G>d=im<t?0`qHK!(tihMuzXM#Vl8E+gZNCJpICaC2>I>czRccZy<V`R}9~o z*j^i16VA6MOZ2OHS1mp*w=ka-t^Jll-&|_p<G<x(a9}kc-yX}!&`(a*%wSGP|1(a^ z|Mxi|vU7Jd4V<npAzXVL#`sEElaP_BuRg8X&i+c_(YvH}lF#!d_=)?yyokcYU%!9j zdAmw{=|cNJXEb*cg;MnSCJF(e&lXiX<^=hZYn3zT37$9!gH~=Dr`Y+6Z=A#q0;lGS zK$=;$Bi=;vUiLMQt1ef#QaBfVy@4Vf5}Vg;pW?_dYO(ry{jXGU`j=Gc_snIwQPnLl zbaz=wEVXEG2}GvqJti{zv-ah?f0D$fPPYb|m2g=2XBS@7%-LNjWD+NKemdn-r&bf6 z1g9)mgutr(->Y(eR$<e!zf8sdTD1SOm^}5S?m|s`iZx4g&;v#1cRXTEa-;<lpA9EZ zZXDT1-z5BAM%aDI>Rz8g38Bp*L+{Sw(KYrD`_P`S!2l++B_GzEft{9|h5Z`Fooz1x zClC8#oCb@h;gf$aUjBQL{IA9K;=e49{k3@c&tkIVYpCwXzjN9h<Mefm(}wGJ|LHWc zcK@F~qbvUDbDsNOCxgX*`26n{q2EvR?^gfaB5=A6;QkBILt3!U#zq*QhuZ$~xxDl* zpABCA_89_1+gttRG+6zI)Bjf%VV?o)zxZs(4#7?n>0^mb`O9hQoWGpLDgW&>@R?Wp zFQ38UKYac_ShTA9Uz);BgT?>q^n25xxiZ4pO;_>z$&!J4b(%i4U_MA*#LNdWhI`{_ z^V8WS==Z}$l@AuZC-`3w-u8-y+9+s_Gd&oKA^zqapA^m7Mxh7oF3K8qJd8?U+B9)B z`A5Z6ASnPRH0~HqD!Tuw7{0Z?IPt6dCnr~D|KbEJ{)3Z$S%m)E)&IpJ%n88#ubg}q z<%NB2cER{8n)R2@A#;EEELe;68O&?A%IW+5avH4u!|DGki?GiC_Aj6Lt3sg%H;Z<b zmiA4h_jc~1KYbfg>F;c%e{wLVUzzRc*T_)mitpT=!oG#dAN-dOe=VO?dTW?XTwZ!b zL!@Fl?NzX<*?MkEUiMtD@_9?seeer*6E*K@q`%a9>}@#)jHV8PH(8!2P*Z5(sd?77 z_>Oh<nB5)}tlaX<NcK^F8L^l~<|UudXUzv-mm9M}3kTTsssrBjITCD&l6&-dlBP87 zqbrlFvWms~OLG1Rv}Y$pYM$wBcEP(|C4pT%@{c}SopP#<eGRMf(}BuC;n8Ospb~9> zRS7vjMWXoVRVV+XOP(*J+<mh5^wp=&SPUN@b87**nMXiXy57pf?#n?NR@!#^HahRT zXk?8}x#)*|4Ofzbtg|OHGv^%9i!?Ta8xtoZU$9-$%(QbtFY?<ADow)jO|b5aUR0W) z^Uzd0ov79~r~jegJ>p_waItt)))4sqiA4Klaq1g)c{HF3J*x_dp|QI>Z<h4qyt3PF z-!E+VuK#E}SC~;NYGl>KcOv)rJ3V{xB=MSwDuTCql>XU+!k1j{zI7(?%I4V9ESS4D zzvneWm%+BESzvc>e(~<io7>sdg1f>Bmutn38z0qhCH6|NF(=-`(Q29|V!BgNVeQ8@ z%1uz9apq*}edt)KOWCCn*KE$Dr?mKZ+cC2C>rYx*F3*Z}wQ6cz;&vvQ91=RqCy+XG zNl-#^rK5xEOiRZJ<2)OM+t=l~wy#I_QI0+_ry{?pBxrkUfV_mU{87>m67FoyI@Ttx zGs9u#-Nurv8yU~Lo$Gj~)*34^Pvhf#(uDyUoBJu;-$NBy2}xUDsL~&rIRwLJ4<{M} z+yfXl1;*7Dv@J+Fc~u{HG@9Y${<TK`369S-9)f}aA@gL^aY^3ZGgh`;LUj7ue7fqs zUME%Uo@UsGuhwM5NbR2u4;SH<FH7o?=`FAsGgd8X<Z=_=NX$SRsP?|VDj;4rx=o<D zt=d~-Gxj9I@aywS`@5Pt$a*%raUn;g9^RG9Ng`g^IcSS;msQ$}>9bK6IkZIU_4EgX zROxn3B<QTEU5d!g9py&P$FX^O61?YfXD@8+`}Ca(xvmkuA%$y0RZB5CX}2PwC?vcs zc6@a=i?>80NfQE)x59;?U9n0I^r!m3v<&;U?$sGKZAT5mG%mZi3oZw4$DMfH?{xLo zDLzCU7;@_5m8G<HLc75@TA{bSorMp1>#GTkek3c||4>yAW|H9=IcNG~bUv0vwvR|< zRVZX|o;D)oRCixQazPSpuTZ*-m!w7S4;***(y{>|d8aJuXOq@+5a5wROduuOM!J1I z_J+h`1DVoz#q{z4`Hs7TVDH_s{3KeDGFyDM2S&mmY8}?FcB2$%UzP%`1XgQ+*$Zn$ zVD0V|%w8qT-V%6k3DDMHwIZ0kuvVnR76QOnH3v`|WXQJl>}4ZzR<CB{OdnE&_cEyt zu}$4m)#*HBXJfym8#*mr;GMIr^|n0xdXUa4;t&(e{=MvRIJ&&safh0^$O<;pL7$^i zFYlepb<=R91cKrYh2co;g%@puz__ZXO-qx&fq4f_+8;<LA|)eWP+G;JMj;qO2RVer z=;6JifyEI0h2arVwCzCM*|TTE*77~Ruu%kS@Cg_QIck{vfQ~Dw4n?MKcd4X|#T6>( z^a@Ye9+Xi`8mxUy%9Y|a*6TdnVMBS+2v=>7`s|*FJLGc=orb<4@7-gqFz%zkISRqf z4XL@aUvC}SyBChGX4m<V>@Nf!_$vIsX=pE1_<^6K8GeoS=!Emgf&y`9hfPh80U#4& z6*?h0I1`g#h+uRz2L>YedXPO9qV6|DJb=iv1-!D#dX2iUzib_XV2h8ig}43JxWmg_ zYSmc`osbd^{*ViMaCjEC@{i=GGZks4Hq|>-d;C!cqMSPMaB3dXd>-0!fHRZ6zB(#@ zTPwYMn~g(}>`dhC=YX^Ij%O)$_-xy;N}$YDyNPG#TDuIoPRzyXoT*O&KW#rMDf!_8 zNMA?{<gXJpe-l9dmL*%QcN8Zo@}Bw7nbz}hp2b?i9_+0#0><%1FL1(Z&(VP_wibf5 z4`A(8SeuB|^1@mPSW6FUJ+WF=Si5@#Xg^&6+G|)XJq8zo)$y=e604>JYR^u{usctj z4d&6Fy_V*&!UMKz5s{<piipGLXOS;d#o`WM5FowMsRs5;<#c<wLD2g^Q>xX~2N|KN zS_U#g%l^#&PGUmX%>4f~G3_j#5D)B)CMb*~;GfjEeNZu0Hw_Udq;J=+<Xvr#j_9FS ziLU9UAcA=Q^~v;et224(uT@i2U|rr1|5Zx@*wT3SyOz|kwIuYbmM(!>g6mP^S3M44 zAv}MVqbPtd=z-JEXRmHf4Z4Z7D2FX@hZ+I-+L10Afcz(TM1^x|RO}jhAeVV%Zz~Ec z#lFny$cj7s6p{1k@ARzja;5(tHO?Q<q1Kn0jg~uXSA9lj49RyKukMsyJsI}2t=a71 z?cjcjysZBDrN<9gY$+8>*{I{riXj!}L}+$LMLQ)gOpIGkPg_stntfsFIFYA+dwgbV zVYuYJf)t~OP2ADWFY3y~9%>>pLd!v0Mqyfn5|6EACaimUeB7T68`+Fe-YGkqObS`k zbUtiuPfN#_Vz(Zo-BNg!-;(Vcr6`ngr*ziVTPrBal|bqwC-3T<nPtxjTaR<%#$$Ts zmWke4jqidz#D}j4<I<ga97?klIeZ1iECHAtFyvbdBnN<O3_h$)DT-ss4L)?Kl$q1j zWfNCM$FptQsHS~Agv7Ym``Dg-mG1OLZ)pV(NAk1jya_&(%tY$3BUh41PjOvSDeQmN z8m_#OJe{(l6-^V)hp?>f*YAv`JA7ovvQ1&I_no#NP7p@FXy(E~ONmto{ZfeiR3LsS zjD9LaVFd)+xB<=6C+<{-v_yM9viveMuB_DCyg+@-;5iT)7dKitWOx#86ahR)O_3XX zL9W!A(VD*1r90L+`#nkMmJF3{(_yD~f2WM?ofT=kcfp4&#mXy?Z&^(*_2HcD4e79( zJ?Z381jM`t${;khAT$Tq(98-gLqUsOVOjy-VsE@o)@t4GAdm_h5nG)r7VA4<y8%8g zVN9=7EE$m91(R(A$QA`;_xm=!7spKwjHljqIbUW=Abg#Mk#Ct>u<ZS7&aYOAQjGcM z;*N$yD8lj2&j<0p2*;llj=x1u4^A=#>%s|-vr-0=eGO1^8f|yw6_*#Uvm2XX%*QZh z3BY8+VwM0*z$m`wzzJ34UiMpjHSo`dJz}~eY^~ClDX0&-WVvHG+^7!iNcEc_ag!7m zmLNDJ+y!wwFux$+a!%~|Tcdi$h^xAQ4@aOV&Gc7~z7%{Yj2{9)Z(cm}$Yr6-%0e~w zZ)K|!x5gBIg}Q795-`UdO($-R#VYcDE8?(<SHBhUKw;SrVxm2kEXv1r3(lZ)WFH5} zpyNZW!G|+uksWd1z!Uh?rCEOG)i^YmnxN-64oVQTnP5ju;m>p6K$dZvQ10>LLzasM zdt}~{!G~89M#>Afw3LZ=gDf92`Q->-xw0Y+LL&=@#s(7_Sr8gaDAYszX4eVa0}r*A zaIr1E2LbJjwU#Lp?E_?ocWwi+y<oE6!(1D=yhveUy9?La=lm8Z+cy>z+X}YWV&P&F zfQ!uv6k7te*sS1UYX$K?4ac7uQ*5W<0$zcOO$08sCQPx3z?dd*{RY91#hCgH0+1zN zA%WREYZdfYX-0nS>PV`f_hIuKss~2lIpCWKN!40~K|X6ar|=x~jpJkzt40Dkwd@A; ze+K+iIPZ<7!=zsHhj6$?an(+R^TCQ9^fp$ZbShi`VfkiKXD^%Ec26(M&r+Qv_5uRw zoYgFCXRPx65%u8%r95j5_<YjS*Hi|vifN#Lu$nJG!<r6);*WFq%8teEXNih{6jHC$ zG}x0SOt%~a_%>WQ&k%f%bS~JfavhXW-51)anNc5d90L`&fSWsno7&WLNY21}5b&C* z>Cir5B;@}sfY5&&!T&6P(0?4k|15yep9o?@N*jTRF$k$3!m{mWNF%cRCP7Gr5y&zS z(p$#=jNpG3Ko(2{B`z}Md_7!}nFn7ST)D;UV*#Q#oW526_QS}0#o90h%~6e?eO>@= zDsa#K-)Q`L@|Zf0^TE2@|IAKL58;5?qs)#r{74}MxtxjQ*=Ih@*m914j~U0p>QG+$ zX_~a!JSsbHJEGwY|Je^Qyidsuz7w8hylY8OV=c|$i+`4V_s*@eVYR0NU4{eg7MB#% zUXFiMID7Rx`CRc~_%NbIfc6|Y_XkgV%AiW5OolH~(R}DM(y};(m`w?J>+H!0qCgkN z9F;{nC>T0H6BxOrS01GBiu+7&L(JI{`<vVsuAI>|zdXP}QIhdcg-|-UvG7iMi<!4d z5Ir(pr7;NSEaOcsikjv}XJJ=3^Z_C+jF=1%zX!p9_qED{8i_V!!-hI;=?}PHFdlO{ z*-8>MqOalb`3JpDRGoOVg3p9b3TF?LH~+)(#p@{wU50!S=F0ro$s8iAqLd+@3s%hJ z@T^)2k2xK8BQ*#i(;w_`(a*epflj;XkvbvoTS6ZDX>l~&C0ddk6S+d)fl=HjL>VJ; zCGiP?K0nK+2BPoYZE@S7=y^>gT%PD>--Q+4Oz1dpHi#~9cTrqm%D3q!)pw`*Xt%sX z+eJaal;6yhlOMaBL$v7fLes#sNRvJ6`~Ap4yXAxJ_Y^s7y^K%e#0kH|wvCE?vLmZK zLp1-5Z1=8N>5CLn?=T;6JXfxq3a;!0UZiXyDa}ig2AWI3+E$M?=13VT%;qVc9i_ir z|2@#hee-P{eatz&9C@>h6jE76qIt&mcIg$Z-zbufGQI!s9#wC85`TSwY<J+brJXDz z4lBOvv3YH6)A59t72&fKsYe;|H+K;_+NOuarex~RY5G~t>uLw2km9c+-rHqkaUg(W zT|Y{Wzurl<+xZvH=vYFbKEA8?m0=OQtIJ$+Q77ey=Dk&R3DhkN7wcV$Wirfg3pFjP z4++kG`y}8ylBm+KaW%2UWs^kVqo^rejrsRX5O@4|2iLQD+1%uIqH(s1M9D#<G;0rE z+oCls=Y{akeuG3PL#~Zq=xM+S!->xo56f+*3Vac-E_~PTodO}T0+D*wyh0X}-kILp zf<433q?C*xVT)tXl(FLK-YPW>3KoW2mtj|4o56?z0C9r?0P<r19{@lVSxKJNxT=t> z6isDf6aKSRsipDgxsV55tlT+6GvSbmBLmUAu)BtAVkk|eiCnNRRX=MqB7)(U;yG6F z1EU}?;b-ZRC7KUwi;E3~5#d~!JA=QjV%2G)tf!rwel+TGi|aEV_l#2Om+9O&eLWA` z*exZ16S_u1qdippbG9;56swFGDNMQfu`9Mj(7cOqiqmmgGF@#leQ~OO+MfxA-LPy+ zG_U?bQ(wDC3nbV@c==a?1^9>)V-xJd(&p8)l)C*J^^AWd%hiOwa&(F&A@L`FGh!yi zoC|Wm4RX8Dhjxp>`_ZH{fmAnN4?scco1-!rp1W4XHI&@LUU`(UOLZW~)0aVzYcWCo zQ2>|~2FxnKp%Ut8@L?i9tuYX!?wKW%F=Cxo!fV1I4myFE5R&tPYb;LK-H4#(!sVD* z&<VU-^%GnAEr=98@^R4Byt$DEX9SHN$cO+qBVuf!MIs2KL?G2^b%3~^2@tOX#Lw&) zL;)lx1$U0J;&q`440qn`ifp3#cl8jpce)(d@Yi=tD2|89%O)xn!Bpc!UskyTxSuSw z4##j06fI6zMG`g`?d+3c*kJTwg8@xZT=*G{1wb|?7*(XY+D}0Pxho8^A8sHw34s%! zfk?#ph%>?sqz+DsD1;CboF#ArnE*-Qtp;L(`$clXNbU`Gof2LT8Vn+uU(aP*WyCB? zj~d}bDO68rYuhwP=xsAg*hmcR?t?0=Kez!&o;Nbq4tT91%jg4gq3DjL=+8o4+}LH* z(H`9|9wqs!kQv|48B}P^gN6V}mL`IazLAvUObP!YToUfJH}X0$=aJXVyZMRC5I<ks zAyN02FLlRDa?18ESE_{;_<SM@?|Bdi?YfRW<!zn4sXj#CoMv}|)h)j=c~;<a9Os&z zyNm+VU^z8cS=!y>P{t`vR55g%F(ZWg>qPfQxfV+)k@xfhPPI3V+@(3#g=)7Oq4A_k zQ^~qG7Y^ttnS~DUcfC&?4$&Mu*qv{LQg0!qlEcX^99-p;dPyVYPB!1DoVqDI<=cDY zf|NKVbJ-8oV&+Pc*UcA>)H=J;J(PQg5<e2D#Y6uvD(I<`_n62L`}QMiUPE?Ah&&7D zj%Y8TZyp)c^vFNwv6eLu^i&QiL$wiFg|%%JbE`Ckjv<aDS{-rETM$0tM>FJdgv$ac za^xx{b1-9r{So8#BMje<Uks6%>parOa-RKG2%kOu=@NDwRT?YRbQM!%bD)y)d(QM( zx$FJB6e7#=U%rziqEfTRlooyH1^2wZvZ^oysj39-d^nfT7CSrF*h(If4RMfolq7*q zZ>Zndnt1x{;I?$)bW5>%>@BL+&yg*a7qS#kG|DElG-nap4DypVLdR|q@K203k6d$n zg;I)RxTr{Tk+E_X%qq<Zf4sdcb!}GP58mzkJ}a+}hguDJ>t*Eic|WFUa~TsBQG4y6 zW`v;Sm2lMi5I&<D=B8Qo<Sx__c{zlgqEgr@Ic_py$_d?YlArjVK&M#*Zg$I+Y}`|x z@pG^3Ud2BgjVwp8Nk};MEmDt{I&L~KUQ|R$f}=O#qqo7)YsL}R%5X&!``!4djaDPu zZAa9r`iDimFY9IHt412}XG=)Du^L~>q`m1hM)hIcWi0KTFyloiq>E}<ZyVA6UElA_ zGh$I%lKPdi*OKL|1a4ebwvo>%#?6vHeGNTFj!Hm3OuB$K%#vVz#Ml6F8>bbG=#w_q z(lbVG=)Kl5ur)Hz78CTTcKqI>ZE`WV*?iUI^tYrVD5BYGN84!3l<$bpp6vI#`dQ3h zXX1wTTid(Gc5EE2-$FSX$6A~OrJpEVFm20kwoHjZWH0YiiV0auAj<S<x`g68UlMPM zQNPQan2qg>`R03Im-68vngp-ZtMjRaPL{y%?E4F$boIV;%4PB0(!s*?%H_#2&l|Sy zuu&Z9S|j}Nn)1a)z9*~43Fc{TwfcZJ35o~_jLZo$M2DtxWTrvQJvR|+PZc|xb#93~ zb9M7#cx-aCRr6lxo&Ni*{TJgB0}f*I!GX1erIlSzS;wU!FD%R-i-~Pw@a~-{Q|drp z@-{MZY`$540e$DHxL4ehU^?me_2O#Md$el~WDFtWs8_;74ni04{E{>{n^?v+#iT8y z8buFXE9wJIpYK|NHuzXRAI4dEOj0~@TnUO8*5ZYB+2#mysxp%OKlb~GJ5vg4M>L*J znWnIyLtQ1FKQ}Qbqj3TG@MCW3-SU+8x@IK(Y_YgGRhFUt)o6Uz=j^Byj%`wz&M67u zepkMnG6gkUgsRLD6#voG)Y~Z8L@N=xoFFB_9I+5h`H?{%NSyKzD4yWwh|yk_uPwxP z&3cN^ro47s+qpX2zd8@!mE#IRyKhyio7}w?&oxZkb|#aZ+Qj4nt+1YC&tytmue;pC zyde!UeFL9`lmy)f>DL4HoXd5*4X)Z+xu=-M=GQ5&G)9eybLI43Aaz`)BYKsi=E$h8 zKR-cG>CT7`ZCJBclB)5POMs7<d5&|cn8Jh*5moJTwD7u?x2OK`Lf<sCg6boNMY*qA z(Rf3$$aRNHaSfey-vj+W5g-)@82KD$zN_jsC?b&?i3%q^r=ZcVUA2+>@xIfJ>u59y z8ck$Eh#aUNu^}}qLVrv_=X}jOUWi0~<I;0~r56<k^-6R^emSl_7i!)eV-d3CMn`#i z$8L&DF!LUcUfd&8N0DvLV2D{jY_Ehjw}u>I+CW%;fus1X`ds4cNRCvxA3StuPh6Q_ zqB9T?nICUtt!bL6MQDd?Q;`hBX?{De=gxUPQ#GgAB-kINk$E?UyOuJhmrdV-Nm5b1 zJWB`bgB=B0Fd!|}STBdsTJ1Ytmhf>`Lt-?-Q~Z&Px*g2FB_h-Ag|!}?`B->sO6+_P zM|ANvg)<}(#I5nBJg!&Rq>P(HP#YN~nrT-li$r=aq@c<5=*H%eQ3H|IW8yhHjgf$K zq!l8Qw$cDeeQEBNx$4uD=i<FFEQy=xB@#1F9fs4HcBj8stsD%zV;)Ud+}mVkp3s;Z zXWstQds3m%RntP4hhcKbOMp4UvwC#W(;-xM9-_T@G;`nbT(-;oqpY4JH```rFI_Xg zA9H5!R!_U`0;y>=G+pa)dn?DL2><PnG>Ng>mT*@>_*>5VYk5n{nKQC=QVk@`>kli; zwsr`!+5?L2%k$nBzA9@M*E+Lsh9R@RY!F9n*Cx81o6q><OU{gT(HGTkqs1w=Kaz@i z^s^2^KI03_JNcJ9;*>A9j#J*Wbqx@3HC|ok0Y}bM!bhIECgssYZ`?pROr)kK%4vFP zf-93Lmqz=ebef5#T~Bba<gsgYsYr&pb?Q6z?>{aZ&&zA4+!5y{JzAFA{n)y#>6tPe zuLi3Q&6_6S3mbiHrg5o9`b~o6<Vn0#NOB-02|PJA6jRFd<Ry}UD>u?o=?ch?g>jia zjEkrf*0*pC@<+XpJ)@AOaulT*-m4uF#ZHGVDaK==SSQeSUJda_<@5AthbXcG8%AIw z4r~;G%{eaFKn3q3{Rw!fsdRa&Kr47$j;);SVH_WO7(ihID9`X%!Xa@Ana<~7Dml$O z6ySUXAM&{ZF<JT9_3-sU2D*av!31*LXmx^4eJx?JoUFCM1W--!`4!XW9T_9_-0d|% z`DzYcbv|85I?r8;;Cwx>z`0N$cEW@ZKk7>y<=8wT65tRN`Ua~xbRKtH7jJX7C&e9- zRn4rJ#;bJavw~_70U*_~dapX;nA9efeu;YvN>Cp-0g719$f5HFNImr0Aj8A>dPKk@ zd$8Rf{D-qq28jgUElyXsf`Lb4;n6?gkyv=76^w3=M3PvkJ)LpN<sLE1a$!B6S;R%~ z_IAqXo|0c7B<P)*Y;ZuXSA7ywQ(mB?ZsU<ytBGPOxByhJMoNSFoD!xa-Ka?h>W-el zpn!@@fGaYWt|Rg`TyO(1T0PnYbNarqy&;(TcIE~J9fdmo3!Dj4uYbT(ZF9&5snEaC zw<$@YAFNZ*K^llkQuJ}bOu&Jtk%k@M&q+?*4(8fGFAmU^gsIH+2ux*iabYTx1XG!$ zJVY2XWvp2^)=U>`mW4Ho#F}9XS_5l_24?iXS^@OWUV!lh3yQ>oqA{R`NEj55%Lm9M ztmu!tEvQ#b9n(8$f5swI(SwU$Yi#yL;%|Dv3R{0MW&cCe$T4F;7(O5jG(_qYV^MD! zq0FmrgA8#);^%CT9DxLX%3?qsj6^r7&l$k<TSy^~q7jKG>_Ke(F_)1KK}Y-prvu>n za(=^!=>v1BKjsJe!2C}~?2qrI?vB&23-rFs;cJcNjAPKJG*<(o1iC%1F-He794p?% zO8r>L3oB7kVYd2XC4H<E`qK_8J^yKkm6CqiQDf|~e%b-4f&$D6dX2e6Y2z|Z(1LZM zpv?@s7qa>TG7BlW<d^BX=Ml&k8TKiz&-4RXNo?1{(f2a4ldU$r?W6P=r&ONyfF{g1 zt#S`XInIMHL$HCa7P3`0o6U}rZVX$(3xyNY1SICJOn_K#;_EPuRDX>W0x*cWZ-lyV zI%a@8&H#BldSt^+ZQwWq7$lHjDwrfca!H*tB)nO{;Ns8|*0<*$_`P2N?C(q$@Aw6; z0N};+f5FpHa)G>u=FclvQ(lAz)vAJg`Lt#LIq;rNt|&S`R5)aCQAPg5pNjywGMF^3 z5d&dh(r|G?jbUKae6w`Tpap&9_?-+;2hv82a2@!_F~D`8ZJ-R-K^oRB5^KkeG2^qs zn4yR;W?ERYG_09E)(lOqTpa;CGf8LFNJmKYlH*b%-IU{$?42`cUy#NTLBO=&x*jb! zvA{P2n9AfuV@v_zE9v5athcuE-?MOiRUwwjm#^Pxj4=!O@=lWD{2KWFJ6L&NULL_= z2xh%h@f!xahIcn?bDA3gWg~b7F|2%B&xlA6i$@SCA4QKehS7M8J@QAX=YT%rf$|gU z^?B;u4QYq8!n6N!!Fgrl<?$1AXu&WzAUV|20Nqv=pzDBuke0t;n2Uk~`jc_v8=-4z zV=Cb4&`Jr+B^c$-gbLdptG!+--YaZe#!4dS*lO9WzOE4Ai^3A3fh8mX!(%y?|D2!v z?_>EV!V)QuB{CRmr-QZ2z}jK?&%yF9iRC{SYo>=aL(2hA8^bup=@{+k3O<DSpU%l? zlK@V|vv#Jqc4PB8u5DID$d-|f8v3e&Yh0TFygp%)mtm|Ix^~4pQoPr`BkVdcgWYN^ zXEUDtnqu3}-lFWzhe_f|m|?=f7}i=EOxBshtsLj45x5=Yrln#ShcPJT(KPz@QsvDI zbLAKUBj8pYZEO*`W;~|C4;T!B1E5?W_zRs4PWjY7=r{m<S_^|7?X*V`4b?vXZ!+q? zNhtcZFs9_H%+NIg=L}J}gukn*7mFC<6y3p*moC_230+fyLu%m!dY>!vu-Px!9F{46 z%aDaGP=MPc!(0gbM9q)TIPeoT{FDprWy_~^={v%{90QM!QqSO#DWBH)Bd5W#_8&O~ zmbL!KNwEB<hTWB`asJ9E=yyuhvR=c52NtGKHL;RAR?@;szls<u{YojU6pr1gi<RQA zk{(w2RmE5d&Z!VMr#6^H^>?BB;0DW%xxrRs{JFtu!8cgs;hndjo$F&;FB-JoEF6<O z^he#SwJio7L}mrJQp1xgPwR=;ASoxNj?L8^;1M+tV+wj3eFK;&75}&qm_3f|#f&V( zG*Vc9m*8Ox^h?$Um8n(^Mpgm#jt?hR;z#qWHOvSLse<!L?UtaPJ1^!^e+lH3M*2&v z2cTDy!gmDitKfA7kve>*hd|-?Wy>D}GoB=M=rWkOO7<d|ECl?qC*pFGY@M_<0=Y>X z*Q=pcl$y|=kyRQ8YKL(BQA{tmuqEeb34=?QEK8O!c!9;0$K46;SUMOx@iwg4&xwUK zL-=9Lvax15STi2188VQ&HYx`6&OC07w}^PqJCR5?y*Pi!UKqSEAcgM{pj-d@o5D~e zx8#?Y%Nld`9g%f3F});BDT42O7)UJ6yY#D_`Ko40Y+^@|C)i+KY+T`E-|@VTX1JHl zz~XL`S@{y~P>g^l-*TpEesh0t18NkH++xSrOg-XCh#R%<K%60T&Eox9`RiDY61oT; zf;>cSb#wlly*EO`9AT}0!oXh1HN>rN2*)9=5v87eI)Bh*o=zyc2!C2QXuX=wz@FbV zqzWwGgO@YFvcfz$PD!?``fOChK$7)X+&;J^Jm&OuM{^}JtWlb4<6f`zDW&UYxK2po zcd`GWVG)#;Pl^Z_l2vA*L5vOXa_1>_j=Og21}c->Uq`jg>p0(MT%$7A1$Jqraj>0+ zUnOjZ&gX~C3|T~AGu}K6*vueM3z*Hf&FjHt)aLr8m!F_0iUxA5m*Wyqf!_0Zuk<t~ z5aI&>$J6i10DOK?z5xtm%5oh5E%4?Uc8-_N=P_CtJgvynEprcu9gy%g(x^M#6n6)4 z(Kj{S0Ow>Gcww2Rnm>5+aWiV<#N8Mp@WOI{&A>iO(E?n8B|7rI9PdC;<RRMAN<NAn zZTuy^O)D{`%xAPV_>O0d2pWjH)^nwxRegX|DDNIl86dDT-!^}+5%u##^KxsXuz|g9 z`P+{9#Mk*8CB{NLba{x>k2kE>HO&knuG||aHjc=Z4<w-0bSsNfApTlH5xq!CsUMwB z4(`JmWR%RO6C3k6R^mEQbVVQX!RV-KiysjFl2SAuy-ZHY3=v&l7b!t2U%8x!>d1M& z+i$9AwB>quFfsAzlPKBIxtl#nbS$ShDm@P8C!PkF)h<AR`^C_Hd%)IHGUidK&j(W* z)8iBS1!3xq74JU{o?H8{!zc^wAAn!wO-eek=yh=HMsnfGwm?PwtLj>)_wd_Lg~QjW zBw<ra{ws+yE|;OUtJCMY$~@)YIbX$-==w46{k?NF_4GIQ=QAI?GELjA-I}!<jJ@~W zXtu0hTeQhQvG|AHxthql9|_hq+igeNf1G0<Sb4?olQ<)W)qdDU;{z@Apum*I$+aHW zEQT3@7kt&vd?tj;x(qL03ALH4NSdhb7By^?B#SSBmU#8U15$D$FX45dRCj70^i+<1 zO(1%7A9~&O=>B3()N6eSoWX?q86`@6bp!?$n#Wlv_6e>y<AgTTk?hi43E5_Qq#{gZ z))~Y_XD_^9Z-$r-CqH{q;~^qD&Nja%r^tI?wog7#q4LOJf>UaVQUm}=S0-bjSUGaV zdEUDjq9fS>C<m7wsgT}z9VgLbm*0~B8+FPDHpUU=8`&f^(uHh;Ep=eaO}E!^u2ZV{ zJ(2L1R{6lSq<}LVYi+spGpPh73zB4@wI!XH&rS1i>eiZ*Bs*GkU3Pu*l77QNN^0)| zCY<ZF!SmnUa(qCgWo}-=d*#j!4UGQK#xL@HuJ+yS7$`P0Kq9(MC>izT2l?_mH4^HQ zr+!5m$5T7QGB%KhK1d4SxzFf=bnlXXoo8sUFG+@67Prj<Zh$H(+<Cx+9&_zB1SdZf zg}QKqD(YusO<A*6i~+cywr5oRjCV6V)&Wzj1CZxW2kNj6?7$8bcn_hA=-Tp`v}Sb6 zsvA1yl|ZV0pCFd-xk0$dtL97~uUvq4*4mZz9|cI)k$)RoQFfrB=w*Fl2SP9fQ+AFn z&y!LH3v#7^mL_B%&I}P_G;$0sPY6*13Nj*(Kq!wMR5++N15j_~17^R_^MjjF8t6ah zIspAR@((&UD92dvbD|CuIi>rQrAVG#rF9wjInmeFl%zO!(10k-4d8xvA%5Tl-0x!G zev{T=jqruroi&8%cV$MMfH&PhBTT=$X&{8@cd%U=)=mR!hsN3&vRGsK9oEbMV>aK0 zHKR5+5TyW(#2$F^3ic#rhsVL7?|wY&3s?l0by!SK7!xuqD#C!Gi;Mx`WDdDTBj(nw zyyx@igXQd-2c(BC*kA^Xxj_ZopXl!T@?0s1mwg;NP=M`6d~|uPthRz>;N>ga{+@&O z=YSJvG(v>we%N+LBb0X)%dR0{*J%KI0%)Ec5KM2rF$f+$rX3;yy{gDR=$LMY`i(9E z&^h$Liy<QtbGf1f;5o_AO#|>^=n`$ep5pdoN-}vF9mw0r5Bb(0F}WJ^30}EVTZ!b+ zz9JPz%lhem$<JCDjL;47eJ<C50x2w~XI&Nt$|D<V7lpOs!Pp_OcGX0Jd7zMtVmna; zMZPbwc7|B9BJ#58XeA0tQ3#$D<Im#;Kxc;=xd%%!Vq)HuRQMNrKfC)&(A_&zKsU#9 z@3)%T_<+6H^JUf8;qjY2?Cij@2WA62eL!wv*}GxwiXA;281lqB@|W<Sf3OE;$3JJq z{BQLCh&?O|s#q4DqqGI1L_vnFPwG#=<cYzo|4-P9h*qYc6oGg_^0mXG)-QH|7ap~$ z?A9hjD1zOJye`@kjO7%8F&Mph$Oi)wK%v>sedZytupIJRCaIC3&fm~DBA920$ycF# zI#95KL_$C1vOFNS4*ZsdU^xi-qbNfIh3X$!2$qlkk;`&nfPNM{a|7R)Zr<!K`GrUS zZ4T-?7#PBh7n51YpHezTiux&KVx$3m2MDt@n@(SQ3JhuoN!5Xiwm*|_AbtS!*jcP( zg_Uf1F$wDWa}7w>RYAEqI2bH+O8zzHZ85+gO+kFtkywxZNcRxS#_PyEZBfYFfL?0A z^H^}qfX`FcJ_p?rYm<E&o|zN=p~lA*I#3NmRd&CwI)gDrd|PrNpp9ZWZ3CT99=>UA zR*CViPK#==$+gSRL&3bmi^=}*A}?r^RmK+9<zqiOKohWrE7`!lBcH~DBeoerb)rFK zWS~M~2kf!At`9cJl{a_Hmt))bf7fVv+BgaKA7NT+3bsYcV_IY$7q&&}U|OUawne_6 z!gRqnY!{TobXKS+2WzK?0I#j#3zB;&`c5|zW7qc64%<De|8!6LAR~>h2(bHlnGtyL z<cX;W157{p$uYJfX0hS&#Pkyb&+^oc`IVKcC<BM{W$s{xfldqAH@k)iofb#2lMCjF zVlRT2R$&Gv&CkJPENrl#R1Bu!f0=7oQ3#AVM8iij>vecoUKxV#g?S{_1`gPvXaxFd z&;XcnKf$qV{|1Mwv2_1I|NoTk-)v)}#8U=g1|X7zCx6u%5mJ%#0>$v+U+AX)AJUx( z=)R*5=oTykuN(7PMRTtR6*9gzo6U)m0rzrvP(gW!Pv9OP>I*)G%K<xyu*nT3R|Pg4 zrMB3%@>47Z>569$2Gu_YTX|E*{Lirnr+djqbL({jI2;8=)SzDUZ(%(EgYOC4x^rHF zR}Gl43EBT&?9JE-^?x+jAb7H&wD0`v>Bxx`kihP|f_bXo4iVQ3E)y&S=pr~aLnzGc z<X>+Re=ZU}hP4{`^Ct&&v+0D;Kcv>5_z8A2YD*#F4yjFI&-~cWdn#S$_OSja$0+3C zF<gHzCBFx~3wY|leEZPp!H?yYgTWRKbCVfQdz#&wM=6Ktk8Wg%Y`7%1><*vX_+ZXa zT!FKA>(=62QrKp>(PEjOhS_(#;hK-beS7D=JC_aHs8V@*vV*t#hDde}tk#J>_I$2= za(D=5aRF?3#rNG8Y-y$T_GEosmkYLxuhw0B><K-$Jvj_;KM@Uo_u3PD<R%?XoIOg7 z^3$tH*S@%aHjkoi@^ps&o5v?ioi&y_lMh$++Upx~zOGomy(o)!Ep=yQXs^4o=Bv1i z@~sQqiIg1YMk3F*_DDvv7*ywa9h*(M*A%^Uos`67<^}m>(M&%lm#(O%QMb{|IMp-P zRx)cKLo?hFvF)Z_jn*QD5$6)C%=r0$hf8jT9&<~IqcZn2S4v#;f(4W}O-7C8vUXTf z9(<uTt$vUw2F{8FXSH;Ivm*a_)<;s885cfqmc6q}7c&1JXBqZ^qqsYJHB{%oQR6q^ zqagS!hFPz@qGyE)op_ta7$QCT@VKRSv;s^+kf{pYUAUX2UQEKgOVWo^8_|Xpo3@Rd z8b6vMFQm+jzrAMm|FHJfL3MoJ_8{)=F2N<ZOVHpJAXo?xAZUVXkj5b-cnIzmB)Ge~ z6Ck*|yK|>WKHoQ=_uj9jrl#f(=ss($z4to1Z&BR7=bqjg8rnOko@pHsSaY&K`jA_$ zJ%zlQ+??A0A5vmP-RvMv*7ea(fWdyph8*jN8G2E0Ic%4!Eoma&sRYBVgk=RtAwY3< z%W70S-jt?TD1=@7NY^+KakG0Y;}yF+l+RkPjo6r^;Kq;PmeneMylIN@q;aNev_@=v z^^VrfQON&r68fkBXE7Fb(QV|VAHVAa{F9^@XVLPVW-$MvW7P7{f|JD6u20R+09U>1 zsg(m;D`hBiTkD)V_{1y#$Cxne<!9EI{sOx4A`%wwRM?5FoTaV!z^-36de4Wc4ah$> zyF_kUYbJD9vpu)4Rw5R+PUDJa4vvE%8J!wkw{D;{%nJ4VNw5xWjV{_;J#fNX&^>L% zNl`jAx@z5kXPBh`lB*y|4wA>b1?|&T*iYoLbpxDX7Jve>%OLyER6TISThKghbwUoZ zi`EV223h?cKM58=65Jtv;E=btUP2}Qmj-zy#q4J+Re}pmc@aU2JQh8>qmrU%(iHwX z7XN)4tG+|V?E|OP@jD+~F|S0uRpI_mh=V_%#EhmCk8`8pFlQH`Ihi{!LoOs6^JzN) z|8`gLuc0Tf#!Y0l%zY>6XXIE}(f2+&?EOg!nIb>D5IcWxh*3=`p5;dKU?whsu_ItC zOjADXsQ>9x=s6gw1csicL%>k=2QYLhNx@ju6dEJMz76A9XLh62yUVe+*~jIKC06YN zKN{-EH@>;9S-xeS!+Zx|k9i~If7_=v!0*F=(}5R0XG`Jr(c*vqsS<xv>8aHrYKWCW z2^3>mkvmXRjN=)$`O=6*LcSDbT3FjYlZ+!EAOHfEAP~6;0)rsH3Iea56dNGW2Le<e zAo!$M1A%T3zykql5CE`NL8JpjkU#|aNwN$AtsroZP$YCh4klRwfu;=GkYfyo_UzQ% z^ovoI0JriVc?zq262h-kZlKG%m@qT!$9}r>cP#&kUU)S+x6_$ZGs|?uIh`Q(YD(Bh z2EKNdDI$JP?l}DbY!a9L!8h4X=Kwb~aFut+E6!q<ewtMw2a#=0u-mlS!W-E3<gX}x zHNzTf#uuiXhSY}tr}dL6?$Y|Q>4+OgK1BFCR(T@NifJbNAHnOO;9R9afjy1AM~!MH z{uR}wNrQrO8rOg=UVi2MD}%{^IR8T{qMgOx*&b4ISKU+#b5`S`wD2x~5c19<QMSh$ z<@(_4R%mXv1yX+}TWfjOUSu+?wecoSxvT2$PQl5o(47CjQ`8HjUx0s#iEzZU4`enL zAhRPbOmdAkRmop{k39S-QSUmL?I8y)9>y7O;+4B9PISVQ_k}gnudhMaS)7bMjKme7 z>dx`7jy!z(d<R3&7uNXyky16BH=HUf{;wImiMuAkB>~>}I~`g5oii?28?5t30n5$Q z$l70+c+5QYwxyldUuTKD^V4;{bZRhyL}W1Vp6+`8`L{Abr?gL)H`x2fanI*ZdC|EW zqfXC>6CXF$kMT(?u5ZmJP?^>y-!V(a*Of86Q$K>pyc^c;PB8M@59e@{ObU>1as@~O z1r5>6{gCy9+XwTlap*6a_wdZzGR06U0OV>GC9L1rW-9729QjvDSsd^&)d)&zlOQ7d zgb4qGM2>@q$P>bx$5HY!rW%+jXHgm*0a4B;l=vSV))0u$$8eaZ`K-S~q5p^;pZ*P? z8BFG*e>dEG-`o22h;?vv{&g$yxjkIs>rUG78`&yuHF)kClwVN<<6o%jNJ4Fy?!D46 zJ~7@U*pL+HW494z!{ZV)-yK9aW4)YFb(+xGC`T$qF|#8t^5^RJPEG1&o|dr_ZNn?} zcaD?|)1%~d@P#uB`#!khj9%m~)9?Kq043V;KCiig3ysJF)GuS^d9>;@{r2QH?eL5I z_4>WTpK>T3zyHuzYcSm^B$vCNS8G`WpUEU*ebHd#<#A_bB}VSe2}K~J7R=|5?0hY; zAtixDMZ?hg$UHO4EI=^Y60;8zNwVS$mk?tB#11|vP^tQ%id)f6W1Fft0S~Xac36Bx zn4UxBkLk%q3Rcl27UMUfY%j?hL(7Hr3i%E8v5q<Yggedt36(ALmRw@<Jl3LK3&tJi zHIzC{VK|jzy8_Q!mRdZpP9j)G6s)76nYp8fye=;TW{3nc$TR)TkOpRu05kA>12dG; zr33y;0374%?-ST&Q_eU;zx4$23VLWgPU~ekEUj0PktkzloZ1uOUii7c>G*;q&af)G z!IR>%xe;Nsss$^AEzX>@1INSe3&&kUGWr=w-Cc<x-JO^=p&p+#1j^}IfF{BOvV{SP ze6G#&bX?AChd34SGY2^?XKUybq-U{JPB4ja(m*u!&$aTeN;y!!GDFL!qCZGC`8K;l zPOt~FKORRf=Rs$7%KH&CMZiG@>*Xpi@939)<w(Dl{8-B|F8Q^dKS;8~@s@GgTH)DP zQ)*S@l@Lnm=w9+{-$f#hh*OFA^Yy0iUAl82hPMt)xxY{s_H<F*OS15gn}&BH^-GwT zB(H!^Q<95&KUly#na{vH+M|E-ga&|lBzwU;$p7SF@ZNSltR1;X6gt^47|{#)^srW_ zCcNG50$gW&s1f7Y=D+J`QMkIbTRO0BNw%Hi(XY*QNeiyFPYQk{I6R$r46V5~0eFQ) zD#J)c&TH&|v`g*AI)L)7aAq#!<NRz!OQ7&wWy|Wvhu?-n#ojuCh@zJqNU4v6?+epy zf0YD0=IvX_`H*!`#oYbcX2i$?h89O2o)&INZTYF8k)knn9b+bGiMUV~|DZXXFEF;( zC=BgXW`Mo0?}>HqOnH;SYGdUbUAr@%{^GE<J)+jJFu6<lC*VNF?}6$T(ZIg=tCf6X z3ENc#y1bU@Ms{_0_$;(G%SU8&*iw#wpN>j?e#YGZ+x1(z?7Sd;|7tERV|Y>%c|lLp z&tT!u-!zBG;qUD=o_+63A-5GiWPj}0#>9AA4?tEfA5RQbn{N)gwC|2mMVDIcCk#_X z!RI8LJRUBnssb;B^$#u|HxC|1V@Bx9WR)RndvTC5$Zgkv`P~+Kvo`_~g)Jb|YMpd2 zd3Y|adktxaoZalr)!3$q-0!WNT*f^l%>_54Egk@k?zLV`keiyGIYc|P;>(hyX5e9j z{m8q?!!ZO;Pvmj0;~=IawaN2x;Y*&^;0Vyv@Nm!voU5*Auc#WnxVxKXf4scX$dhV0 z1XFq4tq0Jc^~EgNP#8k)Hy>2*Fm11n(mWqG4<9ct))wwu!8!FTwLJ7-QCIi2+00(v ze!Bl~cU<CDhZ$VvaX0d?aIL^@pjA8i;5!hiO&ss_@Oa#FL_rzUn`e2yUKnTCE#Zso zr2{zF9#K%N`LwgQ1703iyN{cXhR0_kwuhILhezIZ9*uVwmn&b`yB%#pZjMybxHvn2 z=i$f$J0lRcM|@m?M{_nVm4m`7NEN2WX=PFK!(o@9!Tm#5ix`Wcrgq_8VP6ui8c&bc z0mtMb5a5m(z0H<wb1Yy58Sqy+0+1WXfX{b(z<tx$viHep*An930j0N1|BB75nW+1< z4pPl$w;rRU5I#ibkHNF#r7wWT`<clVz&v0+J2DA1cs@6B4*Z=H*?=0{s6bu9Ms_L` z*w}2>n}m0|*-mY%(F@X^DU!_~)PQ$-*iLO?%x#73`}^MXT}}S>2X=iYp!)Ce|LdXK z#GPJft<AG9vqJHuIk>0Ke-6Q(fkw^!z6LWA{7Fc+^FDKUwr&r?h|{)T)5IWWXIooA zPrkVU$;B69V(YI_+?{25TY5ST@*=0V0`B+kvl^ADZ5<;Qs*BCdgFRB(n_iI4vyWi7 z#<ee`pdac^+ZKqjz4??a(;Ik4m5=VQ!X{_sr(cU0tw%+8=#z~A!j$<B_A7_7o_5A? zMBWo(!4fduj~f%_F0Dh9224K1Q*&XPGS$FwwsdGE;MKPw5k?sqFCM0vpilAZx~&-b z*R@d}o#cY*LKuzyH81MTPFnNbp_n$wC~fq`#pI{coLn5*lOz*dypwz)oHhnwixU$Q z+#e?r@dN%OEnIxQBxzoCB0o;fj6u*oPB9?#P)Onc&}&e+mz;%r>8TYgecXqk2X5;% z3uoooHwe~Wl1>s2D@g#On8pX-W^>h>n$+?sY$1x(({8BC3{_3lJLezRoxOAAWj!+F zWs?*xE+un5{c~u1#kt_@Cl9ea5V9N}Gq4yR`#;NaxE7oxbyp^4oyW7Ynnr<a*eIbm z<VwYu@I%R%aJJapg+PT1x2!${U(^;eYW5E!@`2ZZyT_VAhCfhxUS8+rwP_%GXP}7S zFB2BDXxw;Z><Z&A5f(Jr?>y`<9cj7&r}cO5AZ~X9!J_k@q&w_dhJG*wP3V`@S4XuA zqM^g~eAMiJ7oa^DHF31C4G#bimw0nT%}7E|NC)IA<k660X4~~n)Qgh?TFeCTF}p)* z0P^+s_SC}z<?d!#WZ}m}LgrsXAAfu7iO)5Tz*G*^eE}9*;!g$$RqNSnsrHZivh1=L z!`m@(MNs&2PZ`<v%V}7{S7GEr%pZ*n7C!VzV-FL&2z9D9%|z-OlC}rlX$!r%W@PgT zaN8`YnH>7AgtzixB}>j+kv=qXUI~xTC8r`V;%~gV(f^MBPq=`Hp)#rW#i`=`Q8?k< zn@v5U{jnBVNGA^;(YS2b!a9!&)|sZ*4@`;UpS`wGW{I!T410O9nhk(ROPQv7v5Bvr zT)lINFLrN~xOayR63l*m8Q~uKQL^+t@zqlF0FOqMm9t~vYXfEe8oh=2r}N37pIZMK zJYOhvuCJTi+5B!3zMUIl6SPeqV&lD?8|>*q_L1lQs3qm`rbWz4=%(Sx7Mko4>Y<$% zC%OmV*&(_ZOvq9>;(GU4QQ-bze}E89I(M(b9LoYub&HU=LY0lM82dTKZ>@C_lQ&U! zP;;UB2_IM--k1OEjqR&`rcM9ffpMNpeCRm^*XNS~nHx}Z3cMK>oUPUB%8Ys_r9U-s zLkVC$fQx(e5S|7Kyxf2$t`sl3=7c0Ki)M_Z2*YUB$@x}~zui5hEQI2LJ2~k=+bdq` zZ0~53oaibs*}#+gc6qRphQTbCyv~j5pjR-(QdVV8N!Y>6d^RdZcp^M`DZynOZ={mO z+&wp?nAj`XY}T?QFWKxoBhm8d(DwJR^7jA@Bw6NJ%TSglxb$1*CO_}*%S>kJ&(BO| z>i?|Hp?Yn?pPaP@;kv!rPQwlM*jA|Q#SC6;5WQ8=x)!zd%0s^5xg<Hd<o7JxJa6kd z7K6_v2*&eHk#Kr>@e@Kq8XjUvK#kA;#nz9_hG1!5d!DtKvZPkmb3os|;<~tc)$Ak; zFz*^#_2>vq>Z-BD=STf{xq*InRE)<zp<MEKN7)5FWvCE>@zS1q;e59xSZ!X3zv=es zl<<k3xLZ*qy|mR~x5-U1sJF~aqN|tBOroi0%1okuj~l>W&y9FkNh|hbecyd<W$WX$ z^Je46AH^qI&lkR?lsR#`NM$FL#c?s<zE^ILFMN7gn2yZhdoKnVnh(!?-z5PS$A^9s zEApH0OV<+%PwbfOYvv`DIi}cjtGIx`90)jpz*&k7f!-MDvzbUS+a0s|ovyfHdR$VV z?(F-A-n1Gg(D}GB?gHzWGR^|om@@VP8dDNg?wJd(CGTHr4c#Mi$9seBbFbd6jl2O9 zn}CUf<oHd@g+QOXpwHroq2GNfARr6^hak{AF(k?INs5;A6r2m#lIyeYPffUYR|Ffw z{@9q4v;*W4KKXZ=U~yfHM!)$h_+MS>bXrsyXwCv69{W;j-rP5$w+tuOB!u#uoa-Wt zPN8g*AmVv{gyp%w$@ZbQg&u>NUGZrz`RJ2ttc+rjhY06tdLEHJEZznPd5_Ryeg&q3 zwoiWLEt#fTbpjNzyguZBz7+zW=lf6u;ayHhK&fLyj5Y$gD8>;w&P6-`vsl-&X1g-{ ziLCiE3&;l{rcv}DhqC!z+oSK{V2Qp-F@))r<nmFDYeg=a!#O^%uZL3+b$J8REUFUM zn%`ri*qYVz0N3?h90Cn@h7&0woAwsw_fx?4Rw!V~8d=~<Ko>?TqUpO2SeTCE{I@mo z^|^qGniFy-zi(n3)D;2J4URZLH1r2BvC+bJAKQotI=kJnf7fCY4adcM3v&V=J4J`3 z@qLg*_f!!|R}P_c2S>oy`QKh@dO+yn_eW&6^V+m@fT-sosh?c1TgyMA2e}7yA>gvZ z={0(rT+u7i8hPsRwZ~h5vi#5-+|o2A|H!+w-i1Hm>M*ZR)^(?1a?7Ht2n&r#>>#Qt zOEyu-<H~Wes-dsaRZR13LlQW|ttx7e+M!i)$b~f=X73l<iz8aL_#Ct|W6K{=0(hT2 z<^d1EHFsC~J!aagOLg3znfLqb<(B3}7WGBlPiIy_9!<`qt}Tfw*iDD7jtiQPOCI;K zc-ZPsu?nM2iO&UIJ8-|Ok&q!eew&3v<2@n=OQSJFZgjji0R!8Ng8Qzsq|L&}ZgLSC zwuMfQqZQHcS<rO`A$pMA11rKKUb&HvZ8{*0b~496f~HTWUr*c5H^PK)-6-FQC1#!3 z);hV7v)ASa-K>wp*~$Lhg2T=gS#H`N9@g_4t#Jq?&6p9=^oa5DA0&^)IAm#S)M)uI zJ+c^4DJ@ij=XfVLB=d8c-$bjCt;n}xWYX}lgpUH1YxyZ1Z6&Cr;VYvy=Xrd9hjp&g z$KQ5nc`jLE)iWM1yY{5Cd-m+n7+kZ&>SvO)yY@N)Oaz)pA5MlAm01iZ>B%~f7q=tq zE-wvEZaG$nR8C}ouN7CMiqpa6z0+$%DyK5Qw+eo7aE#t#m&kv+mfS@1rv8PJ!0d{M z?ZuX$M_!GS7g%u1y-;jq26!_9R*2@Q%A(Z=QY)_7zf1=s_t>S@+@2*j2^uznaY1K| zlWAM~6ELe6@7g(&w|+i~m{S^Jm0&93Ok(`avc=T-$L7eJ2lwF@%hZ2tx-agII9`6n z{bO_Z?6Km4nXLRQ*^sh-+@=%z#SDf0d72e4y=+AJGpZO9Y>3EFOGj}#weZNzMMkGR z%D6URvA4j3nNLg!2bz~}l<32`myO+7nw@lbjPLmRfr=En&#UoeRm!B4aQKs=Uc-E? z(Xkmt8O2)6oCM#>eI*47$?~hzPm??Lw-3)N$f-IsJE4&JeSM2^X%P)Zpe`I{e4znv znldgZbtfaLLYWxCpTCLI7vBhTwAxB&A&7TkpqV;<FvCzvn~&xcZ}M|B@)4W=iRN=p zPSnf1JV-XmA>O2{Q;)g*YX}QA;H*_#OW52+5*_x}m#CaOpIGR~d01H8$rQmp9yGNh zx9^9rV9p{;G}DVuLC})IrD$p;h2Mcc=q8B9dYj=}5T!3RK3_om_WnDy9ODW)+4_K6 z!jPI2%ndQxj)9T+yIi!2aSlhu0-auKDQ~gT3Xa#oH#o*-Y)WbBgyoUb!S|`4r~vB> zzIRYxtt@gnB*9BU+km8u<Mrp8)AweMnjrQ$!K-bsU6X0^OIm^ypxz~Ez+!d@&yA{4 z70;exwh42BkB}PJXfU{sYDSP7%4z5}GzgE6>ORzagxX&|8xojrH5m7iyCEwyu+e7y z3SJmhV<VpZ)=WhK6diyfGXlvvHzR68uHjOSyW!BUL452KH(_lm9hxGWEW-(O8CldN z6x_o8lbXm~y8t%2?nS&HofFUchKZRq#{@@g#LXwy&G^x=$mxiLrZ%MxO|i{^4Pugz z8jcgz^@}G_1;=E>jj@NB@(-Qfy`I5gqbgm=1n*8sEip4bInc#J{0z|`tI~x@^Jtpj z^Vff(4F5*W!Ev!FEmhE)QkPJ2-}xl~f%)EpOQw;!*vtf{w^2)kt2q}r$8H|&t&)!f zfrE!X-ud2J<Tx3Etp<&el5sKQfb;$Y&YK&xr-x0JU*;=iBU=NnF;~f@_RJhjG=pK! z=nv#*5r~jJ5HXMUs=NDKE70pvSDgTcx1KiOhe#L}{Y~o&2pJQq@Aw5R0Czt#A&G?h zyk$g8s$VjlM-UmhZmFsif{WceA|}><nWjEr3W>VYN4*n^8o9f#$oc?bB3HVktZR7^ ztu%~?@jr=5pG103qN2Nd?kCZKJSa+g5|!1Bh_OG3!k$DrPomFYrc6-O1jvFa08~xv za*>(jX2rAO#bA}1D=Ko*%9b6lvWb0Nv;5G`A@-%B>Me!TiRD*O4ZYBJ-bCgs6(T{a zYeXKYm3STZ;=@y~-O;*axh`2PnSyRIl7EJt5`{**-xnNLM?3Qud^54miAOo~n>#y) zXfxQc`dOa|<BQa?Em=i?a}aa;gJ@Kbny0kMNceZ-c*^k^E2@Z$>-g?e(&wEVKi3c7 zBY<+E-bAv`&>m(_)slw^R^8CLws<ZZEx>AmDL*q$h(bp!_jSj>YK}s0VAeSC(k6a8 zW`fnWoT|OMz-oDA=F*CsgCjd1E<S_BR7^&K!D4B^#LO%<SS`6b75#Y^hge=kEG0Nk z!7x$6r+KQF@9}~2bR_Yh|65t>?cd5G7SutOU8d}AMKN9C1Y&Y%zbn%JR$Fa*s&;qB zTu#({O_?Po(Ao6=aCb-O@9muz9uJofdw{q5)!qWBTdpIS=dVk#X73xyyAs1j&uZXt zb<cdXqh~J7<|vKlqlcH*rx4mWV+ve4pks|9lzh#wlOO_eYj?L^XwIJIb+u|qd3I)a zWCM6`J!o9N2A^_0^7wf1rRCw_*Uo6k9i^?o(hI>Aq|O&NcFQLRVpp!JF91hZ;O_S1 z@S%Z$-N5@UBID!z9P9Gl>*??wqigNP(YxU3p~=Yvw#%ELhlimSm5GZR!_?|KpafD0 zJ~MQ;&peB=`KVqj2!8r!bNuBFX}Kz-ktDa*R;&d8zcRnp0dc(Agrr<vK>YEKA8TBL zhrJOXfyv@6K?=uk1T$(OryE2Y1E|t7UwclkJKkrCgm0V#tCGEsk6rS<s)++2ig!+s zLo{KD+SQ2?$Pr8Ja?Mur@_-{?aBO)O2itzNhDt&I@_?mTWDdXO_HtL>$@}7?W3yt_ zR)ePNZ<T<Cpbhn*nQ1r2L*5tq$4b^B2FJ<Pp0%rWQ*MsUyclsp6C}ZkDVAX!Im2b$ zVvVzq^A%QWkK8ZdE(3z#7dqD%5MGc%&b`>b=GKR#6rkeB_^cmL8!j#Z&3j^LRGsPc zmrh-0`ZkyLA+vEFj#m@lO*=sXx5jb7Dc44I*YEEMOR9ths>~G0*ueF-YaJ{7Y3Pv0 z$~e~ulO}-Suqko)Q7_3+-<r=!Y~isSaBFnGzb=Jb*ezULaRl|Ux7beE^CS(K3e@dX zrH_eJHi-4m6BNVVof8Aa7k187EjJ|%4?}x3kZ%~DAB?YRFl!=W-1Rm-RakzizqjSL zT_o%FxOHr_CTAsZQxl)6fLB(**`vN)BVxI~_nMP>z>C6A^KNqYxRMDK7yS~nx3IL> zc%%KmE04Bed%QXLj<zErPS6q%b~>6wV!97O^;L!2Lhf@n-#-FG-Wift-(Yrd1W~pe zZS6VLmoMlo3p6WqN4-p^cadKBKvg(MYD{K(XukFS`i83}HR%p>;*9IwlXz>xi_2ON zZdaE_pFN?<Sqqu-+tm{zr>s|Pf=Z$YZeWpzE@sfJk~FMK;QI+9>_=h!MC#+o&E8e8 znD^B+hxFsU8;~{-Q)1vve6;H$Y_PcSqPa<U5gVoj3373;P<WSg2egfdwbac4wJmoW zhbM>AbHVJ*L=in$XG>`>-Wvx&^vG~utb8*1cyW1n;sQCo+?<|U!8u!^EcsT4Y_|9T ze3!7e1aTb49#i|!;Nr;Z9-kO+I&tvMX0dSray_tT20(G${v_(k4#RJ^@tU+@u>J9! z%HkE=@y@aX+Gu}hW}TjGHR+5v+1oYf_UmF+PCrW;ZlB4^PZg<+x0vvDv&mP%hJhY) zs|(%_st+3b>k6Ka8?GbQIU%mX-o(qbUi^TY^GlmS+V)GU$?BN6cx3Eu59kP_wJ@K! zK#s*R0FWlK-D+VCIPr$iw7XS6^1dEZyZy+Ra;~8~*h2nZ0y(*=B3GP#1m9QQFC})K z*Vv{)ydVHM+x02C)Iji+*o(s@Z_j%>N7ok1zG_;^y#<#ll0tN7%B%_KHIw&SSu^)5 zTU#TL^KYFoTbVR-(fUq%7w@1HY6X;Bf>3MRfyHko*x`Gn(U|cRmnXwEI{n9ME0w;K zGgY2MeqrQmW*5O}5YLCLy~4t+k&;_TtO-H*5}IQp?tMVr&5@7bixubg`A64QvNR;& zcZb8DZ*I1MkyKI0gf3@|#^6B$2c&pyUYXNXaPTKNw>UlL<_JsI%*SPO-VO8EHS_md z07LT5Na_n3`%OW;sE^imm8lMu!}jZyHb!5zA?)`NQ{uz%!KP=|Yta=$L$50iHHl2> z-P>!IJvAaY>6010q7DmO5SjEpLCG2+C*U2itJ^e*>`{UF;R5u}G%c7Dg3NtwR#nZ- z!=!Gai01u;cqUAp_Q@qJhlU;Kx;;Q6^P}5hqgAFznrPODA&Fzd8^aAzT)eYMG$*$- zhT!^&tmem#k?F(?-qz%ZgF1w(nY3ld{f_FVsE^_c$`a-;FZ;s<Nu)+bgg<L*B<q&# zn<5$XKvG<lrZtMdZEaOmAy)}9=Oi3Qx$$5V!pP6wx#8!gVywOTjVZ>a`x|(}ap*t8 z*@oO=T=wE&!gc8(&&s?2in@`-@fYOrb%N;@xW6Nc?F3ntz8!{{II{e2q7A<a4&45- zO3`OSyL0cK3-{9XKoKM>k*Kw!4s|oG-3_v#(>0!c_{!CMvOliYt~dsQ!eeZh@9XP6 zyr>$2Uwq(0z%qQ0ae2fgX9nDa=ZW5X3eM+b1YGrmz3QIsc}vny+^g70wCExXGt;tv zd8$TFYQbVhNm%6@6n7gAt-l$NoP+xC24F|uP_n!_f^X*e#+|d!B6xkOmYX!Nt1+i< zwj&AgGc7+$+g2MI4}8S$j|+0ht-On4H6-az7zaD=>CQMYv~jC32mH}iTmIFG8$9bi z9zVeDeN37A8&cx{hCJC3z)dI27sI}}$<H52xNej?5Ck78lrLi5e)i0HOUcC`dskX| zD=$~jJo+peZ)-(6k0GvIwH-0eyXMZh-enGtM&v~v9(F&4#%(25J5SiBdWdD;6^%3S z(Ur~(UOJS+YKNvBp2d>4J^q>;n@!+IgXU{~JNAkncc5_qr2%yFJGxYFgHt_y+u|vB zOg^wtlLG1b$DdebZjR3uB96zS*Xppn30{~kJ2pI|mB`26<jHR{ypjt=NwX7Hck=vo zCl|G^dj!$KL%(HKr`6DzWI7EJ3@HMTH0Ub|ItE7qp3h7rWwsf7#zSt5h1lSAMI>S1 z{S?Cw-EAU!uQFzbXqYW$ceTjHs4lYhBb(7X(K+4XE?-R(Vt-Hb(qBdN!ILkgpf_}S z?(&mHG(IL{mI}EVn{M9jtL{O2@w(;tF&{3o2-tr3tq6o~WxsgR_3eK4`EY3gRtVov z*(Qn9y7h*99NM}_VEe&!{Az5q`W;!G{I`vlEeD1zVm?6{r+1W(=J!_+82xkps<dXJ z5Wh6p*8$h88`%v~6_BsJ_eqzSF*~y|Ir&y|OY}djNzZ8CzFGfrIbIz!;Gq3*A2(-c zKyJ1e$KH;*_Zewl99hb4jSjU<2P26CPBx1pAVtN4A;8P$sty;s_C3oNsuVnZq?K$V zNjV01LP-G%eWW{|-@?@Uu#LKDAymnDN^m>b%aTY8@VJsfOto0;C(Dw*5jz)=-%%EC zBel!7NyoJb;t~MMx5tx}liKVposh$Zou#lPBg5b6Odm(T^KECNFNQCkv)ZbMc${0c zj}sWLXH9P+zaca1q`y5o2_p|g4@mE+A;;sxl1wG&`jQl4|MmIMDt%~S$PJ0Gc9*i& zhVs;gGT(-BwRFtQ^zIpO{`^7qC++yLtY-F_jn{pq+C2(DQN}p}5%BJ{yzP0q`}LU^ z(MW_c%%9$z4DO3@qb^w-32)X8!LNYaH)>}IHWJEPl`p+pROgOKH|~!ZBnxWgvn*+H z+zLkf=j1FYYBH+Pi_xoW?LPhp^S72dAk$B>ewmb#sq7x3#p$d!<cz10_gX3C>AdTg zB|bO+{xf=orkWQolw!QgXy$8-!?@{VjKXN<V+_;V11UZZ7)Euf5A+Nrf72`bqGYU& z&w<rFcDQOxUv^l};D_VKbwzsi$vVE%mobEUG^GCaZGz^zbC!hwaEk|Nh=FPJs(~E4 z6iocNk^Ez4+Sb<gP;lt}ndhhzu-$rG?b=AWl+GwPz})96)A+5L%9(y5An{BI*Q%de z`3La`PX@gR2poYxJqXMU^JEMo<sv)Fa43hqiCm4g%&b+o@uZHWo5=QTj;xQiRDWS8 z_qvaVDUCfl*EA8Yh6?`=^)15dW;8Cs^QJW}!sDiNM8@m<2pAJvdllzd>kc_AO{|&U zA#B<4KHD6Ms_cMVy_=1@VF<B@$}1@g76>*$dBHA%$$gN5J?#u#{JOPjiQh7<dnq_K z1HT7n%n9MU3p}f{XgS+~2B%`V&a>~cyR22(@T^tOOu4?BmSwY2bB{;mT)ghn7b?av zT@Tfb?!qanlmfEc%71-8(Ge<+=KD`%^@T38U~G6{fGoX<Jb_}r`UbwXQ#W}J<<38; zms6u>WAaT+gjAp?62H>xvT`cR5veAIs?^nP<&raR%3;aLj5=Sq|Kfv(BacHG&od4; zEi>hrTxyuB_Q_qtk+{`Viedd8pF2AM{A5XI8uqD_>LNpi`HW<>XFGRL;FB(JX&D@f zj01;*tLcGI_KfLrz2@OgAfx2+_+Du|O+>8aaH$dE=5$jiWsI+kVrdc>0B;B1X%&Gt zO0uJhO~vZNkRJXTnJFEAJnfmd4wSa|ets&<Zsa2#bUREfjPb}4p4yl5JR~dvpcNm> zLp|4rBwOsIPbpNf&+nM$@J@k$xK{j8Jq!zv6w%Kiur8l)sEO-YXs7bzsox>WV`nQn zOHAT#!}|n~)4hzGx}g4hPX97e3p{5;0C9$wk@dTgTJVX`RPPWKu(NOb`U=E(p=kHz znEZbI3f4>~g~D+Ge&9*?#XLvP2<CZ#gXxnPG>Oh1<MbRhNWfU?tQYCSk{p^C&vOr| zp)sSu%@eZ6fyV;tlA60|v86^2*E_z(smsyB<FgL$02lO$GB*9oQibJb?)fN(1i`2b zx^HXuB@pazNAURYO}{0)7(1sn4$UsXBlbw86J1eEIraVE0&L6Kv_gu6qCNdYx{?I( zkt!$NB7Rv32@>7fv?#ra@A3ai(X^)-G?40xJb&Au^1gNk7iY$~xb&6Tt@MPp{q%~) zel~;oZ)fHR+9Y4q6SH+*UzhqlBTlk0JPUIDy{!|fEZHNW*cAc`^2VZcKgFkWtIA<~ zgrpr@Wy2xhZJzjErTL8WZa8h)Z!q2y1?IqMy3JT1lWA0_)-w7(2HvDQ*E{Zm!Ml|h z<!?B=GIyj_BhO=>v5X2W=(?oz)99u<a|N;gtN6Uv@S227#G*5E$MaF4!v6}EdFsUE zyfaGW@GdLRpt2C9PiT>%wDDD3c)_`pDBJ?262qW-;9s%J_1Rvp+Hzb|`t6+c%wPXv zNNf&m7_JxJ^T}r2M&^$kEY@(RMXglXB!K&u`RfNPcah%hv8SfN>merJSF$tLo)>En z7pv?QeR=Ah<1`a6DrBUAcOF10XEvQL9GwL7Go~)?%fFm_L!4+{|5p=#DE`}v#y?(i zK`%S%qqsIy32*aG<p?ZNtg{)IE6<s`*jA9L#Zguws`Hn}3oE(ii|{11cdCD=@_5lD z=`)oBMY{>-*`_Va2+_JZv9jgjs0Wd$KSsw3@frdse}DAFYF{D;uUg4?zg>j_^4py0 zmtf}$YZYqXGc8=dzt(f7tthZ)oipO^1oIEzH3iYk!{kdhddk7a8~mt(Hm_2zSH~Vz z3MRU=DxQGR#iKA_*+v07Cizn|TK7GVB=B`XI=6kGNOPYg+zxeJea%glsY0Cvc6c|( zDd!pL*Bz`53Y-wr60B)wUmm~WWRsjJ^1i(yArk6tn@07O_gc&@=(4r&(VyMEM4)i| ziL}ZEBP$ZYNQ0qRy=UPlwvp=y(Qoo&Ww{E&WkSl5Vl~|oVng3{VB37Q02~?}qjd9Q zq0M<UK&Kwx{uRdj-@-^e{|Uo9ESBaGTs-J0`ql4Kk;wEmpRFbX$^&0}w^*7Ce=(#7 zowi1uCBjsTJPgZ(zw5^_7Ylv^*cY6!b+=%$|FT#=Uk|-M3Fzn3t^PRP<h7xyi+$?m zu0;S*LzHx2oNoSaUb2<%A@n^B{qeDS#CBTTIV_VKE{H}YiwYl06gpq6pc~GMJFryk z%2jLYI`c?=UGU8KPb(FrCoWl8s<@Vm%oMZ>2A*Sf-dW3ED%i({WF5F`S#3lO7Gz-? z?;`+c_y%S<#?y8IZ=NL%J9wynY}Fn5R;(E}N8!_y$WkRuma=u3Jxi1DT9&5sw=xlP z<Df!U@d{!M6SlH>-OGGFus~w5CLG5EaZp`oVI>o`%vPOf{w1SX?3hn&mrbkMN{%+u zr>AS=moGZ-AYTPdxJ?J8UtTNFYL^Ec1P~D@zwd;PM#gIRxxyRj2D_2bA5xKM8A_Dj zx`Q>*p!Km6-m0L>M&{MZdza^bUL@I?9^XBxm|=$j7)z9EvIed9ow~fdFFnKG7ILk^ z{Dcn~DYJepqn}w-O6mw+vbU&zn*Y=J``wfkEZdk~U`?XEPu-Ik=jR6CE=yYRS3Z1h z)z%-PSV`a#6OF=NVg2r@DVbHn4w#1TTj`Ga5L&l>S25Fo8B$%ZIG@VW=@kl(6u(Bd z_Yj}|MkK0JEEFExLB8f2%wCq#imBRH=YK^PfkZ6+iL{%26-mHj|LgvSGo)5UH^Sof zTGU3tkf|8(JA+r0?e)gO#jSxQ(n2};Mn>socp4H)Pw$N_+d4BrlM>&Mi7~eSIyvs8 zY(Ph2C+>@&SalJ)KEByFk<3i@&xW1Yc9)%sTRDmwB1wI-o9`vm!{kxQDLWfG=|npe zm{R?|5g#d1yc%old@@m}KkmNcaP*o_yKHEn=mkLh$xjqZ{L()@t_%NMT?D=!?!Ux{ z0+68qCxiO=rX(+_ZXR~U7pXoAkKofz<Ky;bJ2cZ3kDJ%A@rM-epN0EWw9}=;v5f#l zd&2`oB4E;medQ<NH7o)eOO6|g6Y}E!ZC9%{`)qszxqZI_1E_XSh-HvcEq#;J=Z$sd z@Wvzle`|40_!IuNfG$n2)n=XUE`#*t{h~uqrFyOIOmz6O)d6nuXLTJuQTws&%xdhv z!%|JfoU%feTn8hyP_#4NM)Gz#g{))1%9LxP6pA;b+cMDzXOhaS4!HwpA9H*vR_V!m z%E1cneB~Y$?BDYa{$LThYWhHBoiusFPN{@A-i1Z07BwGh%&aD`eHYdn&WKo6U6BOY zZF9nUJI@V+-}ja}H=3O^y`-61IFqb%me1mG4RJ!ksVosmlh!pS9_1JMtrlK6O}|9Z z0WpEs+A>E7TQiz(=sHl0r}4RVOfcxZzs{V|0I+Bz6v9T205VTR>DY|*6A{LI8xHPG zl=XQBZHO%BKb-rkC$7E^XnzVq7JCZ9(&&pr8D%K#11)0n3lJ?TKog>n#(AcRzjV+J z&6ob2+*U(PL1ga60<X{bp<f7);tqy?R>#t%N%diL3%@UX8zIUqHGbtMp55nCUBQjd zaLmyS>PourxVzSkjn*jxs^9fn#l6xVUY5j;_{!B73p0$8v_n;&t^8L=H~W6$+sIa$ zGw(a*n{QCJzp!VpH#$2-8G~xnTmO(Rv3|%MDsaB98o-ND`~)Nc$zwrGEUGeZ1^wU1 ziClLbYoQ1fzRmRyu^Vv;GQ*Ji<6AAY*3{#MVX8BJasHvQ#bbK^NPx&trQ~8Sqf2VL zcaq}hkjd&5yWV?tl4`<_@7U`*O3NSIIFnS$Xo8zoZB#|1`B3zK#l{x1ysc)Twv*6a z1U%>Wm+VCWV#uVYfdakTl6Mo(^RP8^F2>!2v3GX3uqHaaGe$XdC!(ha7gOH78t8^n z1Kwzs`L%N$JXqyOK7xSd`gnFX=gQwQ|H3zBW2Iw(Vzsf(y=j3j>G%xsM<aRnQJ?vP z;XP$V%e7bpa56(kb_w`z1sZvGv_qEcFKn+??CyY_%b|B!vXmZpLoKB>k5iPv!jaij zRby_v#6rohb;B>UwZ5w>ZIA^@$+eF;k<1G0mRB&|2L`0Mw2$O=v-*8~(;m6SigJy) zOEoaoTvq<gn0brQ;sdg5YK28?%F7jY+It>c?<st<G2TXU^?$U+yr8z~j}~CmLBa_a zF&`5W{?cwJcU_lwTv5RJWm&luCrHHnRcp)9DcONAiy~95L%5$5^GdHH!N(^DFT>Lc z*E^5qZ|Z`QI$*^tD;2mIjh1ZB?)Pg%q*PlZ8lF@8TIgB6_)u|1eGebOHype(voQ7h z^)e?xU+76PE;PhDX3L_b4EXD~(f@6G)D~;~@NniaA&z&hxvPc=fdus1zlN{&L}c%( zVsr2`1Z7u}NdNd{`|CF$bwQig3|pZ4AG?Mdg0m$>tU2-hf>zmgRc-|2UfB;G7z@`W zo!T$;;+&Y5sJ+ay$$eE?M9<$rR-OY(X@nK^tx~O|iP2hzhJEzG8Qn=rw=|u{?=Wqs z=WY^EpYPm%(S3MUx$|=`+=8nx{Ytr*s3yr`{2TwuzE`Gvt0dm0naS1OV+V^)+R1)o zaT8|ArI=T5EK+nqpB-JnC&y5hgPxAZi#gzQ!A&S{n@vOV8L8SNMY+VA+4LBIua{k* zNS^vo1C{d_{5b`G&U+fBA$T2+&JYrpA(-D;1Ywz<BMa<tskPVWCCj`2^}c>Xxp*LH zzds4D#$sSg5&XC8#?ul!dGo?>_Vi}_`$$~1XLIGoS<dq`_KlA5*pawm&*uOBE)*#J zBKuIRB(;7-L`@o!H(BQE)_CUd)$&>Q$<tk<i1*sWiHyb1Hmxn5nSHQ$X7Ef3_k@Hv zF@&&hG(eBeU!9^Rp!2LIsb^ZO#a(qf-=yILAbapQAED|!qNH%=U4*nX;^Ij8S|q9_ zpP_%&|7;P$)g5_i=L`>Z#g9lYXanQ|{4E6m&t6ETGTD3hmD=B_I~;=F1*Dw$Aa*n1 zj`)Q!(+{td7AcB`If!v{_wjObsKr$O0uVYfA=ajrvu6ju4;6YAUwyyN{~1RuXUPu4 zIJ)0!Xt0|^t{U{$i9CWZ37g^Pe38eToCr=COTsP@3ds1cm*`(kyAH~#f!B&}n#)BA zs;%A*#8veaXg#;ONxak<f5~#I<3m!^=W66?c#!6K`G7fL=nY)J8X74S!1qjPN*@2S zt4Z2*Cb-@(bUDt%n&U$l0cmVq`tRA<-+E|o3cF--bQr61`KmEGrYy@|)lr2W^rO#J z+QAdmE{-s>L_4(dn*uTJ_VTleZb+XGHonZPc@6TuTwpCuRqc1ms7dPrKlmtGE4jAx z!yY<%O9WM^&UgeA5v$xT#owtOsJcO-%5xeaWj=yCfc2qR72?W+tksXx$DZIs`iG-{ z2jCX%0E6E*p8i)nr!r$Ac*F?d{vvYny7$ApEd5}R;mP1Qz%4Zf91P<e5zF0CdUst> zHaSA)XuueKT9TxOa-+3Hpt@&<H41cMsAzDkVZl-+8f8J<c195b(L`e2-9_wuml!ft zqMB`{XluU@^L6yS1_{=Ftk3$OigRFzzC7-?8nK!abtIL3T~XHQKBgx#v5c`B@W00C zaS%toHc0|-&U^Uijw3$S(y3PIGR+p@f8-10dsB?=8cfcMSjxBE%+J%d&eu}saChQl zpPESf85a;9w%-V!(<#qhQ$T9qub`wo^*J&tm(O4c-<dfH*|F}k-+9}`P5)RtBG`V! z6|BV76BOTGM#)c&;DF#hYmd8cz^rP7T6uc^0lO90<cJR{o^~=pLQ&@5QRVbAR_3Q> zg{K;G8XROnR4W>xuiyhm<`w8e;L-|J4yXn^@oRicpPeC$Bgk0%?VHue%P^Djx-{?$ zEdo+LoV3@@0d&1nso;ZoyHj>$Kd83I7gcB5QY@2@>2KO!ykg%nGk3s_FsOE8=Z=ty z_yh>nB*lDjJ1_6>NN}Hb`880Xw1vMoFi6AA?aYaylz=I#Z=Tp|O{TT!&P77-o(8>i znCpyRplGn;I}dBW8mUpr`}_~~Itg2$mO9_61X>H~s+ScBWdmPyPs%A9ZkGDw^?uEJ zxg<*HGjV1Nwe4W!=Hm3p6ph?jkXuApNR$@{3a=+4O(jpEAd`}vWI(*B4BXy64&W0N zL9p1J@b|owcJg$SOroHI<#|Ak7CRoPbjS_vg;MqkjU1u-9FC1>VPB#;5*7A9=6m&X z&?9bnAepB3RW`hUu&fG{*D!WZ`0#L)hV1|8>|zV)0uA`GPi7y$2dYQU!LfM6e;dvR z{kI_=DCU&fySiN`v&`X#w%-a_Xlf6C_PljRkPFI5Q1)p?vfzZ0X}}4k(n!g^9mXCB zR~d=Q$l7%b@HEqL3J2$sN&~Lr6O?=*@mxq&gdszDBnmq5mW(=$fiQ(8bu@SDX?Ee2 z^lt{B_2%~`98EXu!u9jw>Qr#q0osxeBRqxXTfNAMk7Ru;bKF1uX9OMma>Zm%ZPpXC zhWE(?B_`5n-1*B7^}cv`)ejlm*;MpKWgcvQND_7aWuD}`|3A(&n}(v<gQ7lGgpZod z?IS0CN}@B2p_wxy&lswn!R2}2OXA-fu5|drc>_s9NzSjQNiwF$JB7xp;K)iQhs=>O zet8Af8?xtZ1(zsX?QNVNAIENcHzlW%xp>(`Z+VyI<^{b?O3JRIEZX_}ZhC`W_Yl$g zliqLMI{E0NcPSWcJFo18U@v6hwcu4-Pt4&RW*NtnM^KhNbd<zRRJ8L?9)mfHj)%DK z!56D2%f39qjmw*{cPqixpLBk6qn*BN$((4%oIbBC%_Gd+Z^xW^IwQy(Y)9K8pMOSb z7{Gn^@lsh}9#*n*jl5#(Kt?-xNEbfjx;sfQq+NUQYuqa{{l{+Q8gajk<~1m$=4~^K z{9|SQtj#)wm8IISHbiyLmLW3r6;vA;V93#Vp~c>#7Bd?k#WE-8TTH}$#VfNLBC4OS z<g9tKlSj>`qcOA5Q7p59zA5(ou3Cq+{31D9K)n%d?(+Obyk3U-Z6WmrhPKOdOU&t& zrg7+r6Q`6%A&*A-P-;m1ZUEwG&hKYrYlQ6LX%g1~OBWFm9Y-jfXeBP-cJ!x%jKIxp zFbvvSoC-N7<B||>w|E$=FSI-POX#49rV|>p@!&`zv~ToO98?72&_UO?K}-lcb9o?y z1VZdlMjT{I5h)LszxFYEw1eWL(~oAlNl`sqOs~Lo4t#guG6$dzxL7ZpbUL6c@g{n( zNx%3Kt@dt-<RN*XG~8oXKn8G)EIqiVg5x_q%8_Xo-3c|M;W$JyMKTeun}^zwfa4KE zK=%oq+^Xe{r2GoK!3CR*2(4Ce21{$8O+?j;(e2~l4n6LVv^|TdcCshco#H!hgvJ<$ zPbC`SQ*GljYiVPEgv8~~nXa$mb4~>_dktM*4dvJPq``jDOab0L)db>^_+ai+=xjvn z(SFR)ZWts7KQM&vDI`(R3pRZ<F8`}=MH2L^CG=2?891Jv`zky!m?N1ri4vBkW${su z8XHxwYV<lka4MT1u}<Hj@>82CTP1zn=-`E97CxHyrwrbmKr=QXlAp%MxM*SW96XL) zqZV}jK$@|IQfNkkVvtNB@jb1SNi^D!J~xlv*m52*NsO7rW@g4%0fN}rXuPx(w>Em1 z7T<M#P<J-TY@L+_Z$ZXF{h>4c*y!*}sX}aYpI-YCZp$E-Vq(28GbrhBj}&?CO2?s5 zD;`)Xhxt9F#EcZ{AgwH7sHi0a^JugKeXdFppbyIDzFH{8*kUU*W0Da8YCVo30S?yb zJ&GI+5ml(6gXuWxfj)aBO4G7{5PkmWaE@eQBuY4qi8}Jaz0v`Xxs_b!0#rh{=5{|` z<fdF8qc=_Vi&rfRd31TU&UATF74m&M$By=W$i{G=_qeBgR16*bhQBhfLouf`Fo?e} zso~SX?STfe1z)|fK)Wb-)wgrq03GpT-RXl1s~|bR#w3^mMkW#O?LaxKIML;4nBVq! zz-V%~=J{}$yoHhD_E1gPVK_ooYnxAj>W@uUfYLQPDqDAg(M(4GB?RioRAFQy&biwD z4C3&{b|{Q7ukoSOW0O@<b|#mR)qIJPVftxb19Uz-4#&R|4A4`d@I%^|(v?(R!^qJf zg1P@om96=|L^4kzWKe{^0;Zw@Q<*-A%E3$~eLDd^ve$V6*Yof->GGh}pAl4AQENLe z`<kkw_C!xW$rlpdulp6O^H3%31p?906L3*!25Pa%2-1#iYU&P&RHwLT1<?~e7R5vg z3M#nL-k}n&9Z0Z-=%nQPEd6>_xqc|9z-HE&F)|4%W8GLHyza9U=OIL=qsv2nCN`GN zEQlVmS&#ubkXQ$I;Q<?G7*3amn+X=eqBC@0mN3OZ2W|Ms(U8E=<pDU-^h0*6U<^;9 zgxV83j`oMmA_m$2L5iD3Kao&!G*2XqIDOYsqz_N%QzVor&Oap4U$W^BiTA0^-bqP) zMaO}pl`-3Itv6n?{pl)cs5_8kia!Gm5qh1nCAD*Xcx0KSPe`dRKBHU|xcBY6dE18! zeirf)X|h&b!i}|CFg6(~rFk7Lg;>=9N>j*H)yK122ugj#V0+N*6efMV&I4{;(*ebY zwZc{10Y*H>>KLxKM-s*7mjjj%x0XND4^6aGs2kJQP;!4>hm%xL%=q9d19&0-8Y=s{ z|7b!P37mM2F&M>=_dlbuHK8N*FeJ^pt+1eCL)&nv(!Mf7sVhou59(mUq=WWg)?;45 zi(Ba;r6hbyhI(b+w*%Lw%CfDf^7rbu<*(yWCH!j71`n1Oerfunamg^5bq0)Vf&e-8 z%?`X*9}`s~YS3!*1a?%K(O=dWWI>V%;SZ_!4{7?BH2X_h{w2TsLt6YLt^ShvAc=g- z#Uu!wxf-nzva02P5~7s(P8~e(WVV&8<6$N6bi@Vf;t~QH;^1YEiPjL$9z*k{V|cpG z;0P)^>S+XJs{VKM>hB2W?+E+vXdLyAauhW@%Oo1*={)P7zY;OgxIRp5f`N>YAq~)6 z<oTnqOjJWrD6j5ieq2j8{aJ$bFqFi9rDhN4LCPN9T@OLg8`_fS1sZoBXVre^424?y zt@ob)VX(un!p(XwAL-=qX{meXNWAmi13t;gv1?(CYedama1DRU;%*PkFEtqvg-PXp z6SF3~vv^`c_y`?oehP`#VQ$~73cmFWay{SV<Yq&mC=0O>@rx%tVT^TPIz)>=nb!fN zNe|t8moAU4h9;?-MEAp#&w2_eRazb&kN2>lZ_ph`IDW0?wROI~0sL*#+7X#4G0^U9 zuK{lu7Hi1f&|E}~2S?*OdOVfDb!I6*%5$4rXU9U{;W+d&M$Q8<yLtlET8>=-t#*c6 z+p5qhOz`ek!ksqeRro?Ufl<FeXHx(^yqB0|+(f+-MN8Sv2p)B>7lq7?TPr&_H3WY! z$MGM;5JY6-L1gD2gop%0z6XKGbEm(W+Gilr90DTt{~$UnAhH=665IZPxelofg<vWQ z|JcH;NbW5OHcMdLD+g>pd{fpU8kQB6XyWIqN%GQ-Mv}jL30aF6*yCHBU)RQCIWL2b zx)l8xbWHU6aagI0yB#&aeFrrXYtD9pmGMwVl*J&WwcgSU$l$KDMjLKz^r49CI{LB( zn}2}!Zf#uDh<b65H@Mco863D@Y$;cfQ9>jvi;j-0Rx})HKypd@ODKV3zrc%ic--1z z6hhogj7Fn(O>UMaaMrAL12{!|%B8d8aD^%3o}y{qzopBL=P%DNzwF66MH^M+AZvva ze#mq?_Um$9f1mmX2qI*~bx40|WWPw8l_G8t8jBA7dMirYjy|rgEtl$3HkJ;Q@5q9s zPZxkrzEr&ThFKrZ2bNt&N7aDCcdx*XlX|xmm0;>i9ENIXw|X&ao8KIXxri%Rjz7np z{~sF{|FPlxKW#h#e{IB-y#;3u7Onq(UG#Gt{kry?7~k5tf)}vt8$jFx@7gxh%D=+c z%NjeF<@@I&<7=W-?6UYVCOU^y2E6(0Ajy%Upl91Utg71;QVq++xOkt;+6g6kjO!$p z(l3Edoi6;JY0Abb9~2rt7m8L=9;s=sp`-9)5wS%p4kB201keQ+ep|i?FONE6gpolp zmvun)!)7g_q^Srqqgk>z{c2R~n}Wq!@PG03-tk!f-~aeaB`bSGWQA-Yd+)vX&fa8i z&yv0O6|(o<JA`CKkr6^>*&&4RdtB%>zPI1|^G{vpoclSCan9rZIM?O6T~9JHb_Nr* zMe*fdZ+_q4BhywF611RsTk!Tp-|llBM0dfeB7fian;{Ber?E>n_mMY!pQxPudI=F> zrs2#}^dhZdd_I1YbF#~-#zNfe-M|0*_{O!*`(TBzN#Nsh>)L1PP8tr3qF2gTHC`X# z+s%me-rg@y{*qZOQjKe$A?nQGWgE?l{8g+juP_alSZgFc<?I&dCKA{u)LH4J5^$(3 z71-$3nRm5d^FvAz4f)pCgUFm*B!rz^C#-C8aK*^Q{M;00qZ8HC$?0#@U?Drt#qHrL zVseCEY3~v(-L#Sq7QLpPzL!wOW8Bvzz^AgG@-V$P-CB3JVW3l3O20w%@#DL<@3vJR z(ev%E!FV5*{`EGL{ATw}5tS`ZWWBnN(>a8gW=}jxX<<@Na4GKvGU-~`t3)EPJ>tj2 z8;=gDl0YkG3f~pJ9Spnu1eaQEn(|@)9S!=v^oIdC_i&0uDc$Mui)Av9!)?UPQ0zy3 zP~_A|489>?s&D9<f26-NPQdiQc<8Un9{k3!=^R>YS9?M%5#y~;Ffxz{vBAjXu+t~F z?02dp<gN$$_8ivs1eXnx)?21Z$(BWI-WOL^7o*gWeyf;I$<dE1q7oHamc?{$?lHYy z9je_3{XBsI?U@YLS40df9t2(O(Z#Yors1Gb+gk{RU;j!TM`^7YXk@s{yMz@9A@u4^ z(3JJk&wJ~Cmlu9?j!5FDlU9XY>PzgDjr+6n*WIVD&F#BzK!8ptNbwcYlF^&p<a!jZ zjse)wUEb{b*Aeng=#5H@zKgqDM~Iy*^_}v|#(i@gK~%JIkNRhj;``fI0c3bK3mk)Z z5vHt5#Fi9FZ!S_9rIXud7h^;<mJFW}y3ne%8JSJ=jnTY{Ev{cLEGen~!VYoHTOQ5j z^WAg*iD!*~X1x)*Y{*X}o~R}DG!&bXw(LH(5e6S+vop7XIGx9f2T#apOYUPDiSm&) z<M0lN(ZvB3>7~jYsA~9ts`PJF2~epD09DW5syU$A69lUHYZZh!kHbycoJ<aM$A4h+ zl0d~tIV5I{8*m+e-W#YU9sm{7AC<9|u8c*Is>n7!Hr{xwG(JwXTCudk9!TQ@O8h#B zd?SjHz=Q_rgPUp?l^vFwc9ji9;ei}C8SUd1<v7?vvuwMcA)`XJQsSQ}dpzv(!v3k( zyc3>cysLTzt2K^L7otj9e17N7hno`hOB8l->kso(`D33icx4s2^9M4io_VT=|Ere6 zS$@dugIfOfJZE|r3(Vk!3LR<Rn;-nj>U^YK1jYXJ^gTC;2s4nvZF(WGY0B9c@%&Ko z%bG(EQ>Vb@O9~&OQo1F<L3slrDq%h|E~0r=CsC3a<m`DSVEWCcUc!y4jOc59)1tZ% zauQWQ1^Ug)Ou;9Lm_!+H=MLtr>xoKb{dc0j)&4!v5;A{C7Jlmfo@s*8e`mT$_xDW2 zK2wf=;LrC2+q5ryd93qJo)`YJP1X^vLR*QH0asI}QVkN{RHs)>fF~yu`L{q}%g=AG zQlE~3<-hM=IOrJltJc!{`2!L-qAeqOVhyIgl=$DJd<DV&wUolN|1QPqze~xq{qIV| z6#l!Cod2#QhW%<K)_<0wQ}ExV^!<;e^pQ;GWFxCg<#;`bSiPq=_F1@E%BfjP?{Um! zZH?%gM#PJMtTz_E6;%I#(he@Gii28t4jVED1k^<^#L+-|wW+Wepn>&U;F9p(wjX&q zsxg&Q`-ULh+FoU4;lTgm?&;lt9UYKqusg$b4Yabi42W-wD{J#nc3pxZkpX>T!Q3ry z)nMTkxMay75cKUM0s2FtBqm-=yOHJzf^pKh)f;n#EZ)B)wD2Y(tA0vs-!(vw%PQ^u z3kB6SM4T|!rJOaKQiL=ZFzqw~_V9Q8jmR9~*sz7?ptgkrId~^^b7`@bO+4WTA8R3M zxPFcn_0|+2Dd1ux@t44zC;WzpU@+39`!)ppqk(_iwZ8!G<TTvh?AkwOxc(gY>kwV} z-=iuVVmzRi&X58;PgyKV73___CQFJEZWCyRz%=@!zobSw;teHJ9YOzm<>$l>rHG$D zHYz~D7+c4EscgMRDWVmEfP*)I5mqIQRxS}vc}v71_#uqi3hXp;MBPW-JX$O>3qbzg zEWu8D+u{=Q_v7g1ExZsnk4t_op=-ma+O4^1P`2Ktn-^l_Enz%_EElotzc!AA(!zTu zHmk~AI`9&OgTltnj}6-ffzh<{^50QXKPPAJz6q-Y3K)VtE(wq3M@sY^;v@-OL;~yl zdg*h)cQy@L5n~q_EO%@rwRNeEB07VmKaLwe19c%r$v7%&8<!&;o3aoFtFs0{yC}=+ z2<T96r4W(A+^lgQYcs`vvPkyEr~2?fL<K!godQR!E!>ql1{`b=Ha-agYtGlwj|qxV z9x|%p%38<SWbXK867;X|-2+LklEw(zj*oco_vSdpk>I3*-MQSH02^FR^j`%12qQXw zc1IYfRH~%QIcvvC$-Us)ADV|6N4Tz$$KXXpTY?>rs+&iFog`6mBSPJpY4rU!AFwoa ziLO-aWP<*)lPU@vS2sd(aO1`z!vU&zSGz@Ii)#$KZ;Oiw)3(AT;T{7!v{EuaIsROt zgoB`XH_iFM(y<|v>V1@}4U&8N?+sEdua=gf>U;k~95xH$B-`O)sr=79;SgneL10}p ztWID}pq-a8L8&1)-($!$%7_EDqfUBTE9_r2e+iI?1Np;+4cmcA36w~MPZ}Ad7z-8) zG%)Z$a}o{=rcvd{BF0hvDruK;i4wo|K~N=L;5@>Mj|GqT-^B+2*V`Lse_4BvC;zTJ zHK4hyJ)VA|I_d6aE%UhH8xgJr_0sH_J2sUBYYUU%D%$K_qm<1?44mQt6&N?Ez{QJW z95)O~A{>-N28`PQ9CCRFTyn@d?$!TD6MDSFQT}`cOUZLF-9-OyM_qn5GkZO<e+Aff zxZkyndo8lgJ!~}L=-}VJv&gZEE*bHPlu4H2x4~|67a2ij6MkY(u2pi+DQ+EuphrM( z&qHQ!^Ih6hja|7WyjDhoaQm(>!ERNk9?z#Gx%IhH$Ol>vYFd_{ujJ<_E+~yk4tVZA z6Roi$9!8kPpPKcX<h&LQcoF#s6vfM5m?XVaN6!<wZ*d@cf_l1--7)?(bh+`~sJOD$ zGdS^J@A{xeOZ!(+DBP+?^rXCmOaTZNF@P9dLt5bwiAI3oxP65&8sesGwxJ0@Ucd7L zT>E^K{vDmz{X1NFVObM@feW<$!6Fw4N!;&XvDDDfvRl1xE<rg<A7O*8xJ1xX9e14; z#+g99h*HIR#*M!s(mVHZ#3qqMer1mYb)`}yyUWxwr*+;kAOpY4rp-sw#av8a@ku_m zdLa&wqj;c!YWe$uFmlsw&@6&xvo%jQRRLGz-6fS`V*_W-G@5`&^vj7*ZpPa<aVp#* zB8GRdpy!|-ht};<k=Z!m7i4s3m0Zcn)MIQjyQ`HM!_+rwtttCHU#)ADd5ypdjNG-H zl2sHe|LkeKdWE#{o;+xEXuf@9Mt?|>WWbBLIodqIeB2sn>ot<S@q*lU&d3c=<zju) z`f$BF-M`n7Zo8%W3K0bijsrt=0;NUj1RfkQ%FGjIQKBz$$d3yeDio}W<N<s-`3yh@ zZ_N<4Mt|&<)W|s;Whr^aIl`C|E2xn_rc*$JyLO(zKM*J%N~5XW%av$nZBaf+AQzTA z_zs$4G_<<DM&Y}>3^<WWcViayCYaw3Q_gRKu2|}kpaEaFqfToTM})7QOLpzuPUx5G z7HzxvR@WQGJ@ofM!_v2wKGo;`O0GvMt?6r|zsoy_6{$2C4UZz<PdT5c|NXtl-%-dS zd{3RSYY{sC1hHb=i+UHN_yg%Wh@9NQa+K)o^G(K5sa=Iqq(x?<S&A)l9f5F1%`=?o zzy$@UvIb@F4GrVIeOE`51bv9<GZW^v;yqntj2gKH4wJ!9KSF^p-M6FsjQc}k1h^~s zI3#9);1=x_*A6#t&+jwNd5qY}`UnS}&PVqKeRJc)#I5lIOrKoVv$0U}@!^Az&{5$( zb=Z~2xUV3Cft_ED9Q`~js|W%mrJ=K`mqbD-TZ>I{^Qx+D*1qR@#3P<aK*fEV27Xkq z8!S>BZJk4Dqhy}mk%t#K<@;f_`ORf4mFc9fgfB14OPBoNsC*JbUxp>PSlJM|##Ngs zgZkOQo0^xd^SG2-s%v+H9xvn>=vr^7t{?~|+`Zo-2qAZErzsrT!2(#6oa9~a8U&!U z2;O-KE<t%v>b`}t7`UK3FVC+rOjEZN@8Lhi4X!iTqBuAiU>)$20gwCYU&YN(ZV>+O zqp=&L!1MpYq5p-CbMFs(thRz$^X>nweqx$ZH8YrI_n^2bEcIy@Ge>r!d;k232Qv$= zt6w6nJ4&P0eN@|E&n+Xj=&<b^xkn<CAqcp56VYLgFcRE!gK&0mTtV*v2xu!Fmg0)b zg8s|BAA4jfpZoGC!jxTcId4?S!G;}iiFj2-S|5w&)W~53C^d~vQ$t2MM`|NJRdO28 zcy^UVXud&7-G7gn2G}G^ut_m6CO2FY*i$!LOr8JZkmUutThr=mxEEt=g4<7S=xOzg z6CHddSb-boC1S=ySaPlEwwtQAab5igFPRnjQck@($VYDAx;=%DA>Fzxo?7gTIJFNL zLX1YZNta$L?~xY`xXKfV>g2>y3Touok@_C+f11u8xcNFBo_*x5+CO7F2I^b?8UrqK zU*;aR;|_Y2DmnH)>4a4Q1@wQ7#{<Sw0h_k}hIy#oz4-7iuDiSbXQSPfH*%{iBJ+)$ zJ5cRV5e+DAD+7w9?Rqp+A54dU=tT^toe**Eg60WU>R57@ZqNpS_F@p+s|B}kmK`qZ z5N9D}Rrf<8Bpk4b4)2V_DCIxt+os}3$97y&v~-|ts;z<A4HPzResC;7m#E-UZZAtN zf)N5wIt6ySb;W@K3RU0Y4{30HP>pi))LWDqi=6P|;f-UV##R;FBd}H=MGd#PwQ@(d za6!JTM$z)Q8}BFG!W5;Zc-Z|GQRKIn9TZrRU8$_e6a8nRRdjf+L4?BK*2_ZP09U*s z3T@x=4|&)VPe8J+p15Rs(i_WTspY|lYx~L|H?+cCsW#eq9A2~_H;FD*>A|0(2YAzw zCf4*#)9<yI+%?k}9K<l7xV0E!geGY`L@2kh?9cQW54A<~P8K+s%7JdYliG!Mu0~Pt z!hMXUUFZ_!HXs}zj}Zey2MV1$H)oq6&F+Ao@Iff>$c+^^7)Mj#OGF;H0anGXX`W6H z9UJ1AU9Xs=<VnNA=Ng0+dTPWLd_5k+)W65mwJKM;fy&0ij|(I8hPT6oK@+?nMG4&x zU{hYW?Arg=csO9Zn-b>f$<N(%ZOYZQa5Mg1p4y+~Dg1Xh0J|P;;cB@2zlX~KivM#s zR509ZZjEB;8{YD|3F}o{!Gu3aEBlkPnwo#-tZr1d@D`q#vnLI##0OsMiVWp$pkio3 zq+qr{0sYVX(Ic*$Y@MurJ8eCv-&ZIc=Cn6b@haFV8h&Jdk>V<M-j~IVUt_>pyXkS# zXR=dPGw)R*fbPg8RG!wd1GVd2)HJtrG;a+{xq)ZQ{+NGkdHar|61Jy+R|TmoqNx)7 z<5Sz=Ica4m!)!ydb7Lw4KT@Fh<9kIIUnA}0vGNb^2I5PU?WdI!4{PJ*Vbg%g+H@L1 zAJuH46lSe6*V`((7s!Sm+~b}wZWD~GoO~GUa!%*ERcXm5{)ph{`FCd~(v$L?o0XQW zLXQX<JU`oL5%lm%fnPj1bbG>RH&@le%oOfshz`BZ*!uiN`*X5!gn>5RhCZREz)ZyR z>Z*k<0v`tzyN${>P@jj+lSg(1RV&j)#VR~K-;4eF=x{kSqWX)&N7PPZ)o5%=?j@+< z=N2AP6v~gObI=sh%PMVU>;D^rXtREHvfX_!egF5+%D9P2dgFyVSs5N#1@y%)_uGkU ztNxdmix-3!z3=cYI?r|-eD^DNrgtx_-t82vXz%9W`Lr4)8aCu#z^rg8@-=oj7aaU9 zHd<`$nv->AR>WA5?w1D)w%>8)Doqj@PXARyUh9Dy5NV=_(3~bWNd8T+ktbG1?iOKg ztQz_D>JHo6W;I_7lZjA-x3thQUMSy?axk}+<}$g(7MXCQsN(acPju#?F8T5R<9g#Q zEr7IYsu3-IaaRYL@7J2!T0ldi4K(^UuP_a|Kr^8SG#{@u7KT6*Y=mLb5cU_=<>-2$ z=8?5Ckvy?+_a9K?#wI|*1&kT_y<+Z>fnektUc>I{saPRaCF=VePM<isJ8E0EY`)y4 zU_l{td8&Z-SUExkw=qM^-eZ5fiKr__lJ%je!cT1yn^sOabRSA8YdmMlkK%<r&l_wT z#;Vvw10*RP-cb0dM`G2QEQfyjfXeC;FbM~|9;=cQ4S=o?h5&I0LQr2Lklq1A*I1S5 zpAg0Xpec`jN^=e1f&==-s(h{hLqE+(th*cJ(S2yCZ19}v$I1lieunf7#1*OZwPjbl zUN1pde?2U}l3j7TXlR;kiLN4nYu3|yehqSHs;2s)@uXvNg>g2fdTS&q>DxA8K=rmF zv0RR2<ThG7D=H7-lG$CFF73$xBDoyX$P;Q36Feu>uKq*0z^aNPwq$WBLJnE(F4{%B zzyv=Sva!J@bH=dfN9(aq16AJAAwMK$#_noy7}UnOZ#;Hy0Xa8R4NK8omom`JKDipA z;_WJW*o7^LT}b}Qu38*<<AlLf#x+odJ@7#!rJ@M;lwKIt1c&Ck`0vQM%*axN60ZWD z))eDVlx-A6QjcIuZed103g<>#aOI*7(4LYdlFRkD{|vbo-~w6AHyP9KI~$Xj;yK~G zV{eEU4dfPoke^x}rdL7a@L92Xem))=QRGqA8j7QF;Fp@-o~WIliyu+vp|Z}YT$G-v z*L?5Mn5V}OvMleaMPk`{JK}gX+4aRr?5i-+h$Xv83)cCWc!ye&c=i!%r&n94Osjf& zqeIDJ&NL@X(X|GHJ-(K5Ei2B_!{U=YP9Wni9qnYe3^DpszPE7?yKmjy_hSOzZ62iH z!M#t|J5_;V+FnjQ8^}XtS%A4DOx$4d-lINGj}?h}C{<KWE0lyp>Yl_GV(SY_*>!}q z*ADVqaTQ2;L%OW+Y&L%J^d6vjuZ`pP4R`kbn8u^Sn=~)bIvd!zG_zXGkq27z>>0x{ z*jm`F257(IB_^D~kj)MD%^9JvXf~Uqs#ulxbc7FmSg_x<yn`pobd1KBDEFn>BgI1l z%1Ac_STz;zyCR7$Hc8gPC$Bb}#R)g=F@ross`vz&gM;45J>?koXo5oz<cTnM0(p?I z=T(4H%_NR-nQa}&6RV^>Hu%#kypl4hK_!`8R+26yBe^M_3+lUmQn|qDicU~Uy@d5* z+V5yr@ghH+B_W%cyTIf_a0ww9+DSX`Co-qd+j1I}VKeabSxogB!Xh~bvrp`!Ih^)+ zCfpn61>IZC9_wNBr+#nbo^WS^32b`EH0oo>q<(MV9(9ibvL`$NKyCx_7LdJU8jaxc z8z3vZ6xj5Z;WCEH-9Rn}vX4xo35E=m`u!bHpr;6I`pR&b!2$h1wg<AGOrtqmeh=g! zAp6U3Sps?O1NT@kQ>wrwB-3bxF_6j;o~(%N#hc6#-pn;|zN7HrcG-T*WA>N>yEoHi z66_g^yk0X=g|OLIUU8f8zshULg+1;^X)ILa#!1RAi$c@$)=Edll=3c)<#+oXLJ`$m zL#<!ZoHAh-G!G@;Ep7-wP<0Ko4y8Gz$IfY1NV;2m7>uBDrFjT6LkV|_IsevB!7=f7 zi`|3%U?||2SP&=hkA@VEi2-q5z%>v&G%*|$1p-~F;2J_WC=vt;xN<8v#mCN@8VU!2 zo+GHa28O*<eMdLXL!o6lh<D$ZvcS^rZVt$yq_|rHjYF|TlQCbHBNigUsMfP{b^)KZ zTQ8#nAEZIHozc%(bzDRH`*8eFq$bKZjQ@fN?i;gJh<kK7ao8#oK9!Jq8Obn``&55u z8t=CL2sX`<tSdc<R;@)4f|hGwe-q9bYVUZNx$ztPOSeh5oBkiSR=C^UKW>kJ8%^+) z8=K!9q=||oV_`5FZ%x_SfQLaJ_Efxt)Mp5Hssxc+-a?Q(ZQDZqB34N5F<C5!wfS2$ zeJRIcPwy#(NGOa(W#R=g#^O$SN;wHc==!vJ$`6$uoIVNUf#ya{0`rwNY?525k|Kp! zBwLPDwBI<noM{=-a64JC%;0BW#84{0$szYaNOg@0c(=+5KWQXeK2(I?I0YbQLWVQ~ zfV?~{Bc##*d6NntpZ|jlfg{D>K%&2ab8w(399aDiFaZvffdfhY24=_rU^g7N@HcQL zlZxDuOUaq`ff4jh6<l@M@+ksxjUm27azs6^0@-E_tVKz3I#pE!l0hoddVc#z>`gPe zXBvm&f3Bu+5bT}aK4iZzjC*Y{NTGv!M$kK5v0`%8MybOD6N{&5VUDgdSn4_Pk*K-H z5<;c$(~!iv^)TY#DBP_6pA$*Av4h`l(*=>lKOPBj%G=UqotS5c9}kB!H1U%342M}+ zhZa>Sg^Tp4xYE102HQbxs8U)}Z#6bAY&i0P{p73abHli@)%O%K>}NzF(^V3tmorFx zQDYI6ldmS85p5o2vK{5NlH|epBz-c!n190Z=7a@P>Mq`7|BM5_fVqnWV;I!V5WbDM z%}a>F+k|Du=WdGFk`|m*);a~~jxLw)ML}eMq6p7aLYVRdhZ)e(=B}ckflUGwNQ?_8 zu;+>bajz+m41SpgUQ*ya8pbOI#E=IJcy`5rvR4e4&;~;`HCO_dsbVy%O?*}4B}un3 z1Cma@B5Be;B&`QXdMNplq*?!vbR8h+X~s*Emb)hDS<^6KO-^mt?sLGY0ej*06=hl> zR+VKhZx4E#e>RyKJcqePtSGlN1V46~=g8Y~c-;mFtx*R<I@PM~G1z{l)6b{zcJ(B& z>?3y8+%xrhht>PkQw}`jmN^TfPaABTH%;f|YF3<uhs8hTFW61GPxV&7%w|@ey$X9L z*F9BnP!l7-(myeXz=Nwh1PiV;I5j8ZGmPJY-E^k8_XypxmEgVLVJns1Bcx^d$Hw=D z-R)ocZMw@e7-RIMSij{iHCabRz)yZ0&Vy||il^Hmx;(EvO1nBIeJX_IDoCC_8MzCU zfA@u9hl5{@=rllj(3ajA^kfD`%<we5`V+ONjz?`2^@y6J$87~7#Pk5?8U)<zGTRQ2 z9TmxP90In>3u+&JL3v+hXPW9dJMZB4)7DjXq_4B10ME`Kywzc~iMdV=Xm#GTxL+m* z8oPI$9Zq<5%CEA+be$c$|DWtknSm>`Ui<P2b-%tsTRL%`m)TWD=8v3W2?yqbt5!6H z%_rFb^O!9eKHU}S8GRiV%n?H!HuU6!(W)M{QFP-<BtSoq2uaE0L@dpAYjE9c6xS$r zwoGo;r&yYMOz76FnrIFYRaq+Ut+{cBl0h0;5gR47hiO+IzX}V6=V>Ki<^iK6p3A*I zdF*7uNoEh{LAT7=h3C2LD<{ezDDYtR5np&67(FcwTmh5oPO*CP_|=x~qb389E@wbt z3)g8R{K96;ll$`1Q;U17!zC|UM1_N>qf8XVQy&K<S704;ft)sTjh@FaGTqMGPh~x4 zkz~3J6AT4u9CLdnhQWMurs9jX*G8lte1k808P*mS>3870L1e-ZJP{jLiAZ=Fdf9W% zz<bVutDckmy65E72R-K&JP%z(9DiEQdeCy-W4dfP)&8`cW}xM~%mZ?+JM^dLYy&-~ zZN+8J8HIJ#bDEh_i)v45f>L=9sY^~`eAyKz%Yv>r`>HDzy6TEQ4J9I*m@}9&M$NhX zMS0bZCRW$Fy7vV+MXJ07zpQGINb{EB9^FtFxkEGjUNkqmiRvNoCiaCKw5V*rp+(`e z#53yNIM;U@Fa9wqsQ&Bz^alnW#^Z2qY|EV1MeZ36Z6HUpU&_5euGjfT{sgz{{UguA z?fU=78*sbfKk^aWZuA2K`V;Wx5&#(g4Y+Pm;WC&2zV*cAas0|XN=qqrGP^o-vc5Wd z(%pIxWn2Beo%^i!2ZzE3Gj4}1=3fhF{q672I;vXT*jsYFTcXwWY+kb7n%G|4tx+^U zU((S^Ei2xiXmMfLNSr7A0JTh*l{;K`>F`x<n5R2eF6n%DtdnU|d;U@X`Q#4ScJ#JU z>S+$~$Q<@;X1~uuSLILG!7S$F{Cs2nu#~JH+Y494$&HPAD5F8Fy;CYBM68CQo=e%t zY<(gO&#Qo;29;eA--`gFpJjB`(J!u;k4bg8xuTEvJ-5cP7dKUhH00rPC{f_>UOEoV zAdVeYeep;hznPYK4}37OT~INT)^c2USm6+C#}d5icsBm=H^a$ibQ|}ZZ<1n-b`M{- z_>Mn3q?oTpVt(H!P`vKDqo|awscST6*zV;r!+i0I({cdPaq0RUx8=2OB%9G*&bY58 z=BJP}u-`q-fT7_NECHDp;|j#1u2ZokG4&7V&uFSA&xGR_XU*MLn+hm<&mk*e-aMof zhq|83nv|Z9)I5<_#Mn4Xt15CvS5U)V!!~&bFKLDImpFaVgSYCO4;fsxxKwl;<P-`_ z^i!ti!Upua<v_%@IK^2RNNOq5H^K*|2@+_TTJ5RgMGS5iG(da(7pBBnDZjP4n>+mK zt+pMdPF$eVc>nDkHuf}%)~9d3%xkO;of(*~H+RVO*adGgFl3!myeD3upD@+f{e z6zgZc;sR-<a2<Ih6@NQt#;+x+sVwu|-l5-LJ+ELp_%few!YOu`l=J~anFHYpGEPs` zBd_8>|7W1%>dG?DZOE^+`Shdr5=+U}&(H1JY<vwjX9zNmWNQ+>#W=c#qP(i$bZa~` z{#CBWWqr!$Fj;hDgOcW+Rcb$2;72_*kfh;eJyf<GWzQWP?=jF?qgv=og;`2$N*qmZ zOAGC{)YQ>e4(3Yo-5MOEzw2e7BNjE8l%M43N2(cBYR_F#mi=a9V067z5R*Zn9bGDk zL*2tbN7rfcn=y4?<3dqQ@Mr~tT<PWsf7#eCP7;j?<w-YlYjcClkR-hM;M{?G;Vl)6 z<pmr2Rig_tPt|qABtUe0R}h`j7t8<}v|Vv^E9T?zqiNvHeI57d?(IOyl+hylBuNGO zDEh>E8YvS+cJ-1HHc|9=#2P8nMRwzo5+%R_gt;QS14)T>U?I^+SuC={my)25rcVUI zYLOj>l!Q$*J>Gqdl=UJz4JnBdU;)B*k)4~A#5%B$YNYHHL3T;NDTY^_)GcN2Stc$u z>VqVy`}8p_@?LLZ%yEL^268cyqznr9?Shbs`PP*^#>`u~HQi%NaxpZ3n%7POshDS7 z*=5W;wOi9UHar&tAE>$Q5Rr<x)|DN{%)Prc9b#>AF~%hg3OMb0ZWnW`E8C8l>vn6} z#LAiyK=e^M*`tq`BF2bnl`Y>7xCWQMr_~~|3aa*V(n>VJXVNydGm>HEI$kQ*By61y zAMv&8AHaWiIF7@)CF}@L5^Y_d^UWK&n$UZd_Y}RfG}jYjmW|!??7CIV(R)0!k~Mui zyk3?+a`;C+^3o35xy<aZZP-*SF3FwX#a58Rwp0GHw@0wRSnxf!K|SNmb=As8hbf=e zBlqy%wLc<%JdhYXg}TH^qNPpCF~$&SKx4rWIrCKCIQz5mC9ot44y;mkDAFQ4l?SOg zMm06krsW1$klpZH-=Jz1#zc~J{C9%5dP6GhU7zm~gkv0_nr4QTuhSYCZ=#`@hj<57 ze|W12c_f06K|x(&V0o4%rThb0gsok#@!wTEy29^W;ghcMURUq|INaQXfnR!dq5RRI zdD0q&-jqlQ2G}T;QhB$};TWneo?tdQ*V*a@s~n_PBWVbDF-ANGW~-SpSCvGZHx2#J zBs{Gd7z-}{OkN+{*!3BvQNUrBf4lfGd=89Y4zSSbkK}`>Zoy!}a&I*)5=$dnPiH1E z=POp*niyoO!&h&glv-`$pV8~4B~AHFOPOLF+k$T~uJ6wk7`wv`M~ZUzou&x#Cz9>& zSsYoBMbQr=B-^Xlm`?$72y{47Cs98y;Qi@*G@>>C3-hz2RvDy9A1=*z_Uj>=4(dYs z=jHUHOT=kB2uSk{B^|Qj2V3`<N<Op~n%C5!_oG<p`1x!^tk*jFr!a15I^WRMoELl` zelVqklEP?jb1Ao|tN1l2B=wKZJ$#sDeo&r*KW^Eo1I%&GHz0ubHU#!HU`J)Tv~v)Q zTl$!$Ffy%Ny7O8Ab5L^sXe!I4c?6jG?134>1wNWRFV@O8M?S1IO8a+-F^eghm_3HY z(_+(+#iTv#<)mS4ztQhd>g5f+Tvy@$v>x|)aDIinM&ged0`_vO|Jqq7{;>nI0{(x^ zUjH?35&hTP{kOTa-9|6So)6N#P~M>B3&Q`7r+J*-6|8=7T(;edHsLZ`PIe2jGoAg} zvA?9tL#x01`+Hb$TNizY7V^5*>~E1bS^jv#4d=qbn#Z%E${p*6m^W@8<UVaUK*FgR z*tr{UqJjC0{E4y<s-<>^p4YtpM+f9iJxEJXJvD1VEgwbuMB92>`ByO?`b6o$IHtg7 z3yhTJZ=@JDXk5N6+!#SIcY6^GAk4=|cw;Zcek3}PppvnpBmHU?Oul)?<~@byG#o?R zD>80`d?Wg)ut*fot%-4`zWR!1FG@aP-6C0d7I4o6S-xy3*46|8N#g?rGItjOBF8G$ zXPsV($>AFqCEH?HPXlkFf?$stqQxqz5lPhjLdm}(Uyk_1>3)cQ1cb&-PA1}V8Qo&i zsgI-e+08xNt2s5j8$m60mOv3Ql1UI2DVKR7qf<e*fW&5vLtDgwLQ7wJS4b46#ZN{= zSpLTCdKv_C^FvW&^5<0K3O5je7-W8!14Qv_G2i^~1`7Fevum-({Llo5P~f$^Vt&{K z<h*MU(c+L2mHheJYmwUGFaU_laFK&%#4k`YzV955=~@E@<FGjFbwEI&Lb{q<5o@hb zA#uF0O)TPb6J3{QsO+aN+9;6n5OpwU3pkL9SZak(NSuXbW1*F2aQiyjX>K>L-@LXn z)e6}HJALexd4TP-yC0YluFVV^&IVz4<bw!3b*q1Am>>3LieX4!RFf#nn_|p{<Wq-i zevk_xi9Ipp$$B|Kl9luR{Fz%Hg0)cc&2Z~J<MSUfAM!gUO=w9I^&qCzC;4U>9m>v) z?JdX0XKlI9{3HZbbm;C_qO$7~r+j0H@=VIzS5%Mgn$je#2!4+rLD4-O=SItNUxK}Y z-!r;tz=iBV_duKuZ6yg@^E9VoPyYdL8OhX(y#bZSO9MA|*62UfX8;JrA4nR2)bf;( z=seqlt}qZB0|S`vEZ1QY0Y(g9`hQ=C!GuF%0i@~*LK4=r*@LV!_)LG0L24wDL5h;u zXV+MpN7Y~@Dc8y(X)<a>*yu#nhc8b<^G%SeN(dwPi86snHi4~%pqghdaPuzOAE>lJ z%E9&r$6d-)rDHDU2u!7S*Q?3}jYz`Hl2R)e0a=MsG>m^k6OSuXolHWkD>E#5+i>C= zM;otU(^~Tke32yovt}j>o<xnTpBBN#8t-g^nf3ji9BIwsUGO(dO%^;;J-_xRc>VNl zhC0H7>p?blr62A_QR?JRFrZoKv?)hJ@X=Mk=sxh#VN7onw-ZYQ09)<B*(&=pgNBcE z8tZtjXTkV`>Ow2TlPN%R>TsHid7F`Q|GQ;G9g&(qxTUTDj!$@URwmP^h5P|+hV_B; zCf#&^f5X3>(f{i#0C$G|@g~UruXo@dZ;NrQ?{Y@#dn|rV*aoA5imP%)HgN8;Gj-Er zrJo#_I>N|L7A7Z8sq`y$YlN_{u!IrpQEpMjA1}gmkC2gX+_*uT#q?ZQn$74;b%XS# zh_LWqh>xkh9?}P}Kv417R`@_j#LOl>zrh#7%C6psnHymhx6nU7sl1C}9l`0_RhL;x zSNN>rcKi)gYk3OKfx1)S2)Z{rRtvOmV&CBfJXB~st$r0y(v5X15Kmd!6!p2A8=f=x zt-q}G$F~qD5b;J|ias&EAEb|lMq9*-AtEe|5cIeJOIt^ZVsZewfm$*whJ~dnOc6zQ zD|tetufFbCK*>$)Ke6iJv6!-+eYq)f<)#nZ7H^>TiNszGw$LKUkTx*>;I|?{{7qEr zq3i&XfrAIXC9fm|)V|H0=-3wyWU5$LPR}UHd4y3?+BOlm$vdT-g@FhOW2dzJb%UF{ z|4J4nN@>Fb;>fi~k<vyB#HnkME~Skhhzr*uYf76k5ZA6no|HB-AnwA&D4sqRw>9p~ zT{#0;?yT4e68gSueWi7GZbSqMB)rkon_~&5r=l?E#vR%m4#Xmwqa!zFL_B42TU2Ga z?VUk=RNAc23B0WMFY{W>c*?@q<#fXLn>$#X>9U?t>Z(1XcZQ6XRD}^F>I(kiFf*v2 zh?`rw@(2JPO>mDWx;~btYqXm?XxG8$rL*+~DD!(>5`--Y>u!$5xD>xkb@n1~Q+M zz2sX;*Q~Sk`4g<sia~0@jsa7P`|#%q)4(X4NnRB8WwgJR(BTQU(D(YvdjvOJ(MMr( zA&aAmW^@jb7HQL<fS*@HjWga*)7~4E?X^L@M8F5{l8~64m5k<c%C1-{8jJ`&N~VQU zomQmZnzL}z{)x<k<1{6AC;Td&Q_@9EyEg>Q6ijcuG(0msihuXlrer;;=h26}Uw&*y z5$hqG+uvHSH3>hWap&=IlkPtIsqu8_&TW<%(`5EdsvlX0c_-k_b3Y!zJP9f0lm?3< z>8JJdJEWSKs&ABRUdlt(2O2frUN=)Tck+Hzog||At9F{nmR2T=5B86e4JGgV{89Bg z5zpTlwU&+vN@f&tULsE15#I$$Qh(>$aAgKiegn!xxRN${_QXYBHt(d?>>!SBKFhl> zI%K>s&}p-}vhti?$8U33sIb%n)l1t?mZW#v*AF^~FgvIw&a9j(UN%0Udrne%eg}qA z?4MF5=sv$cj#drB>#y$3Xg{f&j+i}iJ=h|BC34U|%f(t=TG6gp+Scc1<iU=v))wp2 zFTkbJ=@M=mmYA9B6GuFs<(qvJuV-(<<u7t<-FCNmAAR7iH%oIbjr&&Vfnqwqz^4aM z9L4+Cns`eY`RQ);Z2$K1R0gEGMSV0TUP{*d)AXK<5@R`*DJELROXbl1Gp=gO75MxF zf$jrpyLUEL!l{EvjI7^*br7MC=8ti-pqF19aa;Ee#tZRHHg!ZAC8lRNyVny?S@+65 zYGrDMR-a~6w9lHe7YR0Z(74Y-rTB~R0geQW+Q0yQ9MvwBm4Zw_;b(oI@H&Kr)8?YO zl)Eru?vA#{r2M|tUaJK2@1Hx<3(Tk0xMUyi5yk3RpPG{!R1~}LrEYw-zBi<Cr*F6( zcawQCQJrkaP2M$TmHxKbx9MVA7<kZV%qlNUoRkax%^K&n(}j~$$j76NWqPCStZ+Nr zG34i@@2SUL32gnV!<obWJA?2}bUxnYg{l$OMdL9t8XDo1SOd2>R+EMuO!iOw`z^VN zbG?|f?v~_kEOM{>hO^>$uh1rJ?}Z<H5FV~)bsjY_rL@diUi<mX#N)QA5^b1EsD+t^ z4u%)E9iK&>BGgawO?-(WH6hu>UVK=%pZy4-XZucGMk|h`-nSEjefKlEzepc!&=3;i z@F1F|M7;|5ZoIk_Ld5eau2z%(v?(2XrZ#!AJMz`@TJ7`)b~%>l0^@30Qi0$4j4Amg zVx&83H@02*6VlVMGqM^<J95<QC}BT88%rwc{Z!BWG(iT@cv#zbpo)KzaYAizSMflN zFVBfns|p<tQxthU%=)UD{l4)7T~$<01NLImTzjemH^~D+QXC0fBDOv9L^0Cv2n$Z< z+a?croo|cl-AR8wf8p>WnCAP|P{`2Mnd3vp$x20~$(ZwVGr!&MGg2EJ0?oe;=Vza9 zb2$<l3GD9}L)_aVJw0+mO_lBEHO*!_+m4m<9RkOjyFIxOU;6l9kL3t<y~A50Ljl8! z<K4-P!Wb6AElK}_?`x&Kxw-9L$IBl!U@;xKLOwsi^FgzASavwIHpm3$9JjZnde6_+ zYC9@31-%YE&&Cr9V?0PoR(v%bKUkh#OoQi{j<3$PLJ6(&+uPvVHuHAd74z*zn3!Yw z{Dre=+t6th&+-0}WsH&FaSi7FG0S9HOn>LL!q!*Im=3>_<?+Uu_cO~59UZTyU)e=i zv(zMDdTf+>2vt<VpMC*8$Z6?l_k#A8r}jHG-?{H7Xc$bEPA&Sru{qN6$VzK1Eot_~ zaH;wLu{b)iKr@0qUwZ2NPpr;-&sv%(-7kJQte)@>v0JSkKEZT3v3OiarsOf%-%I8& zXK#4ndjwU?|Au95K&?nKL%l}|HJQy9Y~J{1qk=N8Yb22@G>`4HS86YwYiM@69RG;9 zNYM~{H5dZ<E^0Jrt?a`pGyG27&Q?y&5HfB-Ti6}GCuD`&&pu%Ky|E18{|Y;pR0!hg zzR7vFr#<G^<`b#k`}?277QnyjPeV#DJ&JVpx%+)>9)*-(_{V64wD)=-@qZMUyZ<Bh z=+|~=NK0>N_w3TPGEz(LY&M2#Osm-aMbe8O-e-s1BHQ-a5&ETTSD&b^#{ahaRSCKG zH;g=LXAU<kk)n!hV5%EBt!VgiFTB$s#`9&j-|N>MCksPEGdJ&V4;{=L9Gr@M`8pei z;RJa|rQTm|*ofv3>Z^Xsw2k4nzkg=nvi!jvf~FfijC!X|{M-+FxKB;f$riO2$J%Cp z*R{8HyuLVgIFobvlBVZ@(HSwXVL1y;*>!|-?d<X9)Ou~X6W#wxF+tiZP>A`oto4)H zk@51u%6Kc8i&B53?cH{J22RKis^t^uFyvAjtc03cj@Qs8OJ4gP^^CWsm6CN7oj+YC zD^_`#TNqlo;_lMeeB^Mm)OotkpXaR8u>EABozf}OKIV9LZzydw;NW8R%rzO>z-}Ij z^Qu?!^zga~kuc)wcN`x;LnSmQUM72=2*rtCb=%jm+_Mro8_Rg-Z@#oVGXG^-avQ;) zq)er5Ekkt;BWLU3Y)Ym>Th8cx@foESm$>bCSr06Gp|y-K*SqR1FRV^wj`Z_<6!ZB) z8!}z*QqHo|&x+KY<+b9i4HvsYNF#>{+p>9lxmWJvPugwv%Zq(O77i1uUVgT5Hkmnn zuNhWczFN>=+$2EFNYfae_9ghzI!Eu|O&mO<)@2e<+Jxdj=%9oWL07R@&~ycC4o_RY z0<O|Kq+S7YN}Iv}PzYtxq@c7Z#eoolJEc@CTC`j`S+s<wtz9{-!IAb#$KheoY2SiJ z4ihV1ervM6Qdvi*tz4;Anq;n2GSO*ESE{8ZI-n9d9?nb2-McS2qt@;mytFradW#De zmxQYWJy&O?^^{>`$6>UI>=0_5?dT{ybwAn>I&k3fSb*tEeK7Lhx8FuEt4(X&l&hfB zXb`1}yW8g1u$MY-FxH{p-s+#=!!($RigUJ+Td2e=X?x@t{#Et^-FJ7F<JHDZ4*3`1 zhJyaCyP1dhQT)k*EjwOw0!h=*xeIo*C-d2mA2S_SyD#H?Uvx#-))*xBw8gH_@cAcm zzJnXDm}iP7=Ut~1+kO`ey04FO88oP|w6E2q`)?_vRH{!cyEg0`+npqFnYSmfyr$bv z_nlQp*;yWR81Q{)<fgXDcbt>PHs3$_CGl9XeYs%J;{+c-Ywq_CIU=F~kU3dc+C1vS z3^2ESDUkPAALoS3Thv$@=su-;mn)=fkIC5&xE2~(s}=Aah^8^m_D@bFt_^ra$$89w znqDroD|YpOdRnK3jEyi`rnWPGee%dOV@cy>K%D$o>S%Nh7G`Je!e!NopC_w1?N2{- zLj$}BrQBX+{3sq~w$j$zc8bwT(6p2PMy&D{sVBvc188e?yL)?N>U_V||Ncj#+mXqB zWgir`F(!rhE`ILqmVRsAU8zlI?LPQ0tX{K+Gi^xKd7re6(@f@s|HwC@1H)mrO|bgh z^)uh^Jx$-mhO;A~yQg8Tzefh&u0GoNYmZatmrV!TY%%OKX-%<ht`e*n5x(TgUkqT$ z{YEL!_L%KCe9b?#3i!@SIye{lVQ2Lyaz`H&>=8H4rvuHAo~3<3B{;7~QEK0-RPfx( zhwQr&D$i_jGkkZA(ta$LUQ7o*G&<L!;Xga+;542pT(D>fK>rIU=Ml0LJlC22n)WT6 zyO}lQv956W5)uB={_-VK76e{L{RQ$jkCHW{HT+b+-6!)a%{*G{dygZnocXiPi?{Zt zJCOfk!(h%budFZq7~eBHcNdsnzee7G`?}JXf|{hs-`hDD6JJdxYs!krM+7Q6IgV=d z17~(}7IR@C2EnZdLQXU1E_T?=y2sUmFpPH8BerU<_Yo|aa!5u{AiVPK!7C5;6<&Ea z3PI%|a)ZjN>;RSLeXIbgwA2w4sgbYRDuX#EPx_XRV4D9Lyz&?v;g#nJue=s`<-um* zmA78--eXS!UZj=HYkTSb{h)X^rJVQmI>Xc0jHaX)R~rKmfmyAxkq!cBXogo_>1E~F z!7EQU4W6;-M3Ax30y&SpAWriZXTzn@*`qqnV>Uhd*;%7q_lAq+>ch;7-(R#dB|0S% zf6*r2H9D+mwk`UKBTL1=lD#E?Q>G(PiLMhb_(7mjCrD1y$KVCKQPnhf<j=Um{_4?@ zM{D2E52*EY@JK5yq2uw<82pNFOxsav?|40<Uk?59>}a;lxTBTkvGb+Wqhof~(O<V? zs>vu-A9KD|(Ehxa?C18$!Pd%_qr;cpv>7r;NOO4R;J=;96ThoY1#7NiRw;aE?(Em% zNJskc`U<6>$y3bbNbq=?zG-`H?TfAcp2myzZh_KIOJ5#vLtj3J8KhTOByrjN`1Wmw z>+C}*bo^6Dv7@ZRP{^gD{{(WZn0K7J6aC3>WZNuo$FJ$e7wBxT7&iO8Xd%rR*QY|d z%&(HS`rFCd_KFU>_2yw&yHi6Ale41uw;2pLw)3Tmo6XGCLPA2&kaRJm<<7Qs>SkRh zl$jx)>j$HZl1-C5omuaHJnca@T2ycSexj0n)M`FoI@ha%Ch8lDk-+JMf!Y>k?ZcYg z`B&*<PqqCW>KCVI=h9MAxJsz1f7YtJ)=Gc5KJ^)W(1$bps02q%h}ffh^n>KCp_@sG zrD^(s-IsO-KBARcXarqah`4b_H80znvjt(za_P_@{IU86NiOm;fRT#}UZ2vo&4}6? znv%iiC!W{uuxFHA^d@>u_Yk%<qrpSPoZOkV=hD}q-g5Lo)w0(|&!2SbFAbXg*YYQg zE0&`e%4W`>H301ZeO!pg%IZj)5$v6p=Dn>}JnL7Mg(Hw>^~^!*P};A@0=`;`_gar^ zN2Lq1czn0B4N`Yfb1S%ZCduY{5|HQ95~4}+6&U$S0}}C$zkD&!h`Q+TJ~I7HdN>bD z7<wvh!A53SIqxqmpm5u9K*sd+@C(WI=p*-?{(&sW!{P>}-|ImOWk!?>Kc28VQdSAg zvtR7DbCv3$ujM6)Eh6h>Cx(`anrC`$I`uEcbPn#Xyed0sT(7Y~vaa?1HSi{~jC8JK zpoBPYm_Bp78=#V^ynn6NyQ*UDj4h8vjT9sftu3UNB+AmR%==Ow8IF|0pl?ZEJSC0{ z&h53FC-G-pkB{{GnF?E$1P$km&VH<}+N)xOajimB%jo^@zZr`hGfZ~)fCjY8Y5HNN zAD4^K48P|P6T5r!xgoBNUyI<e-H)A}>7X^~@yv_5<F$j;2|3GELB>?Ii@qnBZ@-c~ zZ0$*pcxdETe}1?-2=9UYT=pGYrsTgJH3~UOPWEK{USlyT&-CAKJlOAOBZ)jd-<wSr zqJ=_KscAK+6Tdh^tklr>1~=V!fU1FLu?ek4=3n_KS&tdAT?dr+=6zlzt_F<0ex}++ zNz3sfCUZ0;%T-g<x?fq>|3>04V*WmkEL!mBB5qb4Rw4liCs<=5+dah9D{D<h%Oppe z&YCzZh#<=#Vyz+Ce?}?8nt%LIxHx{X6{1&}=g4i}P!jb++G`buCL_-)gb>dRWDpFK zXn&-td_5@4dc#21S&D;h$bRqjIo@MWY6(w`oGu2*Ag#;*)XKa&m9nm$J>P$<t9@KZ z!duh`!>J^sHuOW4eI2j*nto&vKewk;NhCr`HByV(=C#R~=K)>OuI#{WiFk}wBq>xW zh$vtvCtwKsz8gNWq>(`i9sJy#4<<YCJSab+i!3wAFRG9eck2nYxez1rVbY{uY7r;W zie#(UORSctR-K!m-fJ*fwoiKK$P}J*ubz3NC{lb#t%x9BHbn>4CogHf8YUm1ny*?W zmq_^lZ{IaXqF8lvoZ2L2#M?{tLk^@~Jh_h3_O7p9zW#8p-v}v_4DZv}*Qf{U4@$Is zqbpNKyrWe=j8l6@$GN1~Z1?dD=gp-heHW12mZ=XpCs8t@Z_)`1FunC^%<=n&-Jkh1 zY53M`lzzp1A2XNM<dQfTRCFTy;_soIp?recF&eQ1SY|}W?(8>Uaa?FRQaG<cc#ah7 zNT*b{F9-#rY1m=WqdzR%66=m^^sLr~rZENmWxFi{a`XJC>VlF5aZfsXm8^e#cS@{N z%4qmFDy1a!>lYn*dUkFeM{vc*MJDmHuS<oGA16h}PpE-ES)~s6|JYT#ccLOCV=FZy zDtBgei7zk9&2y`<&(7CuAcK5=Ck8WrRvAtG+VD~J?icuoVlf-E)=Un1R>i$rNMu6P zj%ab+d2^y;$pb|@x$~l9&Zx^CVdu<1gL!_7TLz)x(nkB^(iV@S-xgHFlr-{Hsw1dq zg-W8y8!e8Z-V;ql=oju+Dsv#J=+WnP$M~bE49cKFP6q@z4eF9%WM>39$q9*z>rCSI z#gPO;Crsi@59!{G>xE9M5^?zd)C<*BRE;ie#H!C{^Zxz45sOE0j)1aP=4!B|kSWnI z0tShC5Iv3MK?!Cpn1d$0DG1JKBuPYO0R}BgY=j4Ik9;lg9*n77tO9~V`t5($OYxlO z@~FAGMMhs8tp>fs0Rbh9`R|e`Zp3PKB`SwKJYbYf@>XaI>dPO57mfQ{JtJLEBEZf= z5cz6Q-9>76WJYwEyW~>wCBX*sj3=XA{V5om8By|$%vmtJY;4%z;crkw{Y5|X2UI~R z&^@A*vtj&MDBt1!V)i_@lOQJqeC^KCp2C~(uqx>L!h9-|_>8M;0~Y$9aw&KO(~~_L zYRS)Q(((u*_)^vl%A**fv7@6SMQIg9%RsqO`~->&exM)~xKwxq>&c>;HZj$ip^ZRK zL4~X<tR%;GscoY&JxaPn9OVK8UkgLsn-~mG0r_(S4s>*{HY+~fVBjMG72|#Pb$;HX zP7qT6$7KM4Z4h7)1o+Ve53pv8HbRhKfi_a1F6l?MPmpuJP}m>#eJ7qtyt^~=uZMJR zIP^l@^Vv{;LoXY#wzy?bDyBs17(mhVz7DeLl?i`3M37@&Osy9h{6YvpQ8n1M0c%Uv z-1Qf$BgM2+yr_O{kd+pF?%NnIw2^2~G+=95Rf(AV--D>H@(3sGD5jGJigr!sMC+EQ zR+_FR5(A$IQ(*{`xSrybgFyQc1Uv!7OaPCS!O-<K5Fei*sTz1NgSy%A1541RbA-?K zm~GE}2mIe78&v`(I|Pd>@<JWYQKw@E)`m4UdUho<-9-AN9N^1oAW6HrCJuP#^Cu9w zV9=_Kzt~cxWX$$5iA2rG#S_sk8-vlg(3lHQ>HVpkU9fS<xgbI^;)A2SX)!3ekOlu0 zJmSAg2fjI|iB!3xFnT$#6KOXd)a1Prg}Q#uo<C>~`tCJFK-rjL<9WBaO?^78FJJ9- zP!ZW?0^x7dU)-th^?7Bx)5cXs=Sl$sa6z`0l#q!M9e)`2kwEuE{yRM33%R{2qk>-3 zqH<&cmz?4n3^;|bMKq!j>i~ZJd{pO^)m54z(6ymIg_F{Esr%IB_wCV`4stK?bu|Fr z4>(A7>XL(6o&XLC032lS^m0Ifirl>VCi`qCpR|z-RMcxGag2m3YG}Wt2D;dJ>XX(# zw1Id{8*i>?L-y$vYrME(4ZH<#xGKgj`A_LtLLyuQB!Y2GB5%Pt2P8s$O(Nk}B%%u^ zk$MP}i<TpWjhy+|uMzM+j||ThoqgX0bhgaxr58%_@M^G_D;B{UzhaRh!7CPtgh%%! zGWUH61_c)qIQR9SRt_HlwMo9D1~}TsY&aZB*`PSg3ji6)!BkSbC_m+>7vqiVL81CB zk;?Bsg@FsJy6}>K>I^f+YH2mrIj#t3l5Kn%+tJUOM^*rC*M8*83?9qQBL!G_spv|f zR3h;6o9BsYC!D142oWA05TCg4`xW<(>4ob5DHJ$ky$NM3uKt*5cmmqz3P=C;4n4@I zkuMbfy}s=vgY~sDu~wC>!|Yk1S`SQgwvjo(xsN7)ZAE8@EH--#fBim9+)J#R(bQ^t zJM7yW`-@y#M<Pcnuf=VDBB~6Z#yim!Z91>B2j0#*`0H{>wZK1X8U#OMzS_`gT~fPR zfpDSVa{6px%kP%qhxY6@+J2_CRLGE0Mcd4qjj6`_grz%DQMXuWKg=e4J=jdcjT~=` zlMEnC{I(4q?03j?=iNH7)B}+_11|S(0Xdodk>))8Jron=jE#HGCTowvpI4u(ew4;~ zv8P}7*XxY7ezZu9#urcTyjawCgv(q&=6ZH1bHU|&RABdg3IV_?38YHPoxmHW;|ioC z-C0fUOE|wUkBMKtdE#b@)LTXCs9y2NyGOL*Wl@rQ8Wu3k1ig7uAJfgsI6$1hFxBb{ zq?tcbnhTH~zP+~j*Z|4pEo<UR+stbnquJMbkY{7NmsQgpqnV_H!^m#Psa%JoJ$4JH zEwYZQj8OiK)mE04@V3kNpoouLc&9wVHp)7F?CJ7P=Z|x)CXdvf`AB*rE(;R3k<>LN z5tcE2-Tvw7cf4_MvUdnRRhv1CE=kpce-%;f_`%G~07Q5C4yU+S=q*8X;paN8m$cMJ z1PR4fADp-|7<y)HauUBlX$pBc=iJ(t3Rj?*Q7p*ea9Z%Jz<VevPS?CAR*+L~cPVlM zQ5A?BR&eqE>)PC-lFGL@e#)_Yl}?oA+_Y>aDV?OWLevF~PLC<mbSfvWLX#Gj1q7mz z!l^Y`SzT>i#?F))Wtmf%j!`~Rp-A&VMw6M(GR9Ym=vCt_aDPXf`xyQ?us{3z-M`;& zpS56}y=b%lyr8e_n|QBiKj+NBZO8H)Bev06P)wf2gH8bZ1Kd1~W9I=J0`P7+z(1Fr z^*G!}qcp_B4LYLsjf37V+TrTI?5Sz0!Dz{N{Mvm@GTM%F@o$zAZR`1UC%+?AeeS{c zpc+4fEdMA=Si7%NMhk3+Z{U!$U(H3ezi5Ry4!*9;0Y@V3l<A_g)os&AcpJ#5q=@6c zzA%dqtGDcn_w5@gp)9*xcXEhiV0nRbQyYw%E8Tw*YA~(I2tBh4($`TULJh|pBSO_! zV!ZFI*{^V_ru`>pH<-RXJI&K$_d4u^It1-bjhAMh%rkd6#x+FR4Z=)4m1<Vsbbj!& zq(hK9)ln%nu1x>BfH8_K<RuT#NnRe?SLNy&GX!~>uB>2G!ew?+sYY0Rb3V}Q736;E zAc&0*PXWzKY++jRb2@(NLgWL@)P<OKTfu7U7n%-(d6Q3HQuqt?QW|~qU2vH4elPmP zpYZlr_tw(PUb5E@^@set#W4o?!o{WMTb%Pr&yITbyCjlwy=xH`_#v^r?O-JDj{4bl zdtEzu`03R+19R=~k2*6ym9(l?7$0>O>=6xAxP_OFm%J@rsA}yjj7+;+-dh=2t$5_U ziCdF==jRdv4H+!QL?eCW7$;cOog5T(UOdp=nonVk@I3}o_cxc~=Iqd>`|)&Fdy|9q zI{Y%BO3aM)?N7|?LfiVft%RU%yd)%+4z#;i-R2sv#t9q<W0=HD?%I8SSfC%t0(lmw zvA~HL<qU)Ygn`sNO*cXx+m;yOw_0y3A|%&oLB+ND_4P#8$XQOP^Tt{K#p*i9`Z`$c zKRv9WK(hhhRq2x?f>9_GgN-1O{sumu6sWNP7Kxw|`ptkzK86%HHlrXCltNf2vPcws zJ~41`MnWX?3;j@#jUEc~M{HWz!ZkgLwk1_D4MW#{QOd!w4;MQ%2ZU7&w2OBAmig>H zf#^{9<lOGu2kYy7_!AehV^|}0<NYxmSL2ZHbOlZK2_n(EZf=4&=`f?940k!^SLMdB zfTI*Rf^s`{PXSi*<GP<}t2S3He~2U?n};&Ei(`MNRpv+y(tWEcxsUp4%dBcJU7~zq zX>4?zw4qDxuxRW}@_~f#qk=6%mG9;kHZ3NmJ@$PlyXKSiM}M!9arfeltdXWyj~j?t zkuH^PVcBaxU5a&$p4%}PF}$q&*&W|Arc&<^1?+AJ+V-wD*?;%*SAoDUFHW%K4yzmW z(?2hExSu#Oxsv^lF8MCk5LtWn4faK@dqL*0z+A7mk1kcd4{4>co4a`Qv)>;$ZeJVg zHms5pF*J7^#ckAn7KYOiO0Hg4?e=ofg0TK!w%mvR#{s#LQGAKv8&zVfxxx@~bntlw zWvhSQy|$kWp%uF7wDlFZuX10tCO+kQc3&im7IeET2pbw^e*m^&IEwYCy)Bll`hp>_ z#ot3pAWiz|md7WI<+9T`ZPF;%5G=`vtx<PTCCwxK-r`t~_Y!*4xfAbD-XV?R0)8d7 zW?+VkxE}Hsa4~sI=_~h4W|B3|sRrMs0X8ifOJ&n<l;dt5*)!<LW`=2;IVeb?ZNpNN z=%-MydC)V7Nz^!}pnydSz*1TCA5pMj(38dV(KxeFz@&L$sZ9De<=!DR*Sb~&hxNs~ z%7co@Qsn~q?eU4dOIBz7{Sj{T{LZ5M&!llmZ~g9thdCqYoj?g$745Egy^>hcJ<(pT zesBrkdn>SIZXfg7)r(Xa%GX|G_pbTWUym1-d55GP22GSH(I)QXq#Q^{PeHbfPqZVu zsxiLv;(o0LjgnM>MsetfGYG-e{iVh8RTDpeQ_MneS*rAt8P!KTaj4^<ooyi#Amlq> zknA6|)&I&pq+TCd(NUeoDFVHD*(!|#y-6dBg5HAOd>rmC1-;pfqqr;VZ3~kuu7%UC zr}>XhaEid$w@QIUu;fr|4fscwq#0K90}l^*Z86zh;U_<+o&f7{qH~J8zqKTcSon+o z;i5~7gHgCF_7w&ukWi8e^sWac1Mv6x!pG%7Z;^-%_gMS@LZJn)0`LWVzZr0og$W=C zW)uW`9$yGoS|m9vK7oMDNC^0Bz8?y*Z-7-T@W3)3Tx7VTB{LW;$8}%xQecB#PQ})^ zq-<KbC!%{Jyr`}wpR(zY&`TuK|L(8=o>#Csm`m<jcYf>vZ{6<G*q5El-*gu3xT$ql zZ25lE@z+JGgYUoS1Q`B}mvr}>Wy;+BpD8nqD1uW{bq85SxxRV8XjEz1a_~!W^d5>B z4EQHFm>OMgpRi{`ihxtU__UVIWwWaGQp9G{LHq}Uw5qvPe>O{#Q)PgS3Kb5a)53;Z zhNm1=3As44aU3z1^7MVudKs8O!>~ahTRJ9rXG~5J>kb(YvQms{<B7%9l{do8t%04e zQS;HmWct+6lk;UzYPD&&v86s!YaCEM=X$?CT-I)PS8c8|Pa1l-JoaQu_`J(}8JQ-c zhlR3|!$dU%o%(EZBLW^chlzBizMhgE)2k)wzM%o6xk?uOQjo&A2G<H{j%wX*%=5f< zZ7O)omSWVaC)9Y&+bn!JNnJU*&7dE`E5t;eZnzOIdn+?ds08lf?%x$pN@PnbY?h(Z zEpeMm_#7*f_E~dy4vAOszMD=nAD7!z={~HPDs|Pu_$W;ZJT=@{caH=n?XA7Iwo!}( zuMu<GPp^@aV&1<W%Qu~Pq=gHGEo0z-MgHb;Q?S-J#xqx$9d~Y@HG84eLI{FvpluPM z$ZulIo_XNS_IX9tyeWkZ)Qn)BV1hl+kYs}!EnyY}xqSvfD}e%ifsfFwQ)fnO5Qfrb z8FKjvW|0-@Q~U(;H>-7{(_zp3f2p$F?Ck1L2BaIUA!zQj&!0}$$8DhaIEK&hqeuQK z^29FNpFJCbj@tjVeCnj74szlt#&Np*#iyYB9<>d!=DO`NqzQzlcOaGDT{3YCf^5&s zhQ6Aqi(YP>veVAwej&kpK5gM60T#qOTZ_dJ32<HwHpWB4WgxsS$JPgFl3*OBvpdl6 tryDcbF3&Jd(*;*}CH6!vwS#=;2%zQvx6w2C)45+Fi6D2*VhIM&e*?c~Sd9Px diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml index 2ed75595..24c55d23 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml @@ -480,7 +480,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:10:23 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:37:47 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v index bc770783..01a95ab6 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:10:22 2017 +// Date : Mon Dec 18 11:37:46 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v @@ -389,6 +389,8 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave s00_axi_rready, \fmc_03287_channels[3].gen_chs.data_o_reg[51][0] , \fmc_03287_channels[7].gen_chs.data_o_reg[55][0] , + gem_status_vector_i, + \s_ins_reg[3] , \data_o_reg[6][0] , \data_o_reg[1][3] , \s_datao_fmc1[0] , @@ -400,8 +402,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \data_o_reg[6][0]_0 , \fmc_03287_channels[3].gen_chs.data_o_reg[51][1] , \fmc_03287_channels[7].gen_chs.data_o_reg[55][1] , - gem_status_vector_i, - \s_ins_reg[3] , \data_o_reg[6][1] , \axi_araddr_reg[4]_rep__0_0 , \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0 , @@ -695,6 +695,8 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave input s00_axi_rready; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][0] ; input \fmc_03287_channels[7].gen_chs.data_o_reg[55][0] ; + input [15:0]gem_status_vector_i; + input [3:0]\s_ins_reg[3] ; input \data_o_reg[6][0] ; input [3:0]\data_o_reg[1][3] ; input [19:0]\s_datao_fmc1[0] ; @@ -706,8 +708,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave input \data_o_reg[6][0]_0 ; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][1] ; input \fmc_03287_channels[7].gen_chs.data_o_reg[55][1] ; - input [15:0]gem_status_vector_i; - input [3:0]\s_ins_reg[3] ; input \data_o_reg[6][1] ; input \axi_araddr_reg[4]_rep__0_0 ; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0 ; @@ -4179,7 +4179,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[0]), - .Q(\axi_rdata_reg[17]_1 ), + .Q(\axi_rdata_reg[17]_0 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[2]" *) FDRE #( @@ -4188,7 +4188,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[0]), - .Q(\axi_rdata_reg[12]_1 ), + .Q(\axi_rdata_reg[12]_0 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[2]" *) FDRE #( @@ -4233,7 +4233,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[1]), - .Q(\axi_rdata_reg[17]_0 ), + .Q(\axi_rdata_reg[17]_1 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[3]" *) FDRE #( @@ -4242,7 +4242,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[1]), - .Q(\axi_rdata_reg[12]_0 ), + .Q(\axi_rdata_reg[12]_1 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[3]" *) FDRE #( @@ -4457,7 +4457,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(s00_axi_wvalid), .I4(s00_axi_awvalid), .O(axi_bvalid04_out)); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT4 #( .INIT(16'h01FF)) \axi_bresp[1]_i_3 @@ -4499,14 +4499,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_10 ), .O(\axi_rdata[0]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000F8C83808)) + .INIT(64'hEE55FA00EE00FA00)) \axi_rdata[0]_i_14 - (.I0(\s_ins_reg[3] [0]), - .I1(\axi_rdata_reg[2]_1 ), - .I2(\axi_rdata_reg[2]_0 ), - .I3(gem_status_vector_i[0]), - .I4(\data_rw_o[3] [0]), - .I5(\axi_rdata_reg[0]_0 ), + (.I0(\axi_rdata_reg[0]_0 ), + .I1(\data_rw_o[3] [0]), + .I2(gem_status_vector_i[0]), + .I3(\axi_rdata_reg[2]_0 ), + .I4(\axi_rdata_reg[2]_1 ), + .I5(\s_ins_reg[3] [0]), .O(\axi_rdata[0]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -4699,9 +4699,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[10]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [2]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[10]_i_33_n_0 ), .O(\axi_rdata[10]_i_13_n_0 )); @@ -4709,9 +4709,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(32'hCC408840)) \axi_rdata[10]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[10]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][10] ), .O(\axi_rdata[10]_i_14_n_0 )); LUT6 #( @@ -4719,9 +4719,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_17 (.I0(\axi_rdata[10]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[10]_i_17_n_0 )); LUT5 #( @@ -4729,17 +4729,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_19 (.I0(\axi_rdata[10]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [2]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[10]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[10]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [10]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[10]_i_23_n_0 )); LUT6 #( @@ -4747,9 +4747,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [10]), .I1(\[2].[2].s_reqs_reg[10][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [10]), .O(\axi_rdata[10]_i_29_n_0 )); LUT6 #( @@ -4757,9 +4757,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [10]), .I1(\[3].[2].s_reqs_reg[14][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [10]), .O(\axi_rdata[10]_i_30_n_0 )); LUT6 #( @@ -4767,9 +4767,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_31 (.I0(Q[10]), .I1(\[0].[2].s_reqs_reg[2][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [10]), .O(\axi_rdata[10]_i_31_n_0 )); LUT6 #( @@ -4777,9 +4777,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [10]), .I1(\[1].[2].s_reqs_reg[6][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [10]), .O(\axi_rdata[10]_i_32_n_0 )); LUT5 #( @@ -4787,18 +4787,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_33 (.I0(\data_rw_o_reg_n_0_[11][10] ), .I1(\data_rw_o_reg_n_0_[10][10] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[10]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[10]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [10]), .I1(\[4].[2].s_reqs_reg[18][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [10]), .O(\axi_rdata[10]_i_34_n_0 )); LUT6 #( @@ -4806,9 +4806,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [10]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [10]), .O(\axi_rdata[10]_i_35_n_0 )); LUT6 #( @@ -4816,9 +4816,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [10]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [10]), .O(\axi_rdata[10]_i_36_n_0 )); LUT6 #( @@ -4826,9 +4826,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [10]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [10]), .O(\axi_rdata[10]_i_37_n_0 )); LUT5 #( @@ -4836,18 +4836,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_39 (.I0(\data_rw_o_reg_n_0_[79][10] ), .I1(\data_rw_o_reg_n_0_[78][10] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[10]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[10]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [10]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [10]), .O(\axi_rdata[10]_i_42_n_0 )); LUT6 #( @@ -4855,9 +4855,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [10]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [10]), .O(\axi_rdata[10]_i_43_n_0 )); LUT6 #( @@ -4913,19 +4913,19 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[11]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [3]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[11]_i_33_n_0 ), .O(\axi_rdata[11]_i_13_n_0 )); LUT5 #( - .INIT(32'hCC408840)) + .INIT(32'hCCC888C8)) \axi_rdata[11]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[11]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][11] ), .O(\axi_rdata[11]_i_14_n_0 )); LUT6 #( @@ -4933,9 +4933,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_17 (.I0(\axi_rdata[11]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[11]_i_17_n_0 )); LUT5 #( @@ -4943,17 +4943,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_19 (.I0(\axi_rdata[11]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [3]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[11]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[11]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [11]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[11]_i_23_n_0 )); LUT6 #( @@ -4961,9 +4961,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [11]), .I1(\[2].[2].s_reqs_reg[10][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [11]), .O(\axi_rdata[11]_i_29_n_0 )); LUT6 #( @@ -4971,9 +4971,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [11]), .I1(\[3].[2].s_reqs_reg[14][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [11]), .O(\axi_rdata[11]_i_30_n_0 )); LUT6 #( @@ -4981,9 +4981,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_31 (.I0(Q[11]), .I1(\[0].[2].s_reqs_reg[2][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [11]), .O(\axi_rdata[11]_i_31_n_0 )); LUT6 #( @@ -4991,9 +4991,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [11]), .I1(\[1].[2].s_reqs_reg[6][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [11]), .O(\axi_rdata[11]_i_32_n_0 )); LUT5 #( @@ -5001,18 +5001,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_33 (.I0(\data_rw_o_reg_n_0_[11][11] ), .I1(\data_rw_o_reg_n_0_[10][11] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[11]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[11]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [11]), .I1(\[4].[2].s_reqs_reg[18][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [11]), .O(\axi_rdata[11]_i_34_n_0 )); LUT6 #( @@ -5020,9 +5020,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [11]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [11]), .O(\axi_rdata[11]_i_35_n_0 )); LUT6 #( @@ -5030,9 +5030,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [11]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [11]), .O(\axi_rdata[11]_i_36_n_0 )); LUT6 #( @@ -5040,9 +5040,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [11]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [11]), .O(\axi_rdata[11]_i_37_n_0 )); LUT5 #( @@ -5050,18 +5050,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_39 (.I0(\data_rw_o_reg_n_0_[79][11] ), .I1(\data_rw_o_reg_n_0_[78][11] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[11]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[11]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [11]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [11]), .O(\axi_rdata[11]_i_42_n_0 )); LUT6 #( @@ -5069,9 +5069,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [11]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [11]), .O(\axi_rdata[11]_i_43_n_0 )); LUT6 #( @@ -5127,29 +5127,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[12]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [4]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[12]_i_33_n_0 ), .O(\axi_rdata[12]_i_13_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'h44C800C8)) \axi_rdata[12]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][12] ), + (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[12]), .I3(\axi_rdata_reg[12]_0 ), - .I4(\axi_rdata_reg[18]_0 ), + .I4(\data_rw_o_reg_n_0_[3][12] ), .O(\axi_rdata[12]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[12]_i_17 (.I0(\axi_rdata[12]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[12]_i_17_n_0 )); LUT5 #( @@ -5157,16 +5157,16 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_19 (.I0(\axi_rdata[12]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [4]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[12]_i_19_n_0 )); LUT4 #( .INIT(16'h0004)) \axi_rdata[12]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [12]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[12]_i_23_n_0 )); LUT6 #( @@ -5174,9 +5174,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_29 (.I0(\data_rw_o_reg_n_0_[27][12] ), .I1(\data_rw_o_reg_n_0_[26][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[25][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[24][12] ), .O(\axi_rdata[12]_i_29_n_0 )); LUT6 #( @@ -5184,9 +5184,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_30 (.I0(\data_rw_o_reg_n_0_[31][12] ), .I1(\data_rw_o_reg_n_0_[30][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[29][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[28][12] ), .O(\axi_rdata[12]_i_30_n_0 )); LUT6 #( @@ -5194,9 +5194,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_31 (.I0(\data_rw_o_reg_n_0_[19][12] ), .I1(\data_rw_o_reg_n_0_[18][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[17][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[16][12] ), .O(\axi_rdata[12]_i_31_n_0 )); LUT6 #( @@ -5204,9 +5204,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_32 (.I0(\data_rw_o_reg_n_0_[23][12] ), .I1(\data_rw_o_reg_n_0_[22][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[21][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[20][12] ), .O(\axi_rdata[12]_i_32_n_0 )); LUT5 #( @@ -5214,18 +5214,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_33 (.I0(\data_rw_o_reg_n_0_[11][12] ), .I1(\data_rw_o_reg_n_0_[10][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[12]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[12]_i_34 (.I0(\data_rw_o_reg_n_0_[35][12] ), .I1(\data_rw_o_reg_n_0_[34][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[33][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[32][12] ), .O(\axi_rdata[12]_i_34_n_0 )); LUT6 #( @@ -5233,9 +5233,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_35 (.I0(\data_rw_o_reg_n_0_[91][12] ), .I1(\data_rw_o_reg_n_0_[90][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[89][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[88][12] ), .O(\axi_rdata[12]_i_35_n_0 )); LUT6 #( @@ -5243,9 +5243,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_36 (.I0(\data_rw_o_reg_n_0_[95][12] ), .I1(\data_rw_o_reg_n_0_[94][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[93][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[92][12] ), .O(\axi_rdata[12]_i_36_n_0 )); LUT6 #( @@ -5253,9 +5253,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_37 (.I0(\data_rw_o_reg_n_0_[87][12] ), .I1(\data_rw_o_reg_n_0_[86][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[85][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[84][12] ), .O(\axi_rdata[12]_i_37_n_0 )); LUT5 #( @@ -5263,18 +5263,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_39 (.I0(\data_rw_o_reg_n_0_[79][12] ), .I1(\data_rw_o_reg_n_0_[78][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[12]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[12]_i_42 (.I0(\data_rw_o_reg_n_0_[99][12] ), .I1(\data_rw_o_reg_n_0_[98][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[97][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[96][12] ), .O(\axi_rdata[12]_i_42_n_0 )); LUT6 #( @@ -5282,9 +5282,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_43 (.I0(\data_rw_o_reg_n_0_[103][12] ), .I1(\data_rw_o_reg_n_0_[102][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[101][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[100][12] ), .O(\axi_rdata[12]_i_43_n_0 )); LUT6 #( @@ -5340,29 +5340,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[13]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [5]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[13]_i_33_n_0 ), .O(\axi_rdata[13]_i_13_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'h0000B800)) \axi_rdata[13]_i_14 - (.I0(\axi_rdata_reg[18]_0 ), + (.I0(\data_rw_o_reg_n_0_[3][13] ), .I1(\axi_rdata_reg[17]_0 ), .I2(gem_status_vector_i[13]), .I3(\axi_rdata_reg[17]_1 ), - .I4(\data_rw_o_reg_n_0_[3][13] ), + .I4(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[13]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[13]_i_17 (.I0(\axi_rdata[13]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[13]_i_17_n_0 )); LUT5 #( @@ -5370,17 +5370,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_19 (.I0(\axi_rdata[13]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [5]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[13]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[13]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [13]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[13]_i_23_n_0 )); LUT6 #( @@ -5388,9 +5388,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_29 (.I0(\data_rw_o_reg_n_0_[27][13] ), .I1(\data_rw_o_reg_n_0_[26][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][13] ), .O(\axi_rdata[13]_i_29_n_0 )); LUT6 #( @@ -5398,9 +5398,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_30 (.I0(\data_rw_o_reg_n_0_[31][13] ), .I1(\data_rw_o_reg_n_0_[30][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][13] ), .O(\axi_rdata[13]_i_30_n_0 )); LUT6 #( @@ -5408,9 +5408,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_31 (.I0(\data_rw_o_reg_n_0_[19][13] ), .I1(\data_rw_o_reg_n_0_[18][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][13] ), .O(\axi_rdata[13]_i_31_n_0 )); LUT6 #( @@ -5418,9 +5418,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_32 (.I0(\data_rw_o_reg_n_0_[23][13] ), .I1(\data_rw_o_reg_n_0_[22][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][13] ), .O(\axi_rdata[13]_i_32_n_0 )); LUT5 #( @@ -5428,18 +5428,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_33 (.I0(\data_rw_o_reg_n_0_[11][13] ), .I1(\data_rw_o_reg_n_0_[10][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[13]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[13]_i_34 (.I0(\data_rw_o_reg_n_0_[35][13] ), .I1(\data_rw_o_reg_n_0_[34][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][13] ), .O(\axi_rdata[13]_i_34_n_0 )); LUT6 #( @@ -5447,9 +5447,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_35 (.I0(\data_rw_o_reg_n_0_[91][13] ), .I1(\data_rw_o_reg_n_0_[90][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][13] ), .O(\axi_rdata[13]_i_35_n_0 )); LUT6 #( @@ -5457,9 +5457,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_36 (.I0(\data_rw_o_reg_n_0_[95][13] ), .I1(\data_rw_o_reg_n_0_[94][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][13] ), .O(\axi_rdata[13]_i_36_n_0 )); LUT6 #( @@ -5467,9 +5467,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_37 (.I0(\data_rw_o_reg_n_0_[87][13] ), .I1(\data_rw_o_reg_n_0_[86][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][13] ), .O(\axi_rdata[13]_i_37_n_0 )); LUT5 #( @@ -5477,18 +5477,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_39 (.I0(\data_rw_o_reg_n_0_[79][13] ), .I1(\data_rw_o_reg_n_0_[78][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[13]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[13]_i_42 (.I0(\data_rw_o_reg_n_0_[99][13] ), .I1(\data_rw_o_reg_n_0_[98][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][13] ), .O(\axi_rdata[13]_i_42_n_0 )); LUT6 #( @@ -5496,9 +5496,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_43 (.I0(\data_rw_o_reg_n_0_[103][13] ), .I1(\data_rw_o_reg_n_0_[102][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][13] ), .O(\axi_rdata[13]_i_43_n_0 )); LUT6 #( @@ -5554,9 +5554,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[14]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [6]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[14]_i_33_n_0 ), .O(\axi_rdata[14]_i_13_n_0 )); @@ -5564,9 +5564,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(32'h0000B800)) \axi_rdata[14]_i_14 (.I0(\data_rw_o_reg_n_0_[3][14] ), - .I1(\axi_rdata_reg[17]_1 ), + .I1(\axi_rdata_reg[17]_0 ), .I2(gem_status_vector_i[14]), - .I3(\axi_rdata_reg[17]_0 ), + .I3(\axi_rdata_reg[17]_1 ), .I4(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[14]_i_14_n_0 )); LUT6 #( @@ -5574,9 +5574,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_17 (.I0(\axi_rdata[14]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[14]_i_17_n_0 )); LUT5 #( @@ -5584,17 +5584,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_19 (.I0(\axi_rdata[14]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [6]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[14]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[14]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [14]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[14]_i_23_n_0 )); LUT6 #( @@ -5602,9 +5602,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_29 (.I0(\data_rw_o_reg_n_0_[27][14] ), .I1(\data_rw_o_reg_n_0_[26][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][14] ), .O(\axi_rdata[14]_i_29_n_0 )); LUT6 #( @@ -5612,9 +5612,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_30 (.I0(\data_rw_o_reg_n_0_[31][14] ), .I1(\data_rw_o_reg_n_0_[30][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][14] ), .O(\axi_rdata[14]_i_30_n_0 )); LUT6 #( @@ -5622,9 +5622,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_31 (.I0(\data_rw_o_reg_n_0_[19][14] ), .I1(\data_rw_o_reg_n_0_[18][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][14] ), .O(\axi_rdata[14]_i_31_n_0 )); LUT6 #( @@ -5632,9 +5632,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_32 (.I0(\data_rw_o_reg_n_0_[23][14] ), .I1(\data_rw_o_reg_n_0_[22][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][14] ), .O(\axi_rdata[14]_i_32_n_0 )); LUT5 #( @@ -5642,18 +5642,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_33 (.I0(\data_rw_o_reg_n_0_[11][14] ), .I1(\data_rw_o_reg_n_0_[10][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[14]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[14]_i_34 (.I0(\data_rw_o_reg_n_0_[35][14] ), .I1(\data_rw_o_reg_n_0_[34][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][14] ), .O(\axi_rdata[14]_i_34_n_0 )); LUT6 #( @@ -5661,9 +5661,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_35 (.I0(\data_rw_o_reg_n_0_[91][14] ), .I1(\data_rw_o_reg_n_0_[90][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][14] ), .O(\axi_rdata[14]_i_35_n_0 )); LUT6 #( @@ -5671,9 +5671,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_36 (.I0(\data_rw_o_reg_n_0_[95][14] ), .I1(\data_rw_o_reg_n_0_[94][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][14] ), .O(\axi_rdata[14]_i_36_n_0 )); LUT6 #( @@ -5681,9 +5681,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_37 (.I0(\data_rw_o_reg_n_0_[87][14] ), .I1(\data_rw_o_reg_n_0_[86][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][14] ), .O(\axi_rdata[14]_i_37_n_0 )); LUT5 #( @@ -5691,18 +5691,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_39 (.I0(\data_rw_o_reg_n_0_[79][14] ), .I1(\data_rw_o_reg_n_0_[78][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[14]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[14]_i_42 (.I0(\data_rw_o_reg_n_0_[99][14] ), .I1(\data_rw_o_reg_n_0_[98][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][14] ), .O(\axi_rdata[14]_i_42_n_0 )); LUT6 #( @@ -5710,9 +5710,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_43 (.I0(\data_rw_o_reg_n_0_[103][14] ), .I1(\data_rw_o_reg_n_0_[102][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][14] ), .O(\axi_rdata[14]_i_43_n_0 )); LUT6 #( @@ -5768,29 +5768,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[15]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [7]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[15]_i_33_n_0 ), .O(\axi_rdata[15]_i_13_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'h44C800C8)) \axi_rdata[15]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][15] ), + (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[17]_1 ), .I2(gem_status_vector_i[15]), .I3(\axi_rdata_reg[17]_0 ), - .I4(\axi_rdata_reg[18]_0 ), + .I4(\data_rw_o_reg_n_0_[3][15] ), .O(\axi_rdata[15]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[15]_i_17 (.I0(\axi_rdata[15]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[15]_i_17_n_0 )); LUT5 #( @@ -5798,17 +5798,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_19 (.I0(\axi_rdata[15]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [7]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[15]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[15]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [15]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[15]_i_23_n_0 )); LUT6 #( @@ -5816,9 +5816,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_29 (.I0(\data_rw_o_reg_n_0_[27][15] ), .I1(\data_rw_o_reg_n_0_[26][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][15] ), .O(\axi_rdata[15]_i_29_n_0 )); LUT6 #( @@ -5826,9 +5826,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_30 (.I0(\data_rw_o_reg_n_0_[31][15] ), .I1(\data_rw_o_reg_n_0_[30][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][15] ), .O(\axi_rdata[15]_i_30_n_0 )); LUT6 #( @@ -5836,9 +5836,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_31 (.I0(\data_rw_o_reg_n_0_[19][15] ), .I1(\data_rw_o_reg_n_0_[18][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][15] ), .O(\axi_rdata[15]_i_31_n_0 )); LUT6 #( @@ -5846,9 +5846,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_32 (.I0(\data_rw_o_reg_n_0_[23][15] ), .I1(\data_rw_o_reg_n_0_[22][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][15] ), .O(\axi_rdata[15]_i_32_n_0 )); LUT5 #( @@ -5856,18 +5856,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_33 (.I0(\data_rw_o_reg_n_0_[11][15] ), .I1(\data_rw_o_reg_n_0_[10][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[15]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[15]_i_34 (.I0(\data_rw_o_reg_n_0_[35][15] ), .I1(\data_rw_o_reg_n_0_[34][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][15] ), .O(\axi_rdata[15]_i_34_n_0 )); LUT6 #( @@ -5875,9 +5875,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_35 (.I0(\data_rw_o_reg_n_0_[91][15] ), .I1(\data_rw_o_reg_n_0_[90][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][15] ), .O(\axi_rdata[15]_i_35_n_0 )); LUT6 #( @@ -5885,9 +5885,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_36 (.I0(\data_rw_o_reg_n_0_[95][15] ), .I1(\data_rw_o_reg_n_0_[94][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][15] ), .O(\axi_rdata[15]_i_36_n_0 )); LUT6 #( @@ -5895,9 +5895,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_37 (.I0(\data_rw_o_reg_n_0_[87][15] ), .I1(\data_rw_o_reg_n_0_[86][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][15] ), .O(\axi_rdata[15]_i_37_n_0 )); LUT5 #( @@ -5905,18 +5905,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_39 (.I0(\data_rw_o_reg_n_0_[79][15] ), .I1(\data_rw_o_reg_n_0_[78][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[15]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[15]_i_42 (.I0(\data_rw_o_reg_n_0_[99][15] ), .I1(\data_rw_o_reg_n_0_[98][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][15] ), .O(\axi_rdata[15]_i_42_n_0 )); LUT6 #( @@ -5924,9 +5924,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_43 (.I0(\data_rw_o_reg_n_0_[103][15] ), .I1(\data_rw_o_reg_n_0_[102][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][15] ), .O(\axi_rdata[15]_i_43_n_0 )); LUT6 #( @@ -5982,29 +5982,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[16]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [8]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[16]_i_33_n_0 ), .O(\axi_rdata[16]_i_13_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'h4088)) \axi_rdata[16]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[17]_0 ), + .I1(\axi_rdata_reg[17]_1 ), .I2(\data_rw_o_reg_n_0_[3][16] ), - .I3(\axi_rdata_reg[17]_1 ), + .I3(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[16]_i_17 (.I0(\axi_rdata[16]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[16]_i_17_n_0 )); LUT5 #( @@ -6012,17 +6012,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_19 (.I0(\axi_rdata[16]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [8]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[16]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[16]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [16]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[16]_i_23_n_0 )); LUT6 #( @@ -6030,9 +6030,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_29 (.I0(\data_rw_o_reg_n_0_[27][16] ), .I1(\data_rw_o_reg_n_0_[26][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][16] ), .O(\axi_rdata[16]_i_29_n_0 )); LUT6 #( @@ -6040,9 +6040,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_30 (.I0(\data_rw_o_reg_n_0_[31][16] ), .I1(\data_rw_o_reg_n_0_[30][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][16] ), .O(\axi_rdata[16]_i_30_n_0 )); LUT6 #( @@ -6050,9 +6050,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_31 (.I0(\data_rw_o_reg_n_0_[19][16] ), .I1(\data_rw_o_reg_n_0_[18][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][16] ), .O(\axi_rdata[16]_i_31_n_0 )); LUT6 #( @@ -6060,9 +6060,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_32 (.I0(\data_rw_o_reg_n_0_[23][16] ), .I1(\data_rw_o_reg_n_0_[22][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][16] ), .O(\axi_rdata[16]_i_32_n_0 )); LUT5 #( @@ -6070,18 +6070,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_33 (.I0(\data_rw_o_reg_n_0_[11][16] ), .I1(\data_rw_o_reg_n_0_[10][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[16]_i_34 (.I0(\data_rw_o_reg_n_0_[35][16] ), .I1(\data_rw_o_reg_n_0_[34][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][16] ), .O(\axi_rdata[16]_i_34_n_0 )); LUT6 #( @@ -6089,9 +6089,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_35 (.I0(\data_rw_o_reg_n_0_[91][16] ), .I1(\data_rw_o_reg_n_0_[90][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][16] ), .O(\axi_rdata[16]_i_35_n_0 )); LUT6 #( @@ -6099,9 +6099,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_36 (.I0(\data_rw_o_reg_n_0_[95][16] ), .I1(\data_rw_o_reg_n_0_[94][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][16] ), .O(\axi_rdata[16]_i_36_n_0 )); LUT6 #( @@ -6109,9 +6109,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_37 (.I0(\data_rw_o_reg_n_0_[87][16] ), .I1(\data_rw_o_reg_n_0_[86][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][16] ), .O(\axi_rdata[16]_i_37_n_0 )); LUT5 #( @@ -6119,18 +6119,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_39 (.I0(\data_rw_o_reg_n_0_[79][16] ), .I1(\data_rw_o_reg_n_0_[78][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[16]_i_42 (.I0(\data_rw_o_reg_n_0_[99][16] ), .I1(\data_rw_o_reg_n_0_[98][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][16] ), .O(\axi_rdata[16]_i_42_n_0 )); LUT6 #( @@ -6138,9 +6138,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_43 (.I0(\data_rw_o_reg_n_0_[103][16] ), .I1(\data_rw_o_reg_n_0_[102][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][16] ), .O(\axi_rdata[16]_i_43_n_0 )); LUT6 #( @@ -6196,9 +6196,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[17]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [9]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[17]_i_33_n_0 ), .O(\axi_rdata[17]_i_13_n_0 )); @@ -6206,18 +6206,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(16'h4088)) \axi_rdata[17]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[17]_0 ), + .I1(\axi_rdata_reg[17]_1 ), .I2(\data_rw_o_reg_n_0_[3][17] ), - .I3(\axi_rdata_reg[17]_1 ), + .I3(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[17]_i_17 (.I0(\axi_rdata[17]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[17]_i_17_n_0 )); LUT5 #( @@ -6225,17 +6225,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_19 (.I0(\axi_rdata[17]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [9]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[17]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[17]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [17]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[17]_i_23_n_0 )); LUT6 #( @@ -6243,9 +6243,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_29 (.I0(\data_rw_o_reg_n_0_[27][17] ), .I1(\data_rw_o_reg_n_0_[26][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][17] ), .O(\axi_rdata[17]_i_29_n_0 )); LUT6 #( @@ -6253,9 +6253,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_30 (.I0(\data_rw_o_reg_n_0_[31][17] ), .I1(\data_rw_o_reg_n_0_[30][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][17] ), .O(\axi_rdata[17]_i_30_n_0 )); LUT6 #( @@ -6263,9 +6263,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_31 (.I0(\data_rw_o_reg_n_0_[19][17] ), .I1(\data_rw_o_reg_n_0_[18][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][17] ), .O(\axi_rdata[17]_i_31_n_0 )); LUT6 #( @@ -6273,9 +6273,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_32 (.I0(\data_rw_o_reg_n_0_[23][17] ), .I1(\data_rw_o_reg_n_0_[22][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][17] ), .O(\axi_rdata[17]_i_32_n_0 )); LUT5 #( @@ -6283,18 +6283,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_33 (.I0(\data_rw_o_reg_n_0_[11][17] ), .I1(\data_rw_o_reg_n_0_[10][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[17]_i_34 (.I0(\data_rw_o_reg_n_0_[35][17] ), .I1(\data_rw_o_reg_n_0_[34][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][17] ), .O(\axi_rdata[17]_i_34_n_0 )); LUT6 #( @@ -6302,9 +6302,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_35 (.I0(\data_rw_o_reg_n_0_[91][17] ), .I1(\data_rw_o_reg_n_0_[90][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][17] ), .O(\axi_rdata[17]_i_35_n_0 )); LUT6 #( @@ -6312,9 +6312,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_36 (.I0(\data_rw_o_reg_n_0_[95][17] ), .I1(\data_rw_o_reg_n_0_[94][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][17] ), .O(\axi_rdata[17]_i_36_n_0 )); LUT6 #( @@ -6322,9 +6322,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_37 (.I0(\data_rw_o_reg_n_0_[87][17] ), .I1(\data_rw_o_reg_n_0_[86][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][17] ), .O(\axi_rdata[17]_i_37_n_0 )); LUT5 #( @@ -6332,18 +6332,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_39 (.I0(\data_rw_o_reg_n_0_[79][17] ), .I1(\data_rw_o_reg_n_0_[78][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[17]_i_42 (.I0(\data_rw_o_reg_n_0_[99][17] ), .I1(\data_rw_o_reg_n_0_[98][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][17] ), .O(\axi_rdata[17]_i_42_n_0 )); LUT6 #( @@ -6351,9 +6351,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_43 (.I0(\data_rw_o_reg_n_0_[103][17] ), .I1(\data_rw_o_reg_n_0_[102][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][17] ), .O(\axi_rdata[17]_i_43_n_0 )); LUT6 #( @@ -6415,9 +6415,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[18]_i_33_n_0 ), .O(\axi_rdata[18]_i_13_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'h4088)) \axi_rdata[18]_i_14 (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[22]_0 ), @@ -6443,7 +6443,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\s_datao_fmc2[4] [10]), .I4(\axi_rdata_reg[22]_0 ), .O(\axi_rdata[18]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[18]_i_23 @@ -6631,7 +6631,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[19]_i_13_n_0 )); (* SOFT_HLUTNM = "soft_lutpair11" *) LUT4 #( - .INIT(16'h4088)) + .INIT(16'hC800)) \axi_rdata[19]_i_14 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[22]_0 ), @@ -6835,7 +6835,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_9 ), .O(\axi_rdata[1]_i_1_n_0 )); LUT6 #( - .INIT(64'hEE55FA00EE00FA00)) + .INIT(64'hEE555000EE005000)) \axi_rdata[1]_i_14 (.I0(\axi_rdata_reg[9]_0 ), .I1(\data_rw_o[3] [1]), @@ -6854,7 +6854,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[2]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[1]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[1]_i_23 @@ -7033,7 +7033,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_8 ), .O(\axi_rdata[20]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair12" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[20]_i_14 @@ -7090,7 +7090,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[22]_0 ), .I4(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[20]_i_23_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair12" *) LUT4 #( .INIT(16'h4088)) \axi_rdata[20]_i_24 @@ -7247,7 +7247,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_6 ), .O(\axi_rdata[21]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[21]_i_14 @@ -7304,14 +7304,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[22]_0 ), .I4(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[21]_i_23_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair11" *) LUT4 #( - .INIT(16'h0080)) + .INIT(16'hC888)) \axi_rdata[21]_i_24 - (.I0(\axi_rdata_reg[22]_1 ), - .I1(\data_rw_o_reg_n_0_[3][21] ), - .I2(\axi_rdata_reg[22]_0 ), - .I3(\axi_rdata_reg[23]_0 [2]), + (.I0(\axi_rdata_reg[23]_0 [2]), + .I1(\axi_rdata_reg[22]_0 ), + .I2(\data_rw_o_reg_n_0_[3][21] ), + .I3(\axi_rdata_reg[22]_1 ), .O(\axi_rdata[21]_i_24_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) @@ -7461,7 +7461,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_4 ), .O(\axi_rdata[22]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[22]_i_14 @@ -7520,7 +7520,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[22]_i_23_n_0 )); (* SOFT_HLUTNM = "soft_lutpair10" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'hC800)) \axi_rdata[22]_i_24 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[22]_0 ), @@ -7732,7 +7732,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[23]_i_24_n_0 )); (* SOFT_HLUTNM = "soft_lutpair9" *) LUT4 #( - .INIT(16'h4088)) + .INIT(16'hC800)) \axi_rdata[23]_i_25 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[23]_0 [1]), @@ -8062,14 +8062,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[24]_i_7_n_0 )); LUT6 #( - .INIT(64'h8B888888B888B888)) + .INIT(64'h88888888B8888888)) \axi_rdata[24]_i_9 (.I0(\axi_rdata[24]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [2]), - .I3(\axi_rdata_reg[23]_0 [1]), - .I4(\data_rw_o_reg_n_0_[3][24] ), - .I5(\axi_rdata_reg[23]_0 [0]), + .I2(\axi_rdata_reg[23]_0 [0]), + .I3(\data_rw_o_reg_n_0_[3][24] ), + .I4(\axi_rdata_reg[23]_0 [1]), + .I5(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[24]_i_9_n_0 )); LUT4 #( .INIT(16'h4540)) @@ -8246,7 +8246,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[25]_i_7_n_0 )); LUT6 #( - .INIT(64'hBB88B88888888888)) + .INIT(64'hBB88B888B888B888)) \axi_rdata[25]_i_9 (.I0(\axi_rdata[25]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), @@ -8430,14 +8430,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[26]_i_7_n_0 )); LUT6 #( - .INIT(64'h88888888B8888888)) + .INIT(64'hBB88B88888888888)) \axi_rdata[26]_i_9 (.I0(\axi_rdata[26]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [0]), - .I3(\data_rw_o_reg_n_0_[3][26] ), - .I4(\axi_rdata_reg[23]_0 [1]), - .I5(\axi_rdata_reg[23]_0 [2]), + .I2(\axi_rdata_reg[23]_0 [2]), + .I3(\axi_rdata_reg[23]_0 [1]), + .I4(\data_rw_o_reg_n_0_[3][26] ), + .I5(\axi_rdata_reg[23]_0 [0]), .O(\axi_rdata[26]_i_9_n_0 )); LUT4 #( .INIT(16'h4540)) @@ -8798,7 +8798,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[28]_i_7_n_0 )); LUT6 #( - .INIT(64'hBB88B888B888B888)) + .INIT(64'h8B888888B888B888)) \axi_rdata[28]_i_9 (.I0(\axi_rdata[28]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), @@ -8982,14 +8982,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[29]_i_7_n_0 )); LUT6 #( - .INIT(64'h88888888B8888888)) + .INIT(64'hBB88B88888888888)) \axi_rdata[29]_i_9 (.I0(\axi_rdata[29]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [0]), - .I3(\data_rw_o_reg_n_0_[3][29] ), - .I4(\axi_rdata_reg[23]_0 [1]), - .I5(\axi_rdata_reg[23]_0 [2]), + .I2(\axi_rdata_reg[23]_0 [2]), + .I3(\axi_rdata_reg[23]_0 [1]), + .I4(\data_rw_o_reg_n_0_[3][29] ), + .I5(\axi_rdata_reg[23]_0 [0]), .O(\axi_rdata[29]_i_9_n_0 )); LUT6 #( .INIT(64'hAAAAA8080000A808)) @@ -9002,14 +9002,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_8 ), .O(\axi_rdata[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000F8C83808)) + .INIT(64'h4455FA004400FA00)) \axi_rdata[2]_i_14 - (.I0(\s_ins_reg[3] [2]), - .I1(\axi_rdata_reg[2]_1 ), - .I2(\axi_rdata_reg[2]_0 ), - .I3(gem_status_vector_i[2]), - .I4(\data_rw_o_reg_n_0_[3][2] ), - .I5(\axi_rdata_reg[9]_0 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\data_rw_o_reg_n_0_[3][2] ), + .I2(gem_status_vector_i[2]), + .I3(\axi_rdata_reg[2]_0 ), + .I4(\axi_rdata_reg[2]_1 ), + .I5(\s_ins_reg[3] [2]), .O(\axi_rdata[2]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -9021,7 +9021,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[2]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[2]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[2]_i_23 @@ -9576,14 +9576,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_7 ), .O(\axi_rdata[3]_i_1_n_0 )); LUT6 #( - .INIT(64'hEE55FA00EE00FA00)) + .INIT(64'h00000000F8C83808)) \axi_rdata[3]_i_14 - (.I0(\axi_rdata_reg[9]_0 ), - .I1(\data_rw_o_reg_n_0_[3][3] ), - .I2(gem_status_vector_i[3]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[7]_1 ), - .I5(\s_ins_reg[3] [3]), + (.I0(\s_ins_reg[3] [3]), + .I1(\axi_rdata_reg[7]_1 ), + .I2(\axi_rdata_reg[7]_0 ), + .I3(gem_status_vector_i[3]), + .I4(\data_rw_o_reg_n_0_[3][3] ), + .I5(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[3]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -9775,7 +9775,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_6 ), .O(\axi_rdata[4]_i_1_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'hCC408840)) \axi_rdata[4]_i_14 (.I0(\axi_rdata_reg[9]_0 ), .I1(\axi_rdata_reg[7]_0 ), @@ -9971,13 +9971,13 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_5 ), .O(\axi_rdata[5]_i_1_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'hCCC888C8)) \axi_rdata[5]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][5] ), - .I1(\axi_rdata_reg[7]_1 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\axi_rdata_reg[7]_0 ), .I2(gem_status_vector_i[5]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[9]_0 ), + .I3(\axi_rdata_reg[7]_1 ), + .I4(\data_rw_o_reg_n_0_[3][5] ), .O(\axi_rdata[5]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -10185,6 +10185,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[7]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[6]_i_17_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[6]_i_23 @@ -10362,13 +10363,13 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_3 ), .O(\axi_rdata[7]_i_1_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'hCC408840)) \axi_rdata[7]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][7] ), - .I1(\axi_rdata_reg[7]_1 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\axi_rdata_reg[7]_0 ), .I2(gem_status_vector_i[7]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[9]_0 ), + .I3(\axi_rdata_reg[7]_1 ), + .I4(\data_rw_o_reg_n_0_[3][7] ), .O(\axi_rdata[7]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -10380,7 +10381,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[7]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[7]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[7]_i_23 @@ -10560,29 +10560,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[8]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [0]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[9]_0 ), .I4(\axi_rdata[8]_i_33_n_0 ), .O(\axi_rdata[8]_i_13_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'h0000B800)) \axi_rdata[8]_i_14 - (.I0(\axi_rdata_reg[9]_0 ), + (.I0(\data_rw_o_reg_n_0_[3][8] ), .I1(\axi_rdata_reg[12]_0 ), .I2(gem_status_vector_i[8]), .I3(\axi_rdata_reg[12]_1 ), - .I4(\data_rw_o_reg_n_0_[3][8] ), + .I4(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[8]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[8]_i_17 (.I0(\axi_rdata[8]_i_34_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[8]_i_17_n_0 )); LUT5 #( @@ -10590,17 +10590,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_19 (.I0(\axi_rdata[8]_i_37_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [0]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[8]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[8]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [8]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[8]_i_23_n_0 )); LUT6 #( @@ -10608,9 +10608,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [8]), .I1(\[2].[2].s_reqs_reg[10][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [8]), .O(\axi_rdata[8]_i_29_n_0 )); LUT6 #( @@ -10618,9 +10618,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [8]), .I1(\[3].[2].s_reqs_reg[14][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [8]), .O(\axi_rdata[8]_i_30_n_0 )); LUT6 #( @@ -10628,9 +10628,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_31 (.I0(Q[8]), .I1(\[0].[2].s_reqs_reg[2][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [8]), .O(\axi_rdata[8]_i_31_n_0 )); LUT6 #( @@ -10638,9 +10638,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [8]), .I1(\[1].[2].s_reqs_reg[6][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [8]), .O(\axi_rdata[8]_i_32_n_0 )); LUT5 #( @@ -10648,18 +10648,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_33 (.I0(\data_rw_o_reg_n_0_[11][8] ), .I1(\data_rw_o_reg_n_0_[10][8] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[8]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[8]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [8]), .I1(\[4].[2].s_reqs_reg[18][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [8]), .O(\axi_rdata[8]_i_34_n_0 )); LUT6 #( @@ -10667,9 +10667,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [8]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [8]), .O(\axi_rdata[8]_i_35_n_0 )); LUT6 #( @@ -10677,9 +10677,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [8]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [8]), .O(\axi_rdata[8]_i_36_n_0 )); LUT6 #( @@ -10687,9 +10687,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [8]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [8]), .O(\axi_rdata[8]_i_37_n_0 )); LUT5 #( @@ -10697,18 +10697,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_39 (.I0(\data_rw_o_reg_n_0_[79][8] ), .I1(\data_rw_o_reg_n_0_[78][8] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[8]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[8]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [8]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [8]), .O(\axi_rdata[8]_i_42_n_0 )); LUT6 #( @@ -10716,9 +10716,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [8]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [8]), .O(\axi_rdata[8]_i_43_n_0 )); LUT6 #( @@ -10774,19 +10774,19 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[9]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [1]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[9]_0 ), .I4(\axi_rdata[9]_i_33_n_0 ), .O(\axi_rdata[9]_i_13_n_0 )); LUT5 #( - .INIT(32'h44C800C8)) + .INIT(32'hCCC888C8)) \axi_rdata[9]_i_14 (.I0(\axi_rdata_reg[9]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[9]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][9] ), .O(\axi_rdata[9]_i_14_n_0 )); LUT6 #( @@ -10794,9 +10794,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_17 (.I0(\axi_rdata[9]_i_34_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[9]_i_17_n_0 )); LUT5 #( @@ -10804,17 +10804,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_19 (.I0(\axi_rdata[9]_i_37_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [1]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[9]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[9]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [9]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[9]_i_23_n_0 )); LUT6 #( @@ -10822,9 +10822,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [9]), .I1(\[2].[2].s_reqs_reg[10][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [9]), .O(\axi_rdata[9]_i_29_n_0 )); LUT6 #( @@ -10832,9 +10832,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [9]), .I1(\[3].[2].s_reqs_reg[14][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [9]), .O(\axi_rdata[9]_i_30_n_0 )); LUT6 #( @@ -10842,9 +10842,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_31 (.I0(Q[9]), .I1(\[0].[2].s_reqs_reg[2][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [9]), .O(\axi_rdata[9]_i_31_n_0 )); LUT6 #( @@ -10852,9 +10852,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [9]), .I1(\[1].[2].s_reqs_reg[6][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [9]), .O(\axi_rdata[9]_i_32_n_0 )); LUT5 #( @@ -10862,18 +10862,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_33 (.I0(\data_rw_o_reg_n_0_[11][9] ), .I1(\data_rw_o_reg_n_0_[10][9] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[9]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[9]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [9]), .I1(\[4].[2].s_reqs_reg[18][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [9]), .O(\axi_rdata[9]_i_34_n_0 )); LUT6 #( @@ -10881,9 +10881,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [9]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [9]), .O(\axi_rdata[9]_i_35_n_0 )); LUT6 #( @@ -10891,9 +10891,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [9]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [9]), .O(\axi_rdata[9]_i_36_n_0 )); LUT6 #( @@ -10901,9 +10901,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [9]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [9]), .O(\axi_rdata[9]_i_37_n_0 )); LUT5 #( @@ -10911,18 +10911,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_39 (.I0(\data_rw_o_reg_n_0_[79][9] ), .I1(\data_rw_o_reg_n_0_[78][9] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[9]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[9]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [9]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [9]), .O(\axi_rdata[9]_i_42_n_0 )); LUT6 #( @@ -10930,9 +10930,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [9]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [9]), .O(\axi_rdata[9]_i_43_n_0 )); LUT6 #( @@ -12197,7 +12197,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata[23]_i_2_n_0 ), .I5(s00_axi_aresetn), .O(\axi_rresp[1]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT2 #( .INIT(4'h8)) \axi_rresp[1]_i_2 @@ -12210,7 +12210,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .D(\axi_rresp[1]_i_1_n_0 ), .Q(s00_axi_rresp), .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h08F8)) axi_rvalid_i_1 @@ -12384,7 +12384,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[103][31]_i_3_n_0 ), .I5(\data_rw_o[97][31]_i_3_n_0 ), .O(\data_rw_o[103][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT2 #( .INIT(4'hE)) \data_rw_o[103][31]_i_3 @@ -12505,7 +12505,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[1]), .I5(\data_rw_o[16][31]_i_3_n_0 ), .O(\data_rw_o[16][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[16][31]_i_3 @@ -12552,7 +12552,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(\data_rw_o[17][31]_i_3_n_0 ), .O(\data_rw_o[17][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[17][31]_i_3 @@ -12798,7 +12798,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[3]), .I5(sel0[5]), .O(\data_rw_o[23][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT2 #( .INIT(4'h7)) \data_rw_o[23][31]_i_3 @@ -13176,7 +13176,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.I0(sel0[3]), .I1(sel0[2]), .O(\data_rw_o[32][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'hE)) \data_rw_o[32][31]_i_4 @@ -13221,7 +13221,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[1]), .O(\data_rw_o[33][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT2 #( .INIT(4'h7)) \data_rw_o[33][31]_i_3 @@ -13304,7 +13304,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[23][31]_i_5_n_0 ), .I5(\data_rw_o[35][31]_i_3_n_0 ), .O(\data_rw_o[35][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT2 #( .INIT(4'hE)) \data_rw_o[35][31]_i_3 @@ -13388,7 +13388,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(s00_axi_wvalid), .I4(s00_axi_awvalid), .O(\data_rw_o[0]1 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[3][31]_i_7 @@ -13435,21 +13435,21 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[0]), .I5(\data_rw_o[78][31]_i_5_n_0 ), .O(\data_rw_o[78][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT2 #( .INIT(4'h7)) \data_rw_o[78][31]_i_3 (.I0(sel0[6]), .I1(sel0[2]), .O(\data_rw_o[78][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h7)) \data_rw_o[78][31]_i_4 (.I0(sel0[1]), .I1(sel0[3]), .O(\data_rw_o[78][31]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT2 #( .INIT(4'hE)) \data_rw_o[78][31]_i_5 @@ -13579,14 +13579,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[1]), .I5(sel0[5]), .O(\data_rw_o[85][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'h7)) \data_rw_o[85][31]_i_3 (.I0(sel0[4]), .I1(sel0[0]), .O(\data_rw_o[85][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'hE)) \data_rw_o[85][31]_i_4 @@ -13631,7 +13631,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[85][31]_i_4_n_0 ), .I5(\data_rw_o[86][31]_i_3_n_0 ), .O(\data_rw_o[86][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT2 #( .INIT(4'hE)) \data_rw_o[86][31]_i_3 @@ -13676,7 +13676,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[85][31]_i_4_n_0 ), .I5(\data_rw_o[87][31]_i_3_n_0 ), .O(\data_rw_o[87][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT2 #( .INIT(4'hB)) \data_rw_o[87][31]_i_3 @@ -13768,14 +13768,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[89][31]_i_3_n_0 ), .I5(\data_rw_o[89][31]_i_4_n_0 ), .O(\data_rw_o[89][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT2 #( .INIT(4'hE)) \data_rw_o[89][31]_i_3 (.I0(sel0[2]), .I1(sel0[7]), .O(\data_rw_o[89][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT2 #( .INIT(4'hE)) \data_rw_o[89][31]_i_4 @@ -13979,7 +13979,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[0]), .I5(\data_rw_o[87][31]_i_3_n_0 ), .O(\data_rw_o[94][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h7)) \data_rw_o[94][31]_i_3 @@ -14109,7 +14109,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[1]), .O(\data_rw_o[97][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'hB)) \data_rw_o[97][31]_i_3 @@ -14192,7 +14192,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[2]), .O(\data_rw_o[99][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT2 #( .INIT(4'h7)) \data_rw_o[99][31]_i_3 @@ -22846,7 +22846,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .D(s00_axi_wdata[9]), .Q(\[3].[3].s_reqs_reg[15][value][11]_0 [9]), .S(rst_i)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'h4777)) dig_out6_n_INST_0 @@ -22855,7 +22855,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I2(\data_rw_o[3] [1]), .I3(s_tick), .O(dig_out6_n)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'hF808)) \dig_outs_i[0]_INST_0 @@ -57062,13 +57062,13 @@ module system_design_fasec_hwtest_0_0_fasec_hwtest .\FMC1_LA_P_b[32] ({FMC1_LA_P_b[32:31],FMC1_LA_P_b[19:0]}), .Q(\gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0 ), .\axi_araddr_reg[2]_rep (cmp_axi4lite_slave_n_524), - .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_522), - .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_519), + .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_521), + .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_518), .\axi_araddr_reg[2]_rep__2 (cmp_axi4lite_slave_n_517), .\axi_araddr_reg[2]_rep__3 (cmp_axi4lite_slave_n_220), .\axi_araddr_reg[3]_rep (cmp_axi4lite_slave_n_523), - .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_521), - .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_518), + .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_522), + .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_519), .\axi_araddr_reg[3]_rep__2 (cmp_axi4lite_slave_n_516), .\axi_araddr_reg[3]_rep__3 (cmp_axi4lite_slave_n_219), .\axi_araddr_reg[4] (axi_araddr[4:2]), @@ -57246,13 +57246,13 @@ module system_design_fasec_hwtest_0_0_fasec_hwtest .\FMC2_LA_P_b[32] ({FMC2_LA_P_b[32:31],FMC2_LA_P_b[19:0]}), .Q(\gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0_15 ), .\axi_araddr_reg[2]_rep (cmp_axi4lite_slave_n_524), - .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_522), - .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_519), + .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_521), + .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_518), .\axi_araddr_reg[2]_rep__2 (cmp_axi4lite_slave_n_517), .\axi_araddr_reg[2]_rep__3 (cmp_axi4lite_slave_n_220), .\axi_araddr_reg[3]_rep (cmp_axi4lite_slave_n_523), - .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_521), - .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_518), + .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_522), + .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_519), .\axi_araddr_reg[3]_rep__2 (cmp_axi4lite_slave_n_516), .\axi_araddr_reg[3]_rep__3 (cmp_axi4lite_slave_n_219), .\axi_araddr_reg[4]_rep (cmp_axi4lite_slave_n_520), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl index ffeb4c73..a5d6897d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:10:22 2017 +-- Date : Mon Dec 18 11:37:47 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl @@ -138,6 +138,8 @@ entity system_design_fasec_hwtest_0_0_axi4lite_slave is s00_axi_rready : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][0]\ : in STD_LOGIC; \fmc_03287_channels[7].gen_chs.data_o_reg[55][0]\ : in STD_LOGIC; + gem_status_vector_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \s_ins_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \data_o_reg[6][0]\ : in STD_LOGIC; \data_o_reg[1][3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \s_datao_fmc1[0]\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); @@ -149,8 +151,6 @@ entity system_design_fasec_hwtest_0_0_axi4lite_slave is \data_o_reg[6][0]_0\ : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]\ : in STD_LOGIC; \fmc_03287_channels[7].gen_chs.data_o_reg[55][1]\ : in STD_LOGIC; - gem_status_vector_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); - \s_ins_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \data_o_reg[6][1]\ : in STD_LOGIC; \axi_araddr_reg[4]_rep__0_0\ : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0\ : in STD_LOGIC; @@ -2620,69 +2620,69 @@ architecture STRUCTURE of system_design_fasec_hwtest_0_0_axi4lite_slave is attribute ORIG_CELL_NAME of \axi_araddr_reg[5]_rep\ : label is "axi_araddr_reg[5]"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axi_bresp[1]_i_2\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \axi_bresp[1]_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \axi_bresp[1]_i_3\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axi_rdata[10]_i_23\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \axi_rdata[11]_i_23\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \axi_rdata[13]_i_23\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axi_rdata[14]_i_23\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \axi_rdata[13]_i_23\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axi_rdata[14]_i_23\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \axi_rdata[15]_i_23\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \axi_rdata[16]_i_14\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[16]_i_14\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \axi_rdata[16]_i_23\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \axi_rdata[17]_i_23\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \axi_rdata[18]_i_14\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \axi_rdata[18]_i_23\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \axi_rdata[17]_i_23\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \axi_rdata[18]_i_14\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \axi_rdata[18]_i_23\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \axi_rdata[19]_i_14\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \axi_rdata[19]_i_23\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \axi_rdata[1]_i_23\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \axi_rdata[20]_i_14\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \axi_rdata[20]_i_24\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \axi_rdata[21]_i_14\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \axi_rdata[21]_i_24\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \axi_rdata[22]_i_14\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \axi_rdata[1]_i_23\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \axi_rdata[20]_i_14\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[20]_i_24\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[21]_i_14\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \axi_rdata[21]_i_24\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \axi_rdata[22]_i_14\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \axi_rdata[22]_i_24\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \axi_rdata[23]_i_15\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \axi_rdata[23]_i_25\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \axi_rdata[2]_i_23\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axi_rdata[2]_i_23\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \axi_rdata[3]_i_23\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axi_rdata[4]_i_23\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \axi_rdata[5]_i_23\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \axi_rdata[7]_i_23\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \axi_rdata[6]_i_23\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axi_rdata[8]_i_23\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axi_rdata[9]_i_23\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \axi_rresp[1]_i_2\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of axi_rvalid_i_1 : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \axi_rresp[1]_i_2\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of axi_rvalid_i_1 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of axi_wready_i_1 : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \data_rw_o[103][31]_i_3\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \data_rw_o[16][31]_i_3\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \data_rw_o[17][31]_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \data_rw_o[103][31]_i_3\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \data_rw_o[16][31]_i_3\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \data_rw_o[17][31]_i_3\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \data_rw_o[19][31]_i_3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_3\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_5\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \data_rw_o[31][31]_i_3\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_3\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_4\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \data_rw_o[33][31]_i_3\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \data_rw_o[35][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_4\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[33][31]_i_3\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \data_rw_o[35][31]_i_3\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_4\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_7\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_3\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_4\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_5\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_7\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_3\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_4\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_5\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \data_rw_o[84][31]_i_3\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_3\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_4\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \data_rw_o[86][31]_i_3\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \data_rw_o[87][31]_i_3\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_4\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[86][31]_i_3\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \data_rw_o[87][31]_i_3\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \data_rw_o[88][31]_i_3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_3\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_4\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_3\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_4\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \data_rw_o[93][31]_i_3\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \data_rw_o[94][31]_i_3\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[94][31]_i_3\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \data_rw_o[96][31]_i_3\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \data_rw_o[97][31]_i_3\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \data_rw_o[99][31]_i_3\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of dig_out6_n_INST_0 : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \dig_outs_i[0]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \data_rw_o[97][31]_i_3\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[99][31]_i_3\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of dig_out6_n_INST_0 : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \dig_outs_i[0]_INST_0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \v_dout[0]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \v_dout[0]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \v_dout[1]_i_1\ : label is "soft_lutpair35"; @@ -3877,7 +3877,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(0), - Q => \^axi_rdata_reg[17]_1\, + Q => \^axi_rdata_reg[17]_0\, R => rst_i ); \axi_araddr_reg[2]_rep__1\: unisim.vcomponents.FDRE @@ -3888,7 +3888,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(0), - Q => \^axi_rdata_reg[12]_1\, + Q => \^axi_rdata_reg[12]_0\, R => rst_i ); \axi_araddr_reg[2]_rep__2\: unisim.vcomponents.FDRE @@ -3943,7 +3943,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(1), - Q => \^axi_rdata_reg[17]_0\, + Q => \^axi_rdata_reg[17]_1\, R => rst_i ); \axi_araddr_reg[3]_rep__1\: unisim.vcomponents.FDRE @@ -3954,7 +3954,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(1), - Q => \^axi_rdata_reg[12]_0\, + Q => \^axi_rdata_reg[12]_1\, R => rst_i ); \axi_araddr_reg[3]_rep__2\: unisim.vcomponents.FDRE @@ -4292,15 +4292,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[0]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F8C83808" + INIT => X"EE55FA00EE00FA00" ) port map ( - I0 => \s_ins_reg[3]\(0), - I1 => \^axi_rdata_reg[2]_1\, - I2 => \^axi_rdata_reg[2]_0\, - I3 => gem_status_vector_i(0), - I4 => \data_rw_o[3]\(0), - I5 => \^axi_rdata_reg[0]_0\, + I0 => \^axi_rdata_reg[0]_0\, + I1 => \data_rw_o[3]\(0), + I2 => gem_status_vector_i(0), + I3 => \^axi_rdata_reg[2]_0\, + I4 => \^axi_rdata_reg[2]_1\, + I5 => \s_ins_reg[3]\(0), O => \axi_rdata[0]_i_14_n_0\ ); \axi_rdata[0]_i_17\: unisim.vcomponents.LUT6 @@ -4553,9 +4553,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(2), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[10]_i_33_n_0\, O => \axi_rdata[10]_i_13_n_0\ @@ -4566,9 +4566,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(10), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][10]\, O => \axi_rdata[10]_i_14_n_0\ ); @@ -4579,9 +4579,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[10]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[10]_i_17_n_0\ ); @@ -4592,9 +4592,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[10]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(2), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[10]_i_19_n_0\ ); \axi_rdata[10]_i_23\: unisim.vcomponents.LUT4 @@ -4602,9 +4602,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(10), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[10]_i_23_n_0\ ); @@ -4615,9 +4615,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(10), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(10), O => \axi_rdata[10]_i_29_n_0\ ); @@ -4628,9 +4628,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(10), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(10), O => \axi_rdata[10]_i_30_n_0\ ); @@ -4641,9 +4641,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(10), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(10), O => \axi_rdata[10]_i_31_n_0\ ); @@ -4654,9 +4654,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(10), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(10), O => \axi_rdata[10]_i_32_n_0\ ); @@ -4667,9 +4667,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][10]\, I1 => \data_rw_o_reg_n_0_[10][10]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[10]_i_33_n_0\ ); \axi_rdata[10]_i_34\: unisim.vcomponents.LUT6 @@ -4679,9 +4679,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(10), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(10), O => \axi_rdata[10]_i_34_n_0\ ); @@ -4692,9 +4692,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(10), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(10), O => \axi_rdata[10]_i_35_n_0\ ); @@ -4705,9 +4705,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(10), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(10), O => \axi_rdata[10]_i_36_n_0\ ); @@ -4718,9 +4718,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(10), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(10), O => \axi_rdata[10]_i_37_n_0\ ); @@ -4731,9 +4731,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][10]\, I1 => \data_rw_o_reg_n_0_[78][10]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[10]_i_39_n_0\ ); \axi_rdata[10]_i_42\: unisim.vcomponents.LUT6 @@ -4743,9 +4743,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(10), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(10), O => \axi_rdata[10]_i_42_n_0\ ); @@ -4756,9 +4756,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(10), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(10), O => \axi_rdata[10]_i_43_n_0\ ); @@ -4832,22 +4832,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(3), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[11]_i_33_n_0\, O => \axi_rdata[11]_i_13_n_0\ ); \axi_rdata[11]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CC408840" + INIT => X"CCC888C8" ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(11), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][11]\, O => \axi_rdata[11]_i_14_n_0\ ); @@ -4858,9 +4858,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[11]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[11]_i_17_n_0\ ); @@ -4871,9 +4871,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[11]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(3), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[11]_i_19_n_0\ ); \axi_rdata[11]_i_23\: unisim.vcomponents.LUT4 @@ -4881,9 +4881,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(11), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[11]_i_23_n_0\ ); @@ -4894,9 +4894,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(11), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(11), O => \axi_rdata[11]_i_29_n_0\ ); @@ -4907,9 +4907,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(11), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(11), O => \axi_rdata[11]_i_30_n_0\ ); @@ -4920,9 +4920,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(11), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(11), O => \axi_rdata[11]_i_31_n_0\ ); @@ -4933,9 +4933,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(11), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(11), O => \axi_rdata[11]_i_32_n_0\ ); @@ -4946,9 +4946,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][11]\, I1 => \data_rw_o_reg_n_0_[10][11]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[11]_i_33_n_0\ ); \axi_rdata[11]_i_34\: unisim.vcomponents.LUT6 @@ -4958,9 +4958,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(11), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(11), O => \axi_rdata[11]_i_34_n_0\ ); @@ -4971,9 +4971,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(11), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(11), O => \axi_rdata[11]_i_35_n_0\ ); @@ -4984,9 +4984,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(11), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(11), O => \axi_rdata[11]_i_36_n_0\ ); @@ -4997,9 +4997,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(11), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(11), O => \axi_rdata[11]_i_37_n_0\ ); @@ -5010,9 +5010,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][11]\, I1 => \data_rw_o_reg_n_0_[78][11]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[11]_i_39_n_0\ ); \axi_rdata[11]_i_42\: unisim.vcomponents.LUT6 @@ -5022,9 +5022,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(11), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(11), O => \axi_rdata[11]_i_42_n_0\ ); @@ -5035,9 +5035,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(11), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(11), O => \axi_rdata[11]_i_43_n_0\ ); @@ -5111,23 +5111,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(4), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[12]_i_33_n_0\, O => \axi_rdata[12]_i_13_n_0\ ); \axi_rdata[12]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"44C800C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][12]\, + I0 => \^axi_rdata_reg[18]_0\, I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(12), I3 => \^axi_rdata_reg[12]_0\, - I4 => \^axi_rdata_reg[18]_0\, + I4 => \data_rw_o_reg_n_0_[3][12]\, O => \axi_rdata[12]_i_14_n_0\ ); \axi_rdata[12]_i_17\: unisim.vcomponents.LUT6 @@ -5137,9 +5137,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[12]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[12]_i_17_n_0\ ); @@ -5150,9 +5150,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[12]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(4), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[12]_i_19_n_0\ ); \axi_rdata[12]_i_23\: unisim.vcomponents.LUT4 @@ -5160,9 +5160,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(12), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[12]_i_23_n_0\ ); @@ -5173,9 +5173,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][12]\, I1 => \data_rw_o_reg_n_0_[26][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[25][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[24][12]\, O => \axi_rdata[12]_i_29_n_0\ ); @@ -5186,9 +5186,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][12]\, I1 => \data_rw_o_reg_n_0_[30][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[29][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[28][12]\, O => \axi_rdata[12]_i_30_n_0\ ); @@ -5199,9 +5199,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][12]\, I1 => \data_rw_o_reg_n_0_[18][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[17][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[16][12]\, O => \axi_rdata[12]_i_31_n_0\ ); @@ -5212,9 +5212,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][12]\, I1 => \data_rw_o_reg_n_0_[22][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[21][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[20][12]\, O => \axi_rdata[12]_i_32_n_0\ ); @@ -5225,9 +5225,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][12]\, I1 => \data_rw_o_reg_n_0_[10][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[12]_i_33_n_0\ ); \axi_rdata[12]_i_34\: unisim.vcomponents.LUT6 @@ -5237,9 +5237,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][12]\, I1 => \data_rw_o_reg_n_0_[34][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[33][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[32][12]\, O => \axi_rdata[12]_i_34_n_0\ ); @@ -5250,9 +5250,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][12]\, I1 => \data_rw_o_reg_n_0_[90][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[89][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[88][12]\, O => \axi_rdata[12]_i_35_n_0\ ); @@ -5263,9 +5263,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][12]\, I1 => \data_rw_o_reg_n_0_[94][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[93][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[92][12]\, O => \axi_rdata[12]_i_36_n_0\ ); @@ -5276,9 +5276,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][12]\, I1 => \data_rw_o_reg_n_0_[86][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[85][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[84][12]\, O => \axi_rdata[12]_i_37_n_0\ ); @@ -5289,9 +5289,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][12]\, I1 => \data_rw_o_reg_n_0_[78][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[12]_i_39_n_0\ ); \axi_rdata[12]_i_42\: unisim.vcomponents.LUT6 @@ -5301,9 +5301,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][12]\, I1 => \data_rw_o_reg_n_0_[98][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[97][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[96][12]\, O => \axi_rdata[12]_i_42_n_0\ ); @@ -5314,9 +5314,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][12]\, I1 => \data_rw_o_reg_n_0_[102][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[101][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[100][12]\, O => \axi_rdata[12]_i_43_n_0\ ); @@ -5390,23 +5390,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(5), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[13]_i_33_n_0\, O => \axi_rdata[13]_i_13_n_0\ ); \axi_rdata[13]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"0000B800" ) port map ( - I0 => \^axi_rdata_reg[18]_0\, + I0 => \data_rw_o_reg_n_0_[3][13]\, I1 => \^axi_rdata_reg[17]_0\, I2 => gem_status_vector_i(13), I3 => \^axi_rdata_reg[17]_1\, - I4 => \data_rw_o_reg_n_0_[3][13]\, + I4 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[13]_i_14_n_0\ ); \axi_rdata[13]_i_17\: unisim.vcomponents.LUT6 @@ -5416,9 +5416,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[13]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[13]_i_17_n_0\ ); @@ -5429,9 +5429,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[13]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(5), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[13]_i_19_n_0\ ); \axi_rdata[13]_i_23\: unisim.vcomponents.LUT4 @@ -5439,9 +5439,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(13), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[13]_i_23_n_0\ ); @@ -5452,9 +5452,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][13]\, I1 => \data_rw_o_reg_n_0_[26][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][13]\, O => \axi_rdata[13]_i_29_n_0\ ); @@ -5465,9 +5465,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][13]\, I1 => \data_rw_o_reg_n_0_[30][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][13]\, O => \axi_rdata[13]_i_30_n_0\ ); @@ -5478,9 +5478,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][13]\, I1 => \data_rw_o_reg_n_0_[18][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][13]\, O => \axi_rdata[13]_i_31_n_0\ ); @@ -5491,9 +5491,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][13]\, I1 => \data_rw_o_reg_n_0_[22][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][13]\, O => \axi_rdata[13]_i_32_n_0\ ); @@ -5504,9 +5504,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][13]\, I1 => \data_rw_o_reg_n_0_[10][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[13]_i_33_n_0\ ); \axi_rdata[13]_i_34\: unisim.vcomponents.LUT6 @@ -5516,9 +5516,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][13]\, I1 => \data_rw_o_reg_n_0_[34][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][13]\, O => \axi_rdata[13]_i_34_n_0\ ); @@ -5529,9 +5529,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][13]\, I1 => \data_rw_o_reg_n_0_[90][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][13]\, O => \axi_rdata[13]_i_35_n_0\ ); @@ -5542,9 +5542,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][13]\, I1 => \data_rw_o_reg_n_0_[94][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][13]\, O => \axi_rdata[13]_i_36_n_0\ ); @@ -5555,9 +5555,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][13]\, I1 => \data_rw_o_reg_n_0_[86][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][13]\, O => \axi_rdata[13]_i_37_n_0\ ); @@ -5568,9 +5568,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][13]\, I1 => \data_rw_o_reg_n_0_[78][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[13]_i_39_n_0\ ); \axi_rdata[13]_i_42\: unisim.vcomponents.LUT6 @@ -5580,9 +5580,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][13]\, I1 => \data_rw_o_reg_n_0_[98][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][13]\, O => \axi_rdata[13]_i_42_n_0\ ); @@ -5593,9 +5593,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][13]\, I1 => \data_rw_o_reg_n_0_[102][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][13]\, O => \axi_rdata[13]_i_43_n_0\ ); @@ -5669,9 +5669,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(6), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[14]_i_33_n_0\, O => \axi_rdata[14]_i_13_n_0\ @@ -5682,9 +5682,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \data_rw_o_reg_n_0_[3][14]\, - I1 => \^axi_rdata_reg[17]_1\, + I1 => \^axi_rdata_reg[17]_0\, I2 => gem_status_vector_i(14), - I3 => \^axi_rdata_reg[17]_0\, + I3 => \^axi_rdata_reg[17]_1\, I4 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[14]_i_14_n_0\ ); @@ -5695,9 +5695,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[14]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[14]_i_17_n_0\ ); @@ -5708,9 +5708,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[14]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(6), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[14]_i_19_n_0\ ); \axi_rdata[14]_i_23\: unisim.vcomponents.LUT4 @@ -5718,9 +5718,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(14), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[14]_i_23_n_0\ ); @@ -5731,9 +5731,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][14]\, I1 => \data_rw_o_reg_n_0_[26][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][14]\, O => \axi_rdata[14]_i_29_n_0\ ); @@ -5744,9 +5744,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][14]\, I1 => \data_rw_o_reg_n_0_[30][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][14]\, O => \axi_rdata[14]_i_30_n_0\ ); @@ -5757,9 +5757,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][14]\, I1 => \data_rw_o_reg_n_0_[18][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][14]\, O => \axi_rdata[14]_i_31_n_0\ ); @@ -5770,9 +5770,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][14]\, I1 => \data_rw_o_reg_n_0_[22][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][14]\, O => \axi_rdata[14]_i_32_n_0\ ); @@ -5783,9 +5783,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][14]\, I1 => \data_rw_o_reg_n_0_[10][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[14]_i_33_n_0\ ); \axi_rdata[14]_i_34\: unisim.vcomponents.LUT6 @@ -5795,9 +5795,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][14]\, I1 => \data_rw_o_reg_n_0_[34][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][14]\, O => \axi_rdata[14]_i_34_n_0\ ); @@ -5808,9 +5808,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][14]\, I1 => \data_rw_o_reg_n_0_[90][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][14]\, O => \axi_rdata[14]_i_35_n_0\ ); @@ -5821,9 +5821,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][14]\, I1 => \data_rw_o_reg_n_0_[94][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][14]\, O => \axi_rdata[14]_i_36_n_0\ ); @@ -5834,9 +5834,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][14]\, I1 => \data_rw_o_reg_n_0_[86][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][14]\, O => \axi_rdata[14]_i_37_n_0\ ); @@ -5847,9 +5847,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][14]\, I1 => \data_rw_o_reg_n_0_[78][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[14]_i_39_n_0\ ); \axi_rdata[14]_i_42\: unisim.vcomponents.LUT6 @@ -5859,9 +5859,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][14]\, I1 => \data_rw_o_reg_n_0_[98][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][14]\, O => \axi_rdata[14]_i_42_n_0\ ); @@ -5872,9 +5872,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][14]\, I1 => \data_rw_o_reg_n_0_[102][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][14]\, O => \axi_rdata[14]_i_43_n_0\ ); @@ -5948,23 +5948,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(7), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[15]_i_33_n_0\, O => \axi_rdata[15]_i_13_n_0\ ); \axi_rdata[15]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"44C800C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][15]\, + I0 => \^axi_rdata_reg[18]_0\, I1 => \^axi_rdata_reg[17]_1\, I2 => gem_status_vector_i(15), I3 => \^axi_rdata_reg[17]_0\, - I4 => \^axi_rdata_reg[18]_0\, + I4 => \data_rw_o_reg_n_0_[3][15]\, O => \axi_rdata[15]_i_14_n_0\ ); \axi_rdata[15]_i_17\: unisim.vcomponents.LUT6 @@ -5974,9 +5974,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[15]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[15]_i_17_n_0\ ); @@ -5987,9 +5987,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[15]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(7), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[15]_i_19_n_0\ ); \axi_rdata[15]_i_23\: unisim.vcomponents.LUT4 @@ -5997,9 +5997,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(15), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[15]_i_23_n_0\ ); @@ -6010,9 +6010,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][15]\, I1 => \data_rw_o_reg_n_0_[26][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][15]\, O => \axi_rdata[15]_i_29_n_0\ ); @@ -6023,9 +6023,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][15]\, I1 => \data_rw_o_reg_n_0_[30][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][15]\, O => \axi_rdata[15]_i_30_n_0\ ); @@ -6036,9 +6036,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][15]\, I1 => \data_rw_o_reg_n_0_[18][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][15]\, O => \axi_rdata[15]_i_31_n_0\ ); @@ -6049,9 +6049,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][15]\, I1 => \data_rw_o_reg_n_0_[22][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][15]\, O => \axi_rdata[15]_i_32_n_0\ ); @@ -6062,9 +6062,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][15]\, I1 => \data_rw_o_reg_n_0_[10][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[15]_i_33_n_0\ ); \axi_rdata[15]_i_34\: unisim.vcomponents.LUT6 @@ -6074,9 +6074,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][15]\, I1 => \data_rw_o_reg_n_0_[34][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][15]\, O => \axi_rdata[15]_i_34_n_0\ ); @@ -6087,9 +6087,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][15]\, I1 => \data_rw_o_reg_n_0_[90][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][15]\, O => \axi_rdata[15]_i_35_n_0\ ); @@ -6100,9 +6100,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][15]\, I1 => \data_rw_o_reg_n_0_[94][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][15]\, O => \axi_rdata[15]_i_36_n_0\ ); @@ -6113,9 +6113,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][15]\, I1 => \data_rw_o_reg_n_0_[86][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][15]\, O => \axi_rdata[15]_i_37_n_0\ ); @@ -6126,9 +6126,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][15]\, I1 => \data_rw_o_reg_n_0_[78][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[15]_i_39_n_0\ ); \axi_rdata[15]_i_42\: unisim.vcomponents.LUT6 @@ -6138,9 +6138,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][15]\, I1 => \data_rw_o_reg_n_0_[98][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][15]\, O => \axi_rdata[15]_i_42_n_0\ ); @@ -6151,9 +6151,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][15]\, I1 => \data_rw_o_reg_n_0_[102][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][15]\, O => \axi_rdata[15]_i_43_n_0\ ); @@ -6227,22 +6227,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(8), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[16]_i_33_n_0\, O => \axi_rdata[16]_i_13_n_0\ ); \axi_rdata[16]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"4088" ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[17]_0\, + I1 => \^axi_rdata_reg[17]_1\, I2 => \data_rw_o_reg_n_0_[3][16]\, - I3 => \^axi_rdata_reg[17]_1\, + I3 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_14_n_0\ ); \axi_rdata[16]_i_17\: unisim.vcomponents.LUT6 @@ -6252,9 +6252,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[16]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[16]_i_17_n_0\ ); @@ -6265,9 +6265,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[16]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(8), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[16]_i_19_n_0\ ); \axi_rdata[16]_i_23\: unisim.vcomponents.LUT4 @@ -6275,9 +6275,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(16), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[16]_i_23_n_0\ ); @@ -6288,9 +6288,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][16]\, I1 => \data_rw_o_reg_n_0_[26][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][16]\, O => \axi_rdata[16]_i_29_n_0\ ); @@ -6301,9 +6301,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][16]\, I1 => \data_rw_o_reg_n_0_[30][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][16]\, O => \axi_rdata[16]_i_30_n_0\ ); @@ -6314,9 +6314,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][16]\, I1 => \data_rw_o_reg_n_0_[18][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][16]\, O => \axi_rdata[16]_i_31_n_0\ ); @@ -6327,9 +6327,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][16]\, I1 => \data_rw_o_reg_n_0_[22][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][16]\, O => \axi_rdata[16]_i_32_n_0\ ); @@ -6340,9 +6340,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][16]\, I1 => \data_rw_o_reg_n_0_[10][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_33_n_0\ ); \axi_rdata[16]_i_34\: unisim.vcomponents.LUT6 @@ -6352,9 +6352,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][16]\, I1 => \data_rw_o_reg_n_0_[34][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][16]\, O => \axi_rdata[16]_i_34_n_0\ ); @@ -6365,9 +6365,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][16]\, I1 => \data_rw_o_reg_n_0_[90][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][16]\, O => \axi_rdata[16]_i_35_n_0\ ); @@ -6378,9 +6378,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][16]\, I1 => \data_rw_o_reg_n_0_[94][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][16]\, O => \axi_rdata[16]_i_36_n_0\ ); @@ -6391,9 +6391,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][16]\, I1 => \data_rw_o_reg_n_0_[86][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][16]\, O => \axi_rdata[16]_i_37_n_0\ ); @@ -6404,9 +6404,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][16]\, I1 => \data_rw_o_reg_n_0_[78][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_39_n_0\ ); \axi_rdata[16]_i_42\: unisim.vcomponents.LUT6 @@ -6416,9 +6416,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][16]\, I1 => \data_rw_o_reg_n_0_[98][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][16]\, O => \axi_rdata[16]_i_42_n_0\ ); @@ -6429,9 +6429,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][16]\, I1 => \data_rw_o_reg_n_0_[102][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][16]\, O => \axi_rdata[16]_i_43_n_0\ ); @@ -6505,9 +6505,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(9), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[17]_i_33_n_0\, O => \axi_rdata[17]_i_13_n_0\ @@ -6518,9 +6518,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[17]_0\, + I1 => \^axi_rdata_reg[17]_1\, I2 => \data_rw_o_reg_n_0_[3][17]\, - I3 => \^axi_rdata_reg[17]_1\, + I3 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_14_n_0\ ); \axi_rdata[17]_i_17\: unisim.vcomponents.LUT6 @@ -6530,9 +6530,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[17]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[17]_i_17_n_0\ ); @@ -6543,9 +6543,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[17]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(9), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[17]_i_19_n_0\ ); \axi_rdata[17]_i_23\: unisim.vcomponents.LUT4 @@ -6553,9 +6553,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(17), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[17]_i_23_n_0\ ); @@ -6566,9 +6566,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][17]\, I1 => \data_rw_o_reg_n_0_[26][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][17]\, O => \axi_rdata[17]_i_29_n_0\ ); @@ -6579,9 +6579,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][17]\, I1 => \data_rw_o_reg_n_0_[30][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][17]\, O => \axi_rdata[17]_i_30_n_0\ ); @@ -6592,9 +6592,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][17]\, I1 => \data_rw_o_reg_n_0_[18][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][17]\, O => \axi_rdata[17]_i_31_n_0\ ); @@ -6605,9 +6605,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][17]\, I1 => \data_rw_o_reg_n_0_[22][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][17]\, O => \axi_rdata[17]_i_32_n_0\ ); @@ -6618,9 +6618,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][17]\, I1 => \data_rw_o_reg_n_0_[10][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_33_n_0\ ); \axi_rdata[17]_i_34\: unisim.vcomponents.LUT6 @@ -6630,9 +6630,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][17]\, I1 => \data_rw_o_reg_n_0_[34][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][17]\, O => \axi_rdata[17]_i_34_n_0\ ); @@ -6643,9 +6643,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][17]\, I1 => \data_rw_o_reg_n_0_[90][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][17]\, O => \axi_rdata[17]_i_35_n_0\ ); @@ -6656,9 +6656,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][17]\, I1 => \data_rw_o_reg_n_0_[94][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][17]\, O => \axi_rdata[17]_i_36_n_0\ ); @@ -6669,9 +6669,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][17]\, I1 => \data_rw_o_reg_n_0_[86][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][17]\, O => \axi_rdata[17]_i_37_n_0\ ); @@ -6682,9 +6682,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][17]\, I1 => \data_rw_o_reg_n_0_[78][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_39_n_0\ ); \axi_rdata[17]_i_42\: unisim.vcomponents.LUT6 @@ -6694,9 +6694,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][17]\, I1 => \data_rw_o_reg_n_0_[98][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][17]\, O => \axi_rdata[17]_i_42_n_0\ ); @@ -6707,9 +6707,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][17]\, I1 => \data_rw_o_reg_n_0_[102][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][17]\, O => \axi_rdata[17]_i_43_n_0\ ); @@ -6792,7 +6792,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[18]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"4088" ) port map ( I0 => \^axi_rdata_reg[18]_0\, @@ -7070,7 +7070,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[19]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"4088" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -7336,7 +7336,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[1]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"EE55FA00EE00FA00" + INIT => X"EE555000EE005000" ) port map ( I0 => \^axi_rdata_reg[9]_0\, @@ -7946,13 +7946,13 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[21]_i_24\: unisim.vcomponents.LUT4 generic map( - INIT => X"0080" + INIT => X"C888" ) port map ( - I0 => \^axi_rdata_reg[22]_1\, - I1 => \data_rw_o_reg_n_0_[3][21]\, - I2 => \^axi_rdata_reg[22]_0\, - I3 => \^axi_rdata_reg[23]_0\(2), + I0 => \^axi_rdata_reg[23]_0\(2), + I1 => \^axi_rdata_reg[22]_0\, + I2 => \data_rw_o_reg_n_0_[3][21]\, + I3 => \^axi_rdata_reg[22]_1\, O => \axi_rdata[21]_i_24_n_0\ ); \axi_rdata[21]_i_25\: unisim.vcomponents.LUT6 @@ -8224,7 +8224,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[22]_i_24\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -8500,7 +8500,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[23]_i_25\: unisim.vcomponents.LUT4 generic map( - INIT => X"4088" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -8932,15 +8932,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[24]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"8B888888B888B888" + INIT => X"88888888B8888888" ) port map ( I0 => \axi_rdata[24]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(2), - I3 => \^axi_rdata_reg[23]_0\(1), - I4 => \data_rw_o_reg_n_0_[3][24]\, - I5 => \^axi_rdata_reg[23]_0\(0), + I2 => \^axi_rdata_reg[23]_0\(0), + I3 => \data_rw_o_reg_n_0_[3][24]\, + I4 => \^axi_rdata_reg[23]_0\(1), + I5 => \^axi_rdata_reg[23]_0\(2), O => \axi_rdata[24]_i_9_n_0\ ); \axi_rdata[25]_i_1\: unisim.vcomponents.LUT4 @@ -9173,7 +9173,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[25]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"BB88B88888888888" + INIT => X"BB88B888B888B888" ) port map ( I0 => \axi_rdata[25]_i_17_n_0\, @@ -9414,15 +9414,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[26]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888888B8888888" + INIT => X"BB88B88888888888" ) port map ( I0 => \axi_rdata[26]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(0), - I3 => \data_rw_o_reg_n_0_[3][26]\, - I4 => \^axi_rdata_reg[23]_0\(1), - I5 => \^axi_rdata_reg[23]_0\(2), + I2 => \^axi_rdata_reg[23]_0\(2), + I3 => \^axi_rdata_reg[23]_0\(1), + I4 => \data_rw_o_reg_n_0_[3][26]\, + I5 => \^axi_rdata_reg[23]_0\(0), O => \axi_rdata[26]_i_9_n_0\ ); \axi_rdata[27]_i_1\: unisim.vcomponents.LUT4 @@ -9896,7 +9896,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[28]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"BB88B888B888B888" + INIT => X"8B888888B888B888" ) port map ( I0 => \axi_rdata[28]_i_17_n_0\, @@ -10137,15 +10137,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[29]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888888B8888888" + INIT => X"BB88B88888888888" ) port map ( I0 => \axi_rdata[29]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(0), - I3 => \data_rw_o_reg_n_0_[3][29]\, - I4 => \^axi_rdata_reg[23]_0\(1), - I5 => \^axi_rdata_reg[23]_0\(2), + I2 => \^axi_rdata_reg[23]_0\(2), + I3 => \^axi_rdata_reg[23]_0\(1), + I4 => \data_rw_o_reg_n_0_[3][29]\, + I5 => \^axi_rdata_reg[23]_0\(0), O => \axi_rdata[29]_i_9_n_0\ ); \axi_rdata[2]_i_1\: unisim.vcomponents.LUT6 @@ -10163,15 +10163,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[2]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F8C83808" + INIT => X"4455FA004400FA00" ) port map ( - I0 => \s_ins_reg[3]\(2), - I1 => \^axi_rdata_reg[2]_1\, - I2 => \^axi_rdata_reg[2]_0\, - I3 => gem_status_vector_i(2), - I4 => \data_rw_o_reg_n_0_[3][2]\, - I5 => \^axi_rdata_reg[9]_0\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \data_rw_o_reg_n_0_[3][2]\, + I2 => gem_status_vector_i(2), + I3 => \^axi_rdata_reg[2]_0\, + I4 => \^axi_rdata_reg[2]_1\, + I5 => \s_ins_reg[3]\(2), O => \axi_rdata[2]_i_14_n_0\ ); \axi_rdata[2]_i_17\: unisim.vcomponents.LUT6 @@ -10913,15 +10913,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[3]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"EE55FA00EE00FA00" + INIT => X"00000000F8C83808" ) port map ( - I0 => \^axi_rdata_reg[9]_0\, - I1 => \data_rw_o_reg_n_0_[3][3]\, - I2 => gem_status_vector_i(3), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[7]_1\, - I5 => \s_ins_reg[3]\(3), + I0 => \s_ins_reg[3]\(3), + I1 => \^axi_rdata_reg[7]_1\, + I2 => \^axi_rdata_reg[7]_0\, + I3 => gem_status_vector_i(3), + I4 => \data_rw_o_reg_n_0_[3][3]\, + I5 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[3]_i_14_n_0\ ); \axi_rdata[3]_i_17\: unisim.vcomponents.LUT6 @@ -11171,7 +11171,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[4]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"CC408840" ) port map ( I0 => \^axi_rdata_reg[9]_0\, @@ -11426,14 +11426,14 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[5]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"CCC888C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][5]\, - I1 => \^axi_rdata_reg[7]_1\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \^axi_rdata_reg[7]_0\, I2 => gem_status_vector_i(5), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[9]_0\, + I3 => \^axi_rdata_reg[7]_1\, + I4 => \data_rw_o_reg_n_0_[3][5]\, O => \axi_rdata[5]_i_14_n_0\ ); \axi_rdata[5]_i_17\: unisim.vcomponents.LUT6 @@ -11936,14 +11936,14 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[7]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"CC408840" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][7]\, - I1 => \^axi_rdata_reg[7]_1\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \^axi_rdata_reg[7]_0\, I2 => gem_status_vector_i(7), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[9]_0\, + I3 => \^axi_rdata_reg[7]_1\, + I4 => \data_rw_o_reg_n_0_[3][7]\, O => \axi_rdata[7]_i_14_n_0\ ); \axi_rdata[7]_i_17\: unisim.vcomponents.LUT6 @@ -12194,23 +12194,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(0), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[9]_0\, I4 => \axi_rdata[8]_i_33_n_0\, O => \axi_rdata[8]_i_13_n_0\ ); \axi_rdata[8]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"0000B800" ) port map ( - I0 => \^axi_rdata_reg[9]_0\, + I0 => \data_rw_o_reg_n_0_[3][8]\, I1 => \^axi_rdata_reg[12]_0\, I2 => gem_status_vector_i(8), I3 => \^axi_rdata_reg[12]_1\, - I4 => \data_rw_o_reg_n_0_[3][8]\, + I4 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[8]_i_14_n_0\ ); \axi_rdata[8]_i_17\: unisim.vcomponents.LUT6 @@ -12220,9 +12220,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[8]_i_34_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[8]_i_17_n_0\ ); @@ -12233,9 +12233,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[8]_i_37_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(0), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[8]_i_19_n_0\ ); \axi_rdata[8]_i_23\: unisim.vcomponents.LUT4 @@ -12243,9 +12243,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(8), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[8]_i_23_n_0\ ); @@ -12256,9 +12256,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(8), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(8), O => \axi_rdata[8]_i_29_n_0\ ); @@ -12269,9 +12269,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(8), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(8), O => \axi_rdata[8]_i_30_n_0\ ); @@ -12282,9 +12282,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(8), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(8), O => \axi_rdata[8]_i_31_n_0\ ); @@ -12295,9 +12295,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(8), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(8), O => \axi_rdata[8]_i_32_n_0\ ); @@ -12308,9 +12308,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][8]\, I1 => \data_rw_o_reg_n_0_[10][8]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[8]_i_33_n_0\ ); \axi_rdata[8]_i_34\: unisim.vcomponents.LUT6 @@ -12320,9 +12320,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(8), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(8), O => \axi_rdata[8]_i_34_n_0\ ); @@ -12333,9 +12333,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(8), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(8), O => \axi_rdata[8]_i_35_n_0\ ); @@ -12346,9 +12346,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(8), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(8), O => \axi_rdata[8]_i_36_n_0\ ); @@ -12359,9 +12359,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(8), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(8), O => \axi_rdata[8]_i_37_n_0\ ); @@ -12372,9 +12372,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][8]\, I1 => \data_rw_o_reg_n_0_[78][8]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[8]_i_39_n_0\ ); \axi_rdata[8]_i_42\: unisim.vcomponents.LUT6 @@ -12384,9 +12384,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(8), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(8), O => \axi_rdata[8]_i_42_n_0\ ); @@ -12397,9 +12397,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(8), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(8), O => \axi_rdata[8]_i_43_n_0\ ); @@ -12473,22 +12473,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(1), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[9]_0\, I4 => \axi_rdata[9]_i_33_n_0\, O => \axi_rdata[9]_i_13_n_0\ ); \axi_rdata[9]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"44C800C8" + INIT => X"CCC888C8" ) port map ( I0 => \^axi_rdata_reg[9]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(9), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][9]\, O => \axi_rdata[9]_i_14_n_0\ ); @@ -12499,9 +12499,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[9]_i_34_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[9]_i_17_n_0\ ); @@ -12512,9 +12512,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[9]_i_37_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(1), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[9]_i_19_n_0\ ); \axi_rdata[9]_i_23\: unisim.vcomponents.LUT4 @@ -12522,9 +12522,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(9), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[9]_i_23_n_0\ ); @@ -12535,9 +12535,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(9), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(9), O => \axi_rdata[9]_i_29_n_0\ ); @@ -12548,9 +12548,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(9), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(9), O => \axi_rdata[9]_i_30_n_0\ ); @@ -12561,9 +12561,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(9), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(9), O => \axi_rdata[9]_i_31_n_0\ ); @@ -12574,9 +12574,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(9), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(9), O => \axi_rdata[9]_i_32_n_0\ ); @@ -12587,9 +12587,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][9]\, I1 => \data_rw_o_reg_n_0_[10][9]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[9]_i_33_n_0\ ); \axi_rdata[9]_i_34\: unisim.vcomponents.LUT6 @@ -12599,9 +12599,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(9), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(9), O => \axi_rdata[9]_i_34_n_0\ ); @@ -12612,9 +12612,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(9), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(9), O => \axi_rdata[9]_i_35_n_0\ ); @@ -12625,9 +12625,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(9), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(9), O => \axi_rdata[9]_i_36_n_0\ ); @@ -12638,9 +12638,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(9), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(9), O => \axi_rdata[9]_i_37_n_0\ ); @@ -12651,9 +12651,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][9]\, I1 => \data_rw_o_reg_n_0_[78][9]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[9]_i_39_n_0\ ); \axi_rdata[9]_i_42\: unisim.vcomponents.LUT6 @@ -12663,9 +12663,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(9), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(9), O => \axi_rdata[9]_i_42_n_0\ ); @@ -12676,9 +12676,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(9), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(9), O => \axi_rdata[9]_i_43_n_0\ ); @@ -107508,13 +107508,13 @@ cmp_general_fmc1: entity work.system_design_fasec_hwtest_0_0_general_fmc \FMC1_LA_P_b[32]\(19 downto 0) => FMC1_LA_P_b(19 downto 0), Q(11 downto 0) => \gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0\(11 downto 0), \axi_araddr_reg[2]_rep\ => cmp_axi4lite_slave_n_524, - \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_522, - \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_519, + \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_521, + \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_518, \axi_araddr_reg[2]_rep__2\ => cmp_axi4lite_slave_n_517, \axi_araddr_reg[2]_rep__3\ => cmp_axi4lite_slave_n_220, \axi_araddr_reg[3]_rep\ => cmp_axi4lite_slave_n_523, - \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_521, - \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_518, + \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_522, + \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_519, \axi_araddr_reg[3]_rep__2\ => cmp_axi4lite_slave_n_516, \axi_araddr_reg[3]_rep__3\ => cmp_axi4lite_slave_n_219, \axi_araddr_reg[4]\(2 downto 0) => axi_araddr(4 downto 2), @@ -107697,13 +107697,13 @@ cmp_general_fmc2: entity work.system_design_fasec_hwtest_0_0_general_fmc_0 \FMC2_LA_P_b[32]\(19 downto 0) => FMC2_LA_P_b(19 downto 0), Q(11 downto 0) => \gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0_15\(11 downto 0), \axi_araddr_reg[2]_rep\ => cmp_axi4lite_slave_n_524, - \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_522, - \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_519, + \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_521, + \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_518, \axi_araddr_reg[2]_rep__2\ => cmp_axi4lite_slave_n_517, \axi_araddr_reg[2]_rep__3\ => cmp_axi4lite_slave_n_220, \axi_araddr_reg[3]_rep\ => cmp_axi4lite_slave_n_523, - \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_521, - \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_518, + \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_522, + \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_519, \axi_araddr_reg[3]_rep__2\ => cmp_axi4lite_slave_n_516, \axi_araddr_reg[3]_rep__3\ => cmp_axi4lite_slave_n_219, \axi_araddr_reg[4]_rep\ => cmp_axi4lite_slave_n_520, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v index 6236f974..165491d9 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:10:22 2017 +// Date : Mon Dec 18 11:37:46 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl index a9efeeb5..64cbee6d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:10:22 2017 +-- Date : Mon Dec 18 11:37:46 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v index 816992a9..8ed659ac 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v @@ -149,8 +149,8 @@ // CR #682573 // Added BIBUF to fixed IO ports and IBUF to fixed input ports //------------------------------------------------------------------------------ -(*POWER= "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) -(* CORE_GENERATION_INFO = "processing_system7_v5.5 ,processing_system7_v5.5_user_configuration,{ PCW_UIPARAM_DDR_FREQ_MHZ=533.333333, PCW_UIPARAM_DDR_BANK_ADDR_COUNT=3, PCW_UIPARAM_DDR_ROW_ADDR_COUNT=15, PCW_UIPARAM_DDR_COL_ADDR_COUNT=10, PCW_UIPARAM_DDR_CL=7, PCW_UIPARAM_DDR_CWL=6, PCW_UIPARAM_DDR_T_RCD=7, PCW_UIPARAM_DDR_T_RP=7, PCW_UIPARAM_DDR_T_RC=48.75, PCW_UIPARAM_DDR_T_RAS_MIN=35.0, PCW_UIPARAM_DDR_T_FAW=40.0, PCW_UIPARAM_DDR_AL=0, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2=0.186, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3=0.185, PCW_UIPARAM_DDR_BOARD_DELAY0=0.310, PCW_UIPARAM_DDR_BOARD_DELAY1=0.310, PCW_UIPARAM_DDR_BOARD_DELAY2=0.368, PCW_UIPARAM_DDR_BOARD_DELAY3=0.368, PCW_UIPARAM_DDR_DQS_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_3_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH=119.765, PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH=90.906, PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH=106.248, PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH=113.2035, PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH=120.1555, PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH=97.811, PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH=102.5285, PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH=113.5445, PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY=160, PCW_CRYSTAL_PERIPHERAL_FREQMHZ=33.333333, PCW_APU_PERIPHERAL_FREQMHZ=666.666666, PCW_DCI_PERIPHERAL_FREQMHZ=10.159, PCW_QSPI_PERIPHERAL_FREQMHZ=125, PCW_SMC_PERIPHERAL_FREQMHZ=100, PCW_USB0_PERIPHERAL_FREQMHZ=60, PCW_USB1_PERIPHERAL_FREQMHZ=60, PCW_SDIO_PERIPHERAL_FREQMHZ=25, PCW_UART_PERIPHERAL_FREQMHZ=100, PCW_SPI_PERIPHERAL_FREQMHZ=166.666666, PCW_CAN_PERIPHERAL_FREQMHZ=100, PCW_CAN0_PERIPHERAL_FREQMHZ=-1, PCW_CAN1_PERIPHERAL_FREQMHZ=-1, PCW_WDT_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC_PERIPHERAL_FREQMHZ=50, PCW_TTC0_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_PCAP_PERIPHERAL_FREQMHZ=200, PCW_TPIU_PERIPHERAL_FREQMHZ=200, PCW_FPGA0_PERIPHERAL_FREQMHZ=100, PCW_FPGA1_PERIPHERAL_FREQMHZ=10, PCW_FPGA2_PERIPHERAL_FREQMHZ=200, PCW_FPGA3_PERIPHERAL_FREQMHZ=125, PCW_OVERRIDE_BASIC_CLOCK=0, PCW_ARMPLL_CTRL_FBDIV=40, PCW_IOPLL_CTRL_FBDIV=30, PCW_DDRPLL_CTRL_FBDIV=32, PCW_CPU_CPU_PLL_FREQMHZ=1333.333, PCW_IO_IO_PLL_FREQMHZ=1000.000, PCW_DDR_DDR_PLL_FREQMHZ=1066.667, PCW_USE_M_AXI_GP0=1, PCW_USE_M_AXI_GP1=1, PCW_USE_S_AXI_GP0=1, PCW_USE_S_AXI_GP1=0, PCW_USE_S_AXI_ACP=0, PCW_USE_S_AXI_HP0=0, PCW_USE_S_AXI_HP1=0, PCW_USE_S_AXI_HP2=0, PCW_USE_S_AXI_HP3=0, PCW_M_AXI_GP0_FREQMHZ=100, PCW_M_AXI_GP1_FREQMHZ=62, PCW_S_AXI_GP0_FREQMHZ=100, PCW_S_AXI_GP1_FREQMHZ=10, PCW_S_AXI_ACP_FREQMHZ=10, PCW_S_AXI_HP0_FREQMHZ=10, PCW_S_AXI_HP1_FREQMHZ=10, PCW_S_AXI_HP2_FREQMHZ=10, PCW_S_AXI_HP3_FREQMHZ=10, PCW_USE_CROSS_TRIGGER=0, PCW_FTM_CTI_IN0=DISABLED, PCW_FTM_CTI_IN1=DISABLED, PCW_FTM_CTI_IN2=DISABLED, PCW_FTM_CTI_IN3=DISABLED, PCW_FTM_CTI_OUT0=DISABLED, PCW_FTM_CTI_OUT1=DISABLED, PCW_FTM_CTI_OUT2=DISABLED, PCW_FTM_CTI_OUT3=DISABLED, PCW_UART0_BAUD_RATE=115200, PCW_UART1_BAUD_RATE=115200, PCW_S_AXI_HP0_DATA_WIDTH=64, PCW_S_AXI_HP1_DATA_WIDTH=64, PCW_S_AXI_HP2_DATA_WIDTH=64, PCW_S_AXI_HP3_DATA_WIDTH=64, PCW_IRQ_F2P_MODE=DIRECT, PCW_PRESET_BANK0_VOLTAGE=LVCMOS 3.3V, PCW_PRESET_BANK1_VOLTAGE=LVCMOS 3.3V, PCW_UIPARAM_DDR_ENABLE=1, PCW_UIPARAM_DDR_ADV_ENABLE=0, PCW_UIPARAM_DDR_MEMORY_TYPE=DDR 3 (Low Voltage), PCW_UIPARAM_DDR_ECC=Disabled, PCW_UIPARAM_DDR_BUS_WIDTH=32 Bit, PCW_UIPARAM_DDR_BL=8, PCW_UIPARAM_DDR_HIGH_TEMP=Normal (0-85), PCW_UIPARAM_DDR_PARTNO=MT41K256M16 RE-125, PCW_UIPARAM_DDR_DRAM_WIDTH=16 Bits, PCW_UIPARAM_DDR_DEVICE_CAPACITY=4096 MBits, PCW_UIPARAM_DDR_SPEED_BIN=DDR3_1066F, PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL=1, PCW_UIPARAM_DDR_TRAIN_READ_GATE=1, PCW_UIPARAM_DDR_TRAIN_DATA_EYE=1, PCW_UIPARAM_DDR_CLOCK_STOP_EN=0, PCW_UIPARAM_DDR_USE_INTERNAL_VREF=0, PCW_DDR_PORT0_HPR_ENABLE=0, PCW_DDR_PORT1_HPR_ENABLE=0, PCW_DDR_PORT2_HPR_ENABLE=0, PCW_DDR_PORT3_HPR_ENABLE=0, PCW_DDR_HPRLPR_QUEUE_PARTITION=HPR(0)/LPR(32), PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL=15, PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_NAND_PERIPHERAL_ENABLE=0, PCW_NAND_GRP_D8_ENABLE=0, PCW_NOR_PERIPHERAL_ENABLE=0, PCW_NOR_GRP_A25_ENABLE=0, PCW_NOR_GRP_CS0_ENABLE=0, PCW_NOR_GRP_SRAM_CS0_ENABLE=0, PCW_NOR_GRP_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_INT_ENABLE=0, PCW_QSPI_PERIPHERAL_ENABLE=1, PCW_QSPI_QSPI_IO=MIO 1 .. 6, PCW_QSPI_GRP_SINGLE_SS_ENABLE=0, PCW_QSPI_GRP_SS1_ENABLE=0, PCW_QSPI_GRP_IO1_ENABLE=1, PCW_QSPI_GRP_IO1_IO=MIO 0 9 .. 13, PCW_QSPI_GRP_FBCLK_ENABLE=1, PCW_QSPI_GRP_FBCLK_IO=MIO 8, PCW_QSPI_INTERNAL_HIGHADDRESS=0xFDFFFFFF, PCW_ENET0_PERIPHERAL_ENABLE=1, PCW_ENET0_ENET0_IO=MIO 16 .. 27, PCW_ENET0_GRP_MDIO_ENABLE=1, PCW_ENET0_RESET_ENABLE=0, PCW_ENET1_PERIPHERAL_ENABLE=0, PCW_ENET1_GRP_MDIO_ENABLE=0, PCW_ENET1_RESET_ENABLE=0, PCW_SD0_PERIPHERAL_ENABLE=1, PCW_SD0_SD0_IO=MIO 40 .. 45, PCW_SD0_GRP_CD_ENABLE=1, PCW_SD0_GRP_CD_IO=MIO 46, PCW_SD0_GRP_WP_ENABLE=1, PCW_SD0_GRP_WP_IO=MIO 47, PCW_SD0_GRP_POW_ENABLE=0, PCW_SD1_PERIPHERAL_ENABLE=0, PCW_SD1_GRP_CD_ENABLE=0, PCW_SD1_GRP_WP_ENABLE=0, PCW_SD1_GRP_POW_ENABLE=0, PCW_UART0_PERIPHERAL_ENABLE=0, PCW_UART0_GRP_FULL_ENABLE=0, PCW_UART1_PERIPHERAL_ENABLE=1, PCW_UART1_UART1_IO=MIO 48 .. 49, PCW_UART1_GRP_FULL_ENABLE=0, PCW_SPI0_PERIPHERAL_ENABLE=0, PCW_SPI0_GRP_SS0_ENABLE=0, PCW_SPI0_GRP_SS1_ENABLE=0, PCW_SPI0_GRP_SS2_ENABLE=0, PCW_SPI1_PERIPHERAL_ENABLE=0, PCW_SPI1_GRP_SS0_ENABLE=0, PCW_SPI1_GRP_SS1_ENABLE=0, PCW_SPI1_GRP_SS2_ENABLE=0, PCW_CAN0_PERIPHERAL_ENABLE=0, PCW_CAN0_GRP_CLK_ENABLE=0, PCW_CAN1_PERIPHERAL_ENABLE=0, PCW_CAN1_GRP_CLK_ENABLE=0, PCW_TRACE_PERIPHERAL_ENABLE=0, PCW_TRACE_GRP_2BIT_ENABLE=0, PCW_TRACE_GRP_4BIT_ENABLE=0, PCW_TRACE_GRP_8BIT_ENABLE=0, PCW_TRACE_GRP_16BIT_ENABLE=0, PCW_TRACE_GRP_32BIT_ENABLE=0, PCW_WDT_PERIPHERAL_ENABLE=0, PCW_TTC0_PERIPHERAL_ENABLE=1, PCW_TTC0_TTC0_IO=EMIO, PCW_TTC1_PERIPHERAL_ENABLE=0, PCW_PJTAG_PERIPHERAL_ENABLE=0, PCW_USB0_PERIPHERAL_ENABLE=0, PCW_USB0_RESET_ENABLE=0, PCW_USB1_PERIPHERAL_ENABLE=0, PCW_USB1_RESET_ENABLE=0, PCW_I2C0_PERIPHERAL_ENABLE=1, PCW_I2C0_I2C0_IO=MIO 30 .. 31, PCW_I2C0_GRP_INT_ENABLE=0, PCW_I2C0_RESET_ENABLE=0, PCW_I2C1_PERIPHERAL_ENABLE=0, PCW_I2C1_GRP_INT_ENABLE=0, PCW_I2C1_RESET_ENABLE=0, PCW_GPIO_PERIPHERAL_ENABLE=0, PCW_GPIO_MIO_GPIO_ENABLE=1, PCW_GPIO_MIO_GPIO_IO=MIO, PCW_GPIO_EMIO_GPIO_ENABLE=0, PCW_APU_CLK_RATIO_ENABLE=6:2:1, PCW_ENET0_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_ENET1_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_CPU_PERIPHERAL_CLKSRC=ARM PLL, PCW_DDR_PERIPHERAL_CLKSRC=DDR PLL, PCW_SMC_PERIPHERAL_CLKSRC=IO PLL, PCW_QSPI_PERIPHERAL_CLKSRC=IO PLL, PCW_SDIO_PERIPHERAL_CLKSRC=IO PLL, PCW_UART_PERIPHERAL_CLKSRC=IO PLL, PCW_SPI_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK0_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK1_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK2_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK3_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET0_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET1_PERIPHERAL_CLKSRC=External, PCW_CAN0_PERIPHERAL_CLKSRC=External, PCW_CAN1_PERIPHERAL_CLKSRC=External, PCW_TPIU_PERIPHERAL_CLKSRC=External, PCW_TTC0_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_WDT_PERIPHERAL_CLKSRC=CPU_1X, PCW_DCI_PERIPHERAL_CLKSRC=DDR PLL, PCW_PCAP_PERIPHERAL_CLKSRC=IO PLL, PCW_USB_RESET_POLARITY=Active Low, PCW_ENET_RESET_POLARITY=Active Low, PCW_I2C_RESET_POLARITY=Active Low, PCW_FPGA_FCLK0_ENABLE=1, PCW_FPGA_FCLK1_ENABLE=1, PCW_FPGA_FCLK2_ENABLE=0, PCW_FPGA_FCLK3_ENABLE=0, PCW_NOR_SRAM_CS0_T_TR=1, PCW_NOR_SRAM_CS0_T_PC=1, PCW_NOR_SRAM_CS0_T_WP=1, PCW_NOR_SRAM_CS0_T_CEOE=1, PCW_NOR_SRAM_CS0_T_WC=11, PCW_NOR_SRAM_CS0_T_RC=11, PCW_NOR_SRAM_CS0_WE_TIME=0, PCW_NOR_SRAM_CS1_T_TR=1, PCW_NOR_SRAM_CS1_T_PC=1, PCW_NOR_SRAM_CS1_T_WP=1, PCW_NOR_SRAM_CS1_T_CEOE=1, PCW_NOR_SRAM_CS1_T_WC=11, PCW_NOR_SRAM_CS1_T_RC=11, PCW_NOR_SRAM_CS1_WE_TIME=0, PCW_NOR_CS0_T_TR=1, PCW_NOR_CS0_T_PC=1, PCW_NOR_CS0_T_WP=1, PCW_NOR_CS0_T_CEOE=1, PCW_NOR_CS0_T_WC=11, PCW_NOR_CS0_T_RC=11, PCW_NOR_CS0_WE_TIME=0, PCW_NOR_CS1_T_TR=1, PCW_NOR_CS1_T_PC=1, PCW_NOR_CS1_T_WP=1, PCW_NOR_CS1_T_CEOE=1, PCW_NOR_CS1_T_WC=11, PCW_NOR_CS1_T_RC=11, PCW_NOR_CS1_WE_TIME=0, PCW_NAND_CYCLES_T_RR=1, PCW_NAND_CYCLES_T_AR=1, PCW_NAND_CYCLES_T_CLR=1, PCW_NAND_CYCLES_T_WP=1, PCW_NAND_CYCLES_T_REA=1, PCW_NAND_CYCLES_T_WC=11, PCW_NAND_CYCLES_T_RC=11 }" *) +(*POWER= "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) +(* CORE_GENERATION_INFO = "processing_system7_v5.5 ,processing_system7_v5.5_user_configuration,{ PCW_UIPARAM_DDR_FREQ_MHZ=533.333333, PCW_UIPARAM_DDR_BANK_ADDR_COUNT=3, PCW_UIPARAM_DDR_ROW_ADDR_COUNT=15, PCW_UIPARAM_DDR_COL_ADDR_COUNT=10, PCW_UIPARAM_DDR_CL=7, PCW_UIPARAM_DDR_CWL=6, PCW_UIPARAM_DDR_T_RCD=7, PCW_UIPARAM_DDR_T_RP=7, PCW_UIPARAM_DDR_T_RC=48.75, PCW_UIPARAM_DDR_T_RAS_MIN=35.0, PCW_UIPARAM_DDR_T_FAW=40.0, PCW_UIPARAM_DDR_AL=0, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2=0.186, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3=0.185, PCW_UIPARAM_DDR_BOARD_DELAY0=0.310, PCW_UIPARAM_DDR_BOARD_DELAY1=0.310, PCW_UIPARAM_DDR_BOARD_DELAY2=0.368, PCW_UIPARAM_DDR_BOARD_DELAY3=0.368, PCW_UIPARAM_DDR_DQS_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_3_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH=119.765, PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH=90.906, PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH=106.248, PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH=113.2035, PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH=120.1555, PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH=97.811, PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH=102.5285, PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH=113.5445, PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY=160, PCW_CRYSTAL_PERIPHERAL_FREQMHZ=33.333333, PCW_APU_PERIPHERAL_FREQMHZ=666.666666, PCW_DCI_PERIPHERAL_FREQMHZ=10.159, PCW_QSPI_PERIPHERAL_FREQMHZ=125, PCW_SMC_PERIPHERAL_FREQMHZ=100, PCW_USB0_PERIPHERAL_FREQMHZ=60, PCW_USB1_PERIPHERAL_FREQMHZ=60, PCW_SDIO_PERIPHERAL_FREQMHZ=25, PCW_UART_PERIPHERAL_FREQMHZ=100, PCW_SPI_PERIPHERAL_FREQMHZ=166.666666, PCW_CAN_PERIPHERAL_FREQMHZ=100, PCW_CAN0_PERIPHERAL_FREQMHZ=-1, PCW_CAN1_PERIPHERAL_FREQMHZ=-1, PCW_WDT_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC_PERIPHERAL_FREQMHZ=50, PCW_TTC0_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_PCAP_PERIPHERAL_FREQMHZ=200, PCW_TPIU_PERIPHERAL_FREQMHZ=200, PCW_FPGA0_PERIPHERAL_FREQMHZ=100, PCW_FPGA1_PERIPHERAL_FREQMHZ=10, PCW_FPGA2_PERIPHERAL_FREQMHZ=200, PCW_FPGA3_PERIPHERAL_FREQMHZ=125, PCW_OVERRIDE_BASIC_CLOCK=0, PCW_ARMPLL_CTRL_FBDIV=40, PCW_IOPLL_CTRL_FBDIV=30, PCW_DDRPLL_CTRL_FBDIV=32, PCW_CPU_CPU_PLL_FREQMHZ=1333.333, PCW_IO_IO_PLL_FREQMHZ=1000.000, PCW_DDR_DDR_PLL_FREQMHZ=1066.667, PCW_USE_M_AXI_GP0=1, PCW_USE_M_AXI_GP1=1, PCW_USE_S_AXI_GP0=1, PCW_USE_S_AXI_GP1=0, PCW_USE_S_AXI_ACP=0, PCW_USE_S_AXI_HP0=0, PCW_USE_S_AXI_HP1=0, PCW_USE_S_AXI_HP2=0, PCW_USE_S_AXI_HP3=0, PCW_M_AXI_GP0_FREQMHZ=100, PCW_M_AXI_GP1_FREQMHZ=62, PCW_S_AXI_GP0_FREQMHZ=100, PCW_S_AXI_GP1_FREQMHZ=10, PCW_S_AXI_ACP_FREQMHZ=10, PCW_S_AXI_HP0_FREQMHZ=10, PCW_S_AXI_HP1_FREQMHZ=10, PCW_S_AXI_HP2_FREQMHZ=10, PCW_S_AXI_HP3_FREQMHZ=10, PCW_USE_CROSS_TRIGGER=0, PCW_FTM_CTI_IN0=DISABLED, PCW_FTM_CTI_IN1=DISABLED, PCW_FTM_CTI_IN2=DISABLED, PCW_FTM_CTI_IN3=DISABLED, PCW_FTM_CTI_OUT0=DISABLED, PCW_FTM_CTI_OUT1=DISABLED, PCW_FTM_CTI_OUT2=DISABLED, PCW_FTM_CTI_OUT3=DISABLED, PCW_UART0_BAUD_RATE=115200, PCW_UART1_BAUD_RATE=115200, PCW_S_AXI_HP0_DATA_WIDTH=64, PCW_S_AXI_HP1_DATA_WIDTH=64, PCW_S_AXI_HP2_DATA_WIDTH=64, PCW_S_AXI_HP3_DATA_WIDTH=64, PCW_IRQ_F2P_MODE=DIRECT, PCW_PRESET_BANK0_VOLTAGE=LVCMOS 3.3V, PCW_PRESET_BANK1_VOLTAGE=LVCMOS 1.8V, PCW_UIPARAM_DDR_ENABLE=1, PCW_UIPARAM_DDR_ADV_ENABLE=0, PCW_UIPARAM_DDR_MEMORY_TYPE=DDR 3 (Low Voltage), PCW_UIPARAM_DDR_ECC=Disabled, PCW_UIPARAM_DDR_BUS_WIDTH=32 Bit, PCW_UIPARAM_DDR_BL=8, PCW_UIPARAM_DDR_HIGH_TEMP=Normal (0-85), PCW_UIPARAM_DDR_PARTNO=MT41K256M16 RE-125, PCW_UIPARAM_DDR_DRAM_WIDTH=16 Bits, PCW_UIPARAM_DDR_DEVICE_CAPACITY=4096 MBits, PCW_UIPARAM_DDR_SPEED_BIN=DDR3_1066F, PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL=1, PCW_UIPARAM_DDR_TRAIN_READ_GATE=1, PCW_UIPARAM_DDR_TRAIN_DATA_EYE=1, PCW_UIPARAM_DDR_CLOCK_STOP_EN=0, PCW_UIPARAM_DDR_USE_INTERNAL_VREF=0, PCW_DDR_PORT0_HPR_ENABLE=0, PCW_DDR_PORT1_HPR_ENABLE=0, PCW_DDR_PORT2_HPR_ENABLE=0, PCW_DDR_PORT3_HPR_ENABLE=0, PCW_DDR_HPRLPR_QUEUE_PARTITION=HPR(0)/LPR(32), PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL=15, PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_NAND_PERIPHERAL_ENABLE=0, PCW_NAND_GRP_D8_ENABLE=0, PCW_NOR_PERIPHERAL_ENABLE=0, PCW_NOR_GRP_A25_ENABLE=0, PCW_NOR_GRP_CS0_ENABLE=0, PCW_NOR_GRP_SRAM_CS0_ENABLE=0, PCW_NOR_GRP_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_INT_ENABLE=0, PCW_QSPI_PERIPHERAL_ENABLE=1, PCW_QSPI_QSPI_IO=MIO 1 .. 6, PCW_QSPI_GRP_SINGLE_SS_ENABLE=0, PCW_QSPI_GRP_SS1_ENABLE=0, PCW_QSPI_GRP_IO1_ENABLE=1, PCW_QSPI_GRP_IO1_IO=MIO 0 9 .. 13, PCW_QSPI_GRP_FBCLK_ENABLE=1, PCW_QSPI_GRP_FBCLK_IO=MIO 8, PCW_QSPI_INTERNAL_HIGHADDRESS=0xFDFFFFFF, PCW_ENET0_PERIPHERAL_ENABLE=1, PCW_ENET0_ENET0_IO=MIO 16 .. 27, PCW_ENET0_GRP_MDIO_ENABLE=1, PCW_ENET0_RESET_ENABLE=0, PCW_ENET1_PERIPHERAL_ENABLE=0, PCW_ENET1_GRP_MDIO_ENABLE=0, PCW_ENET1_RESET_ENABLE=0, PCW_SD0_PERIPHERAL_ENABLE=1, PCW_SD0_SD0_IO=MIO 40 .. 45, PCW_SD0_GRP_CD_ENABLE=1, PCW_SD0_GRP_CD_IO=MIO 46, PCW_SD0_GRP_WP_ENABLE=1, PCW_SD0_GRP_WP_IO=MIO 47, PCW_SD0_GRP_POW_ENABLE=0, PCW_SD1_PERIPHERAL_ENABLE=0, PCW_SD1_GRP_CD_ENABLE=0, PCW_SD1_GRP_WP_ENABLE=0, PCW_SD1_GRP_POW_ENABLE=0, PCW_UART0_PERIPHERAL_ENABLE=0, PCW_UART0_GRP_FULL_ENABLE=0, PCW_UART1_PERIPHERAL_ENABLE=1, PCW_UART1_UART1_IO=MIO 48 .. 49, PCW_UART1_GRP_FULL_ENABLE=0, PCW_SPI0_PERIPHERAL_ENABLE=0, PCW_SPI0_GRP_SS0_ENABLE=0, PCW_SPI0_GRP_SS1_ENABLE=0, PCW_SPI0_GRP_SS2_ENABLE=0, PCW_SPI1_PERIPHERAL_ENABLE=0, PCW_SPI1_GRP_SS0_ENABLE=0, PCW_SPI1_GRP_SS1_ENABLE=0, PCW_SPI1_GRP_SS2_ENABLE=0, PCW_CAN0_PERIPHERAL_ENABLE=0, PCW_CAN0_GRP_CLK_ENABLE=0, PCW_CAN1_PERIPHERAL_ENABLE=0, PCW_CAN1_GRP_CLK_ENABLE=0, PCW_TRACE_PERIPHERAL_ENABLE=0, PCW_TRACE_GRP_2BIT_ENABLE=0, PCW_TRACE_GRP_4BIT_ENABLE=0, PCW_TRACE_GRP_8BIT_ENABLE=0, PCW_TRACE_GRP_16BIT_ENABLE=0, PCW_TRACE_GRP_32BIT_ENABLE=0, PCW_WDT_PERIPHERAL_ENABLE=0, PCW_TTC0_PERIPHERAL_ENABLE=1, PCW_TTC0_TTC0_IO=EMIO, PCW_TTC1_PERIPHERAL_ENABLE=0, PCW_PJTAG_PERIPHERAL_ENABLE=0, PCW_USB0_PERIPHERAL_ENABLE=0, PCW_USB0_RESET_ENABLE=0, PCW_USB1_PERIPHERAL_ENABLE=0, PCW_USB1_RESET_ENABLE=0, PCW_I2C0_PERIPHERAL_ENABLE=1, PCW_I2C0_I2C0_IO=MIO 38 .. 39, PCW_I2C0_GRP_INT_ENABLE=0, PCW_I2C0_RESET_ENABLE=0, PCW_I2C1_PERIPHERAL_ENABLE=1, PCW_I2C1_I2C1_IO=MIO 28 .. 29, PCW_I2C1_GRP_INT_ENABLE=0, PCW_I2C1_RESET_ENABLE=0, PCW_GPIO_PERIPHERAL_ENABLE=0, PCW_GPIO_MIO_GPIO_ENABLE=1, PCW_GPIO_MIO_GPIO_IO=MIO, PCW_GPIO_EMIO_GPIO_ENABLE=0, PCW_APU_CLK_RATIO_ENABLE=6:2:1, PCW_ENET0_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_ENET1_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_CPU_PERIPHERAL_CLKSRC=ARM PLL, PCW_DDR_PERIPHERAL_CLKSRC=DDR PLL, PCW_SMC_PERIPHERAL_CLKSRC=IO PLL, PCW_QSPI_PERIPHERAL_CLKSRC=IO PLL, PCW_SDIO_PERIPHERAL_CLKSRC=IO PLL, PCW_UART_PERIPHERAL_CLKSRC=IO PLL, PCW_SPI_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK0_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK1_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK2_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK3_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET0_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET1_PERIPHERAL_CLKSRC=External, PCW_CAN0_PERIPHERAL_CLKSRC=External, PCW_CAN1_PERIPHERAL_CLKSRC=External, PCW_TPIU_PERIPHERAL_CLKSRC=External, PCW_TTC0_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_WDT_PERIPHERAL_CLKSRC=CPU_1X, PCW_DCI_PERIPHERAL_CLKSRC=DDR PLL, PCW_PCAP_PERIPHERAL_CLKSRC=IO PLL, PCW_USB_RESET_POLARITY=Active Low, PCW_ENET_RESET_POLARITY=Active Low, PCW_I2C_RESET_POLARITY=Active Low, PCW_FPGA_FCLK0_ENABLE=1, PCW_FPGA_FCLK1_ENABLE=1, PCW_FPGA_FCLK2_ENABLE=0, PCW_FPGA_FCLK3_ENABLE=0, PCW_NOR_SRAM_CS0_T_TR=1, PCW_NOR_SRAM_CS0_T_PC=1, PCW_NOR_SRAM_CS0_T_WP=1, PCW_NOR_SRAM_CS0_T_CEOE=1, PCW_NOR_SRAM_CS0_T_WC=11, PCW_NOR_SRAM_CS0_T_RC=11, PCW_NOR_SRAM_CS0_WE_TIME=0, PCW_NOR_SRAM_CS1_T_TR=1, PCW_NOR_SRAM_CS1_T_PC=1, PCW_NOR_SRAM_CS1_T_WP=1, PCW_NOR_SRAM_CS1_T_CEOE=1, PCW_NOR_SRAM_CS1_T_WC=11, PCW_NOR_SRAM_CS1_T_RC=11, PCW_NOR_SRAM_CS1_WE_TIME=0, PCW_NOR_CS0_T_TR=1, PCW_NOR_CS0_T_PC=1, PCW_NOR_CS0_T_WP=1, PCW_NOR_CS0_T_CEOE=1, PCW_NOR_CS0_T_WC=11, PCW_NOR_CS0_T_RC=11, PCW_NOR_CS0_WE_TIME=0, PCW_NOR_CS1_T_TR=1, PCW_NOR_CS1_T_PC=1, PCW_NOR_CS1_T_WP=1, PCW_NOR_CS1_T_CEOE=1, PCW_NOR_CS1_T_WC=11, PCW_NOR_CS1_T_RC=11, PCW_NOR_CS1_WE_TIME=0, PCW_NAND_CYCLES_T_RR=1, PCW_NAND_CYCLES_T_AR=1, PCW_NAND_CYCLES_T_CLR=1, PCW_NAND_CYCLES_T_WP=1, PCW_NAND_CYCLES_T_REA=1, PCW_NAND_CYCLES_T_WC=11, PCW_NAND_CYCLES_T_RC=11 }" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) module processing_system7_v5_5_processing_system7 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef index db0ec03e87616831a0b0fba0d30ef344903feef0..0c6fc21f9a2b868bf3b031da5e1de00f580dea01 100644 GIT binary patch delta 295768 zcmagF1yEc;w>65pYtR4*5Hz?$aCdiicZWl8cXtWy792uwcX#&$cX>m;d+&e$SFh?- zO--Gd>F&LI?X}kK;V@y5*wc~NDDqN}P*`AKU~pikn(<P#D1_j^`-Rc7aGv8X7??x| z92f%VV|yodJque4XL_U44jU&j&IGncA7AL&gOO8Ar~M)@$lHEC2ZsI`;U^o$?3Ap< zl3#vpoI7m9_Ay?6qF(+UNS2nxDt;|=gxuztiVpK?icmaKq-IV^lPr9_==%A1xAyk< z2bk;3d9|wS0=!?n8t>093g=5GZ{7&rX3Ab#-L-jq9`e|{(_ht3c=_gg5l@yaJF}}_ z(<gkfD(&{m<sxo8YrV_p<{M+K=AK{wE{DwR9+~hx<=xh#PgsqFEMM;!-x$0KotbE4 z@Vw}FWmnIe*R%s^wAnavA1xD>vEUBJQeq-l`72XUfv?pGbA;6smI*hWoI29yeaqII zFV7aQ*GwLH#2;~Du3XNZQev)_yH-u<Uib7w*y|s5Yk#``zT0nnru4pZxwv$AcnE^I zsMC}_qWQMjc(ikXw>1d(YI|!M`%J>t#X-Itu!;((10_D1<2b0-zyS-3_tV4G%Z}m8 zMaZ%>An>v4?b+XL;o6=H$anoP@-4Z1*%QTZn*dQC_tQEF)?c(Feo~U$7zD-2qotF9 zJxUuM;fs)oa!O}}>CLDmj6h7E*RHE0L|)Qs)RBRKJsM=YR_}B=^U)JbMh~NBt`@yl zR$QTYr1J)Ea9shnbi^=WS^L|W#~moh<{UF;KxiSCnRj@gAs_zPyUQ0GmzDu}VkGG> zF-FyKa!s=)luLnyff4%t*7Jb_c+Ti&^6-VB>Km<=v)qt-ZT$K8{CN?!f??>skuBp5 z`uW>za^UvU695fQ@s<CcNl%6!Gu_~oNmqmJ8<2Z&m%~U&^T1Vd1H~knxZ@VEe0KAY zPy|T^u#0w-U)VLCTxtG2Zd!iYnp;9`?5<`n=svSK^He6#UDrl}b!Tfo)?3X&uJ(nP zR%&Q(C0?KpPU&If<L<0dNLd;PhHT)e)<F?{al3c@>+H@*_;xFF=FQQWU!A>E-?1!X z$3lGjm)^5rd2H=)|Irl;%<TSt?q=@%*gG&AfJq4`zlb@+o3ISXxrkXlYg_LNIy_y_ ze0w~;#sApSR*{9`SLo)+82fR6{}H!iYY(-te%<6R<!|OP9ms9CjbdD8;jVUqNulGs zkhjO3ts88Cfs}zy&sVUK)-3e+Z%pk(&+;cx!A)Rl+#hucAj!xy(F&{19U9v42mhjl z0npQi6z3RmM<_yL7qjCq9f;n@GmeEFw3LqLCR~8;cUe*kl9x{pYUi!S_J^p0eKWgC z-6@LL^PhKi$PInXA%^bjyY}_}vJRzewvcO9>*8)&o}XS>K3F{Xzv1d>%Mo{{n2Qlo ztV7hx<{E#ikno4{*H*z!KlEt)wNhQ)2aJq7!_TIA2SaGly{1v|d-EB2GqzS%p}gJy z`MC0&eV-}M{f2sUwtUr^Ao$ev%rWMSZo&Kr{)Sti?fV<8Se`~*c3%!nSMlw7pY84S zV$JO>W%gBF0D=GG+g{sWzHgPdA4A!RQ&QC*j~*@*Hf78bQY4n8PrBaJ&Ni&xUH~0r z;O$=Q%oXW~yF2sSft>F%BjD~e^>NM?c89UH@bYSHuFvJo=h63^pi}wn=Cs|n==t&Q z+iTawdAn9|*Pp*bQxaL86+j*^)8;lj66lYweQUe1AX}F*e&Ht8^}O2lh4?ag`xB&j zR^sw2lmFv`@5~wMa<Q*G*W1F!MBvXvh+5Bz8zt+4IP>u;|4gWwXh2SI(@wW|VSli0 z$COEwZlBnIB&9pJoYJ9!KydJDf?(C>(zkU^3!4&Xe^zD7EvaiWv<lsC)dWyND_mC6 z43F&KU*dOvJi&Z8a6ycOAwCwuS)B<%p{#OGJ^aSx$r7LNBTta?Z*8^4muY|p76WqF zBZm06BMew-fvYENfz#```(qg&G46#tsj@>RJrWH6$9CM)sDiKfXzARnqdpRS{30MT zpz5NH>(TS&?#GDe#$g>ehkCxzC;kBAY3siQ0=%HdQ|^!N&#^%;Ej$llu@ZAfcIuA? z(0(+?TxiAFucF_I8899pCjlBpUBg~W#wm%>JWY4#&)@&*#}V_ecp{Oh+;&SJg;sls z?-dA&evvl-+kr$d{&g+cudtnhhB2q2Bh4{J$|WACc7&_)X=NoOK9o;A7Vhmlc98ou zQnV&puvD47D2WlHI6erST48AV)keAQI7@q9@=NSg>6`5&@Ku$H=L4`&8-(;6C_+nq z^od+&T=8Xtv(|N7k$nLd_dzme_US?LYx7i(a3SOb91Fg-?i&vp*0Au5K5m2~^&VAK zCN0m_CRMqkXFc)3F#N|$G&;WzO$D!Kn}QW)zGN)NT^|{diDUzdWQ0~i{Tf~1^yD`U zl5tYCu$?z0(#cJuja-0Ac1Sv+hQAxDg=Z%UCkwBAUL5fdsQ?vZjp)gbyp`_)hDrLa z7sXb`&w1VbffXLSmdZk|&eI%<XSFq~P@=hEw1ByYD!S^^kEtq@a+83hZw<dC%M{9; zrVo`v$bBf;VjhHODl)pwQ&qQX{zwS1UkGu~?vN8{Tuky)5OV+(C&4wem~e_$nLh}A zg1tb>MwG@~l8>M1@2UR6GZfd```(E18`A2fQw){XLi#36snK})F{@2qqF`cFbY$4X zrF#;Cc@+OC^|OVBHiNf`7kw%)R%S$ogd2(at5$qbhanHz#j&6x2IeLbW)vFp<0b7H zx^~U{-_>FGDJm8q)}}?Dv$PHs=M~!e3u@`@p?~A8-B+u;+8>(~0@si(-O|+1!`f_L zW)vD5P~$lRBy~lX;}Kn5*~)hPNrhF{`g7wH+~b_tuZ}%Nr{v{raVxeG>PzTTOF^|U z&=?GrTyf~u9v(70_uFn6!`$>o?_!}@EG%*lIyu_pK>H4q8TJ?zuJID!CgyKim*l`9 z!pX+eg^}*|tM|yPlYT`9q<eoX^hA<Ky9+QNYt0;rHICy~Ze+mUd8h9;AzL39hvF?> zhKT0y=`5jn%aA%gv+jjY;|5sc50jW#M$Q=&n*~3gFJEx?>0V}G<t#0;p5B8MB#G*; zNgO%p2rvK;u8K7;ja^xZKU{vuWe!tGEHvzBiA5?Qv5_7`?kCkUc;<(A+VK%fw6bw` zx<CQHHqk=``=NX>lZ$c}B_;N`K6ncg@tz4ea`WNUfagX9fwe3}<s_Og;iW?HdwoPc z#zVeDmE2MG9W=^(42xA5HtWX7DzhncE?BwXEaeA`HN8+LqW_9E?O<aNovMaQkXNw~ zGKF|F5#T4^3NWSk)aZZi8fzry_I1}8inPl#Cs;H1u7a=Lv2KXK<z;>-oTX~Z+-Wj1 z{IE?l7s>9D5JYZmN%(mw8m}5IyC~zCR>idcptq5L@1c5f?~ibzH60p(TaUw8!vavv z;Fk>4%~-g}CT%1ZVzl=vx*qDd6gFU_D1JsF-lcQ-Gqa6x$hI+<kTd*Q#amE|$VqWA zIPLA{km<5B=e-NZE3w{i@XOlUa|WH3<zsW^>jj<OG0j!sqoJelpWVg~1EMEv1a=B! zC-^CE6&mLF5`xh$#MC@FoPI8~q<6W`zgpRWTeu0Spzcm?IBrdww40pJSMEQrG8^>; zzWty5)=o}C$pvhvTAgji#mPhDwz_x}Aaim7^XU%^L1S4mc}6E1S^Uo9M$^l*sk@lY z2<lYcyH;gZ!m@l1Tf@6nb1y|jMo{1wX#9N6>$hi1++2U{Llh!)KDFZm3NxFtLqdOn z;A@KqyZJw7(;;h0Ne`??N=-W&Z$;NhB_G?ahv*cs{bGDHnT}+|^(f6gdgnjE99bn9 zAF4})9#O01lAg}o6?=1Vp^D$a8Roo>FAi{fiAZ9w{k&R&6d|go#3jK+BtDaVEZk2X ziD`u|LjdzU5YUhbC%iJ-P?^+(VL;^q?)DKmxvB?wkW()6YU{5_cpv7MyHp<JTdhk@ zKkmUdCE~=1D0TDP2fFMft?p!gW1g-CuSMx5f7X;r^2%)2!kBiu(e0$f{>@wIV;_^= zRt1j|Jf2!ywO6S%U~7`iRW~1yR&1ZovfoWP>eDi+^<zc`GBZx_XR*8`2Znztfb=@z zLv?_NGJ`6kBH18S(NzXcV)Gzk1WS|prM<N`ii|%ix3-m#=*Zc)mtm2N1V&E5odNtJ z62rf00X<NS6OzOGM6r!Q^2X&T)C$L&GO{cS1G$5|Op@q;l*axvxgx!BuugLB0UB*a zf4A+Z=y2wAJ4U9)C1;Yoo2btk7-c#Z<|3*M+i!rDvv<qEtT<LAQ9eQ-?1!>Cp6#=6 zZ85;dj%tLzg=C~`CJgiOKjKg%hySxo@j)-|;v7EMRdyxnGf~W+!*ir~nl;N(yL5Q% zv2<ygU$D*axm7V1Pkr%0sMPaJF99(}r*$DR3*2^^--p?vFg6DISTW!ifS-Zl#anA< z7CK;;<j~CgCIY^=c>!e4V2R4mvpg1_2_f2kt<pO1zLV`vvAD2JG)g5t+j6_EV3rOq z5`O3MIZR-6^5Ts--_vC@4us{l_BWitj+epkE`7b&Dx~v%_{JX|eaU1#i;Z2hmBr_s zwSW>&vIt}e5tb9&(Q6bU113U!r%v&u2}7KX3gUs~_<4!^oV-oFM#22kH-7QCQfKG* z!w^b;5`}#L?uYN+;onl^%9c5wTib*9F)k|JN6d0clu2bWqzIBA$2lviNGM*0zBL3! zrSuA5ph)n|lB2}ZDqmJCsxQUwB<wcV?Ng{Sxr5#(UCX2O3j(BlO)Y0VvbDjOO=_pW z==f8qXW)x*@moz1<df6RDvj#!cfYOar{qM&Q?r3Zg1xDB1!X{OoR^LeA1k?Cd~9Op zKvG6my85B8goYN`!)Su)BHfhoG8`&7@heR^zP%T157k9@Td(TuE8cIJ@rkFG*R;`Z zk}xlx<t{}8g&sicXZs%Jn3i@S@@y^TwHA&7Pu61G4jR_`c)<A-I9qWAWJ{Xt?j}sj zn{02D5NNO;Kjd3Z0JkN&vEzEj*PA*o19Q(p;hn`)*ho@pCn;W+Q`c^6s^$b-D~nJ_ zlNCQs&WQ2tv^PC;JIB@P_fC|!01VrLkBM$>zjkyrgn)Kg`FuxYN$tYw2)tqaFij?1 zO@R~(non<ar5sSD$3C6v5d)(*bmlH^c$f<^YEFp}S|PtKZ3|mVv1>BI`=Kl5PO+tV zy)uhGQcU<R=rQ1s(X=*V*YGI{Pakh==J7E@(<-M(snuV<{xzHJLrm=<%J_><udH4U zX5A#(jshS-7g95**J&B)j#jt~eHI<QY^pI=q*jl!fREmE$keurpe1dx4K(ylEs`6N zJ4!JXvX@@4z<>n%sg2RmF2Np}aPo7O)q3Hw-veA?RII4In=PVipQ=psm6Wp;N;d)f ziV;FmwPO~_2Dw9+ElO&dLS2JTCVS?Ht3$6nl^Y1u3>3mZ`6J_^*76DE3S%GR@7f5v z$8&YTg^b|!fwvOc>&_MROM^Rv9Z#XsY-)kO6?8&Li?(+O2h6XNB=aL}S#l)jenNc& zcO#;$U8!)x_%B@ep;0KBCshb<ok0Tj{E#?J;8jAXL}jUicR|j?2nt0pSH-AH`NzeC z(4D}INh6_-QudeWDG*Vdbt#5srb5M-_WY~$BM)pTJgynT<P^8GoG7+he=(tM!oVh+ z_d_SZ1yUq%u&0ug`#6M)nZ;isQ&h~WQe0S|Lzo$1u<H3o;7;O6{}%d9apdTmtA`*% zmSr>kTbCkEmLRy*0K*&F=-ND9t%>-e1`hrB`YcRDw|pF2Kxk9xAoh|oK>&jcP`R2} zgsk`u?zsp_?MN+Seo&-Ou)$vzh7%(<+yo_{#+2_e_JtTf3ekrYnT*yOV!C%H9UNoS zldfuI=gJ%*pFa@OBb{WMbVAR65jQnE<s=2GFmDTzH?~KC)+OvCv0zZ^(Dy_wAAy=p zsoq+ZXgR2Eb)&D8#uOnn%7{vhN^^()m$C^vE_3dpI(D@Q#|o1irb~wH^5a{M%a!fF z2xy!qh+N#^T6|XLsY*oAz)tUlH@wW5(>35^2^ksiAWqP7Dj^o79vM86oG*I>4Agya z6Id}xfioYNG6N&2AV~;iieY%}y)lZa@7t>Bdr9Y?*7s-OcQTdAlG2R0;IZUqzI~2( z3KJF^zgde_BpX7)4HlD!qwW84nxta@pN(inS`VdFDR5HaWop`ty_3&0dv%Ron3_`r zAkuS8Q{_&zO^`PeC*}va^ip(-?m*00&b#Zt11!f!sHTeKK0?-wJ0E33`sjbgn)vKO z;~zH7N#`0+mg3nAHc!sCa(xrExg;w}U5tZ=Q;txx>>AumioxEaPpz7h)Ic{EcCbVd zQ_rNo<dHKys{avVrxO4k*+G=Wm${4cXdL2nnDQG8M*7hS9O7>GBrZn~IWc#J-F2Ai zGjP~LIcgh+izHkW|2Z}%OJ+}Dcv5wb)WQ^Pu$(6_gybba+QS+DMzo%r!}06$*CQ5! zl#uZ+OQCDjbo<(}CX8KSbo<teg49XOj5oAZ{4*XintIjE^JaN=v{mJ(ON#roQ6-!E zvgHOP%JIWJJ>h{cIH7zNg%#pXqNjsNVnEj76zJ<p2#E?UYYZ;3zA>SdsyOej0L(c| zWPSNsTm78mJ7*_>p<o1XCfAAweL77U`oA!R-hTUXN2dmH<EjaAN7olISQ#)0PYi+m zuP$JM0)zp^P_gXv>Q<}+lDX*^zBpF7qea9TbS8|PF`0c#E@lR`2Qff#^Q@UH0T2%V z5)+x^^&6KZZ-6o?eCbo#>%x!<k8>(^!wKe3R|w^L-|32GR~!oCU&}{Y_{OZ$;}3Y1 zDDp##o#xKRYqC3|tDRrm!B>~vCG_l%re|Oop$p@UDV7~Y>j&dD#ZEkU)|>fZW;P8v zC4;sIz%0M5H0Qv{gW+d`4T~L-!~v|}vvh;}LImXC?yc4U3zD4S+Q*yiJfA;cJYP^M zBWyWI5L|K%6+-D@WQq(Uq@>ltZQPESJVteuNeh~*Z9`H5hLMNCrszHp<m$fq((Bdv z4VJ*^M1Pr~AT?G*uN3zGt=EiMIx9q?h{u{Y@_i_X+WfU$Q&zMy5PEfkkRHG~$zr~| zsd4}PXZghVLa{f^+e#OgvfqVVw{;sHI%Ex#V9jI4|Bt-Gks}uk!^6Fj_0y3A${q`) za%k8J|3yD^Ny@d7Y4%el^sjE!8sdCZN8G@k(Y~PG&@^aWV)^LrdtMgz5S`Nw+yYl} zX)0UwT3b=|9PXh@2wwOA8#UmR(Ui+H$s%=QoJ0MIi6(t!NL>OBVXO@q#qN~6?#N== z>|((M^C3YyGCG4;)fS;zB<XAWxar0R>>De}x+Qh;y4>23IPm@-4pg7o%xM$95`@R} z%fvYd>J@bH?EFwEX5>st8(b13Rx}O0hY6WZmySz9iGqvzM#Fy951j`{#F73<_mwz~ zN+$7`&JO#IYvwTbL0ifCtb|WwdcI28DF~|e%H)!D+3|J>te}!8cr+ry_0G8|$<8OE zLZak+0DAmqn;IBq0>?{pB44MRX3aAG9pU)}eyZ>Wj&xuG`z=$6x(#MzEE|y%j10eH zeY+;(&yYRKaP^x@);???+`<B-sIVC__iGT<lgQOczICr-!uZzB!#o2RoW=kaao ziwwWycPJ*3TE$S*Jij^>^32O%mLn)3tnxd=t(0N8@AxA-Yn#S>`6&aY{k+ZpY5_|h zA?ru}%VY4nlqml5HItyP!LM9w=~rR2Ksq#-nxjj`j&Ia^N(SXMz~;xdpGk)En>iwk zi{BfC{I>LCszikRG#X1{okba|;fApAE$FcD5uYb77K1s<5r(Cr&r6JFowqTtn0WBR zRQ%nIxYwk{M1E~U^mbXBz~<JSQ~hEpTQL~gTbH8~^1UxUYp%G&3@=yeFH%k$fy;&k zf8u&L3I<!Ld|ouW1k}N<KFj+XA_tbJjAU_WHWkw<QSGMJtKZ7oS;Hm|vQ|Rj31XCq z;Q31%>JMIq2n_C)?TeZI!AYK`?aBQ_Lwr2g+eL_XR)>&bPw#kz{FZ_hH_$bhw1ohp zZ7S=|cC1+xgPsTp38!i@NI*bKsxQ&{WZ+;j*k!$?Octqv2mEX?tm(1*ApyrE^f6eV zr#I~u3d1rDYB`VGQR6CMR5?^&Fth;47z`&=y2_e-5Gm?|zjO_knCLHvxS_tWm{H|0 z@IfZL&p4~@Um?^<m@J4Nv0@^p|HzYjV#i<<j=l6>{k*S-VKawBqb!$i`QWg;cUtHi zm3SfMbC8iRP7Q3%7koj9CQ;@HEh@O&ZE5sFpa_z^y5wQMmX)x{l-m>1SxT_Rq;_Dd z!l1|cJV|$nrY%ehnc_X@P<g=dnQ4WPHXl>OC0~~>BYRAH^6b!tTsvKWTzk|=4ugn; zUhIHb-{B0-DK&rt`NzozCu+E|nQ<C14}P$Rv_uQKm><BD1*8`mTSCE6F`kKKpml$q z=<aw{nsjto-u8$a$ynt`lgfsarp(L&=M)0^=+d(T89M^67L>A5f*IJwmoAkH`SGYB zl-uiW!sVWA@IkcSNYZ4a_VWBHZj9ZD4cun=1tDDZ`v`|up4oh~op52e!^@x61BW^0 zG>BD2+~olAh)!`+)Uy)RdMbGnmW*oEqiguB4Y3xgEp!LL=9O?d&NX2sc&z(tlN)hn zEqo$!bJ0B<J{q>*1TXYRcVYQ;ju6oyNsg1d`_L<AVC>^avOa|AtlieePjF0vZWZ@4 z$hz#0Z=TXQe1K#MD%@6bKgai|pCvaz%{=Cml}rEy>}y5gf{KZ8(Kq#QTF9bLBYqC? zPsx}XX}H9j9_)9p+(hD77NiHh32+$!H2Udu!cie#`6T?|jR(0x%y$sVD^?cgxI4XD zMmtqAH*cflG{M7f@6_GJOwW0Gqw^iqJ9O4ljTMZm#d{>c_X=9@I8pOB45m3HV+r1j zrXB#MLeWL3qk%mmi+DIV6WWC5Ha0dA={bIrL~4GbBdLJazv8)(v3iq3a2WhTCMvD` zRXX2>Kg}$Oy7p(@hkFd^cf(F3pgL(E*3rx0TK+9>M<6OAm*D|CHR$#=rodu8A?VA) zS?Y44q#ZgLn+1BB>BnY{JJ$9?mr^L3`?~`9BO{$)GqN;fIH{MXmlG2;eYKSMbu|#$ zcf#K?uqZg?J#qY7`qHqT%6SNmr|A8hv^h0mSm37}sC&9$Mt2N5_+_9BpPe7H@!`RE z>XVH>bm)_+K%{;|N8Yaf(T#Z(z(4gH8)CQ#;pY_>#f>oG!B<s*!Dy$Xw;F3KlP`S0 zYlm-IkA<%I(Jdn^6DR&@rsyI^+z1|%Z2XU*V?LEFlj}QoLYrSqrw?c`Lc30m+Lw7% zb?w&^C<pTdVIK;AbUlYByiUh@Ck@DIb=FQb)+`fC(-<3X(pZJ3Pq`PgnHn!w{kT&0 zhZ`v?s*SAHRN=7^u{T`M`qA%fh#Pwd==VuIKBk&|v1He)@rF=7V~_W^Uin&~A$n^} z%*AK>>+;gCL72*lqN(8s&65V)gadU@Ax<pR1fp@3#7n#vTZJewkU9q|9=xPB(BW}d z5`dNZ5TygAz$E~F2%pdtv{mRJzA0fr5b}9HJH(Cp)KzV|pW{K9K^9Z@k%D#?h#Qt& zfGdEOEL>yUjKX6$IU@VULoBz$+sx6MD336DPu}3i4p5KtJxt+^3x41s@RAQ4gpP>^ zU(i5?LhUkSlMy4;*AjF8O404DWq=XKv#959E~lkWX5g4r>Z5~RK8|HBOi97g7$Bv6 z14E&-^cOw07)RYGN8Zi)v2o58pbRV3LtM&><DK|Hv&Xr+#F*u++w+?cw-Png*NC%6 zXgzgnD4gpsaOR86<K1%t3Xker=T=g*#)t1n9>_w*NotN**Hczl5cy~rX%GZZ@S)P* z$+gB{En1U9dE&?z(1XOv|F)o$K*rfjjL{H3q!*`uyfK9YvRP4IbM5orfCn>;^QNY1 zCBIPap=+>-OK=7rBX;W>lBv%_OV-_(XFiwVLS_Ce`e<23DjwuKU%uuC@<^jJAy#lS z4S_4ztNxWnj{#o8&MXMmyoZ^FM*I{UQilhB$c&1MFe&<5zc{pbQsp*2e=ewL4j*m5 z|DB6BNG>q>_2F|otPBuo3h-GR!H39%O4?TJkU-jmr%hkR`|mpH2NiZQ`WN33#mF9Z zS8%8z-@ICci1|ec7=B4ZqY3x{u8EJ>7U7zN)z<D)!5q_Hs@_v8mtVPcW+cjqsgNxw z+O=z3-4p|_OkOv_%2Uv`y4tVS+uUrxmobr3j^+9%?QY-Re80ID4ET+Ledu07E$DE) z*Nuq;O>4bRmHs``(Z~0+22Dc$61L`A-tKho(px4sScLxjbGU#l$1)R{z|F;Mtp|)- zxO}E=XYvmTSo4orv0O=M<`kp-yCGG=%K7-zKg=y8C!Xt|adaWzM6XZt_=>ihFGhlm z7rk|pZMg&VIpD^AQUN1NEHm~<_;I6XUC0f{YyNp>M?5TJ)Fv7V);uw5UaDSX=??U* z-W@5#Fc}lid3-s2m(&l)1mjkT(6hhq$nPU}ruOcI{lc!aUTdH}j;|J9b;b49rSHxy zUgMOFQ$FIs4ohBOms3kfR$WDa{%*Xj7ah5)gr1RXg2+sscny%M+&d8zoqWTYaF%bS zo!V_Mu~tb$krqc@GCTei9)b;%4C`a?ZF=D{m-{8aN-e5e-r14@Wy8$CMh<Orwq#Tt z6+tpKntecx9iLg7iA=&lT);iX08;-;Sy3@j&<8dS2D>#F`(mx~yuo~u{E0d!mP2)3 z^5JI1G3B>Sc?^J0lvSF7x8fJ}bnnnT?+(JFWSfn>i0ewfxjpxX1(+9K8wPxxnCs5R zn5!Y`4zF~2wepASAb+c)r4qNa?H3lcQ{ugb6Dthz&exTxv)Q;SDvC1<v9rU%7VaF} zx3|aAvL{Ci+Jruj31jW<JOeiCU&cvWJ2Tkf6US~>{dPbGz0%rkwb&<865NGvh3aj= zW+q!vogO>Puy-nnlosU50k7`%$=N6aM{{c?U|&ux$~pt`!U=P&JB3(z=TkhT8B&h9 zC)MtcUENf&HqE$Q7rZN+e_lk?$lI#gt}Nyj^l67JN#*5^P@EC+p|21iXRw~Ef0@du z<b%%7JQV;^7hUr%RB%6OjKQG{iJe}Nu?wVn2c;Q*ay5t^EuP6;Ha_6E$`4kf-E~Bw zrB&(z6Ha43IXbSF4!N#q;%}H4vFP}*uKvT&h^$H6#|+jqBE(rEIUzHb<w<uO362F7 zm7Gb`{(hgrOk$ux>rM>G;2aIl$IK1p`@%5Rr&?5ElUqb9;H8;A4oMp<K-!a$pB<O{ zi}&l#6`nx8p2dVIGAy=~H$s){R~>NNt~{<-q8cBG$loP>1P9H=HN_CrRq*#AMAG2} zeAx19Uk{lAVh~q{)HF|or4tA~kpWuFS9qB(VOLP7CoR%U*Y0tF@JYNk;yNw3OXyx6 zxg}hH#%LJoV7YPN4LM@}oyjiSQ@Bt4lZ|9QOLud2O`ZQF<uMrfP*b6CP1GY>!9r)# zz)*I*pb2>Z)6p`{N71q7QISd=YP@0XNt(it{N=p(ZY<*L`0i(-%=qq85kQ&gH}Z0A zyg<+{WP`EaF-Z~<b_*X5xsXZ~s_f7t7I9{L-UBxaxllc>+|VxT@X*6X8hHRjUr34Z zC~e_nBj*>*M^&ldVa|`a@r;m^d9%w|<dBL)x&ISDnTd=-X)Jyy%R?DXPevC<3O(Mz zOqLwEbyjjDqbvnDkcl%3)aDu^8f_<EUraPbUg~H&{wh!~s)W31;bF+uS53>Vy>$!M zQ-ASL7R8e;ORf7@b`R#Wx41|(2&<8F|7F>`q_=o`{v!12iA2D<p44-1&tu8@Xi1L! zpT@RM*LimQ1MOOeA=ddEPxTxGDW~JRjnPM$VLJoaj+h%D!-H16fUnb^^irnIJ63i6 zPBDkRd)9b`M4#n2;#yVb;xz8@0mlUTxZoO++^zB>W%H(Y!Ci)!VY*G@h{F<nF~D5n z?<aIY5arZAnHv_qY_dY4Jc*TJYte3SUY*5`CeuJCnfXw;$TqOE`miR<iZOcJws0%e zMVdmGA;$oi;7M2ET-eEPkf-9mKx*{JNA{mC580_jiW<>8^r%C6Ug&EG9iOUK7|boL zm>~;U5!4ezu;w<PCbRDPV??l4AP(PZ^qhZ231;0CC#1C!r=-NOQqLm%W<}DsW@_ck zn|vEro9o=wKh1`JfEW%#XD$F<$6Fg5OgA`BVtoNvM}1oGhd6GtMz)D0(CQh%@Ao$x zwM~NVGk?aesBMB4`K0y1N?1oeS{qT)WuD{K0@+A_^A*3+faMioesRVB5@tTMH5aRK zi{$_t5urp+!RlUJX!dfNP}s<|+#I>!VnxH~n@Rf@#v(2&w4d&Xk_uzx5LTi1%_UZ& zkOUHDYX$7`4DeR1!$j^`L0zY-q-Bv?lynZ`)|pOX(I`VP0{t-HJ+Iy_T5^f3RTzu% zvCx&2%0>t{b*|QHq0;K+!B_mTXK2QqHKN>Fa=S<QGTU4V>xC?r=&CzNc}=Ks%wVVd zoRAL**%Y|I)O{safFBWs8JLFf_@VK^SETXtQw0u};1uS-5ckkbaDCWeyv3oIVrH(i z9nB!-!Q}j&18>$e&ru{SQ9}at7Y3RhVsa3l@P!6&jLqf8ie8}Fo$UpFimJ+&wPH6U z(IrOHY(qbS!1RgDXbz6yZtcHKXY9uD3MaFhZwC+xF4XK{bBXBP(3;)(B-y{s4frIo z+YgKuaJP!`U!PhzhfLjDH5*l<Pen~5PZQ;8N&m4A5*BzXYwZ~!5|y4!-K1{qF@I)V ztzF19SNRi)kA2pfpHKX!8L&<?5h4^K{j;+<2~~V1-{^69`AiBnt;=N7ht6MkSw;C3 zQW;p*qwlKeE|HV~%hRNM+~eh0>!BZ1PEGZlf>6M+n7Z<C6u=1-lo$Y=2ryzx$A(wV z?YO}5;$@)uBZ|BBOHxBKQj>G`@`NW!_5HR})S-wMPnP+&^0FkGZ^2k8zR?cbhLcdA zJr}`+Z-$qxuI}+yWuxeodWZ~~zs$5N1OPPF%;qv-*k)gedKGJ+TL}4Ka^$LifxfOL zub2JSLZ~Cj_#T@Vt)T)yLT4RTBh(F;C6*#i!bj~S^gA>@Qr77!v2r-eY<(K;;FZ}k z@$>Bz%=S{+qfuJ<;H{DghBArNdH=Vzrgg>G3ALm*g7)06S}2j%6`MYLg~6{4$rW&1 zee5W-flW<vh{_9VaZ@JP*-Lezi<IU@j;HLxjg3wtMj853s1;yMc%<yX+zMsK`2u-I zZ@NdhYVtKq79K_aP#R77F8<F23zP`Ytcr##=q@L^?9Dz7>Bcdba*0<_b-us}WNq<x zNgn5d;X3kw^3MqQc<d@L-Svqkm`?yt)m}uX==mjCSobV*RW0Io%4??LY;W^o;-q+V z_LC`_*g{FP1j|*c9#~sU$vjw2TGEQWlSNUDdzQRwhDI8`U!sqxHiN>@2WZCIO1EY4 zn?)4}5jcSO_>)e(A2U4W+LzDtsrhYx6h!S`#NhV`Tr$n4tyW;Zcz%a@yGjCjgbrBR z#}?R<yidb*k?hFJ%J>Q(+*CWKlv0`%4yu@gW`-#z&|R4c4uoNwBGQ8rP!X}{Lg-gE zotSa+RxS$T2{#VrU{~$b;5Yy5#TO;b25qj&C8Qh;NP@X}MO29KFal}ruEwcs2YTC> z8F`m5uX`B?6o|`-6P@?CPY6K2B}P7BWqFvCjoB&bZaMWE#HPX3S1{4t4eu)>v*(O+ zo0x!Yy<BeVS8_ktu`VbE;x~$i+tEMwDR!fTIB&l>c+FoT5Q1UHyTCrg0Zvz)WN*LE zRu)raa$X`HZ9Nr#>)xyN9Ml4((}q~Dl@JW!peOsL=L|2j5{gvcUI2SZC6<&$hp`Ht zKz<eZqA}YfUTgngj@HS>*>@=1FwN@TJ05$4sT$(EhTQ5P`5}WGaga<>^$+u@be;Ur ziQfV(^`Psd6%II$`4E|3NQ)_YoqIgF)t~|NYL>Ccg{fc)RGFabB4~|_Qo(M>B-&W| zeB(V|)m-DSmA&3SfR6^3Dx{j;vDpa3PdVY-8HL~3Vsb;`X!fQ~pYbOgVzeDDng^aC z%d*Uj;gxe#>8zP6Yfcn?P6@jOQrJoQ{!Xi_c7gS5;pWHgjlPV8U__ng_hI}w=grh( z0`v81PA_3#nlyjhp`oK8yJqC~-SjS+gR%pi-Aa0RyWiKa55R6*r%2HcLRR}R;;v7h zDrB+5?J`8yoAvMeklg%ai1{C#St<WsNhwJhZI7RkIA@MvWak)cFw{C~Y_};r&m6P* zsXrTTduGKf`ok*VPk*|ONWXM5rpDd4O>9q)KZOn(TekK({$jB>A|#RgtD|JQ?z9hc zc*e$7Jj<DvM*zT0GWQ_su$`nYZ`KS%uG3}5$K_$ncuRV{k_)2^B<S_8JX?cx2q5Va z@L_;l7}q^Mh(PHS)!M_m!KB3t9v`Y2Y-{OkF457O8-MJ<Ujv-o%4eQWA1%R>olFO2 z<+wjEI=WsfK9D{TIkyxH*>C4X3w&fEeeHZLZ&pIfIsn}ILtVXLS-NzptP}AV|5VXe zJ^i&ZGdnH&<&QTnwF>RO8$Ue~e(d#SoZh&2SY#Zm@ruV5T=FyA8lYQT9kDbr5YLJv z4R)jZu*#mkwQeh9ct|w^*>}1I^v}BFEq}~i6Vh+oFy@)%rcis*uQU~3N0XWe8LF3? zU%p2e(geh$R*^6oDSG<^X{_e6GX+13fo^%fJ$cmW!j9&qkqi`f*X_?bFm{MQ--n7w z5F{K)LF<cJYNIh&S!z?&Of+}!WOlfodLCO|rA*oL3|><(Di*Q#q0bo>@_$)vhoMx< zr$0-jns{ydKASb~BFum-v3;4=jv%QqEQ`pIk_@;K?G@jXI}5BvZ@JK}+O8H9D2Z#R zmz;PTpnr6tqs<mLFz&HbB+x}35L!)s$mzH^f27u1yJXi*o>(eC&h4)5xwt!IecJ+F z&;IM*1he<+mv=6Ygw8zHx_y32H6t*j2lm?(-E2s(KD(_8urX)+UGRO5Gc{x3D+Pgg zEyk)H!%eLF@}ug(F?r@LU_<;E;N`B)*~<~yiPAu+`_;jvXv5&CHrJsVmXZw5{L6g= znTX!2>95Lsq3u=LR3zaAyiK~}Wvgg9`gSXzL4Zu}#m=4^$TZvDa&lL}S>)#KV_ERI z1+Bbmzuwv1C1G9rjp+lPr!wgQ)iKcOx#mg3DuAM0KU5MHk{(^~I?%NiOH`~UIMQl& zkK)nNYM0`QI>Xe_#(5G2dt`wJ^%tHRH}Fymp+5lqk4q=@$(onq@RZjtMk+FXxR;KD ze8M$Y!f^cT^{isEo|W~ZK9Y`hKAJs9Uo1%@3RskKkG%T4)Ie&ER?75`g#a)X4XNQ< z`0Vb(-q}KMaGI;zH@*ulHha@yd`9fb4Mtl@0p?j^o}AGZ5z&@G=!dVg1NPj<dJ3oC zk_wk9dVZ*On5W&Pc=Qxy!5=VrZKd*Js!Lv=(8rg4cEnys&O=$Fy=JG>m89Lf?O#Lw zB5D?U%}h&ry^-!~^)TVX1p{>Xyoi4Pv=)Fs+H-rOb#mAIh-{DndIHNoY>G1J(s@y> zqubFxFT2e+rneY@KI=Msl(|aMUemhC!0AG1+OV%L|I5aW8)*4BCInWsAqb~GXXjp0 zv5@|<-+<d1?2E{Nd#w5r93pwA`Xuv^<<QO7m_Z<-y#_PEQe}(D4a9UZWw>hGTdq`| zN2Q(38-(1IE!*w~_2Wk@izzL+I)$^Xp%H2{C27N+=Ko4|*4n69yDeLJgw0!d^lDty zZms;dWGh5%3R)0M;q)gDqO$f-(S4y_=}Po1rkfHo+$=W1n$ud5h8}&NVdF{z0!p_l z0f}(K%A}Wc8}ix}(5wa3hWrKPmn~#?i7UZlO!*2NKhm1(Zg`7}rXIFa56m*(Emmgh zSY*qYPSY9r{yvkoz+1`t>%K{wr>JJmQ#NVPc5t}YVIX>^Y^vN>7LI?u^sZ>HFpHVC z(+!gUT(;31v2U~adclSY-fTM)yv3khdBNrbNJlhzv;qgNTH<%}?iuSHtn0UZ0Y*8= z8K`2~FF4%$FyA8!HnbVp4}7VZM16^Wu#*wwRqk_G`I7c&H$WZyOx`?2tB$7I(XrF$ zef^9AsP>|_`(!())0;&*3+ap_5H{fk=rK7q=bu194n$s`jVNjr31Lq~j@e(x*J3ym z#uE|~A_Jef)ua&7N{0!>$WXIZ?4MaW&rQqxK1AJ02vLd-{c!$5H7JO5F$rTRe1vbp zV1N5V!Q`uoA{kgPzj39!+P5U!c7;pa7nAR~KUS&(#?Igo1Rz4nhfoAI4}%+c5wx|^ zyi{J$kc2jukAEi0fNMa@+P5xGQ`m=TVkd$#ex?Ve5RNtc)XC|+N|2ht6e%2X`$@$K z^@Za&JnXU)n*_JVW7_m+9Cow&^|qJoBT)pl!FCuvqbLw`_Mho(gKdM&bDWD3hGEKH z-I7PgW1;YG>z94>?*;ES5GOQ*zaU2;0Zl=h4K+|1Cg6ws{O4e5laT5~1frIPVRBt# zJP_cw=RW6#KO|-yX-qIAr}wu<!@%kq$nk_qZG+2y>aK<c*Y3nXJBO1g9SH8MK*#6( zR93SBm006!nR}!<@f3KbDT#XCbIvuf?|KH6>*bp##t#Ea^u}5gK)f8O9%jVTOysr3 zMv})7_7mYyui?XNDz4UdpK<)YUUAxP<^(_=an%W879kYjF}*i0gl#)B%68z$0zZ0? zW4gj;AzYkLfURgGsN{{9F*i2>jK!$`1#-{ce_RzolauhwHO}EXfvJ-iL5~GLKC76Q zQ(1+Z7x#xKhinAOkwlAMh}-BNl(5lW_?M`voc7w1(jzxrXx6}oJmJFGZ=+bdMzMhn z484&P%b9QY>Q@N{f4cY0dSL#jIeM0ih;i~ZXjDt0t~bZd=ZL9M$@alDZsp{Bay5aY ztTpnLpZT4LSTHGa_{_qv()YYcQ}w`!Ncx8R=I1sX8$iRAfX(lEXk|l=`q`_)fRvl~ zIKH$7d>lSHxc}IoZZDeV#rS|CjIIYbcb`e&F;vXX3hT%Bab&!RsB|+LjiS`IlOAwF zGxQRHxwsiqpTD@kEOMHj|BzPxIpp<l<vOHuI)H&QHXcFqiw4<cBu@xpmQo-?tIUsk z2FK5pXiLF`7cfV*nofO3dGu@S*ru=pElW6vZ|N?+6>YQ)(OkJuW2ll%9<De5A?9a# zX~eYLQy#~+M@yboHX<r--6(+(uYEVKdTj?)Zw}d~g%oz&fLagv1%JfOx^dpj<7BE& zLadQ-h->V@53617AM4ok9nKdoZ__?$-5`gq>!h}&;Na)AN9tyO@e?)lq8DFe#qVf~ zeQNb(T{QP-`dh(*ft%X?UDVzi5HvkI{NbRiz$(R7Ci)2>Q{FdZkD=3K8!e`+RaaaV z0Rmg!&efhMcmK(mKxS=yGz;8~D0CFBnNWAZR&?Q8lxIqcXCeF|N3NJH0`;-hlz_TB z(t-GnVMHooTAin;=17Dmb$~<}`Dp7cer{FONaaMt=MznA-1)|Zd1se3fLaHN9)C}# zY27}Zk*bO6Yx<s!TWUe!SgO(Nd<5Q&%KfcJ^dn;l<o13YkqJ7fFx%^sM|3CAh2XY5 zR8d)>s$XC2enx^#W%K68yKzH#v&;OSQ`glh@cue|nLObr$`d2J@>9Q}jMjp4N;u~Y zG%d~G5B4+>o{IU{mq=N_0Y1l;<a{2tn6aH>PXf(wrcvJhsDhJ9bE@H!+eM`4s;0a` z*W?kR+(Kb|m(O%Too?7vsI!XvXd+VB61!Sciri8}Ul$Y?ouUg1zZmhxCm;9ED6W}n zmbH~*Qi5wreijm&Ry^dcONQ|OqFE*my%C<gtN|g=lKeZ`h?Y*I5g;bBppr}rHI`Da z3E=oSJARA@Wlj|rjwT_M_jgbe0WROX)KCi`&_r0Mz2u#aRS)tR(6!PiD?FrF6xT%1 z833)$m7FZN5Z8d^8I6-7xG}Y@IP0cOCjw_^Ub>xc(kgRRzN6TT&5@oQj+^qkq`;!o z-GM3+G$;H+O1>9RZ6q>L3W`Lns7<|Osg01LBsQaXv90*Qg@q3LD}9gxC<6M9qVtO< z=ru3BNI{IDlnpC=(kUPP-g36+!s^b9w&*}|f=`T`l}778NY(!pfQ&7os4#z^(EM7V zA(5HBPw_c`3EjTdl~ybK&vi6biXgC1+kn*?jh*tpchG=!L$t8-35u~n*{J+MvDuIB z|10_5B|8?ShYnQuK3H^(bIr=1bhQz5#Oe#T`wAJ3&3`I4G-JUKO}uZD3@64~N+ev0 z^(TIc<$K~>qyhhxClJX6S{o<jcP?nLPzNf*i+@m*ZAD6T>;+0Qzpwcpj6qv7vY>J% z8rl(>m}<a!jNZRc*l=jXA`RWTU~K{|+#ey8cVezd4VqFA4cav*jYD@$YD4XCb)LH9 zaI6$dTUPqHRUhr)q%gm#mgMP6c-K?_bXl<UAHZp~-UlyQFQma$<-*+9m{V0=W+n|) zx^VUh748c!S{MnU^(EI06k?Q7D|Ao@I^8)?6(=+8D0=;?7>PTJ7PY1}LO`^c)EO!L z-mK!!=2_oH<sZ$;GsG#L1<96upbV{SrIO@GsNbyfIzhOb0HB=JK|K1qnCvJ)<GHU% ztzTxqn@XO2m}jV9Elc3_$Yx#YH(q&DsE+KaF|eYv2EW5*Lxl`9(}|d@&Blc+DF%`R z)6F^d7N*;keVqewOmJbULAkvdOO!}P>Wl}J2bQTeHNS$jgp|g%B6yaan3PD2R9vjc zlwx%AEI`mW`)5Z{cAj-d5q!0tNDBmC**ov5jFuEZ^YqJ_eiYI=fKq5GD71E<8Y5e7 zp~I%4p98^gY&Wae79+*kn248xu$g^k4q|qxDkyR*NQ|S+%D<HD{!h%MZN;ZK#kg%n zIxp?xD9z(9|0;V4+)uhxywBLX$U6ZEidPJT(O+{1s^gW#ot5%rGAKr#&VtJR!e|h! zCjVO#Ac=rv_Q9El4x5o)gqB$2on1s7RP=j}ZPB2}ew>7kZKf8Lvd$n3K&Ttcf*|?F zM>#2xNGbFPvHAB@#KxNetTtMy^40BG&}J>|-pTWy%>J(kK@J1|J$_cJL3t%o>P+gr zZa@D^IsYUC64QTpiIbAwxw8x^i>P+l8HmObQfUsQ9q+O)b^Qm<|K=uuuoGQbNY+=l zJl;H;@m@D&?*FYL^`FYU%~-W~-v)4N(Co-DzduI*lTzb5;|KmRo>=c+vB&;PMoaH@ z(Yqb@k9bcq4pa+q8_{?v)Ds84!t#504Eo0Lu)VyqK>|QHUiJi{LAK$^4Jk@A0y43- zc}3*C%$2UUr?;v(fcLMVBiCX2iX!rjaVjfnt8UZ!uk~p--zT1&37&(cN#+GbO)syB z`3l*7lf8rc$-8kIs)cndkZ?*Jg-{^b<ru<4hDU?5-koGyk;qG%+QQGFY(e-i)u9yZ zbt(E?I)H$VozQ!UzHd%7fOxc=^8PNkQU^I*V@PwNlGOk31~mV;Qgb*`3i^D)^?fM9 zd&79AE9N_0L97(CFQ+TanV7JlDsB*s1Qq?lno3LCvg_Sj%Zy^A$YmJD39PA@>9K#e zXkFZccp|@mxia}K{w$CuI}=I0lfz&(!IuXIIOI}&x1MlNoprpttN2M;*K3n6uL<es zMXY~<e$twPEa5W{C57()!J)K@hW>^^tBl}1kN>vc^!I&`_FF;6Oq3Rq^%sVY<^PXc z?uAQr{Nts|&OcJWiw@*jChtT6duh%(<g5iW{@d>J3ke;l%3L*h>F1*UiQD==4J4he z@v1p-<~_{Q%zxFKw^JOH%_sUBHaZu=e<FT&%=gOvqo}b|-T_Ej*F<B<Ac|U238M8R zbFEuyA9MVp-2dr2bo4-wz`%d6`p%@;3)LOP0T5D$?|%K>$lNLmwObC}>ESheEIe`1 z@Q<kO#_#UnathiZ)!p;|Yh9E6!rQ|CfMNJQUGI1iJH<00`S{%&|5@Qb%mE#%(qzYF zDPEB6x6sXbo^W<n;k=AHP3TNHT@#Ka3vO^PC}ulkXi64j-ar-H5PlrLwV>-6znD5S z>MiuVZC368?=S5fScv$)4H1N}&wm<Cz0QiFES*V<ruQ!9R+a#YlCiymRqpyAOCb z8!gLsho}2rY3Ez~A4dJ};s30u@#&qg|0{yI<}gmma`k)fIbPaP1leWrKLnHiyO)jZ z2ra~cFy#cb4Ihx@%r>F(Zu~PnU|X?RD@rQs|F@}e`6y`*yI4NWMoJ~ACI7MwXjCnp zue08O<ptea;_ap8!D8oBE6{cqzOlI>5P0A?DaR-$fqBe(4PvW`hk4yvzjK(Lid0Ed za^TJ+m;!j$0^W}E+^vD}sNJq?>Q^g{gVtN+$BSn*5RaII7ivKl9abYXJA>u?3#-6c zJ8LzB$;U+9;d!-dLK`2Ejj3m~W9}*waX7>KYM+!h1W*w=Z)M(Gq)x0Xu-*Ro@s%Fq zF$kJ#y*m&Y9;<szYi}V+-j6`>Z_92E)sQ*3n+-sr^qYznd1Ytf2;!t>vK3Xc0_6}e zg$?~XhEz{fCs#+uF7ML(o31W&>w07I<tS@Yp&(pmkfjEwDS+B|1;h?pqjC$j{+NP~ zR)s&BaBX3tdf!6}e);*5qU<=U5jJV2RZN`)-AE&r_P#pEMs!7hI}hya7EtQ~sg)l% z&stHeY6h9v;(zrmA9DJe8_i?de|_uy)c5WWf0vUTO@KVBs>0jEm!NFWN#fA!Ky$c} zUVbAud3xTlyhp#Wu(=%Fbv*>Fx2AAh+o6;_>yDFoM{!SiHTr*@*8bVW)4!u$uW4Jf z6jX~f)o}uv0106I;+%1_5hHtS>0RPLjP}v;_e$@^L`TH31iHqwmL$|mJ)wZs5{@Fu z4Te7qma0|{!<X(!9^CSX=)CV%zitkb4;}3}35!!YR_?kYgLk^`mI`wZ?_j@Y@`?1I z6TDo*BY}QY$?;Ja*={3imOf;mF=OgRu3&v{@BEn3grf=ASvU7qY-|40RgFB*IHUX4 zaY@4~yMgLk@L{z&%k@C{L55Vg10{QU-+!GhPpHE;`kN8GyA&$XWaST`*HYRo0q@_E zuqzBs_#L!4^;0&jgwI@rK+M;@Z_g85K6h&e#W&kMm{qbq+I&7Gyw%)CSKf{8uN-<Z z#0y8;78mirIK|h5hO~Krvj6^Xg#+EEo1&cMm~Z>2c3&S0me2Hg`cGH)k<YKzlGvcl z4sMwD)NByY2B(Vr)_qp6&Xe=SxM}83L<q&kP1&HgG3Tl5qgV>YmzGM{h$HFW7<oFl z<tBogI%XmSJUx8aYT^dG!~FYD*1VSUos5W7)X~8J#X*ZkR7SxoU9}5&3yDyd8gh>2 zAYwnr;4)HL7tfN-^HD6(97HMJJW>;8^4GjEWs&@8#uOovGQ7+sScM3%Zq+bP;q@JG zG;9ts`(uTz3G0*+<5OY?1(_K))J#w1iX?L3v4tMX^!p5=JlcJR`d0@RI-Kj}8)Q1& z@aS>i$m_(1rr?06qJG)@eC8ZSD$?>pW;QZ_!(C8hBUxmuXot~cHSf?-Nsk@fH*OfW z<)kU~M3!);Nz26Wmn{OxM^MZ7(G0@JtV~`so%vD-p55&DMz#y9Yc7A6&gVYCFm84f z?*bnA?d*Qv`C2!!8%cbKbXI1sly3eOuZAAD8f9-Lih<-h7^cs4YO5?*`S9u5SBLHV zHf$g3NUsL9JsMy0I^s|25#2!7Z!+4Gr^8+G`U}DSHMk=$d-7Jcu@S>gElRq|6R-{x zg+?R-RP62#K}c;L)3cBBotq>c9acwLln;jsmj+k&zJoO<bXp6V*A~ww<|Jk-LXN}$ z1JdzII$6F7GGWlQju`@5$=}NaB0gvpHS13@-4sMc`Wx`Y5}zl&{6Cc4Wl$td)&OeU zWzZSi-QC?~aCdjN!5epXcXxLf+}#}pcZUH6nA^O&``z7$`{(}Xs4hCYDl7Ax(-BSO z(^W-h8t#`P6k8RtA{^gR$4-|{FP~qB8OoaYRn<}7@22fNN#+{c*%HSr;T=hI)mU4c zN2M3YX=ZWY9RFO4qt^>}B;3U*;`aTlKrbC?jM{sT{7n|&2rxJFVd%80nl2fxA<SyY zIZ}&X*d0SC_}kWvrG;4VV`5~@hg;kK!_C$)MC{@B!80k>@`*lmqiz#RnjF81+1huA zGY*!B26?F&?T-Ozh+{$);!T}JyBYvil+}uQ9%R3`TEynV3_#kxM#A#1RaQ$-bpgos zU<|it+VHKE2!JALcr8SBmmKp}eLT&rKg;-Gkpac*zMPlTpWtE>h5aT<8n{qv-Cgo_ zTI=VJxu2aU(V+nb^BFZ;TUn386{bD)yS1L`=IHhnkAQRiI&~+x$L>TTMjOCG97pSW z3L6hj43y7<kB<i|?syi}l+X3S{tRB!hi$hFV=&8}2jEBN$*4fVw47IKpceA<(XQH~ zeNukPPfH}uaz=yW&dzTRsJsR{nOF^-8_HCFxnpgfzcDQ0+1u!W(JTd3JFItl>MN(x zcai*^NN3+&LYzyAt-x+SC|p)=jGdR0gv-Ayetg(_N?q^#C4Vg_pO;c^ABb>56Z(<T zl48g3Xa~qN`a{vQvpXz40!v^IT#jw?rmiu9fvwGLmKU2rQElfi(ihzrQ+qwk@ne5| zH=_T;$*LOx>$T_4y_)Yt`tY5{`5&k?Qh=V$-#fJ@1PiOvq|t6m&1^1_b?hzar*+oq z=?o%Ux~;Rq>glvhyDi&ByL!Q;g!Mz)OB;u|KT`m5w>`~zDRMouKh3KJ!T$FmbaS+P zBCshx48H7UBE1PnK_5|%G@6*>`f()KM|MnFy9Wvx#FMm0tFB~55bfF7xab(_PPZEy z52;w#oTf#uR{yoZgXWm#ZmBBR!E_BmvYiNHQh!v@KiYgVl~Z-sF5Iw><ZkB&z6Rm@ zaSMo@gijKWjGXE@6;#f1)@o0&IsCB^kt21uD=qr`C6<{r<ZhTlLSVx6%?u4)5v7&J zO!2vI!gIR0f(dn#^ZYsUd)!bRyIh&%4l60H^h#Rgjq6rc#Z8NN>yAx;J-g6`&OvWJ zJ^LRsw6ue9OR>rh>#1qcSiP5{Gl2H;Kp@~RW;R|I^KsORt>(<{RIp{UM2o(BfN@QK zs+o3ez9AT}yA!XirtcdKS8#Tu#2+Q!m}4|7=@X{ew0-*aFOYG3HfnJ=Kp5I4L#AYg z#NXvPIA0~S54OXschA$$7<XFiB96e3ytig$cAi>4<kxrBZ%U3{H{Q0g9HsRqH2@_K z-4z6z8iIY19@|4W8Cu^2T<BUKvDcSRo-*-nrjVY^plv3QZfF<M4}L|%O~1DWcahG` z%$m<<E77)|X_pE`N5>QstFCtp+?0UT&aTco@|yqM5dNT(tIfnml7NL<9)8TXWhr5p zNkv0=p(DE9*yfu+uRNRWOgYU8zXfzo8O}Vb;Oe<^boF@z!Q-AWHkmwW{UYIUy1Abo z-)2b(5~Se%%l|_^No=x*FWt4Q-JquHvMcc}^`2vEabl;;ZIr^kxxBA&4%NTeH|0Fl zrNi4(!~0q7*NCrVWl?|Z=w+#h=GVYn#ohC#tsC5CMD)J4PQ0l3$Xtf`UrT`R+-=h5 z%OpN70ad<HiPmqM&ZqwT+^uFj6XBuk=)21x=setDQ<m}1mi2`Lvyc%{m}Hbsp0wP@ zf#0{nJJf#kE22~<!Ltmx`Q9tbSNz_<9>*_JTwL<z(|>2nE&k4ZUs*SOWMSGp2Np<9 z5lxK&JAInQ{2?8yh6w^=vVIQODJq-V9htYtW_>_~{4mZ@F*u{s@a5~Yh03$cVSNZ( zC9_b--HW!s2DKm@Km;ZYR>rdS94V+pFWZt_#DsH`YC9B*Dzc!mfaS@M$+!gx76tD2 zftfZJhfbsN0ADe+_U?BwsbI?F2*r6WsRwB#(}O$_!DLZvi?e)-^(p`q8Mo46yrUbA z;NV4&JQ9_6Y)GJGn#w%2pkN~!lA!Dam-isZ*qWxRvhEIQ2FMswWp|2POj>qC6IbU2 zB&AML_xB1aj7d}%J6A@~SN8H;(j^zTUco~(XtZ9|5en4`0+2AyWpzAhkdd1RufsQC z1T=&phH*T#KFYlNR}X;4F>u{}(&UKJ*7)Vi7YH{mJ!>f#Y(tnfx>}!+lJDU-Rfkbc zDM5s=BE6UHmpt?k%LU?EGQtYTBE7c%+MfsR*VAGMaaC6^$(lC&D!;;hFK^z*T@tk5 zMB3nO-1a(tGGfY{vgi_8LpSs1Ba=P#GG9QsJWTQ#egRA$u|)gLaPF*mmtfss9rI+) zuzqPh+lhu6T0!p=E{(gM;=S0*h^Z3Lb~SS;P!;@83wq`8LOEM|`*0qLQvta6z}LF5 zzMWDmqMqsNXCnhTaKfp%s?cTFg&MG%Da%;g;MDZ!y8w&lzo8{0xJM3p9DlcY`BHoD zPeP39s{`oNWqb0iirfg_{eE_-<rUV;Y>ZAL+A4bo6D%R)%{xvKDIRMRDDfS|i5~wF z8E=;Tl4^#l8|43LV1eG(-YGaFME$V{Sn2G*)?wrt?JQfRJ-=x9;Y<>Ae1ycZ^s`+? zRQ-5=0$Vs=-5AC2Y+wIl`sWeL3y83&0N^)Gz#$+uR$Az7xHaHefO4FX!d|NF?Ffv? zsROUqP}ihu2}gJNL{;axmp%Q4b?V%LJ?SG~$JrF&o=vLkpzUhV8j{JjBke+Ov)h2> z?_+<M1yFTqAT1(*^j6`UMS}u&Rcj&>5)_#YuBPZu6BOdT3+UmM?Rv=T<ZJTV^@#PE z(g0+i@FR8X1d4E7&xv$%+%k01MXeEbQ}l#fAGX|h)b^c|2Q)l0=;w-wb*{fqT@gQP zUejj!-*?%#6k5O4IDsciUo^~dG`*v&)dzz-#zcd(9S#wK@c$|lQe<cPlUIdADKMyR z;Z2=Np}9OG78Ipa`g6(7lhL;~8452<Ssnl=%w4!YR|J5lG2Lfk)prF0wu->MgN^?k ze-bJh=hr}28eHKkx>F=tp=n)>E__XBSvXF&>N|Y^L3NB(+9<tG81?;LS?og@ei8^7 zeuIo0-V1qkYtG7z<G!O*UIe9hn1)5-NbOkcFV3Dm(6v!+V)>Vy+5@XR2n;Lx^%?-x zW(spa=)=}y+=3w<0t8;HU?u93iYIvhbhL`$8YxfQ^>#H3^mp2639m<2$izLN;nL7K zC>E@3T^}BVlu6+wCwg?fLI;N9<w>P&P7OCffwF3c4`zJR$!PosL|PzBb`m}w5@~vl zYv@%_x)>!m9KS>@uq(NwGK=|^mjS=%#xoKu`)qIpl_2rl>tud$IO`<HrTRMF3qutm zn)ye@x712h>nq}Qghn(#O#Vy)O=}mkL4i-yufiDunk@}Sk7bI8YbZOC$in2m#jvLC zLe)$#44dV#J&EoKHJt9#`o;;g49S+tmbffWypn|K4v={M;a@tiqCzYIWJu>ZtS;Ng zLMgWiF;(ssglNdP%dR3CE3gpd9&+=D+I}BGUQHq5<5SYDfyvsSZS7IZEv;S#Dk%Eu zN`^HvZhVZ6TqXQ`hKyj32}k~9h>u|3O32VQ$HsN)it=^Aj922-z9P_lFTONRAf?fb zt+o9Z|DrM0A05u917Mt}Fs?94QlqdtiVRZln1qG|^<kx?*I3kL^xi~A2L?_u4E?vQ z{K^TrFu8nxn+eE`O&3D%j{cvV-@Z<Efe>ejx*hk=^M_?Z%posAvXrA!I<Mss#=O)( z@a6yH7{_H>!d0!Ev>k&KA^MP}|F%=!QWx}HXl}LY&ysgJ697GF1Je4Nb!$OFL5D%f zzvk~>7EJ93xDMl9su^VGB6=+xuJIH@T@!_Zs*QV9(}f!TgaqC_+T<`~F(oI?8AU%> zz(S$9l&0w5Ah~_|5xd`mr>u|47ukVj^l_bNehYpZ858#N9QlQ}7XM2fQZuJNqyUSD zQ*A|b?10)a{sDdE8cH9g(UN=wr+o<HL`DTnz^DDv7p>0yoe~1QE-?Te{da3(LQ{-O z9Chg~y~IF_Jzl*cmd)O(w2*ury7k3={F}7I7Vxiq1dQ$w@Z$evzr4=gqPRY|%0jg6 zqg^Jrv-Sco!B#JygQlSw!SaCO&Jf*J1|EaJ0c;;oU{V}!4HtAVngd7!-e3nKY)8Iw z_(_lK-dsFiU3(|y^;=<d1VK5XozhMTKZ^HtXOen+F}2pFB2T7eHco7}QFuP3xpWVX zccIpNl&j}`p#myOi&=Pj>dgBd<JOQd4dgvmU#X?vOPrH7qU_h2oaD0S9nBwL>vZTk z%1H^0&q^Fp)`2KY9RS^@ZuYyr`F~WHyi^_(GLp{KHk=qokhZ^in&@Jq4DtnkQu<Cu zceD6`Hzj*dq!u)#dcJ?!TQmV#$TD}uuL02Ulic{aUf-7M_4BdqK8cq_qv9bE>vS$Z zmpUvd$%wqVt7yJ{=cS9rC;J_|qS>zb@5?_qmmUGdg>SvD96mEKuUKe6;-x5(-xZ`l zX5}bK#pxOSW1<?~JK!UKtpZeeb#o1!yCp{ztiaiB!m80AL4KCXp!g=fw!8*~>*XMn zCJ{L_wfmEJVYPlXK1w791w9ZswmlLLjjJ6n#y7gql41KODCsLt4BbXNUAWt+GH#V2 z0JzDk*3T8myM}52ofk_|R?yXAdAs-u5bp~T<X>?oY%@Gue|FKfI3)EeNkv9*{j(}B z>*RNG?|z-|60VVi`MmNN6I@?lP)V(>PMaf(x=!Zk`03EPH?f?iW@yt3R7_R>m-B)= zVnqk;g3SFN=0yt$Ku^FUgFK&TU;VC~j9fesGX9~M;zB!5++abS2Ax}1)0N<rb#E^I z%0{?Ml*dtdV@;=e<#=Z&CAqWY^^&6k^SkM(kI(9=W0?0Fw{cEKN~;}SXR^oHs1~wW zj!`6Rh4}zbi)m*_^@!Y8L|$9!H~1=Dy=po7-RB?W#UB8bjCzTBK7-;?EH*kGynYus zjHJe>=<XVhBj(2~WbDetJ%2hHW>_aCh>+s~n&#&&-gx}w|F86-9sIx2OS{XiwU~Ie zWGM-NeJ-nI7xwDPIY3nxE{Iy!e<01^dv*us6g`J*VL?56pD*DNL@G(WJR_b&1!<q| z2E;xMD?WeU?6hTPe9`_K9$pTUQ;h1}({~pCO3g$|$Y(~pOXGFldXry)5zVrHca4R8 zFl;)uJr>{=9oeM43BImKlIm=r66-cEu+4!1;uxsXK&j#HI$`k8f0feESAy*o^FI^n z`i)oq_U{rvr2jNt3QAcZz&?!^gox1BB+$5E;fi;JMVoPM3QstWza@dfx;TU)+x9ad z$y6qom#~HL&34HD)?HMT7DuTp53o?)Sv#T7Mu@gn%MNl|iVo?*4g*Bj{sR2tDwJW_ zI(SuKbmIhz2qoXQlY>*G%MNO_kNimYG>KHeiq2H-zPdRJCZsQcc8R8fsi-C-@fMWs zhe~E~O7@qN5}ct*97fZK2s80_>!`ty8j%I29*E%0>)Nxn#Es3!A_9Vi|4afs7r%58 z+2qrpDhjm$CO^4<aV~%Z7c$YMa_etm^x%ZBJ-?Jw`4&sB_5|$g9lpVYC}DICQi5aq zNiiagB=IdW<%_zQii)Q%I*BHvek*v(kCA+vXnrRXX&Za-__-sH==C<1r*Gek`zPNY zC&y#*aO(z>Ew%QVe%h)uaQzgr21>aXBmV1O>z$TA&cfZ@oZ~it7SD4tf#SM(rbfeS zqvzZLy+r2VS(pE&Tuwb-ZW3yi8GauWo?hEj&9b|+)WeQ`C!JSa45}Dek=wnAFB!>Y zix|fF>YkHYd%tA!@|rZA8?<o(eYk~JSLp>hj=kp^knvrQ{Pg|U^|d3d+Z(|TQunBa z=)xbcbR5|XGGQ|ppaw)K9k*QA0D_A1Uo^I>RXD2rwp3W@fp=Th8UVj|=Y41Nc<THJ zsQ;ol_nzs*2H(J{c)uY`$Gh0x=EtU8wXj6n{qH+2f5lAwKwL3-RRUeLi+0CbJx(=+ zgpzTyo{UUyrcWP~Y|xOyi!4#&M8)j`>~pqnV`>W9ZNUsZGk(ua$(?8Tu9*HRqrPPY zHe*eVs!cx@?j~J`GdSkz|8-sYw@~!((4e0-CSYm-Xq_UDjgpmRRE5N%NHkPjkxN>^ zd`oAh2hB7PdSUgDD?NYky*08!&^m#v6&E1s6R;CmhONM^wjw<#JVZbhZ+9&Qz`>T< zDkQcwm?uh7gn-c8Qxb5q%?|{oiOtJIpYAA&nZBm*ITB~Qpo0cAzM`ki>{7A)KAWPl z%|jAiYL=HR3tkhBqsu*(KjkCMS1Am%5@!l>s*p18M-Td9L)RY+`+*)XMH#9PLFY^p zQ0Ku`8s-PzTy8OKrxXgcm(e&2R8Mo&PfLf;n)a2sb?Njl7sib9$(L-zr-?aX1{TJe zg2cP*(LA}QYf}FdVf7N&SCM3SORX@V>CB>pMaNK{HqX8MrrUKWgRwC>8AsxC3FD8y zhOd6DoRL4>e>)X1Q+uV7&=%-$NG`M<XL05300!;!1gVEGd3BAZu9-Ig(%9rjWtr#i zxe?MHG5B!hEUEq!rT=neS~U#KABMQtv!%v?PiwW(2V3({IdKxpP9t=>{H6_1=}hOS z^|=JTIDf57)nVX-_evKHp&KOX%#ymnh?*;u(%bSJ93U=JX{W&SsOE--K+FeZi;N$G zbjVsD*dhUL;)HGJ*}&FSjr0V;z3S-voQ{&atSva}L6|J~pGMC#tu8>9dqMavKry(I z*)yHByQ1w0*Q8mx6Yst|&L(e4>as+Hith{SOQwRr=lZeUYFPR~jHqo$UEF#!Rgne3 zm@9Fi-5~I*PVg_-x}3j|6o4QfIDM7T-3yg4t1-j%Avx92|3dqW>gbTUk7j7FygC89 zR?^AJea9l3D65GLXwvrZ=b(2tM5_ph&GHJf%?_vtgfSSQc)iljoSPJO*qieo`{MEg z$yx`*ONxNJHbKsp)5SEFo=wOv43ZOiF_w23smQE>T$N0aO-m0u9=0U>dtfd}lY$g^ z8>2#-EEvF!8df2llA>xFG?R0nTFN~h94%?7+S2qWO%BWya8?44#QcpGUX!@+8(b!w z)VXVQ5*999^lrSS4r0d85+lW+lFb6ob!HNG#z?2u0p;j)|G@Nv^<CScFJ2BbdfSJ- z?=g>5tcrvkmL2;maIyXHxpbc5i~v(2^(l@~C7xdcw#uNpTYWI?ISIq8;LmC^FvLo- zUJH@oVDe^HfSzRUfmkn*n+X_LlwSEe@jxrTT2OI}kAZGwas%;f7!D2>uAyVc=sj<G z@k|1Lk1HY+qZ^0ot3!hJNg|$np*|dV8aWDpUT`<Zo9|9JY!B%bG4v1}_2CzqS?ee~ z6tS`t>gddL$sMJsMde)_D+|nlDt^C6Tm{Mf7w%|JK;ar5Zu?i?&F0ML2@!L2x*^}{ zmUE?l^cmZgp}JrdYICDAO&$M|`;z7!J;&-9c0gTQ4Zn#@`UrbLLL*N#bfJ<IH8L^{ zDz*mUYZ0)|Q&{yR>iDik5~Wq+d<`n~i@bWQe({b~nnbTdy>7(}XQ9}=c}82k%Z<GJ zCjdt`*crP<$q0`>O<nrD((r?Yrm%7GBK_g^a}D#GjAqjkgdT*x(R5FKc!vF^2k{WQ zsLCd?xP5!k@WRzc8nG&Y!!sv{gFo2JEA_@t5;8dp&81iRPi6@I$_db!JFl<Gp8yVW zRAKe;a#^XbTumzD<ZAZv2io$tR_ZWtodK&=xvVrbyTL@-rjP&~`rK=ZwVb%zt$H)| z$1tR0gupE_<=YoDS<|{?`>CYhh(<OvYYaGFR_<uKQb)5Z8_bJv-S}ad*9O6|y}(^K zLygyAi=*At7)BhKAp~Sv&3jd9-T)AVKVvs}jM$f@Mig=ecZ0uh(5>fJs_rPe@&GCe zW){2oA}0JO@nDpL_e<cVQyH~(jAnfbK)I$1DA&+tlOP~J$<tKB_lj6@fx0V9=&d*$ z`ccvcgIN>#2_{l8lM<S+>L!tqzH9$1Q;gI5kuffGxD3ERgjQex2&C2?ui~=7t)`NU zC)vTItMF@udsqA<kBwCNfz;o!575=FJxVqlmwh}klpbXcTE;5z*5Eayd2nrTrwRz* zU&xCD`}(S-ZuL#Fd82-q8wjFYIjAlix~Li4-IlHvE;%=hr~4}yf)}E)bO~CKB0ux; z^a{a6_Pj#9xDj{seQGu3It<8^rE2$lbl#x)r)?evA5<(o-f~dF6gCS0;=vKW`#=Gl z<QGh3rbodA+NDGv>Vt6|d^w7NK_W`lYj)1A`qWV~4L|<KpEa4Ixd%orn-6tP%57;C z-oISg{|uLv>GQ--4O7QMM)O4>Fp@{hV6BN6=X<Xyc(d9?KybZczaNfQ{Y$HnWC~VH z!XobJ%SUBYHYpY%0SNEUj8Anr3Rx(bz$BFFCwLZ;N#-<}8|{jng0LB^qyKTJI8Sbx z`L<St>t`#SSUZ=}I0N<Va)8N1Pp1i^a^#zPP5^9_!mn8PEL)lu5OQ+IS4S#vW`4^) zD;x&oa;p0{M&Wg$X)IRIu_>##p;i2pN-B2Dl-kpKtN4_XD1f$=|LqH_r5r>lpVccF zE2r$o)x`{RH9RNJZ+l8w!t3CYT|tn7>_2EhFeP{UZ?!Llk~5yLg3Sveb%Sz2a2{z( z3a)GKx`vYwmWZb0OkRNHVm>V4QXrAHL3D)l;MN5%Ye+>TB}nxVFw^-pjn`76NP2ss z$S}5jGbp`Z4S;2L+i|ecF@7@~tT*SFE{3{BPULXwbp5t~q>~~BRYG=50!@$g>waMZ z<~bB}fZ6ztG9cFGl-2KhYV7H|V!0sveroW?OKUZHW5|b;05qs|D9AuaNe}J4{f3`r zs%ifaj+dAu`K;7^qXt+XGG1(Bd}Bpk*8$87c5Ft74j_P2c;m)`Pz`o3`Vv9$3;=@p zmGHw9nzj-IOQm%n;i)iJE95QcSHpPh7^0g_HZyzic+&8)=9jwK#9TX=x~=ipY@-pd zGzuH|h`HssGdNU<bEuBsp&%V+)il0EoDF|6r|&dEAqb#LVz5HwOpGQk1B50{s`n%; z&XCfUDLjU=@fgUiCcw4xXu1t><u_{101%*bqv*$1A0QEzj+SHlnsV9%W!eiGpo(=j z3?&1jk#Oa!p@VhDkSK(OVZ`WI!sqYeN$&4v5w5fRM#_R=^o4nk5*#a=0zrgay#>`I zL>xhgTqB!=#K3>_U=JwlR1AWcq$8lh+ie<x(tb&2MfQo12zmcehT0u5g8C$2A1TmE zBGMV^>O|-`U*{5^7;At9puoyo8tN&@DN)0ZLG9)Uly<~O_@0NFtmLbp%NNdnabv|- zPdcYP?#QIjmlS}y_#1sd()6*RKG{H#&4bfO3i`a?J;F`uA=>i8kVah-`IWnk8hOj2 zjJJHjfLdvygD|yJuJFfrBD=dT?;;&chLA8B9MY~|t#CD}PT5@%pwok>EldN7{$+NM z>~~1t6=L$1&lMCpIO@#9<dvTkM60&J9Lu(b@iSELuYUJPOgJCt7{FS;wu?l%6y3|L zNVOTHmEnq(d5x2X2b8L+*m{*9or$XIra*DG^ec<I9`9l~*~ei+h8TJb@ySD~#9ZdP z_(V@~%4s@K&moflgcPad(Z5cUz@+DtheT{l9##=j+BK(F2as5@eb+x0rMVE9I*LV0 zzxuUyQcV2Bdzx~+q!k3cTE))tJlL)yyAV1A9%o1bhw~-z&z-Cs7&N3V+gHflFny6U zHuc%u73aJ^%(?y!rY$h}%+ldOwDnE|QBp0|&a}DH0cQ700P1XhL$qr}J322`Hlj`2 z^xiBxVrNwN&41ZB9V241QBM9@<~9fvKa#L+gTgq!*}XnPU+(bokLxBfH>Udaq0J+f zelZYcQ~TA7%xUrPykh+N@S@z|-7BthIt*5)SP<Wnk|Z%+Ofz^usBhp>oIP`VUct=F z0;3GHa{&K`=Xl^j^wS@2d2^k*I22?y#j#i$K*jhGwX{*NNvRSib$e!0p2@wV=%!bW z91Dx)JQT5g8^HNm5{rbKo|}J@N9DQ@^}{fcI<JvRdL4Yxvc6BkYlI6{CKwL0C7=4G z)V8gvVxIg`?`ib+o5oV{;Ey70?y>Pbz$w#W>?GpPFD%)^hNf`aZG;_7K^O}BhxS)b z!3tT7r(jg0(SIEsg~iKbCr0LFrM`^UF+cyXwb`v>=FMZ4#>k6tVP6(qZ<+e)l9Kq4 zntw~9`?^^}aM)?7$*%6EOx|OphoE=UcYiQ|Yc983oQ`_wlPp@qOxP4Rm8+)~3Gh(6 zoj~ISXQYI9B*l#xI{QCxC#JsPA8-dZX1;!0h7D|C8jZ6-)<zM5>HQ5s9V%QX$yljG z7}W0A0~ZW8-Kn-ABUqvnWSS|GJ{Xo6GQq-(LrAk+U*}%Jq0aKHO@l#UDEym%E`KT% z1L#cEUwo)9P-bL)9zu$dgdf6t6WRs(O$Z@=chv!xT~7vlD3D<hG#*4eawMk%4T|W~ zug||<O=F02;HkFwj|91m1G8xniG2gW5sCH_4NNcq>@EH>{EMn7sUMnL@tz_vO7r@@ zLs9_C(4XVNSadt92%SwB%*;^}R;i!bV@F23d0j$}IV`bV1h#&2g?lB{`dxYfa^wS< zkI&@7L-WR&1`@j6Iy1A@BhGnMso5n~waN`tl%hX$5m^RgPeYq};fAyz)-*T^6SY%W zy(}Q_gV_YRRI#meFHx8ogiU>jlfka|XCPVBFuh+#?cUnDHT-~#vs!{*HebJagfSn} zZ_DmIi`LEr_TjP80)vmKI1HRklH}|flwgD;=}zNb92Nr?SscfFfu^<$0(@kNJA55n zqcRbgfIcF6_xn=fvttQ!PI$u`n+qYx?KD6U<_#r@Z#Lu`?_0!L5o1x07sha15qVfr z#nS#pPimM6RROA~*Lus>QmEzh^%b_9Gg*Ie7aIj-9#>!4eiQ0HTl#k=_?c|~A$C56 zo#r*bbiy>BDHV4~;!LGo`hLM9=<9fz7w<tdR!$W{a_D>sU`F7y*ZA=ofTMSs7K}0) zx56l@=0PSQM9?ixL<mO|PgN%rSCzxJX2mbL&@6foj_w~$*`ebjlL~@GJ=juwjuGoU zwa~hS{oGjPF)uN6`u0*mFE3ccD9CSLvFK?Ni~q);X1<x1oy{W#@>QTbLn$izJ^+lN zzW@Hh4HW+=BApz0^$Ls=Fu^*iOOBUokL{UGL2j16`ktikn2CQAC`P-V3rGou^ZZkh z;OFi?Nqb+l2Qna!989-YL=-Oejl|(rH3=*R33gsk?r}`vD`kHmGn@3m81Vap>82#{ zJUXau7RXtFzk~S<NiFW<a?Q!0g%ttG@lq>6@ewMjunmtRhiAopfaSZyDE1#JCP&%+ zP*V<caS-`(1!2dTqh0gFZ)Q|9nl&Iusz8~qyh%?fP6w&VA&vbH`NE$V3AWz`TX>Zp zN`IRMz;A~Mnb7^C;tA4)%#01Q7q-h9k{9@w(<Sy*(v(&&7i7zynD*Jx;uRFVt1Sp7 z+GoA)6Ld3_u(paT0TH!fj*et(K&gi#SbPH9knet6kzVW*>*+`0Jahl@ck8A%kb)dh zV>LmI6?{nCC1TBxD9@M?WSsXdttoZ$WnT7?h{HPI8EEy0LYWwZQTLY_-y>WtYQ!@& z3l$drw%E)dX2(girwtTYQn(FXeQO9laSv|_L!$92o6!JZI{c06v5^cxk1(~ogMbMs zptWi2&ic-RIFcuIWO}eIxG_ujZ9T`Takk2c;X6Jj9dbSDTog9l;d!^q!>acY^5Y9_ ziu#znWTr-8PE$+dUcERBflEcslUj`Y;0x!^Lu8qoxn>=p(-YO4abW8G`04a`5C3{n z@*b+F7zc>lDqMemn}|>OL5-lSdCjdXEJdIcED#BZ)13~1)5}C)iN$bS4{%$;WEl{w zhv9~hI1za(IweT(^)Y}nuKKINtBiqV2><GyZ)#(htfV!{gcU_y92trvNX!Mt6KB}! z=`Y8#-Er3-*dybApM?dFKCpkTAmoUJsXHY)Rs@ihaxYLT6~DdrR6vi`=p*&svY2!j z`@#)54JqL+D+nU8WyCkdI0ykzUiAmKdi;BW5m!UiQQHg@G;5W(CtQ)EeJhnf9G;SL zl$F|b?*zvDw@Fr!9(#Y}){PLO(Ha+AMBoo;tmp02B8nHD$J1lKkGz;9Z}WXmtz7bc z6`lj^KG-aF%HH~BF!<xoU1s9jrJV!>f1`r{ay_W}e=kqm&hB2#Dt^Z6j}zu|M;QgR zxFo!VwAbcRyA;URb(Cn5N1odyI+9rcIn80vMAeX3`LEag!86$TyU{!Bx<~XXe?3`I z!JHi5l_Q26+|@8)&VKyxBBGX}$;W;e32X#BxNyqVUeSXK8lvevHbfO~3fX0*Lh>8B z`K4|u#ljvbsdK!->2F=tRG_`tjKoyi+nD1ZD_OKhA7mN_#$Y;=nK1+h&}TL|yT|g@ zCZTO}TQ65@6$oTDgDJ{A5z5*CHl8am5{v;G78fK>9`UjyQO06Z8H4nb@h>jeDu;k4 zTxgjc6Ds9)0c@wTV%d;W&yH8xE7gxZO?&^cmlosHvS@RmL6J*6rAnF%R4m8jtwM0L z#vzdoTAskT-KWCtEEH4=e0*t>sNI__cX~2<-y^6T1&6Ns(9si~&NNKA33QU%MnYzf zmh%xv=}aOod<yeHkS(30UAz2N-88^gi{`^#6IRn(bW+<OtI`QT47I?OBIwtOm7k{B zgT9?&N1QwdZ6+F3|G|H(Rv@|@W6pfJ^101tegldaoYa?W4d>BY<Q|ln-a3&KBsI$g zsUa7sAr?{Y$-spSX?ozwAh)WX9B=(NW!IMT44yD~D)ECV0=Kfp%K(Fl&GW`q5D@{r z9Xoh_<7XRMs`}HajRKDIXNG*KF9Z01Ove1)pZM=|zgu~XGVxP_fQk@qB2W>E3C_;g z(j!~xx}bUDO=&GRUeGx7trM~8&pOFE!B}EqCfz>5U;Q1)wdSmnyu@^6!!774D<$R0 zhrn;Jf~$qdvdvkV0w{O^TV&FWc5^suiybFQRz<K()U7aP{PU~a*x|LW-1x>r)Rk7} z9Iw(?hsxP+nMnb!h`U542}vGrp;-{RGzRqSW|2t{FNYhdY(RMg#MR;KTbf%{jPrnq z4V4-!GsrpzTZEsi#!X<_c_ua@9J8Ow&Z31v9B9&OiMU7r0)TKaW~q!}l(6<<qgl0c zKl{}?yA0E$H(EE;a%p~grf<kws2aS<N;|YH=5&2X1fKazBY{qw|J5W<6CwDmM;D=p zh)tiW^2p0JV$H4gCrVTge$1~F$NDPivFOY=fsC$zfDkI%l*Z0CKwzI`z5m@oRmAPO zCnGX%Zj2KVuxa_yr?_4-$c2W4v@)%>HY0Jp_E9S9HuZFWN;4vEB*{NoF*q`16)NrB z;ZKi$dsu9rR#!{ryJzU5Q$PF<Oe8UWS!K^U-QM5#s*HTTaA}|Q`p(hln~3^T(=B=i zA&Dcy@ob;w8}Ur|IJBjy55r?;sEm)}m5e&CR}sKBC%)viC--&rTPg6(BV+o`V%Hq8 zgRC3$-((%?VfH`F6oOeo_kd7MRK5_}%6778_beGx8F}@oPaxnjA)HRJ=6ByP5EyES zBZ2=%Bm(Le=G+iKkLYlTScmaH91#b(e<~Zoki}$8_M6*~1#3%!KopysF95Yaf-Z`! zXgX#H+oL0;gso);J7k&Nj04kJ(2}5PISyW$cDat8637(|ByxUR_9Jnut0KzI0vblH zPH$EIjk)aFh3EB6u~Cr4f7nu5<~Y^Yv77QBAxEDEv9Vk7AcO0M8rgv05M0@H16vc5 zoed&`^*8tkrcPB%bReMvs2kqKM31&HtU_@W;&P&+T+-ZMEoF;>Jbbop3YmMR(J;1$ zAIH%kISWu)tT{5H{Ete6z5Kr_Q3zFM>wi=t+cuy|L?h>5i->GP>KeN(D5PF>O8sNk z74xIP8Cv+VB+`z3x}8KKw2>b0k4Z#s3^a*0wTy`avxh@Wg)p0P6cBYZMw5SIIlg5I zeqoc5OMB_ZzO><s4;|y6ND9BBFOFa6!8V8K_W8FoB>5gaij@v%z?i-fE94<QDsv1$ znW-&ci5kWnLzu^cxM1W0A0>7Yr{J-(b_g+#?JsBP$|B+&1c)3Ufbb|x_saS5X3ve` zu25$QwIuO&R@mps@|i)wwKgCo`KBcs#wGw!s_1-Ucx7y_M|t)j_y)FyfYznzylR_# z7abIa*&S2s6PCJg>*uyYYnxguG#h<+w;4RYmXS1du`5D{Tkj-0y+Qv!l=izZ=@LI& zqWw<RR!)!#32xO-kOX-Qfc_BbWruJ<9zb>L*C7%Kxs|K~#+D&#;;TIlK#4eJiiCFa zvDG=KP!7sI%X#qKm;?l_YMKKhAEqKYStLC#2-L1Uy^9wB<JXjmd+JqDnYXF3S1#ZW zyCEOIDul{TgfRwBxaf;i^@Vy-kD)V)5j1_>ej-MkNNn#pp-g#N_EROIw2g^$NXa!V zhL%^v2C76KkZM9F>w<t^`8mPKfd%kkioSbjS5l%0NHvOHNNGQ_cb+BkO?3=HnI8!- zO@DmMy<NUd3xJW*$Fqz0_=1x1DBW*FJCuJn*Gdc(D@Pn*rCtrlVwpwQuGbsngGjI1 z)($?$FcHeZA`+oGs2ImfmOHJ5WA0G#Ve@z`q=$zzPYpaXDnQqcBIVz3L^;r*B*;|^ z<Pk)|`Lw$^0w%C4mtff5gAydlOy61Gc|g#osaaKHN;T)gtsJ}+BT5q3eNbG}gujqc zOhvpUVay@zQS8+8*WVllk%az5>4f`26qRV_hzm#<>T;ZpYho>n<JH{vbD30Ih|6*; ziq*2l;Q315aq!2Ht61i+0o(0L8!Ai=Hs<jp39iE|&ys6M@hh@x&NP*nG-Q6p)K+^B z8-AGruO@>OTGM!9@3#uMPrAs-;gnF2lXsJ*G#3Xxz`XpD)(yFxSRq{eW^}0_;{m7_ z0qE_K{VA3i<@jD^<@g*|t`8g?bN4cmlzalE*zBAS!CPUAXD4w}zNnS(J6EXcX_{^d zcdj<*aDe-_F;YOJtD|Y{V~%<JwBAk?At~~58EPI!s|r3X9qX7Buw8VNtGPSW!&!>r zqGCGW1F}UfO4j#m`so`+?lg|!LoD>XfN`r{uNr^LMGwJ{fF|yN_0@4lNgx_l2-X_e ze+-0+Hc=pTTl$XKU14>NB#mJ<w2SA4o(9pmdS)t-+m^afd$%S`p%k31O2;N1jLH1k zj!Qe-;m)Z<d6Ti^)N}Qc!(ADajEsH_oS2z~Wnhq_hmsMT>6~L!66cV`zDd>t&LRM- z7Zmwy72y~=LM&t?B^rikmvuvMAjsNPAU9uz;OdAYRO><8Y3ik)m-mIjF=p{vG*Eg2 zF=@cu{fxxw_QF+_E;g@ZtDbW%4Z|^bqXPA+6(&A<KaZdY`~{3}V=%OKpq#bjYA{qb z-l-)st-_kNe9=}6M#aDGpVa_@UnQz4K%yG7R6*Ma>V<up9||{RH*WOCHnHK=!gg$2 zYi)cRXS$`Uu96Y3o;PWtuYknSo}T5i_hRg!o+_yOYC<!|?}`SKHQuUssg$LG^%9eO z>3z^zO%)rK2&!+?nh-a6WRW2FM;T(Hd)1l^{FG|kQ`nmoCSr~a0ayTzltfj?T|=4W z?X0Q_Ys{s=C`!#C%<MDsb}|_qbOtBYaa493zWbQwxZ<>nwY&*6OKXu{kDS_hFVaWC z<V(;s8v>aYYuMFk7AeTOHC%J{Bg6E}AgklCBCw@WRd}yT8k@)9FVr3jk6fHN6RrLg zuT<Te^7%$lZL$qEKbisGHmvy5^UvNUydPH%>RrOxrpVTzoaDbn{lXb_3gdk2PWi1O zFUCBXDvEF?xnro@u!>!pgkD9_AC$Zaermfgit{z0E$!FS#TdAxyk)UN<WJV(49QK{ z??Fh%u+33vK@?<bt@~9vDZ2@z+31kG2T-+o;9*OCp0lw<_bA$c8$xdgOpZ}kQDj$M z{_8hZc#3`NXPUMTO3PK6OR1DJZz+mg{gho#^!E3JMI1V&aVhm{jeXdTJ>~sy{GV`3 z%#x@^+SLIab8UpLHXrJ*GXi7{U+j9FR=MWf1_RrK;&$@*)ACUt@0S$fe&+dt9oiIK znWj$c=;-S#*3?=7%-;>8?T~spmn?nVeAs$TIDc%Mm*Pcz3%7b*vLx!fY(tvt|3^SF z=M;YPd0%mkAkV*lYf+txf%d!eTAL70N7=tR+w1t-1N>dEc~JDpI=#JhC=-=ry?bpA z<Q#~22;k4iAa^<XpLo1*>rbWB%4?Ja&$fw3JU{gyK#v0=I{$Xb>3?lZW%dd&VB68C z_-QYR&@)U{lDG73F00EiJ4vizt6Pnu?`Dw}Q!egPr^aGJ8(w@o5ER4AX<Gd~jnEqJ z5*@`Hk*4flAW}ue>{yZ@%=PKV_3@ZiNqQ<{N<7ARX<x)d2F4i)=C2G{eQFSvJE1_o zc(?j5fJp=YfOZH*t-<~(cc$ddX!g_pRgo<J0#&5(N)I}#8d2SKCgq&>AK>LY)#qUb z)WplES4eOdB=QVACkKW<RPOdyTA>|zLd?5+vN@KiifCysJr#$Mclt+k;3Lgvxz_43 z7DLnrZtH$9z0GX9yZa<F&;wp@7$%M{=AdSGSAIQvJ!Jg75%~MG^&>ZTITBg^Uh~rN z<stv6>#8UhGkuQqE)K`?{f83b-|Qc8Q<F!3nh5|!Ygp0pR;^#(6))Ww@sVqNl~%mD zCQkqK**9gqdAjKdObCPy<Dem!_!D>*ts6YlSkY*a>8%GpOHJt<(s2M>+Ag+w)HPE( zrc%A92GMh8OQ<Mnt&6aTYW}1)&+P*5x&@b}6q(BG^qt8t_6%JqWtT>(wVTg8*M}l8 z)>SKWj~HL|oTH1XOA2!zu_CviEB||I&tcND^s{U|({<+tPo{aA2<RbEOK2pWZcS<0 z>%jGT?JYp&z0Ggk8n^(`k!r?6uKCtZPxy8l?i)WS!(O+Yvtq8mksOiaucsFpf7xC? z7{%%7<tEF433Qa#CxC}8mCUZG%L-%QZU<(#;f{{b9}b^)-cD_CnunGUAIoAZ3<}L) zS*FO4EGwgd@TqjPw|BO+b&i3$57rlP#%Dt?s>?#iCb}n4Hz@#WR8G(0qWORjQj%4k z63aF9LYN`J;8POz8e-1AbInz2>7w-2ixcQ-f)Y*p?8Mxk<s_{M0O!`+`%^M@aF~le zRS;}z?V{Gt5mhUg*+Eq+klorgGxP9TH7hX(3m)le6OmE=uyN_{kD*P?;p`eN#U(E+ zHCNTr-{BQPwX6WT09&N5epb>`EZ%nI!3aAQi#H0I>1;AnmRK%`#h3=)7OSNZk&~~g zQ!?&&_WgY-SUDov;1FZXb%KF<5xUl|SLWblLue)N>ZT7p9r;qxLQy-N;E<^WG@l*e z#7R7wrXI)3Gd&Egzl7*ofLitpnfyl*ZHv)AQI)eGgb@JY31vA;Z8cY(oswA~e<Y)v z2)ek0wdEXKvZhJzW7Is`1V`$Ri47vEVsXM(5*%{nK}#19422GDkPj-R0+0AUqG|y_ z7$VH$G&ILu-8wi|2ig)l1zIZ1vTxy~X5kfH*p8s0AW-qS&xF42TWEm<kEo2Io<9U! zYY5^9K+m8Fc@ht9$^DjL?R7XBl@ICRtp@+y8p|sGk}Tv1i(lPhoe&qQf-R)v+Rl=R zRsQ#;F#PE@w#Y(f>+o!r*Y<9J&$<Oau4A&+1t&H+ag37l(A={fg%i&|{84aTwHb-E z9f6oqlgdF$O2kE6MGPH>u02)fZ*xZ6K3BjomH(mA;VATC@!6BnjSRcHx<;1gNVovq zpKd*?VwW=B+<JXFeCqR`CwfTR3qIiOBTMWTVn>YE{P{rw(RKpS3|-|U-#iO_=4V4| zeEPDUkz9?iI^4?;d_*nLOuP4YB?`x%N^pM&UW1M9I&sQHUsNi4D3Y1#&FQC5#<>9g zxH{CNP<|ohZqsBcIGOE^>HM1A!dzRip0|xSXIaT3LjIQ!V~(6Vz+)*T;)K*HT66g) z5l^^U&fNS@Rkw!Fan1=7XyaH)o)f-^i_uKp;sf*sXWvbq!izYsZ?<ce0|eopuT@+S zGWM@zcaI^x#<X4;Z10c8+=#T2^8lJM3FO@jp2U;z9`IG&b^|36@gDFL-FDwb>edeU z`am1*?=2j1kZL1XHB(7;wl(+sKJ_E*?Gyac=q3>bGPl;cjzs@oP7a;gE&|WZgnkp( zAYk}ol&+q$scZ@P=HRdphVA_zbJaOJFxvG=2nK<_TyrWeB4P@?d_%tr0zSa-EXX>Q z_}+z}g;dW~-30JAW4h_TfG9i&D(}CB(n8Uifnqkng3f%0>AR0J*ijd7toE6T2&@Q8 z-Q&dBidk4-#SL>rZN&}a<g%WGC6WBgw?`;Mb-_yaG2o}>1d$E#;8Ai(oC>lscbr2d zKIi9WnR9xCpmP1>#)!TD06?A=qS}Vn`x4vhLw$cfk}KyJ%d(TX@Jvvv4Gkx`+{n{) zJ5yMRUWyq6^K6J)KOys+ip!{A;paO?ic(M(0Xn=(;^CXl8lqxvpdc0v{}!0YD<F4R z;^CWTwd+fki|J}eor}p&3e9)1(2w)?ucwx*)M)7;ZP1zin|GVCBjB6PoMH)xWpBdG z-{#-VQ?|HL8M*2|3x3esi9ESq48z05H8!FU!brp1+Jere%y7(s#>WjZi8%<)C;YIx zYTZdx-HP_Swt^A7V=r4>)eYRr*3{ILH|1ANE)Ir@FC=o^ZYZPsF|go^`%8Aq!eUCM z1<kJM8xogc7Ixpe;4EOB1G9p|_IR0+keZh?a6-<PjaV%w2wNVEN}kG-pC@<JlikqE z<>?0-1)JjV0-euSlk<4^3q?vL#XYzsmO*yBYK`zmrdD#o2E4@3N2mPos$*1f<f6f7 z3~{>&59uJ^Me~Py>~0ZLLh!Dh5q%tbtCSM)Tx!Mlz;!FogdIR(M4KjKN#FtKRu#aF z8!LNF^DBR?((p|xqJEzw^kM$g*voCNqupvg(w*g&rK~Z}TPoN#=ih9?*DHdb;r?E` zK7`;7zZ2V_D69zMiECpolLbS?6lBQiO1G-RG~O!m!*oy(MRXeRba0)iiS5)PuCYla zm~<N(cNXn~DxUx-r^JewL_I-n08Y6K9#7~CW!-~RJ=9_u(K@-Y_!I)yOgcUd1`%O< z(?DJ&0jwOd<HAPu!tJqeJs!|{*b7An8@Mkt76DX)(s@lWj!`;H4%usoPe+a!Qb<zS zjtFyojB^wn+~T};2L(%ghG9q{A^dZf(wqWzYLRxe4%>iYMLc-?)(Wv7QM9V(O!Af4 zzpc;iPoM2VA}A>xfBkMiv~2(tV}E(KgIdGSh~vdYQ0?A=b#R>Ab~ERhfDPK{=2Da1 zZsq8BvsKLRD>*3xne96hqXVZ7Y&9Wsi{G=X62+CawSjkIlQv9dNt*P8`YuYY9j(-K z&+}#GS+o`aUTm@AN*Hh(rUx%Qr*|w^wu{n@l(fG3aRifusvViG0N21VoIR3{&T1f3 z?qvL^rC??Jr=n63?tDy#Qf#9=U7=zkRH?})9lE$9lRFs2U&*MJ5ltFMHcAVDV<u7m zkgkO+W^b|2!!E9)9t&1dIrf7swkU;ArWK?3<DmesGA?}~QINinG7zR3H4~?@=nYUh zod3(M0Qa>agC?CxM{MxUkxY6{w@#K4a!%*p58(4Ln=W*d##<%Q%&*0g;7Cc-wOS>W z5j`2H{oTDbltUvj`Ah%a=ui%g%FIoz=v;7(0nb3LSJBn($@kf04g;{LQ;$x-5UkcX zqy#)5_S9DyraPsBy-CWUL7C)Mzgp;G_8FO%lu{ga28?7I?jOV!_G&e^Laf@f|C|E& zZW0DDyJ6s0fA>m@o+(i?6Eavhw}o!RyGYhGQL!~MW)a1N8SuadO-g_Tc~XE$iw0c7 znnHxh{jgFIs5Z28a_d4ZSxUdp?*CV>qkjX>@b6x?FTX2&=huTPSTmvmZmP{9i&x#L zSTh(>j1G!Nhtd@z!c(nkTz{%K-*+Wl2O*?7*nr|PElkK%I|-Kndn?5HRa%HueHi+m zVU)!pAZ^SC4xy>{zlTt-qIucbn=WAjA5hV(2Hf+|8*fDeE%=@^|Agj_^s_@A$J$=) zO4QGyMI$0MRk*U<h)P^9V$){fqCIwza1B%1^6^)e+*Q>gm9L7G9IkjaJMe!;MpPUO zOL-NjkJiLZz@hX|96u^%t#WiDw6dx){E4nL6{6(b1>6KHMSX5UmFV@X0G*%1-@Mti z7(uyov|miP9#veyGaIU;g*ZR10awtj0DLg>hix-TzZk(uva|Gr)rdbBq`DUnB!?M! ztaB1>2$#eBntoLh2MS2%JPKQ|mo3Ir-vP%e7g5D!RhjE|Z5k1*yJ5xa_`vH_@+oI0 zV1S(azX<>|JL-)`q64QK6x~{w3Yr0f$Qu|i(=u~}#zIuc49&#SwmGSH9W_%jV(zgN z`;}NSx3@~RpK}8olg!kJlQXvbq`=gOFFp84PPa;0qD{9NpR?2HQSKs^w9d<LDE8Ux zCg(8^7=8a-A}~g5^r#CeSAvv~MD(bIpFI`Ehh%KO7N@zFw`8Vjp%lA4yHkZ7jXx7+ zM5YE<)I=c{0JAe;%^jFG`^Azi&BLv#NyLbp%stu7cS<~|j%}d$=!?_RT}cd=>-8Sx zVGf)}P}AdD*@=o{xBwnKz45kx7^BagtYb?9FMtO)5u(7Dz+ng$zt0B-cCedsAvzjE z^ZsG6nozkeupQ=S1knN$5!li>A6=B?Rw-^WL@91+SSH?P`*Tcpi`}}r7Nsdo@0GMg zfN^S-8Vl?#dy>(9@vH@Z5-?O}?d+1+jrRWC4j7o1cehGkrZ7Lp(1J~!7MQK^nd!&R z#N>O;7ovn~ll7l{=u`t9Dp5TMxQIi2uh?6{mxrA}wL2G_A_DsfmS#Uov3>aK7uy1r zq!YF*cJa^H4BKXvW=nRq1H4QCNKZEk>A6e6=geq}ZP$KW1<ENOBVO26R}TMZTvOfU z#Uah4EbhKex!7E?bk}_3;ve01r#;lpBnC#cDzuYDn@@n^TiJunCKo?o-Y+oqtj(ib z94$uqC%N#*Q8DqGIp606D;BKye?~LHbchl=Lo;1c18~@D^w6Yj{ZsD>k|I;r>7|)& zmGC$inyIDNx>SPxRzZKxy7U9L0x+Y_Zh+%pJFLV6^<!A+_2rE?<t|1|9GK}xk;OV) zi*BC@e%1y6o*6!-QJ5BZLZ+NfV97ZuE)cB+FMf6}NBRuof9hTSk~ihyUU5sLl5CgC z$dpWVn3C>4@%b4;d&PvF3s||aFhM7Xg(`x~IKUFta>~Z9k090H;X(D!1-`nMCmWU1 z|4&|gp0N*L9UV0j`I)WlSUA%Els(KO;0#vge}?iQFk3U|QucteZB?TI%yr@$N4^WL z|89Dtq;lEB|G!OJG_3Z!k~mU;19tftd1Ca_S+~HU(gh|Pw)7=1GQI#S#Fr@y86lj1 z%9t|kzdr$n{{0CMqhV!Vox0WxN&)~zO6<Ra?z0<b-wTuMuen4s>lahpT!onlr2pRv zfB6Eu%BP&`e~$m}LiF#c`>d%`ZL7`+Q&GSnT+E40)q*Yd{LGNgxOWD2sM|8hil(%C z(b@ZR(rh0?IDT7l|K{oe)C~K6^_uay;35Tns>F3WCc!mrh*M2D$z}4)-2Sr3eKG@v zkrf{_!;<s8k|i*T0MWH0pY<8%GYc))aDcI~*rE^-pGwTJ;X(_n6<-;O4X1|xng4c= za#h98VEL!dm@=P*X!u{z_D`2r6?p%zZsY*#2F7Px;QwnHKP!6V|Fr#|rYOZo&xtWJ zxL<7RkF~}A2?K5o8V1+iRQz^Od;nh6pv;S$Ex~G+imNGX6+5T3tChW4^&dgz++#cc zN*ImJC!Hy$+o&_EM;t?soEOz!)T91AGCybgRSU!a-qikazqD}1SW?r8J!U?th1MR` z2gf{q(kXd+6dcpv=UZtlnLnP;C(58<4zj3u!zkdu!*b*OLru6osn1h+Rmm;51}!GN z^aeL(b5CQ^cvwrC$-(^p(DhegaRghysExY>cL<OKhd^+53lQAh-Q5~@4esvl?ry;~ z5Zv7z&an6XzW+ZL=VE$h`WdONsjjN->GiHJ$m~~(oeV(W_FR_Ev-4YP;bTK>7}rNH zrt=o2T$dA{(PfR8G~xg%zvG^KCoR869ezQK=qcNqqzYyTcaS}vliyvaw$Sc0?|LV~ zN0$Np1R8DhkvfCS)l8XnK)sPt_8P0Ck{I|Op3*WqtbFjFv<0?UVq(-PXki8InHCOM zjG4#A%k^xaNfFf#9LdYmvI84$9g*y+mXDv%uZNBJ=c6mtZ@_9m{;$Z#^rPxRUME^U z`2W?G4pm2+o)JM~?aIs<gE(kt|Ln0%xtoR~-WVvqqIo8Tn_d&2V&0!|Pm0X0Um`@p z&@khcC6ty_+PLKo8VQX*x?0ebK<J}0$No<XH%{9t@B~dB8fDGPYB0^Z0Y7<b?NYrA zNkS5o$(fU)YfEl+n8(>W+E+)7Lk6zrl2KHaXtIYK>{{uU=Ngyvlce$AZ-sN&fU0SA z87(4Ju)Bo+H!us8Sv}btp=0rJ`c@QaO~}eR4Z)oaL-r9F_iZ-5$e_5Mu@`yS;a3?` znXKHskU;MzsMH)fVM63zK=AhB^T@~rEeA<P2mY;$Oi)o({9Xh_$Fw0I2k&v0L{QvL z_9Bq?lHmR4ACmVslrt-nCWq`7X-47H-lF=>Y!jN3m_o4!liR>Y<8G8sG^etj)aw_Y zc{l6*%TfX(iT7OGjuXjXZGz*H9^@~Bfw#wj@S(rY$EZ!soVUi_z%yw}+qt1j-N5bI z(6YX}LzdRMHlLx&Y_QqF_>9gl<6CT3`c9$ts6^*`=YyT+D#_RF9z(x>csL9jlQ>1{ zIE2In!J|42^kA`hPT)Lk>Nv?Z2%*+0QnSB@Vmne?d>;bp5IyN8DjZzdCBOMkkD&JX zhv%KxvY!zVqSnUM0e!w>+;>94*dEpQ+0y%ioJYFQ;Q7h3cqF-jcO8{mV!P5asw$0w zs6PawryzYxSybhp<fh4|6imGsRcByWsLrV_Nz{MMGgA{exFLu(jHFoMHhh6wFrOnD zCC>7Z%YpSTI*WfCcaN0pIaByvO7vviqu?^yQVYr<<f)1p3S@1=88L|^-}W7*Bu~ZF zIi$8@M$n&dq3|aBy@r@pW5RF8k767s_U-A}yb!BlG9N0$lw_U3c5A~XDr!4Y+)Ozk zM8o4P>Nw0aL@3$);(uYyF)~F_FHs0k)}L!qC&q3@yvX(;natGBR?V&B$)(x^-Ppv( z<zxQ|`DOYP4N&aE=CS1>vyy&Ii&@zykzP0>ww1XSwf>$dMHY~zi$l6fbbP=#2sblX zir?8*^;P5vXBGLEoKW~%E`{+^T3k~IT$$nd$+;<`wp3dhV=ZivU_Fs`;_KQ!8;e|W zTOy(PY0H;lqzE~wI*n&K>Bc+g=#kk<p+@Vg>Ay$DX~2uORNZXj&-y`L>3>l$wM~%U z*x>spm;ca_sn2)IMV!omEm1DdQ*a4_B~aJI!c<2_p;Y(9il_GP;SD4xMii72?Ui*5 z6e6OQ;FsXMZnL#)yI!Jg&AtZliix;daS3|9J4qei-?cdfBdx7#&fm@YU+$c6BPlR* zEd~#JHvkvc|HQ=`aSH9@&HY(sV+9EuiStccVjzl3nw8&gLmoIS7v#N}Uy$caw89k* zWR2|DH3sUdYeXV9bhQRo{f?;R&oF~#b3@6#-2{2Ku|H&OU+4TF^yKMkaZl2s(*@X+ ziOB*U3Tm3xmu63NLRE2(8;8b76149Ke0TOp^?)}jGpI)r8FE*G6oSncb`HL0v+t6B zWb_bYjAg>p@1wPi_D%B20nU*XGI<3|Z6*^UCMgG-t`Y*#YfB5M4PyMusu{+$AP&J} z33@!^$C4Q?UE3nPE&NOkGtZe{--Pr%;=IqB0lU>Sq`)3S`dH0$lDlu_+O^|4G9E?2 z{XpZ_*R1EELGr+&pv)1LY9<nKN^O@{I^fin9sh>(`D6#mozknYo!Gt56o}Qn9Wqlg z7*(XbIB{<Poy-a#x*7D(4_5T}u3X1eP%{5(1=)JewEBKonz<QmZDT5~#TaKBlH<*Z zMD2-@Se)k<Er}n^S2AVxgN#mzxe~<5Vc?xAopo!v;6oD%(Y%z~&wCUzBcklfJ~c9P z=6<7c<MF)3zYAFS{n#`PrWSZq)_(lSBRAYCbf8MLWtu_5kHFaDZ^o<gb(Ni;Mf=Go zOUjPf6&sg4XtAzzMBcXKbRDVRJydk=!<ERKh9}o=lU%PAw)jK)8Iu~uac^#(z};-= z8Qx{75M_$Tqp{b1gxexI&6>rdxz{4-A*r&BmDiAF*lkx@_yAM_^Y1u2dv^A-*Asl_ zttR_WS?+JjWi|YfVEb+PInd15aLS0)NEZ>l?-}2#ebT$*Gd#dDO}T#^*{cj8fcqK{ z;?*g7ttvvGy^kes;9uKs5{*#;g@a=#J)+^S5BunZulDpyjN!b$jy}t1&4$dYm=*qV z@P4mtqkhx+d2*dEQ!;s-kFvENOmaml3q!}t<}t|c!H?5;CA~bluJyy6mm0RnZxfQl zh&1S`-yt{a{Khj1UQ)R~D~tn-&t09NT^U(4SLY8j-w+f>aD$ZKz@Cx?5CG{|(HWMt zcv=|-ETTUXVsH;ZwcUMzMZIlVc97CdE33wuIO^o!B5}VNb|`)4t{94YM;VXGOhFv- z%v=b+EeL5@W>sgtwYgP#nLGK7{}V%1Kdb0=-|W>*{XUiexu=WDHzJsZE-2sSk1`3| zm*+m?_1i@wd>>d(y}qj)z&__~o&$w<2Hh>jF4B`b80Fb)Ki716V|a*8(_MT0>Ab$P z;YOP7)@8RXa9fc#xlL-<!HKUq!Y7yFTCg9Xij$DfnchVr((9w}u-rr7lXl&$TRz>) z8PVL_a^x*Q<}eNGlbY+dS*ox0WnG|L;<aB@Axf?)vx1ty+Xn$q?R$>AT?@aB&mQLl zQ^-Mdx3=@%t*suG-H*Wsd;W{LZ+Esi!7NLgdM-Td4ZU<x>CJpDVQ;^1ca6G+8)Bc+ z%Q1XYO(FNV+ra59&8#a782-}w(3#Rn8JFzg#)MN`pxf#7H!$WXIGo~jfhM!qWw^-I zLe1AS6g@T}Y7e+aUEY1Ud%X?be-b?tQpdWD?EmM@8SljXfVMj^SQRW*e3Fe65q_x~ zkNj)0P7nBvEK`Rm_M|?#o<y-Y!^1gaLMUKeLcvtwVDVVfka)bBdRJH?LS8On(N#i3 zGuOF0n|nSZSaw<M_zOQp9hte_OU;aIo?6<~JX=YfmjoCW+pls*pBaQlASxc;)OY67 zcwucDRd@U|zeY9T{DdfYYMg-&CFaPC^R5XqjY9U@TCEDm%z+b94K()XDp@3(;x)X7 zpBIQ!**x8B#>#<u5#%%1%z--kHK&k^mjhLbaqv&A0172}TgT9(8$A;4>jv7b4DXmj z&ZT5Nnk10_JmFq+TA-jvEf*DTyhL^QVmE<t|Jq!M8x5En>N_e%MTnYo<*4|UUcqwq zqHm+hl0_=%FH#bOp3}JMqyC~k#K;p~#tK*h4-w>^Ee=HeB;zG_h?t8J6*DOu5f(X5 zN|z9Vi{;F*Fk1P^3(X|7FHRdu*18-i_&bziNH;JNIlo2e7-AdJ@yc0rVa7kT6mb>` zXY+k*P3akeL#O~|pc)BvG)j(_Qqa|1kuIGxJAw4PIFpQ3Q8<LzbkIz90X?k7w=FT| z?wi?E$ZU5xWxm%?;CL@Gjg}9y_%`$RV+0YijdGg&4m`Lbv<7*0X_3i;EcNCIg$TdY zZFnH=n!nX$r&6g_6A=`Fn{1=fq@Y?m_2Z{NeZ1@~-uaKQNoI21Z7<`;6y<)C)k#dM z)=B3UBo!iqC8;v37tPAYz&6e6`I9vR>8D&iP6BcQLS&r|!L^jP<-_TV`2lf7MaEI* z9ilJCtl?WU80VCf2p0D)?yqmUCSvel(<y*R?)us>F;Pf5Q}kN+(7X@Yq{i@<;99w< z>FZil{CrzP{L!l9p;m*m`l017=$&`p3eu&~d$-dRv!&vb_K3A+D7@I25ehX4^H@o+ z5RSUeGBQ!3BJE^DiXYYKPx4*7>0u@xq1{UX@99suQQs)wP3vYub&a1Ms;V!l9;NuF zeUfu@xSz55k0v7JIXf>kIp4UTkr1zyWy|)5HWW&tIw>%Ry~@})B@W~?BXw!THx|o_ z*_Rb}XmlfFtERK#m=jolvFDPvA$@znykTNT#Gt_%qT7fnwK|M7O#wE&<Wj=h12L`C zhbntUg>7Hb4BKCx$m=u^i+S3!<u$NlTR_;Onj+uNC=}U-cQGy1e~@A#zPrYYPi-si zTN`bn$7x!5;17j;U}i%`V5`CUcwpzjma_JnkFZ8xIUY$Jf(DC#u*)w$yB{NBG>$g* zciMwDV71MbeYtNpOr9K^9a)c1H>mHlRr^$X&|75x7*N|YkE!fLC#uU8j`FEuuk|fL z79yzSbSE$>TNh*J9Ov7k(6NsY>XH3;oeg7#k>2T?n!EHa2dO^=p%*0J7(IwDr;}EW z>~OpV>Jq#3Mrp`^7*mCzU(kB&s<M51S89S^l%k&Rs?6&m<mwQl!zXQN`iLb2y1p-o zu?@mxs)zD-pbH3+Y{4;MLjS5p-8&g%WD$|z++(rK?9$=gbogK>v2wFbncCXlZS$*A zlB5c@tC#zZ8~7*`{7Tu_xqy#ON#Cevib~rEK!uLL){D3ViWzKXXUeWuAj>3@%ny5{ z+tJkN1Dx@|9gb}y(33IMaT!q*<zYE*dZ-21$1futTZzYz7{2IPav;h_Sl(OCd525( z@+gvg9q3iS!>n6WCF<+F!`YX#xXe?iTUahu`&CEe0M04-qh-%@sr+HOL`}NE`995k z`k1m|$GtoUPzJrQLX^kC=`QUlOgCQLzG6kT4D`B%M_uM|b2n9&u99c(2;&xp!_)*m z_0&)j?rA3l;YDPm`~|c~>wbtE68-rB;e4|48wynsOdqaJQ)>+#$h@ONPVs>P-s6dG z;YZI7kFB|o{;zb97R>Wy@<{QP8+Y>L&=$-M70iHjgkzzuD+Z6H;dfFBvmK*9mkmY; zK~?1p&}GTZ(`d>F#;n~|V80N8?zsM;E@!ug$^Po;4<7f0PztN*jq#hds(V@r?ZGr3 zZk4Y#Qu2(QO?VuLlju1agV>U;*KaS6!!KZi&*PiM9B=$>Fut@IZTqDuf$&@`l4DG= z$JPyyros(tT$Jn?H`>LgSMt(A{tW$|M=+M<$0D>0Wr1MqAJ<30&D)TPISwn6{0V}c zIMy$=tKPi7;O?Sylkv|4Sk%2l2`3}BvHCU)&X5?-YusR~of#FGiNUq7Fu%C_&1s}D z^Iin_j@P(*H7$f@b{HDe=Ie}Zs`U|!6$d8JK-fs<40W6m-6*2oX|Q}Me&QW~f0J~j zUB4y9xS}*DMDq3&F@xzXeTny`_s%BW>J_`vK-)#{hfzO|QMB*Ny+*BtHx%5f+!~`2 zEkfp}Z0_su6}pN1kd+jHQvWNo3bM7$*MjMP(+P?^&`reZcAg$XrU|>>G7B)p{R$$0 zBq3gTP4D8N%TuBq$i(Pw0|yGY;OaT(U3p1^LN+22IgPAb@^SXg9Ic`=JPOl72dV;r z=Obju4GdC(_<J=AyY?4Zu=hUEVLB{z;m=%nmPW~dUrqD&!&RkJ3NCjCd*^d_i@2;o zV_1e@UOT+EIH`?U?sr6D%`a5q@eOo9!IH9l1Rm^xY}x|G5KUCR#z-jF?hR%mzje{5 zt+T5#O$6J0(ZWUX5dp*3&O_o|)a}3YiuC0Q%V(>gnC3FbG-^0Jg0w9ya~{3+E%}?C z-EPEI#RNu#?N-RFt*YuIfLzkrxyF_)eWTj+J(7tEVYF&V_`cnLw$A_h3Um<tczo?m z6>#z3;u=qU#4r1xH{e~;c_CnFoDuN{0_9k(N*1!IkSEZzTGire>fbm9KPObUwD>4L zW50$)fSCExe*C#M(HC=Vw74;x;F3<qcs|^#)782>??L7645yibm{thEd>cDaKSJKX zcdFbE5J)IoiM+MCp@#m3mIo+<39$W<IPc!WnpLgPFNMaW^D~1S;d%shk(C7H6-G$< z7vkz>94iFbIP7MdC_a%vXH*YONa69nqAP|YR`!PL&ujQzD{pKjf7kL*%ZiSwr$w_; zQSh!#r;Adil)HJJcF;>o`G>uBLh<n3EAnJEc^`yb0;d-%mvRi6_?-dl{w<vmBrHB^ zcC2mQ*Na5d=!=jEV!5-~_2g$LkE+$*0fDEv_qu$)&PU*f_x-pC2wu@!c5m_qSC7Da z9OL5WMPrNJR~^gmj03LuU2VH!#9XEKr|&jWt=Jl257TTit}-@+{u&t!y~%VQs#=B* zFDtU6@@}TP72LZ%PZ|SHEF$UBKFs?Yi~kfmhK}2?;yZu2v!sx0^El#l$beZOU%*uz z@*MqhnsA$P>~oX1T5nZopLLTzQIB@cv=4=!s&gdv?A1;hs~Z?84qlrl(^aV^vJ^l& z@*ueg@OD*d=(iTR2_DJ-IdwU#uUkgZG)wAG9X(Yd0YV!_PMpBX^r<OspM5wSN@g{f z48QZtH^Z2VQWO5@srGsen1ZMFdIK`VP7ITI8EC<(ky7++2;)Fdh*%jm9e$hS{97QI zbGIoy*2<YoM>rq~JGK_f!r4q-gyLDTDo3GAFd|)_PuJ?-_V+{=>t{}mxypf_Q#DKx z?=OdF0!X>b+6ACTPviupa-n~%$C0pP0q(4o6IUnaE}00)@sD#_q$N{pcHfVMOoRD$ zFD|L?dJE3#Iqh8X-*r%O<h#;qF9g1-7ruZ08(>bZ>evBiO(XkvzI@orQ=6QHaXOKC zCMTm36B{9r6`BA+DfWd|NR08tkw{-FaJ-e!nA{7&3Aq_Sf6F%7|9)Q9BVmPjdUpI5 zj||H^?Lh)zQ><ewJEl+2`~|5ZlK%MQSfhEu#+`P~iOMkY{&KUrG4RBl(~h-w(7A2) zKx|D^wxltVdKAe`4Btr9kdLlXU?_z;qpckCtWlIpHF&fL{q!wbv7|FW7N178W3mW6 z0<%EA%jF2TC_tbI91C<)ME6vVNXVA=0zE4RJ=>IzkW}RFq!*vrL-XSD9uL8Qm5@r< ziGB*nlW%TN5**;_$XAMx+>uOV7$I>=pm)y;?UT|oF%KF8YxSVt7bTbVaV)i>IB{n> zA{SkvitcdANC)T8B9U!6PE}qThD!)oPu5C$2Z~+RE`g{?J6t$l`Hbd%>SDZ{I9o!6 zq8BkOig=95idZF+dUf){pFyGn(XFCeU7lk)zk96+iEf`?g<1yJac^dw|JD)Xw`{1{ z(pLo=%<c2EvGYoyz>M@TR>sQ5)1)L_7)*}62IeR;MA<tvs5;eTHU8HNa((C$?f||O zAo@C*-*2eBMu#s4Bh@n0JwHANTiU%}MA<XHrWj$iB91p=gHL=4tq#-9aUUGvMduZC ze<|Cu&#^3-Tzk2;v}1YqOq;M4S;1ANZsyzw^2mvg+hWmBq;_KQN;W<xKChcxR6!*n zpJNIaP1Cts9#vyo@oZ5%UL)tMOathQ&*l6XMJqPsOV`!W%1X>}=qq{qN~)}lmxB+r z*l%&7pp8W)ZA$g9y_Pub_t+lf?zd*tRTl4;x2QetpVw~Qcym$N2s<s@r5Q-fDI1qR zYpa}G>S_1&;HM$)iA-`9N!fo<qoo+gFHv#}5KHEk@%^ix6hlG)9&yf4_ZN69I{EoC z9(bEkN=n_h#uwHf8K6{KkG$GZJU|qma*#dVtu@%7TkM*s9k{tuIUL4PZ-~I>#g5(y z$itR0-$SY8tTyqHX3X(S{b!VPzua~V>!CNL$6(0f`;`fm%qcgFr7Q%BJ5@(7VESLH zB!912nq%O2A!!^gQ^8F1l^9ZVPi5tL7fy$G^IUtJW;Pyzd+I{LoqESwfpi>@4T`Dl zN?S8N|Bg<LMx<O9Mw*<}<P<j&!1GDp(IQU!VB;5KtJ>}-YNxT;Fv>N*VJci(@H7N_ zX9B8E!@_@N-bl`|my3=yvbU|ATbjL_JJbKge=j*RX4Zm&)f+!GTnQ4L%q}wcUc43# zj2`65eAiiEN%tN(BPncNB9mw1%*_XMjCH-&ozViwLPSoi52m79&n-s1a@2rJ*R-{9 zYrY*lqb2+Ln>^G?^HcRJsSNAZEitXO>^MvUCNt-WaLR2O(>yzh(6BZ(QVMu!^(A&A zPKi~;BRll#-CB}>pvE}YBvrKk5J77arAew@GJ^g~`(N_Y$0X)X!}c!x0O!QG<Z!Y^ zM4Y$mNCpm_<Q3=);Ti0$7_H4O4+tx`{J$r?3E`XJsDD_Gi103+Ldql0b)AvUdx434 z3dy+z_x=(#u}@m9MYmtzo~V2$d)kh^K^uU95tE}n%yZ?QnCj8ZGS%(l0Y=7PG`^>W zy*|KJy)4P~d97F*Ggyl%^3z<b+>2?4=2oB-{P#=vgu*z|ToS;9O&9#1!l;&vQ)IO} z=AQX98*9cfhM!PZ5PP%L5rwJl_HH)CPWTzq0p*|X4QZew69RirZRT_AABTwGK7#_= zjhl$`#Pn@zhlqP)1q`$$)H}l>LCs|vveKV&mi~2iJ~dh_jqBN7h4`L+ax{v(v@cl_ zy7PWgTcIjPS&IkqqEjgYhoPlV#a$q#QA8(hGP5Nq=PhBagh`WGEmN)Xa!_bSkm-Zu zn;*g7Ba*Oa;0vyDR=*z+;u4t@uprkB`&PvNs4&~#Otyv)DH+oN#aKoPFIFEO`zDC~ z_GpjD#K5kN#3XDZL+2LER}%F*z02;H<*C1SO+0;yz|;d0*Q7{{o07T^8J4E$vX|Rz zqBfY#<H+{V7U3GT=^NBFS@;+NSdShl$n(u_D)c}~Q17<$dfawPFx_D7zbkoyjlWxx zrUrB9-z|lD0$I%!>SBBpNbJQ7eE5epieJeN|Hjae$q#W>N0}#7!fneA8kUfg$z-{m z6{;*})c*oZwS~YU=B3wo3rC3VKzYHZbt0f<JzGu~Tz@f=1kwq&`+KYCHUtKENg=k7 zU&)gqB;@<{o>}^f6$o+l2(2JV9-$CHp~jMm9}NEpkojTN*y>O8-XHCucI^y(5|LY= zS~XvJRNlyhJX^o1?o^{sIzRgO9a*Y!s<3WGGiw1zKfWFyk*W+weN@B_i7ehZ5k2lH zR{p(#W-BrSgBJ47ETK|dOZ3cC53eO}Gpv9!FeP4`E=EL%5h1F9@=N6KhVZNGPewt` zD*byEXg*wVXNjzdGcqdVTeG*bCsEPQ;PGDr%Xz*S6A)3-^dXn+3&Q+{-8!%7C$1rr za#wo>#K~^ZwG5pION=@-@y!|gJo90g^~7xa*5`j$)Xz*8u%$$0`d9W%pYy(DdZp@2 znCf*2y#K6JQ+k;H=Uul)U+IZGGokcWJPBRUVtUJB4I@U<IP<DJf!B^?cs#zrcKx{4 zX4d%))7fP_g)U>7k*Ckz<xtW19loe`m73WE7!@3NOb=~AfaXihFm9UVu2*6G>0zLh z{}{ug>0kQrxm^${F^6@<<AO#bxv5|e1y%RbGBz~gvtY^T$;D^<$OVNObP7j=iwo(k z)Ekbn)Igj>^A-r?mjgVetYL99Y^M7%G3PXguGZb;0wDnc^^M4>A`8p?kXhK{qJ}+a z;6UiFJ=u)_od?loDHfSF0`!7Drk^x@jSz%?L~FP+{KyL{_gqN+VqBqlLD)eTO0Pgk z@cMb_z5{KPI`l~y%cua`pj0UdUB7qfdLi3l!XY8d-yjt$|6v-lQ9^gbRCEJ8|An6l zon4&+@(25ew?YyKL4yAD2$}~DT1L8`0llW}9nSHjpKaty6MIQ21u~@Xm=^U<ndRmt zpDU_;<i_xbznkZy1~73I`_s`roe(7ag?H{Fq-Tym8b*Hdu{Uo}o$bZBNQhd8HO{)) zpous1;bg?7)3-<Z!uPOWTbq&{uZ3!*p1{6bBv>grYOpebU?+}s<dVd>Hzq*-7pMpG z!hUb{CI^1UO>5I^jMByGmu@3Q6Li=#gzdCOT6UG=t1Yo_49gBS+7*yLThewfno152 z($xq=b&9?te77i?`^JzQN(agaf5rc0j$ILg)u+7H%+U13!M*O$-pmw&DQ0ImNp!^$ zF^<i-O1N*#uZLQ11^w98{AhlO2VfrGy8)wBSn-Z@k-k@>7Cp8NG8X7CrBdF<9rr?L z)X&FyOM(2;fT=<Kjq~zqTN9lrXWDN$(S}6+=b4ZoqFG?G?G%iZwTeIO-#hQl=KkK^ z7YFC2=0DU;5nSfJDX(UK(~)E>=5CTy?US$8%DPl?=;xMQy@l$1+Hq2cea+w^Su=md zh{7e<7<Wxr_urKV!&R`l!zHeU*7x#`yQ@1zKDTY9!{@OqqzIqC@+4duMr7bmtSqB& zxEMBKr0b4~!>2*TZ!tWy1AbJj$l(>g&vyzp`I}b-ll8}$jQfuXy8fsQdbae(kraOi zUcPxWDR5+qTv*7y?=@v$JIKEWOv;6w4pIg|OM9uacAd(PbI`?U?~V14eqoy$xu{Er z*hJ0Xua#>qt!}>w=_UkGKzndZERHPE_*;Ymdt=tY#p8V0i5x!T3?6g#D4)lUtQ!np za2pd@yti)bqe%V|thzguuEtX<sQ)wp<ifnx9u5aVbDPWU#-&VITK>Pi`t{8Dg?>BJ z?mlO>jEt4il;gmhjx)_djDvch@e*lvAths~1QF6i7)c&;T%Ee=505JTf(d&f$B9uA zr-^>RO~!GAI-g8~?h5=x2O>AbRo^$_Gb#nd*o_sJS>y^hELOU%X{TjNfb36Tg{M3b zK4>qAC{r@X&Tq349?7~PRgGNq2O{dWqR`OtB~;@q0r^ygx{!>8Ufi+|ZULTKd;v%Z zikOx9V~Lfj7J{3XV(X;vL!MUt2S-aFOC2erV4Ww@Z$$c8y&TniV<v7S@aX(kHn>oM z4ZEo~v9_%&zSx}kzIb%;bmnp({fiyW@7RIl#Onx~m_Mz{BSbGKqzS)=NCM@3C%{hW z7g%ns%;z2BWB!Fv4=6=h%9rM-Q<p2MtP7L4xMHQXo(P;$4jEBmSyB09cNXHBP@5!1 z7C^zyVLJAwqbv+OVpjY<K%CtR&d}cFJ~+NC1qJ`qBUokxDWK6FL$<gB$ISV&yk=vI z1JUkK0zBgCp?;9;8)d3xf((joGqdfu9mA@L+E-#43@xlkE;glS#FA`aNK3AT=O<AI z!me#XbWanWY7P(IrfuyyMKA(8AxylNH$UhgE4oL6ZuJWMh2GMJGtGH(EUw}s2(z-F zhU&7?y(g*)r5V1l{Q)4lwV@w)%r)-mMe~<=N5Jlww`pAaX~ywK)4O%FnuE#sC-hOS zO}fCaU16rO@wnzGLhsg}<Yf-q{0jQI$se^?3ptg>Yzi%F<keF@MNTH9oA%Tz3@-TD zOvaJ;E@g0%mY<LBr;rfkRDF^=%l?f>jDlw$pNaU|?^H4VQ3nHVwqKD}yi&A!Y?W%@ z%#0GyLsRs3Ig=S{y}}JdToPH+=&+Y}>98-YcV_ItN+kLw65(l2zB$Y2`?YzhuF6g- zSK7szE4T2^nrNa>sKg_0hmvmDHIJp~n7!`piB_g626p7*GLiDwhRI3s8xZL{`}m8p zb*&jTMQ7DMde8#>O7yh+Y%8YulV9hhTBHIa>|~|BbDHA3KDx<i{74HZnWI&aTBKDO zXLd8?j9nD)appL}o|P!_$5;yx{HFz}asdaWzjzM!twb`QR=J8mM5|;yhsMFlo8EXB zLxyt%_j;G<W_rHw&!p<DPrSRSahPo+wdp>Dq&noBRm~g_y%2?np^QQ;yo9Zon&o`B z8z8x8irOkxvuC2HWgZaaIQB&?g&Pu-7O-Oj2cuAdD_uqpG%jn2_J+xmUNosZ>(k0` z9zx0|6TFY@!?zUh{;>gF#@j2a9IxlSxC@g>NuI4}T_RsXv=-SwdaAw`&N(LWU_|O& z@iWV1HbWm^p<ACwSdR~_TEg*9^0sAr{oa=n_dJ?`-u9O|RNRQ)lq_wHm$uTxZJ-Lw z4Q2og?nr{2Fns=b_!Q2}L^mZpss^7SsW4UEj(sIcNvjl%)5;T4tP?{6Q)ry{JZ4na z;L|B%iJvTG)1?=oAP2%O@0d9@xT7zp2TU6#TTCb*o|~!lyB1x?^HuCfclu%P=?z~g zefYBOQR}N4{O!rpxg7#ocX@%X+#K0xSM{Y4)?IjE4{m{A-G;TC$9Jm`2;tp?c3iH1 zpAY-m)v!T|(DQ2_k_dOz?g0u1Y~v5s$hZQzL7NSJAt^31M-X|zq|~wc2A=7RW3F~6 zE9D3Xx7E;f>_l@KLZ4<OVMwIaCd|BD(P%%9vA@*O#!sU(OoaXX%Sb2GmTp&aYadz^ zPBV%GnnILM4I_zERB@92!wYK^$~kyGJ|TVfRIr>~v@ZK`$G=%fXCYSRZIDr?=;t9u zgVpY9Fn0lt7rNK4^2dXv?G%RA;Hf*o6zeN6m;6l@z%u~JLgP|jnGvYK=H#)C+nZ<K zh?A<d@2HCRseKDNw9s75MkLAgjiPVrf(=%x7tQ?priolwoTp{#Xh!{)7qg7R70+NM zrtqT2!n}OF5A^7n%q!Jpw$I>V#|Os~n9{oiM)6SQ<>`80g*w2lVQ;(B2<qgaZef94 z!eUCirU$g?F_W*J$nOZ>RJ{kzg4&7M2cXC*pR2l50;M~wGH^vszNjoienvJL&zOt- zeA-Dnr*R7}mbk}+*Y}d^5|sKF!^>V<CA{gn>hmMZgfl7>eJvM}#w0TMr0XpT`GS4V zBkla6N<M;`c78#sw;oL(go?F%`E>~32$ITC=dxrXYgL>$d5)t^+YEzBrMkftv?aL7 zv;J|Zlj#(f8A7_FIwFRMlKg4HDv1UCTM{x2MfBHT$Hz*H&&=){7I$+kdB7B-1bkVn zsU&X*^00lo6!cf9U*%KkZ62+p%)bWek@pnRCY<k)#+LEnLzu=h&(7pj=9t=m^hSra zpE12E!fjG9t*H}di6V=oaekRzuc;-eYxL7T&3(kJyu*P>ycfJNSweJE*9c6q>BaZa z8gx)igAe|-H+gUNQ)6Q#DM$H94j^2|$XZx}NxX3O()=$(Bv-YuVXB8C^jbyU{sDvf zoq3m3PApRVv6+!f|6LN1`6ek~Z=zN4BGw_z@pwV%p_+;`CUHF)_yrdUb}lk7*qm(w z?T@T9XO-Srf%(rik+Q}DhoiZ&4XoYGv?Is32;&Tus?76@{rPZ`+c(-Gl|vg<!B#OV z=}0*QVe)*E?@H?svK3jwZc+e^cllIwC1uKMRN&!2J*8Q3SB^gKp$QRiQ#MzXt~7rs z`&RrD<9#>9993AC+5*`7Ja=HAxS2)HHw>wu_(QF-5jHo(pLI$pZM^((m~jd^hVvp= zwCf+}Y|k0c(V2&FOD2W#DEK$`nwpMokP$kfv|x;c2O%_2zq-bbExlWYpzzX<UiLdH z``_Pf{L9=9#ME^3b)Yni36vzU{=%POd8-k63gxK2Pn0xnDjXXLF-TB+3jNGY_6b6e zan5$GNwYt|=X*k2-}U)<A~p^4*l|^mzHM>PviLfR{+gb7G)aV!A61|EpOeoASWLL% zu{j&I7n^HZh`3kB6{7x^y1$X0UJbwFXW%ty-r5+?ia6VOaOlt)14*d$B;U9QZ)KRc zv#=C&bq}ncwDn7L0H;&d)T<zO$u<@>u46NX3aqA6PcM~C8AhV#IgW{GwQtUUqiFak z=vSf-%Bf$+&%)*zjv8y{TgQxdo=oy$aX$~4R53wq_w`Ye6|b+I?AkJ`OOy!!Ozh(* zL2)NHTVDjJXvK@wlCU$tQGps7h^mr00<VGeHF}w2f*0GqP^)6A;4vimIbq7hRzfRC z(eO_6jwJBxGR{-D<-C@mLFV?l#q@d>Wb3>|$w-7cu|q@K*9DafYPV+Z<K38==+QtC zG(v?#K66Y^{=gC>G2^5pIjr|6+hOAZ@f1lCxwr<Y48<8S@sdx_g}{AMxR~A0PExlg zVkE0Of#=lRSO_LhlcA{R*AUZdO8Se@J*8(xf@XMqn&*N**7J3h2u696Jc0s9(V&x; z-@L9rvA+L)h9g%n_5d6rnHZKNLbUpGeZ3jXH!=g~=~2;Ljh{i_AXb<J>+Tb)d3~%h zLB<<mMOZ}@%Z=dIbwFuvq@E}oVlMJGc3DnBDAc!hSAHC<KC+t7dUv)g`H7@9mo8(} zD`x~CKtgNj-=Yhg7<FLa<b1q(KV^f#&hJ=BmSZX>>85ed_Ccqf1gFS=x~5164>@(G z>Qsd>#r%Y&Mx7R;tavhX)yX#Udi%XbW@s)GZg4eVsPg*$9{ACcL>*%jCqwC6Ue`7M z=p3wShF-;~^v56-8KJi(MBzG(dnY{!82I-?ZX^7&j(JZFQF&^}5y9x6-x_;kf%+_) zxCCF6f1<7)4-_sVR+#rOo>jT}*-Eul-`4dQ`+Ipc3$Pbj=w}iV-rI$>vi48u{`*4M zx12>x*f*O+NC@;z;%%ztFAxM7#4iGV(~R}*zip*(B)NIm3u(|n?-D90f*q1QI_zPL zcXzi%D)?AMA<CY3Z*7Smbt)Zi>~kDm&WcNvck(tHV313jMW_aD!6U$K%_qWM*rt%F z?kM%=Z70{D^<k?-WN2sKbJ|Y9cIbAa`7@Cn&X7LvWXppyR|7Cu=1I7C9i;`Ay7bCl z1+7iv;|X<bW<AzUAeg3hTrVzC%on@*6|VZKeOYHw3PGMJv~T7b)vpqilB-j_jccpF z3Z#4*&2%7@H1cft1``o|jFwq?d590syFHdhFr}ws@gh3>R4*-kT^V%PL4SVL(s^qi zc&r1!Xl7N~ey>>9S-#b%H<(r}MQZ76PwcMe?ezPW8vZCkp3#g^&%1{FCS1XQLVM6% zCvuFVLB+g|szE}-S+8~fX8iP5s^@hf>cDuBjucrDmi1&kj9Os>9JDX=GBVHiuVE>T zH7Fk9ecfbUuYOtNVd~jUYer>j)OJ3?u3H2W%S9pU-slNx^3n4&Om#<}y7#*Kfxf3s z-;!kj4;@OY4??ueWo^%82x?(W=6a}QaIoou(<$5mIdoaGQtsr(l&ryPrCv_se4Az^ z_>^~TF)0Nm{i3Z5<*^GgO`I!JrLXYTNNbRkeY0j?%1(e5WN@NpjVRkEvvXAp$$P+p z%<6a-qMl_w_Zy~Z<JZM0$M95HakfrXgCVw@?x#*wA&YIM1-RBAmK@a%4HLl{?KgzQ zrImo(6uLhwsub}=>jCoXEO&y%deW30I{x?zV>+Ao8fmi1w#W<c1+@GoK{?gl(sOVv zL6o4>G$G383j)Z;yYpHGTn)WnmWzS$KDlCn)=OzhqmgR-O41|Dyt{e{OXe9c>uhR_ zJ;!;ji)I0!r2|s2EhG0Y1I|A=(_rZpxgHp*Ad$hRnoLR_D~llZ3DZQOI`+wKQ90q^ zhDAI(>)80u7RrQ?aMSp0kDg?|1n5!8Vs^c<<(4`#P2g6&!!p4KGK)=gC-H$ilby#A zb#C&0Q5UHFVz;1y{ly-nW22$rh+ZW56Ek-hW`mmu8E={KtB7v=K%5_bnWRkpMF)7Y zC!y{z%2$uy^WrEfow)sbE!_?zb@*G50`Q1vcn*g|@T?P8-COvPw3^tbmdw3tnKc#5 z!P^)`bBIW`p`V}ikvm`$+eLw4uGWRC)cKyXN5kuX;PZ{cZu2)N@=*+8huB5Y6NE<4 z=gkPZVvA(Ls9i8z<w;!-T-hracPPq|^~ZU_dhe}EXg4U~7!91wD9)-3K%|Rh0%k=x zQF*!P;!qe&`?fJ}mj_$NON05({!Fic0jbwD;!TbXt4>YV{<bvZ0BRtFQ-za<NNKJ> z)+ns5iBgHjtNHm;&W!S%co&A4jSV?Ho<(+FW1T>%B03{!27J`_IcJpe7-dR)O{YA# z6f>8GwdrCoQDU7QPOLwUUeAA#SlsM97GLCu<xmtAeB}2gh+<(eTj>if@;VXAWW%h{ z+MYb_;FB_R<5127o5O*Fu1KA~0&`5(Is9I`sEbNCF0$`dFIy<`;;T?53SPdW&{Gjx z;&e7m2l6<^oNTD!KejTh6&=GR|77FU8gx9_Mi|$g7!p;fFo%zhi~pFrC`UY_oECdO zG8JVbA5BXQ)PF?gd-^U%oe`U5)$DG<NNIB>%gw4!$ZEH4Hemt08rpTc2jw2~+sFpo z-pOmX;@!EXE18xM|LVvkn7?|c4HBed_WA@ZOg0{HwO5h)7Ow(CUKLH1_GS+63X=uD ze^ti%9x$a1X8&1zC?RgFXJ)RVsO3ur>rAAT@>Ej<-C$_ZLgAzRW(+e+F*USv<hh)3 z2`Dec@(&pt?Ur)jG^6>Tkav~ql}5?`_dwFiZVdsbmJS!_u#`yJ0-5fyi$h3mwW(-D zvLQ;1-N2Piqk@%-pGp?xLsECmx>eOfNnX`=J#6#Js1WniT;@be_9-Q)l~JWX%SMVG z$(4!>#OGC*taan&WhVDY9gmQ#Enwg{hkCHsp;~_Zm#qL)(4>q9wZRnd8!ON;sv<wo zmMeQ0C$tATb4jzX3i%&s{0V$qoiEyyc0*>0oHr-DZMzdeofB+D`nej(I-r^CmHJO* zw`c-$f5&`XvM#{*MndE>i79b_!gZof88sedYSX9r#dBK)G3|DCTR`9k3p^f~nNzS+ zOTvDB%&R@1=jaN`+s5^38#yNJWAyX&1%uD5Y4cfD`jr@m#FoW?4w{XRzC=Srz_xY% zeD-GZ>SGN6IOc3@&6*S#yvD^JsP24sPsW3+BMV@<lzJ=nu(DN6@J!^sA`ezlc?qWR zR@YNap)tK1-XK&~Evo(2lDyo{G4f~}w@TGt4ODTJXK&0BE6ZL`q0qE|jj)uDLJvzL zmj$)lJmd#q)qAY;g<*Qmd@TI>!sfQpD)4tlPX~h%T8y9TgRqz)D0nn-;I1)9{`(0j zoJ<qSMvq5%?tKXHy-Y4<f%Y_W{_~SLHb$yuPtf)>rb9o<k0^(kH0I@Ox%v=*`QW-} zdq%564=gVWX;nt>&L182&<{B`rJGV!UyMFURx!5)i(&tCFF3@h<yCQ466-1AsclTu zo+nnTM6&Q8A4}1zaQKjPFf!|km%ja^#O10L@1fuUEs8iyVvRi=63bD;Qe?tm7CCEG zf0vsM?Ml`jQ9jg*EiC*lZv`Acl#U^x+AexVs>!2RV)LfziBic*^>Y91@spWq#Cq{S z8NP>DAg4>6$ep$OGfiV{$B=bnG}c%{{?ee!Z-jwLWA-}6F=Iw9Hi@!Gn{Qa^Uh4HV zQVremIuSYeG;7a3+bw%cvTNK0Dmzq)5ok@>N)?rfRvP1lD$QL-dO+iYmAk^Su%=LV zqt7Y^xWNlVttFX^*ZLTuGQ)szG6VATFp*cF^fJ?2KhfM?gQ?xudtG-Gwp4~$ri!W4 zCxpdLnf1P#`U*_5%cR7B&>%nv!z}nZU?nO!sD)~zgK+<*7RLI1N?NWoxT7b05^c~N zg7}8~&^Vmv$%mKB2gvIx_CRp9oI^C)zv{L2?Yan`Id;L9b}8UFM4x3?zPZ#xsS<-; zVu-9?#;!<rb`mn>6>ym~OUrZD>a&g#o%!rMPc2@N^fOOq!h7uP_*}jY*QVQXX?c;g zT-eS3dzX<HyZ<4wz%fo8X=kBo7r1R><JoQ#Sr+K}HVTuX5kL<}o=Ql<F<9FRHYtL1 z4037U;W@}2SSl`Df5fO;iSn={M4|AWbDBz%!tat=53|T8ZEyZ2+irr+KM>ffR52cD zXXoy@0K^dz<2MN<{jud6DllG>Q*z@oGAH2<WrC+g*DBYE$Y^`qU*0l*d&Hit$7S|D zf?NNmyushL1^narXOdM_MO?atCtuc}U-H(;qJP2S&>!D%e}<;D7>QITUZF*_P{H8w zsnDR$RJ>vU%b7N`WWO&yiph0oh5j(0W?@<eP2^Dtv2Q?+W?xxpQ>C69Zh5wC{k-tr zKFuxAK&?%1!rB&ptc8Zzkaotx{ZUqk(Spx3UjNT)HjofD{RJCmAiQ#w_HTaQ-mkn( z!<g-F9P&|VTlE0PFN3i%uPhz#TwcfVp1ODU&o#3g(Qrk%vFu{4{t(YgMM)%%2+ds7 zk16*kVs2ci`|U1Eo@z}oOf@HkMtFQj*HJ7U*sSo(t*%gY5=`7gGC|FsUxC|ieRZ?? z&NP{>$-w$99~~BcJCTgGJ}1rF#Ux*s>!qs)@Ve>joUl4>!}rDIKI3H8iI_RmYdDhj zH86XVB+#ebBys&$=X<8R3G?!=!J$_<CSs{?eX}Y@=?oqm9zPyZ3geoB#SZl@IojKi zdUUaOa=6TqL?=rblO6iUhM@rYJgMu60wPc-6u?$`{Ci1%bkUFS65i^KVH}jo-a4f2 zO@awO{pQc49(+N`z;S2SepQ}Fun8>vPZZD<*VlDfFyt26%H^&;qe}v*J!ZnHJ$T<t zr$nzP__7opg2uWN67jauatum%FWaJ)g|kS|<cx9lgF7S&AbK#ec%e&e!qbsNDV8_t zOM%CpxD4V$w5A*4J2ANh)XeRhkZAUP4(?|5QIz2Ae?6C<xj4AWPoS<33il+5dD0mJ z&!BTLjt<bciw_qd3B+hRE>HCBy&0)ib9PCPDYvrE@ywJ2)x#d=lzqGv`_pv@Np#Y2 z5TJq#MC{0#;6GI^;e&luL=Is3lZQejTMU3HwQ?Hbp~{jo%SJ-6JXbPFW4Vwoz?x&S zK}AhI_E(|KQJ+0tQB{AFEo+_{Bloh(UO*v{xew9dD@GH^-<M>RY4(=2c<)6t|7_|i zfQ%48Q`w@mRVGmJC3peS91BtKOmq&0g@t5>j742{T0nZt#B(bnyAW-zCKlg18x>gl zdaRI5TnwFRBWlh#;o^tyt!QjaCx0ypqlGv}1_3Dy6J>_SN4=F1n|kHa2ipj5=1u1c zjvPwCkSzd?%}=AC13ASx=sPz|S<#g+H_MePN0x*$RqZ+oXX<slGG76q{w@Kg4wNyb zqE0=Hqv79qT|wYu>Vntnk5SQ$l>%n_=01&eMD)^YNZEh>e6oQ^#Ws0__+k=JsPs8B zVk#SqJX?U%Bmm~}ThidC9D#5O&;oG*4*ALrJQ8yQte%J@dcmx!H%|}Tk{}#Xo4?Mj zo(^(F*_Bzx2jRF5B6T9q4s69)jrmtVP~`?Rvo#7b%mz3!NpK@swpFmuH9%K&vP76V zI4~DBRnKNXUSDBr>bsZbQ^?Xg>q!w@SVd<>sLtPxmopIJVsF~&N)f!LbsdCb`9l=( zum{n6l^dVa4|dLzJGEscw1bEbrPiS)P*-Iwvb?~nKi^a%)}^6hI8$!k?o@hlJ$|c? z3)eZOHBAGeOf12KFPpb?5E$~$x*B{owfh}~0j!+e*E2~T%DVA}<Kpm9Uw3_CARzg) z-v4jg9q~?oznZF(6t#$EOGi4&Uqfu_X79{f!Nxssm&>%N>~Kp=<SwL9T2W%CC)zk; zS9;kxcvJdN5N%Qv9K`6Hw&wzmqQ0U{S-lPokq~l1uxvC;C`7G`fZer_iOQi07OQwG zi=*>=0x6CydvKJk_N}zh0rMPwU67~633L5b1j^%|nz;Ak`a1KI?9&JX*cr9KsP++s zsC4@i!c!*|Laun?T4}DfVKLkxl>E9VqEF;|?R5<`kjtLeC{GzCCn4O9RtCY!*N=lJ zz1o8{kcZ_4upI1vjh1{Z2W$LyvdE3&?xDmYGDyVy^DJG}t>bRLUbPYYW&g~Ki`pB@ z*1ugJ>kL;)c2)?-W`$H9-xuK-_|Wrr2CTCk5<gi=)My4$P3D`>I`S9hkiRrYUt4eQ z*~pa3nITtoU6n245c`P$UlEnp=DIr;F-$}qew!r_EGLQS!H)l{)}K}z?HITGj-!Zd zYpK-elThp%LChz)=b>q;yH})nbz!1D#Dc)KT|w{Qqfd$RD5)3Lbaa};{6~>P{?kxm zHnwmQjGV-u_(-3Xcz*GDIQsmIp9o}}c)VzyUHx8uy%F(v6`eN=Ac?^UBM>Va3^jA~ zzx)<MPQPmMSmS&mVREyJ`;i*YI$d1b4fWQ?>}HoZVspL4Jlp*)K23L2F1tpTdOd%N zeZBi$)iyv3FVaLfsQUVI36+hL5T?j6ic+6hcLHP%kc$Z&wQtF4SOguvu=n~lPETzO zSW>K-e#N)%WoPjN((E7%a@>a;ts^0Fdxe;q_qJ8Y^_RtpFTccG#S1RhU5C<s!)O#U z0aP61F^srl)?5S{i5a_z1Ie&%3uM`ZPGYC2164^JEFiF#8QoMFK>yh4Q|sOE^RB4s z?KGx~F&~I|iSkuVb1C{W@|SwNG;C_hXSYn}Nh-c)SF8B|diTX-mAB!CpWQfFkFzpl zL`|GW{U^&j>0XTb?n!2-oJ<|;y}4}(SDcFd4V7K+XO~dk3w+rA02Cpe&qFr)8^o?8 z!(gkg!i+ZUAApS!I|#5D7G?;*&_k=a!eq%E0j=G&H^kjaJ>3|MpC#LROK=VU%n^2& zn(<ob4K!#sh~$S0s|5@rthjHo@0}hH$?`eH>bOh9)1&pci~asSrrYt?^03Dp58ZtX zVJG(K|1SpjrWZOyS~r?ZSHVYB07HsY8KS@`!eA%ta%()Zo-KM-3Z4BZ!T`k9gz`?$ zGj7?D<5Zxa2swUdz5zwP_CDIxsRNE4fqma1k5n(3mFQXx3`^9b-PBLpSLL&Q4Y~Y? zCl~O7?@F>V4Muz@898_PF??t1xU;#WDQ{x|rb$@Ww6^@G?q$>2CMw}~(pn@vprL45 zO~pwK=2-16C+=fDMdxdcLzOuw*`G5vc*m!bhna{gG*WIdC2Uwb5AtU!A%V+?Umtuz zBR4D=ZuCJzHl`IbN&o`!^f7-)q!oP>KUGat5<DoJ+F;d<@P8%^%YRIok`|CjlROqE zU!Rl;U(o7FDdG|ACR^H8LjDPj@+<2R6G%t-k@sKtChh72z6rmZrwmk*ppVZbgOmb& zJ7%&z6~L)H=`T;fB}w8dZ~LP$0<|_p4gtS9Te0P)3njkSs(4S*7)fPQdw%g>xiD30 zNCK!VAnW75H_+ph$Rs~Y)_Ahzc1jU^+iXR*NyasdoB4K3`dy>{8`S*~+r20Fp{-PA z?1dG8#FGz4Vz*)XSO5S8eutd_ptT?#q#i^s{0G|TRQ=Eca7_No-S~O%Q2s}A;a;nD zqoOmqq2*{<e5hxmSp;Ds{}*fck2hcdLS@{j%z1te?(Y^vL_WxIq1pO^&=@fnl^+BD zKZJo9MEo#m&_XX;8c>SVx*3450LX&Tvdu;GpPNk9tnvTxAO3eo|ABrSw7ONQhJDm0 z2E)AOv3JS0|BwX#-}ny8VyjMR3kWQ55rm0rog`o?Jp?Hv9Hg_LkNzL1v+Zw6AMa;c z7=ikG8RQf#Gx7eO2nV4$AXq{Dn4=RrX|(|q_CK#q!!Z9ruKW!JoBk$g_6*P33{q|W zhv<On4cCpzzD~LhBzOB8YETx;2Rm@K)X5h;$dz!|;X17(GwX&HthOuqmrBl6g--n+ zyyd@S%6E1U1T^?FXWFx74%?NAL9)fQ<TUqV8||;<X>t|Qq5+V8@*}bIj9>9hO~?y` zZ~V^#a__5&x2YGb4V6dJiah9{zZB-9MjN=Qx8rZb`Y8hEvXI1tkUgfp?t3I-+C=oz z>}hC7UU5O%%1x6N)K2>4tx!Q*S<(smhX3#?|Eus7b`ULt0HS5U!u}`F03d=!f4{&q zrY1W+_=A!8KzOtkK`=+V3C~CM|5u-(`JgxcW0i2Yg6N!Mfe&*+M3^A@fBuZ5ig3xq zwY>HRX##hyhWa5@{1?2D{Xjli-K8maHwwrCKw#4Up-Miq8!R~n^WcvXesDD{|HrTS zzs+7d%uvI^^FP)E`SxEm8it0x4{L%1<Aa)*sU!kz2wbp%^+tL5Jbco612`c7qG%$< zYU@Yo{!@~Q4|AlU@4t3N4am-5{*Ufq%EtDwe}Ie|bFBE42DcKc53=K<`40R~LBe1F z@gbC;=G%@rvKRRQNNJldf~2H?4;_Q$qxm|6%!UtEfmItJa!&}f>mi)(iT*#ty=71y zUAOlM1SeQ<C%C(N(BST_!7UJ+#v!=7ySuvtcXtTx!QJM{{XA#RnVNa0&imoi`+=&i zrn~pvYyH>S-B<7aEoy+`KaTTkpZgaa<zEs8UHvZ+CB-e0(TGHER1PwB9A6sLhc>Id ze_v|!;eQpNmM-KVneR3kUn|W&bKB<@8)j9rOyDF~d4hukEB5n8=FaR!d3y$U#XbO1 zkNYguyvjt&>mK8KOuk)I>tQ+)2yUXH%#X9b29r@Zfw#1p+B5jS&9(V&VQql_Ij+rr z3u^=X&v9-3TUZ<5e~xSO|AVz@pZhn!r9Ww>0UYQ54B+NWb@I}g{jP1v1NdV+`8kC? z(eE@OPvtKh#Uk;0rh!xDPT#V?*?9AJ&pCG_z0!mIf*A_@qBmUq{{rK&<oKIFK;ih8 zn$`${o`ivTUs8Rk5&z5!ARJCv?%$NO^Dm0f-&`930wh^#|3$dPq}Am7!%#B*8{GW= zK$I>)8-KAz%L<kt=o!}k``G_DlthZ)FI{Oo0mN?m4-A@2J31MNAQufnkddt#O*V!8 zGj}*wtLYv4Bt<!Z<_;c>pGj94D+1MSCC4M5G;Hh_j^{SYweEdQ1SZ!Z)KROO&stLV zwsGd?k2!m<_^!)(Rii(6C3)urmWiXiZ|UD(u1xRKlvXqoPMVJCBR58OLDNl~wa7f4 z_{Me(cvRZS7EC5}3A3r2z)tHt(u&&gu$;QysS4Gnbp23UR|kA+kwfE4A2DK=_tl5Y zM)f5*>`cDm+V56*>A%4bmI!v;oxM2AUR#-Bxctpkoi7-F5iq>KItT%?U$twdV+Br@ zhEtII4<m;lpcc%I&h(&ah3;sqz*E!b&Wv5^bHs|>OF|_TirdFMmSe~>w2q*WJg-hK zjK6pz0RE-{N4g)|6LG$F%KYy=MVU~WO?+u2M7oEH`VP(44~ZI5TQrf8DwQPY(r)~V z`!q&;i{rHxc4~R(N@fi4+pFr+n=hTALi!+R5-B5;za3v92o*)P8v1WCmHt*iCt(57 z-&_m2zxg+r3N$g^V~M6wH2?+c`q5*U(qHZd6h}B2qpcAN>RZ-Qn7E9oS?5D|&qe-D zgux)jSi!YGFbD@`(|>E?iSi#rx&I1s;ux2HG@_2R$(d98d#?iZcS7&uN#GK|&n#G$ zKUj9P|9Dk&rulT#IHUjLPC0?dl2GhI1fVxbd$>0~=UQe=A%B%E`SM;pWuUH!sf2VJ z3oQ$_P+8cQEgZZMldS7RklGBb^Q|dhsAt$dHsfZ%&Knnz(m(03AUpawV=7%hY#bHg z^#+bjL_35FHxp^F>OL-ROVd$+$AkSiBmJYiKJ_?`ynEiTx8vU*{XS9-f2n#G2Oeo( z(>ripWboHkCu|Rd9@C6M*aGD(yuM7TEq#`ax|lphxE}W*AXZz+c~I%x`r_GZ0i(`} zfX6>}ezia^hO~i1$#_|?jr{&{9flRvbiYVs>)?Dm{&FYc=(0Kd$1LomEvl~nW?!JK zv%`C+vJiQ;Jf@&4+W3VO;2xIk0OI33-@Pt(9-0NE2K<L1J6IX?y0aMN8W_0vq>vN3 zEOo(1Bu*gQe>5;KZK8i#uS_l^k0SM8J%_l2`-b(Pm8yMkVIMC8ofk(G1cN29yk)<} z%SC8H`7QWElG|x;2;H5*`Ioeb2<N#Tc!;meMXUm%2xlI;{ZS)n`8Ac+fdHXd0AUUc zgsN3_{!L!4M0U~ClTmd(qNUP?^0r`oW~qe=&;Av9xMdvcDwCxoO8I;-Z#=&Mc%Cpa zwEQ~tb;>Png5P?PfeP=<x*rIR^W8L1G3>FL@RQ&m>WE1s(@F3wD{~Izw*xjeYHZyl z7kp2erUR(lIukZmc6{SdMc{+Kf8SP=k?CBdEOoqfKK<1XdLB8K`I27NWo{A{PdSfS z{$X^bQAy~nb;sBodGk1#cLnp!78N?uKAgv#Ae@POiz5A!F4kPO&5uW$RFsnR>8M## zH$?Ii$l`XQc-F#F9G@F{l+()B`G2SHN30p}rHI0E_Am%H^DayqhXbhjS*q-wF8b1; zH;m1AnYlrjuVV6JH=LAqsHkeg8_S#1M(xQzIE_t^<pRxl+v%R$IyM(XC4Tb+mF6u! zDB@t}C7U(fX{TDBArQtD=>}V`@8&hlkArxk$!0}1N#+q=sqF-aCU!6~wx5C&YcsS( z>q~v}u$N1~s}*a@<bfXnU^J>mq=*K11Ox`bq%mwi$5}&ZOR%LidB@Z}!ep@smDrUS zp9bw52QRlte-%9=dPRm_to#Ugcsog6I6reaLBeV6YAHP{g4r2fcEc_-|51q?^J=+u z&=C`D%_y@+H4kl7NE*m-$oF;H@iUZyvO~q&3F@WuQhC9P`2&DgJlTp_G?g>5ZQmGb zVQ3tN+tbq;-U2nRn!iT<u}BO}Oy&^r{>uJBaPUCn8`p!o_xD?dHm&YA+j2fp*h^_+ zyRMp|<*rXPOba$qNrE&Tr<@SO<08E;$}_N6g6ZNH94wrmr&vetyIF(y^o(9i;_-A$ z?sKA!k%>uh&3C{l7W8agNY!pK!DEuVzu~RS8NR=~klfABwyj@a+=}=;U?EP2W$a41 zz@_`QT;DHtzNVRn{4ANEUw0I*8xHT=&73&!{=+&XEnI@9vUBYwDP8Gza>zezq@M)u zqaq~W<q`SgtPD&Aq7~ZzJZZnJY}vCNirg&^!`&!6$+!XhH!{<2Bpt_5v!w&^B^tk% zZ!IO3Kg01JM!-lSm)seYo4H6Smu1!CtrbrjoY$q9+mp8T<WPPeXBdESd^r)Qy)ovC zcF)uhfK-<fEw`WI^@=N2#>$L&SLU+$l_#A?O{9w2vYj^}aUC_oqY(6Eb#&&`^+oy0 z{o$DX6s`gwzqU&OuZ5pi2LWpCM6p&sh3VHg=IJ*=a*o|K@;+DW>+e7=x-NfSQgAP7 z*!%<H5_)rEdH!JL?n)-}SJt|T*2QxgS~_^ma(U9;VvC&Q&1xE>c)fl&EmB=)-Bt}& zV7b2<f11F#t|V7$UA&rqsswF{ly9s&MYf=y001Xe7#xiS8RgEdz5UwrGW+Q-yS-02 zt}^9n#=f}V6E2b>2<CJs<(LLIzi~di^BNj}bNf>B-h=T?yJpVqRYqb!Kn!xRn3X&= zmq3!dCDHe=@9*{UCMwEABoX*UU_M_?l5;)n=~NqIdS%XiNpCGgEvuT5$=ZK;Gj&mY zX#*0M4kR;_vJNB(eoZ0^?rLU#)bg~w3-`VAVX)o<E$r-SGrRFrL6U>&gAo`J{<!z^ zKvZz^=n)?+zC1(_<2#u5O}(CL1#Y+~2odBR3FnApl@bs-UbX-WbExHoAy~Dli}7Ab ze#cGW8VUE~OcIIk_<P+!ayN&%A#Lis*LUn60yl>-2P*GwT2Ykm1jz*atXPqcd=*$p zNgm+D0InaP41t5JuJuv!JiS5y<?CJWc;`vgC>t2^LvPTY$e!?P*>`-;eDW*e9o$D( zB!auy39;$io|q`@=9~7;+f55EtD`in<MR<0guxO|CYO|vgJW-d9B?uD{lE|mJ!dZO zQ=LDtxX*vPh~ygiA#=yaxgXruf``b>HCG&PeCLZ%x4x;nkSSD_+EoLN*p5x5t09&4 zbOjOZKDhq1@nh`k!OxeJqD2v8`CLdJXE(3Yww77-^CUE+$M(<XZf6@y)M}hL_p-Br zXglYX0sQyM4z63b7x)LLkq*Uu!ox2$EE0F8J&XaW{NH5ZVkDg|y;!{zDVXoCOaKN2 z=^oGLMugAv$XN1E73zG7=h5<)3$+l_pU6pQ3C7QfYDXW>KmfSO+laN`dYWUrpB=AG zR41+%pcMoW`p8iV%U^J?v37c>@G>*M^}UhdrJGSl-ZaE_G0ImKcsS)xa`~+($(v{$ zEL<5|(J!{JpUEnRiED%{cq{X&6$4(QMPi!;foeO3N11Fa4Y=m!&$Tn6rK+hHb3$eH zo&w2H6Z*Gg`4MR7JPIj&{ioibm!dxs8V=LT+LTkzZm<GY#(CIbBM;3P-daB95{Qzm z*Xq6ViJ%5m!;jy2D_4nSdky_TEA_{%>Rs(~pcRID2=tz77KWS4DlQUb6$ao`D5pB= zq~P$G2D^qPe8_Q8rMgLvGdvRygm)6YlE#0%PrH>{l`bk)$;HPSuU4LU+)1VU^X#C@ zO!z)G^y{z~A17kMTcip?br0DofP8=`TNJnKSEVAyr%m;XUxb+|GnC>#YiVI?KA;4; z7AhhT5vM=p&R~gB;(yNiiH!l^m5FH4pfb~(I+iEsetsgE_!Lap0h_(1frDfSdx+v2 zhga6Gc!;uv;_>V(w>9gNU4yfZhPq*p*j9E2<rpM|7;1<^7*CMrsSt2+Q>?}1EJQ1^ zp~x?0T^xd9F%=FX+>oOie{iAyd?q`SjauZZsrdCd5+NlJPpuWqB6<J-5pgg=XSy}j zzk0Bu$dOtW*r$eOP75~JrxjsCu@9nA9QZn&59yYfv|xr(nlo=!+7?xt<a`5hn5lD) zSvS5VW?6`P4m^)tu~vjkHfK>QIc1$W;#BesRHvxXKD6juBe=Bemo2vqrrwAFP8{MK zT)3U?fvv2sb+dU}Wnn-}aj{YS*%0s71slwMb&3r|1@whK=QmHUJrj|iz;ao`M7!D+ z`FT*dO$pnuqRPNYGn=9%kxcWl^A1dj*uHLIvc>B&M>!92SVYxHl6juVN^z%=1q^bQ z2&GUlj`O$X%Rkwhqsz2#iQ5R!pw9v8y!-_CcstoBiq{P~OOn9(i_XW)YlIt>_m|wy zq6D&XpQg-;(0ayhF7*w!^siHL!I?$6Tsaaoye<VR?HKI{tIURpI(0Tp7O&3*-?sYS zn^QZwIy1-*b|R@BqqbEC2XUmh5M$HRBxkW083g69Haj1fJi3i3>z<#Ff|oDuUSCW# z6W$h=&o{I8`JjP%SGE+;w-iOs$gq6x7r}xczT@N~auQKo(&P64+@x6#YRo1mnc7RJ zZgaj3ET7z0#y#ceOofu?lcVulK$fWTplSGZXOA4+{oVCCSdj7@v+k&hY~scJ-I}eH zYcS%&g5cm{T;EY2+tDOe*kD`mvg%=*38iRr%;qaw$2-8@lD4@<h74M&emw{?StN#q ze;YLD4_d3A+C7S-iW)jXdDH*>Y5V!;7E}n+RZyQyMc56Nw%_wL|J$+N?d|o>&QjIR zbgRC^OtQ}SR@Ax7>sHb1U>eM|e-2VdbHap|*dN%wH9;97!gJNS7qm{lcg-<ELae61 z**Bc7*IA(1VGGJu$Ccd!TYpo>`1AJQ@^)tWIr(EdS2=I0Y!y>b?B-QhyZ)FUIpr&y zB^rYnQRO+2S(qqFeQvlsxAiYmq+a@Bzntb=Q0Y~->bIe$Sz1L?JMQ0y<91y=uh_Mv z+MIz4x%5wAF87)_Gy1VfSFG=e(ZB3s-yfp#m&pN5x9g<Ix0IFl)t4tJz7#J#9l^0r zx<4QyV$VOa_wVdSb;;U&vY=d6?;5vba<VfUXfs7y2PCvVD@NKp$vwIXZzjq5CBMhD zkG<d64ZmZI>vUfAAwzn&gq^Gg-!r}oi5yT)GqpzT0p5?ZS#KgQo4dd!#k<F4@Gfu2 zvmD@kf@c_o<to48A7mfEF4|SuwU<A@ZhAAC==JvX&hxR#9i}WdZuiOS>QmKgzwgbH ze}UjjNlkn-8Jh|S0SCP7T**HYIZ|3i6D3BiP+%F5(`RZ>6frDVSMsvruzmQYe~F{7 z9-=deuO{8M6oEyBYRe}8=R*520}n$`3JV}3BBL5n7eVCpr2P{(j3s4}`9k4#iF`?~ zduDe|SZs1|#k>86t-~T>bSn|}xW4ydNzq-P0N((|K!g>B^SE{P+P8hFH1=x~IClqH zAMD=|{?I6O`5>=NTr*M<72OZN;(s`~yAL27*noTde09BhcZv3D-ue1)L;&XemI-XQ zzCg<UIT9ok(AzAdf%E$H?XA#TsPTi8XY%^YE%)&H&bDo&!7lD~Kb8d)C#)owhBv}y za~6;a?uWTJ>w@ivJQuD14Q}~kHBU#bzOLDqC~t{B?JtQ_G*|wneJHKEn}DePTS-iD zR<Dcg{&kxugn#|0mm}{p*zT)M;tOE)2o6UkHp%eLD8LlMEVaya8Tp{UUB(!v^Uy_E z5_ImC6ywiN=l$jG!H%tg-b>4U?rJhQXr<*m##5AuF|KQCl3MGgX?GI=3+4TGP*6C2 zDn~)}VR6$OCprK1EGnXlfjlPd{gQDH<}I1ZN6kC`1qelHu$Mu@7=;o0?GF6d7=%K= zu`yU;d?&eKYz-i>WUtpf7K@_Jv7C;-Vl4%W7e0${f&-Jp?R@%O^cWMQRa&1VpfeUX zV*N$%U^|1PKlENbB)*ucUeQBjUK|YfRpABUNV&2X2$VBocnf2TDT&Xun>Fr8x>SU2 zC@y73|7};yEN&vS@=FxvMhB?C{?h5QW!d79iFWiykMEDY$5R}x&X7a{N}u<yhe#fy zAze}GZdpz2>zVmevnSpGVwUZXuEE1pX<d^HKv#Rp`Zu9!8NQ3ycDa=C5}f9SGk&9F zk9Mw(j*;kCIzkpH7%axF$2ue%x30Y}>s``0o5|JrX%p4bF>DDt=_){V8=ZXAEd#3n z`QFfbMlku62now{UXUGi{eu&~{)V)~gY{ct150-7&UhPMHClhfkH@qXyA4i8yZxjt zt#jNi_f6<Zt#ivRw@s&wes%Ld?M3qS9Ul)+ywQ2rqLo9l5m}i&$^BvzjWrS6CM2ZE zkI4Ui=}P<X;ikm4?b!+#xy@HmuasJso<?y;Mjk%Qto7UG&xd}^s=d5$g)MD~PG(O; zqSV(3iWruDddFC|a3O4OmH|6FxtZx2DHq8=?4qufd&xk5{>IXlgHo=PWkvw{`nqgV z@G3@m-O0(Ed+wwj58}chm*~_7T+<cxB5cq9{oFH8=f>b3zGN5(di?^wTuq6>V%v{u zuJLy9;q$=y-T}TA*wRCTdwgQCVUBlX=*p>3^OqSW{!iH|y<K<BzJDI?BlMl&aElKZ z&AX1G7A5YLdWgI=R*;rqRy2qXw`E`#D~*NE9Qrf}(JZUX_Z?FeJlZ?qMi*7lI8@Q3 ztRw3plWlhhWIXtQ37!?&`Gsl1DiAftd7g*f5o`>{FQ#xb36ADM|Ie^Ao^QaUD~tPf zEQ>ikF_j432uo)Lb*?<Bu13hTpb=&zbzq{5AL2AmePKYm$V8HZfkxsi-tjOQvPSIs z@Tj2AoVb0`?g^G;jZaP1%VgR4te~elaeMS9c+jFp*ULVjX&4n#*#zE+yQ9UEOn}Ju zXy=u^vhdBG6@ZbG&1V~K5)3&IORRgLMwLb|-+$(NN9BKr^?rq}Iq&iedtcNc?Pj|< z<J@@@yE}e3&8AeRau}UhJ~D9<zZdNAT>kn-pn^^hzPme5g0lwycBcPJ5cgG*gve?y z>XwjbALs>24~@jhwh_*H;yV{#gF1h7CchpL%4T#tU^yCnWX9eiJgIa`iyk(N{#-Qr z{_OZhwQXIUQtdONlk$KwjuF({+DWO)`p9`BLQkvnyD?`caS5iQHw~*lt=91Mv8YXg z?g4fp025u%hqZm2_vMM>F+i;P8OMCT%%eVX+dmXwYnD+y)ZF5fiex>aG_Wb+%qr^I zq|Ck57)Tc&iy%skoz0k*^1Sk9etzCLy}P>KC4XbJ^0M%L6c@$|oM7~LI5TH^n6&FZ z09=<}64k1@P~T1unL5;+R)GXOBcveIq_?x-8`IEx#@*=Ld)2P&PR4iQN8cIh3!9f` zKmk$u10*^aG#D({saC9XoyQ(OEZpC%uW(9uEp<I-(i}klQ+<uG^>`vY_S%xZ)KSmG zvny{aui-{3J^2=LQ$cY>8rL<Gb|}iP>H@9AW|i-GZ%P%e4%8nBWTpGVqzxCQ>*pqR zl+<K%1`8n2`xEQqKQmx3J^ZLwxoJj+(?q8?{V8ozT=0V^skXL~FbV#5=Gl_c<@Ud? zF`5X>>?natcaYPYhDbww>?EK!4V9L}oSv{L`RY)T$jy*o{jZZPsreZ&y7^b9|MO&N z=_7{{pMs6pM3!F#5YU^Ei7fpEcVz`6{RLY4&2vFo$5eVc@FIg(4@^$w&FDdqdzXfX zU$x*#B|!Z?HFu!K)gHY>JX4iM^}{CIoL1XWl88eV{0u~Lgi0^3Rg!`lG(ZYot2{cJ z|Cy6#Q34wE7*A?qC}vV`{*FaShy%6J<&YMQlFsoEZjutiXe>{YMngPd(n?xlC{_{= z=&yHbx?ZKe8J&VaURo?%+Hj+$K_$O=E{2_<;b)@di9?B(1;~)2iM>-b+e+9xiJ*;` zZ9FAx?$pHIrRi-YwjVlwEgvG?P~lJ#Q6Enjx5PsN*hEO%<QHuB7p#Enh|QBqkHN?g zs5w|$Kr&DeG7e(xgX3MQ(V&{24mE;WQ}HNsDEZO;cl`U8|MHl1325*#Jel+u%nV|5 zgqqS~q0$YUpg~8z{J3e3@5%&Kj8J+FNN}7e9=YTWQfp9|ni}}-ITN?Bio<jQXf&b+ zZ8Ri~1bnxBf2w+4`0CAjZsfp&t<_M#a%qxWgTx~l&RN|==C>&@dB8g8#t~j_NqyvY zJv2}%7dZNI@W6C8VMDEL8#=I1AHNyh_v;od{8Yryv~S`P<Ozq8NRZdf4AP(YQF+{T zXncUiyn>r%P#0FG{`#I6aAl(}7%aFe_`A1T$xc%G>@$Puyi#HFocCYzstn>Mt*HFf z2H4wjWFkmkUqtLg{)*KZWHY9EOX)zAHFN3VgKKH+5t<6r9i?PvKJ5|oq?M|~Q1qnZ z<Q-7Kf$%!tzl{iUxzG}3fn<y8j;BSVr&Edssz(@@WBiZz$9OXTQ*k{1D6SL_<cb_) zP&5B1ZlhuF8v>-!A%PY3;1I~4zs%YuE|;yp;_}++{Nq<=8<1bEOKksfPvKvmGM$)f zNkvHqVpp;A36v#<VkePsgcTO#4i=c-Db~l+Gc*7xf8}*N021+`d7}#GR5Or-{)W+$ z1Ml>AqdIdf8h4#gGa@l*&26Q*vwClxe|0Nw`LF$wo!PW44;-k={xM?FKceX;_3(_@ zR??N$v1Fxp#{Xvw|F<&hLCP_aHrxeiCY)eAF*nYYUkGriD6nog`0MgJmHD4B|Ict( z!c1BzzI);N8+{V9|8Df(KKn<bMq-l|r~e9_zsG)+zWqCLCp!9n+3u#YK}DMDj2!f~ zY^s!ge&q&VUp>@2so|jUkXt;`3>3UT2FRlA&h+Gp6Rv*Ku93*!p7SX|`k6j8{#D?< z->eer>u`MV;0_uuC_w(&tG7JQ0+d1;82=Hyb)%K`NNItL1GVJhsm@>h-;Ozy$Toni zslxbAx9k2%Su0BJI+prcG)6k1OLVFK>1_bi#$4e)iU2(Z2L8<s>w^X5e?505{WsK6 z)5K=~Q<0M(MJh;V{NpkWLZYC^g1@7ULKcw-{SU*+N>49o-FQ}M{iBNvTXqI#+<#yB z|0C}J|4uwkdCl=>b9W_#Nmnk3LXAC3Wy<a|N%uWNms~E$HSjR~&Jzh#ScHn8%3-8b zMBe}mBaB}{fPAOIHqH12vd6}tlmx%pR?+qkF3#L{_g0wq`7f7C>3G8cKgP?V{Y1l_ z%G2eGIw(c4{F<r(ZFE?TRvVX;bpunS?<>pol@K;W0g9f_wBnJCT}G$oZ?q8vi!5Z2 z%rA?b;{epo+@}6>>w9a#>-=gz!9P-XQU9AlXI>Y*Kq2%-TccU70~SF5%0O58&m8a0 zzpo(58{_|UNwwuqC)K)v&%}9yzIiw%dzEj^^?7989Sy;&w>hMD0tn9r%gVZ^+7^@| z8`Nw{Q2gw2v@t0NCP4vH)(sNIUK2z(duduf4eS?mZK)8|^sKNN_#}GMJso~E)ZUhT zs<fMYed!)kkSDh1Rc~DM)weWGH2yjo9&)pV-ZTv4j#T;veR>RPhIy=QrOnEMiT|Qe zpn&P75|qPku|U~zF0-_Y$&pPP-uPTcdif3tS9?}zM$gs>JG?Re*fIcAj{=g}x`b6* z$1?9);G4V7h<yK<tLOhhmnY5fpct!Rr*BXPrGC+AC6Jg)Go;%2@$@Jkt2iIeena$R zB?9EPvo;g}eg>J5ClxPp2r~PY&GvcHhS*MiDBZBUBjjt{r!U%H5#WN|;l4lz&L=W` z<ik!quy%=IHUYhai?prz43pI7;Jw`D^?qW`Mfg(DjE=tZmhrhrecDMQH%rgg;_J_l z>#g~VyMwray^B@{)>d!MV_iL@stvf=76Ra2d?#+8-}&9kn9DZ(?&&<x?r7)b^|wnX z=zBm57rDT5NMSNKS1B!or;1=CNykV*8~Qm)0;lfkbfmqqP(PSEcv1qHSvrfGR!;`A zCPK%HRr?pt_L7%v;O(*xIV(#KlPJ^P`FQ8*NMz@+AWm>nJ?tRrdVcqkT%V6#?;2R% zb&ln{-bFSFe`g@HMbFoZntiw&yy{yGdn<O}#g3}sY1;en@bpJY%dhTwSLQ)Y3j)$H zpFDfhwh{6;&RUd}mO>I^aH~v{4%{Qq0nVD0zWDnO4$E+uywR+nBA0{5<%>oacjh6+ zs!3>yt3$zDgBr2>%*Mkt4GDBLNFM;w5%)}FOrdKr!HbGdGQ_l+5Wh5#(C0%?DFq#z z7u9e3a&$9&c<I=g_|OES_h_|J3dN)3(a$KV$P=gj#i~9AWup8f=a*n1@c5`)x5ZcA z8l_cOejxi6w;r{@$nlrnNg*qazE)>ayxB^?rg4vzXH`}%2W>QW=8Q{EO_Kv&b{SnX zhi)(@<;=M4cpFAxsE8o`=oTX#Yw2pCiBzFvT(fR%WL#sjss;zIzgW<sq<ku8isPJR zoUdEchRGqu48^RV@gc9WFUwl`7NeBvcM3@!FGlY?u@Zr!-Ym7We3OZ4^{)?hgPcWq z_tr@6LZR}XrxS|mHPh6)o5xLQJA2Mt@tK-SPa&W=S9xk9n0pTwJAXFGlNEjS_IKNc zb2p#ntTm<(<bFfM%&|Moiz6K#KuB@HWV!ntidd1BH1FOdr!_ML?oN<vnam-84rz?e za<(t2jH~VLAGj;I$kZrvm2|=Y`U(FSmkS<;R}tNMYUwSGfB`B2wlOB(U^X(>05Fq7 zqs>IskesWzSc#HvbxsMgWx5+anm;;wcp9KDt{0qF|K#=lo3jHIPg8yz-El>D?Q0^& z&ojE(8Ma~gn;@aJw@K1ajT{}x2ZbCiKfsw<F0CkP`!(#Iu)n#kx-(99Q4RQ}Y^07N zw<0fHHe`8$4n5tqx$!XqdU|qnaM?>h+xN}P#vw@dChF#aj6d=4JYkt3b2d2=penD; zfMv5aM8~Mw&g6U#j)7f}#1w6AF<;Yp=fP&LtP(;9hzLh-Im+r9wX2kHd$&w)O0FOQ z(;JNB5ludQAd7<|b!enOYX*J>zPjPe$|Qdu%N!u@E!S5KBD)Ao;q9f+Q#iV--NZ(w z-dH}oXLxeK>bQ(X4cDHHXiKZx(5N3<#C%abKMvoPjd(kMMcAVxFKYExCZ4he!W9H; zpDJBXtwBX4nD1`)$n9aRDER(7$#TEX^c-$dbPlUbgzPk}ib=eoA_6~F+6dU(?KxnY zasg7A`FClrUW*7gZJ;d-uBZp?$7`+74;PP-J^lR{cA_1apL<v*M7{~QV`^Myx*c{Q z+F0Iw@9Hcz-SUf~XnHi3CX><;g7kV)Dbe`CtD|u*+joltlYK6N{+UAK#+$e}Olojm zao-U8^hWwPG@mG))_~u^4Zh0GofQ+3tk09xzE*tJ*c#wob9@%g{xC@1&Dal5ww~WP zZ9i60olYh#3`gg5HFa$a*^$p;>)E|@h;vM2VJ&vqj%0#I;X;!;R%Mk>rhlHa>~p-+ z64c6K7s+J*NITgmU|bp8AgKK@46!tZgTW5(n}68GIYo_emMS30a>trjB^HVaJ+X;b zVsd05H6`dH?sLv_@lHmdQHnp9sA~`A9pk+@=cd~S4ek3SGG4c|a|8V&>T};pH~ZaQ zEboGvOhho^2U^y~6T#W;9%QT!ct57k(|Ck~3vEQT%gB<NxOssiX^gjWj?;VD44o{{ z{~!bfUsYUv*8uaHnq?P)Vgx5i+SNpQPrH?Agc5w68d#!j#nb^5@5}XRMoWUORY+Bp zUw=Yz(7i7j^&BhA!yyHAKEA9|P#I}hQN}0OS$;w)D63F?=&WQ^eW+Wh-n8*M=lG(% zx!aw?!SQU1cDPGpA=cJvGsz$t<$bk2|K3><4D*i2!wa~6W5Bt7Zq(aPg19rwFz?9$ zY$|%2%w~&o3?Xcm&R3uAZC;-nZ4qqq>E0-?SrRoYsACuSwO@SumWj-~W}B=y!33*Q z5Ko9ye?GZzay>~<JAV7cesK_ZJO$XugeIaPy|ff2bsjXm$&c7oxG6in%D&kSb8Qe| z((SzJt^-(=^x411+wqP3B@n}jT9eYm5xvy#?%D`0){!1;VXQ}y?5$@5cek+E)1S}r zT8gIRXTPmwe4=Z5Dw)j&X{Ut*BeNlR%Na^<$FQ&aGX3=&@A00i!`fW~pPWhqZ82Ct zo2jEqFOqrp-mm?<#iKx}yi&w&wNRR3;GQD51Ng1{!*%Om3vq%}=eI&|A$eGqsz7Z4 zbQk{EJ+F;4tW)mjec7L|>YpjIas58A2xeug3^14P%`XKR?t6^K1Xt8qS|Apy)z5BF zq4A_e&sI-&4bO*-twGPPqqAGVpc*(i<zYRH<`>S-*BAI5h>$(aZ3N+0VfqYLTl2C4 z&A<rSPK*H0mqh%X-BkBK%O2TL6BQ{Fj@u$=@b*?<p#%iJY8ElA7BzW&Qy8Isn1tva z{Fu5|J+0@WB)1vWPNLV2hB-?Ub{n_N?p-$7XTI2Cy5su$WnKGq?ULWTp%yfWDr9Og zvDx^CkDbW4ii}4dt_ds3!BB?U7rTb1(}11`a;ppz$1sR{W_e4d2Drq{3EMPq^Hj<d zPn1=E=vQhE(Kx7VUr<q_Wdowrg+lWgFt*>R?v(wH+$*g*{s~eLQ)HAWYcc~8coSS( z<}92$Gd*Xi74T2&ntOM5i)`O=cQNwnzsHVb<a_RBiwHG{;+n@Qu-O#AkVAi(ivfQ8 zz!O?J4q!y0_6!d4w@6-?p%D@)LqqbRALaJ^1vb2_>7uN;`6(4_c)G2_9V@s(PIe_- z_YMM`VP&K6HXdFLj;Bc=8O$q<g3^LN1A<AR<+cZsY5Y<$;|~m2Z{+QK@TYEO%Rzp@ zE|fk<5r;5Gi6aAaCRfKlMCrRtDRIE#;e16YKapRz^`x}?CqKH6zLulX=gbz$+t9|m zq(_p%(LtXT&Rmc9IUolL#b@FIh~Y%CS1x8etYO0|BJwGh8&#n8@+$|J5{83{I<0Of z?;`7*KIDMN)z`i5=NV~f{pE4f4^XPghlqCpOa&*LC%#lkJ020YoF|JW;8j4)o7ros zS+`Yrmu44*$XY4DiK}9Vb_$;&T&bUEh_&j7zLV0*YK&6y;p(1ZPB1x5VRHXI%PJ`U zDepwvwIOe-&E=4MuB(%WsIv{PhJjm(Hqpq}mD6%T;hQx^d9AMNd-38qa%7}QB(>Mh zABX#w_{V`jIE6QzdYzhw)KXw;#rNjJ+lZcTVzA9h5OSqO+A;mH;_DPO!C?9)#Lio4 za^|96(8yUzC8mDO^}i2kKF-02<iSm>L-8OfT^GGgc9fO%1d_n)p|BItLbsi+uQ6@4 zwDd{c+Wk@Dn0tJv^;I~WPZmpldPwrPuyd2;_C=Xy1fCIlt_CG?K6?Xq9iQJep-eSm z7tt8HT7<&WZDFc4u%PU2#gh=9s2MyJVr3rK7@y6}dLI-j3s{04Qw!%Db$)CY1SM1G z%KTvbqsNr;?r1E^C&TpAPM(hk<*C9wg$Dh>OD&(?_lwAs*s^>wvu}{=E|iyBGS|@; z7mu&tJ=d9695ybw&s>LqH!{YETa-Y&gz-DeYOe<3jK`^stG)$7);&vu9SK#~d%`p8 ziTNUzV81S8*N{I3t-W4j#6;`LJqf1*=v6Vxv&=};g)buHR~`z8--%ceV6K5-(5kDy zwgcOFiGi3_ksZ|nzRN~$4ifZup4ScsP))24m2-ze>F!UwUKv?{e$>+k5U6>x9A$L* zVNs4|iY)t;5fBJb=|?CN4|Q$xS7D%uci+QY<~nAy;PKKc#!Qz(CXP8)lyc*t{5iH* zkDG~1j@nU8g8H^ajN05S@a`x6?ZZKpD9z6=DgxgEV^nBLq&^_0m>`|l7RGKpju-Em zkRe0C^V|Z2zY{+L(f&8{4{|jXOsv*M+=^k`etL;B=P&HlqVz^MnMpsLzk4|-ZuG`e zoU{psJRnhA$+Z)M%2l|%j`}DTqsVf!FZuWn<xx>G%9o4`ccWpl;3Uirxa+9OxyJaL zga2wKxP2rrbR0C*t!8PZk>RlI{W&%F<9jSe-}RDqaFe+eAXOM>v;!7Pb=d7s7q~iB zBgv!tRFI0rhh4#ppcCHi#c%l<^k<6SDW2TmPsPXX8}ynlbWYyP6rrQY5kskqdF6z) zr0g+-0pSN?7^w+FxH0nq^8&-W;`?8!U9U8JRlUsv5*G5*4#?uZ$AfkS>Hf3JnH3xH zS_^-H9~d^-K>nh35gT6qq#!aNP_40uQ&*`Bt8|^7kJkwH>hD;eDo!&l)#k6N1>W2e ze%Cn8&vYEZNL#|R_^pi)yA5AiFOvz|*In|edq$=mOnf?)`j3gKPBmK`gD>;R*F1zE zO6P(zqu6?L-KeGtU8Z(Z4~FD#I|IVz49SLz(|{_oRv8*+SsJ9WTPQL-M60=iZZ%b# zfolr`m8&W>EvgPvtB87H21<r7+HxX7W9O02qf-Llz{HU34KB*{b8I4T>yg;jNK{Y( zOQuLEdkiDak1J6V?kV7nBc9t`#Ze`LrHLHtW5k11i-Bd~O7|xUD6#LX`(^VL;>rp? zumHN*JKHbhV$MBIdnUi(5K<a<{FdY6w4-2}4Sq3><NhdN&|~Ks(9kz<%@mri*{YeP zX}ZGJv((Ho;Hab$>seM1M8!l&Cv1@#AtvGB@E)zV{9s=tu_%GjLuM^oIM#pYzuVWS zVOn?k$ad>uwKSn=SZJ9QPh@G_`XfI4k{ww7_F#2IP%ufcJQx4PBHf@8ledFu_o&jk zir}0@`zY0L85534%K~~p2Hv(k)<XDmwvP-vgTk6V1-T8uFtM0ik8Wft!6uKASwYQD z@&vyaA?iIttf+W(o{=A(C$Mim1Efn#@D%oG)%B37G~^kh%7fCsmMJx0=Og6{q_=@f zu(xl-KFg8vN1i^yV0`DfU!0|zOa`y9xP|R)K{4w{jv68-RX;-jK-3tmUd|(CuQ7A+ z@pe83r;-)dXb0Nnd|4Cak*-z9P_+LRoBuJ_nm!>{d6<}$B88SBu&Q%95(`_hFjnzg zWi>7h0xF|@|Ma3Y5vMA#ec?Bi@fJ|#ltZ#;$0D&j{*Wk1n>2Sh(UvXmvu8Oao9Po? znbxam$!pf$t#s2X#p#uXqyK5q`XPSPPw!4R-tUyoffIiR%>KQjnz}YxUsLqH!*5op zhucd>?)^oNQOuN4((y-T`fzCWu84exO4cQDsODgv6-=Mj6-Mq>;*oYpJ|<vgLpT!` z_~9!|nqmMMY$!{6A}Jr%xWLZC{wZ<bexPCK<#I_SBf%DesptCrX-L&Hcyfna>!C7q zcThd~x8~TsvWu>n)^6HEqSy-D>VWYK`W*6Gx=$$nWsT+a@H~&$84y3<u^v;m2^C|b zx|%s2?Om5GEVayp%7O&@J!6xO_`q2KNwPWc<btT8uVP>fcU!^sUvE+mGGe_hLjOR| zEMBP`9<u(nY9P2fYLgbA^M^tAfUJ=RzMbyqbd-|8{XBEL6;c^JzC;`v@RQ(;^R8@p zydzy%%LOxVVyB{&j4^#3+tN5123z;<$+#6x?6G2rQt>aF(4|I>4YP~b!An2}5=MhY z-V_y`#zsg&&1sThs+j(?r+bv26iGah6Aw@uu;pDy%ZIKR@9D~a-Q;K$q{Qguukf;u z(r9B~MlP&mk|&w3z&o%t$%Irr=^0ZlNX~X&81q*@bZhC=#*cC@t~7(@Rkl<u%wfB& z5Ufu2hGh$hH}@zPl%7i9_)LlgNUbswHdgyZ_LfMWQbEkY5n?vwk)}k#GqW|qhV;ZS zfT5vGe!au@yCC@n7Hp+&sV-j9&PY&_3Uv;bXE~Uc(<G`|xr1*46}cX(T8o)bs^s7W zF3O2Luad*?RNwlbjJcFd=|pcIY)9a#t*SUUaugy<Rz&aW+xDzupqK;!<a|~CJ%y>E zRKy|2IvSCycv)TFZA<(F@f?$kl^jVrAN#w~!uJw0HLA6HYQl|I`ug%P5^2($eUQZM zxiuxbR5QZ@=Yfgf%xJ~C!E`IouK~0Q_#oho=4<N)_bB`?H&epR!2x#spQSc%G36^q zspil_s_5llS5S{O0Z5@2Mza`6&fp~#0&|ipV2^G0zU}AGNe$F<0x_dF5a10euaX}_ zk@v_y5Pt|1ea0zHAU{bTB40b^rAI$b1+%#F_TVU&{oG+8j~x;2<#8yIBJQJ-CZ1U+ zt|m!38FEOu%&x(e<RJ*Y4t#$(%Fe3SO7t{0=&cKHdEsjpo7_8T3#%JA%64$~E$e}_ zSKaM@tvf$@i&aSDlt>v@OQU!$2}p=cLG-}tdpCGZ4ImFFL`#C{O6bxV7u?16NbRB{ z(z~7?J>h>ezp`6UGsol6mWC*$_;f_$9?&|-Dfjy#rf@KeIkZqfCuva#ycPn#C@Jg< zxF}H16|3mX`9(>#dnmpJZV3`^q-glNfY<OjR+YQaln|pU`xOq{?H+|mMt0?NuDG9o z)&#MkiuQr(S1ud>%wG-sY4N<wkQy2iZ#k-@{Cw4_WF7IcU2;$fM=N(rKh67#V2RVG zi@M#R8A~$D7L(XShyq$Yh_n?x)CCf23rqr8R~}#e)bso;wOAnq=bKq`5QJeHF}Hdl z01!2>LFB@Sb}f|HT5f!p<hP6sd}>`9#gtI#e!0`s;DPF!#U<p~L%h6t*kZral}3qV zO{$_PDt>_C=y1ND_{#>_jZ?a=?Y$(ekKnB#&epE<+Z9g_6oK#3VMy#6o~~CH=tDLn z3}0C`-Q^r)`ds<lXW_QD<7?$NF5pQaIl*>B@mK<rL9mrVP?<81Rxo~=J0+iPD1W`- za5TKhAaZ1#CU?WjiTS71tngO+2$`XFNucChFfD~4tTRPTp@!kNn`Lf}6v-EAwWTs~ z3J05F$cbNMMlHECdO}6aKUHeY<EwyJqL7xd^{{g+8U)^yfMEKi&uy`i7nozyIg%Tv z?!S6S4pmwxG2P1Apdk@+fE1AzD=^&wwxD|GhiGAI4t9u@c_Tfc4<1z1V1n0<8}n@V zt3K%F40lvdno_6W3*C?er{EaedmL%(^cw@b3fAN)8zq9&NUgDW)K=nmId{1B1&qzo zJ`qu0Qn||6g#3(YO+R@Y^Wffn5>7f60q6d}*Eg3$Aqvg|K}e8fBnrxs2e61L+fWSf zR5}#Cg1iaX#lrE*1(UwD_Nm%&rLss)3gS(Ls>#MIZ~+BrZ^N2vvSh7tauYD_KYv-Z z$0r!0&-~nt*ENI7?3*d2>ru0s;yKPdV$Ym!-}p^3c0D+J@?!Cn;LsBz1O9WvOVuwf zn?&rClmc#nuu`GIY<V>l$U&VHq^3|^S^TBO17^xr9CA5kgrJ%DO)tmSTYDTEw;;(! z7>|X4tVDKB9D;`t0;wjCgt+@Keua_G^TbF@<9O)9sMh#ss8FA+waOM#*sOTw!7v@L zf8%gw#pDyS9)FY<yC#&i?TYKAjMH5o@=ym3aUcI2lO~Mr5^cu?eiKLgPC`}|*(-8r zBDrvi6nTm#_-BQFe=nOgHIYQ0)>QXJ#q1<4wU!~y;T;Vi1FBmp1<<uq`Z#hE>v+## zNR@ulBE!&r!qp(Y%5~F>SqKN=WC-DE@>JE_BI&T`*n_bU4NUN*)j~X(t2K}6-g0o6 ziZytvlQeONoVeNozBQ?m&9{1KZ7>qHKy6ReLVhj#toYMzs&;K{N^L@n*qitbiu%qc zoM~(3_$vfpUqe2F8EH_0tRA2>UPJT~IwEbF^_L6zH`+@Uo&$=6X@mkRgPVgW{cHKr z<@8U_)UUw@<$Rvbp0V>|&ggB?GL^?7VGbh8i`4}9R!cH+sq8KnBheLg{(=lillO5X zl)Gjwrzx`Bxp|I31Ao#>=5CE;3ThIpCU+x3!}!qv4-8yylZ^7!;fb3uv;NGXP?M_1 z6NsEp<Z#+#!=uzZJ^%9zL*}GZ48o<_{jzj7Nbu}C<*hG<Y$HV^XoF1L+ZQ<fsk{86 zM1kMpEmJ=tdYYrA$cyHK!I#_~`;MBGt1n{L8Bt~DK-z(2Rw_-P2EjbeYCS_-C}_%o z5#H1$*-3!U0<z4i(#UMGRhg&Cr0V^9ibwe=T#$ha>yQ#&OOhu1bV61A`ipYA@}8_b zwmcdw!#XmGofqFtZyPkHo6Sg0ki$gH*nTjvbRV+avD8Kk$UM?0J4`nZ!|xv2+^Eyk zsR@6trD0Vwg3l{v64i+lp)?SSaVruJ7|4oAn-8WH2I5FWqWRuqDKx`k_-rPZew8hN z8+F$YU)krLBs5N<*Wz%4Sx9A6U6zjsSQ*3zD@SuXDAK4!G8a3;^Dqeq3ab8=DOrNY z*8X)gNRB+zoDbDz)6SDmQ6<v*ky=ED#T-8NWSzLIg`ZAcnG7@0?(y0(#zT4lv7>wL zcFsZ$5-7rF_d>(PrvO~;<g*r_(leep(J_DD3oF|bgH2Do!U;nvKRUcEzQiPa>wwN) zIr^+&L@6A|Gv;30I`XP(I0k8fXiCxO{w~wZk0V^fR(5uV@*T#VcLK4nHeP{@m<{MT zIm46DWE+zrKX;q1?$fdDl~MeGGr!$1T;-6Y2oP1?goaDo#TJvn`AXZ|S9Y%WjaCnL z*Q-1Z`GrY5tli8&ycu>^k00`d{?4m)w(Kq(9ejBkqMaIhP!)bZZi_%?4SJIlgaNk- z1``oh-bkExN%O&JGVLu8I7R=gCp0)dX^8+S=!8EZK3p1pl}?K9!RL-!`YE4;O*V?c z2#6=XHDncWeMRn8g8J%J2bHMk!&aJR=<qx(OE2r)Ymc)haRAL)85t#8!dj5Xg8*@7 zb2W<y-l+Y^tbCdb{yo6v3$qmB&q`v4dh2XFjqu@yQur|Bz2Na~rOqO^=p11oCq?0C zhmY{JSAE1Lp6cI8XRV;x*=U)DhDwo5O@LGVL^R!Y1nO%wX#ng!30={Lzs65OAsH=_ z%}d%v%b(^qSWofC`C#@$gKDnz^=cK<;?Cvn5>f0t7VEOmo{zMnvnVF10w4W@0%y<Q z;%8|;nFl3a*^JAw=zfO&Od2^8J?+-ZWa9blns}DY2$p5wn1%%cGbG&3*4Cs|>LVbe zHbh+WdxgNP8zRQxdaA(HbqNTjnJ#htX!w53@m$Bt63;I)<oiof<ecJXTQoYOctN^Y zC#qB8u-vJ^H&~=lBETdYzaOlE;VRWJB~=i=U)&D5VY`$pO{jpRU@g1V5!GvHYo3f9 zeHw4YfjZld8j76i0KM<4=}m2n6cbRK%7R~$@9%8LC#Ly{o4KNMX-IXzzZ0{PMuhVd zjl>aKa_C$w-O$^NMYx>v3~p5&vMGX}TC_q<5D1x1t1#-1pqpvJ$q0#sD&5yFIOlM= z#<awbw!FS)E5w|AAyavZq#<hG29D`fC_u;TDQ?)zw2>PjJkecZ$8mwVt_Tz=gGh+k zYIV>J(G7_yqsV3D*`;M))7XmxOG{H9l}A)(vIeeCMN+g*^*PE?#I~sX<N#Cjj^#<M zeHE>oZ-rTAD)=}`O1_<kyivsH?uCRj^JaH-5P8khW*qQHMe}Sx8d^nkaOyY_W&2uR z%wYk=o#k{zY8g8$ay5>P8F2B_xh;7gIShtagajTgWVoX%Hm>{2m&FP(aIWn|6f=5^ zn}a0oDX)}CA+9WX;o^EVlF!<7lm4JDC8Z-1Mm49cJGJ&^DakGg-7J@LO5nC^S0NLf zj1%IPeiv4iNoZ->MTTWtoI$8&GBe{(Qqlf0CNVN>KHABO?{f3p)dbM8mZiIY!wm;1 z!!i}(o?NWJ;Ip~EoG=4VFVy-1#Ha_dbYOTGxWgVl1(harKZ_xaAd0K4?74SVSdz~A zGwGQ?G2v)}%aFHN@op`CAwG57pl^zkgQ0AdM9?gQHv0{WZ4~CA6gNjMJTyNlipqK` z;bdBgpM`+xHWN2s5Ducynm!F(C_Li8Bd02HjL&_RQG#-!5R>E<O2M@9jOS&LJj9^U zSV}Eb(YNU}RY{<*aH*9@xmE^&Eh|aa*Ca7H<b!j(^C@41$DC4wW2iwlS-Ib(HNf_i z-rO4*1GWPsF~5r2z6=h)K@|uv5OpNP633gF7N4`%`1X(3`v5dls=hq2%Nn07lv5cG z=_rpFWp@@^Z(1_&6PD|9Q_GsE(d?!fp{pK#yImPZvQjc`Nd3I))FM&BLs=Qxm#-@8 zZ$Et4gUtlTM)!05gfwWh$IikkJcDCSR%Bd0(uYw(F^3;HQu9)Q>uYfUg)c_VVHK1N zcn8t?_Y#*fUjiixKC5m7Fdrle*YM8Gwx$?-zL$$8^+KjVNY>Y$WYaA&Hr|qQeZ>`I z(8E0377fp0%<AZo?w)<_3I-4G)kH8@o+AnAQh2D9ya{XUK~bpGt=7FGlu=snDQ=C$ zsx@Yh6`-ptbyygzVyybkcBx~3^n)2@1c!Cc!~*3~86Pl*O`(*$H*wAiyMTY<-LALk zo>|L5ISDDPVb^&P(KO{g(yvF8WD$^-1Ku!cUt0*?2JlSlb-vG@&ZrHIF)`-pmh$Ty z&CZAO`D5Jk^M|M?gI0Vg_T$M;Cyt_fY3x=#t{Q6=GOnu?&HV-BSLCX8)=aosF6c~4 zA=-6g1MIFo+PPxC%R)R%*VW)hiuss~>qvreS0SGmMegiz#cow}l)ge|@0OY$^hew@ zRR`QvBW9nLaVdG&n7K+$Na02=lX|vtQIupBIfv#g|B+fvE^0-`xUMRqTl$Sua_ZDT zWs<FuO7P*<5DJ@MR$S*$p1N{Bs3?eZ9@QE@7bp>d^&9xi;d)&}b9^9P#EoEhA#?f# zaRXhl;<uvMi!|!R_X}FJxZY^TJDPAqNm&$Xv9iI0&VvwFS`y@3{(`gR65k}@vr$}m zr$Ql89uBIK3{ifH9M*)#KaAc^@|x^P3NA8F4fD1|C6)RG^8iEW1c41TPitJ&kM)@Y zG2r>bO+w?{gMybvk8*vEqk`7``MkQ;1@`On7&Sp16NJSTZqN`_)h^XgT@n?f=x4GS zC=S@z={id7aVU$YUQ})pF$0oNhDG_KPsFl;$x5wqmsIzB+-Heflhp?fL>NWa2W0_S zK0}5+o_a1N&P#;+5BgGB4iP`h{+NL4cmm{>QXDP`SdgwIsF|dzP_9E5w5fc=>rnPV zroS~QaI&m$+yf~G)N)3=ubP%*kso^K5-;`NZvx@npO0gGT*0g8zMpP`<z*7WuY%@? zPhnKy*`Zb0==M$_G0=`Qj6uy`PzmcxP)R^5Xlfh^bJ!sYuy=bPh2C%F@t=gP!hoV} zl{92*XN>ZQ@HPtglCP?6(H)*Tm3p-1^qj*~Eu<u~=O5?HgRFeHU>#63`Sd0B<1zO+ zziU!kLfIF`Dz&xFxQ~Bv6sQ?7)H;wYye9~@{L|CQi}_mcW+8$2%PQ`d3N}mNOYz4U zBC`eVJ@G&lxn~VC!k@~9QlD2TQ<Q)+<G@uXQ5mrk53%RuszAQfxKmJ-oWluS)%L(~ zX;r1V#}~JC^Eo2elOy%WQl<RKFj?YLpS*CG%T0D<J}#pfpTPL~Y#b^M66LXv2;c+} z;8XjG5t6*ay0+tUM2;Pl)lKHR;wC;YLB*uuT%3cuat#DH(s*pVPXy!IO22@ozFoZQ z-wOOH$KvH(%R){sA@w02%N`W;YDj*+eFk*nK9ZwL+g(D!1{Kmt)viw#1_mi-JM60t zbp$mQYBSaI-<sv9iZMhGaoq-M{502!!hH9hB;YsYA4T4~EpJqxu%k+I{UT^V9kyL9 z4ol=zs<LIJoUe)0IHvelZO+=v-G(4QR=ZaCBaw<xWs~u5A5a?6g$J*volRAdGk& zRZ4Uj`KbJVF?N?xaV>4Ts7VMKBoN#s5Zv9}gS)#l?oJ1HcXxMpcelpfo!|+UoF;3% z-+uQ#XOD4ykR~I=>{(S$%?jN;?`!i%o4k+(p<*DvKTuwKDhNsU44yd{-G0^2C6SGJ zQh*Vb>qGE~uou}0VVsY<A-Yk=ORHNE9n%Dc)3uPaw1GS2<bDc*SnS+FFor+{_!AW) zHCmkl#rVMDstg)=Q%bw6dVODj$0?t(LPoHt8uKDksXS^_$wKMk3lCga9t!nwfLuc` zx;9UMtgqt`3ex9KJu}Vfbj%2h?*yrgHphI5LX|2+RPXRySGK<gZAj4hf-Wx>4`Awq z0aCeXv)pzLQ0&kjC*==muXbIuln@3Jqw;$%^w)t{ch8Z%=1#nEqWOO~vMX-di%%RH z)4(_T<78w<dL(oDWG8Fo2s=Hu1>=&p=@SQHM6@pib9VhcJa##~X;UrhPSPtQ%H@l& z3Mz7ly9sKw)uTcnp|5Ev#>S9d*x=f8m>C*WBt%lDA>?yz1rb~Qia>$C-<eF3o))^V z7AFOg6Jaj>aIgyMXVxPEn*kSrC0{B705gQhQ#bQF9Nlg02oQl^I*v^&v|0n#$>~3O z<t@LHb#UYzN|9dQIHo<lba7S5-ZtZQvC?tm*7A&z8LuSM%f@wL(V}kh;6!V%ot@g@ zEpslU+KDVTm03W2O;joWlxU3HSFqpQ*o*@t*0@<)?WZ%$RvToQ;J^S?$exekrOFix z>ex)%*Eo?%r>?%vCJ57-Fv;i@l~14R9?(a<VuYln<F||0@<5WXf-b)_w&cUbqG+x0 zaxpM!@$5@)VF#c!6J8udd=kFB+Ky%P%QxLqp{%{G2W&G!74w}FF%8kt6%EGo4N3rC zCPHMgF2?uXS5C_FM#nQ-`MJ<Z**DLaVje<iT9YvleAD28lElqjJ$cZ}ql)$f^#oqt zr=Q1<G~*h}ngpX_B8M*s6awDg4`PtBTE4&EL0x!t5b~bN`tfH%&s}xF#$XP$Np@d} z@zj$xN4`$cU-^BB3Tz4KU^2poKxHo=zWm2Fjf=hAclKC%fEY$kY0h$jfHHq8S!?C< zlC+;GIKFvAHRrA{IZRJYVhd^x3+LG!7WY?7NB8|mz;uS(-fq0t`{y<Mq@rLl;wn9q zVdby;%EJ?~v^Ledp1Ri<j`-uIr|RsgD*VeNtOl}9l6PsRSm>zftuTjPwNej2R26II z2<~(wd3+^>xOHUV%o=ON$e`3GJ4sr>Tc{GFHk^xXkUe3hFrXZ3j=WuYSpT74X?Xk2 zAN<E{$Z1OJBDd;ri{c?frBM!-gtJUC1%4J+k)<z^EIuS?r_|^>7mqW7d~k8pyVGqD zBg2I{esU0uH02^36U3@3YZ&mmjQW*-%2_)OB0SS~<*fyC`IvVJPgP>Veh5UMsD%>h zk)G=FwMLcpJsIy-InYO@?V+mv1_0%)qaCB3{24^$>LPI&_`Q<r89#)9a~MqH9PV2r z+7SUS0{W4dS~P85XYE59yT~oTO`CchLdL6vVA{RH4aJiF6Ed1S0s=5trXYpQJBa^& zM-jK$zZ|vB^Lau0L!|7G<hJZ9j5wuEs3SQQhc>s?*X-!o@RzUjF0?m~cS%N-3<Vj+ zI=g$OjlyJn8v>Zozh36pX_lK&`JHR{s??QAvdh*jqY~0J^gLVk;Xj_q;aA8tcb9^G z*iJlsqk2&-v(z>v>YfG46!LBQQI@eP9DIqa_)*~V)2?)S%6l}-EE+^lJ@;kPRf#av zp6mYVhU3wa?)dc(May&^%Ab-BL!qS`8?-Z#_p32jdMGBR*7Ap!3cZsgLYt(thu)rE zy)g+{*{OF;?L!TU)<`T=9uA!8tD$I8DpKzus*F!#2vy22_7Yp*XPMC7mhnBKIBA9; z)g>99dP5KaTO5A`tBVh6UkGgkYs*yBAdX3EQUPnzG)~&$qAELvrGN!t#o{)u6wM-o z4aJ%n;$%Dr*5Y?k`<ja0%nbDk11E>|3g7x{a;^Ncnuh2G#KLFVq^1YE(grefL0tHl zi%`}tR^V?8!p(q|cq?pZ@>`~s5{}wyII@c~Y-rZbXI2!e{aZaao@?@7>H=%UK!^t; zO}QYmNTXzMgM>ZXS)Bj;JDYxF39i&ayC$o!;A@&@{~%7qQq4XQpj=Db(j3`#3g_8^ zS!SX0Uc<j;a816<rk_>aU&r3h(h}4omd0@hgvMzzl*4R=<X7v{Grbfj(Q%!<50(Z8 z$?sH1$J7e;#28oDp4DnuIvLl@Cs<&|KwW$VL1JP!T=0-a0YAg$6&(enQdi8<J$&{_ z@$wc*rLa}PA-g!Uhn`;x9>Ioi$;t4Y+X~50`1Mt52SJUct=}*T)y(|*g^NqrbD1dh zlOUJ>cYJa)2n~^`W3*vw*5nEl@Q40>t*CJNGC8MUo3EFBk$*B!4dRrl;?)KqU~8q! zEtdo9$hBiC6<9hdZK15m0_SQ)I|P8~mBiIOTva=}QB_I0^BBt=+2>i@5Z2L*)oJU3 z+q;hx8+D=nr}<Vr_{_nV$AEXU^|rJ4;9<<+hPe3NN(zu@u>2V6_uJVyI3CE|kW$jr z<LngtaMf%#tm~iW>?{r{F<-(!ceWeeb9nUPPyKyD9m=s7T~kukok~jM%>)XTj%oo; zywB-5T`z$XmA9=x9s59wU^hOwfBz^9QP2sJ*izDi+9^U6{{u&}>;qo_hguI;Dya*R z`{1Y_D4+YY?FUmInlZpu6`wj%ENtL9t3+v3CcL=&(A+vI+%75Gy%<JZ3Fo^a{p-hb zzZq8FzF-G*Rk-*xJ3`>E%a{E7wZ}~0hY%f-%HXH<_YyAP4aRauF@A~#1G!!mH^~I8 z28z=x2fM?lHPjQ9X|uB#Y3bvL9}lxokm`tYA~#p&oF2ZhQ|S}n=FY4S7YZ}kf6S1C zCE^R;n*HZ}MGC^~S$}Q;r24)1io?k5j$r_=&^P|v0~8Mp{%^o;=<j_Rfkh+N4OC1D ztWnjPv!J=6>I!5f*u1*}jri;^@>Ri?{V^&$X1yp*hhNC!R007EdKAXNjZtf-OMQmj zFO<AR^I}Z33*izhhRmF`4K%8Rbl7oC&?s^F0KAx}#0{1LQ@n%xA>F~n$Z)zxA8pwa z#n!yw%-5uMc}l=ABVMLLzbb5V99jD>;8zQZrKMsO1xrl5U8^jkVW?Q-lC<VNT-<zN zNGmfUTvb44ZE^ZUghz0h7(X2e)ysV6V!9%%f)XM^>b4J1kbBZUwMcFb?lJOAk>dTG zo9c01wKcl8%QwtQsq5`8x?tm|^3?`aswh=OT}B=KUPBRB`7kxMhcP#p<g<bB;k+;7 zld<eK^9Zeiqt_}QJ*3H)%UP2pD!CfW!)$VTzCSF|cCu9u2fCIE5v<o(H&G`Bc3h>6 zKI!F?)~ucA?E|~mm;~s&+xSa8#-TVdxkQx{HRMfocyaTDbPB#}8hf{+!BCeWeso%U z_33Q^bPEMQ#(;~+IddaV!!XpXqXn4tQ{9i-mj`taBb-0y_nn_=F$C6cmGpR0@6kxI z`rwbs<!t-<R@o_=YOV~7nVCf<nLbMe4)Q0oE>fzh@PrdS?ienZ{9#y;ld%MSre^go zk`|Mx6+*qJ8H9&G)~bNG`ZNe%LlUNv_<cQ5y%2B;yv`YbKSt83fZY}hM}Nm7P)nkI zJwR2-)XWY3aWhYKLn!3E99QbQI)1y__dUXB)R$ahOz+FJAg0bj(S_hGw+c^gDrZ!+ z=<-*?)g^!7b)nA;qLgz%<e#fLLtKhi%;?en8Hu;B+`O%*Y%&P^H7WLUY@fY-y&QC` zdmZBo9Pf-fzD%m1xJ(R~7JXH}RKfoqOsnDPSPhU)8tq@rHpb?yu$IDTV<EW85}jnr zPw~r*6NNz5tb^S)xYP)s*~}Vz_BSk!ujs9mQbqeponmjqso@Mk&}4iuIa=0krXV*F z5~oslEW$rdr*WR=rdqyBy*%OZgl|c##kf)r6ilvE1o-#5XI0;LqTS~tT)bPbBIG^M zeBX2{r3h`sHk=yiu6{tCyXwwJDSU6SAY|hlsH_K@I~Q3s-FvOgil_|!1v1eGp4Di; zB(Ccmz}mdv#U#A+xb%2hJ(}+t)-p+^`Mz}+Vd&}uQ#Ke0=)UqSE3UvglpZ5@|6;Zb z44`T{PYCln5K5^@@+9iCzy`mzEvY?W=lQVzuAsP1v1L#%P5WEHgCDsNm<Ui5l_|p@ zOP#kDqm#CmjFSn2Cjj`X*}fYX%OTh&Jvog)bo<2jKHPGjD-YR(hwjHq1MJs5>tE$9 zzbPgsG9NgkWxDp`r>mvyc+=K>B;zXvfu@`%W02B3f@)q;JhMvGLaZ`z0n4CrqFI8f z5We(3iGP;(IqN>z^tdc@#xm^+uJ?>oD-ce|hd&+IjEv|h@CQ09O8pEQKd`N$r^8uN zi>&`$BghW3wK2xR-`<6z&seeYu;1X1oiG2bi;MQlTGUw&0>Us~0^<mRc*q~{ecmpz zvtJN&H=D+?lpqBTI?uZ_Fa}!M+gdKWZ8I_>jUmvPJ80~!A*sqbmR`u8dgF=W^kv2N z1if2??mE2Et4+t7zgo@9n4jm8c6jOqL8=UH0DVTcFzVH^d4+4zaGOqlSfctjm!c}o zp8jS|s=_rlMX6}2SZrk1Vv!M7%Lme_mt#{UEic|02#I4?)Gd<B#56`Zg-Mtol9rGU z3<^*il~T=*Dht4Z-#9+I-49Go^~91chgV7N4H;KQKPAD~Nt4mDgqS}S^#2~~!uUzX z$TzGNi(z%3ufnY<p*@mq6mYvVYsW)zN6x62%f4dq2T&|9TJA#&C>60@tyPfEdd-H> zcE$OLGNde0LAH+1vMipdYd<sEdwINHth+@paFLRA{p50QkSf$SG$&ie=HrH`Yr3Y6 z7f&}Dv{As=ffE(n8NLI&8|ptRcW|Uk?!|id6?h{T-e+#;Jfe~HB3QQ5J^n5%QKP&2 zdM~<xEB{(@?;(#Lu#Pmex3j=)KYdi5(M|XwyEbZTb~LK-$i>sa)+>PVD_1-ZQLG!? zS~P#avHg=?Xkz(kyd{_RgUwcWg>-+CR+q71otnhBa@-Qzyx*s#*YP29yOs9VcVq#j zNx<l}E_#CD8>DKvZwYw18~f}_-AH1qT{QC7XqmMKMOrDj$sxZYXJult3a*E{w_+ZX zyigjOnY<3Uk^tJm2wM+S;_3;H8<QHg=TO@`*A|f5-A=ANSUQLP)LVC%U%6@XVYFR* z773vgw%nuUnY?<4r*=*@+<n+OE;4lyZh+%w%s)s<#M#MI>$VwI(U_j=L`(ep-R0*a zAn%uTZ92Mex7H)2UCiMfsw5!OI7V1Wzjd=1@-Mub3GQQHcg4hXWha9O=I}`SoGJ<7 zC@P*~jvA~Oh(VP|rGsDB1Y?dGr--Nwc+xRJWt@m#&Qc_8@3r@uVtaW=NIS}+#|3_; zrDUBB)J>XgE&^#@_Mar}G*^QtM7}&r5zDlA7cM@LRw)X9C$Cb3gm4{ZWtR4;S1k7v zUQ+;M1;n_cHYTPWBTIUICQ~;qB!6UX+KH6<1}`713D9x1MZ*2=AvMY9y`cCpwM(IN zN7%qDiH5b@WCqN;eK&}~O2pwq3j(rh0{VW!BcCf3t|$zsnA`E}QL#XT<jqhmsVSJ_ z9|!<)5*N3^&nyzn8%83_XJUAGV{b9yj4#!5?;9=EewNKV!Q{?7c{a{k!B-Nl2nlbP zX$L|>D4=O-I7nG&%wDX^<l<j^O(MsD3~6T$QbSKOHe0z1ofV4bius^v4gvh6kZW+4 zf`JpHW@Ldv!;-@R@ez?CPtzQWze<P{f)Q;smNsh9!Wvav_`Mb3=(}>E`8{(_RddhK z{1pUcdH%Auzk^%(<eOuBM3hER%N>l$^@XrUOQQ{H$`x9ZLzqm`<(xAz7bM6AKWP?1 z<IDr9u%nEgiuv3Z8t5`0V-UGna{*SGk=ugMN9;{3;rL+op_vL!Tf1I9YZlC?7Aaa6 zJj9PE;-xFQ=76TpjriRNH@K=oGZ^KuWX;hel!}xNT2!J<5-P*W>2&;~!LDiyc)iYQ z((hjtO-f-B3Qr#luPhnewbV1jhC}&FpLnk|De(c*#Rugp!F|7h(?Lh^t_az=4=uWV zSt>~7{l&^xUuQ2ie)VZ^`IVYo#LHy0-9dZraI=IMoPzJ6Jtd_|zlx0VC)CKiBhPm2 zIYt=ScHo$5JS|u9Q6N*%oz+vIh;ey_<4~hQgGI$(ufS4pI@TTD2$$7TS5vT_IZiun z7@UZi;-?U02}Lpj>Rw9<Iw{r;S1@&vbC-g$W*NE^T*8n8-JgtM9HS|?v3SGIuhMy1 z_m%4$ebzVRT}F5#nl2i4qD2z$BM4MnK4B^R%<LRR8j5QEp}(;=f^;j~OwOG!8U2-; z!IN|hNi3R*`>HqptpNqM-EX61tCy^8h?PeZ7LMs)H5FiL#bm7Qj|#i*#4Y$Ow6+M* z^I~(v6ww=#6_-vqt$1Y{lR<<pswh2&t`NRBqgPzKSmRiuG3CBkel?l~-;WooZ38Ng z$sm{Y-E@+u*7EkSbUXXWb9{V}8sc;)vwr9J=j7dw1rs9M49jA|LQr@RmD)dwLPKJd zLwwW>{yq(KhV4CzA^Aa_-IBCvIv}Vs(8)0+*1Y$^f((<FIdBhY@YSr5RRMMm7|kIe z564X#{>+dQizoQ?olcfTdl^}e^If4?n1=BuhEZmnY~Rz3O(Zd+jNiSS6uq9k$jjo} ze6f!Qdve7s!y1#5k}uKOxL@HVhU&Rb`@18m95OV4`Xt*y_66Fyd0nILAtRxWaV1fS z!}757k?7)*lO>I$B!eVXc<HW?6Za47skkS{Aypus<;F}<*vbFYl_=HC^movl_W$YD z#n3?=GvWN0`-I6(c&UsBt>%<KDS%T$CQ&4q!}4WF3!~79o#P@IWeOA-wAxj`yd#^w z^XYRCu-9%8@?GOMj2F>0C{`)-0}M&C)lno;qT2y|ynvz(lC#Gr(~0MmmXldd3u&1$ z0QPv@-8U+&CT)Re)R5vq^ft2^Cj3&3&<7@F)DLxt>A??np#eV~?Jbd822P;k)>yJo zbCD15UyFuL<#8p%wYU1JODS2Twek_AW}b4IfoY^8w!VEf7zi3fJ_77N^z<Udm)e#B zm%@pSuEwLP^$YxO1RUKR85uHg*?N5WX_+IXtRVly_2Le78>w%B@8cpqC3xE0d6%*j zs^kCpi^PZhqmR6w&2wNqN;v?llLG2D<<Ot9P@KzKDh_0#uzhRN6Z?{(rxZr!@G^~W zB7t^31UodSA}C?W^7p|z1+50opS;V;A32jh7{D<sj&jDm<l8JJMBa~mX>ehqpt&F4 zao#g^dbI(ycO-az?O}VzE9L)9e!!2d7bA~Tey$JeOEO&5tY=(ShlB%xFsdt_iFt9V zIqs@0scymGOr9NuF!wj%wHexKuI`Z+;btHk$B(!t>)!Ap;BnM$p%k@gYU8!fH+TL{ z@2q@Mcuno)Rx5E)HrUw6ZoX;8CNdcbyeL?e&eIPy94@xrwUHMe)^9g;l*6`~+0%YE z-ViOTaE#?13*6TwziaH(5+_@=yDlgZNl9AOfNRo|CLTX=Fr^jxygmBVh^FGheU1%W zd%Njg+4-VIpGMeLR9d03zF)Zpp%am|vHs~0f_6|UoYaCcqO*S4JtgVAABAQaRmEl) z_;5OndeX1J3-4z~+|k&>>GJNpCCuhr>}WF_WK^QgP1sK#$O3+8LS)RYXXHbY5Qh?k z<p??yXk`De?WQkp!@a)*zzW>3jR5VIRrAZ4sj1J(C#rQ*<iPp2np2YjiaLA=c?aV> z6bl<5x(L_=RLj6raJc<{Pys~`T8hS6XGkzapz#I~I3lIUhp9?23@SF%XTub-HKp^v zFC0kwK%U@dWt!jzO+%p~`&tcIXh&Sm8IRqsA|gYmmWQ?hH68%`H_bl~{1~7ZGchDB z$c9S~4)a$CW7!rcy(u$kD?2WCr(FLy5v1tVfd=>|R~IlD_?C3O;Was!6%T$2!`;HM z^ztSDw<bD*ljzdmNahmOe^T-1ylUTqp6R9{6lFS;-9P^F_#4w~>(OR#tH`D>TI5LP zr&{L_h5T<Cf8)Pt{LD@2VVTK!tM$fSy1HZPA%u;n?_AX#O}w1E+2TV-h=3iLKD4!H zmyl+Eg@i_M<}o+~y73<&!KUNre}sg|#;RlhIB@vWB*y>!yc{df3e(b$3ca|EiP8|? z7zGgcVcCsRISu(Q4q*ScmucWM`9ypsTJST)Q;ZS=D;qEOEWw@qH*ffDc))4$RFlDf zgWx&-3JsNwH;R?u*!-?U*iY7Z2~Al&^^XgkYwFY*t*HMY2>#RQ{~`!7k&m1lz{!)l zxekW|1|S=wx$k62U{C;&OC|4jD(u@4b%Ed@ZAwJT48#y-*2Nld@Be!cz+)i{4)AZ( zd7B<h8(n~C0^k;Ws@6k_3y8<UlotqdW9smEt@7Y6CccnO2B}9wc(5wb6;dR2r%!k- zf}9v)*a}u^)`Y+qz|?JF1oQHN#+L7Vro1h8(%hXZ(jah3JuJ#u$&vt5Iu;tQR(Y*o zx>1!&uWa(4F#f+)fGcI4k$gh}eyd9qVlYj9tQQO$=pjqh-YSAd)#b<fr7J@e!2{n> zWqGTJvbi_<3oryOqkl+)D)l#4Ankv11rjh&ta*~aU0Su#1ybq!V;LyCwF6rQ6obRk zjsFHogWUl0(|-X3uz%oit+*yiNv>OVaagD-Qi}hU82;Ap!(A{XK@Dakz^la=9Q0qe z;s*ZY!{oI!I#>jVim)}S>;NJCbt>Ktp1Wv8@n${DdA+5P17pMgVit|{sPqTY?Jicj zR3vy&t-kd)6|!$x+;0U5egGde5j+=QxkEbx9K`Kbice2(qMcNjxxOn(nV$TQBEbz_ zJ0kVDXt?x&QnznG*?}I8Z-MBIdtmZHuoR5otYSzBvZ&EO&1!>Ng0r+mn1SHna`3{8 znH-Xiwc1n%ui5QFm(C856otvHqSo8<1CsxtAq@8l<t_N$5Ds};o411ary00M7yrF9 z)M)-@tfxNzB7xp*tPmkunW%j`hj!I}kJJ<a4ssW&TrvFHDd1uFe=QCdwcSE5Egx=h z2zO@|BzG9j0}{1SwgTrcCb;gE6OLKW*~BVa4Jku5S)^DKy={Px<G?Q`Yx#6I#gMb6 zG=;P)u2ufBN=Jz2)|I70Z+3w`Kkk=wio!%!X_u-~mW~P!LPF!W^zg}VvEUf+GDsk& z^7>{k1sMItZH|t9>pho^ZrN<W9*F;QE?81P`CU)&mNicShG5<%0~&m-_&bg(9m*m~ z)o_JPJ<{$9V}sJxm~Yb(m7c7L4vvqf>H{wNgOLMtG7tQ>Np}Wc4no+BO0oR8jVQf= zJ}A=ep48w8(gfZPf}`sJ?G%Fp($3&C@qDOZ4dORM18Z7GddTcnQ6X>1_pO@$@)v&% z6nRU&|5b{6e@pQ%A@O%k!K<_LBw||pgV0@&0@WJ}L&CmxhnGzs^kt=^1I?nRSK8Sd zJiWEb|4b|J4XKc~{F|qrvfU^)bR_y#W$O{(OP4!k8Sh7_N4L@i`LO8Z)Bk;z!R3MS ztA*ep<G-4^yHRpoH1N?c9Q+f!|M$>M>VUnD1opQ+UAz?~_&T0(&3LV-gG}FuBL%$p zd+mGMJ<$I}HI!(;s742xas8m;mvqdxC8Q3p1uvoh;06EZ68g^yY6e%>|1tvP&%Wg+ z*j{jgO$$(xs<RN&U(#cLcj9fNFTkBjT+I4$ef_q5{m;GxMmuQV3VdX;W!W)q@@=~T zWkn}z!WDYFEv>f&<M=kf76}0A_D+zu*V~oQ`aX#Lrw!LnzOJevA56<>?{m&C;8Tz3 zv`>Hst%*@iI>@GT|GYM^%~oZyAtYSL@=rc(buVpz0|)O9F9H7=1^=^M{4b=ynk(rh z59}1o)tK(Ro$~#92dM0=l<wb3$u#^gz+&`IEi&FH?weXs9PIuZhd(_jT^aUPH2)6A z|6nuVJpccrDa>2j5Ji||i?(<8z^3Kf%Dlftf?tuC%(eYCjsJ6Q|F5kKNfo?W+nbQB zbjzNM;U?HPrcXgqs*}$GOxZ^^zU8p$>krzK4%ZQ<fTK6oVDEoegU~nD;A;BW?ZVs< zeL+<_`iSYU8b)gbs0WF0^q^hzaxXBdx5vB0UOaa+u1B0k%@kl>^@5(qj*soab4Eq9 zI-$p1URB;x`^FkvgIR;2|4-JS>o04tXL&$*`FD~Zso!>2m)~vRNuJOfooqRL|Cc~I zcykcAU`^fuH`T|c^cofBQl%g3AS#XjPuBqA%{7P@fA#{O1DH=iH@9R?3S<0*BReis z_-IHeR`veANui7j-mXePC$gLfQQeR-u$+TSu{BJd=HOmCy*%Vvn|-_}u|kZpU_zT> zu(>L)`_UQQx9RVHIpCpzTW&Pqr6U2Rd;YncboEJl^Gf0WU3qUy1nkb-6&X=(ozjP} zDFCF)yNUkwGZJjIiB>SAER0&24eW*#P0LW4w}M%=Lkf}J7W=a|lC^HxYS`H*7;5^T z`TVb1OG~~1FSa$HP-*25Sg-gf1uoE_MrwO64j$?H?5X<eZLEE{HRk(y>%#8y^I|G~ z5crd>!I~p%*Z*M+ItxHKKy~w)a+!~m=^m2)<Per>^9J&{!e<f@bZtoAv>~hNwS@M! zjX6fat|dEvWKewX_=}?a$g8ZmY<AvhNT|=#2Vhz5HxWEUxnOVv?C5{-VnM~#38xWX zJ7<mgIr+}IC4=JkbC!VlFH+I<?EcxI{+??q7kCuiltB_ozY-QP?rZ|_=k8#Es#+;z zkS^cVErNHI9{pgh;fnFK7ig^R7`n$To6$JkxHCEilEVmpKOSMy6WIQ!<_E6to`TXJ zFM{_kyW%${F_v5j&B9M6y<NOLUt@H>5f*7MO9P3Ae~IgIfLVioR0BJ&bwaYeUSq#S zY)q)D+riSs4`ACf_ojUD1Cc`md5)`^<@@@Am}8eg7Fu__l{ELyA#xn)J!<poT*1Nl z#!Oh5FAV?TG=cwkns_Y}M%^ODwJ@H@s|~gl`7WxT1j0BouZaQXTW3@RRAiDyIxFzJ z6R^BO$REm7%_L89!Kgu@{BvG?0b-frrs6K4rt|^}m9?WgyjbICsx?;Q7uZDr2!D(q z`@2Fej6c{gc%1Nvl<K`yVkpCZvguWF9jmSDD*+C=sUwGG@4=cdh^O55pQK{V#Me9G zbf87hopU2~C9d7RpVeT(0lyB)I8fr-+q-im&d3ZHE<%%Ho5OH#$G|UcKb7CXKF34B z<||HgLS_mCIm8IMHRBl{e_kb;=SN$0r9&Sdu@mztF$iL|SUpiY{SiSi8Atqzdq7kg z$PC>5oc4Qf^s)Civc&(J#3)q(n;XEtu^lR-zathm;%hwY4*4<|wHoH~h+y=)t1k=t zacL<T`y0x2P$?r<wEH+TRoCGL1H~ZmJo6Qwb|}2mm09dzTREhuf4r`9?b-U9(}$}3 za;~z-V5woOLFM+wtvO>$y{i<S3ohd`azbEdWmVJYk6~qQ>vj>#^ZES?b0RHneTR64 zh`0-FlDteDj<DEF90**pb`LXg*c?SNwmFEV+1Yn49H^4<>OA=^abdLyjs0GY$;(bV z#45b^dB7KPS3G(?A+NTrnc))HYHRV3AKg)<ubV#2Hp#iq>E)+B9t>F-nZX8OVn@KI zPb<|JKAX63qYnehQHs_zrd*2VnN$8~w3vzztmsRp-2^Cl!GoG(!u?wU-iwVKfk-MC z!SyN#A92$`ur7-x6SO5&BnPqv9JFZ^hC;S2$-(}AIQa;<`g@md)5~2~7T!O&8XXV| zh3MgUK1cFS{!R=D?6UFf@G5Dj+kyptVMm6$m6IeL0dhocR~p*{-0tnaoEP^}aB>II z3Z!w;I&AWLBxYtu*8M*DVi_*+O~Gw6!+C^Zvh%gb25YjulF!%EeBfWxVD*194OISr zm<GWALJicws6pqyr~#VmYZ4|mUKF(V2p>16FM(7#<)H8nw0ruw^4}blWiqK@P@6i{ zE(!Q?HU67!F?TTl<RO4dv2x?nxAwkB9Q22-_E32CJZd}-=B0B(FQVt}OHyh6p^v3U zkq6Rtg(3s+FrD-4p<F<x9sI}aJ_}M^9h`5EC1E4zgw9=Qz=T6zCZ|>1B-7!&?6{?V zwQ2)7bJ|qkI6{m{NtcvH@bYIJD`^>@!Ldj>D>$2!du!JRt;y~oxGII^{~`_OGCuOP zr)22K#Pw2s^B5^Y<T5^g{yok8T4{$fe3bb^%QPPN+uiG7zU&fP0YscI(MhAR#c4FA zX;|n<8@}i|nNo*T>tTJyeW+N`ZAFIxsXQkkJ2bkp-SV@(d@a=M04>+;+k~o--~UZC zAO?#D9kXr(po6kE(LkJ^N$g+Ipf70~XU|~=A2?1?BxVq-pFiaD;s_T7K4X`NylH=~ z5Tzvs!$y^~lm3jY?(?EBddpC60ubfBI{`@W<>g8^3u-K9@W^x;%iQ(2Pqm9!>v4lM z@2JzkjtYzKN&&-=!j|Xj)1%b%QA&=n=u2q|<W+v~eV^rm{+Bj8x?h~+Bb*f`s8kr$ zKuun}9Lq+Pmlhj#6)z3xb4QsgywB&W{&+kf@ZlMKt*iP}S<0S=&O6R#si#YS-_{Vw z{pM!i_AsWyy9J}0hsh*ErfcT2pza0LYvFon0L?dj@To3l2j3qVY>3;ieE3uJfr+51 z;W%!SQOlb+<{UZQd+CijpnszdfYJvdVC%rjtAO&ab>IQE4%Vh5XY=}EtHIU*PdC^) z@cD1+fDvpR{QSo{Kz_3h!npAGU1(e-BWb}S^cOlf;?UQz7tl|c@+8<SiCyPM-berV zO2ZR>l7QN}kj)iIWd)ZcP?m5%f5rBF2}p7NX(IU?+-RDolfc6bl?<X{{LE<lP*$%~ zSC(V{*01BJ)zhd@eIsC#T?SJ&VoL|k^Rn3Rw(o3*^MMr4NGUil?$OdD>RiKL54PN6 z8V8jm{&@G<^eGR)@bY9-J15ESanTx6s7#M;^G>VUEq{|dI}dd8A&*Q-B+H2f*bN+^ zJ8A~+ggn`{`<n$S_Fb{+YjWvzQ84_wZuQq^J1DX)@?1lMZVY2V#YZbO{G-st8ez2F zv<E*ArW&21o9?i+Vzf@9WGuHdyR0h@R-20wSsKj)8qF`*8?6IZo4qvebTrM)cxX1_ zJ&IhQaZbB<EJzk))2=eW2`!Jn4rap4?o&w#+^-zR0wze9_0KE2%_}O4dEQl*ViryF zdY=I7XBmQaB3h2uM@%72D6vlC9<R3u!3+T^=1o7-pEN$K2A{L#I?C^<xOsBeh*G@k zo@vHWqwu}`dP+GPA^*Pk^YNxe;Rm*qJ^DIE{ZO$0)T<B=?(BoE88@;yAS~J3t7+%P z!yF}5BE_>P^LawUpO(X@XCG~)h+%$Krde((PqF;^tr<DrvKyGyKGZ#Ad6GyK!zGS? zu!GAirvkYMR1>97hA>3%*E0DSd;%PeqJ{J!VjMzzpJ%DrT#zhEMMSD=qKzu8;Ab)H zlQ?)zL?I4_qINz7eFq|;aJ1IebHL-1;2GL>Ptkduegd)QYmxNfPV8NldHZJMD*ESX z1#z1UbMR3Jxp^qlX5i!`68|V6AYpU*S3BU5rWk_0tPg02D-a}=g}fCZzTl=a#;{R8 zd0!xiQ|#+rC7%F2<rvry=WB<fKpi;mbd3F43e)V4ln=}~b?e*biMhmYou<;53fx6Z zn`oA6R#LB<;RgS5mMDLZ{eydanuU~a&)e)VRdv8@{RbLl+q6q7!V)K#J8)6Gy0xFA zUms@Go807GETDhNuV<|5oxNJ1&fuQs=jO%fYQqZstP)|weFGhL#1`JKM!u;lk5{p= zb#njgp9#b#gAg&o2=#HT_H-h07~^rF*HwcQ>#!w`KOY<NjmqldGmq(`HK*t{v^k5a zoFgzxl=!t;p5UM=2^(Fl=*y&_o95{xnSHl2!@+Q{i)D5@D=7H7)Hy%jTRV#kkHQ*$ z&?oD0bd-0Sp_fzmbcBgWd}{6x_nnP96V|jS8VC#w1WuZT!4EjS?ru~Qse)hU$lt@A z79R!{e>X7Lga_QeV0lqx=Kp|$a@abJltuMGS0lyxjOvz#{#BL@{Sh9E6lBSAY-hvk zx)>GZWWX30(o)!iUVavQoXb!;n>-8?)F`l6Qcr0Q*p@-!Rxf0cs;+}2w{ycOq|w`y zy9W}6_rfKTc+Svb;S9Tgn7d3PWt|+MHUbRF96<TOZ7kQ-4opgWzQmb!a$bmA)bE$_ zb?14Ekdp?1Vb>ZNK1q1^+o0cs&Iaxu@z7yt*xMI>04_rPf8aFQx<tZnrz1fY;3vPc zBMdWvNI+i6+3&kW9*f`K4+g{I*;G&QJizx;W&!JpF#KPSct7x8NsS}zm%{MRPp{0b zl<<EqU$!(rG=hpA33MP(ip|&PA|ccSh^A8g(RV*4=ptZH8G(nYiePjUHbZH1p*ouJ z%bY+VXPW1~h#ATWUB^u08XHav;Zsk8{R5i<tGitdw8`%myrNx@qH?7?v*L)eAOn78 zd5PL@ipzfisKRq820}S--|HdU5h70ry0Nx07*c}}{ME%4QA70$rB|BwxU~DA<d47D z7;%oB4RP^v^U+;W0}95qn2tT)eJ!hSv&os=-ajAqt&!hk4RpJ&fo6(+C!5G;;uqil zmB-Y<Z+?u!#_uy98iQs4<4}HA$2Xuff9BGq`nNV^X=Iz-$QcLXhH+RdtzaWOU&-7+ zlsy5m#(ZJI3DkgoJUzMMv)YL(A=IIydZNJ*muaz!W)8`g;CXS$+ADeJ!E;Vk@#dP> zLC$$i9w)#e7cT3WMU#=NfVc(u5guYXI&x;(g0iJ+cPXI<eqmBZG%JXTfD5<)0M)CS zb(c=Vij|Tbl_55U1<N*0!Q>mLj`z1cf7|$J#4_$Jxm4k0Zb44qmUmVZQiXdgQAv8b z5dw8gXt+2iQb1C1_f=gK?K^juxs?_#Wd8iTb4-}D_hPzl=-%!9VW<)*YH|ZY#jJ9* z6r+5d@0xTZTE#3VX|9EFE*0pP`$cnkL|;gDZX}v>cqxeztE;XHt-^3yOjw;VMdMxb zJ041`(;pOsb%O5bxmkXp$f@{HWoX`k#8CNbruSW+{VA1WoeTBHm99l))ebS`$f4@# zKZdZ+hF#am0unaAMEn*}Vpx-k;xh{7?~7embx4Rlbxcb1+qp?oWWWQi>QX~77bjY_ zDBnA?en)!|XHVjXvJ9$&TSS|H{u(Uj<^|xTOhL|IAo^g(Ljpw#v{(p{zPMEBH_8Zq zhj$=Z%Eibdrnv`=IV{e55kJ&sZ$U|7W^^keA|T;$cMxgHav1S$-+RKNhUUF?P8iCE zTrUfTZ|u&#P3K{nNNX5CYQOslaV-+sadj7N)N;aH=vT$fa8(|(P8}1TyUXfmif=nh zXxTf+XWbSv7vZ2PlSgNijGU@04qoK_6V;P34nDM|xxfw&8dQ`9MZ^qd$`*XdW;g`_ z6!3QdJ5OfH$cLz^f>X+t`<bx4HEyv=8un|MLIG476Evhp347pUF2QiKYYehF-}~sv zOd`BK#m@;!l#!{r&R!yw-eExud%uEQGTVx?bJC_t6QC>0*%7|W1z5>%npF;7-w3~Z zLB=I+RyIfVJvXjNH24iSv>UZI-p*uVcy+h?>yrNPi(up|l?!a-4f!wPz>dPuJ{mW> zxB?=DMYcpkt<hPa8D5UCv!)zgHAkEc+1Ja2ex>F2t(jE)D?1vM44c~7n*I4@wjBw- ziaZneBW&B{TWe%ZIvt-yM5>Ui%7~1utioJN?*p#Gq-SB0@#&8hRfZ@c&XByq^bF|# z2qmna8}8b0^qS88#x;>gOVFzgxpaprHiJ4kn0&ceI=WW`!tPwVx+M^t3dsL581yLZ zx)P)@&5W3Ok93w=Ef3jT#2a8<qi%UMBXtNzWbHRd@})2zYqyfKkCgS?am;lZ36-@v zWb`0>Qdy5{3^~Y)`{#K{b}hP{S*8<ZLDlz9C+QinM8R@9{Gv|2ax?r5>a2NiTDX=% z)1Z2Q04%!^P@@vv>K2Y$Gy;wIwh_db$B_MEXMJlhZ_5C>1NGqZS@06|d41}#ESP0H zJj3enD`~s*ruvgd=dXl|JXg6{TnThs+}L<#@GNt|t^8Sf5<|Ro>752=4Yl4WCmUM^ zAFwWdnUY(z#C3{x=`ZJ`cI<r-hR)@JXlx`%^IOUkSmIW)tsL=u*DuY-(?^QoDbgwj zG`jq3)#)IKO5PN{=>N)7`4xsJ`{Sim#ZT$|r2c3e$8vm#xo?W9XQEjTj`Oveqp(N5 zSKA)M(N}3x`IWt_XErp*bC_FP2i(2EN#jEgubE!6wdxqL^`&+MUVWT5Qa`SnvM28> z!#K(zfEH6z)rrcT!)NX230-m?tf_>1yiVAivJjT&SFqJ5yr-+qlkW1){qBm^8*NG* z^X`fjn$a#<4q<RJ^-kc!WhIZ-4~~`uFD#JgtJUCJ38I{O>Rko+P?TvJHj_N{pUG<d z>X66vQCQxCZ^96?y|P5v`9x8HB+lK2k7%S0v_a|Z{fyBAHzK?G9RXGM9^n`TC)s5@ zN0~6$MaH<-b+=-*RN$CZL&Z?Lr((va-gF^P)JUatwM%{g32U-cXl<j^`Pz)7MjKxv z-<R9rxMyh$-Py1yc3nhzH4nF?eG*!=77eG&C6GWB($enqmc_N3@e_JrBQz4VA-i28 zko*$5=t82=!)|Gz=NJ6Ku$6v}W7C?Iar`Wlbyn>nUisn0nt>l&ebYSr!A7i~21kI@ ztHVeiOrm+}Z-Yg+;z<3udy<w4fl9S{lAqV^k)Qz)tvQKcmo`Op3N%eLD+*=Nn`Z?4 z!K-=a5NC9z=>rDp6QvT%p2RB{TJB(XpdO+uK+D`!PjBi|sr=f2oFXjpJ-NRS(#O(k z<_5O3iu!8$9k%Y1TKT2In1!w3;Qm#Ny6O4QdK8SNwMa2!XI#O;Rkkt?(~-4#3TBdL z3wuPIDdm%OlR~?C*419e5-+OR(?1f4r&@60Mo_XpZc9Iqih^%9$mH@W?UUNs1NQMy z!SPWKNy(RXCH4)MxGSUXC`L#*efQFdDHtSua(GE0_{tWSLNyxf5uKlJXIUQb`Nth; zrJ*v3TAi09A4sL`IFcNRIWV&nn-6+s!-10>$M=1Kk~O!%LcBenVL;~1vewclcFg?! zJLmK&i%eYX+_)>;`jeYrk9qt*N5Efr>As&R7DA;fAX!PBP@{}a6Zk>SefE8gtaVe^ zm5UNjak&cD@=Fpg<Ls|wl<PyY3f)`$363w3g8bIaytlcrpR=HjZag@K9y`o1hl59Z zi8wiiSQWz@$Zk=6F5KI?89=AO`Pw?Ps+s4-WEBmQR?FN3zv=CD<L3edxPXoBS5?3F z3?GR7&&SVwe*Y%cTIcV`$NL5}!w$TBUtcLHz$`*ikw@Z`WnUK^5FG<%;kD@!XzyFo zfmyUwhuOCEE0q$s{Zde7Fza^o2@Z-Krjb&GZy@UV#-8`X<J0MmQGn)Q?(AW*cauXF zt~CbTg2&I0pfl6(70--bAXdJr9o2$uWyp$hBOIn$_6|smz5cxajxOWr<M8|Xyd(An zhHy43e9l{39#CgX!{WldcT>yQ=Bp2TXLq!DKVtBYG~M~}V4N^(wvZ)sPp_0EyP6?W zfA`tCDdKoMNrI$!!x@mQdw_TfkF4*SVPXs+?z@N|^!00x#plw}K)gRMh2^rWy+^De z0}0{w#@_5>@k+V$M1s?Tm3=1tJz7=3bd&Iti|V;p>IML|*)MgTxX)3V45g{uBlEtz z_*P->4(9LZ+B>E<b@-P*`y+W0m&3`#x_>JD?5tiNCef4si0*+^Sy}$!%XyQe+K3en zQz)?#)ddBi2ZD?9EAV%xxoBVE;lYn&c;lcT-dfVt5lWe5N0w6N;!pXx8g3^Rn(?cS z_b%~l_DFs%+87xU)m@dT=JLVyu{L4`()EuU=WL$AS@NOBHEGURevj^2?5Q%#_{3t( zY$t)xztQs3o$-VUZQQ|rz1AmAC`dYeCBZ2hQmFT-BO0rJ_JA8C&raSSojNAzFqe>* zlIPP=$rlzPio*3tBU&?Lv}TS^?ivuG&3^96o#=pIO$~JVPm<4n8-#_^k~rtnN<EoC zAO5t)6r}J|AFv5R;str@DP4Yyd<q|4J2!QCCPt?2Ps%SP>~vJIT#EBNb(%*<cE0eF zRRo9f%(C)}U<368@gun<mDfArI3>+z`uZs6C3k}qqvKNo$6Y?*tjg|Y8j5DjVm>cB zeJ#y0yyt{-Hq4HXaiIIEkW8Jn@o`l{)=)NVnNItc|6=#Pn*jjR2~|=n<eN)i{5Exo z5rib)v;CKC5{^`RX}X(M8{8N`&TnVrQX5DPKLLbF#4BJ71zUD-k4s}l@>G38T`=G% z5yFf(1M54&g3x7bwpnQ_j5Z`XLJJJhjpC5irp2|67i4&41L9odsiggK;+zCN_MmXN zT_`3KSx?Vb<uvF#mXd%0)pW`8)C+T0kzACAf;3tEs%<5OhbSAm_Uw)CP;PRRuACs& zzEg#ia#7%xx>FT0EYd5E;a*c|c8+gkpP<~x*A0^yg>wS{dwWd>`~I&E^G#aQIn4JE zkz{g~i8yV=_3F(>*8>1j%-_D4j6TFSNLzkSMG-t6LgZn9jSFtED`@xA!uWD=>+ zqI^#5$^S}ofu<U4GdAn_EkS7ieGyCnx34)&r>O@}PM$0Q(B#jS_etiAZL�AvkgW zJ*F__`pb9z{2D{DT;<I~+#yaFv3?DUZ~Z*`J*s1=iw(DSGVH#2po8kU!G5tk%jLVr z9x2jMR$Z}ixQ{Dh@DDmDa0JA2RHVHwo->;#1gn-j;~w5(VGQFoMacDQ<6D84=f@Z` z=Ns%mPdl7O36#B%au}^Z=}HW>$?1=|k!^oQVM}qm%hv$7Iah5P5BqMHHsM_rOC2ES zUrjBjt5{}mSWUUxLT8Po?lIq$2pz=p{RM{gt6xb@)?%nh6o+|gq5z3iuzT`D#-*er zvf1vJMQSUV4RYq%!VnQF-!}M)M)4m;n~P_G^kRM%7<6kNH<cp94L+j$$k{?yw<b0q zoEN$b=}-<4BD2V;;a=NTIGK^XiedAQYZHd)6*h)N<+?%0otH_kywo4&zuMDX?9hG3 zy*Hycu>??aR@F*vvsks8Y*Vj|0UUIsLqn^X&udsz$XQ0+Kkg$#FAWBJQG6T_bJ{-# zicR|~RDS6t-3}{2B>B`iOQjQ=7O_6t$K)X1k1p*D&rBJsNfaJrh=ymWAb}Ix8+t2D zI|zHy5w@X+{-RH`LgvlZ9#+5tDt>G~!^QUfAfJR*w-}S+?37c1z+RE`5nIA0q<a|6 zb0C7@#H5lmbViybcEPS7Nuz}YWEgY}lt47^i`x9qT~4NDQJ61n%Y@GhqZ6FJ<^96@ z%+j4UJK*F0TY5@E<=4WdPyHcPl^5FFqzWHs*l$jo<r#<FFCS6s#HaiaRu{U?S?ntP z@#S)ZCHpgcJNM8;($sNEmO*Q~6IK0dfRcs{Iu7%J;NW9QD43w|Vd0*%DRwspPFp2C z3{^<n5_`5lt6U4Z1;Ww@J2xzCt1U8AOHZN`^z5#Xe8v_GP+Zg45oE!cEH)&UJx1H! zNgrT6F;ZpyNKdoi0EOdre8QAHB7uUzv{Ww6mhRZuc92piEQp)79XV5MNplo34|7}G zI0SVpJnlep*Q@cs)u%!&(t(BqR1a5xWosRPhjU^A&}ou=xm~kUBaPFQODjvH%KO6w z3sXqo(_Kz5&BzJB*Vn65Kx$(&ReUukUQ~Kz>ujx(Iht8v<FUjQ(Unv>oY9&|I37Q4 z&`rB}y{9b_;+Lx?lu~3s!*R0j3FKQ;frdtP;g+rHr_o-;F9oo5cThP4;U9}r@)wqj zRk$H70^uSwr>?&1l6n&*tO(-M7|e=qm8{Fw;F`MT+87Ji%)lh*ln-WLbbYUOdf{Vu zPJq$N{Q?OJWwbzvHzIoM%^n{!^;2ZyZLm<;HO@wIU{xfTmsJfBE|YI>2QhwxiL{!3 zf2Z-{{3`bQ3rv2eYEPmTkYrH#8B>>CbE^z})ERe$t^!A6nPYoIeyGX5ko4J#re~={ zYGjDERv@ZN3<#Cds8kk@IWd5$^g+~}2(=7P_C4G8iaLO?`3q;~3XL{S+INI6?hl2r zY-@e{ksH(s*Ec=-i44}E?i{T5fO7&IQ%4VoB1-FSF^zfeq_9~YU`DQnJbhZ&0w=U% zre7tNMIe8De`}GU^q>f-u1|)v5IIPTVfCg`7%=KAQ9?ZV2@`4c`{>0y(Rf>DHa#6< zEyJIT4ce;uF|xg=`ksl025VX9VotylSrT56*U!@*DkUGC*|N4i(GvX#BzGVZ%8xwy zT!12UkiKGDk6X)g1|$|LkF@b4b0w*)L8{ME)!F-9#bG978|h3w3%)p-@L(Gii`Ba{ z2~XjtUVMN_VN&cPd3SJy>9xt<gBBh%s5t8CFl$GoZ9^=>D2C0vdw;KYL}7$MXD_-m z&i7?D<x7C>q5Y4;VqOnUXzYNbB0~4OI0U_AU5p*VVyqzSHK4d4AC7Qw;qt)?xo5M| zO0MXYrTm}6=1dGn#XkYl3Sk#R6hUy`yw%ydFBB&@Y2tNFcl0siJ{}wY(m?XP3YH~W zq0m-Q*Yyz5j~Gr3GDT)$byA6@+C3LEHE0%AJ|vVMKNmPm<^^za$Cx-bYclyDXpNup z+k0?6M_(Y?bOO0lY^1&_X)2j1gn8@yIT@m8TKT=#w45bRBe2$I$iiAs<hMWT@%_?{ zakly!#RTDL;6@nheu`=?wkm81rBsBeB8+hTu0evSgYrj9(`xjR28}BJDvd|^C7GA; z`h4juA*#9s62hK8sGmd!K7Ta+;Ld@PQe?X(X$gYoDA@*n5U#H|Koz33_*tV#j+Lh8 z;zor%1Q*m!&d{;|Fpb2Tq~VEBLPRth{;V!*dneEgK;*0l{ALPim|(iEkYw7B%^=In zS*K!dN^BTm+PCm+QleI3a(^3RaUy5{cJhW#g04j-IM6UQZS;!a15ez;7SR`I-(kUL z!iz9|Ac-)rKA4g$X4yiWQ(~9y3u!nb?a|2=QKoZ!JohhrAu6(Fe)z)o;qO*;CM-|K zL1iZt#GUBQXlg6<lBGd_(i%k_ZxrwKLlv6SI_}0j4m#mdbLn><?l}iJlsN0HWXL#j zigHK~8*1sMip`TmvQyaj&+n*?#Pp)o&_R(!D*7itmW(icjUOWm(#6D?i;`MhbOfg; z9~y})$dK@Qp!Ehd(LHt&zVc3n&xS{soRAT&dW^Ojw0J5x|CDcgr{B}Z>}}#ILfW^6 z513`HuQ|N>mbbI(6%GyW4L$Wz*WY{`#k8h*W6+~niL=;O(Riu706?cMIel+Y9@JP< zR(ALTq?`mtDIMJI=GxF+)9Zm~)Hw`iPO9~1wO&ovcoUQMCJu_r5yDB0l9ac#?;@FD zIj2DLV-cz4I)du!*v#DAQ4I?w5AI@3eLNsA6-kxs`GMTy<ddi4Y$d1K*)h+|BsO!u zTu1+)$;0m_UY33lG;*S%A7yF|aSjm2ZI%ka9K1)%h<Xf&S2uot7d=xo25l7@Ax#bO z&;f*62ZD*?m9Ej+JWlEKNsRFG8;p22b_W3GcTmBOX*3+oIk~E=;rDhg^>z7i)hYW} zz@oix(R2%*>=Xs=&}W^2J-cM~?zxxA$;b@WQg}~EMq?q5ZM6K9??YT&5ASdzZG75+ zqc(*6CbvIRLdrALzuPp-NDxYwr8=a-W54EL={wEPt=`_|HJP%(DV1terBs2xEN*7( zNUHrIE*wC#LEuOw?l!o<j&kU#Z4}snsx1_mIZBi<?M@ps=OUlrs)>ci))YE9j*~A& z6MZJf`Q^ch*|n$dDDP(`&~{E$W~P8p2`n%rYlfwSllYkA73az!HMAux{1<cMaEVo$ z1WwV0Q_~#Ir6{X&wDHC!R|p?YxgP@bWKv}B?YIKLdw5$!0D7U&w(a!8pn8VW9CrZ& z`|t51xYk0xDO)NvsXlqt1OuO?1L!OY(i~-*QpHmIjmSp2i?qY=)p5yRCd5FWO6hFZ z`AkDLTD{4{t%T6(WlaBMA3OF}hW@Ph=dnc8_BAfl*r<28zE&>JH7!{OUwxoPm?@wI z<X!s=v??~mY{VtFA;<R{A{QvM(*RPz{j&}gr};R!^Fx?+`_#3A1|chZ_=?yx2zjjJ zDxcsF*t(*GDa3Qv&D_&OA#{K_Q(3)qgU-ezaU~|n1r-CBde5<3DJ)&BUEM>JvirYQ zUaI0c0z4ibf7rv5^i&k;+n0zY0RJEM?kcK|ZeJ8Ugg|hDy9bBhPJrMR90COQ;O<bk zySuvtclV&d-QC@_7uoylb8g@6``8aXz6Vw@s%lNE|D2;%Rn1@NtPfEiVIuf2O8AL% zE^i!l)(|5SQI~HCubxAR6Yh*$td&N9ekKoF1@6`!Efeo{*!1OBR<jJ=A($Bl7ExTE z>~Cn~7bh(80UeVk0(3O^!9n51taW(_ZiRx%V=wpCBtI2q<QKF&dQAcB03iN@L5-k& z^~QvQ)QBv-k-7=`=W{!2;iOK1opf}Zq^!bgC5j$y!EpylG<P8Fi++Y>u))KExqTlF z<x-77nent`sVza=n&!B~hZWFU1@1gUg={xyc3YE|0SAb9M)x&|sbCZncvKDm+a0M{ zZdi(J3XTeFN*>*`y<zf&AfW>G5pBvgu48KD7j#RFejYMowdlkAGNbw2WesR5UwAW4 zb78?e+IHX3p-i<f^>-gb<Iw)?Aa^f^Jsn!6QT5u?zU7Z3NnzMD1{_{tvCL-0dDZU; z;jiBf1B6o$mKLEB$Ww%|wwc-bOB=32^n`I|tWW4t6;Hv-@b}JZXsCgp8Iv!X=xo<@ zwR&ikF{uVfo-DD9-{nQUYaXj)Pm5&cs#p>Ru=+4t8K;H=t~%r0$zb~rp;GU8CM&<i z?qO)CaGLPwzg|1idiJxeF1q;vak_S0^5!F-04ZE#Uo72jP)nrd451a*c9WB4OHL2B z@eNdA{}RiL5{MvvU;h=!J|zfn-udQ2;veZwc1ky=Ex=OmUK+*FSgOM5M9Y~w<d_DQ zH4dRwT@a4{>=Q07p%N#gbRliVAD_d3Pf6^g>o>EoJc*FyOzm&Zkg;G~*KDLRIwQsp z#OmDGIYuOB$Iow9q%CTAqqUJ77<f-jn>p0;*p+cn?aj}YCl$|Mqo4;a9%UW+s5|XL zoI>1lPQCk5P6W{#<nq`sEnsDO#YZWLblvrpo<6{ki=q_|wdXsnEvZEGSEl3e?}r$L zOJ1xblXE#Vd%y5oMca+%OEeM%NU<qrfyqI<z+-yusV>Q_(I&Nf!B%O``!ix!m1Ouy z@yo%WPgwA{Q(-{?ChQ}~qq5()%5_fiOeC9yOX~9652lLO(6@I|4(wt=4UfN<6P%_! zPKN}zxW;#vT(L_LFK?QC3zLHtBF!aaP||uQTbA+LSqh*bP~H>$9y{#O-*cs_2H^cH zOps;FxT<D*P&xonN+tj$;lV^tJCES87qry&d<G{p>%*SXo$b~KXYJrgsrc$+FUpNf z1iNV{W>X#;J3H`P#HXy4^6#Z~p^sYSr^ceK&@qB-V|9Bi5|SYvQs6lz`xjZF#Ef$A zY-}g~sB=HNSv1EWVf&z5f7oDB0wqS_f_c3L$}J5j{i0h$$iKaiN`15^3(*q$6c^8m zl;*}HCt!p~pd+AtJ!RpII1VH~>k9BE4i2o}&pJwmpeJwdmiy~k75a~hX(8$^>zLdU zUKw~(b(@SH5$&NfVGT!Rty!I|FKfVIT^yE)_?&A;!#_OhGvK6Q*Q;M!0)~^q4%V)m zTC|1<NHqlH+<4d0Of4Dcg4&sf77v=bMOuLUF<bJ5znVldtLoz6Pnk0G`eQeDg>`90 z{KqNAk#QArhsAIjeu~cv5yqv|&%-;x)AR>*Rny5shFcFtIZ=B={YK?X?>D=<sYyVR z(9yQl)DQ8JuK*LrFrt49aCEitNq|a9tWY(cHP&^hGtL0HVgDB<i(@7AyWXksur}Rr z3(SIR1doRG9yZ1LZUZQ)Q6b9RCOmrxk&rr+vIOwV5}ae``JBeSK9-j1>C_rldyAZD zi7?n|(S3cZ=b7(m)XohaC04)ZM7Mjgp~Lt0_=?e<Rl^niNvns3fI`&Q@QMM$Jh7y3 z-fU{qB(9>|+emq0SgBKb%!rNf0eX<%jbnbrc9&U{fJ~)kF%WtePL$o7)6hYa^1ZCz zY>hmytTX{*p#{(y?-xr8`y7jig<W+i`LIT()FF048Y-1^{5--M?%XLMP)dS<e&s3$ zaZ6k3;2hb(yjVU0n3c&&RZ-2>%e98pEbN_W87tAcmt|o}UuYPlN-b7W@{dsdti(}E z64UMq`Cw<QtMZ90O8In0f$MVnWVw9arzNe6P)8oM?)DnJD@sCV!<ID<gHhrbt5H8! ze#AvWW0H=Uu-sb1YP(USYksk>t(CHjD{-XAfO<lLcClO?sFIODhAG`$Mpomr{ek11 zMw;$f+O9W!|M-}sE{XoTUYr8Yp|rYv`raWx<tIuxm(r+SGCFKmWuU@k3eQ$z0?@0; zEVmXyq-D}siC>x=cyKs4N?JeM<)_QKjs^EgSrTdKvNwMouFRyH@ub|z+e)gW;<~ld z(9hkx;VVZzV5*yrhj&{U(!|yyYqatSuX{d&0Iz#80}rqJ5B9oB?hLNK9$xwD`y4&v z>rd;6pJSa|tfanw;^E}*mzO~b&zhR_(WAV+TEmj~0$L><YDIgxJO?tRLnueUW-(RG z8Myi?A7xO(gR0zY^dU>H->)}3qpc^NNIRR1GjcuxF(Kjm8zBW4_%Rum!u{Vm@n?ND zz3qB5@Iv;xuhnJyUurAD$d|8+=n0B7VI&7|l|@bU;lFfesLmNevW%?U|Cyzj-aC8$ ztr6lf(rs3j7(*;&aQ%cbq!pG?ctm$b=GVj^MfM(z>u3;V-1&4d76b1uQK#a*0@F`- zq4bs(0KboW**Pxp;Up95NHb3UZBxt8?t}6=>})%H1Fy!qwpx38)nUDHZ#JV6wvD?p zo!3uyH%KKGipvlQE^7*7_a@w@AT=#Bl`$`)kQw@TdE++1I$lFN<HnQck*oS7@5eIy z@!?-T1aLU;=PCf2gT!}8XFvSl`o|Pe9ltAn17;`0|8Ss4Um^?v%U@8{+kzgg^hzoe z>Jw}0^jQxQufNX+QpI5{3*alHBbhl!J>kyW+DnbR3`G*{;b1VRl^wFRbCXxVa*q)1 z;^1m^9Zu1WvVJnOZ4{{-<rfUQZua+EP(HM2gyMf3$o9vRZBXy#%q(4HEtT3BXn;Zq z0w@k~m<HBu5R9Tx9T3daY<h8)`Ey(K5Ga?+gKEmUAdO9ng%K#n%p`wh?PIWJMq0R4 z_Jv#WdtJL%`YZPvlp@<9nDSSu)sK4WqQAh-&MkOmCxMEf@*x&~)l+_z^+upj2Z7R6 z%Lhk!z1%9S41toS0qW3Go`B!TKdZt6_%;RI=+D6*CHb*zrtEE}X$?JYBR}yfIqZNO zSs%MiT-ia=Zj%Oojsh$rnUo$8QiL@qX@joBUoIas+4tz{9X(Cz73`X=*75xuRC4jr z%_4OZ^-Bp4gK`SYM?Mx{Pumz{>|(1>217UA@Q~inMWg7C1eYNbtLd>5%4`9je{YMt zuWCVJfnA)vg!fvM8E4L%L%oJCRn@x+<>6BeG4h?;3tz)y{Zn!G#FeOn?r=3sIZV`> zo^Qm@Eb?b>>#>LS5A?8{6C>#!Hi@8Ha5{KzdZCdvc?J?Qm-B{NKQrZUe9EsYnAjoy z#PLedt3%ae*9`|iEvviN)awQGDPP9qt!L{Z%!M(+9^YZn&#hvb?$K3ju?nn=*XGZb zLODcGq}(DF)(MZ6<U2;%xN%C<&$*lJP|`T{D}ix0VGz6AvCip$8vn@CL}rd#g=+j) z1d8ADp|0o{H?8!P$r{(&R-Ds0YAQ8MZWUF~W^@Fn{t-8iTMHN9-gG)T@QW*4NYX>| zm#*s0MOt;Ip5_!oU+O@v?+ah>?F%Kd2GLwCtrf4Y)=va|C4Q)qK1-XmwuUw6mwG`h zj=uXTFW%!@L&4D{yEtDVpte4?R*WnBTdKLFEW8g!d+Qv%RAF6ew!VGDik#l!G?{OZ zZqREIEi=~HR&)yr@cSi6#WVF?2VG*EPWL<Thj+aSm3E?21KJV!xc=OfJ5a5U4vj<W zzT1Af7k|nFx4pp!4TZgPUQugp>z%QPb#8q954$2b=CqK2UIJ?wX6hzkGNUp0`AUxm zt5Cy>xdATCa$}6Rq$op<?&{ACn}NI!B{|s3p_G;;7{S}@z|-Ec5a)jAlUa9xBSzZA z4q`yR$W=G_33r)uSq2YYMS9jA*BCBdmy0VE{W82O&kc2rVnl)Rc$kT^o3p<F9gF+N z4}n67F#Rd@CJzc852mqveun5bLZ2y6IG+*{mH06Q+`)f)8RLJK{>&|@P@ldNU9%|0 zUgzxHW?(ih1MnD`C;Pz#<Ys+UqN*@)i>Q)tFP9rmwr)BbG%!Ey5N5w#U)k5%XQ6by zi+*)Ff{M-l(PS?voEEX%#dwQR7#QGEf)-CA3$9e%0!G8qCrFd}P?VAPk#$fZpt1%9 z=~->t)G9|t0Yjwvq%chWk2Pgc;ujV#epZ|!(IO1|d;rh<%OO-B)^!h-p_&Y@-47Y{ zIkJ=Ko-Y+~PCg>Fzx0`CP7Y$<C4H+EGGbk;u24IUQo6ucIA)oigGb1=<iy;u&EQ3_ z9Q;ExsZ!P_*KVqH#m|~MRME3+tPtuqU$F14<gp-CDf8>5j78E|Bt`N4h1bDX4kgR` z+G0`&;cEb6<La7wX)20P6R)8?Gbz~@KB}gvyJ=~#TH*I+bu9dq&(cR}9u0ll<Q-Lc z9xq-QHH&BNh4~&4AxI6$mGRywrwLP~8~j#H)2EYH>lb>U7xMyuUDn#hq*0#EvrnwC z$`*r5A~r-di7(T+6z^0k+gzChucXC&)N(P+%Q_I8p}eQO1E0Li%+ZX#Q&jr(vmuK$ zqD|ZQCr8-v<3k4;%Db9obTqf%WeI718~YNQcQy*k#X8boc#A9`kJBCMNgy-q-afSJ zNowyzN<=tqn=360M(26UEd1a%#UqqCeD8xCLCK&s$4TKQiIiO29ptzy!^U<oIsWtm zY-mzHl$;8#O4LtW!EGszg%|vomWwo~Db87QXhN4J9OWGxsL4B3swrdD?tOnZdNqi? z7BErb&)gav`<1eF;)vixm3BWaU+edS`o0oAWQN0^1vM9?W}`-?(&KAdBmdgbbUy{0 zjI%`H0P`we@5(PBXl@g4AIW+cKl=c7!-31Mj1xb{*VJ4wB%{%f8`Q;>|D8;<lH+hT zGb6UEGN*9Cj_OM~nFR-isZue98JqQUQyVVEGrP$}ao>Vm*66ZXRBOu0OK_gqX=U=U zUauV;Ro!`9u0Ul8_Kn$amFn=|w+WNY5OF`{3=DHA1v-XlOufQBiCchI+w~VGf+D-j zKDsk3eqZ2^v0}sTLrrvslQc_O*9<?N*jkH9Nq!M)r|_Qyc`|yuQ&mQ8ZadwEEJ@I1 z98T~r+zRLI&ZosT*+4Y8RI6zqz14R4&YbM`Gg*1h!QIbPtKd|}MNK)l=1x+wOQ^d& zGpMH9L%>{Es#`sFD?J>rby0<=ciqdUobFy&>)nOcV+BWgh_|cmLv-gSMD7@;KS=h* z$GsMqZ)~afu}1%tef5wgGU+~%ZWpwlVD@mw5HP#>evUq@W*(z9)xloSnD?vW<j;^C z7maR<a0z(|hcu-~H9yH5tr3r*m%~%}YAnkRtGW4Ej8Y+IABJ|oz@5WqAK~jEW)5*% zzDhf|RbBkac0EZ(20kH$QNe%?kT@2XfT_2<6JS&T!Q$^&_vOo8W}ix7{^~s{^J2KG z86F}9!2#Ev5_y<bzGW}##79kaRLa4m_p;VmR!VfXdg`eJ8P}_y0s{Ju$MTq${#X}b zD=R9k$RsV`%4ACc??QwKXXqbBm!B@ptXW^(LUh#ZaQN(fEiGxRGL@`P2~Mm3%zj5w zI)^WsRy!|zX`9wNW3BFwExSEI{x%y1UoBRqfj?75@A@%cuiIFxtQTFGHYjViJGPF= z>2cxnzHi&ixC65Ay%OAVuMW+wywdvj8WQOF$>!D5{9BtOz}ZhvwM1aV&<ba$k(x!H zcEZ%<URIFN^owz<?&x)9T<|y%24-(a{u1qCZugE%&bof&COM~kc#3X4z$vXaP~x7U z1)j}oH{4nM>OxdG$r%n^ke$vU+T;UqQz=cqx`$@vn7U=O!i~3mT$p~jqElUAL2RHs z|7}R`sc?iFnAuaE`y{)+fgVaeaAwDWz2SZJI-LUlM>=G@%xP1{BY{tin&t1jB`f8p zvp;<8PUlXp!1KC;L)_A^CEq8<+q9!edjggq``=--&wiQfgnpha2Jx#hZLjHSMlAC( zef`gJOaxNo-IL!B66t?%x-#D-`N!0QW-RHPbD{y7{@M(17i0LWP&tm%Si|$12KwF^ zQ<RgmjWylkmQNz~8Yn;D@6{qnd9%V^{hZxdM-Z%M_{2qgVpi@sX|oh-DNi21(1-8f zr{g!!a`JrnGy2B^C$!XBwQ>Vox({&zUYfKEc9h|(4@i%^zfbLASBLsFHT-cE-dyrJ zXw@DFC4aaJ3}kBN;5+(8jShWscSR-Z{$op@feZAYxzaS!$<dWqL_^+f1z&KLhZSLg zs9O*D=z3?boC62mEn@hG`GI*I1JcAF?5y7}_SQqG?C3v+$%;m-iF~UkP~lM94>Q7y zxeO6sN2CKRJBSe<lB1N#a`Uic%pv!(NI=(rH}38>T4agF@M#b!Y*N71gUi5W1*Z8a zH<u0~-}%AH=9LJ>pMxn0Kun9tR-aN&Dq4Tnb<Y&B7Sw)Bm3eyLFWX3`&v<A0t~MC1 zm1klW%H;TL$1dXT+6PBY$mJK>rtjg0+8g?oOek-E%&AQNyB^khNjKKQxUDf4{xV$w z;I~H5LT3Jspjxg7DlJ#x&-+lB7St&gZ!R|ZZiK6>W_@?qDTrGB>k%=!{0C{-Zb1Y6 z84^}Y{jpkpIuZtc{WYxkb6Mom(5cu}1j0G;-22)!NS06OEuK)2Dqv+&?g;#cSh(_} zIW;fJ@-mhYeaMY1p8f+0BK(IxW`9|rbB#U7yc>J?5jO7TIb#$)R&f%8IhF|qmx(SZ z{Zmc(8~1mJnzUo9p9i{N_ICbGsADx8LzqOIq%6npWBNMDfb(<Xv>R$39P*AjMov)9 zDWZ!^ndW|?AS1SdmnL(b2j@ekd4lkzkg+kWCjaKnm^K&z|E0At&ASB$4wluc!SIo+ zpXyR&(BEjVakI?*1mP4{-?NmVq#tl|vbbA_Z;rj&7=fk}X^9b>>;<hlO=WM<PGM9N zL(-Jp+!+VPKJsMlY>p4lOP3zsSx2UYkiBm{Fwv(wCM6gC5!_NjCwy~N9Byjfq$wYa zRIWYu^}ymYOzDYI`n9J^ISwI`#~{sVPOmtZ!_&`_)yYB1Ji-1;)t&~Y!q8WNmQpu< zH&oMz!*6pTaozcM{!6L90s&S|#LxI&r&F3AzqK9!=<%nSE5C|E0)mf_=~4=#!@~$i zxF%DoRuH>WCj3a!{oY+<OQ<;u1NQ=6BJ~+vwzYom<TO68(=a*UH_cjKY8ZY0`5m22 ztZCZbVLFKz(~6@x+)A@Hcfua$0K3}Xt>l!YW)mC#BF&uwD4eP;KFvG{b^kD-`VihS zpm384RGs1-+kZdejwPr<;BNjciuH(?TYZE7k#wh_y0#5s-fa_cA+6vvkjKv4J;3nt z{sFN|v#$+eztsH$C&!OfFSEWjpREj1!<gImqE~5zqCPohPHLZHuE{Q%aer_Sv0x!} zMX~qj)koFA6qB6fL$jO1m&SI7y7}F8E>3{80WA<XiBcj46A-G><bqlVpI8Ea(7=;5 zUC*+UD3`K8ENMF_(<<FmZho{}(Lt^B@M5cxS1&qw$qdUhxuWhB#m({(|L)#geZwk> z2B*buI_EMbNEZABFN|XTD@J)>ErRl6sseJQ`7~2tme?zTSYYAxrZL*1hrbD3cHO$) z1kkl?L((p|`!T)%pJjbnO|4<ca|$=&Lk>*ciZ*Jru3ZZe0r8{K7nxO8J5R~jks!vA z`?IFWC5BSl%}~|5{_&swbPyzvNF|keOL)2-136F*_lso~=Fdn;Ee!+MN)joBiq0MH zUv!dN=7zTFohws*t^s+*81{;V78oP<2LM}$>fP(1VWq3UIBfu<@+-<scbG#!C)F`& z@E$^<?QGxn@0Ccda*nB;<aI8-@QN&RmKrC<MHqG$6a-G4RGiLU4zD)ZR$Z=o5pcQn z&)BJ#=OxID$D~tEC}PeMS%>rYLImWfb)rVVcUyT>1D?ob$FFq+j2#4iB<Q=6>%bCx zrHBYORnJ4>57dg^;ho*o>c%8U3NSezF2?&{ztnFpWq(DwMt*J)IUK_d1(#pmEJxUl zeHRu+pp?Dv;X?`}mILT+saks?RCKdm^~?OezMWwK&t1#lY?l_B+bi+0d;lB8Za+kS zzD59-n4x_EW7zST7Od0elcV=uGXR?F2zBCh>jmGjERA;AM|1Hom+o(?^8Q28-iLJ| z6`^4s;cMfn--mjf_}KmphTI&?+ckj=0NDzOSh#MoA?Uext+Mat$onL67{pcH@tPcU z&fIDrrerj>5;RfrB!wzgqCO2XshqO?aH-Jr_g&G0ybycVcLF^<KdKq#LO}sMbV3Gx z(~cm~R`68$iV9Dx{4S3*G-o&XWn(zKCc6J8ISihC52MhBZlR351FZ9gs1RlD0AemI zoCl$Ln)Rm!Rl26uF2fiRds?TZVvJ?RD#fy1o>~V@i=v2v&1URy($0Gn5BkM1Sj;np znAKCm$m8|B-`$lBxhoT34ICnXWpibk>wWk23LXs^eKW4cOI_iZwt}4^*q-+F1`#-y zj|Gffv)DO{G6^Dx*m-Hh$z$lnk}&*|Motn_CPJm=fFEe&_U1j*Y;k_<bP_6u%rnli zCQ>{L)chb}Zf0%$ULwf8Rf-aF9(QweQx+DdB2%%k*!hj}5gwVQ1pEd-Pa|g6PDxQt zZwpeXaS!{*FMl)l3goA%ludxiBTB)}%LGmCjvI=d^_Is?sVNts8kVrhLc?e3@RhHT z@}i2*HoxWprB-%@ObN-ZH+1)(uPD5N{EH=jlTrOXEA$gp_cDDliE-glP+7*+|NSSG z&{zeI0t~u_@26*$@8nrg(c?<|8*ur%z<kpO?2bVIi7*Hv3Jyx3E)Ua0dfUbBl^NuQ z{DmDV|HYT|{ty0U8-3~UYtfs3v%l{@BKeal$7pciOMgLJX(|X^0U<wco(M<<`Ri8T z(8cTS<`v%1VrL{IFkx(nmI-x0PN-uOE^8zdn1T}n<^Ecdw0=Vk^KYmH$RYXHqER+D zDk1xD2b9de;0MLOp3fWm0KyzV3lot2QwcJOShW5EC;w7grqvH!igtFKsa6rO|4&*= zenNtpL4Z^UNK#1<H3JpGwCwRt?Qh~)XoMgJr22oTE&l}_nU=LEnqIcVT9UmJ0ud%< z6mx45@89GH5CAci40=QL8&ttIlKv=$5N)0n>%f~jIgmzS9~>~4gc=~%93~DCuQE6y z$-1W)^k76%!}jM5D%r`a192BX3mW|Bf9g{UsW}+(ABChA1Wg1}PVa#1hlS9*V%(1u zuchm5RDmE=i6|K^kI1FFGPb;4pejfn`CHgtC+|iO0X1^3O}#Zgh%Eq3&Q7N{!1Mh4 z=K(SG#Mfo9GBzJ@qZRINDq@WY`D=L;AFt;DYBXu|f3x^+j(}}c(mARNgz$Lkexux8 z%OmkE|L|sz9O%<hZm++AJ8xdmY^`$<^r@=MTeSR(=>h%9)1=NWn)Q`O)T9;w*-#*i z<tOrZpWeTvo(Xh06HqH+{#99AK`IN##Q;*?Oq<VduuEXRCaMId6UZkz{Q4j32m}Lx ztfPhXH~-=d_WAs8>BmrjO3V<nG6lq{%+KJhD!(CDzwaDo1|^TrKr+aGQzHMH;lxdI zF5gyT+7GH=*E*1h%R%$!z}J}Vzxb8^)^&dO{_PtJ=b&dS4OABf=rrGoS@squwcY<x zk^aWvU(ckFon3<QT%H?*N}<OtfZE3V%_!;p7Zt&R3DSO0=|P$bXv6>&XkaG{bOaDJ zO=f{1$ueIMPgBeKmcPRrcl1AzA=v935m4)y%>LcYt!3)3FJo5uH&j8Xhqj<KCN)OT z>k?@WNxY99!IK1)q1kl%-zg2<{f$siM|>lL+EhS2iv-i?Z;Fm&nuGP*^#DlLnLgyK z$SKr0tzf#rj<a<GA19X(4stlzoRm;r8TC|qtHc|$LjAb(a*^;VHc>CC!6N-3dqkzU z=mg&OznGtqwaw=FBkGC_gy?Uv6NAlU+~4hSG?iN;kgC`TB=2|f#p?Xzr@io~El#!3 zkmBOQ7W+kUtbj9le0KV2<1XuijG(bfP)NP1B1wQqpV&S7Oi(Q$eX6r!M<mVR{u5h2 z*792Z8(8W83#@>6pLIS^)PR^Mlvc#ImgIWt(kYdSp`B@!v2A?qfq5;haZ#XA2Bd@h zm!tLO3jyQao24HmK^cbb{vz9~{*NzI{Pzg|9ViP~2_+hxijK2EdeXG@r94gczsR1y zu?RX~D|UUmr0<Abx+&4$)U~J-bC>Wxn1az}nwozVrzQ~Hl&4ZW^uIt_pd6*n)JTEN z%j`Fb$D+?){-_0QIvp|(bd*ircp3Y@!SJ6!1{8YGZt6&`(*I*uo))w;2epj<RRsD$ zTWhU3hzqLI)Xm#i3OeGWcOVhzS3rUqLf*|AS_4u}{ymBSpngRa|8FeoZNv=$sUxDa zwf{H52gDNn@BQKj4Sj9@e^=@{Uv9Ae1`iY$AP<F$IO%^{Yd4@uNtph*earm6EiDk= z1pFJqQ9t4wF#H>sfBN5g#FxLM*BfW{*1#+hwQ<Xu2fVy*a??%m<6RTZqekhM+sfU@ zVGPNyH+^!{vX;1cEJK`*3|#-O!q5K`@B{pQJ6`|a2tWS@e%>MzMBjY`xqBZ+5*(Vq zKmMcr{G<K+qy7A!v>(!cw4Z;ppMSKUf3%-}w4Z;ppCY$^w4Z;ppMSKU|9@&fD!SLb zuMKUjEzT{;WWb$`dyK}P_Sd@r%-2m5&}0n<&_!nMjo$zsNa9Fchc5UUZOi=gVWW4u ze}7{Ulh6>!=~&+Z;Cp7kU1`f`r<KWjwZC~}=ddNfS8GWg2F=!(eE#r09k}bxIKO(i zyzbua-!cGR2(tRNuQY>u&B0^L+`t)dB5olwjbHQJU?)(y4qjYbY@f9z0}k)aZC;(6 zVf<G3R-V@X3^ezeJ<tR(x3BQwhJ7Bj-t@fQy>qeQa8D$EzSli>x@BmFbx%0*g=W8K z&d9%QCwII|N!eO|m^6F2Tf46@_Rd*3bFxZYk0b}qssxpMvoB!W&r{p(JY3v;7Qt8k zV8Y;M@%YB+ws}l2+m}B)+@D(?&7PYqmy`aK`n7Y`@0kB`Ho3n!;&b0A>!Z0OvD-%C z=&N%=n2!{3Tdev5qtyvuR0s6;ny=RYeboRC`{$O9Ft~C<q|L(=Qz@Y*jvq@gm#v>N zFjD|~(dvEl2ic1sFGG*LPYN~@8Ea-;y+_^G&)sHG(B8^;n+guN)=55Aa9o|T>f>G& z={zdH_TqjVhk@n%df`_6>Y4|<bMX&$znSdME}hMfrLz0<1CsYifTY+4`vCAHI&l<T z+VU{u_$qb%YVP4qwld61|BKKt=rgyM$N=EzpS)pzxo$a`BD5f0H*I-|Yqy+|Bv)6O z{pdJ_j$*Kye;Qj(apkT`7I@D$F!WRaNWPR8?o|Co;`?|6nrCN8xYGUvVV{;E)Vs$U z|9ZbI13Vy|w-3CweS5jxKpJrTc$@Y#!`?+eFDt#^(`4n#D%AX|eJ8h%)!=F<*&TQh zyK%cOcY!F$j@f!}zd-4I+2D71?wuffnNpDuLph-CUA-oJZFr)3C3eh)y4SfcHn#y+ zp4bR7urbjc*=b^q`O3`ygr0G3@Avz9*rJvtRKwW|Wqoin#;U$+tPunyG!fkseU;<I zHJMxgVNEZ^D<`qJ#Xb-M1X}K!0D$W)zo^6KcG8oGPBP|C$^dK9s>W!!;X8HMJS(=& z?M+=qQofc}K!ry$IO^{4RAaj!1nIVY?H9>4++D{p&#TRawM)j*pYciyKxWUNG%b>l zgii)EgJ@i^`f9Vl5p3$-mHPY+CV6R+kAPQmwS*eRy~pS!--CY!Lc%R^8JM`{99Z7m zun5=Q!rAP_{0YepEzY6h0k_(a0VIQaW6VxE?s+3AMrj$r%)?i3wPqK5uFe#0Cw0{H zkTgcW@2t{=UjB8;mreINB{n6q&dq*#uR-GVbzz&gG0h$9;)PA}rS{45eRQ@#!fObU zlzynZvUDu+D_PqE(}3TrD-dmRm(GsOIoG{`sUPtwBDgqFkS9t9^f640x<_o0dOIgQ zA@CIxj;}@o^y>UihY^4;WtL!8@mYEMI17XL<02t0pdmrR{<^6(i1frL2UhkZb`J!9 zthJItMIXWxUUP9+>4${FrqiCI2aq2yzX>4M;w*f=&Yq2xtu2%Q?y;4?!Uc}Q>|nse z587OI3(ljO)QYDvc-2E=293W)*q%#A>GnO+2uUcSEfkhznUI2o5ugFY?t`j#<zwQZ zy+9N>Q=I&jxl8FS6YpRqj?%RMyC(#tzCd`fl*B#Hl@fH)-|zN*#W(MAMxC7W9wOEk zWK69Iv=#ed-O%&_j#C7JCnVwTmSX)FKWmIw<hSP_k&uphI%0)Z-9H{(+zzG8yF<Mn z>VcylIE)=nE%y4cxI*gRDB8JytmGf7e;z5v)!=kLl3{N=v`B|^@S*|x+~-TSZ0D~L zoLh9Xo9n%-@9a{_o^(z{CHmq>5dRw~=(kV=PFvpC#^kjd;7pIdUVTq%YQRq>I?#+; zf!Z79;4FTeRKN8G0(~zjJ)io4(_j)eo$f5jb-F>r;<z$NaiHmM3(XgdZt<H+c+4Le z3x+}$heW)J&>9H|KQ}Db_S}i)4x*;WqWRHu@H$uV`!9(R$?=bBNc01H=~dp@c=A3k zGV@MOJnyFgb+KU_$$%Kh1RcGL=$H0v?y^xI%mf%P_cYk&l3}i?@v{_?!=BUJIlh>A z1V8P#2bROV$tN}Um#Ev+gW{a8L%%c&Rz7-0pgwDVfdAbU;;AJ?_yp|YZs&ez{x0^7 z?FdQ!xBnNfNNbvK{^g4RLTJX5&wRUM?>hP>4>Z-X0e`;-PHK}Jh;=CO?lUn@d6?IQ zL#U?RRrd4)6c*V(aK?YGW)E{*i`J0%Xw3tTAnZ$#?5xRsO=lUiZklvxBVcMtk{eUW zr!>ngyQ&&Yy7El~f<~-okh{%We14YqtBTUdo8uo}Kg>{tl+65$g3uoR7|Np2CMD|y zG=88j13sYeZjS7ENe*T<dS=3x(G1<y<p=T#+vyOCJO;`&<fA1s!6*xvjwPd9KyhmI zdFiN1TNYBp@Mw!WP|&}E$>VlvME}wH)cd~A<ASfeP3HAX!|O~yf)da9e4`g>m0UDY zDvD}s`)cPR>dx(be#Gr;L-IrS$P+#XYt+Q2Rp5na1ygnOLXIRKLw4lW`Gr*NjO@!Z zROM;ATga>FD6%%z!%d3yD~YSUo5NTPb8Lgb?vWi6SI%RGW}DfkS48UId@!2}lPGu# z$k!YCjR+SY`uD8by=iNIz<mY-O;aLr(4BxQW8SHKdscZGy&=#fs$@j};+Kl00WZ?f z544zSQs~?4>Hprp0JKI4g;NpOP%&hSX;Arcnz}xIpS{gBB?kn#r>eRjEpWLkg6zj= zVp}LB27|A6GfoH?*GV~0lVzX4M$gzR&kh&2P{}(_j53qTPvp3Rk*Fuun7(B>`mIuO zj^<`~)~2nvrN4M&1TN^|*gizEco<7a1CAoh=L3(uN2d{Q3Peo8ozcw0#M4EXFB@!{ z*3H?GG0WW_%idkUY5pnRu)H1l<;a+!RmpA<h{M%|_eV~6IUcw&2)?D?ip;)NYR{~r z2O^*N_tEayUS0@gB_ZCUfkA;mgB__wOV(LAvtUDmfi0tgfgyr{fmzwIYyGS@8w00> zMtIv^^Y}}H=LZDXY<=DwI3t{N$~z`gclLtz`hZLEdxA~Br&cJDWNf+SeBR$=B*k7y zh7yW`W3@s;tQ8|tK_-D!@d1TO5U#_qh5i*LL4hXPo=M2H%P<f3HR4#asw4@-Tj0__ znj&sjFw5qqGksv^7OpXT?uC(4YouebiMCjhY>nw_AzB%>ik$yTTe1$xEDzW#-1*Ou zj!>q&0~&sLdSN99?!nk%+iv-C&HNd7S}KL&QG{=;9>q9w^7)Faq0-w4CFL2#P&2Vq zN(ea$Lqee9fc9TBWNbIcF7^^OkN}jrKXrlr&-xa}9QgpTsK_dqLQO9WsHd1rcH}o1 z?}T0dp&!f8I!=LnFiME4`l&60Eqleb3scL<C9fHp4OOS;5iI|$?0#FC7Aymlt-oSg z%6vPRB5Oq+e9;5!@C#-P7*t&>v67b8{HyNU>I;S1%ZuQ_v0cpj$qWE(Yn6o|>jL%C z0_)4trgc20$ulN#zSs8EeWra9J05yZ9HVAvs$q(|@`nXB1b7Nm?}rePF>F7{diY^- z!GM98B>}nn@tF1W4D<vG>_q8&MR&-=tGq%JZQi8Z>m>(n`d_J<BFS%?4AJacF)8*H z8d<6r(CE3u{dRe|fckVGLKAhWMHoZX<D^(YG;VWw6Mw3KYe|%hDYi%8-dq*O^kH1z zVLw9=c4-gx+j?c!+@)B0D+<xURezua+qTq-cz*svir4A&V`kJWst$flpc3%g2#HhW zNAKtAlj;7UZ-=)BGdH59KWf5fN)MEUy8F+KS&A9*Qp$WHfDIEKc{1orTZuAi#N||q zk}iSb&M;C*mWRS2F<v(NA^X^YLoe5NGGm@YxCOS%R@@F+?x*lv;djPJeGac928WwJ zRL92=OZIfKc?UO9cf-aep?Yu55X7VOAD;Yfm}Vgb)(Xde%f#o)jWbAno2HI2x`ldn zz4sr08yiwx1WdofRKk^CukX(E=5g3V%~Fcp<@4b=RQ|N?ufJclWY=xzpN}rPo1W{3 zElT+n5(5_Zv2uxWyl7^zO}Ip)(fcCKy=HKs;Zmc<2phUtG<&uWeLVpYM=1N{I#W^+ zHyat=UgAhZK~7bcEI2!WR;mc~pd*mlb3=y5+~Z&?6rlcce?BT}h~8vvMHlXFx6(t< zh-t~|aW|w*TyIjCMDZGwzH^RlzT~v0%g?Q-T!OB+H?453bl-L&{HI!)TXoJocCj0F zeD)na!MNk)w_15*Q(aeA(J|CXi3O6APH;m$UH~A{k5X1MzW*uYCpHd-5GR&XK-W@3 zD7^ykzq`#(nBN5_mWAxHzBG>SvLx+gcWK3lTpMGFT&r|*dlDXXKTTOwf+vDgj8Vz< zOF$ZHwAOQ+n$#4IYeGjz!TAAodtbj|xIJrr&iD%VCF!EdgMu<Khde=I51fE9XPRg~ zC1bF5?<9iHX>vacnL?ijYip3ejSMEt!7vS1N3b}Di0T0=A#x8Kopa7DJUed>6<%jt ztmipS@M4qz@zFv)rkVj+%}6VFqGJ$d<SzcFvm=R#h6q{Fbc*N#?TU||)(6&vl|68B zR?H*1hRVsTQ5(#TkKbh#!hAyN$Cn9v>AXT_CO;=j&uevF)HI<Ccy4!=8VkV&lCgJv zd`3;++y`gpU?(YauVHVt1HRHUefCz)p)wtS6Ju=r?Q1S8+eq^(b!`-!pX?svv%x!F zNStPlHX$R=Uq=pmMG1xb;2hvK3G4gd%-~)L=m+3dSR=<DJ`(PYv0Lfufzif<A)@fs ze(uMd(o9G@0LKDXPpCTpr}>BkCg_kieQuYVd!@g6@1LJ=egKXEFE-A(pA0$yf&ZFj zmw<W*&H_nzAATf~Aaw}(N^4rM<x_H;!uEK`qVYipTSCAgI5l`&Le(KSIr8zdhb7>` z6(}WF<4H+HC4rx?e+W*%=%>A;b@?Rln_eV26mA9Us56eqiY6=KNqkBePtj!{H>@FV z&2Fn7g{{zM^Ed8evPc5Q5jZ218@h|x!AXMU5jZvMOe#8`x+WX-JB@^#BXB9k-qAhP zwEJsY?Han7@w+>Y6JxyE1dNPGtrUbwmhb*SaPzZgJC#QkT1ysIdUk$oDG5Z!;Ar^5 zhhL|HA2({oO@J3Wmzg_!{QP+e;{u3WHW|C91i52yCUAuW-(zqhJdGI6^&iP9DmygZ zxWONJS;Q!1T6Q%fsLUCcRT4Um!SP_wQ>>lDkB4{G61I=QNkL5ta{`VFj#^K40<NG1 zJib=n=8gQ+7jItO{jO-&FSK}jE#Sp=XwEHwB42MY6pyohvy|jSFXt_=fdk&Ht9p5O z8dFe5YbS37JLWyfcw9MF<j3P|<80$Ij+qoSc9wQHz*_G;e)?#ds*btuQ6*10884s| zC{1$q?Kz`5{EIQ>swfNT=R)sFUHHQ@fZLnkmR87yrWQ``XBm{VPtqbBa(Bcqfg*YY z<&rcR@7?=8#%b(XHHy`y6=Gq7?41v>OSgR`e!du&Cs1kQzizV~yf194;tQP~EsQ=r z*;LmY&87VO!D4rWTC<O3l>>fwqY$ZJle9^GmKH18Oe}nLqNms$Dd%vZksgLs3y5f( zPx#BCeJ5kfdyR;GpU{)H<1hZ?X-oFnJJA?v`5UE6%s7PAV#%&Nzyf>T;1dd*-sbz2 z!jJ5n7YeEs1PxKcx%#RWsntZH`1X_unAk6t=c|7RNN7IMbzz`bXA^+VtfZX7w;;DA zdXl<k#S+B0u|=Yi#dyQ{(x-~W3jj1~L!tpgZ*bDz<J%7cal@F&KBdDkRyTeX(<LKF zpHI#1yh{hnSsqb_@ds6_Y?}meA(x1qQV$Tfb31Vw1#LTEq?q!Y+9KmKa^&-6rt#$+ z_o9}FtJ=ncQRp5b0pIWz&mC<<cI`3tw#U3G+{f}c?&vC&_sm~a(kJnbj)0j>#Gc$_ zRDir8-=blwG*PWNmycP2Np28*+g#4|f-(S3`rXF|WE-}q+OJ=tVtmWbT<20hacZ8G z7aHJLa_CHaW;EF)qy0W;m&G0o0cYLLl9=&(AT=|NNh9b8oCjLT`^sP8kB6TSlM9;x zT(TKU%|J_j>;$5`Nb;Su^#nkz3we$(j2{NB55IUPDRggqbL+P0F4BkXM!JC}VQ%3u zBJ2sfScdW)Y8B3k%&-y8Kawas?U{H$C?3mJvLa$vcVw;Ex)xJ^WKNo_E`QkOy#*yQ zdf2_xX$KGevtu8`_`}&F7;B~6_fv=F$xm&qnadG5LSD0omb$yIVdp?i5FC{Q8kvn( z@20n!?r!d_NYA94Gp5>;VI>{Q9+og;X^}GimAd}=ZO&-Gj+yNHV0G6?2xrg25ASho zl5&<*xX4*}zynB3^1>~upNUVN=eIOPIA`2?@Z;V`d{bq(MhM~lL~_J-HTqI4Y7V!_ z9LNZH{^b{=20gD{2ku9JrMsn#T-MKk0NwD6oH#OU_y{u;X*BEzYSi%6#M}cgC1@O3 z$$;5RtxKNVSf$lICp9U<0HmFMMfK9>ema}%F7@x(SancfG&wKneHFt1a8Cb(mcC09 zQC_U*rGwYiqQh3W|1BI}FL=|;s1s8t%;Sg&vq?H!n!A82vHTP8I}*Kve7`jwC#U=^ z*-B>uNSsc6At8u@!`-%zMu4aB^3Nf{Z3qeUYb0}p`f_3aP)+qkiqOQHHum*vhI+v4 z^Sb#He&JVeVHc=99Pgo`ua0xs@CJ!e3>(lhLOUEx^HgM%7GB<SLmyudS?$x|DOG(> z7IN<3lH?Lpj1Rs87@jmqYpJ^37%Pyd$ZZ%nomCrk><%x{+4z@<^r48h)j8ssS@`14 zop*V6L}B6IP#IzJdfU>^Wk+(2o4)lNo`1$w4#h4+_c+w9fij^b(UelX_D&?lTFH*2 zs|(R#OcCe_q%Wk7KS@o?oiBxXe4ei`R6OX0U}mmvRbCkf`r_D+z1sW?3<guxmFfC2 z%prt5tGUE1^}Mj&>FsE1MY+O>k5P=|cbv9``(JL27)3JkBl9KD$5+~nR{Z&uS&^Q0 z>j&rCkfkjKfm*q$x$!N07TfOg#O$u3ib4pSDPq)t1VR(h0OAW#4H2@staW~ha*w76 znzU#L={*)E5GxG6%>nJC$vsQ~17??5^A1c$yrao%!2ukL`MA}g^}Lg?AvmU-fi0eT z)OfM|GQ}{3Qg*&S5=BSLSHmu12lf_oCGf_*Gc4jV_mVr}-2x{&fqfJCAFiJaj+&1F zX_%2J=1uu>G!0uxHSxP4!vnCdYt40d%Fv<~KS`jEfrMk<gy1bkFSRAw{bamP%rRd^ zq(~nn#dX*8QeEuM`m-2?EedyjZx!#}dAYFiqg;J6sQn&6tKH$vnZqfuoKc&_F%+;{ zd*?ZcG>^^qRG!M~u&CnylPq(^5cWX)?j4^JD3mi-2KDZ7fBb06$dMjIXe=|(@+Nlu z=rYbQ0^o5mQ}om;fo=GFR0yOmK$(Hwu$`2p>Y-RW;G{OVi575!J^#YiBTBxJxxIs3 ziZPGshWM)wi$hl{Z4%S0b`3c-%L`)R=*H4z#pKDWx_Ft8L0RkonHHNZq;6*9L5oq^ zaFq^*0yXqcBRhLt$NdRL{r#Wf%f%<dvfdr7d%&SyJ>p_;oMIN=k@?u~Qaw*stnHY_ z`l2Mlp}2CyqW=NB0&h1=)2d*z+uf)nB*W@x_eqpT?Fq}Mh0|u~@dKEhP0&=)Ecw87 za3FF+@C^j&3Rl01>gkym+lo+gv|0qTY^n<!4Ob-U^CpNU!zgU=Y%}7u@_uh-Am+K| z4_KiFFLz+Ws8~w_d7*rG&l1?w{RBt2bK0J%AJ`$FIPRV+<Sd^qWj>%0H41(8ygs~3 zJ2v;?+Wi9lM-jfGmI^(^u~3k39NuUip=g2u**=m*!}0afvScpq>h99~{<vKx+re5{ z8uNvZEBX`ZDDu~r-HZ>rQ%Ua|8!6|x8GvJ_m&;RQ21AH_2Ei-3poJs(L$j^+Oe68B zXGZa>``rwcC^E?YTY=Bk`>o<!Cvt={TSYo2USjdmTzR?8EEa3$JDsY?{!|@ehcJ(d z+jx%FEH_Zmq0NRh%|#j_w!;IST*3+_NYnjQ@6?3oze)7JBZu)(op2Oc2}=37#|_*( z*Db=x7Y-1pN6syJoTeglwOume(6h=>Gb#y5qhDJhROSqxn=CagZgtDHBu$JLS)!Dw zGF|s0@J#LtK_FHDra{@q4wElnUzdaMT^r2@3%O>^tm<A!;}-L?h_cOfi$RwU<<2`o za@`89L$p>@ZN%F+3zt1ktHuwJj({oTHgoKwQ<2xg_=T59GIOt=99ui3sFSO70})oz zGa@91F@%mnK6B4@F8<Gtx9_J(#X35i^pp4AtGaEpCPo(sttaf?Y<%in9!KuXQ?IQ_ zCAk>g=%6cz{c#k>s7k$(DCV$i!lB_EWBW&r=A(MOW$LGkLcPz=>-tr$TA=_Q&D`-N zce6R#Duf05?PtAHhU;=N)Qk)h2Y1F-9O*iHOq-+5bX_gl7L?+1iM+IEjhQVLJlA)l zTFD>Hs5V?pxu!|79sOU&nr^;_vV6Tcd(1#_EVh<bR@meyA}aGsfW)&H(wO?7rA4^Z zv@E=If&U1O9GmOE!^-k1mgNi>Dq^uCrwc?y?>LUS|K6G4kUC|juUk&#KKD-T(~<d2 z3&)&(U_n~-1#MvhzSLJXw#^ZhsGPZ|`wx<=_yv2IC7-#>4juedN1}3J+!XT-um4x1 zU(EjDT{F2ePuA5+P#G=#E##WL&2Ed6XjYk!a#1^Q+vc-wqlF4F%Xq^8Hi_9}oiFz1 zCA0xL$9j%a4#c^V!W}Y`B>m#)n2GoqeKF4uwK|^F=1s&>(`vg81B*lXgcKf}YQ);Q zh~J4U+8~&-PZ<4~9_7cBQoWDjg^wj)+~rC6>PwD@Z+g3M&0A?k9B1YscpXGWbZ-pc z#$Wo@4Ck8mS*<AX%?67An(1(LKJJTA49rS=Kd0h_aGZ%OD#+dM_+UzOr{(vCp%o1? zRf1eS#}E>RX2c2O_8k+K2)mJKzpaFeO?IGilDg_-lsllk@R%1pH@+Aen=F>(59kW9 zFt;w-weh87u78vFMEG%b!ZdTWlU^hx3U;fSlJGlT<)D<@@Y^;v0OrH8{KM{;!(V&s zWW@pxdPA<Owt=p*Ez0ik(aoPq6YE>yp<%RPN-P9&5s$4310yo747zt>uu56dyG*&M zXeSrBsZrE2;0Jz>OJPxkS0S^O_5ql@FU9@`etI1tp_$`E7uN}t(8dhe7~+FC1H+-L z6UxKHxEt}RDijJ2K&LvkjiNe>NkLK8-K{zidDkrX0fpUaM+a$5i>+GKuRbZner}|M zN)*fA3E4W7jO&v?TF-~=d>=?0jZFXD2ki8LQH%=q+hsh9UTX9bw@;-^hr@tI>3Q~P zxcq_=NDLWv`N;U~7t@Xky(+F210}lca*Q~1ruWZQta+4sppS0gw8}%^TTJV4fmc!; zdXk?>lQ#}eOk^QgBq_q3KuixNi#8D{ulq|h*bq@VQA(REk1Gd3;u*;?1Zr3vwCf%z z*Q%MXR{n*WM;1n~mjC@ebbHSXv&d57ex+Qr<UTj7pxz=mvk5J7&qK>3bv24acIQ|q zKV_ficCcw50LdW%8@XFi&6y|>+_icM9fV{W>l%OJ(gk|`mH#*f0~^b;&g5-W149!$ zs&$d6GE)cX!x_pBE8bl1ovn*YKj|5TI}H<L-*^z|XI47lV|l4aiS00rqCZtcw;Mu; zj|#q~?@I)oC>~9M_(nocw4SuUx+#9z{Cs65k!gCw29mOuM0D4Q7f@5u^*dD7YK$Pz z-koORLUqqRuN;bf+*Hno@!VfyW)1Q>(f=j7Se$Oe=A~Y~<u?oly5%-F+|G<Yc?P;A zC=^WI!n74FjBiMq<*%jTr&05jdAX_rnviAR;P()-rGYo%8Hmffde_M6ox}^<q9xHD zS;`hR15{zM>2ip#_&#DHDCMqyH2#K4Vey$!eC*>AgCRLD90NI*@74pIK5tToz8qUp zn)9c7s!~l@JwxI?GagxQbOtOPix>Pl|7FX)@bpAMC}qmu9nQqC*x~}?xJct_VH`hM z;my^W*2@D&5_(tEKQ?-yNkvG*1pANE|DrT<HU;WaOAwL=s^o^Sy<i~O9EVuiPGO>j zdWZi|Z8A&$40!5X8ZBDIUJB0L6Na|O-PfC3gT>a!cXj{F^RVixkX4i{PATJ)IaNa+ zFEO+_s#7xlzz($@y}<rBNEl@vWwk?9)oR`@Fh|5pBqnATyA`>ZzjEvA$~LWHlSqe# ze*;YUdb<o9TQ(iBN5fDQK?vOck#Wx$*J?#x@kbyXIBF(8z8w9<0wXcE>&mQ_P(6Oj zE3mN7d5tK|iD+9hKNbIS7Ec9MFW8#;1R34AZ5WIsDT}_!=U;)*8L*B}hW5!K`NLd{ zxovZQo9LJEPU|uxqYbgvWhCT!bz<FEYh$3gXbD-%$53I1wh?{rQ(FE_?ci07@|RNl z)b!Mu6)ii6P?TpI^|IG+eu4c{K4c;uio~Amb2WpeLF&oz+0o2#%ck?kW)0#L1`0SP z9@$cQ)S2cayNP966K_u1{4WU7&QpnJF5UxCdzmk+c-n0zbC*CPe-q`)XJn_d{Td*> z;r=}_|Dh4OpF#yg2LwsJql@gd_snazIb$#eQ70DoF~{P#zEI@1RWIUKLWLKY+}q3r zu>+){Q?_Tb1w*h}F?lqwsGhviLWSjPUg9_sD8e&k$LXb~g3ua@@a>lXu>%7PU!s9{ zgRf$)@zu|XCcCTSCyo`p6C<|poijknr+yPB#>TCPBpt>?D)@Z-BA@9<jokR|2gn4_ z$r=m;988~g<P=ynR3af&htwcR7(yuWLC(6_ugFz@y~z1`ZXeFD&YBiaavMlCTYG7p zifQ|UPwC;(zeld2TNc(eS?N3tQF)|TL$R^+y5QrTiNx<X@{H7JbuLz&sL25Gg?5n; zmlzmGyp0}+kBEBUubu-a>ysTB8|RVvM-RVrQ)Wf9wOmaWbJlZMY+_fJf2GZ9JcVi0 zuk|=^+xa*zA7s=Wu_xSkP`iW8EM0GLCEWzcJG(ycyQq<5*M4+=a+HUSQOQImq|+Z` zWP(dUZ>TL244rgbq}xJ7=`#V0V7|tgLl60N!#+y8bfq?9Q1}i@JTzF&7LG{O=nXM; zFmDnwcR~7i#`q;w3hT7Y|L7%nI~zbYpNiM`xXTv2oY931okbkomjQa&?=w<u_homJ zqm$Jd{)|aMt=8(xN%yD3&o=$1xE~5K4r(W3M2^qu`}W6?Kie`a6mbB<9;mDvvws5H zE$iX1u0ME_(uoPM9!wVkpK?}m`&oEzlb?wVxl^Jz5vuURj%;NFRLz&8aRrRE(*7^T z{xLd`F6bXclT6HsZO+8DCiWzm*tX3MC$??dwkNi2+q!w~`=0-~_sd!5Tkon>wQF~; z?%uoVS5=CFWA?d3yhip<W_;fZa8t_V;-muhvc6-BhRb5<I!KgYLr=`k7`khF%fzqF z<@elSS#|hKcWbk*)cNn9E#02quq{Rc;~Vsy`et8v3|zH_e2T1mI`D6!%X_Grq?Hj% za1N+r7mGCm4;qJv*cvj*8qx;;CU@m(u{{(c!p;toR~&7uFkm+|`%R)Gk#{}=A+7CN zO}J?^eZlQ>ilgf&J%nlVwSi&v)TOFXMyNLHWr*gRo;u)jZE>VmRU%o1E1wgnHA*Ux zDPqf5aqNQKA?K#FCW`iQ(#|z}%pCnSylP=S%lnSMi;?El^#t2+{QW4a;yO8jZHU;Q z472VSQPzTW*CI3hLm96a^&(e%-JQf~$9WZgTg^BFq^V4;XxT%qEa!LR>E{YJXXQlV z2|TMhEsMz_wt`ZHSA*eTMqdUXe76?m{ss<RSEO1H@=XLMGxWo+$5p@d<3Uv5u(&rW z^x+EkZSv9GtBMyJYzWslDS{*zsl;b_V1$g@|CJc#@&ML}3)I@DZ4HD%I67kks%C*I z<@?cQ*W2gycSs5?EU}De<g3+dxA*FU4l1vzr^E?z2>okhFoiOFf;l9hEaH9FeRuXS zN>;P_d`W(|CMVj<AgK@14w1tAQo!t&0gO|Ge@ot~w#AZ|H)JU*sdKhM2{OpK^;7CX z3exmKQRL|geksO)v2~h`9wa9$=L^;RaYJiPlUAXey#D3`RZpN&vqFtBst9jIGtp(C z|MGtQzVSOq$RvdUvz055s!1Tah};?;r0v}43tVNAZk^-jhgx<n3s9Gb7c4JI*2%P* zlKl;zKPq$j7GJX?+ZRm{yp<9UrQf;o{h9n}axU~aVetn?MA5_X^;06W?kshhNBfeS z%w_PiNm|vlp2AiKGP2Lb9GuWgXEWW)2?r!qQFs@>{1Il?&EP691iHiqMWiAwb!iG& zyLFRazi6rX#A{0O{*buBy4BX6BaOkOH@(>Q>)vDuw<CA3k~1X;{1!;~pp@*9Kq5p` z2_z71X{$QA;7D6C@Gdh|kqtH{|7jyucv<tlFzxlW{P<(m>*Dpm%#1YvYQtn$8y1Q2 zH58QL3GXpy9N!+W-#YKERZa5DJ1Q!1qSyh!5$TfF=6d<j%ARN25%tf^9jULLtybX; ztlVT(TnMRt=ij-yBPCrJY3fj%t2!|lLGTfM{BrLa{I6U4stl2PW<0Ix{brb9>*Mge zs@jJUI!=e5F&$j^+sSJ&dp<<l?z)I9@3)k`>5)FIu7vkM=fdo3t4SZ+la5kOS;mXb z3BXJHX%Tt}=pvP~CqT!TkuK4s5E|L_Zi#fLmk>g}UU~Cd`l7)X0wXvnJ5XV~BqNPR z%cKwRNt)I?9wyd=hD2RgbQcH1`9a{hd<eeHKA7m(lzDaxnX0W}A?V8zV-M|}D*W`3 zQ2xZYvrWKn2SeU*<X3IXYT?K;o-A%zc)3}SF36{^kG))OM0D2@aV0U#hVSP4xK@uG zhNbmMttsGV>sy^#hT|N4R_2gNub~A8di?;s0KvuD(n$mjanBCVFI9nTjt0d6O{I$p zLb%}aP1p3#4-ugN8PP&^KR=vYOW2CeA?*4DUvFTv&xhSbn@-n*0XDxa3yUc7wd-8f zj~(fxM|-j}W7OB@JS}T-iy;xJ@gv9i-8;;oM$2R&%@Dg_D=EM8sl?!s8pM0N5Bdzo zIfl4BGQ-0Dm(MyE?+(Wk$IzPub2NP|hUobAUN7jrWR*Os{kybyV+$e|iv%q1%rC<8 zcV?i|JxkH1JYsqlTP$7~GH9G)Jh$o4_^=a7@x(|ht)~JsU+YQg^2^(yh<-LcK1Vcz zNqC)+_f!QFC0Zl@#_M}-g7dm@7o>xnDkLx=%m~%@@hKFr0RBgQ`lRD&u4wpJ3f1`= z+i3>}KaZ;hZ@D|TyX(hk7y}k*fV!A*HyHr?OBA2$$AT2SfG{A^5%$A@=ptVLAB~P8 z4^n?Bn4DBrT@-8fQTvU+ah1T^mk8GznU}fa=dz%B6s90c90Al3tvguYb1zFp&t|B8 zJB8@G&PF1P+w3Ws4D9bS5jm1L4*Vg*(Ag3=lp7LA)BqBX;q)WtI`&XPBPP-#V^@G9 zWk0w+)B9H)$?u>S^yx+Kz%1$2etC|!zne*(^-NrF(V})?!0qN%*_k^=>JGQQ+6Crf z+(k1p$e1vT*jdtr8bX(o?%`03BW(HW+k=Z$0N69;F~(H7yn*P|>WU!iU|52dM@u+3 zV{?lc7|t*P-2>f&hg1>t9q$>oZWQ3W>UkUUNWvv_z=16*J`!ht<e~o?57tt*fjPAm zv`EXaalDP(O_5<GWb8YJBNHWfn{ONO*e3U?!v$R3>MADOCDTx0wZ^RSR1Dw|gldA- zGiPtO^u{K9i?M<NrRaJh$r`*kNz_?4aA90?2`3hvg-j8KCaFYqACvt@K@BMUrdYH- zTr_1En*nDr(Gba2qd`gCme7Wm_C#OnKH_eP5ECx`$-x&WzXE?f%Wxrni7@5ClvxTh zIzdJs8R29Sdq2z(pp=ZrQNYtEzhu=#@YiZAv*kt`D{N~ks_&6+kT}N4-12;m4qrY^ znkU6}c~>zx%1f7X5M+EKe-rRnwQ17Z%v6pD3@ON)0Io1aS)HQ?QYK(H`K<m#MIrLD zPDFjWCCgN5BW|P`Aj5n^bv5)@ltF0>w88{0s#bT!el;K2)9{gqjNFNzc;yU8&bxCR z1C0W8E2P~yTf*ex^9lq=fQ3CwgGLpWvapr+I7cD^_D^%tEgv3r4nWMfo|gR@cC|*) zoV~_aMCspS@^Zk%!Wo`^$a)r_(iUJCcBsqI_;Pl_#{F`g{4<?5&R~*|yRUhGp&n9m z*?Gw5#nU+^wf@v(=4PE%e|%+~X~aUsLb+mjsL>ck1<%Phh?T1YG9E1{%eiJ8esx|s zw58|I!+h+xLV<ZA8o);G&r(@K{AW?xnv;u<OT-N0VE8_|MD(7W#fiC;JXb_-s-4M+ zKa)7itliqZEcF+5YN@yo|8CD#Qb%VA+qYIDZ!0=tsd*3sn_>fxW(&zk@urj{?jjjM z>`*~f{|gygDTi$RG>@3d3(HRwf7VorAdY74jjol--_C8ioIoc+<1om0`tEu-6CAl2 z8b(AcweaYTbxN1C^BZ{H#<+p2bA5QBBu4I@`Sq=d*a%$mV8pai5$|9-8+Vx9S1nc6 zR>oD_dP%l%=e8fwV!HZz4Oe^*^SSYIlB4i^g4=Y#=X#F|%=N*eG}Gp8Gro>&pdCI8 zzIH{N$B;r*FF;WVo(w6*o*T9TN}}w{s+f3$-=Bte2hAY+O}$C9Hoc7>e!#VXv@~f` zKMy`q_y&Z5sF(YMJtOpO=CG|fOIyo@S;}u@D(Sw2b#*Sxqa(}BuL2!Tvv*V3B8wT* zP7HrjBkjjl*SDA~MmwWYEiSiyT6!Y{UC*J>TQ>cOh68AM!H_&V_A&L_cG38~eK3@< zDgbsLP2z>oOD>1FpX7_ny{jxBxWHKy@<gG*?zt;T;XC#WHZSWY-{6~c3-!1>_^`@5 zYQ%Y;vk$cO-KtjAo!7T%$J)+~1cvz=dn_<F;u_KEyJq)IaBLYyyNk7*xWRFTRE1{( zrw;zO5kQ37N<b3N15I3v(eZL1Dao;Uc8XCTQ_hD$KG)~&x8j8soVz$kw*?j{0>tnj zmUN=<FnOtI2fYn{N~{fRyI7J38PRvbqRq~u_oUAoprboCX~Uvj0!gkANCV-~_6}}W zx&wC=NnpqxDq8FoyVefyFO2$!qH&xtqoOX52-v2RLx?j)OI+EuFzC71LZ&p1Yjz#L z<`gDN9NJ<oJ%Z2)WHV=z`~xkF_XW3MQuklJBZoU4AW4L`whb;f#{2<R06n*j%n<*M zWS5$AX%SOih|QPABE(cI;r#Q9_eXC|7?~;$6op0(nJSv}*F2{41e&ip206NKE<Cmf zklpV?*oTMis{n4!{9u9Ro4W%cl1;}9E_r2(?i&g=ok{W=IWmpD!C+VDfuV^JZ$EY1 z(8mK2=99Q6$JVn(0P)W<;-}R0uW?@#84_iDcSD?MZ`jJi;Yq!u^E}@3Gasb3Edz#^ z6Gz{X_XeF9%waJxsA<g{htNf$ryn{gKp0w0lE%YQR=<HV_`kV$bHqQ>?f4?uXud0- z)v=yT|6Or$R&;!(Y?C^Fqb_1yJ}EsAFhh(#5Ri}7bjW6b3Prh~_qYUb9-;WxcirSK zq8QDYY&b-+$&lDDuku}{@QQJ$N;rRq3aon^B#*>qv@8#Uwb6|D{%o5Up#lS(qVS~k za7D6p1*pK38uU+>iPkx1%$Gx01Fh8qF<mgGf3_PvzodRMqNyx>PAji+TP>)mOUd31 z6`&2HW`&acdbzd#?ToLP85YU?XU-R)9u`Sf>;S<8I(}>0Uaz-w5zV(1(>M2LylfS- zb@B{fkYxK?pn61;_q^*Yz^zF}C^@V$MxJVfSf48&D#~jwSKPlVMV5;S{W?*zXeM$J z_ai;Z#oER|i#G7zX>qw&mQPMl<VRB9*2_vgG3ERg_Wbv8DzUCedQ(Y4cgpRiYAG4v z$O-<NLmExz_#Vu_#%`eLbkzYopKOdRwXs>tjxh+LcReL>&RxF-=y*anPfV;w8q@wK z^*(ljLiSu+PB;7F!>n*Xe$&^jJ=dw~n#J_c?d@*w(QS=1ikd;8aG@hP>d#{}za$Cj z)Hy)&=PA&h`TUheQ@#s-EQWlHKwgNn{<{6S`K;AQOz5Uu?{gx(DaBLb7l6+Gqi`@0 zN5kAwk4i~4-f$uq(9#mOYW>>B{R_lbV{MFOa%xL8$$vqPpp}SqfasP+Hwl)CsGx?| zb^T;k$7aJh>YBmx7I@cnIC_6^zU&({Q^NCnx<9`(Qo!(dr3~5-0hVBcF1@O-o!jyR zrvfnVI`_`$OYRZt7|rc8Tx>d5D4h$GpJVnpl)zI$9**$=Iv-0VaKhieDa<WPY6=Du zEiIp&UnOcA1NP0WWt2vn4K!o~EA3|e)lAUg>FV1u!p;Z$^)+H?4mY1+(lnO*-6p=h zLWWwMe>&UZBqa8j{}h==G`AiIa1C8boN`wgr-k0?O4H9wO(|j&bBii$_<RG2K+kj} zlAppfx4u>Yc7veFKcWv3iALwwcJ#8SqUn?oQ34n(^>Rmwr!CvYu10l=-82-R0AobH z)8G1oIO#=9)fri=2NUEu!X>xasT$_i^31+Pi$gz+pXAw}FhpC*Wy8Bp#wtP?l*BoL zdhNd&O-!ps8ivAC)FsP%vPcX@_myR)8<Ogq`bl~KSS;g2NOueH49i8EZzrrajN4Eu zh{XwVQK|97i(wdP*vu^c5Z|8sda|Xy6<njf|MWtnz><rmHaO^uXKxx*Ax(YRxvw!j zS|`%=V`Mz&iL-umy34m?5Z`cJ>SF8aKom_T5vjr*59#?PHlCf<4vVrA7edeCgFBh? zn61YIIG!pmAy~(8`$68R-QDMH5~<O8?q(poZgM5-pzHFcRSL<7j(g(cR5`2@>iMC2 z%@$(2#GaBg*?Mb4%3n7h@I3!iJL;uxIcU;52z#f3?$uPKwJr5(=r2yje8B#e7kAT& zN1IC{v;wly8sDIRQJ9*{$M!L}M_8!wk;oVeB;5B%?NU3))pvCZxL7*f`IzQ=UEq@_ z3(8j-MUR6S1-NACZ6f;)?gcMdgcYnoFei+Cgo`cR?>2f3Av~tZ+gDZVP9ZSd&YpFz zdBDc#vN`#l>sOm@u*fPBk{bkHVziAah7C^UNiChCvZWJrqKA9^LtSQsV&W6Oa-FjS z<c9_hnxVI;1y9UWwfk<O@DeANAqOFAPpvAguy)IKb0gspAeDyp{nycB^l={MPnrdY ze{<`MaN48Do-~8ghTj-*NbiL6h3}GJ327!UjOySgr6k;>eC9-kVc6i4%ShhvRm25L zlhXbA{Rba2qS>?`f+FOrEDnf=#6fm7pjivWx|55X^aF%0Y}&M6gp{t!xCO%xwuw7C z*0Z)Mv47Sfsu_APnu|_k5bvNu(Mfa8POWs8gyk=Cex|4<%a<&sVmeL6cNz4&xAexs z(EVTjsXs+qE@--D1KL@XX!r@_Na><*?U2KXoisza=-B)GSm~AnQ-87r{;7}vBH%W+ z!SFTN0*{If6BEwu@b#Xr20PQGz!C=)Un2Qn52EgwU=H2hQ|X)H!+FI=Nt9*E;%dK_ zsye@W#zr7B^VIo1U6}afbsLn)qOaPWZj;a?sd{nkpd^6q#ZoIP?#1#RE&pk07l;Y` zF%#m!ndTRCn#~T=y5WkIT|tWju#4SeP<VZiALa#8Yua1B__xt=stcnPN%PukFm>9~ z*wtvc0ufRdw90>W?H{qzON?QQSnW0K;~C!g#Ue&|(^}s&Yn~9~MPo2p#23CVlFM<Z zSP~fZYG;LNGKE$Z|0B<<H5emr`>loPq}7~s6_uBvU^cpmwv*>apUa2|M6~eOkC7Ll zM&R!m;uoJP6!Y;b+MTqnt$trR(JMP85z%DoR9H0n^lznO`TjGgzr1pcye@)yQKb6s zoD&So36q>l0u4c~*X^ZJT)adwz5pd0U5Udo8cF_1t7*pQY`KHmPUR?fQ*l_eW*%MG zBgSm8R6Y;5ymghXs%%Fe@JFYnO^i(jLvhilb>KSH5o7fHxh|v?dSQy$PU}FP0>>$3 zIhODvXd;^-&VuO|4R??+Oyy`|b`Q8$kvwKZtLfZ+rzEDJmpDaEwdQ|o^kkoY3xK<G zFfOMEA7SQUJ-KO?#~i^?SS)azBd1{)-5=D<57D<srNX$h_I&{oX^Ck{FG5AtGmY~L z*3BMqqfJEMG#POF#u3-7o6ZHLx5J;vn{>nKy$O=YahjQOenqC0RVT^*77v~~W>tuH z5N7`UqHX5O2u(A}BJnrXJ2vBw=4M^mQ|IXztYPCy7Ln!OuTu))tGO9z;SLN8IhKKY zPq4~V^3$){Gs0_N3meCfd2tG5HSkfzkxa!0uGSr~>K2mJ`lOild7yfbenZ6METY$X zsoyj+%+?dtFGkeAdf9AK0p@!`j&Kqw{}tx0)mtq5jbIEc#hq{$Yn|8A0gWap%lpUM z0keh3zhvKC<%r{^RjSH*{ypTSvVX?t=p2V1V29~F*N`Xx>w`$DB>6J@+wU4~4j1@A zzOBhKFWt#%dJJt-I^R)iStlZ~A+aJmM)4&$ItFlR9VEZJy#~J;^?OBKV}iobXpixn z)C{2pA0>KWc!(c`g3t)Rq%&62$NMB7lkn}**ho{ZSu_eAq!cP%no?f7q(?`wLaaS< zlsmBHN|fILk4#Y(uQ}AJ{*b1*(!)J_?!&SChA#-sI1$#g`Mg+vm&=1Ycv~BWGqo~c zFoHbp8Dzriz#GzU_|KgpHs+6rWt$$V`FxpDm2!tnyrB@5=O%I)=EC6``9b2`(%3y1 z)>n&7d-EVIEJ@rPRbJM3h4K%ZF4>TN9)T1INcIQ7y;ilukeLU!%$vY>6c2ac<Q6_d zZM@;CmKi5V=u*+P;(j8dr+M}g{jne=a?;bxyY0Ec{hYx=y^LT1QC9Bvy4^HFs!j*} z(EQ6+&#RyB@07LM76drIosE@;8Ir%sw^dg6S)wEYt*RZ_EH}A~v-h!>MA&iW^Q%*E z#LcDvdwzaJbyXtUuL}2ullAyYr-puQxy4dUOUQ<kFr%&Cya=%_(TXqQpygk6C5_)- zj1~?X7YnbR%nUvRU>D&)Sq0^OYF634qp>B-N{zLtDWS*?UiUOr>oIv%yeKejD6ji8 z978U^jXL}>I!x5KkDtJ1^$m&R68!$}SoQo0fWCCU;l*>CEI^<>L}-!*7riH=CY$tt zh>eWCuyP^r6x`ygdD*z-__itg-2qGH-6w+fkeaAk+YNy5HBxC08!`2ryGhZo35DUX z7#E&dC?95L5|#i<Q)GBl4Q|g@mvNX6C+sZP2U+#Y=o=^fJH<_jSka85OF-MB&5sKU z^c>-5OcaxJ<IOy|WEh)PG@-=(#qyd*ZefQxf_q<}qp-i>gY)58ttTEtd!Qea!-=a3 zA+6ml#GFT#7Zb)u4!arwgY=t-ek0Lq;Ua0Gq8r#M-Pn5p^F97xe^c66%l)}8^;20E zPHz0IrJlPegnK}wXkC_Gvw*cUGWd8O$XL!l2_F7ob`lI<vi_Ypyrh};fgy=IJ91h0 ztIy^#=NgZGVmlW}JbY6VU=Qta2><!6{c&ZnbstS|_dzMD<0MSeC~%-$Ov;3sErE|r zY?<P)#6&HHkL)Bohg<`lbrxK|MnckS|KBjjSO*7L@4;MLq9|_av?+qr90?c|hVBp* zHckUk-cvx~89J|zQ!@_c%L*Qj)IH=u6*K(0Pj6Ha!FzUHW2{rLVG1x5XCFaEl;wuM zw$qd<_t?pplN7{++cM3^2V7x?4PcZHRWcs1cv(M5;JW|magGmEYO)orjw62SRo|4_ zc~dGfhT#%&$JcX<ETxH6GXRX{7+P3ZsT*ZNFwn@SgP|?F8w@qjSSeCd(G%Rw%o9tH zT}2~<bq|Y4XPjimNo3j^w!g!N&`te|zAuB9aBdWkALbq(P?+3Rb0fgi$_e9AAsJH^ zyOnD*ArXEKXoD*Y+$C)f^J+LU3dpm4La-)GnLi(KWrFXR%p)(tgaCF2O{dJkcY6vl zq<d9<T(%-h`VbNNmNR4rp-Q8fLh{<+MGk8F*nM07h*&&(m_7xKgA}+48{v>dYdO)> z&S~OO=<yqkKEb)rT+MWBd$4HRHjUTP$bdKV6s+QJM{Hf1)Q(g4c3pf|e){>38}}~A zU~X+Ifo@BPc-L~|y%C_BvMoSv2y<CE{bxPUslCM8^AA;d6)AA9WK~5<?1<qcLXOX@ zD9D(Z_qX@wQ+uRmCxP>vrNESh+jv>^Gy1ak`iD^wb_5+`ijT2a^bg^*>(^_Wt}fq8 zRsu0as;io3R%7${Zfae>>1KY04|+ftcw*~(#l37kybq$~fda4t`^Aj$ueZc^e6m-e zCX?d}z}=4@Uj5-5$<?e=bG8vs`OE|Qa=h9g<g05=otyDbbI-Ut@ji+bTPdM&ok((f zNS~I&t9lSqtiGy{^Cd?}CWA}4zt^}dKZ}M>A-3jC;ezK)<6gpzx3~0he3Vf^L|8}h zDEut;=OE^}Bmuw&j*B1F9n1Iv5HqFLaJ-{h?z!48kZgGMXV#>!7#ZPn(A>4Rv|L0N zdz#($^)6rf&}|_leoz)Jt*qBqVdnd=q{yjusuw~>{3#S<alA7_iCB&oWGK|S9&GYw zh#{&EL#se?u!r#2Iu|6FI=ogQrSDdb3KFz&T<`HBas*y@gJUHCrjrf#cLH+<lI1n` z-GuD?tJ7(Yqlh?MS9(d^c|okHhTnL4KJS$u5;o5>)Pl@0h!#kFKph+d|KJeeGnaJM z&4l^u;WF#~XWRSABtXG;&)@+;f3yMKM0yFkV;d0P5xk!l?ex@vjhHQW>=@AVebZUk zhpmRk9FQ8{4e2^M+rFoa4tn~VJP?pY0vGHs)0>bneQd$frenA9lwktqwA!}%a1RzI z36tGx-y@5jUuo+gfl7dz!W_y`yNM8u4OeL4F~71gH0%z#h&<~nK`I2@Ca6HG&lXHx zhmsa6S%qz}8C?^4xXovLh$Q=QHGBa3w-2#22_RPTKgz7Q!p$M<QNr@6xt0Vng=BV= zxH^oB&}VuWV59lEpm#7^kD&T6^7pfmGQ4mX1%uAnCVpNZVlZ#%-gzC5)M&Emka!bK zoGVRPc~qq}jni3H_f&sY7=YVtO_S@vVPqkH-WlGW%-x4s&xcyJSO<&wMkG<&65HVn z0^e(x)4Gu^odegXeOLd<nb!XSGkx?h^B#SIq(EU5{$&82Jj&PnaN>S<kOWt4?kN9I z^H_KIX%7n7@Roa);UrXzXuTXcR)w?PCW#u0Xd_g`2hYVDD@M9Q2(1z;g;fWRNZ{Tj z(;X;}ZN!1dr6qm?msd)>Hf|-W&o;ba1oSsq>xInYA+-%0!gV^(3fnZLMYj7+xW2(n zqUDR9p;SiqFFN+qnl@zPiQ1&SpIX#Lw1U2sP}{7vL^i-W36izCEebj;>BwY(_hpgc zYI2deimoJYG}&QKR|8i4H%_`QdZL^<3<Se4mXKP|x(0gD%g^z!aabxiT|FV+00#Cv znyYZ4s%`_6S#f;y04Qj%xlVO`acCk1n-ImpV7)a-Qo6zvmV*f@F3DARJ(1yGbI`t) zB6;;23Oakq?AcHz8~Y!LFn!8-_m}cCd}HrPn~c6*9*@bIZXQiE$$Vhc^?gMHa5TIO zVpa|`2S-T^mxw}OSdGK^YPx@ofK((HK_c(=NLDpYd!6B9A6H4QwVB5XncFl#-f3y# zlV;KJ7?CJx9lWP)y|!-qroNFdH(R|TVZ?agQ)OeShL1BZDp6|fz^QCH@Nv;%gDa+4 zVWnazFpZ2O!rNPN27{7@027{peERtbaHRBO%{+Ozp0DNy)y8!_w+5;Kkb5<*9}-U$ z5%tA#u*r4>y_L>%C#$&+Bn%4Y++z?V9-&?}SCUI>Zk}S!uX9`!{rXFn?wm)!;2N<| zm&_suYj@sOUzLqKSg`k~M={JD9lZU^#$&2p6E_aH43_())W%0_ugh>OZ)ly61q?xP z#)#PXHVSu~SUE$Qn%W&eHxli22+3$sl9_nI>s><7?(E{=YOpAy^6DU6Xbkm}veks^ z<jY+yXPEmVCTtqkH@*)u$d7G{u%1P?0$dykUOY(tuA{1p4D~o~O2d&A-sCc@WSt!v zf%EA)%C@m6P6~90h|}HlDphX@9`tiNkAsrE2Hl5^p#R@#GMF!Lo{cS+0d?ZS313;Y zZ7jy8g5u+a%zHyt+uXjmF*phxly56l<-pq#Ne^P!GHmP6$Vo;tlI)8qAMCQh390on z2e%65S28Zn+3J{qQT4vmekS||=|PBhYL*Lpbsh9+GL&(;q8r16nG+1RwYOD0*UYfH zUejABwXCXLAPfW`LEawi>Y?@)urajN#+d@6uwd-v2JJwK<h~U0?Ndv5%=XNtl1oeY zZHxWeIx7`}+YEdJsb68w`V=%y^UhW2F=jJpucImRuW(UJV<eDBX+q&`G+4jD3M!%) z+GC=dRTkt9j!<aiuOCnhH=$8J(UDI(1WzplJZ>;cufZA+td6B4+MU*Vf|Z}K>00Q1 z#qR7SNMS1%h;M&Ga~}1b?t3(`uSx%^6c6g?6L`0nE8C9G(kvrm4c1|pJ$Q0!un%7z zi|F2Mno}WDz@19|NHHPWFR}H503H|ry$!5^#J{Y3_e0y2CIN)b99I)IpoU1Vya9n7 z3$(3SM8rk_nw?LGh<rf(w=?dZ)*dZV!@sm!XSL@NXy5IG;f=I*I>M?44=OJcL96T3 z7rxuj*NB#~c<0T&e@_?80Vi?6xOJZy6O?K0UHvsy({O6j5(4V?wpLkPg#jKELZ@2Q zoW&apvLjR-bZh|ON1ws_^r)?xQqPqK39fyZ%ZS$k6sSC{wsmUwLFg|<Y7$>XccVYd zqlo?C&f5Y@1V8qy?Sn^e$CTJ1wny11@2kFNLO!;F{g6+UidDi2ibwME9eL#aFKm;V z(*jlhO&b0mr*MF~a0<#E^>>x_YZAd2Ui8vEkwc>g0a)V36?{|_E9vMfDYsu!3iMp6 z=xGE%p>3wUV|0<|hX(4hoanxxD0~5?47882GNRF&@F2n+F0F`dJCslmh{hVH{147i z7H^hJUg)3<zGVTeDat{cxGncm(j3RM;D=5<LspoiClXP%-5iPEwD!|q86RDL-}#_D zNNIw3xu>c^($V9#L4kN|SJy3FCT+E;4SaBbp$6NVhFMX%I!-r?KPT_2rLp!<rzHlU zsU-YWz(B^2F*%LH$%0wv1!6RD6xO+cd8TWJZ^yf>g&E4Tud@d~nLp{C_uBy>5pSn2 z>HR$Dv0RmWHSiufvvkn9SdbvqyN#NkweueO$WO9C2v3jmck@SFpSWOUG}HRH64Wiw zdZ>th2A0QlmGu6j7rO?xZnPv%KnXsa9V-LIGlBdhRs;+56rL+2hH<7jTeVKmu?-P2 z;xl0bWKi32_ItONi+x19UU`n+&$tAn*NXTGj=U8brpQNR`R2MQAg-6Xn>E+I6+AY_ zvPV@x{#m$)Jy%oC{ct#2So;OsND~}*I7hhN`yTS{^p>b2#HxMJN^DJF0y&G{jgJ2m zNRh?Tpo=gi<URuj>2Domo8H;faE&81i&<pz3!MV937lF~$D{OyDwj&W$G=a+8p>L@ z0Rl}O)CsD()R)=m1C^IgCav(%+e7dV(>)1Xw&+*;))2;*)Fs*6rvoUTb=^+jTQ^<u zCrEYJS&@h<`K#GTi}%@rNxx5h*&8-94F%DBgln2Lj5qnvM2~B&R;BaY%d-4~7oEOB zOM+3UL;=OTLE4`_SrRM{&FTr3V&!$Ma*`Bn%@hU?8TBkhO3Qb({0<r4M8>jQhI#Dy zpvMhitF2+Oku=T0W?zY^^SpXs0E;NeaDxSOsK*+V$drjuR|p}Oq@>uBv$8qwN*_g& zr4TKa<hMt#oZ=KyY0fVS2(!|pLBvp|t-N6@bnzTR&;dU+QHYJdy4}L#$i`;>Fpd#z z+ED{^^8V`C9YCOGVe2A2N>mAE&??P~I@L@EiV4v5UH06Am|7H_Cv;u{@g10V)Kzy( zY;4y%cZQk|qe}ZnM+wies;VKFFZ9Q41yYhDv0)!i|2%sXLK|PGP=$KbrK#f0DdTMv zM38wGqBc_h_9uIT9=FAjV<Iy>s~<NpF4F1(jLyW&sb83W6ie{>%K_QQPmmbwHnRpi zF|wX51ehHd-lfB{xAMQhP8_l9NHfvMBH0=8^p>D00q5$V{}1t7{5ifQrO++jw0PGd zY68D;iGOjA=xz?$xC7f^`(O-NgT}7Lh?xSsl_1L<>G<vEvH8pntZ-+@4;?WZV!yXI z1IMg8AEONDmHtU!@EExXkKo0e)C6PLWky6apS;bNai(#*pw+zrZ-l135fL)R%v{U} zJ8#eS5?G;Wq;?C*>KR>lqz47V)6;@;qHo2h&Ket@N+ji!w|pz1OYAY1kZoJLXw~u0 z{JjuBh*z$IGKNS0><NR_m7~*#>5SNftI{Eob{-dS2^gbjXLRL__mKu$ggXVJR?j_; z+6@bFkbGG|kPaL~9lpT~8g59FJdWT=IeiE~@{P?I&zId6O>CNJ|6N+@zhJ-eACmU( ze|E5JE}6*U0&t#R+nPRCdyYruQqbfjwxK0Y9Rx_ZYkv#}i>Lg@7SgR@UC+#_3F87z z-Uje`a|8y7Pu}(;e(I0{*q&nv7-7!K@RKYzl-O}VZ^zuT;$f7Uf*P6){XdIFZWd0x zM6J9Seumk2v&^s~+n&!T()f0p1bkeU;{S|73)=TY$6(eRuvCk98}NFb{}PwW=rS`( zLKTr-HrtC@R#n4^`fq0927>jxjn4r2AN=bEEBVu{uNnL~G#VLQstPT0Z#g{}<dGP_ zmyRTh@y*o5w}MyA?1M?h5v`0a53>A1ua7r@37xTPe%JA=V}4gr5i9kZBDE|<J5!IX zs;M5e+1ReTC)I!UC#<C?8CDp~NDir6b^|($p;cxBn<wHEa37&Dntk36)mec9@@VVL zIPfK)49}F-=E+=`t>X^4gY96yW8i>qyzu47a`1%uJo#qh{*rg)AOsn70DqYgdF80C z>yHZ@MgFk1%I2Ngcj=#WW+u545aeNoSyfw1g%$1_sSeymD*IDa$ueie=7?^L#Hj~s zu$(u3;);_RyqA>UooVxL7Asd}OBd#E7u-jW;jhfZ7|a_LkG6^rX<@wz<%<BA%1oCd znIw%ulu>;YdPwKu!|_5cnuhtvz=8SOLN*JziCYY5w+m1P`VG!qHQviIy(RBYW_Mb4 z9IQW^U!kza{e!93`}2*UB<CpUpl^qGBQzqd-rV1f#T=XmUMyD_Sq0;{2lo^!Yflq1 z-pw`-!5A-Vag&QPn@j_0Y^#7quG|lj?VCeAN|?z&Jm~xOhH<NfzK0=82aW%=GE5`( z4qf@b1(mpl=vwSsj;r~uwg;wE$i}`TZ5$5w?wq*si%e=T?Q?pR99A&eSmgXUC^av+ z?vN2qJamJbC*~|BiMu>;3lmLt-=JF47ydoD`g3PVEww0z7pU{NxJ!{F700<<NNyTz z-<-6UEOdu28ZtQsRZTr#`u#Pp&3*f2qrse|!yNwNaCmxMZlK#JTOI#68$DcMyZeTG z;z2mq<ps!k1<v?&wT8Vo)0d}C>G4I$>9Da$K-WXA7)1VYg+AfKI#pwg7NQ~9WB!5_ zT(?e7wew6F5U8Q<M+0YjJa@rVhI>3Pk8m6L+U;8WUb!!wS6}W^<#+=E2LtrUPH`tU z?`0>(Y_3L3JbO2nWW4HJ^6FKEc9ny%Z}mveVv4$Lc<Z*R+Nmug1bBKVzALYWP&QK6 zcRusxSGrW1wVo4UK`s&>th)b2yh9eH7(gCPB>w0Cs7Y%ZsudlNhgSYd2!#I~o(a}i zqsc5iil}@+9eX`_Y;9sWVryYCot>S<zX<21qWzF#xVZDU`#H`RQGy>t`vHNud4IYs zy32*7KSSMhIY#^VuGOSv*%avI8KCv~c6|G+mjLLnb205E9q-H?B-~MBBA#JfxMiNp zd|WI8+I9-HP)nF_HU^soYtMR3kuCSxo481?TJ$d0YlRg$!}Wpf3wtu1yEK@$%M>=o zLlVD-(k)U@q)N#j1JF+KGO$+83!DD3*F~WKC6|9<WP$Re{Ry<TvwMrqHT%$$|BcE* z%iRB;Q^`@rBe~7ze;{`eXkbW$-PHTO6Hn!|s}D|L*7>t<7Dvu(j838ZPubMbUH0d+ zY`~>A#VW*LmYf^Ih4~P0CWkx`lHr?V;D<k?gIhTDL;>%y@l=?#BA2H^P-1Sg7ByD; zy-)gTGk%0ky&J{&UpP#bbP^m`pbb>pfahutT{6JEB=XRKsy))_0&s5kS@RZ5IVdcV zgg&v<hI{V+g2k^@MR&gdF;1bh$ew~w8f{4oDG|=OTwP{oZ_m&wEFnsTy$L=?A_?3$ zW)(F+wYGA89j}VG<ov;<QNRtRV%5eqED<}lhps8MjkTh37!Sqm1tOlE{<<MEh3$cy zE_l+qjvYC;(L)%t0Vo~boFuUGeK=~;cU|*6GIV<``a?(=-lTrH5q?*yev7by$}paS z5N3k^<UNM(i)lLcdK`oTx>1fGo8XE)9|nIs&6^Q_)x*ag#3H};P7)3gC`tH6(wPQw zADFIUb{Q!M!c_jN(e5t_IFA-ohjP0O?s2EmKhIr^njTABCcs*gyH&#oP|1z4#bEd& zXFov(VZi@Amc0GPy7o%o8jQKj-vDywSJOX%smhY&ooC_DWbN3_gWE?DE~4CXxMIIa z1h$nqelh>*h4na}*f+##JmQF?_;%8TkyZXGi`5<_ainLsbpSRLrWQ9wCQWNDk$3Ab zV7+ZAZ=iT>(g;W!{!gr9mVPybsHy1eLXpDh0&CJrK?OEt4xP1Rk@GF=oc8<2y34l< za$c(8KVmsr3gKg&A?fWjzR&-IEYXb4QdB=fmP00m>ty#EX+{b!|28{DKOU#tUmj|u z66cQi-eXM&4TG-fKc<~IOKABlMy9GISIL|F4UlJ;3cm}?fb=6`8`}-2iD*n&F%>Rp zPjHU%I#2rEp($`(=OTN4qWn~Godt-kQb?fqN`E|53aEIqEeS<%vcK9^Q<HhfyXV!C z#(z%o$06KojXKihBJ|U}-^$(i&RC_g6<n_74?F}flc(4zkAyP|vfKJqYoIYt7~3Fw z00Cdedp>V9j?k7bgdm?$+CEz8INL3MdPZitYf)DFNl%T-SJgLw@AC`f!1o&yF_1)J z_sOx#mo>sP!vEg+P`zP$Ve)UCWo;d~%E~5&Y#AA$L#5bXA(+wm*fcXO<}x!v)kH02 zyPH}#Zz`!u_m|@-S$w*Y7URmZ7fv%CAWkbMZCxM2y13l+HQiiq(+5qsY!i;x&+tZm zUAzuWyrGMfO@Dwk3`|zL@G%{|>FM4<0NbPYY~46)pzSbtln(2W-u_3Zv)23b?8uKR z{omucc?imKDffu2oE@T0H!sJAjV%+cuA@p%;+@}BFr<@<>6Ep?d35Ritj9wi0tIB- z9&<KPxX|NTSF6VEiDih+ZX?K2$aCf0N4@6TT3^r*N&k*#ZHI8Rso<hD*SmRSS;1^1 zzNhTKb{9Vts+E~jq$>Z)MZ(`~JmMdNLR{Vv%KG7Oeksruze_DJ<+1j57yYZCrDeS~ zvWQ4#Wvz8O&LI{v3ZcGDGp{3U0<KvvyS9tmL)>fNLTfiakF<8!zWj({-k&UM2E9yq zRR0_tM1CkdV+uNR`0?NAq16c8x#4x*^j?nJGO#Hc(_LLTQ=ZMxq?YNzv*e0>{r@zC zA9ff{`=fIj4Bt$qx?qATK4g%bAY?87>SlU%baPmDM(F;4wKUeDtx-#d2W0T{J9*2y z)3j@=>XvB<@K_oKd|nh329eb*k41vFXgGp$7j1apihNymQ6P5~+6wT);E>ZZvh5hL zS*?rN!@WkqYnAavMN=Qz;NbN(>+z1p4gI|u>IbT+cs&n`lSFG9v|;8d<8@}$sC`#H z5}%7cy%zCCx0{TTtTm;HfOePYs;R*m7t;4cgZsh83_i|XfPyti=vDpBNv0Bvj25Ej zHK};LMYNhbR0pYb3FoHWnroddgp*6EW8@w}Ha}+cul5Lnen@h;$Uhk2#E>+o@q<10 z8S;Hw<i^+Nxm~>}YoSD;E$!bEunWY@B#G?@l^o$@Gc~Q)>tt^P02`%2`h>srW;~?) zr3(-0At#>xas#FhZ!UYU)i?L+MG7PXpWPMwO;NB{cRw^7&ji6KGwcuo$Z_gR`=u=S zB^Ow+PZ@gu*KI2uayg;~41PaD5hNtWa(^U^IEoJVBmXA1jCQe`J*p{&+#>B?G9o%4 zW>8(E5m5Ev;-Re+09t7ZF5AobU{L_!v^(zTp!nADU8=Y(!M0$W9pw+R7n_;BGl~iZ zHDMcvStyGx>m8D)qfLtq_a4(Z8|Nz_xL2;uf1NSYQ^M+G)nuC>LzC^(r{1ql%O1A} z5l!QK%MuHAzO~I%c#YP75#q!hvEiR8OjiDQ8@a50p{dt?0_XTms6VI_1)1R3llr%l z`YEt|KDMXwGvNI<sC;??cN(UXClHgl+X;NKLbL||AVIC}vSFsX??+TOY+0lu?toPT z9B0$deLZQNTC4u)16uCy8x{@*rL|$HNa~4Q%}4$wRG(w%s<og{eX@jZEKT&sba&0l zONbQfjNZfm5VqHx29G!Du%lsQW8L|NI~je1xp(TrC;t+;`1u!5IQXQ$17lzyE#2De z``(2UINCU%3o}JC7`6&J5u33N?){&`ioM*eZ=M)qNzIk`zdT2|k{OgXbNd3-)IaH1 z=p$leY9jF=#^5S_VIu{MEfJn24?U*4bIGB~zvuwJlHe=^19EsHHrCh_6@fsRb5s35 zPOafbQ@d;7)hJXo(@%C6FOQixL(nM(*^ieLXf(3a>X)2{Ea7?fyyNj>RTLyL7pDW? zvtP3P<kukN3jLw6o4l(ezDE|bXE@;EmiP|M8qa+)U%3aDdXi*8?4NL9ZRng2HC$v$ z0_Ff5435{HVPnv{MU`;rH~@hU?u+)I?lwzPBgkzy7F+VIhSglVNAk4yq=iT^`rCK7 z<`Z~HIlW0T7y+J^blN3^bOV?Vb5s_Wvqo82&g#V=dY7#MK5l-*X}!_M{(FABH*YKv zw7o6<f|FbjkXE*K$6(s4QI_^<@9n_14-6of!Ln6N7e{YOp;+u^Ak)u41rP(Y4wq%3 zi^CbG!WwyJc;@EkNoRCo&@a$3XJBu4)#i7@U_8m*ZJ1DVIcn?BA6Oj@;de5>jQM3m z@Y`=HT@nQj<*&scko~JyW#QwF`Ve-<Au+GW^$G*FeS{{29WF)EcSP5XwQulknJIvj z-aS8>25hqJdYUx7$aABr;jW^L*`*y$=cI~E(pw@M=6L=$99L-2z%Pttcq8s&21nR3 z$YBEH%ZnPnw=1fTeH;<fBmZ11Q=Xjb>2iKPhUs+zBErqAMdz@ce|lLmuhEA7(H$~{ zC;O*q>s{-<Gu-Cwm}_sAHy=M|F)NU}7N5oU7V&<^j`JtD>qJT3iIEdELA+1hWh~Y? zEZ&PV!~a|D%}jn~pL+}$V+i;LW~mt|1Ar%5y?sa^c=jf0O+rnsB<UKgDLJ~{_qn_D z9`UIfeD{hAW*%d(7XGytQ9FnGym97C^d5Of3KU1eam|L|6ez}GQC-;R0s$TqJ`NK~ zmdM-d)VZtJAD-K2>`15HLTmzbY)O^PartLN&o1BLl)tm>)T<9!r?WrkxalAsU#<{% zGn9V%d^Gq~lw8a|E@3nBW2~hngcgadr2fp^$m~jKl23B{8N6`zCz%i~R@+qjwtOIt zn3sVPut2v2!rdBEgiB|l1_0BpmdMhamqX6>gf(^zj9LGg^yA|pzW^OEC4qn}$s{$D zZ6qoYu3gt#1Hs;^ylk-rNcFgM*+KsiSM-}g=eI?@-M77~uo}raFQ0;cfV{0(X4e~` z!XO29&f)qM#Ylaqf~>v?Gn0QV0r^I@zqnL*6V_~E>S%+%@kE5y3^1anA<VVX>T(yR z@6nVHSDSME8SePV>!I>~JKYGII?$=~B+^si{(*D2QNab2ccjk9oORP?7dB>grM8+h zk$Bx_>sPB=;LTZ3p8X!kLgSH{)G-@K{`ZkDv#hOe>9zsZjkcQtSzFxc8G3h3IUs(S zy#E@oP4lxeoTx$x3$T)WD8bf;2`x6{U*QS&r$U0>sQi0I7$t{mGL(h$)wQjb5H=*y zYRIyil1g0w^b&p~uRsa<F1|g$*N`7A|GutC3|0M=x`1`%k4Zfp=6?hVRP`hkNsBxB zF;lG{;-@Ay*cA1Z<?#!+=?l06rsw<~PsBO*gOX+)BW4|dTJ(YiX%)MQ<SDJ}X@y_C zHm+MogMzw^gSyR^vX$Y}^Mo1qpjr1KtcpPEO$E0J)W#|oWyw>Q^o2{<8F#C_`!=PS zl|%159h&e?tuN__i&AO<Fui>&%Jsv@FRJ5zRNwQeIfuKJ!z0W)JZcLAy;o`{e6I_x z7YIOW=-*1PB~Z|rH|ZtTm3^tIMwrr}a5#N9k*71|R$d-8rd{%Q<ZwAnf5gl8>3Io` zeywuC_p;`72iF>fdrDfTa>D<z2He5D{O?HMWzF{vjw||qc!G&7P-=8Xf_$ICXTruw zDkuLJLDDFDlG1_^Ab*hL9cFqY8in8;9&d<Q-cN9kHo6c@gmaHhvmmV-rM@Q`UGt~G zyd%m2ZXAA!D*qo1))f&MTG}O0Y6k>{E!j}dZ*@_M6aV+PrGbyhqkzCL#Upy(k6`as zjzE_4|7UDY$<He+?*vGmeNBjdA*c-N9=&Q|V50IhfpRmH+K(ea>#B&c%JMn?a$BTY zg(-iu7asn;a%AZ#O+CksUfy9zK_q(<tFyCzUkiYJB%=OWK*oK{@|VHXYyRbEcSJ4= zV#ZbfN8GcC&5bnS|DNpf|I1C9OaUVR*qfnR<fr`CG;mWoY7~&{{XL>_ztnYn-4EO^ zeg7}nI{!Vn|0DeVKeqf|vj0y^fJgQJPt^Z^MO&a+)TaD-RKKih`BEI`5uNsBmH(Gj zY5!UEKkV|#h_MaL5~!AuuLtNyVCZCJ_;4V}Gkp8yf!Qy&`)!p@s311FHW}CoamMq> zwR!tfJu9J|-ef}%-66Csd9rq_5(qU0kzEVqd3PaJPm-iIx*Wm{bkN5S$a5&%xX>6R z-#3@3Es>venwY!%fMO;*eyi5z|F~OyuClov+4O$T7)NI=qQIs~kiB%jwpgQoFp;*j zV8O|=c6jQ^>*7sizK_+qzv>%gP{|9hk=I>PbuZ|vuDMHXNg?Xc-_SES1|TB)24_wa zxh*X>8Dw~j!lh2j<9G<ilNzxGu}T2E>8lI$D0JM7=8id+Tgj(U_Y@Y@|NIL)C$Cv? zsrMc4aYC25{FZ@zkDZI~ZR^uSrusCuudz+Q|ANG(&L47dbrJiMcFo^i!V78N@f&-p zZXaw4-Rz-|n+TnNUhB~`n@WN<OG1OaXZ8`c7&va{o*~`%v~;#xzb?NEGIlcJHosdK zkN)TXQg2kvpZ|TuxTurHk7M>M-t3-$GnO44AI6uvr@}K_hum7Y16+-9I@1Oz+9DMc z`r(*ZUSgb7F@qjTWA)5O)^@M`gie(x$$1qP3!XCw%ynR@Eoa*uOLB!hPCNp!+jC(8 zdX|wP&AVzf;_lZA_PT#RNa|<e*x1e9(EB-pG(F*<3(I5X-C#zgn1ez$LL71gOA)4| z3@3dHH9-IXRbeUsHJC@))y+Q`M@-@JMv3>3#5|w=qsdkDM`y54j_rqT9ACy^>f{jN z8BCp<KP`|M#l8d~?Dt&s^Pr!ECVbXEO8fk3cLQ5p;4^Uv?<2_6IM*eL5v4->FU>-% zT5erAvUf@<=_&gMPgfUPTLz&J#g4v*;XobRJVDKif~Q<Eq>4vAYo|MX1RUYtFW3>S zC2J&q2J3`u7v6#~ocqMpGpGmiILhmrdCA{CYXLw?XP{HPR&@A2hyG)*?4d&&kY@hJ zeZC68!bJ*)T=xM?AZD1VZ8+u0S{Lp~|647o!&4`CWU!lP3l<$_dF{Ba-dw+z#KI$d zFWj{Dpyx84E_PUkYc*UG*}@yEe)6Lmoa~-3pYG1ibeZXLdmg0LTYJ;#t3KBPCoS)X z)C0t2pm7nKu>OvJmkKgQyn1$f-%EJp{_x&?W~t7s3eQY09|HTz?XU8WDmpxtNvu0( z**&<$J}B0^s+e6+ai)H__j97h3hF&kvgVDred6i%e465BIpBWq!o+1|I$(pw$G(4C zMaMI1`pofGeO=;s>%UB>;Z6EDBeOnh?E%UoJN8s0R$i)iGonIj?`>Vzk0EwtyPDj8 zqM~w-R#vRbyf#A9951mLBp6a4ek|Gaz_3h%O>v-T-MO*u>?S^fQRqEe4dmIKO@9}I z1#4#k`$xlKFrl5EKHZum3QnFnAB?Ns=fc=RIIhX^n0MSk&j|yU6}$UBDHd^Z%?ad) zL_`%Sv6I(#w2g0)aO?Dnaw!{C+LQ7}{i^y#J{MddmpAL58qyH<j1WShF8GD~l%frX zhD5YI?1gM&`b*B2v4G^#@q%92kr|$S@vA>YJ&srp`oD8@)$D2bW@HfP2O;4!-jwmw z?Muf6Z3TXy!Hjz+CP;{1;V7;315gFE{=Im}{w^9hK2S~9WKpIAMBh;#Td#qr`@D?2 zEHaDH63rPyS*TtNa=ho^PE;_C?0DF6+DX@$(ij`>PM7IIM`(`CpU!Hn!UY{(gIyp- z+nrs7K^g=TfpH)G^Ztc_&<`WJbc_1@38dO0coXS0Zj|~PbxbvfY+-Bf6u>gmuPZB^ zjnuc057TZj)di~Kqa_x13fe(5mZgQ%9vk|R?SZ<svAw3F-qf+xI%`><nlb2l2WO}D z=47vu)<h|U>5vn=i_Nd&sQWQ-aLm5JxF7=VA@0oguF3zZ=`#Pu@NJXxdOwkr5RM|P zduV2zTsE@&2Rt;}Zu$r}C}2~7W%hR5j0##{XpY;X?C0sbMU2W(1iVDMr(*>+j4mI> z#Z8(_cx+$3SWh5aX?}Dv-$z%gFTsDInyE=3d{DWLohiSG-x(?j7(x3!{eNis%BVP+ zu3OxLySoGp5Zom=B)Cg(cLpEaCBfY#xI05|g1f`u&fu<>=e_Iu(Y2<l*HlmSR9Brk zXYYMX8516i>TI^o&%Ze$#7KmY=AOwQnZuw*UtqsCpOQ;=o4j3lY&ZPR?aQ?&ZVcq* zmAG-s)unF~OdVWZeNO#br}vbr^`C?C_pQ2M&4EF6#HLqXnsh#q&hHQ{xCeMaM2di~ zsZ6bRn7`X-UZ(lnAg_}#n4@tM&dq7#b7SL*fkwQJv(AmNFn>{AdO3Epj!Lu%hv@eM z|2yYwc4~;heTYS1ga!ZD)Cx2Lei_;KD93$JVn|#?Zpr$CXHt*yLeI5{_W%hKi@|#c z6@6|tq^oH@UUySG3kY~+zNqLvm){{odC6$Rh4*I)l*5#$XvEAxx;U}yu7P4l{(iCo z{rB1D{WHnteWNbolMi?axaTKcKh9j!98Q6T?<@A+j{18nD2NF=ejA_#WL(lO`F=5X zIhJ>9sh5B&*>m}ZKMd^=UBHZ+#l?S(tz?_vZ$UIZ8t2W3`x3khg-Ye=zd(qqzc0`2 zj!;b5Ceece|DML*?NBN&%aIb7{IiD%|1`sKkG2aJ?JYghA3hilZGpvj9H!a4*%qHe zb?Oe)mQK)+sSNm0WpfFnz(wORR!2ZUg~9<GXp=*5V1ru@oY<FF_38sEdiX9%ND9YN z?-fE=e%;%$snr6idJK6T*~Xs@tO+#7pXWP`#-G1}##@i}Ead0?Yr`-cg6z=k$6^fO zeeg~-YE#YsIcH{O<G}l<i7wae1P6gY_oA`Su(KUpO8vM?ih4j1t5L+`*g{r{#ywa^ z!hBW=3$t2<rbFzm!}8=cA>99(kxunW@%qOJgb#?+gF|C8P^l%@7@2ez&ccC6J62Jb zf!xvyKqt|6-=6g-n*g(Hi_%plh+0K^QFotLDy(V>K+1!^D1WwkbvrTn5dBUH$@{PF zzl*jFNx+bDMQNX{fggRw@<frkbJoEdRstHANYy+KN*V4}!A6At<_2^~UMwJico2<7 zUVE@=D|;T#gI^ND-?{g{NhNpk|2?=IjupXhpJ6aKW###RpZNOVd-G#Hm^u=aCvsKX znD01|WmL$9KK-^rO6XriD3L&W=dj|X)9cU#j1Km2PCL#?k(_Ug4*o~+a-owyNlLYR zOu<^Gl@Ip3%2alVe&&)F7&{{T0sn#_G(65~V2I=MwT?IaUunZyO3f_ZvBn=Q%eL2o zhP8r!9TP6mVH%k_1p=e2ivcv@*b{bY_i(yT*mId5<~9^g%Mp?G(;T)B<U)Hw!_tu* zC<aPi&r%VjnDY_C@mwdl%NWHyHdw6$djg}yKoX+Kr1TTS@4KEnctY5ml{%n|`HKGv z+ksu$e3KUY6s|Yf2Jf#G_d{dH276^Q{valfPI*q-4#S*p6%g+xgPP`(c@NM^HK2r~ znvC=;4zT;3X0+n+hdsO00KBYODUkNi*97!Gmc%jX+&}OeG870QYQ)7G-ZK)Yhmb7t zB~NQ<ne_H!JO+S+9sWMf3ueb4CVl|~9Z33AH0}IFCplmUHzM|FZ4*2bbpKG$T*Qa& zYM7O-HO^m;qWs4V(NcsqA*zwdu3fznfnTH&eNP{mQ0R5rU-h>Xsy7051A>JQ{^!f@ zF%k!C-zonGqUN*nx=L0{`0~obOajKQdrg0hcAep*YfG-F`_~+#mQuChu<FRpvXLRV zSJ&}@uTL@?)ylZfoam4K_cZ(lg0Fu{UblaShspm8A?t9zChWfGo0|XQ!s~Dg@bL5L z)S;gBXrLuzo56Dcz6E+d5AEql>hY$qYI(c@l!Z`J3|O809--qEz#GR59`eZBd7Vvq z9=m$fRWt`EFj60aUdKblwy`5C*^H<RWvBGkG}Q*SGyChcJF~BYNzz$uMM24<USaE1 z5eh3MqIbf3?Z1QvKDd;0z*3!zAv@#y8g#)8iP?mmP7*NCbKKui=oBDh*dcmzr_0Lt z_Jdod6X-{FqYKWPCGmP~=Iufe-dVVt`q@Or?TS#?fTQ3ij_>mG2;sQ@4N2LqaTY#H zdy!r!W9o)Ce;CJ=Z9cLXziI0a|0&v_f{K+Y!(IEvaiimg6DuK8s`vOazMEhwx-0Zt zq&-My!0H~DRIM@>IesfUAx7pP`Gs`gK__cthM9bk%(L9El6|QG#_Rktks`J0c+i^} ztND2F?rCR0Zq$G$4c?14#cq2pHu+TFpK^IUCy!*+zokKuxB2Oyyi+rYjdj0i8{xR= z4b!k<`H0%Ef<(PMU+WV{#AAr=R#)q%thAYXw5c`l@D`*_USw#iF7*!e>w_97B73R< zS}-b|3rT{ht?F4Uw^HL+lMSv(xw9Rcl&W=Fu?<zq@~R5Teunj_I$(CuLv4<VIK_aK zv{{TuTlAVDe+`V6r;CVs#kgG+XCu#%_~&Ix+mVMK*L&G{lz1vW8Yi?aTr@x;ixi2J zkn;mLsWHb%?D!wc7|Uc?Kerv`xUKwP<P*9DSa<+UJhtTyR1BxJBvM*0wo_Fhjld0V z_xwIJ*h1`R5GC8X=sKQSFCWJCfnf1Cp>T?|(MwHd(y#i8e%zD@)3fj(A6sATnDljh zn|e!w>Ok~h$u_l*ANwO4Vu@T2vn<M6g$K}cj_*y~k+eNjO+HS#%0Zaz)ZqvD{zzQB zUsKXO;Dh+nzV)xr@yz|tL=k}-Xtb69J=hue&y^eX0FI<QhoW7h*8a2|YnY&0O#I(c zStDsi4o0s<={mHA(&fZa*Zm@gou$<6QwhyLb@-q7za+okKw91nx2a`F0{ngE=4ika zQX{Xo%qt`CWtrBM0$sDqht^qCXtEiVNUucY4(dkoOz06Az-sG?=k9`HV#wSUkSHoD z=@2@eP!9>&bAWH^9k(P)>pQ>HiBv(?jq%(Nf3mTZVi*~H&-n~$f6a6{eAENOx1)M) z)Ll<+WwtfmymqZBBfxs-QP>Ww?gLY<$QXSa)l|S)mp_Ar0Hn-|zlA0?{OIqID%uXZ zeT6=p$|Q^>9549Q^am8wj}LPic%W7HiZV7q{1OyZoxBn^Kx9t3fPl}f79`QqY<XGl ziNPz&;;tSmecE#Wz<5`@)8i?N3E%QC;^DUMTHvqapj|IP3A&~Se!@>qM&MzKsQ533 zk8qRUX$9R?O;Wa+4%YAHwAzc&4`|{vrFB0=O!xeX7pQK7QP!l<9FzM`_iw&kQQ}aH zH$E15L&9bW;xI9A>@7XJBqZUVCmN5L7c)#iw>f!7EZ0K|XeJDL&x=Lz?kRG%R@A!1 zWSLBA9i|m2x^Z>&3H&xp1%z3#d^iWZ_kkZDO{&i3(I+wT8!n(lSb+~;FTAaQw{N8( zt%yU?CS4Emp^pm$`wA_sP-88S5;$%GY1bbmGp4Q<Onm~W+0oe1vIOKtOx*|jYRg&& zRzok#pt{9R!PA7UuWamr3O7FEzbszdy|bMW2VUQiK^`rlgj=xjK;5-?2brCVr|RbP z76LKD-|2R9+JB0U^|5hBRS!I1_FmZUQeG-n)&-lF{7*<B%g0iQI0pHHtJw-~93A*I z<mKcPMoJn<W^!519kj|rcC#)BZXV;Q%C^3HZUYUItQx`-_p2^5F_2S(oA4^9hyQ~D zj4PjC`3<iEMXNM0Y!NM7=A?PWFpmDm<>Gjj2fjx(-evjyNJE)*T1V-1S5b;bMc`Pp zRpeULI&eeQd!6#m5*C0zgX-f^7VUs8$c(GYsn2HNhm3>AtA7>q<v6)U?vI8`UJv#Z zYRi}hn(2GhFYdZ<&o*WiFK>Gtj;3_1%0yZA&jZ<Q5P$#RHK(_b!&Ea~w4=A6F20GU zv4QPmZ)1ANs~yFi{4OMUiFVQbhA7_WRNR9e=hsw}NHL8r@FEq^k;o#>z0_rhNgbE3 z^bCR*SUqQ*PUnbr4Tc|hq;>3cO;Um3zXB<fK%yLfOXKE$n<q9WhaiG#aPxQ>RfS0n z0YGoU_=WTQUg#smn#1#`BNx&GUJ&28o1j(b>3pwaHWt439&hoxx7_+~=p@Bo{$Z1h zjqm4jmPr4O%ee~1h~lI8j#wW6MnY@vT9C>gHDuSBD^+BJt$QzMk9MHiHU|^Y9&~)3 z#V*~IwLOyN7#4m&PjX4JLCaDD$C>03G*B>^*0HDSsm|Ja|1{`hDsj#+g^A8qoQ(c+ zyvSAsd%;k2&#gRp%nzMC{7u~7{v3tOEHrTqw^do2trFjGuuE6q0byN+c8^+B$FXzr zd(d1v1t^h07Ur9AsK3C!6i;_~ECc1fy^u8z2wS$q-(9{=JTjZp_RDa(R!TMa6re;R z$Ix&J-r{tM1EO;K^X%?bgM`GuhTC`(b}6&mL!r}WIJVjv{}oyQF3Y)xU&|#QRV}`t zfxl1&P((6yt8cL9sMH%WS7lhDzk)ZV6&aI6_}tI<?lpxnn15flfkL4jTcSLAS-K;@ zv$?Z8Y_dMS$ZpD+L>UZECl}eE0<=OTA7rki;eFiP#pw7-^>3V0-(DOYxv02knIfU_ zZ*FTcTLF&Q^7P)Dvz*WVU=vBT<OB8Z7q`Z(J~=pbmey$x*OpUFDMI8`ir8lLF*L;a zrl^>GnRfo&-`xh5SNpJw@z@<34&fDK4y`nYL0QoW3~;sYe!-8EnOhH7J;0!bl_SR{ zP&`Gv=&J>jMt9WU@@46_vfZf|+74M%+=Uvk!1HPaum|oaK)QxIrKavhCTfqy7{MGu zYUSTWCVSjBaWb8@_BM4x?X?F#{$+d=^g+%7<)d6^uBk$YZcJl4FyW)W<|GkU3(Ms= za=+8Q;Cp})?wzm5OkNz8fTTDkg(`m6OcBoqv{qgRmO~L2yfp%_QY+S5%;$5B%sS}P zewGEI8;pVs=iJky$kRBR*8IDyf{B2>FPmqg*%3Zc8xaY}erna#gfMErY*)ezN73ws zPS~HvW+uH0hiMHbek;%Fw{h(~hf(Y!DN_dJb74b*TuS=J$1`x}K(ii~yXx&uz-nCE z{MM}VWC19ehiMu6SpQ}<o$5Lob%g#i$ajzIeoE)So;Ijc1g5NSnk^xypqW{LN@(S4 zD64;Ide1T0&?b{H+4C#>g{n4dK;cKYEI!u!pufK)r0P%CORYPR_t!%sMsN=llTL}> z^ti@Su;pT&JR?RrP>TUb<|`z84=@~f|Lo{(WsU2nTSoZRFAhP5yy{A#oo-?bYo4qm z*9jYUVdawf(r0{)?Z(XcBr^C{(kpbYKk`Fat&v{uy5%Y19;R8xw`Z90+23+v0xxhG z3?$O5eF?d>I&>RA_#ZOBN4h6w1KqOkv$Y22_t)NR+v=Xtz=CEP4;U0$uYCi9glcKa zL<az;SS0HzqnnjM_9qfvl8Uc?Kdm3WcKUSE3NJ*M)4=~m;-U?UgLy!QEi8$}lpJB) z)tZX#I0K!1;KjhvQjG>Glm%=OeXs1XgwgtF*hY05JxnJajD+7)wg}{i8YAQ`xO&ev z*XE1rXR7J-fOI}$YzzZSC&5r)qU=yFl8jo_+$2wbEFsJ<%bT#@2RTiMceQKUPZP)E z%0g4g=4LTKDu+G2L~`GCb*Ag_AJ+6X6YKg()yI#6wpVf>3BN#eOrC-@3h8)#BrT~= z4%FqUodSS@G`jnzuRwX)bQj@3@{r}GL9ZrPSARYs-~}#3aVs`Papn~1=d5J#&`oRV zTQb%z@a*cnGx_n9kO`e}J78*_$gEz!R?=B4&ah`m|8tRZ0iSV@!-2CHJ5NQYUn~6H zcu46dB&z}BEsmij9Ir71xEnk;+>>fZYouAv8Y;|FPEf#wc1iCqRq=3=Ez2Wq*hn@R z&|5$NaGm9NkX8rX4=B`VkMBhj#S-2w%Gk{l-5<k(I>jH4E=^?Qj}VW{_T=4X`bK@B z-G(HDU?sU#M|zeR=rq}kVSLPv+KneFHAbMk^`(XKZ^@{2`&v?V2G=9ex7Jh5E+XRo z9!h8fOTG*F=f{=U%kVGjTtO^(>FKiHJx|F!fic^cP}rE?53E0D;jF`iWt~O+Qlc`u zH3?Xlw|w1NszBK3{!aeLI69`RzI4iFmjabI8|mW15Dp4?`o}Ph*0wAkjvD6XuB_$) zZLI1Miq=*iWXh&NsT`8K_wt$Vj>}YS(XLr%PQ&RG7ux)ramN=XxmcaukJFYvHP6Sg zz)k_D%B(=spx}vDZ-kmExif7qET>9UI8sTAnjlkK)VQ`i`_j!cj#xIC5;U9dPKrHW z;D_q3jaw{nNpbqap*BBgrf+I%EXF0puiyl0qMk3;3%^nnKf!f>F`HuKYmShkm=-Rd zQIg-oPNQd~X$mww$My{C_&WeC2S0>e4m@xjQM4};r!5nH#Kq70py{HMJP(JNc@k}d zdTQ~^lj!~$On6xHTdOMT{-{;#sb|7b{Jid|Tb$c4MG8NP`@x?;;m?qcJ!?ggqxpnA ziCO_)INhboNo?tSaok-mkC1|lF8CgFf|#O#4?W5g6<{vAq*lvvkXEHezf5|R1{`Zr z?B*QOp+-qz(&jNQ+vAS5NLc5+b!scu;Tm>vUP6Q(<zP_ish5Es{lgqrl0arpPA(1$ z`4I1?6H;RjWGs8eR4^HF|EX|&U(&sW#u}YbH}IIOR!S{7*+`Ko1k>^l9c9^q1SO9t zfX6&V&Nv9h%8|@-FP&E;GxW@=8Mw?f!Luq0%{?4x<OpSNiUnogfD{lGNRRR%Q4v5N zQ?V8P4<Qe^RWD9a$0HWT3MEfT#|9-&Sd{<|eu|u2tb#GXqyD>Mr&BZ0*ECHiNIrC< z;1r=TFVA?lVO|!r)yn9pI8>t&{1mAe7VoYLK0^Lph7iB(=vV8(;|95SRUj0E2-Yf- zD1e^ta_!#eFIwZcG*n|1H5Bo;h0g&gl3@!>uu6ooV;H|biQ&i>yPBy&Rjsz2g5Isy zC|-)7GGMHhy)f<Qj;3^2D@b96;|^J74WJtl;XdfEultL85_~JYF$~}GrBkZpXAwgR zTbCtwEY$ewetCK@2rI2-y#h0maag4hFGzd1-8stE)>PLNog0{J6>%od)L*0-f6w0} zJlR-nFTZx7H>m1F7LY{d-v1ic8RVxKg~<*hI!?Z0*%)!+>H!>Ngs+<@tz_A=24&h4 z^o%#-@%rR0GM|KGng9$cBY>n3N~wAXs>W<oCRNZ4AUI*Z(=l+{0w@mnNnxKE?APd` z#>_h;+l<*C4r*7`1<8Nsbdj}e<X6Zja2?j|Y)IKQyWTVlu)}5Wq0BnvUaVxvRr7F4 zcs7TLwEnytT$EtXCCeg!Uh@n(t6HQm3hkRtIQW;b#>BQ`5d;+M2pXmT=eMMK_l$ZH zI=@C`R^8#}KEY;E0kr%`m@HS4Zk7d;A$MnQCED5Re1nIT$uJs($vPWCCEef5C8c;V z!atD9NzD^3Wl@6x<7t_l(*i=GZdQZC3dWyRtj|I>WROy<{qaEc(?fh_w9?Mfa9D0$ zRdJj)Gs<9}MMb(F7fX+r`p%8`og&oag!%(@%bkDv8|4cxE|76&W%amVm-dU^c;G*7 zvLgqE2Dta^36cs8d!@G~rR88TQ$ZMR%>uA1qED{S2OLplB24QF+BRqLP9)>;(=)?? z-GO}9dF(S|;y{93h4sIq1CZ|eT4}~wM1$5AW&n)6;qht8Td^2PczUCmS|AVnN~^E7 z!SS*(N~7F_2yh6AP_>D^%u+BK%Kkj+<G{7bl#kM8$otfxM|oSxlB95O@vl-!>Ax@H zT8&NwL#err4+t54NDqqU;L$85j3Y8H-+=zeJq=y7i*{<XLh7D!?Ah>;`KG^Q0=AlQ zOXLs{?e?Buly;n)H@GPCeY3*ugmFHF_M&WopT>azwBMulaKXDa0lQ=8NWVKM+$;PL zk1ZBl8dSwwK5r}(Wax?W!msne<GQI$K}^J207QrMd~a*+I-*mMb(p+Nqt8(7(?W>j z4x{;Uws7s^TvfrDKF%OZ9oeYS-%PMXxNbY&w5rEp6ix+>L)KI7nOd8*WaU#KfI%9E zkMU<t;LE$@DuPg0qfGEZr)e*L?&d#l9p9J2V3gh<v^=WO_rrCGC`Ry=95~eL;S=`d za@CxBdnJhLshaFK;fgmj>2nwC%bCOZPlIe4%8r&G2ThkfzU1Gdh6<mt0M*`;q734r zf}(=oj>AF40>GZ$7{7whJfU}#BcA3k>lGk-Y=^Z+x`${(Dkwhj94vff54dcGSktl^ z;Sf`xh~@LAg>#lnR6G=0bPLNOkU}*Q5Qv{wXnFHD;V^A#UbzIURs-}!y6>{W`;@1Y zf{=#k(dkX|T$cJ9-VHXM$1AkrcUEB>i%<DibmgdjB15`<r&Fj*CNgh)ldkybKn4Wm z7WgV=wvk=vlmr#{>U-MQWEc2GT?r$N9Heq4sfZD`ZZ!KdQn+1<|K%mW>j3zLw)`*Z z3x)ErZ@#|@H-fD}9NT<VkyC9vCkTAp?D;&FdcC-aypMB%>>t%i^t;<v0@^E15#`!i zj~9z_>@nrz6V?eaeqD(&L>&?M&{5tYVu>S5ABDGny&v${xK47fzWyCb*^nXaT)8(3 zZ@(kaH?`Y$1@_FuSOkck=GZ>>HT?Pq`5w2#Kj*Gl+S0FxH)J<8HNl3q#}aYZWVt&d zaKfZGzKruzDc_?jzBUfTx5D_bB}=2+Fin+;ZS+C;`6JvQYr}>!E?^!4uL+=^Mx+Bo z%!C-HQYC8_kPjOU8f2H*<yzXK*3Ey_XLIMOTt7BO@W6J3&h}{#1iO8}Vr7~1ghxFl zu}KNiD*JN)sy0~^IZmfG7WGAcnL`L%RC#DpDGNj9dqMEqE9b0OEyf}g^&_ntBay|A zzWUr?6@X}}Zi>3gH)KnoSfsYd2FePo&5@nNMbt7vVnDJR-!rz`;FoyR`FeoM3%0gO z!#z2|0`p|Z?U_d{jrJtL%sm>^(Dgm~+dn?a(T!*oY675;*JKW{Cwr?*P1$BnkWXf~ z%y+V93W*^m3cPB6%azY4XH2c7o8Z0xpFP~Q#0z+b{u-)QEkiiqS_LljJtZ36+9-d* z3EWv*Sv&3;4$7XZ*+{1={Cz88rlhhCOeX$GulnrI_1OX9NT${UvrbW@)^L5!v-K+i zb*K2~!Qw!lAH~6dI4?_aUO9SQ^mV+w4#YhLlHGNtfhLP9B{DSoUqcv@6UxS0xGlyi zxbYEC%iIJwnU||TBD_h>{eTx1_ztFRUYg(MJ8hpXqAQw8T{2zMj6O8gO7OP5j+jbr z9(CLGM%{t#rb#+s!6|1hVjAM2@UOTxK7>;>34#7FXSMrzM&?*kj)h2vhyu)qsgex~ z$TyigxXwOYZ0l7>?$`XBOoye#9lGru9|W!}4zNpBr%p5QdByT(*&url6A%~Ebq_9m zTY45k(HreaYs8{pry6HCE5=lla~7^H=07OrXTFCm=OVc@?~}Q{SbsBf%cK^}D7m+l zKR1^rMQ&J4i(JODtp~gn>rT3Fj^b?$=B=yea3Vs!AvBVNuGdC>B+_w;a?18t(X$e{ zXGyMc%I*caXTeN3W$XP8@$`{{9pBz)m}FqcolH>+=tXGOZ7QN|dL5}|r~QYd?AW%O zX45X;=Z+sqq=TQwrBJW1I$&=Wkqt#Ggwa2di@HCl)-8B)BLp<X`sdJqWY$vlYyC;N z{<f|Io_<*~1jSR_+d3zQ5V$BL+@C^(#jWC~98d%N^IG_89i|NpW!X==*o5de;7xZG zw_f1~boF>tshG`YuyC2DPZj=V$M0|xWkZx?n40BVPIdOcvqoodpZb!+1oS$dO_pVC zhb|w=57TVL)>c949p!d&9_pPe%e7(}hcoE&#$L@tNWJl2&87BMOMkD$76Niv$Zsi6 zrOp7L?Na&{^5va$m6r7R{sa`G{Pui4Ad|?OVH5Er4s6dB1h&T$KX1kb>6zZ3cj!TZ zRxy3~q|fte-rjIb++98XT6QOEF54tSUXHw^ldo3_0S?n99d*oD0Q4J&MM2UJOTZAx zuCL<t?(%_<H8){5`5*K?bqimAQM#wA**4I`u8-5Yx)z*OYlu=xtbINUE-eSlefp*~ z{Od4VFgZ~G15m<l$J>6Es-apAUQq0;v62Cy^(mQ%pgo-2Wg3;<3-vq{QFZ7rfV{K4 zAN|CS>|JsK-=V4BI<+cx2u|W3+L*o)=Iaj?#n9D_RJvukPcFF@vT>HB$gl6regnRG zV6y!>>`$no@f>~f|H-y|{6$o-r--1whHa!1<w4lA+mRXmh4$Z;7nFbU3~5{#tDd7R zR;ObJ61b(xbAx!tZ)u&{GxvLHg=g-z-`wECOZhB$IO{n(Yi%|~j}PIs%w?B;GnmvS zO=nj6vdc!KC2~|B{>78N!EBCTVh1P*;DvvQOSrpo$iW>_n+>ybh4E+k!ff=5M9Z=( z69LHGb8cem+HwH(5_L1%(C2qQ*~gkMm}pO4O|a!l$}+a)`!yrm<SCg=x`0?adV>jm zgm%8Off+XzIjk10pOuw&<moQqyGGjN!2ORjj5S*=O6+Hp3Vx}+80P2Wf-ztP#|Br} zV>4IU$5O+G@g=Kr`+Q}Yaj4)W$fLs^FJlJ&ZeZpFH}tXjV{!3_>h}F^wj#q`+Pqj6 zpJQC&)V!C#cUIU!rJq8hmZDoD-L{rIz8sUWP%7O9Rx15!sCm1<vT9(`B@_HOqtD>* znQ?5$yzryrA9uvv-+9V(1sbCKTvNG8C%c<Sd~EI1CveZO#yY45@YYPq2(wc#!ajV% zd7dE~PDesL%S~Ep;lep!UI?Cyx*pg(%T}^X_v`e&pAaa2q#vuH-Mf2*s(M&Un532Z zA#mDoil7A9sXD~HRvS~Xv=kaUjmbv4#xX{W({w^|RO0xXEzR*40Q|3rdaQD`q3~#t zwaNW1Ta!kio}Y4MV`CJvjeH;;A>ur*u{51&-od<oZ<S`0an^5M{nMX$;H4So)S91r zQ5Vdw_B=yulb^DP2qhBwNSBxEajvKN!XPn1H+8;FnrD=M=69d(4`?<Jd!2cZooit4 zeGVc0o3L=DJPrna?^fZcg9{$}q^!}c0xb&vLXL3ZlM3w*v)>IW`3m;}W`%l4R)B~4 z%PCb#|G0-cKuq^IeTEP9!V@~`Vm3o7N|sX5)Tuk_W0@53mzi)W=%IFTbw2?@B=UJJ za~0mM=@qO>FSRRPcw=|9u24>b14m0t2tC(3)4^W8r-TE{Z5`9-cC-#Kg5hQf?~H=b zWsy;RLrAaNyDr|F!EOdvmfOHUk~<sTl=u`CSN483Bo97rAzOx~Gr6sH^pbLlvxPcn zegHBs74PQnQR$&qm{Tnzd=%{Gcx}_zyl*dKy13D?O?-x#CNv;6fUXxIbm^PZ15>$g zf!`ZGXLkX0#5ExkyzsKCyfutoO?LN>DUcKerUHgQ6n*h(iUPzv->LK1@K?4L4eFXr zdq2nbUCg^nqPJ(z%hqD|3H;*Lb8f<qe)GRb1&A1OSfDJW7UhVX%y+%0Q4ikGj7QRR z+-Wr_6)a)t8{FwW?l@U%c7lc}FVapRsc``uS}V|&WL%Im(gehSax{*7R9rlbT?DXP zuz@+UZUzUNog}~VQ-X?{wxgjzf|)sVCw@Z0qFsqS=@f%#=#et^R;XS26Ky}eJosem zgffdCp=RAfA)9<?v*ma5QG3#{K5*~L%8h$B9%-T9YU~;vmM3e4pp`d;8pE`6a$Dg6 z5a9f>wqYAmO85)>z?Dn5U3RUIk4F?E{fB1ppU}zQa&<xjSGc1My4w1llZwQ3eGut! z3f7_Vwr?Jf3|KAN9)_&hl^vbc7Yr!7O3+@nCR1p?&%ToS%o~%YEz?_XV~9EpTvOq# zXX30YMCZ}DVa&Iy4YaNVIF?Y?cDUV-eg-m`)Cl3MGcN?3p8^-}{jZPb;VNKZp^5}+ zU^cH4>qY>?r*w=I*jWcMkBvuQ^^wE^-#gd>#@u;?d9U`Jw@n5^VoEO%+Qha;zoHl9 zBZ3_DiqiArTYd`(25JRAQZpxI@^ACNvMIDe1=ooYq7eqDPcNwTf<kTLBzKU>GN9ev z6J-Ek;mB+6iki`=7-=M&KqHAA@Ocq=uc0BI_IxHrI3G$xhF<y+cTX*8(#B+2LsZK^ zk(YVIk|CAS?sPYScBL=RSA+f1?oo>gotLSf7qP!(H^DOWVL#--BcW3rd0TS2nrwR( zt}9$_vtkVT63?b3)!4T*2A=cRIdJo>tiAi8Qq8?VQC~BqBKA%hcXxE)L_#K@e_9#y zEXQ>zeVT_EOMcX)bZIe83y-cQWlv<MeoY?X3Yib~K8aAinT_(p@cH#&`1}h`l7oMA zLEjSy^mV1}=bTRt@YVD9%>qB}mQhXkWyZQ)bd%eF_j#tP{m)}znJ@q1CNN*D>vdvD zp?!pTs^z_>T8y?NjuP}IdCM)h&uWEv<UyM)*ShEYmSLyIENk=AXnwndpR0u5Id(hA zA9kiR1jRyrA1+t1C{lDLOGi`sJgvrI!<a*|7cA4}wn||UxE*@|t#qFEQ$jhvgRNQ| zH{p}d8Cjzbbj(xp4n8aP@xb^-4eiNdxpvLNx1u(mk|(YDdJE{;iqZ8|SrcJo9c@=_ zM^h|q#|EUz@SI+hlPcCq2Dg~~(tiji$X3R2iWwcHZ9e}iM15O?Z=wslr_ExzT`uU9 zHWFv=x4~gxuY-C5HymGUem5<BM`is<nRc6~gWZ6P#-D1Xma;&mfl;_So&%`6sK%9O z6HxpCk2bqQTdEYN-9Pf&{NF`9r6*E$Dw*edy{ft?wxXX^!vclBeI}D6i$#5Np{cFa zbzy8%Rqi8`c4in~@);!Z$s}rI$V1HvU*Irxbj7lAtUzjPDV_p9cNS=u#t5G}1Wvc= zNhozn_<Roq@{$3`Y``sI`NE-hxGQQ_>_K;(MNL8kZMqqwiRpBi;IF3akgn8Th0*-B zZSU)C?{2rVfm^rFXMO6M4&(i|QD2t@-&Ly)u+Ll`y}#6^!f~l~vz^qZlEjUsNeA3C z&VtqP3MIT@!f0D5QI3{vajtUgQ)2Uffm^6rh*Xz;NCX0#X2JSkHgT8Qhda@t?7L=8 zSrsw71=5-4_fwEHfLN}X=LrOqHoQNcufFv*o-%eFF(jZGUeL=RcItS_a>r+ekL>>n zlhGsj{`7qM&l)j`l~WZt#c7V;fR2(n97J<>(NBIy4s!%K2)wx?D{2||Mc$f;ID^Bv z3Ga8D-~?>jC})CC4LM100y&ykvQ)QgM^r8oCr`y|{JvpB){6GvAZf>Tmb5C{&1UVQ ziMJzkJ0RyA<l78Msw;d{;vj>67`FB26Xd`AllyIrWYK+&Pe7ACdzq#q_hPxh2}hA# z9JMuPG`>(kk=^jjWTv#&D&w|c@qj?727E&0<pL}bNKZ=Cq?f?1t|)IIQgjrBElI5? z&w;tk=wXqaSyTFB=o?dqGH*I6Ep@ApU9HgTF4rpW6XtBKUs^Sor4RclHRM?~(dk}I zTSW}N)v+=3xA${hcz27NRY|o&;xj!)CE~*z-Ik5#JdgCTIn)qQFXrOHDzBjVOkI5N zEh(__zTNL%WZvUB+Nuzm#rlykCq;}5fKFe~^npgNjwxz@y=4aB^Zco1E0K5NU9gjV zb^8)?nv5-+Ss~C%ds30@lY-?gXM9S8E#gTsjdo3T<M5~8)Cc*oc#bxfwO=H1_8^ri zSjw_o<sY5Q;<qU)b@-BA;SlqIy99E2m}cO;?Z8QTJSOdMW1<YV9Nrzc67K#=b4j6M zlkU|?K^JL*OsuA{zTU87UF;P!`L;+IdtX!#lY2bQ<G<wZIXUKSU7RMPAYhjqIF$h> zeh%%&p6(LcF6C6#F>?izyOF_QC8ABNw*UEb8P38kuQ$gS6?LJC5IYU<+OD|9kOho< zrti6@jR<5f9Ev_qX4aYG^?56jJj)D+@^!q1^2KaH-<(Yv<$?AM;@n$}us(&`#JSr1 z%2_P11no<@I&;b$W>1C@WHKpu?+Duv*yq(-lJCDbCKTuzti*S1X;ex7qNeyK*|6B1 z>u@qShhcK=;|6?)7wg0NtPB1sSis4jF%Pq<rS5JvhAO@ToJ^|K$UKxrwRWAGgs6q% zyEB@fJDR;3n+28+JE3yR>tzgF$a-5kx>|+fE<TkYmG?7=t3$`sOunR*OWxH}`#@=) zkN3Ye72Lr=(?<-kRQHgj(^C03a#8wt$)Bb>gP!#^slhr{fh5%4oyiEcVZdnDg!6Fe zGgEjI=g3-YcW*Tu^j>%}TDlRDK^#%U!%J;3EiDzPwW0xLLf0TS9Cr3){fZA7<)N^N z*ttE*A@VO6qi<-KK4#-i*RbA>6i|m63j9!@&-x$fVXUKkqM?Mh9pa?c`~;r|p}o<k zT(NwZy6xMJV)VFcUU#5`S%FxKV<?fW7_c`e$|&wsJ}&<ZQTchll=Qd6!(Q*U9tl$Z zAVei%$YLNms8DqRnD%yX2+v`1qNY(#62P(4=;}u9?J-wa_Fom)cmr09`sZ&jOIqrc z5PH1nn7&VHEV|C$a*so(Z|#J53CaM%-UlmNVRS&+YY#k+_GXXPd7vuFDe_b=4)k5Q zL@H72E?Qa)&23&);ZI?}_<V9%>%0rOF@{(t>|HbdQiGs{9QAF8kY}tS)32=nVZ>8@ zWA=576Sq4}CQHOq>$AXgybR(lSWmO6+SHQmu;1Qtcx4^!f-(t@Ww3W<#^*v0alJ<H zDO_&i-a5fIcbc2te}S66a&)@vU>2DzyKh~R7dkMO`j$gi+)7^b&`;?sNhAKj{v?&~ zmMAhjBKRPsaP<|7iOJbl)g$ZI=AbU@;9?dY>DPh<<=i?-h#oU6)JK_081hh7<BpOk zf{rw<jJ;*aoYHa-H0{-Da}0jU#vFmL6g576f7lj>aQG3wBJiUFy=PnP(a>`H(_Roj z_|Yr3B0Ce$K8G+<gq-d?m3K>lEy85i?LpTuxE5Df9c_=SI~8wp)d>3HRrmIGEJ6PY zd%W;`gGzPNkPhZy<S%QW16@>!?cAise0zuv9n6aIXZ77`JVnH}DQ%7#DZ2AB$%p=L ztqS=*!zMBjz>DHkx39NSXgv<@eCm_*_2b2x0_yBm9tO<%NeZop`Z-wu`QmpSqas5( z@mpH`K%Z|cGmz=x&HdQ93L&`pihc3ha`gFCuwko)6R!-)83`3MIN$qwIMC-Cq2b^g zdSzBtMOk<ZotE0<{G6bHJP)=qIh={92O!EV8$xpn{8>!aF|L2OKZ)X=@9px(aE8Z} z$>R^<h@dt*bLBm~;gy6)b@b}0tiqbjypR88e3$2ak9X+&LiCmMfJiH59zj(io$rs( zQzB?#E!A^q#2@IB4bD!aIR_U);RjxCc3hr@Uk9$?q)b*XJ8e#h*S;!hS%S}Yd#=T- z2#QM|fpM$2#i=GFmjU^r@f%6<#jm^);Er!4+@FV~kJ=c835rwC!rdj5D^39f#rIGL zjQ#O;mz@?fa4p^H-x2rCp{`SUKeDPT>cktQp$oYi8)|Z#Lr^#-2M+BK##VKR3R7!Z z!F%uai=v*8KEe!Gi|E6y1*(hAdIr4ZLMSm<pxr%c(%5sl%?91#)toBgD*w_%j|WLG z#6xvS84A6bta;T7$*v_6v3b?Kb{#)_E3m_>_abD@197#Dqw_Ci+C)<`+0DMwaLDTg z7X*N~`U<ynHg&>k>EqINLVfvAH~4xK%#UrC+8Y>^-wAup83af!Dr|`Ee=f`Z;=vUF z1hjoV95`Hum$X)UsCEYa)jPG!->AY`wN*=9g&_&);As)s91Qe9U)#ZT+RTBy=Rr7w zlx*fevD*o@wmf4xIkIE4wj6YW=-B--e_nO3lWv0bpmQ+0(FhWUvb9*(C-;_kHeQpl zdiIvuNmuPh>y)mA+iKk-$O)pPsk}RZ1^(&@e+df&IoO%gh6KnCx3qq=rbPFzD$;_% z@*FiWA~;{v_jS}qqo+CtDSl*B;zwI91wN2{z0*x)K$_=zW9dJdzUF6%FJ!go(8JED z*uEx98L~csxg||GsO^k3@$x}VVaP{bjoX6=!;P*^2Jb-xSsbJ5gq(OWQW%PWdjc$z z@W*Xppu2p6<}g5@H@GK!kj$xGABXr6d3&d9aa0n-z}|DcoutABAggRa*Jh`BtTs%q z_!y#g?99zW-lT+e!dje;TuWeo1Uq(>;ZCGwLEH3SAjVOTG8;O?d)>|*i0W*HPy5eS zYHtiAJ^*EI?Bb4?2;vq0FGrv$<%U1X6*5O(bFl9-2J|C%7y9wn-D%XJ_1OUr{HeLD zjU{}@wq}cCs5No=Oo0iTalBw1n=wXY`3g}3>XKSphd$s^Y3N5JoY)-Fzi&158wNaQ zuvvwj(l><v2uj0TN(6qzkIGn^hxbqZj_o)Q>k<^}FxbVY(ON<Y69)j#ezY}SoYaEK zQHE_6CJl3qxIx}E;rb)#Haz-0Q5drfp>}IV%mFHVb(m)F%H$$)uMQiPQjb^jGq!#r zcD8|@x===N*8|}sKX9v%uIrpssA49QSM_X&&0D@{5+SigO-f%nqwobxLi>`yK7fm$ za-qIBNk67_fGwuiP9K5OYD*oYF`dW%x8OUHb+-)s@ZEICVHBaF07Lu!uLU~Ftmtjm zAHEC><nF_S@%yuv91<C=WA2+^-5!u1;@PXBlcObk>%Fb?#jBLlxjll@WKxdam2QvQ zCWZ!KeOKzQJ_WDlkh8DBIvkdj%Fz(%3q#+^Iu!7Svox2w^Pt}CM}G*}5x?)`VJ}iw z#4oXKXV`Cg1l<Q0!r|xkXd6D6+deS8-S<p=L*;uJI%qa*gt=4a_iaQDcgss~*T!4U zBgvf);MFCK&3jb8kYocVfIR<&u=jU@?oy^`R+LSglze>C!8rrcZmr4M^d)YB?jb+z z*j5&x2gDQeLqw+yNOA$nMj<yyA*JAzZ$0jL4({=_$mu3v?U+m#eg64%EBDRG<X*8l zJIuC}sx-&mE5$({A?N%{__j0h!zL!E{{9H}wj)7EzgxDvr?#Rl_1k!+#?5O{ji+Vw zxtLo&CdkviLtDrSjK(iDhUA?XP9?ztR<;Jx>8G}2kncFf%p^A+b_!w|z(7Y0+^j$l zY#@Gje-W4vWS2Hey&GaFNR1DmI@BHD>8`&`3%NJfkQy_Pk~m%G&Q_So83&TBk(s3O z#;43plv~Y=s+5-Ivc0!psvSFVT-Su5SFPgewy4QZ2_Um?+D4dI$D>tMnEhBkz1#sB z3t1f<>c5{o8GKquz7BB53`4J+h1=i>boVG{gFb;1)Syu-2a;(I8E7CbwAtzHTz=6q zu;l{kH$z~li8#$y2hYw7KCL@=8@+CAdf%Ka`wDr{qreZo%({FSbtCNN-_Dnl<i{tx zTN3vyO!X73Pj$u$>1KspMeNZQK9vAvUr1wQLJ|1ee|iC~t#&?A*1G-GRLrh5fxFBe zn6VTLv*cj-!(`lmks4vU%47+3bd@w<`eKf`{%T;W@N;nmGizsw%x0%}Gpi!(9ty7! z1?)6jFZ6vHepUx@HeJKx3yS~zw);|6K1@?ORl=vHsD8A1UnqofNww_J#On#5GXKCn zr<JTnsD00eni$hq+t$AKf>^sexgB~~SKmJOlyzn;e@`sV=@?ul&dl6bPJTPKV3G-# zHGN95ARPUZ6~z6fs+n>0OrHSLB<M_^gY5VNaH-BzM@2pv4?%O_?^Ag_yK49lF7$Dx zuod*whQU4mDhUswPIQDuyr@y2hUT`*Bg!(7a~osNt@3kZD<W&bfo5|VWkfaYz+{`Z zM|BR_DifRU#C~hDepxd7q>@t)snosuh*C&0L)M;6mHyXBOj$J2CA300s=QvS;d>sD z7&G}Q7uW^FX${o~WijLjR0k?4EiWV~t#R0ClWc(q<<;a>xM^h7hz}9&5?P!G<$v6Z z|8^+_5T_$`>N9Li_=<xMNu>boyPZo!g(uv;oMLWY**(14<n~+)yejjWAJe_-LJuc` z&;R5V*Xu`9HA@~=VhIrNGceo|L``XMQM$F2PY+y0HKj3nVM#G~Bp3u8rsyh$Y-VAK zYPk$oDWFVL*3&YzjXZk*q21U5;?%nHUD2~8i>^aaT$q#JEd37kze*p#1qAG%=tWFu zPy|gtcEt~z%nqmcKY6UsyG@*l@qIf$AG-^2Q{7C^YjZ3gh~zRJfuCxFY7cHgZ0Ue% zzeSkhcZ>5uun?wt%x*r^SB-RFnivvy|5fBAd;WQvs85^wgk9JT*w~Pb5_;^RDd8Tf zSrA<-P)Bx(t=ZCU6v8ap;tOZqVn8Ngx%Ox6(Xv#8ZD5|t4LyGqO`;`{(hXa-oA(Hx z+D#Bc{Ltf?@<SXn|HBHa72I@)Gpt1na{ioFkDl*}w0?3&#SpY##FaMl@pnG7nI8-c zOzI#X8U1<561^7&-i%zP^!oC(UCFSu8w(I90vtyvdXTosk%Ey?qz^qlo@Hoqe)I)@ zT`u$SltH8NjR)%=-f#gAYpa|Jky5g;diSk7{z63RR&0;GdNS^VJbuJ+dxVTtrTjLu zC}-6Cw)Jo^S0AsuHsO!@FWiV{`+@r*oi5_J0#<Q_su{r8!S752LiZJLlgrDg`_shD z!z`~FL&wsHlVwuG%v4t;77W711{pQ%vLq&rn_n_z4vMQ4n78v?C%EeGV#YEJ)QIdF zqEjb3{0*$nnq=5(*c+_a-Q(bpN6k0;vI-Mwzqct`060*cKBj2At>cw)3oVtWeTZ<s z;L79<83ZVJZWRrpapH{!(C*$|f_g$)uj8@+yD$VZX>Q^TPUbxx@m`H3OiNY=Wa+PD z!`<BR6AA_gWc=q5YGQ6iMJ)Q)7OD6(o{ya;i(WD+OM)vZAetVL9pW%A0)Aow<hSVN z%4IT5y>vS#|8uK%D)4ls5EyIiyOyUo*K<qR1yBOvS75rK^Hylsa>>*kLgs!a&3~;k z-o}Nj_qh|S3jen)$Ss(RQ&w28uNnQ?gD_WQC(lN(09t7^KG|DDKG}CCFfwA<PaMcn z8<BP!P3N`)h3v8EkHga_vP94de&?^n*`ksx2QP1T09xuEGSRTsORoNy>)Q%kgy#TF z7Cq@uI=Wu|LY@$7)*oo1rYzi5r#amD&ir-I*W;cE*M02yyE|TAYo`WH+2R6aoCJ#E zcGTJ8#6xCiTgF+MXp1I<1ZvWcjoTT}W<hes0?3S;)#!Z#F5PagBD{|?Ve0zM4T5Qi zsPSsU1+B{iiG*Ri7~)6Z{f|Q+3Fr`QO88+lenI<01qyQfw2#P_G?DLyUaVlk09~}* z9t0u3KJ46&mpsz<nk)GRBdFuRGZ_74-8EHaeSQnJdX`kZMf}g%;<4EcPJVj}QC_>y z00DL0S*1D5vF2B9>Pm+XhY?t{>8Do2);--xDWP-QXZ`6OH}sMZdzK@c8Q9-#@^ZUJ z3{o-?=xN{WLhoxMCsR!AdHfll+wJzTpPq%JeDtKFcGQ3)J51F62M3umFNPvR|E9H> zya_VmEPtBA@b~%e^qR4~^Rn(=RSjb2)ZKDYs!Z{K*~aZf(bGA>N92q=`ch=&P{@`C zqBKjp;DGk^8Tq8@U83&-KyDJw!C5M#uV|(Jv!_g4b%oZ;4Zj5(g?;#15KdB_;z*8* zXC$e!&dk)+aVw)sb{txRkN9Nw3XUpG1h~FYnT&1kebvj&7Oe?NHxOH&{q$#*wOBSA z3Sa0`5xQ56MU0f*Ji;Gz`Svg5<E1-P;o7}wJByH~o+B)=K!q3Jx#`~Pc^B`MV=!QH zTl1o0Pv|?@T}ALQH=0dmo`9fr)-DJ|=YTj}6*w#Ij$ZEi-JqRftlo$-aIEmFl8MQC zYlHx6)>vF?K5XnA84C^T*`uoF2DKl{o!q(2p~vj=F$UsdAz*TUXPD~;BZr5;pNSnt z?0?T??wiRVmC{rogCWd3MDWkq4>9+@no`+19=J4v=i$dAM0o;gXSPA}(-YJ8Xnn^Y z-ng_O<TCnNWjnh@xP>YQA;T;EvkLMJJ41D^CXnO_sqPRHWv}&&b4WIj(NN_4$=zxl z=iA8$lP<$1{A%1nzP^-yV|4;zm1Hbs_<}XZ98bQx1Uw_KD>m(%u+Tl+B2xnU5xokB z%oSg4Ux4)WGug)rNMkR6{!D95c(o#mUgc_)eMW&ogpx6`iZ(+kflGBtR5~+YY^5z- z<-{@PP8g3&swrLAZu(^nEiVk%&0Zx5r<L3}df8%3Oj7WrvaeYEt!Ss*o%G1xK6OI? z>sP{;pG3kyhEsHLO@d_;-<EppL}u92s3VNlPp1N9+yUm9I|qNFXkhm|*V`}?1yPqt zUNKYr`q_;;pXiu02;S=(ML5pXK+A)#WN@X?+6}#v6}@C|L(qQ|1KG#Ib?_$KU8b$y z)8O7mL**U3@C%e)RLgv^rDWSwnNbbX^Ae<E6tM$=`+M4BU-~{@3A{j%cN~-9fQgt; zU4L{MmrX})#|uiAcZR&Gz7JyrY?OO#wv-2NG`GC0v9)H>)ZBjOkcWTW+~h_4+h*K0 zE=E`}MuGU3|3T^g6U6LMKnZ~Om#ouB)Q@5XeS0w~0>=Xpz?J5hJ5Xuaca9NRVG*!_ zwp@V>e6k?HBFJ|PvnCDVdS%&mVspHOUa?624B*HL-r|F=G~pvazVFhnN`|M<cCvuJ zM-k9Xqm04lj_`6bEb_O<+xMto!cG;J=43qiP362NkDooBBO16}&Y#!1U{ZGdSU<~G zr1lM!TRHOpstp3Wn?h(8KMX3%pbK|{+u`5`h7Z5eD#iuzB@u?8H3sDZY*TE7Bw?xc zV>Lpyf=cki6v_oq3?6-n=3l+`US}!Tg3?>`s**c(v|BTiMgvA(JSShLF&sGwy?X8) zIMRKdb3~859Q~s$AsV(Ff|rejX5^)oXOcU=#Pbuj3G}_(^u^y7g|E?i_{#50l$V`> zP1tGYlyyv)=e0o^v3<Uk?Zji2CkF&va@>1ZtL6m~n{Gb|hD}nF<uy!3og5l`DlJG! zV(<-$S$txY<n9SQ2^^UG71VzGzIvXG9;5)vtqT6(eS3Jl9pb!!VgEWKV9zbcJvgWz zeZZ$?kE+y^)OKf~TSn`t;5)>Ty*tJYv@Ch0<%%^kJcus@IwQBwz%Z_^Soyt}b_Lhc z+}+>RjN!EYG}V*MqAYu#ob3_1*yjzDiTw>??};ZcJQ8Gcz39!12RR-e47&-HYBF=% z@ti7SHTnvtjRzl9?mzZz%>dj2cX*3c!|kxzWnV6hfd`=nDzLBR;*)wY`@#9Z_NSk? zqG7ygWzN^eQj2+d%#fQS{iE6kWJ51^q1cV<LBE)utOvxGFGh+oT<4-aZZA<d(-Z2u z#Ju=nb<0#Jd=q3I(6$XUt3f7!&2wwRzjjW|1HJT^u$@i=`e6z`Imo?AKa^y<5Jn{{ z?RnB60Qx_o0&U18Z%zwDF$mW{WsLbx0`uqsIBU<>`;bo~6u}W9o*OX>e*tkQ_Al$> z+@d1N77ISYQZJKZuG~z&CLV<j#~mvzzAILF!5F2V)~Sz2Os#WH%nryrSq-FE)cEku z=DnXS8!ao#e4q-B*#G{m4u)0Gl|U<bP29zN!z%w|bE7P&$pZN`N$@QJ7>RLfAe^v_ zF?W<DlHhgVr#h3cv98=q7ezz$vSo6;yPfwD0b8tled`t7r+)33(3RVG88b3m@@2wP z@cRFfTF{%XJxz-gDt*1uqbq;KW(uch82tDdjzTM6e`>x?(hA@FK?mVVKPT>(cAXxX zimWIItLN}Q-lwld1b{3$lv|Glh+D2~PwP$XS)#Vn!58xxDWzB6dzrI|sfF|Xi~qV- zxlbdpSya6XL2R0BtD>Rk+i$y#ZUoeT<Zj-<AILinNVgW%{{y~2LBDZh{=t?RM=&eO zrRtMk|3nS12{L(yA(_0`+UC0cq3b`T`E@Y5%ZT{Pd`u`md7~#~!iQjg1lMd1t0!i| z1Dxr*24|Gv6LWxn%tw360~SkLe-jEorS(RHNQhqK+P@w5f|%gR-#MxM<i(Am_8BO$ z7E*^!0}&f?KK+n~*oTnsd=;eLPBDsh6HB{=s;^z;M=c;z2s{F16q3l_?4h!~(QYE% z=r8miTS60Fu)hU_UKSsJUd?N3=x8tK4@NkUzw&2;F7bq#5+vN1z4BV)+aLs0gXC*Z zo%VM9k#`m1#lUW_ek8NiuQ<ggtX6@vvBm%b3@g!~Hykb)BZ|KgE{J1ig=e*n+U=9` z4=w2+I)wUdkD|j_n+J!16_oOU!^upGMUc$N3=StVvVz0O1WRy#IGI;0IDDWMO($mW z!DEAgr{A~^OSQ9xxZlMh1*I+l!7pF(yM&rjlcK7NjCVDj!ohr3_PW7vIGgz0!RY#3 z$ve1c9v(Fts@V9|(8qz_=aa+sN5n0Z{sxWtdsgSx71BdmAtOT3D?MD~kMKac*Dv+> z0$jPw#R<{^PY<Mj)3abYQ9e8_p)DXzf`gHNHH^-XXYtXnekzSa;k>8P>e}IXG@V4# z;q(l9Cp~}uxu?(J!bs1b1)zb;9Cm*D$j+a$tqu14cBf#PScJ_%2RZGd=Gp6$gHOku z!;=>E+v=QM93FZ1SR(ug%)%7m)0{1`eSvX0f9;KtH6M(BI%8yIsTZcc4-mv`)@mGD zVH3{$nHKVrszG6b3V%1>LYLJPlcBtb*3F<lhx(CcY8sS1T+znJ9dWt(JrbPv;QfAW z-#ngEij5aC)TGoNyR=T>;u<B{vwWHb-r#D{$AQg^3<HEG!2pTD68gnM6-f18M4p9) zNe@S)uo>=uOzg0kkqL8t(LRcBncKx|PM}K3>n)~aZyYx3XUL8i%wNx^KK_fZC?|rj zm+@%$gT|uE3z<J<&&NWUE)DLEZzscG9?T4=OI9nNQG)cQrZUYu<!hPEep3_d6k!d( z9Wh^D8gCvgJdJd9X%)1C>Fr<?!j)h%c-xD)q#_T0!JyI1?-HCjQ%t(`6@Q{d(?fd8 zVm`jb1-b~9#7{rG8-LpS=1+s)yl*!Y--zh9Kt)E5#sJ&=9_(8G3-NpcCyl~0r{l%- zjWR;Xia8m9;eGt_3TI@rGL?nO9&;M>7G0ow6IDAF3wE}+ozMfmq6Le|$qV_zZ~l)v zYxnPey&vd3I_P$K-9cwQ`#Y_(=ykN&>gh-0IToK<^K3-}4D>Rr>Q~+g#)Sl1`+{bs zB-h`uIW6jBzL3~8tj+1NAb&LB#%{2BS+l{?#kFP4#;b*tIj%`JrdfAdMo63fw0xSg zkGI-Bwo}nj*NcOlwMMTw``FpXP={Q=<~((OJb3TyV`m>b``FpXp^vzI_Hoh&PSnZ2 zlzl7&pRY8K!`Sj9B;xt+QDEp_5rWm}bm#=*eV9o{IWE7&1~N@^2J-eA$RU4o0Lbf2 zF>nU5GmxEue5WhB0N`jC3j;nKfV_JYX3RPR*%`=NX&{sSP5@*{C;u`AvXmj1#X8P^ z10RF>fEQiMWbI&V3tA>K?<XI8yxu%eXB|81*jdNUI(Fd|VJ!JlWp;k7l7vP{K9UQs z*y8XCvd>cMSV$KAm8@f983)}uc2znf#gf{wE-{KqfW4DSN+rcSjDlC16-8RCxqgQ; zm7S?vl&MU)H;*tWO01(O?L2XUWFw`2Nl|fmOjo5-BQA?;OoU-<cZdS6D7#}@s&rCb zHp0$dpI<a7Bg(tDq~xeLJG=Z|mC9%yeK=_W%{OIjr$u%23P+g_z0DVJ0N?f<e@mRU zItbrH$t!2SIQyk2`{m&9<eye1`o3OmF~L%_gaLNmY*}7hXkWthBD=VGv}Ce>s0w0K z?GA|}s@k3Rm0G~mkmB?b#SFc{^=OPV6>kS0E4#ZjFPXq8WEYgntN^oofk|+`%;2xi zu4bL-k%bZL`uvqsCJPUffe-A`imVyS>FGEGOY%sm85hR0NQJ&T*(vmGR_O5(S-q&4 zaEUkOdP^rdW$u)DQOdk=Xtlt9m&=8xVqQDDPYVQP^D5aE+V5IDkTCpSeXjIKLtzk9 zoOOn(pejEdTD=yfqN<v5D0DmXDFK<1p~LZH1<?4b9}|Bzqi)~npm)^2s?!~Q`OT}q z9N634t->H+BlwFIU=qX<DlrEnsUv9M9Ol3}4T3D3G+G3iYP*U?K~!UZFsdY)*~jP8 zoFTA%hJeoB9R9pz^1o#TUuOb16QC#)pw+%SXrhmz#UfvKDGZ@-23KUvWH67GOn}yW zJn>Yui`&5*MI^i{)T;QRbU*4=`29Zh2!q0(v2n8*h#l9FRpX;_CBBCm6ocEvE&Vti z1zs0LF;GPVmmLP9>-mj;Dy0$M&GWsR0V-e&gRj9buD(&OP(fOc`bUj>C|Qe25>ahh zsw6QDu2E%Uh-ORi?G*q)A6o4;_*P71l<-<sYhcYKam-BBj!Pb}1pFzg&QQgZRck;E zMB}z-EI7#7Pc?!Qj?cXAVmb{*b5uu}pgk7;qKYCk=J@3JB3uc7_>f#{M#YiRvcxz3 z*8ml9sFF(ZWW8iP@On7|Vm@tJ@40z3|3-c1sG<oiw_;XE6HCOdMbuu+jPXdY-;Tg? z!LtxX7a}82D|Swtp9bAv@D-bRKDZ6W=<(bgqpD&st&xC`ek9t1{d9o<{8JUHX@}!$ zI0${OI}ChP9ces&QdM!NGIe;n8y{;ZDtXi^hHdRXQ6kW4U+>m-&Eo|=R0Ku{!-dg> zu&o{M(x}Rh66#Tzs&r3HiFrvKpk%8}`CHq$XG{>a|2#V97fKyu;SG0cLOhv3zp_9; zX}HzH2p5-BX+rOi#){kq!V<mja^VGp<d`t^o)VF2p?dv)K``uvJ4KZRD;2W~n%29Z zTC18VC(zlhG?>6cVTqVWOH65oGAmx;d=I4S{sm-?Xjn9t)^P64uzaDFo1vn&hf2o_ zRJYtZW{wI}H(z@d)eSo#UHzY_Oj=YQ48|e~0mB?f)W~prjV1=r65%y^OB+P3;X51T zfqh{$$<;i6veOjkUuUMMz%8xy*Gk?B*A}>CzP7}z*0?{X%5|i9VPsP`#P)ZOI<|Tf ze|~e<8Q|I@;Zr!73K~-T;7Ks*sS0+fMhUM7jzTDQVa7w$WwQqGOlz<Ont$4IxMX>9 zEAUKM55j1H!{*Tz9B$sr;k(<=%-&?2S}mNnSxvov0-4M3P!3onh_D1gaT2p@SR=ya zbppa=bIDbW<Lu!xHk=ZH;G~C?#i4LA$3ei`2LUtYT0mp*5pc<DKS<86!+)u9$D#M_ zZi)aa3Vp2HgKX`}*)&%f`@X!3#>X%~)?$&rwJe2Pl@yU+8JInuV!*$~jXxRzex>b= z<KC!$gBV%j^o_!CfyIV(E`YMq52RX9a~P+?i1xbmhh7ObeNqnD3%WNbV2xjKq>LYj z<MHH*y3&*}Y8u>*5j_@v$L;0ZZq@lgt9^0FFJ<01%(&mT#NxUF2Yv#-&KI{=K;Xa% zg~$U*o9S#D-5sDRJ)>?okbKphPoa1r06X@7aBfcg1*=n}HRNtNxrO3z`PFXn#Y{1{ z!apnS&VuRJKx-zGo2{4irWH?jEg_1k9Y!JOFK#-r1ty??nz6=)UjhN0|M2ENCR71_ z2uA5V4ZctC9sH$9G!O;rZvyP8Wp-kBrb8soVr$~WWfYG@hvAwx?j;vT!{HkFfL(%r zqQ(gAjIQ{cT27(5d5Jc1`g=L~{nno%*rWXYI|+v9Th7AVOQG?xdCD)ea(`DWU2!{P zUJwStQZSE}RszJaeqd%=4(S%B?N6sFf#1DJk6vCWS-Tf@b|h}@hkus9nK$ZBPR;ym zIsL;9Az82gY$kL;T2h5a&BhDtie!g>D)1tNXyeYCsGScDImNjcj=Ww1<rw-(R=*)2 z%b!e!gK$%k8l_MuPf6ZrqXKprj3#ufLU&VD{ncMybYEOzfm0O3=JYA9ig4unet)eA zI6E#gM>4VdQ#U$;EMyn3cB8B7bfdFKX7=z|ItlAObou>1s3Y!uDOCU``h)9#uz|2m zh*dPndDq+LU8hTei{_PzE_AQg-9_4kSFMZ&a_;qb@=U}mn5p}5JnUU{dfM^w%4J@D zTPwdcZw$c&L(6YVC)*6DOXh=oeaW&rfyWliUwDcD%K7?y2^)Ms{e`Az6og(w2!s0J z^``hQnsLJ&fRXU;*<=tmry9b4uz;;seo5gIbk0&yf02Tod5DbZs~8t`6HJ9s_#Lqs zBe>v`1rB5u6S6_3!M_&+O3{Mn@bTjG@JfG@SKc}K=kpsM=grhxoNm<{2eZ-Namz3m zOg+}Z?>*n4+y55g1PIlLAa{Dor~#3B6|_CN!Ld^Krg;2+W2%~?VtH_X3ku4?d*dWw ze0z&iqtHT9=YsJQgx7mMKs?cmJRwksJm5PB1+`upAV58A?@4-?FPhvYP%4}w8%P$o z+zDW2gtZMMuP+TUWw+pOYTi(6uzY!K`LY>g({z>HvWU<i9GMShSYiCeA&Hj_qRUh7 z^5XMR)4Mo_T|`;v_gY7P?dGY)p+f`1okg^T7Lnqs1qvIMzS*~x`|hYgRNKs`aYqfm zAG|NR3Fl?w_(SLNqS<Mjw>vv_G3S-HwThidR3VcH|8RMoDhk1l5=L`1$BaAkXicTC z(F#9_wMcB_%t(a;%mP_dm??ryVFp2id>fDv14DwsQ&bPcmx~F1KoaDZQAPH>oiEY& za`a971%KcCCIF-nl>adlrz~MORha6uR{q&J#qPpiGi9srNEsN#E*)8RUV>Fb4Neo@ z#qigaKSRtG%!K)LJcLCT8VHEuZ;fx2#YVi0zYa0UD7c>xFBpEXdgIwKv`JG`fA-|= zrSGWzV~B)YHal8>XAQ4?a&~h5!E4k{8=p?=?Gt5zgvD;WPnU=FHY<g5UOzunHF6sN zYMip~mrLdxo-~gdZLe{0c7_>gDV?OwPNP*aT}PTnrFm$|LA`b4)lnt+s0CaVg?s+; zJFni;XqD}+S{APQsdW&VV(E?WRcZM<JhG>nsUOtO|M0MXYT~ETe}L~4|8Q1s{m}tk z>zz{PwDsBhc=F*Ro&mG}hq7h=*F5q}ABi1P-ZKs@FJE+LzgDdXLzk|)TdnQ9VM8xI zwJ$%ly`yvL86Q3)dqcyo_q^um#pi5qnGRg_lIh4*@0gBUenqS%^b$U6d51@*^?#XZ z|H!$7Wm$HA%QD$tZdod+$i>XkHea=`m_%B&vDnb%opp4s+*mlX>8_&hoCr<n;nwQo zS*uQlx^?;346u_*V_CD~D_MemxIDQ~Ru(hU5<@ik@g6IU$@9lX3r$uEOEvwPt3R^e zQVVd!d!++csmXNYDlM6gTvn1>V18mj%Azw_b8bO@F1dZ_ns5uU-YL>+RcOJ-lS^O$ zr+^_+nUF}rWnX<+SjbXP6GFO2tb;48jNoLp-<gbO^G<j=)Twq#&)yrp-2530!Pmf0 z4&EooQMOR|<KtweMUR4H&S#3*H=}VH$eP}zjkr(q1P<S^$7-rPJyoU4I8R4h(;F2F zU`M@wj-UNiRp<V6PADB>0NAI$P}gJpt9>Y*F)%zh>0O`&uBBL}M!mGAn&kn`XS=cu z1U8DOxlZTwk%$CdSl|6}iUW0=SmV6?(}++`&M-STIw4g;_|t!$c3K~g+s)3=IVjIR z<|6%D%hLf%(l<Zzmroh1t@4zLxk!JiipjKpsFp}Doq0zi|7xghu7}e6`0_)&gYq98 zI(P=rwa>YzUa>6o@d&9-L;%Pir4fhXDLOUaEPp8NlQsacm?4pqi{@hgfTu2GPK_eQ zY41BqNMm%WBA=@ErjsJlIshcwIPppjkeH>$6thKq4V$%j6ApcNOZiN=WTu4)m(0n3 zya<vRSxvZPf~5(U%q!M}J5Y<J6WVTEQm<Cd>MR_V(OQi)7kTU=kBcho7%uWh*lMcR zFKH>0EBBr_LGnk^R#OY*gLq$pAJlB>@VIn{vOD9r9@RIbAtII-Ur^M=Y}lP9(HaQi zs3QPJ|BkLfAu%2DkW{i@^zmSS=Y<M?YgShz6Wl|kxB+DYt2mD^K$mWkm~_dVa3lPU zAYqkmtYVhKI1+q2UrZ4%{lF?eEV6|uw<x+tmT25dVV3}o3iR*5;O24*gH6a*1Ds7T zUtM^dY-@vEok)<~SJe&4@_Q9LK@m2mNB=xJ^;#cKj?qUd2Bnzs!F^K;>w`~!bLPkP zMfvLdHSHq4&JzUW;C%!Ztr@X!_J>ijah|Xs;R9qNn}wAw3JJ+nR{fbj)9|oV^9d7V z$Wu$yVb2sILA|i0f$`xqrO9R%ZE1gM9W^_&-cQaif!B2et-)!_*86%ZFj^)*t)?S; z@(__z)qRl~6j#0+hlx(!3_YWN{Nc>&Psg_u<_p{7Qp+mOi5VTdPw0(P4SRY&-P(&b z^lmA7YsD2FPVZ=a+pVdmTRqW+-s@c7cJy9vEe5-1F^|^da!z^j;PuT6Wp1j(&Vp!u za4F8NVec#$MQtHDfPLeoHL9~G&v>q~>>sFu0hROD^4b?^1Ud5zv;!1>3)euK=X`_h z^9`05Z0{Vb`C!dC2hKSt3Y<h@yDFX5sT!rS=G`z|2z^qq>rc=R2&=O^=eKiJ%%e5M z!d`(6La1oms}O_=t06><WT`GYfWWx6@B?u_cGHC1O2*V1mvo|UMfu83ts>Mx;=U`p zXZg_*{&1`+N1&X-p#Q^vkXYAlQlDS&<lQrL0m9k4P@0sz>=J?hJZx3%NnEW}gzX@Z z7*O=%T-qC<aK)a&@Nz5WWiL>8=a;5%*`C4>&}R2Wv*Fp!36p=Vm|_;VlFl%XUM-$C zvW83c6fV_-nr;$>LqYtDQCQgK+)rhTkP0r@9qe}>spM5hpPM#+Dq5}2<xcFrm=~Hv z`2Ctt=wDjRN?w@nA;@sE0C-a30t7DQB=8<m%dN<z#D&$2r%zx(Wl4*6w^p{Zg-KdG zLX!RzVL|c4$H-x}H!jN6TG`G(CSmai>ArT;g6L~+T9i>oTF{$<XeC+kE7JGytZwh$ zp^kW25HtdL;otp#qSUqb?NIky=wB#V67cPQQR>=@-nTXD;#<EcZSBSGQ*Ni7qM2*d zY37wA7-##I9aZ~}Q3!0%FeA6E+M$>cTS$1lAvywE1g@J$OCS&8ZfN1oy{h;8xN-W2 zI<P&jdg$>?{RqMso!?$zP#+;YQF!oWYv21eMN@;YEb-BQ;U7_HKD39YfZuQyDi)(- zG&PKW<(;aQo<o(PN8M%+{>$$cPEg$@4}+Kf4CeyWbDEy(`A5|#KAn$;LHmPxI3|4d z=cnUuh%ctDq39ny4}Rm@BzIH`Er&|3<*?oeA~S=FapIHZP~pp=veD&$-a;xe>=7=9 za<1jD-T<e6jVy;UUk>GsE(i3YdaTQ#lxsPxH~wlP%b~=VLusSSq4bEB0}GOhAzzgn z9><7@Q7Bw>vy0frh*3rf{^9ygilnCdsL*TkVBwL>%Sp2)*b5L9GADyC2aMH^4ZU;9 z@9{O&g$gUTw&MH}^*xp6F@0YVzOM@3SCBi~_|!aqN`6l7qUz6{v{4bIfhx^msZ$og zmgF#5M6V@tT;$sJMXoXC+DEOexio=`T60lrMMbTVTzrHHwflSfSF80KJMI=IXey3P zP<VuLU@4(BPN&6X4pchrH_D?$3qV!+$B;{lcDZ?TY2&M+SbCUIOI~!E)k{5o=<aj$ z|B3v6bR~?!<Y#!(VJhv$b8Km)#0HTl-~{U<mqBFaKR;Js7K?eX@Hp8P3%fco;BJO( zhC(g6ZNv-?DzDZHt`^s6wYB8q=Ix23@|ml%78RT;Hgzy9_f>Bq`0}*gv@dz|=Ixu~ z1G5<~9S2#Knc75MHQF;?z1t0DGhmTY`zh;xaMfZ6{MHfp=A9XUEQG+NUx&a>@S}w0 zvRjy_natIhk{Ma6GbIzk69qZjT(=9;>S6Z^e&7a;=0yvMaLtnsAJ7i3adCcp^5Iic z^`&8;Mrf?5zBO$F8o~?#=mHDO0i!o>9VC^jVu9^tt-_kjbS1o~q^uxY58f-bTH*|U z>$e9S&fsu{qHu;kj?lZvVufe(7@0pG0_a&FbT+BG>%$b?74q-6_n38(u2#}YxFOsS z8NLnl`;C(2ysO~G|2jZJyk0Ot>)aW&^}VDPVuuGGs%7fATSGUz^qY4$vl))R;l#f3 z;ovtMpi`CH_>BGQ!1pfI(LZL7etY46HK2WfCxkV-UU-97!K)VvT?lK05r#1a{J@HY zMV)t(TX=r2hZFo6Civ69i_-dDdF^q8Sx^PB#%{gQINIIyZWpsTUMU4IsQ)j6EY!mi z-jQ@Mj(-d<9|0c<f>F4Pf9(%O(Ib04C9y5ghE6>)S{#q~y%kuZ-A<z$jy@NED$*gO zTSS=<cL5ahjruJo1D4(zO$&Pj#)PO4{vg7IuaPv!`v|5WP9lER<)<MwM8u0o?BBiJ z|D&2W*FYWQOsaY+s)ch*qqr+`Tt%%!*_GG+v^OMo3dPpaW%7U=K!$rjFDc09K3&6i z37eX21G5R)H!z#DTBfkU_wIIoZR4^@*&J5U+;`@k4o(~=CShhDAeoWX2S_GZ`T)ti zdwl?FY$C6Dw{B<InRhD<67nXa({@)G?LG&_nRj$t<jgy=MV)y!8;q`DtlqIEAFp{Q z>l$a?sk*?_U>JW~;(s3<ddJI$@B>*`cX7FYXWofu9~HiRv-YzPXWls{^Uk4n7pE7^ zcYk&0okQ<_Vf5}EUE|EVJLVm0i9jIn*~Q_f)1#J`0s8_Uf9*35?G(=2s%B~fpO&U- zfZ^+_aqkB`#|>~_`fu`iemh*UI8|TH;jl9p4dxvxtJkR{?K+pg-uzK9JARKkeq=o= zPG(vh6(@5t8=J|DtXN_)!4gYM<`s)2CSf=%BoqN<?2~<98I^rnZx5(V1eTdYG>dQ8 zS#O?oE>BN8e~sf0AUyk&i|}R30uPoEeVb_42@;^{|EY&&k^RB-V(L?;RDLd#GjTUr z>Isd*Zk_yNKAKw=$#~o}pHIg_N9{YkA-P?{tp8jMY1s;Q)L!XSJ8Cb5J*Gh*PLhz~ zgcx^nd$bNog1R4ZPixYW@yC4Bwk*l|2-h~eF|VPbe~8x5$Qlt^%noFq@=@Ee`r;#` zHb}5uJ20VfXb19-`Dk9Ut9bdiX<oEE;pBy*!#_G|7cRXyc^$O@c^$k5Nn&~8*7n-1 zZb$9iqhq!1Hi4O}ncA`87fF)%V?McU*(2qVYRR?PfhQNt3`{@dqqSwl{zpjblZ#kr zuLlf_e<>W=fb3H~g0C0%-h?Pg30}08WW<FaVV@kS9jWP*vVDkur)A2Dgk0UK-k2@o zNWBH5RtLaTp$C#W`8$`K7EAkRah|y`?VooPOo?1~56ZMS3?A9*;w(NiqTso-IKoqn zvq0Sj6(7(#YPS)slf>_^NN%-j!m<q(^%&T!f6WKmB(c`bsF04U%Mi@E)4`;m2!sQ* zXgcAd;G$!-&4UQ<^@r8C2=A4!7=>ZE1ilPbdbr3RVI|03ztpqZd*TEw!<$7Ze5TC* zFtcB^DejI(a}*tzsYEtbmoch6&_~UI!{gE+Y80T3K{zj}6N$hl4=s36C1K|E1~VT$ ze-zLZxsF-^aRxuW5Bh@<stF86o>ECvR6$*YMn?r9>C6&CRP6)YWjbD5->ADOb)!ld z#~0jq8OU&<q=g`b9+TV|WZCq&ssfnV&+Som2lHUzak8xyc6G(F&#E@)Wmp@OcrHa5 z>c(aHJ%!1sE11e%M`3g)=O<`meWyTme-iaZVTXynfBt(ECHGe>yH2Mw8+NDfJ^Huz z`|JAq-`Ff?o-Bpsq^W9IV}Y5yMIB8t*A`3W6&nkD@Lpr@fdwQJnkQ=xK6U1aGf#>M z1wJ6j*+E^S)xw?W^)<K6652{~O~W2Wh^CTklEdlXYoJtq^ydFlt(E>4Mgui-e?p-j zGCfF}pvn-5qWI%*Jf3*{!7wTbHJHs&Sc>eKVuFN2J~S?^*nl39f{nm6I3rcxGCtdu z5#}1Y**MX-%*KfBjX%9c{qV)@q)_^+a_x<CSO^y4zJkU@0+9q3RQq6pnNE5+pA-k| z`&Q4?_oW-GW<*Sc|9QJ=PmC6~e^;nTfeq7Dae&<)U6jg7w#z68P;d=<2qoA84EZt* z`kp`P(VzV*RNF$qE-DQ+nBUBAP|%@^c4qJl@WrTyPGwg?e+=t=_5<HtM>W=psk_A# zdu(Zo%9;xtW~o|R+Tc03Ze}81ChQ*O!NLRe{Yn-g$Sw~mWI0S;wz5B6e;(G`N8a&4 z=kVm8_nFe_4B6h6#x!S4Z?Q40_-i3vshk6Z85&2M_XFQNOwCyaZ#ZjurOI<)-*|%a zztu<bWK$k1;b^hU%WrJ0h<$4#q9Ry|T&1urRH1!m+;ox=0np~_0012s%zbG8D<AC$ zdV{Zn84P7;^Vl?a6&2z<e+X4P%(>AuDS1_nQvrb?{6{vps(IQUOvB2jS3f4y_Y~+I z><HXu1S4<`!yQ(}gvz$Ua2R>G5o)T!a93?k3$_^`zY?QCAdgn#pJ`lcqah=U*)5Fh zlAb3cgW2Vo&0uzVmaT<@f1zqBD!PM{&Q?f}DvKoiWKQP8;bg|se+S;2tq{k7g<0O( z?rKxfH1BFW?FG|!Wq41+;cVh}AxBZf`rx8@c+?C-46cSGhCiPiwm-tIEd5O>u_hIJ zh6DEQ+5A4W#+3f?AQ<*Co`JJzuCnKSl|9K;IQSQd$j<RavvIUqelrEVW7)ahvKm6! z>S2V7OQu4sNe+}kfA_|y?L8&aA%fFtq%mU3{Nq#o)O+WZRIBS?qz?XGc%w0Dp-1L` zYIdG}xHA{6&@mhT4#$MYh&be9#l6X9K{<HX4Ain6yXXnq4FWGTaEWR4)qG}yg==ek zX2WAXi#ej2OvAw(w!%@fjUKazCUPc2qRgGiaBqtjEVD3Cf5e##&SYRNI!A|?Q6e8M zFZoJId{gUYfbJT-tjE&qUPT{ElX8osqXoWDs+nDRO(!thLQwvko~uU-z=HYOng(Il zP7mwUTi-dQQ>q<A@y6ldUFiBl*VA`5WV1r6t1l7FleTktL5*Usr~YjWN}z@#Foj?= zTTBVT@WXSze_1g7I_QG&R|DV-7z6ZSN(&)6o>)*d>Zfcfn3X^0Gt=hg!JN%(a0WKB z(bk5-;gK^Hyn{51+?fj5;8|xXApQU4OTLvIy0Fwtlc{fKDjecO{%*U`G&aF3=Ox2J zFhjTwVane;+L;Q>-Pzb_L<T-Hea_{JIirIO&cJ3if7;qqXnZ_s{Gsf@R=eK*WJ*vX zt2+GAA^<p@<U$s<FJysp*T&O|0~Q+QEx=7{Ch1(jf_S#hw6QycpxR9Z=i$W`F-88F z*Q}(SpDd^ls}L2pNMQntnZgn1P$A3@dO^np;h&r)cIB3t(n_UCs;~tK!KuxzU>!cN zMuly@f3#+ou_vF8uY$WoTt@zzM`suRJW^^p3L9|vzjNxHQy&BRi50DFGiE|Kr~XdW z9ByneGvcW`^^Y}V!0g9qXDV#Hnnz2kJ672)Vt}1*6=o`!d7*(gOrdjSo`@xl&1|sw z(i)rD=$OqJ0|r=)5i(=rVvH6}JfG&=`0aD!e|7%maO8!&8f|YdspcGc=g2P=?^}{} zNl-!2j(n@xXdNNY+G3IKTE-Ao&C*=j48mxxw%FD&kJhNiMz?}t(2Y*%$BStf^*tyv z0I2}i!6-ndq%aYI`V^@AL^$~0Yt%$extL`H1X5~34^!fT!APd{!h(IAhITGLdqCuX zf1I=~n*3U3Wy$80tCsn^)yI|4dpr&Py$D9#A9wOT5<Yk{#jOVfO)z@ls-3-y=FXqc z{Pl)q=I&0-vivf_r|BOvN4c-Tp3n@HXvPvBea{`Fji6}oedl}Bjw1=7RV!T8$x&cd z7&*(IJ@?9{J6126m0VQctyOmUQ>1%Bf1qIH9-2sk$Bydv-Qhl}SHD*_O!a&u->X&j ztVq7Ic9P$Bhx<srX@Zw?t?~U@W#5Y6VL`ifN|yWXa38_T8(!g;N6i!R<E*9mo0^p1 zD9Dvany-`S_ufLJx;mBcyK_`2QKu4Nd3{#)jLs&KFQbeIzTE5tyY77_K6G+Ue<3+Q z+=^q@us^4O2~+K7u`JnL`0J(`M0lh!<;p_H8z*UOV0R#cB_wi2ETWurRT0XJ!Ol$E z8DuVvz-BpoV*Bt3jlCA0TU;1ny}iv`VT6_5G&@&t$&Ra%9TCHC6vC1}<jRa`WyXqe znkg2+)frn|@Xb(XtQZEwHMXp_f5Juzjg>21tB1mPm{!Iqnq9>pjx?bsCc|SXH8%Hu zP#Kai>gNHRW=15_?uk7uX>7rGwYI0%U26<Hw=FgXGAPs)mh^p{tCmB8RuvmdsEf2u ziz7ci&6(6&Y*OdW2Hr7BGzn~UM}F?e?<XDkktz2$i;bC$0?E!-2)uFAe@SM<78e`i z`YEv*q<-2(Sq<whHby53s~N1DPO;5UM6oeWRA(!gQu@-G{l8GLF}^H}%TtnAG5#j) zOX1ML*$U29_=&c{V~rfPJFm}Dgo+^!7pN}Aii`0Q|4~7({$hmVHZ+@|YUHRFLe;=j z&`?~EY=}bVh%7=8Q^Xmme|n?QOjTnvsX;>dLu!nQL%Ir*3T58&TmJ`&iw)4Oh<_dT zAG#Wk=c@D=6(Rdg)iZ->XTBB}Q@Kz2xZK;AjUn(&^Q)Kzz+ZIr8Q+?%ixt&II6a+t z;mnJpW9T{LYQ{&t4vL8Lm_m`IL4B^4Y1BsXsV646!enXtpu{Lxe_5E!YX-N0>ZPLU zj`<I(Qg#&#(LQCpwaU0y+nn`awg<SQmD_kQURr~m*roCIcF4raT4kJ`&U$dxgR>se z`cf{f`BzD6er(o**`pKz!PPp1VG?N+gnH`-lr?qM!=VN#3ig12FbSiLywo_)>;dyS zDa?9s<;z^1(~SK(f0%$t3l6Pb%WPV5tRWO9;`@b7bH^H*4AKu}lZ0PazHANU%VOWj zx_lWLx0<(xu*U7Wyb9OmD}(JH^R+b<!bZmqs7e&t*79X$k`!`QV7|0QZKmD}EMFF{ z)rJdLOb>Ll?dF0IRwkS<L6B%`7qI9$p3PCkoU0d>nDn^Xf0sPgAOy1?r_q$}J)`lU zDG@f>#UO;;2>;3yE7yjbJ4Fz<%(W1EMkAB8CBX)pFRd*JHafQAGNu=^QfEZC9Hq|q zG?(|Vop}%OvRJ)tnQ)5D2+MLf&gpkf|4)i#BvDq)Q<~)oH$tOV-e55nB5|&ALr)r4 z>1?vVP_l|Ue|c)fRZvOGY;kBH36t|wEKW+pkmi`N63qf)cL*zK8I_M_2C*q}$+%u` zN-JIk;_^${1--IcB}+ONy^)A(Sw^c*$<ek1uku$-Q4;Riu~)k94*4jK4e&sU>o#s; zsU{}AWM=SJWY@4xfbRFzIu{68grVP6>)d<;jq2<*f3Wy$>j{O$C_SN^(8)!PYC`EG z=K*Y=2f(?Tr<Twgv+UtphRGgh|2zBtC)xjWy8Xy%3E34+>6$G!O?j}bmWB&OZ~i~k zT4{9!o8fpo@%n?|9O+Bwf$VAtCH->K_`*ze0Yz3cF0*msSF9zpBh>ljb{->ad1qI$ zl~-?ze_x=MP^#P$OS&v5Y))Zjf?8YBYcD0Vvx@>!Mx#SxIeUl5CN8*=P)u99b9pw) zS<~BVP3O+t6LzOFr%@OAZ_mhh&KTFoj<Q`0-5O%($f$gjWrWIF<H(h0SUIq@Ahx)S z5YtYH!B7%JQc(uOnyUz9<gd|OD58iEBdJB2e;%9V%mim9>_*vr@u|DCC!Lw#%mk_- z;ez)xm{r&ocJcN?B^u4?WYC0*-n$#U$F$nU%nN~S+SxTz{zhOyJGy3rg==d|f(=h` zh-`+%iuyr}n$EHqs5S!HM*k)A0@$Khy_A`_a+ZbPMJ4xlCGWtc1w5IwfX9~pZ)s5& ze|M;+J=hLS&+{zO|C6$SH^H!niUD+_7tCK73jp}xk1xE*bbLMaZ&gV{z&tb0AN9Oo zG+RumKdK)!Pz|PE2VJy6y&B9>pK$#JgqUR8obh1fP%*ZH@zNUeA7DDHEFZ+E>5K<w zJcOkO*`hG6PR$NxqRAN#&UnxqZXFv=e~KAAE?DBR84u<|ZE?>Tcc`X6*ba5ZLm2pq zbJ=Y$9?VNcWBvn-2Uk7FRS(K|%FaX#9SFDD_4X&bc8!Vc;Hn2X;NeLC50RB@T|B5# z6B45|)H01SwJXqJ2R)dtttk+;wIkqqt7`|DDN=~5Z@#ofY^JRXtR2MsIp^d%e<$BL z`EeYDD-isv6bN>OgNCko5h7xkD%>0ZV0P0Bu_?@#mTU^!$OE!%y_2?gP;dP4`|FQo zfO{WVF`?FJquHS`7FI<v$Hg;lUpymYu6+)1$@N2YF$XGq=g}V<sFcA!j{arD-X)~I zyjwF%YfTX_cN@hh`sO<bNWuQzfBuzu0;edugE&D`AZ)_tn*f~-r-OOm_58W7dK~(L z(M-h%C|ux6@WcD+4;KNM8>R2K!@&#nYd8xr1@j<mn0Pzxp&{Z75e5F$FzCJV>gaGd z4X%-D9870kFX#_Oh$}#_0*MvRN7R9K4g9tkp)Df*O?Spe{~FJNn@z`yf9o4{Qzei- zohv;SUm7>UZTwzn58(mgAMnR~F~!ULCzy_3aYEXajbToFA`oL^LlknHL+k?9d6(H1 z4Z8z>Pji+G8=P&yunCHFpVfjvzD&&eQ}B!5*U;Ea>+;5pj>&AlqpHkw2BX2eL(8yJ z>6D(m7k=2hok1yQu#^toe>bDW?4A3!S+bFilbLlCFbZZfPNS4J+U`&1WNy8j+@<|v zV)s~0iW>6dR&%=8NFVJmn02Rv3H3?kuVlBa5;0WwZl*#1-Lt>4-Hnt$_6ZFG>)^mr zg$YS8M@J8(^=4CizTmH(a+7cT<P{Izm%dZ^r!0-n*(CFB`=sO}f4}=f34ho)Noq5J z^88~i(w8eja+$W&Q%Kyhd`$B*fBE&09yHMV{_<Nsl-?hX&PttTT%Z#a*T2X|^XikQ z`G>*vkyrAXacM)b9B$TR;bbc%B1RE<neg%Fe01NjvjQLCT94@-^jDQ7%TM^zf3z+& zA)|khlk{snT;7D3fBDA(hASw8$lWy(zjX+lEMDcLTKVUEq~Cq=tDcCkUb26xH`|=- z+UJ~PHy=7mF6Sc@HbjK=lKrw#zvN`sKIbF*-jmm@M1=K8_v6bC^%AGK{zX2b?>~7F zb9ow(yyRUh=99%-0W8z;ut;N)NL^m0efmW{+P~Qz+LzZze|yrYdzEsh-TZU}y~;o4 zqkH4Y%lF3Rr)bePeE<aB8Nq_HU<j13$W>pzJmQ@%{-S-(NBhc?w<han2X*f<05o$G zO#R{d<h{aX!jr-fr=h=dQC$R3i-8J$9!iq|6Ll~s>V6%1#wPCxsQFZ3P@JwS_^x%- zZl9cgK=icvf4n_B&9FUj4`*#23qL+CmGWWX$xI6@JeiYu5hOFRV&TaIODsH@S1cBe z8ZQ)t5wrK;v7z46Z(NC|n|B53ZHarkIKDe)9b6rfY;1(vP(HiSPDj{`$CIoVF7nRf zJ9qE(OFgS)E>6%7(9#2sd(Yj&^KWnI<o)otbhzT=f4w)D*&V&p=;+Y>{v-FFd*B`} zl=R450AsieVn^1G?8rUaT4B#`cL=DTvzOmD6zdun=k4ajX&8CYJo<3bY9BRCCJ8_K zv#?3{G-s4-Um&2)Uwf-$%?HBHDp}bonppq`gL#HX6gJ_^pK0MesoA%MRtYe?dZTTn z{-1Csf5GJ_2k)g<s(Fzi@xm+DqHsP=kDSpD2k)cSb^^4_)pv4mfAGFstqJ;`FFrPb zTHEo*HLq4SK?uqeo=3X6Wa>p_rNfZQ-r(zCHlBKm8NwUqV-Wi50PyJi1`z3ZG3^FX z%n5$F4o1N65SU3nPJ@3h22;$5zwJc>`}9jNf9n2tKzt)3MZ_Vyq|?oGAKW+dRN&IS zeX!N080EEs?iiJ~y8f)|gZbeanDW=N+hNRB<a#=`mqp#nNyn~XZ7*ZP;s1p-!#63r z34hykg9=XsW=hxBb{)Gu2(c=BOShC+8jK!{Wnl&D6P+EreRl9NhjUoM>kZR$mawyg ze~XFAJ^*y^3t7TPFtes3M8iixr)ZPMB2l5RskZYPZR1w6(K<R^trnTFwo|hU77)r> zOR-~u5zTcpdl>U*O+B;GEfo*I?cQaJ7eT-(E2Ks=Kch&!=nLhP9Pw{B(Q;c(x@1pm zCrMg!DloId+IA|h(QGnbT4M=&^f(B3e`WCX{dN<Dz%=Z7rzz6M^PJVRrB+kQ;2cKN zdSmRJ(d3LKXEdp>jtn}3sqtl_X&72fK|h!RlM15vvqxz>?O7U6mchtob4WXrXLK}= z)-*L69U4y|pctWs%hnU}DI*prBg!k4zSq34TF5J}RE9}aZ=QK5?MR;h@*W;Gf9aD~ z{*G}8S0l!STvaBQx>|mFi@ijIH1cbiaUeEl{ZO!gG;?c@J<l5fXqFgvJebbr3hyHf zxR3vtNzI|)t;coE5=Yu8=mTb*L>t1bG4#H6vU8i7@;qb1C-EsHV}`FXb`fizLgBId z0$q#1W5I+s0^X}FefAQucd<Ile@%2R^n^hRSXQ6t9E|OAFqS!-$HQ1_@W1mgoQL5& zj2s?Dk{=zxvSf=QRr>M!7rU1JMb+#B{6ugz^c*5vG>$v)5{AU+Y~ok|m`(i(d`R62 zZsgkmw9MH46&;4dC|rbW)`akEClur;Fgs1xq^Zd&XvgAA*6JGVRa;{<e<5{-q70(B zs?}JquvWEs&RE?(V|9taIc(MS*4}Wos<Ty#wN(YvhAR)I=$~#ht*R)))N@G(z=5@{ zEwmOO6QY&{9V!sITocA~jEFJDuFZ)h)47e90KpoX#GYiC(B;h$I!Bzw({}|}d~zJQ zoHzvZoAL#)yx|M9!Z2pCe^}!oajY^*v&Kgd<SE=gGC7|hKjQwO(cwj*NV#!2ilFq` zL)4{uio(vW*q%&mD)yO^)y@-SK8j{QB`%6&6mb%<i{wDnt5yE^iICD<ban^xVBvAV zF|#n%L?FAm5`ho#PqboW7XFDg&-o|Y=btPwIFEl~7nf*Rq|W&#f6hPIUjJmBX0s~2 zh^XcSKMx_^D9q#6a!<_KR<iKSu8*dhnR}w>Tt?Dfd`=P4*+-v*uYn3^Zcw=eYL*a} zY@kfb<jbgo0yiM+j3xFgzoNeqhDK35Qv{GNhes)Xg#JqCk?^C7U*zP%=gTWKX1RUJ zmXU86>cmej)OKL7e@MiXkO}-L>=rpQhBcEV^#}wrguf!Y$RqOz{89#?!yaEz+1eo} zzi&6|jU!K$2{Du?(`x5hcnR7(=Ot{Pm$1a(9A3hDYbrZ0!FdVAdI?-pt<;xK_Yfnr zLY=Luf|b_kjor<Gl(BU<4GvpxAA%?8&J#|ltjoXbT5arIe@jF7Ng?Z+Qcvt?Nl_PQ zM+@FKXE8H%S2K(a-n9hQi3X7?9{DW%cA<+R2L0%54=n6ueWEj%x6fc+=5P*+*)j*s z;p&>c(8X0!LHBqN40{<nI<lI0u87{9*1ilb`V~<}Ud`ecvE=N`<MpR+Zigx7g?XY& z_2CK)ZxQS*e=Z`~Zr)6RKQr7Zp2*lIf^NzbHy8N3Yei;lrpSz0-H1pmbjdK_{A5?j zfpnw7UzQ9Nb`$=-snaGrQKeMM<XExmTlCht*IZ9KWm%f*=|pF)Z>PD=Ih?~>UvCdJ z2XH%pyC{I$nd@OW<~U+mneys<daHof$7ZgtHw0m0f9Bq=X|7u{&$e#M#`tHf$IZ>x z+;J&nuBS#sVy;VufnXdzK64$>K$!x9Oy>IPcC4bgPL*`hZmZ4UdN7-#9>DY8>)s85 z?cV+McHHZ9I<sMS`re~|d%wS~zyFO-bEf+Cnd&-!a~SIDZMy3Wb!Vs-WvJ(0>NUam z<43FvfASLmLc7sipRK&M6im&+B&fBtvdTR)Mgd7xDD_UQQY%yV^d9|Dh}z~<PL#GJ zB=}1*eP^w-wMS0@Gfr`KdH$a1VBuNJm(~c*E^XDoTh!HBKW+<TO`GSm_4aA&B?jkE z*X!-m?$otY*F~x8!?St^;<Quw6Ds8w>MD0ufA^xKGOE{BKRc-F1sc{^2;)=h03}yJ z^Ku@VS8Bp3Bv-@Clo2vagwbX_ES+$gudQiq_9S?3PV>^nsScbYFV(BH44L)pU4<&m zg;3pm?Tl0}Z<gv|<BYsi->dDJtw@26QrTi*xaDFuyC)LeQBK&u8qCxB*&AN(p!@^Y zfBSw-Xl5voQo;*`;bu}wV#Yi=GvPP9<WctG0pcBHXDpi_?FixCTG0<Cnrv6S9rabw z52jhQRlmOCQn>8{Ryzv#Wa)Cmh$vOBk%(?4VlfMTndF;Z@0Sl+?>n`!*^H1#iS;f} z^Ct+K*5wJBux-?Q#4R7P)OTxTAqG((e;=0m<D&P5*LS0S{t$V-S1a2YF{*!rmDwY( zheK^lbeTs>+7xfU=>?8kK4gLK*UEOb3nKd-(F!MmZ+L~DR2mOi;cseXvrBTH1UIij zX@<4UA}~~1<)FZ(S2=F^kX8P+R(@+GBuI>}lj!pG?<4w#S2|Uoc)(Jxlxh`Ae;N|i z?WPE$3z6ok0>_5!6}smGR(hpes|ed)Fj$m47pN#q5pE#R{GJ;~Yh4k3!;AgH<;g{U z#H#|J(GqF2U+Z>sC(B>hOcLFR2Aeg{qxJ-OA+JicR<VQ{WvUdTPL*Ug?<a}uNqd6L zdPZlB2dsCT(%U(rVWT%QVTz&re|>k5NZ&eZY+%8&!+7nqG>Ju!PC&UxWf!rJMd<gt z-EMES3B+z<9xOajIp~&lcFZ@>XwPn-R{Lcz9Zb-v-5=WJ=%dcn$CH-V`grl_^w2vv z@(xbx=YPZnugdRFFB*S%CvESb-blW!y#L+zM*eN^?pf=!(d^I|i%5oKe~yb{*uE$R z#$5XdhEmB+7P>@!m&pHGuYR*6B$H|ehrz512}#j$Tp-&;uroZ1WM1cwqkr*>nd8ma zr1Gw1v|%6rnC~FC6#IMoSLO*^;jl*%CuoFZ6F%PrBk$XEFb}+*Kljzyx<43&rA1Zs z)-S;i@2fvt1m3uh@=UnHf58itI26vBOvm#86<^0A4`Jy66?={9y1w%2!y&4}hT-d| z0UPuOBP1XVMjnY3&z}a-oug~ux5a2a7~<b_XMFUp@hrI6biBB}Q8!fr>C?H=WAUYN zBizRC(F4wJ@Br}-_+!49;${95OvevMEN9v|5s0yoA__UqA$9?4e<LN^qG5Mfy<phj zYzu}>P^|l`77Q|1V%DF6U;Ms?`axm{<z%+s>Gh_a!Duk=&?4+qI;CgtjbCrx#-Lg# zszzFphj9*5iNC8LCytYub-Z0Lo0;cmJ3pQCd4RejRx{c^CU%e23}(UH`zLK}<BfEY zkv>|nvNy_UdG=Qjf2rH)&Zom?@Bfng{TIq?!M}8^ARc-Cc?>^uPx9|2f6CJCXnr=5 zOMIyB%C|PVcsh*_j(3m3#fDxl4Nt7gm9?TmBIgq#x4(@$Q-AcO)9qh_&irFeQm@s- z+XSgW1Fs2^5V`w<>qQuI&sm()sz`|b@v_;0N&>y3zjBh=f9`<f5w2>yKKgqGFeRek zjv}dinov3VD<_qO7NDA(PB-c!q%vNAeN_G&FXix$d^ENUPI`ni{=7yKA2#b}oryp7 zZ%Jyv68g*1H#v#^<Rvwc!Mh~F1jvV-d74UnsF2oaT)so~a!#s0d7-^bb?vyNSgKd< zP`#3q>Q7#Xe=k#AJ4Gv&>eV|`ujZq=<+1i76zj`W*ACH&rTWets_*2a`qs&H?S%@V zx`GyzN{y+Wev^~x+acFafVm9(;ov_i(U>XMA}w>JT93|R%aMP~N&M{)?;uI#g@=Dv zJ-^#sLWl}k_eAu&bPvDDNBS()5fj+q;QeEj@gU3#fA0(!^m^!iwV2N4Z#vW7w`nYJ z*jG6zuZhJJbbLCFsnV_oL>ljn0_<O_BZR_5P1J0zbNG*#_~|z}X}=xP{%|n!uZDql z_>aPA?h}H4>rXmYfYM^JYhUK1{F4{;&GEO_jqz}CJMxGg5c3{V`n1!4LS%hH?CzK# zbfsnae|P!lZ<!7G2<81oX+Ir*yF+_?my`BSUgAeZZIs{#8P>Z2E)Lrf%&yjc^f4#t zw?p8A2J3*@CpSMj-P>MgKDZ6Wi#hBZb!^+6%(3|8AM>$7YIy&2?4HYy{{k0oZ~alv zBa(TbnMWT~&_Wn?;ZG2$5O~KY#}|d_=F`(oe;5AU6-lM|@0@&i`db2>auqR(KjI@{ z(+h_FkIv0_*aNZkFLF}+$=g08e-v5Na;Hy3o+1}G1q_hXC%``WJ0H3CwDW|g=wL+w z15}KrP?SC<EaCIX-#Ll=<ZT*8<U&FDn6L!LCx7Q8@{<?UjL3yz@G)TteNX<*N#rLl zf1MeTwO#p8cQhvKE+g`8J|gdL(@KV#3M)BlwG)b>_8z15UQTLn(~?f8tyNBVD770O zk2=kx<K|K8W2b#`cGN79<ze_X9|!7B-v+r$pd#%(qIA3S--PVOf99mLCi0%5wfEou z@YIo}3Wiw+jXSNI@pRrf86nyR833K8e~Mv@$!_>HCnuh~j6WO9x@+P^F9;@`$v2!N zjUsSs>6@H1fAW(45QOn2<8Q&VH~u#Ao}XSKX1elQk^2A|q*Lia(u9BHqx8X(m+0*& zl5hs2>vbTBin4^4gn#5D@sk(jN`M>&SBvW+*Ke;sAcz*M1A)^IIf?w_rS#$Pe+j{_ zAV-lzCUtn!K+S-Q^LF#%6vp9)&c*R@>!=->DCQsYF+nQM|8%f$P{RwR)A1A?4g!Db zIN=?9Xk46LG~fM|h0Sat?`6%LXk0X#YGa&Ue7L(QgkN*+iYG6c7%#@tX_!u1^w#)v zE)YGEQ{wOWm~!~!Elgs{VgzoCf4`y-2gS{Vf@?PS=Ziw)H)0*qYS-JXyPE|M(pml~ zA5)H>yfMmm3X;W(+$2P1R25jzS!wcjPC7q%i<Ib0`TVaHMT#Ud#Rp8gU#Fe0OaO?z z^i3|3mn{nbKf*wz%bOpF2<Qd_h?}T}0zQY3aghu_I6?=|KXP3uw~T$te@XZ!uYJS# z43_+xklpLUP*njMv*Dl{c%=svkx0zDBriB6Jz>b~Q%*)ad5xQ$5#=>8f=;+oM&!#r zD?j}_sO*fWtcekH3Z60|UqV{hvKHTC)CnqdEQ@HW7Rm@x$tp!kg-Vg0So$WPh>{BW zJstdlb_Nk9Y|XeKMWNH4f0V`2H~F~n^mBPKa-&#nq0^o;#?m)Ax$)$EhK$@OR%Ph4 zC$+KkO-^oXg;kqDQWdW^roB$@-!rN(6zdK4O+Ics{VWvP8!FuU@E<KYNJ}dXZBU1# ze28@j`z9x6wnA!Ia;A8FlJX(eC+wS?oY@KqX33f2bxX>JShuime{ynWD;#x8&J?d_ zzD<|)4ErV@XLh$jnuUc~f2N{I84)WQ_DxRKY=t<pWliy#CS^pdY1lV8S+f=L%$7C9 ztD2M%v8rL;<YUd#&uJpV*_JhH&@}<~XTF7>0Fpp$zjCr<D+C!Osz!VX&+(R=nzbIB zkcS`gAW<)hBl&9dmG`znet%hVWDS}{@gUJE;ir6iWdF&>DUjH*<j5KnisC_{Ou|n& zIkFX&u_Z@})gz?Lsk;4a_?3V${xK&{wnA=&$~`({_rC_w$x4Wkt@i+)v49i_|Co;> zmL2RL>4BpaM~c-Wj0L1f_{W?a*$TO3#gSt52x9>$68<qKN4CQLwSVGBv3i73W%ek6 zJ^Ujd7v4U33u`$o$t$!PgQ6`Zu!n!-B=VCNK6i**Xf=k3e;uG`;EW0eCV%H5b!EM+ z<~EU!aS390M2sS1J^k;4&SW|mqhAwT=4oewgkCZ}jo;^_{F9gG^*>L%%ZNyElA|&_ zLm^KzD>(AgM1HbBjep<gWXqFx4zjSNSnJb7elkOi-{)h?({Fu}g)POJpeFK@Eo%He zCtIGprq05aV(n0k{5TXh>X!KXoNU=%-J*`q*R5J2>fcc<@%K5ovc0M$<;og0OGN!U znkD`|A6K4!)`2L(Qm(8~u|(9rqgdkab8=;S6-&yMV)e>!LVva7sfZkTD(q7}4%DB# z4hc)!#O*+fZF`oImy`til#|#`UNtNcyU;qSDS1iuvrqYm{q#!<EfKp=1TQ5oiFEcU zC$XQrAYUSOp-5iRuo<LI{?0|{t#97!`k=o)Ftqg6QUKFt<4?5@`p5En)T8rG&QIDW z_0yC8uD4Gv&VPS@jj#XmJN|Wg(fGr|fcTrr``>+UgvO@to+aDo+52W(F!uTHQPTKd zQInz5K?p&2`re~|d(<HPw`9)mul@J9W(9X?*AGsQysPoF7fj!ky>2iZ&L(~r<*(kA zyn~D8;ZZXzJajemyI;J|Cx`8i@5-gp->`*$&)i}B_<#7gRKkRV^kL8O8XUt;YisWQ zja55JXV&rfOWn`XIr_Rt?v{C7Bs1DSCU%e23}(UH`=>u#nCI!e+tb%M3{W#;Fqsd= zBTj~#m1hs$Gv=B$jz-k9F?H$B<2R+!-kQbf^c#Q0lxxyMeaG{te~i;~il*=K@+BW0 z5>gYR8-H2rjnXmXl3MG>gJ9V6vMqEr?O>_zQ%Wzs%GrdorQ@KL6Xexe<}6{iXx$9@ z^Prb?owJ!muX8$SRcn1V?xUy7Y<|VLp1wMNk))``!TVCp?nqm+?9;1rPVlSSJ&SR) zw7l!xIcmOmLo`UDS4To11kWq)(*!|Lf!AA1sekz9Xgt03hu(OCT2v%jUU{XOr$p4u zo8JWSxs~euQ1jaUm*CF#)OKdvk1v1t1Nvsr^{^;CwVq#j=i_-$^ICX@Z~hdQyzxiZ zxQuGwgm|p^bUdW%gHd4}a})($;P>%p_`~~l6O5K0WH3Xny!ukTl1G)Zf)`%!y&FvC z>VJd{ozT2KZ6<nHe~$JnBkv0D`~%H$2I$p-3ojHIdPS5sIC!6|v7~Y=$`BcurR^8C z5Xv>Xg`@d2KXsX*=1<H)_4b}BoE!0V^>RBJsTycYYi&MeMR<KklBoxlUZH5(Emp>$ zHw<2RhLwi38}(%L%C)H25obegWtlKog@0OJEoPl>gWmjxUpr-jAW5n<JEnEbSN{o; zgiKDnv!~uU771Pn)UH-u(q1cp+T}g<XZd?giGu0Zpooq<Y;VP_PJ=$Sy&dWIYIbh6 zWuUmAA%t~c9xX}nX85|Q!xtp&D})q`uc!X)EtIPgl-^_r){I91*fSiGn4`TkT7TSL zVbdtNtmqYAAd-mjSja*YyG8@CF*U7S+%ATs9IgT+THr!czu$)}hrqoWj=NtlPBk0D z^{3%uVKb6Q$LMZ&k>GpKUCgmN8^iI86buF6;GWpe!4$)8JwKjHm-XqvU#jk96C`{L z=RZP$eY-$6SG>x^AM}(&5B%<pcYi${FDC4rg4uu3%i>w^v@ha2&|TBVgQoDYc&R(= zH0UkR4_VO%PxCSwgn8keK`dNtMt4QbOgDUi*(>kk;2JlHNIDA@3|+7@?sv{nK0Tx_ zN_k!1%%NEZqb@M)8E&+g58@u!|3!M3U8EPpgNR$-#<<+eE+%<I|55jc=YP*;<L&?- zdf@B)CVeBNp^3Dp92wsm+fTjX%W?l@#e4PYmAB8np{}@h_RPaYA+;gykSOk4`xCRQ z5_UkjTH7~I5QJN`Zy5qDC{y8igo{gC@^fsHOh8u@@1&JK^+z)w2G@85bA<K|7I$zv z!FtBp#InLi*d9M<RlzIn{(nH3;@Jyt2G(L-V|UOh!152KBiet4%k@bC;wq!@=%u>w zE3d8gp>MkT^Q$q|%neqg+OcE<#_?Le(N*Yny`b+ehI9NNzeT*=!;mGzV}+MWpIp8C zD^HQ=@0aSzQ9c)yJH<ludw-_~ARky!1%FQi{4@)Sw#8rxpoL`T>VL-sd&#`qg!NF~ zsae*2+=2ILzJ;)&h0($TnMX_O^MVX#aCH`puw+JH^lWfF0?ii_iVO(lBbH4_nOEL1 zR;a2HuS{+wUk=AuX!FFF3P(aNVo}k0Rtqa$P>QLc%)Ego`ie}i*b1|n$O-sx@kH#$ zj?BgX-C9{|rj*)t0)IP!iI{m*7A6RzH^qMvRbQ2+SG%L2H4tCbdJUu#*RTc_^Kq=C z`PEaN3PdmO)yi6vr*wwERKT2%Xc-(vZP|SgAhvbX=I-g6TmPMHUi}AyyA?Y2kvb%; zLRxS@N)T4kbbRZTNVSz;KnFn}1*4vBn#DHgfQ(r(bs)B8-G3WcX+x;gD>4N+0Pz4< zy-}k7Qy5zUd;Y(xpiI6j*afQPEQk=BQ10U&^UCAvh|Mq$7M>@I@xrbRU#=0K`Sbf2 z;7{QF$?-w^8#a+Y`a=2Y7AFXNnma_mIf(hF;>jK&=={xdj$r4QTc$R;a|Gj|s4hv8 z;|F(+07&nhgn!T`5Fic_`rXcGeB1dhEk{mZ4)SJF9c)4-#lgnEvGjY*!zI6Bjt-S4 z-b=4ejVpkVDA?vIn12g^(MJ$N0Nd{q3fb1k@c^1MA57rs5@u7agw8JpDCB>NzUDwT z@G0^`Lo_S$4av)Zs;Zh`-n(im^SN?*_?NI#*`9$?Zhw|uVzpMbLv*XQ=AoHC(h4Q> zlhY=#S0#ovq0sdLD>Vh>UI0u~zkzLp{gz=ALei`66ffz^3s`5<*}A}9A9mWUKk0O5 z9RSSc*AnUQ#@2n`?@FZ8HFmmu?4HOxT4Ialztcug`{*T^Uplw2m0H0NeSIPn1~fy! zgGY$`0)Kc4Fho>6z$7AO{6py#oyh`K1%t0~ET>HL$}ZXHJ+E5!R9jBqq22+|$eQ;y zgqddQHgrjLDQwjqbqPi9v(R40k7VJK*{)qC2Dri<u3%QoRR?shypw(i290s|7l!9e z(4uiM*>dbe0r=&(2ZVqyIpfBWR#>G}E59|*(0?qvbiI@bAuulkX^Giwe);;+GCNRE zBq4IILwGOpy@-Vhpu}QBFP!iQ_A^pF32*-QVt}O-p5fBKi^Ddtk3glFIuSxh5P_RY zjzHoopwlbUQBnK>$mALYM{m&Yhn@<szlyrQH9lP_*D3<_1@=~myLRjqMhm8cd9=h@ z8-EGX#%`TMxQA>5lusKjVsv=+a!cZ#PUBx7Y5;>nw0h`IVFP^irvrb49wu}mkJ^xk zKO@DD8R0oa<j&&^ru>rK0iYym*5Ed?3BUp@D0R*s9TBMoNMGY5i6R(ii9*7SfhzWa zw-c&KVr@o1V~iJg(OUE91xRL+5SlH8jDI~GRMgdyuto$HR`zNYJ9|N?r6;<qtQAw) zPBE2B>ipy9DW>uo6;r&-5SBCQTw$%7b&a&p_G=Zp^EIVB9_vCI^u4pAGxVxEIlDYP z>eL}yI%oAuB0r9>$o7C4ghSUr9Hx#k!l5rza1#Y5ko0J&Qz)FgMOF<gPLeYhAb&gT zA6QP*tBljWI0>>tY=xq_<(E$^cG2*7Q>)k|9w|)v(dwoR^Oh9DPRQA2{Y-QB>^RE+ zRStorn6dzC@g2*qkq=YOgbuK~tX^qs@SAc;Koc~hgUyINL_(L-J_P)xD=_AdmrWeh z*ucxt42LXlAF`aVHV>$5Cn6;jPk*rW9jNR;<)V@>5)|@gGVK6I0jxagAkAY=QOfrC zvTd;XewKqthA#`pm|Ux^9IdGjHu^k?SX8hBL0X91CN~bE!29<ifa?j9?|R|G`I`qY z;9#jCM34faVv2ds0Y50v;vM15<hQDTtJ!Z4I6P+0sI$xe-a+U&Y)NZI0e@g93(lh; zbgF%Xz?ne+2|u!9*1mN44E!P>$;huL?mnavj`YK@QoxhfV*-()bsnD>Bqs!SZH9*c z{Is>c1Lf~b7o*NF7+qV&ZC7?}{q`!s$!q1-eRo*RFc!xbu`Le8m<7|X=t$cG+yj3~ z-+UU+Xe%m+1=%B%yb*)CQGeWV95<MTA#3;azxsnA#r;2ETQbWtvK3P6ThRYpPO<6M z);BgI{lk}O>bda^%x+>HEIm=Tg#Bo~fyQ2T1Co;eP*O6=z2J9TVR@fgTi($OXL)a* z<(;rLkLA7ALLJWXc9wVHmiO>USl)uQ$n^)aQ_Z6_&A~=9%X`U^gMTQ<XnC*MhEq&> z<o333wj;4fG7ularAJ|J6R#e>y`8~CWLqRW-!=PRs~M)*zRInY?d^2St;62FYZGSm zteB-483?JlEhv4y^4avqXKsVLI3~#;G}&7apYod9pKWpOaDp?ox6jy)Ih(`QUT@83 zXKOoKyKr0k+Y`XJ&3|e@I*>PyUTqTaJm3`R{+!v|&acRsRg=Kk-yUSJQM#;|4iYpi zg3ZzdJ8MnM#$C-98&fUe*5k6&%nZJLfcIpb4jZ0Un9XI0#rE!#`TDDQ93Ba=U8iDO z!xN^Q9uyL2FPh%vQ4{|;tDiTHyo>YGe-(pnhbBT|ZA)tZ$$wbeWo%EgxX@U~Y;A0` zyrwp#nsg>OGQ6eG5>$R}##N?P6+c{>>5T8~GrsSzIFA)BM0)XKASRJrtO=C?Jq$}p z>A7~S2B0g1h{~sHD};!XaG|ys*CA}t?q<n&XXC5plS@hSmwj%{nimJVx-eW*9&Gp= z`R*^hGs;gG+<#JOt1w?NDLFx5B$O!NQ9!Qud^QQXHE(a%qZ$Tb5@O{gG?vPbnnkDG z^h4jDQCT|ZmN~*p!kortwwy-(x^{7=?7b4>J<LZ%@DRW3YARN1cEv5K{jS;IlGy4C z!-Yjwu?;|(H;)_7@!G$I>>b=LZbKHU(i2fWDsead6MsyLxgPU*e|OZPA?3ID!;*`J z0)(6c4`=LTj2dG|a6s*@KIJgkhZ!MF%}za)!DP$8l`QrsTejqznHPq%fw6T{-hx-( zd;}1MH6c+Bl9Gj@3Zz7;gxOK7QEyBGP=5r)rbgG4%!t61xVqfc;QAU{7>GQI#G!T` z1+*Y|Vt)oFa4=Fym54wKzN0GXt9Zejp*<BXG>UimvvuB7VgY|O597ZMPfwA}G(cG| z+-bKQXFX$aWy_0>WJvy{#0=*p11I$%gjK<}CcBI^Vy{Y`WKaU^9{hdH!ix>wsl3Ue z1=tLtgm+1P0A;KqRgvFsMRbf#MKm<Y=|jNjaermhHM=;iZvJE|pe!v8zO2m4n90Jp z0#R9jw=`O%xx~(ga6ZKA_8}*NraPG<wKgB(+q8lTgI243$I^=^XHXz)GfA~LxK3L= zTq7hKt{EY*4-ux#Q>-g!fwJb55UqI=6o5`Y<G<CK_xaTOKi<LV>k9re2c{Kv&bj;j z|9|nyk*&}O<8hE2P4#U*pVp#Unp8OjMPgs!YEhk6FAQwv2F|UoYKy|AiRuKWg@ef% z!uJQKs#b)G-9?jV<T}E9ii)t~J!lAtH{7PxCToWBD5D~h2oZ*D6cw3#MXwV-8z@1g zK2g05?pE|XVcG5;N(XeQLas8<<Ewk*aevJo0F^Q>B|cGFhaJWLY|He#t=ScStOlAj zk&1mmehYq=uuuiBOIoOPRV;m};H)aza(;2?kwbgbIyyaSv}0>GF5a#}QYNV7pD+eC za8!m})pX};3wakPnv4MMo=W`3LP1Xna)*)oM;AnvZMcqNtM|-Y3Wy7khdWI3PJiFG z>l{+X(S{xK$U)*yy^`Bf4M~CByVh>-as4wDWtw9HqsaA+!ZA`GC-!(1qfl`Hy%xwL z`ZgG$PQP+vXnt6yBW6~3K(d5s@Ru%vRa1Y~!J!ntY)VUkIFvUd>>gpSRr{j9Dd85C z49*dgITd@4cHXSFp5xsWauGR{Mt^WhDG#%5d4(Mpx}s{PiYoJ?%H?6Vi!am-gDMDw zzAFjv{SpcT!4pNzow)e&e}d_lc6L<t9D~4pRXj)u5><Dd9g4<FMBC5hQe`Jfw9;#P zapo4bW-NiO&6M3JYklulF65niGK3__9<CY#-W8i8@m9aPYDlCnVVtxac7F)vOn`~# zSX6n+8H=+O&0n+_;FnxUMgS9*<q(uOkPs+IBG?H8B@d)qNX(7x%QRss6v-r^8-E;m zA5T7fe0_THIquuTTCI?Q$)PXE?Zak;*_~mP3-Ft4I=Yy$2VW)jr}jHmimL1rrgYJ0 z*bFqQg^E5Qf)%L;&MCXiy?=zur028c6rmDaVy+n2WvmgZK2l%To5QuU6YT81>6ybC zv}M5FLv20=Q)%bqA(6EXWxPbMjzpjpY;1#hc1PWKVh2-c=ET;)ROUq~#keIfl@RBx z(aOP8=mRr)_iR3%JmUzBMqGx_xTEfM9(5~(rZWuT60WdTnigwDtA7N9fvY#>W0*&4 zN`#GW(>b@oSVDjb=nHz!sCo(E7pnFiI@Q2VXpm8G`SD+2!Op(l1!VJ_KBFWS+B1!C z`~~aZ>kr2hRNET*Kad%&5CV23Y76pT9-88)lyTS@A>M>Ps4Er9|EeT&J}qKMm^B`P zOt?B_o}<eR*)nt#tA86Y0MA~Q6O#p>&~{)NC`|0Lir+g8nM~JIT2!-RIqU6g6-L(t zU7GeP-=_SMD_7IHAtj;Qo3NY&yh>V6v2Cgx&FF9)?PKmNtLO{3m!s}*0WdL~0Q-@e z$ITb$ZG;A1SctS3>AaPia8cBSYTc#k+lFOmuZQC+RG?)?v43}#Lz7{t6~G@@5HXy} ze`<d6o`+>A;ck1c$&mdG=xaHg5iXp|Y4Fm&1(p?>%>Q_eGM3)|H<%NgMQ?!CgVb<R zy;1^q`BwlrJ(NR@x@M}?);v0`w~r1B#xeo5PqkKhD_0u@WZQvMRB6(@patb_>8II( zT6dRRC~w%BqkpQP@fu8)9G009e&#i&LDMAN9=r?{q(A?RB)SOMj~C&cA5u_1M%R=8 z3sL=WAx2X1g@o$QZpI7r2VTPUwT^(cz&<@Xm1@UYr<%{7n<wof(g@9?`e97mQ0~79 zLnpuhRBqWIl0WZ!pO{ysAl%AtZJReyWgWZKZwCmd<bP4)J4h0D{^w<lLe|o_moVOi zdHo1W0aTB!jcBdIy7#V8>rMP#XJRLaDwSHrj<Wj%*V;`HMhnZsJX&G|fKt5n%)9X+ z?E$Y+5671?|0_Z0bYAu%!BGifyM|06tq~olC8CIaQ<nk1P`wx<7$XT@!SCk5#N>1= z02o4MsecqR9lKEFt3z~Ti2Az~2G0m44{&JXBGx<`Hn)N@v;rzBC>6C4ExDg#D++gz z)_}GTQ}YMBOp$qTJjGYZa;<rFW}(3Ncs_5oFJE{S!u%`Ifv_4vhDr3}&Tokk6CV>} z5+;;!HCz$p^;JRa$khEWr6|;nKTr)$PgQX%^nXOftXgD+s|ThdR+vx}0~I5?oRtr^ zh$*DlPBd%?y0tTmL+N9=J`iAh2p)l%cUMXR$z!!Bg9W?&jwO?>99$q~n-U9Tc%sbt zE4ui*JN%D08V4Qc=jajunc}gP6pt0KA1-CtW$f|T5RT0d^1<%H-`H#vv%&k7Ql<JP z$baBUvq@^pFg#WR&aCj*YHmChGSuO*n#R~VJXTPXd~-3y8so8+rj-jDba?E~4jY_z zx|VjrPEC`!shHO!LVD^@7E+sp;dbVufT~EA<Gi6P1I;Xm59_JrO4!(hG(A2jvtZ^u zR|cEPMe@nNQ-57WO}k+XgxD(3gmv3<mVcPjzGcvyfI&(}@Ius&AR#Sd=H^YLZ>Sux z)Zvc#6kBjnM_a{?dcv54Br&91Lc$nWNpSaXp{X6gf!s=YxTPH>Ga+<pdTkjAgEnr$ zsk*Q^5G=tTe&ya1cB;T6z#_)0OS|c0HbV*MxM(mo5AvdBH$?LZbt6<^OQAcC(|?X1 zakr@`4~SfBacYS22Hz*t+X`4Bx~5YLBmx`Jv=|<DREiqkQV1-99}(<}?rCU`L|u;Q z+UP{$Bg8S>o&^KIaIPjsN=cqKMKub%kU4E;hO{5;{VL-dNW7jm$?eqyry_OgaYHo5 zTa)mX#D|KVq7aJbH!W`O<%lV!j(>523=>#VwvSvCcDJzq2=z2GUE+!g8>ATVaOZ{F z2H3@MgW93u>}KYD8I8XonGQHSH4FU>z}XB(h_ta(!1ypgm@ojWE|9Y;#2N$Mq7k&K z;!#%wWpu{<&N(VJq&J#*KY}^IZHQ;|y}uKpu>fEm_6JkL{tjgP2W`%%jei*R1*)s) z@}+^ayQ%kBc=2R31++uu()oevMivkFrcifW7d;jGi(&ZSX(@BM*wt)2T&Vu#apSaC zbUqm#c`6^0PQWm7(8DnkWe)>O45ouIz&wOv(k=B)iYhuYegHLz22q})I>?2<iGK{4 zAd=aesm@3wqJ~8JXxa)w#((7r6WXA|(p`d6+qMCY@u=SPU<BDbTXefnf8YrKO0*6f zsFcbnkW>6`lqBL}Ufc{GlnBR-f^T>>f?DxaWD3!&0SSF|bgd+K7+sEn<JjguM*i)f z>!EA0r-CR7mb$Z^b#taov<=iE!cvVa>~VGUV7JMssUE#LR-`(RM}O-^0-E`E#Y)xN z@zr2x2`%GX!A`-2L7Du)Q`!jv*i1G_=bA@Lk`zQ$@eNq)_-{3$^(*R07M>u+-|#1X zxB^p0k7!yko^*l{zjR6rX(3f@!F;7&%v6z#3SqSHNaoQJ#h1cKNaIkC+%yUnEK!8% z4!?wAZ4@AliS&s-Lw{QY)v6lo3DaJb%@=-;B6-RHA)?Y;7$zjDD29gAh`NX$M#qx| zpc}_Zf^*>E%q8)eE7YlirgDNGENqR?HW91`iM#eDqpf{%4mlMcz^4pe;=GD>#tAot z4@3tkXbO0W^KBJ5KnA_~jfEPh&q>e}oua4{^DtT}^cH9>5r6B^wny0|kqx1AzuI-N zaYAcsLhCM!rN+aBYoj>rHM#lGp|i;jni`hrUxK6*wpHbAtzy^PI8-v0t09jb6K)}G z`NfF1QtT${4Q4nVu|5c|mTFbAypndyy;%g(B;ghUr_G}!YC`tm<tgV+$u|&zolJXu zoO~}}uR)3)sei=4dj=J^)Umj`o#A*qx$-SLc;kSoDl9=k=7su9Sb{%;ArD>NOUGR4 zsb4iY^^;B*_<~}W$$RRT0kUOx;Tzg)x3IyL{mPsDN-x7wXOoss{j}qmP*G>h!q~}u z>Ia4FPW`m4xOJy~g3ZM@m+90`n5NMxJr8lGe(u!oXMdmip^15A-T_jDusLO#t`^~Z z;oH^?F<)KSA7;%^jF%6S3HE&>bSGhpdKFY%P*fm0N&l%F|HPmE;Z7j{^L{YxC`654 z*{nDj)sbm$9xu>Qa599^Yo_`Em2yNL3CfKCVF_sfu#)mb05FnUPtNj;zu?13jX*y1 zbEl^6nt#u+lG11|$sZ&gFVL=<!CYdD6hf*5EhAi9atbae6czNHA*@y5+P75aL#1*M zES$ChpP}n|_sg})|EMVk8%G2oQlgG-h;VS8M;d;Q!|(2wm-8`_M7m#IMYGg7P;b!3 zvZkBTL^`bd_X1}Ol(mEq$uY&UmtU$3YQWyAR(}o!%*JQB&*|{!>v%vO4B%>VX_R+Q zAU%QExGt`N9HcgY9QuN)W?<3y)2jipp=c2ihEKzNg?Lj^6fmA2-C^+Z>PK|rD}Oea zAsCbLlrS6*rz$GY$pd^RKtZ$aP0(AQhKfStF@TN|BBUsMc06-fN>GLTsx_M)IVMM5 z3V&V?kanov1n&mSD!Dej1g;AneZ^}Lr3hqKL>$wjMFdn|Aj+8noBRF%?;5^X#G{xz z@zvr&dbnJ~eXtY6!X8jZ=xG}J-VP`-r}GNB?{ko?S~-Y5;q_+7C-9KiFeOxs5<2`@ zfb(;8;2PIrkI6)=nBmxm4t=ofmX{Asdw<f}H_-#&&2d?}08XcpB@rgF;kN;<N64Oc zh0EdbW2zw{11n@WM1>T}QwrA@vfzj?fN$`$i_u`N9zmz|$H6txG`u@qzl+;L@FQ%X z_ifOd-*|ZX;ov_iYv_fC8<<AZeMXcv_nc09!o<37V;qaUB=W>78tb#*qVr5@B!9VX zx1>DG@<|XOdzOV|cLZf1h(BSp@G$1lk~pgqM~L?~;3JOCu1S!nghwov=%YIF>S1{- z70goFg-)+%9lweTA(7ZnGiX1d9*a8Ii=f39-XN;O5?vZMp<}=<tw{9*#QtXr<RE@1 zR&*eBemo;lkqpH%7YwQ3PLQN_Y=8g%*?ZfjHj-p*`1|=49ka1v`y7MS;>~8qjxY$u z+>4C|@ObXCv5%sWTA<rP(zGOF_SZjGX7x+9s039bVJz3gIXeSXRd-irWnKCHa$7O* zDs&8A+G+DWOes?);`gOWXtWbJjD@G5FfG>r<K7?Ff*O$C`{OVO`R96oNPiprY%v?m z+HFlai6n-d&SHIi+s&3sm0ROSWaPS)GUmw9rWC|Eg%}SvsjeL^Q@T?r-v)OSohiDs z>2%^RI9LV_reK8VMFIB7zqYn(|9&1c2K^`FU>7U!FW}B+@R$wjq{A?&fybLMk}{Ry zq~)~BbqjQ;2t4XmZjW)-4u4i^zPCR`IlRizRo=-yS`)&%gq65NGZNs&UBE5KT5PnT z_6vg(@|3qU8|sE2C821bPbyrwNe@d^zz(|Xja$ZmGX7ZrJr>pm(v%)cI5Vbl(D3^3 zf^=VSw8+!$v*IN)-w}mnoW+O(DTgtzeer46%s3|4!}uy64Q@rUw106Z?dER<e1ovI z>5a%9#fS;F0>ai};3Kd%#h*N}u+tN|Jj_NIcci(R$R`Eyb<l5jTMTj2pf^ImAP9jm zL635=$kHC=q+xgV)OxebqxS=ihE%VT1h3@G%I8V~n(_6}G2UQvyY3dfmAY@_s~1Q2 zI~=Nl4B!iJyGc4MUw?Et;fMevC-YbwVhlrLTx|3g`CW4%NkS)}iQwjLY3*Xq6wyLS zhHkhc_2bAdD4y~iD8uR*t}C#7cJgkJ=s2s&%EE$foLuRqpfv+fg4(yYuZVQKi$psB zl~e}u`lxzNicT7;D*lp%dqI80h1U+A)}B3=!3)eL<#))$4u2h04$-nKH;Oq!IW%jr z%(bk<UxQ_czo2;&`o>vB&3*7FebGIR|CCFo@Nlgc9xlb2F4;{iQ`N(DH@At0*avHG zWVZ&DE?2Skv}>#M`Q{|w?%JX|z-*+eYpa;)U0X}qOG}ctLN6`HT8`ee<y~9f+qKo{ z!bH@hmNd>~#(y^*{aRa!C11fT3M!J<h_Eu)N0)99F?yFQ-E6=wH{%)hVnl+U^Ht9` z4G9D|VxTlpaj-OKel$kUtZZ024dc#DuO>1sQ{JI`AV3^Wn+}w~v@Vnhd1v)xIO)M& z98i$uH4Mwrcn*V2Lnh@AG9pAmMgUk9*6x8T#7^XD1%FHo%|WZ}%mpwR+4o*k*U>xI z4iFSRP(~|!U>~jV!Dnj4lqND{4VRKGI}u2uesYBT$KAmtu|@m@q|EEk5p&Fu(5K{M zAp#@Gz+|9Meh6?Rdxen1Wyns(S61EAM3EGratUm?r}x)r#xT~pDKg=jYZK))XfpDx z@6=*SSbwh=t#AUFg{;eK%rJh>S(K@4$b4;w1L%<q`&07Mxs-)S7IsRfP;avUCk6VS zA!AA`<Ba~%2YCjeEuLWA@KP{>7z_&8MMsH3Vgm2`0UOmaAC%~cC7(TuCb0MZ7#UjU zu4+I!&FcV5ssrTot)c+zN+v8slybFs1;8r+-+x;Hh%X)UE0CORzgIQDH(Qx{fk|h$ z)b1Qzx<bV0DSzA_Q4e{andy!j1`+V%iw1(Ao}2Ot2i<K`;4>{|NKtTqL<TyQ{IP{_ zQSc8gd&i7zB9xj_tx;Fu1?8peqvt~`vGsWwy4=-WofuR2qnX|h1qM@OzT5$^hBF2u zs(;Ww@(T*$%bP_!#XeYhCHr|R5k)MWpN;1Mwqh*}w2}{;6?|34UuLF<U`q_aG7?un zVUC$<9))=n_PtTqrCY4p;xX7O?GVX3MBplIeCZYu;~j8V$;ppio-o<HBwHC9LeZ?8 zVxb7M!5YR^+02%P=tl&8ASwjbH444WqJN+<4%XQr!ZU^mMd$R;hcwH&z8&0!Ik>xd z-Q6cL4%kjESlsT)W<L<LbF;T`#EA7X=D-6$8u{MC00$lz1^;@rz4dR7kt0qZQ|&Y- zaG(@8CHKeUzi;J=@da83rm=Tb7)0m`awpu-{}k3@z-ey79COZcIK?uL5Oc2AW`B|x z;v+KL`J6(?OmzRvJ-*%d&!w4OBERJ-akcu1sUCJNDeNrKxC-u61g6x{#1-gqr^lV& z8+V#wbtDj_EWI0mxS9a%t1AaXIYtT?vX9m<M;eZ7LZkWXkr(qHu8qg_Z?d$p)CibH z1#&cZ(YFSD=Pyes78&$RBeUtMLVqxFjnXN0xU+%jZckAF>qxesw2SHAzeMtd?f1w9 zc$${fy6PhTs@ZI=P&6dPn?4KPBD>3^!69f8k=+c3D4j7u2({dFHwYr6R4G6J{cW4y zs9UmJ`c7_vYgn0}FaX?+c0-|NgbX)JAA9#3ZO&3rL+rwCZ_q-1r!8zGqJL-%<N<cZ zSCp?on@=THfk}~-nFbS38(NN7M{p=dkCY=!x(FLKDRp_QNg7<R4X(&~Q|C?2S$Cp( zhTRT@x+B#S?0h}MfA^49n5B|(rWT%u1V4^Mk5CNOq>w}iq2*3^o#4&u0AAQ&a+0Y) zs9HKc_;7GqBVMi7g3FV@bbtE(AM^W=eh*F!`t%1hbC#Si2PQ)c_+~XOL*j^|y_?uf z3ldN{O@8m)e5S6i$|s%C=E_VI#Xt=TZ}LqPK$AmXZNntbQ+_hxPSBrN&QOqZnXmAR zIu{_NdYb$Vh+v7^cuXq1R)W)NEXw>j?<5MA{H=?@(21N>XwGgdSAW@s7y$p+AESsr zWwdht*+*;qwV&3xBFD&R!l}SyBKb_oHVAYtvUSM9LiJj4rRAH&x86PC&Ze|Eq=F(R z*yv-0YO7oo^6SMq&Z=#QQ@_}-mJqj*{Ub_55g);-tpNltao(c#3r<#%Of!p5B`t5( zZ2a!3-4-*wa=WC;t$!qO72VcN?s?tT>$cxpw*>-k#i*`$)r6hD*_YDvPT*E^RB+vD z4cV{kQkbOBJ?x`PcZnFy8Fr_r_%WjP0;v7)5oOwunufeNQ~i>&Wa*L#Pzoj+#om;b z$=IcoVC<Ng^prArDSW*X>|75~F&I@lkVE<IBiZ9Q6P>DzV1FV?L739Qd5ht<e;yR; z@B=eD{JhZ|zO32)%Zb20Zh5E-ssX;ImAMGZwc`~eDIk`KT8ESgo3;4ZC8LBPVp`Ip zH)0r{G6rBEnG;Hrv&>3fJEttK#Ce|piR64~$7hps&Sd`wg|HjW!0#Exq^S^jA$&$V zNG$ISkZFN`vwxzBg^DuO3oMOK#fAB8-Rrphz;$6hfJ5F6hdr1Gs903y7ekHjd!TtC zbjV0VJA0Khd&p(!p+q}UWSkD<mSY;pBg!IqsNGFa9=+o3zI5!I1PhOydf!21eW>ki z|5Y=IaBhqn)?G`~Jf`|I3)$Q$)wWsa9)+5(=o52O^JhCPC0tf=uy|2ByDP6_KO7}U zCYI(4uaVXsZ~QKwG>ckK<q^;>ZP=vVZLXSqX|h-DmsGi*qH-1eUWsCsr$t=&UcdMH z{rA@IiRm-3*gUg~WKE}DbJXu=h`tx6!=BZsy^DQx>6eO10~~*?1_*0JvDjnk`M8ZD zI&jv6>qsP~(sEQGatBp8w}TB6DQU_ML!*~#kdjArNXh$0v6j;hp(;Ai0<j)^f|!dk zJ(!;5$d?{OPp2h}BZ=1|EwUT;+VfLJurVzz0a-AJVxo~Zyx8U~N0+su!`e=Bl|$^z zJwFyfGhQ2u{9}KbMK+h4Md}io-Dx9Scsp8GCaKOPWU7<Lox4HVWJx_^6qpXtvo;ka zs7;I*><|K^bketjty}nIE&?M!OHYcoAc?kt&X!b#TYgBL<Wf4IwjlA+8uXfHO36w^ zx;#LGTRSso62?AS6EOP|*a@k6xG4!uu~6H=ofi}KX>xywI^*)vf<So}^*Zxe4BTd< zm|;#(zP5tjm3T6Ec?(NU-*UD$o)g<<S1*dJWA92eZtovOLt9K2A9JQs_fg}_SFTYs z?lClWW_xU`3C@`xYl7?UX3bYi#+p+m5XNJ<A)~MaDVnEbP_dG8z{i4<XScTO*K|pC ziuXSrT)ltTy(tML@^CT=;fz<d31O}`2`#%xh>2XmBIMZCz*~g8Md-0uguwF6GdE>! zu?C^Xf_QQx5q4+_iwA3Nc;~jIU|YIrPMAjGFgS5$uGQW&7nFVTPQ6c(ZV6N?z9orS z9?qEbBXAd3!Uav=#$7NoSh%aK=4}U?KQ43GgNJ`L{b=E4s2_p7z!P&~uTcP-goVGR z><G8pwjRuous{)KS8G%*MD~qx<0>vhvKz?PFYK+#1ZA`$bM2!`TA7g0E*7oZWE1rK zIq_itYk-9fRa0vSHxCuMSlo3wWozZIJqwPI2G;=LB`|M&L@R{<v)9<$4XCW`a}?va zfj)o28d++y%ta0=O#4ZY6DgXYi6SZ#w=SaOJT`k^+R7o?a5R`8&{x_Ovqp@tfelSe ztM!Si2mLfVsno!*9h*KD@M0m)l8$ou@g{sO4tC#?*;2kt`V^XGzK87Si?lk=5JV%G zJp74ld$%1@oUC~r(h#1rr@MA`&X|j{rk;P@c9^HJOBnj2ocgtAJ<8a^AN(wrd;rB| zs9bOLwe7n7iqldN*-q~`#eP34uXM`;7JcnH9K*Ste7HibCqJb7Ax(NE&zRfEum1oi zpA&~4*G>N8AOBD}n#y6LzF~@<sK94)Je8+tb1Db``x6!}0cJmrbCUqDm{}zNf(}t; zmjE~P%5cq#fGS{q2}FQfh#EYhj@1ZK5nw-|DsHGE1MH7jxDc5AL|TQwr#>re!RY{v z;J+YGY8*`XSEL`3UVAZ^^q>}Utw|Geq=<mEmvBx47C1#n1WX5cM1<yfl~6z|R4pQ6 zp%ulkxL)?8Bn8BG+*G4SQuH`QMI?i(%25%R>!Tu;Jt~5UTqT#jP6HSibeRZSw~R)f zif%7bWw0Y9O~alk0~2p4m+npjBY(On9hEr5o+xvZL*V{V0sMoo$b!61%p>(?=*A`s z4^ePsl*Mz(2;~qdDP?Ac34^D^7*p~hs6V4+P>9p|*D!px#C)a&6i7Wti2Mggs&VGC zxiMPq&zxqEFi*)UrFWv1qshsdzPsuV>|4C~z7LR+#bPRS3(|WC@3=evJbwTK!Dx0f z&?M9u=z(2SHy8-EwT0*O83s~xJw^UJh)cEt2UTXFDcr1!AZxtuEgbZ~9*!FvwEkcm z<dzn?EKa>!pta6K*0A1Nm}p%`K9CRhuSGBw?skKTHs-`c!U9@03FC={Nq7cPBo?Y_ z5|)Z(5rQI}!{Q<K!BRMi!GE1i{>M6}q{E9jhK<s0>t$8l*)n|8IIYs`JDuk3!Aoin z&goml6s$yF%Htx`6K@Lkrr_^w3PxdsFE#W(YYy6Dt0>lNYjwwZNlNm7ay0A+ReKlv z=+Y%3j$P){|5vzO`!~9q9P~|eH;PwWbWzYKi7yeO9zYO`0&epI?|(kkJVxC3(TAWt zNjUzTOWKkphIWuDD(#74Na*^9-;RO{R7{D)g27Y70a2+Vy4Rnj&uc|!sE|Jb^_fvB zDQh1@V?|?1jz6bvma9~{36CYjkvFpBB1<&m@MPy7W&lDDrw33hdO!Zg6;jf{tHFL& z&57RoIoC&_3Q{zdY=2htKc<#5A|OVzHLJnD>i^y*M1((5s|a-XK;0<(g`SwzeNH#a zRR1mZN-~<8w_K1hZ$m_{j5?i(GYfBQEA{||Dc9V@yPHMSzP850ck}L(8_WyN%9)qT zFnjKuiF?zM)r(}`u!;nJxvi-F3j2mFyPVX*yxjO#2~p7)*nbG&`3r)uiXebDLW#9k zw$im;uiI9lzmeRST<v<Gy`-|;R9Kn>y0c70XE)7Auk7X8W6Ju2*%KA>anz}`R*d@f zd|TJKzh1r}0ji`^C#2y7ci|OXe&&b#iX5Tj{Wz~)&t`W*0cfy*yAcS0yRT6?%Tvrw z;^2w+-4hzqj(<B`G?Cy#2PG64L|}SraCu2ad|Jj-&!?Xz{c*P!pw*js2K51C<Z%|` z)si^HF7$W0@2?`X126>_bL5b$!fTW_<I2kKr&ZEu(pTP>w2>UQMNHv<sqe@w=cw5i zjcv!HF1l=^tz8oL&(WZey;$`1lTmaY&(9B;)t9fvsDDULRZJ)fqqbZbRY4ch9wP9J zy1aJmEApzj`nha;kC3c@YecBV?<e!6P`BNqo-37v$(C#BF%l+r;i=tnZbZ`8pEH$Q zBnk-|Awdu+eB&tF&v06WJ&=bOqG1$4^bOI&1?@UWx)BBE#}izLl`wm~3h1fVwnIBq z)(8yP<A2H%3I{0%*FIX~heZLOdKKV94k`tkC_)g(=V#c>wMx9X>6(GH#k*-|AdR1u z(T|!r*D5jRtL?g*)oAVIlI^E(eXu7eHBJ{!AVm~PW)N#QJAtSzCjDboM@kGTo?;)Y zy;7^bZvTjq02RyAMzEbJMX&O4cVq+*U~FBsn}6f(WsD0JXPmHdvo#z$qz34Vc%RVn z`Gjtxb_Ea7h9bR{+tz!CyocyJdWeYmVF_Z9IcSosl)^T*OKEPIAGd-c^}yna_fV@E z`v+w3nV$kgy9#eb)m>e1guNo5<n=9#7WUDlt6Pj-__ikPR`V)ujt7YBKvTzFbnV2j z3xAJFw<8_x`~b~bUtinaQ_4urI;y57yZ?cI?wm}SWC7?;F3+IUqr*xI2AMBG>$=s8 z;pL0RS7a(A%Me?H`l)^Jn2dJ2(GV8IIM_efKVnlRt;EL6!7xtP@|Wc%k9m65z$Jf^ zJo3u)sNuP6qy!FQUn*>v?RXgX+epM2l7G#0ForLY=|_eQdf@1KG>$I|Q)2$?)QQ^d zw|bLy9CUiuEAXH4TvXyh>rxZ~<DXDutoMabU$_4?PR2nzZqa+QDb*bILBDrRU2C)6 zm5>0<PWM~f1~<J9=PLk+Q#;)b7<Dwxy5U)gtuY71wkxrO3YZ|}$o>drf--t8qJJRk zS(}-lS_86T!yH-WQ$p&7YnT@sWZEt<Bzf3VJ2#oD*<M9UFt=Xek7OK+FNfpn`O;7F zhJ90&Z3;JCZJOx3=}0)mq@%r&y1pi84DnFK4}OsIxKC05RN^h?!=3sDjO&6=kS2OS zpv>2SQ=1*Un_~Q5z!Lvn4fw$|^EhBoz;FgA+++#|m+|;wz}|_rad61DNnaEVuvgO} zgnami1IU6b%!63y-NA3~GDkVYN4F2Ie8HE!RRb7*Yp)siUj+HDlD6`zbL<#~!pe%L zLj+|Ho-dP@giM?ObM(i}Rxfd5SQz2m%rNj@Ix_4cCCzRxj*=HtN79sTWJ!Zt(6%`# zn}NenMG|*olsu>2=KNnJt^vs++)4e`MKb6Q(D$Z&-H$H2tzgtWr~YZ<3pm4=t1ifx zo?diKxOL}R{*jO*1SmIJZjF;3T_$}u;=Xh>r>{RM;$}Tm4JKg{Q*-l=7uUfqHUvdm zTFHR>)03<!eg#oOgR|oo_23m9nWLYI7curchL@pM10fd<Kmf;!Kixpm=Zx?ghlfFQ zPU2Rz@l}`ERs$-3z0e^>(dCfT2Ue8Hb<FJ`St9^yZy}5_?vJyZ^fzpJaGGAH5T$1C zyYM`k*n!vi<$ser0Vy%3taVTT1oQ+%7nq0ydhEEQ_CPYD<nr5AYXayGIM3=0g-~2| z5sGlpJx9canT+Oe6N*^CkgG0&BU%x@B*<@i=s?MY;u_I^)-IXvc{fO*BL6*5k*`V& zXg^7hg9AT9yG3Yh{57Vqhg(^2nm#`^0}9^>i9fpoBzGxk7HTPZ#EQ-?CDeiHyj-YD zX=|$%)@HJui0>eHkVRLK(n%p*Z0#tl6)j;1kvGO2PA6PuO$5Jm&m4SA`5f<3T0WPO zAZ`^8l43)DRG^#pAbAhccl00`O9&JjmVjiKhsC$~Ev#v!isdyUJO^8j*+#R3EQsYD z4Q5jM=Uy-k<(PmkvSX7cX?M^cCxc#Zo{-YA$<en8n+%h(n{c6;j@G>>g@#n$XJz&8 zz0<I`v@@2rf4;)S4`KUN2%@}^eYCd0#_sz($c*-X`7Y#E;5-FQgqE}F)*2*m;+Sf= z9J<bi{$hdDZZ;Vit-pCL_84aeq4t@xhW?w>I&^-4W)_|G@-Th)elim=b(F~tn$hme zLyHH(chwzG;$N><auT}bqX`6=U+V>wR!)<og;>BDN{5RL%?fLFu~^~`@&4EV=+FSV zMx~d3C*ux?Okr*8qibFadTj@sT%PE`^74V@X$n_C%t||HkC@?E{QED2kB`YB2G7Oo zU&ezW|E2+{*&biKF1ep~Yy11VHB8ufv)_$T_xwY28Ow8?gCT9&4$_D16C^dnbKXaz zFHRFUE5<&fEO+xyIl1T}$Er;wuO{}dnUZ*a`OHQ<Y9-y_7(PY$M7JwMz$5a1GMF>@ z_!S~p78`Qqm@6aqB<GCMUW#x3^x@OVUPDf~|2CSO@Cw`b1IJjAoji?qfyyD{eBfIl z-xvWQ0$CBq`^1IS3yD9miQs|IH70U+IY*;Gs|(|O+ZfQ<v6&jmRyhnio0Nh(nEl~I zGbi{3w+`(8#zG$>H*$=uK=OoAEE2q<NHilD$BC9)^MHJK1!_xUiYDjhF(QAYy`Q@b zVCLsT6mQsIBONEMHICP4mqA(s7ZO)E`UxqXEBsEpXKAWteRU)ImugxAAq4WXRFapE zS_372h89_&?dGlt@dJm_$Mb=u!xE*ZW7i}UXKK^8+H-TJO%ww-9TiV#d}v|?^KqyJ ziP9j&-|J~C;qxr4E=?ImgwDXEJ4cW_C_vHebdd23xj>E3AYLF6woN^+oe82BC^m6D zSgAjs`rXT5rIf7e#u7qFDdWjPRZ}pcB!gIg#aUHbtfmI8uwTt3t`P4}Vz3Z+EEK0? zjM?B9r*C%-_W<}l;{V^(-~1W{2y=;F|6-y|;`7i!S<B~mJ#G2)G(p@7Dw<NLT{9zk zrv@o)UPTj|FeT|0uc8f6%7WwhBvP@{MBLvsM?Z$$0;in|JJ6aBEJLNQaWX-t?K3KW zJjMYtC|YJB8W}c!iHGCtX9UqBfD+NO{ZZ5+_1N6>(buTkW5i6Dq6?EQR_#Q+QEAy! zgFu9TKP_zn%>Xz7Xam<z3|#=2f*(v6<SBmOp^*R=M4aaVo<Yx{A6V}omp*<@;tpc? zF0x-y=@W_pY7c-%GGKpgVtcvGcQzh>V<^;V&KSQpOgIt^m?-JSig8535W!6dCAV{* zG^U90TXyq?#!jJ<_p7|kntk=s$rLYx5U?~ECH-{5hol4cP}p?{<2=+iRH`X>2yues zL;x8$X3GSC4SNW_<!&41w^V%Qybms=9FR^l=|NG;3gb|;r(r}?reT#9;pe4)r$xv_ zF<s>8Ma&a`NNeB1eWQ<Fl&M88vsMq_)5Kd8Z?zi0&K3M?^WXG$ybfM_-fTmh*&HIv zDK43w?i4^<+e%=U!ZI%vnW79=Mk^d+AFVMAd!Jx!cn;kGngRvpfSRBfSF}lk_#l(g z%19jV#|IeO1ZPo#(nG=1y>Bgl$SIacY2C%kh|-227tnC8*B#+c@M0jhVD*_iTW$)6 zVDrMJXT(l(GDPkZD&kNentZ~Yq<iV;orQJRzW#Th-Ra=`oD3x-XtNOc0S>&Wyouk# zAe713Eb{c}=oxG^h@R*C9<yz2a}3SN%q}4!@+HDZ*_Ve5GTL8o1(mLUiNBeYw$Y$N z4*>V91}Ath;!-nlpWP@_f|K78<+b{lMUFvOjncS&I?!zMp0oI;@cpZnoleTBdF5EF zPAey;iG77@l((^u*3NJiuWxK~us)oj&e?W=nENzm2;tzVcUkVq{ZAk5bAG^^1PPjh zp<Bh<5T%FgU#smTawX({R}?4wJDfn4o_zl32qO0ZxCz#tvVhEST}p#?Zoci{p1r`S z%3>sOS4UG4a}~iYB?-#b9Tv`5riY6b^Et~4S*3V7;>S_k7KTpbQ$1&KUK(a5-WUf# zeRE0cSYxnm9Go;i?{X#E*Dzyc75C)BpU_tgqog|`pc;QOnWTh&0LE8?><wuJ%C5y_ zG9p_xB_~k6EQC&QHAvde<}4USaSt%H<9fe`b=UfflwSB~xD@&TOpDlh0|g6rfZ<FE z{UEw<4VW&aDbqIPHwTM+rkf2lnk>+{N_1gT%MBp8O;IyyNI@zVaJDIiTeC#Di6_{p zti8?*XkM(nTZG$xlys6A?TGaXcEq~3BQCEU5yY)xM=UuB?!0fk9nsqnzjtu-`JkTR zh~@<qxd6WCx|xA+=PO=B1!snx`0-r%CWz)NOXkn5LTPc5G~j>&LgiK^Z8GJx2GhU4 zO7diWgf5`Y2Vu?_>OCo+6km{Fe^FzkO#tq%NsMaGmxHf=^l(!#;7LY|CzO_ogt62p zh3J7@qkBdPkRWmhQ*1(ZA6j?%MgBY0b4UM3J*CDnh1Vfl17#Yrfr1fvLHD4;aa9>U zpC6{gTbK6F(QFhxKoNivZYX58#3#b8q8Ay^bWbRm|BT}y&|(^8CHV<_L#8C_-@t3e zW-$uR2gEmj;1%KrDtL`(6R4~w(5I|ZD=m8l^Ap&+AAEw%3a)Yve+G+ju^f)!p+0<< z5ebWXaEL%}8F;N1;8nRvB{jqX&fv8^n+y_<xEm0N!83A)m>~dc346>4VAm=DSorLt zH^07sUmcDta<m6$P$c8zHKHxzUT-vvTHv<VwP5Fe=(w?WynA$bbo~0P7ol>~=Yz)S zJD@+e_|1L%Q#0|K$MJcW_-DotPBHVX(b(<eC|x+k(>_xysnZOUu(m58Wp7sTh+{73 zFk=j-_#AWn%rAyBJ4*I|jPl#>>~HMtgZMjdYJct{V|BB(siX{)_O+Pi0jvkG-y6W1 zWcjOqEBo=5_l3B<7o5+x?uI3l;Tn*jNK&d0Bx68V(+E&T5g4$xoyDJ~1qY1Pa%DVN zF~&*`zk)Mj7`M6reFjG2hVNcZF12b|eD@RFG|-k@Rq#&2@!d{Z&7uSq8gC5X%_#gV zG=*D!-8K-xtyKR`gtf9c4KTMK!-sER57Oj+N91m&6d(Ks;FuEUkK2b|d%+%gWlS{> z3hqOTvY4#JvRp@Zv>iM>K14kQLQ7PQ;AW{zeN%WC&l_&+G`4Luwi`Q58rzM{jqRkd zZ8WxR+qTj0<o7@4;@r$WtC?@-VrS-?7ff&V`5d-ecuPCd`0#oRd<4onychAmmiRoH zSZG-b!sI(g>VlPGO2>_Kp90^?`it&u(a5=|7!M#>KZVO|Sky-a$1~vzf29TB!2-^k zgA?VOCcBt%IugXST1||Jx~^6AKh>uLNCVG2C`vpX=6#Y4-BETv!9G8mK(a96G41jE zxQeKA;{3R$;@We5!P-Qk3!BztC;L-vmm6}%#l`GBg$zZpF_K{gpCe!kM^CC_Y0^36 z;xzs=N1bd{)HKm^u+06C-lrloBm(GR8K9Q*szSmmXizj|QBD<MM<z?YRjMn7<k)*S zOU7%N(+IZnumx}nclT)%DEHpVwCyjDWVim?P~n92&Tpi(lqdawp(rxY1jY9$Sdd5G z>uTrkH&FH0mF5h=_K{}MeHK*a`R+~GT5vmAP+?c4qh_bA{he|@yy8KXVgTs36PEG~ z@(HYg7qeD0JEY&H`@n3X)QH5orz-=cc7#5;nXf;`iKP>7oyIG4hMxoT_00|)$;jd0 znh?u@Bn+e%_UJ1$6O<sv;ZM(l<i<sG)?=b6sE>$^!|bF%)C{U7*6~$02I=qSc!xoY zF$Ja;w$Vr@bMTaO@Zqd&ya5__U)nvjC^{l5cWg*ftH_p8v8wU@v>8sdhO3dZeKb;2 z2~9fjq&|C5zmgKRkpbqh_WKxr=<(<0HDvDEB3bX^fYY8|Cy^!D9$|SoXnKXI&gB&r zXjt)Ap&Dtw*72!Lk18I6cPtV%gOo#pY~dk!ehh}N|11m0ilBb;qX7;^BI2OKRXrI` z`2&~0!4N}6`v+%PcECO~r1<8O2+4oFq7CV$VOy=xCo207WngO0L&I*n$oR(q8EHHj zCpcnTQBF}Z_cU@-*yo6LX}Nb6@M=NsgczW1tZf$_psyI$BrQtx+X$~%>1fzClcY@p zukZ%iU{<W8A5n0jM1ZbU%(Kj|#%r^;>rg#dsn}wPoM40-TbfoEi)6mtr_j$fvXAvG zJ>LqrKR+<FY6d0{4T&oKO8*{_1}%X=1#aQ=nqCCWemjK0KJvwX)FiK=k08p$0tvp8 zMUzN_*0y_&5t{f%gzPEY1@%K?B+t(ewPXz`ugLTI*LC&)>oE{V_irl0BSkQ=jYR1U z`u*^GCqDVo38$hT*zG-n5<A4)$@+kGxFSbR%y?SpmpNm+*NFuz`m-+TF|TT&*Y|O- zh~-&1X1Im^D9Ag)LunGO;^mqsSK){lravBV49(|JnO$tQL-g0;=Y8YnDQi)Vy80dH zfm0nubLlB9v&w)@47+Lph+;aDyq~zxkJBlAHCdbz0^lM~9l?RV=+{GJ3Ym0O+;Gya zA+f2v*nWGFbOr3&M_)~m)I-afKSN2|bvQ>=y9H<Nx|Z7EIE~4|`*U4i5saN0){b>A zis)bp&a(Q!=7EvQ@>OSKyhd*{9Eh~_vh<Ci%T$!Ui34!9;;z@zZ1V$US?qkws(s`U zzICD-;ddtM(*rEUB7Sk{t*4~C1S5xu#pOpr1!U@WFGdLdX(%IG4VK>P+@@gw<ZR&g zJw^FUU#cO`17c8Y0_qBo;F@s*ouj`x%#kx)C@L(Q?^LEE7v;b4#+c+qA8j3G{6oWA zQS;8CMX-RN@XJkY6Yqnl(90)sFI*~}B0f}eGjcCXvrLQ>Uha>%NqCrw!!iq1I7Uy( zs6RKPtbcp6<1OzDg535oDZ!R}Hac%KHIH%c{MRz#r4HpE_bGKAq0J4qy>UJ2U#Iwk zNwq%`j22|xgc@*(VWBcK2r|;3vY6qMgz8{7x*~uat}#!lp%c(zqHxyEC&9wk<*1t8 zqCYqf91i(erh2i(()8QE=e3%d0B=WD1evx3N71nQU9vzEB$25vGIIqhYuYmhUCJ|Y ze|uQ969s)-*wjVvsGL{0PDi~AWbZA@q`=@m&mk@}G5p32wtxZ6NAyflT_cgBni$3n zEJL6^55-FiG=$t7q3Wf_@ZK*5u}z?xntTc7@HYo*fwSl*d}G2&Y2nHU`ZYJJy$S?l zsTRQ<@OwP^pL+%59aF}-^-_Mj-B|+Nz(!7oLl#~l0?kM#GDX+d7{oq&?ovV2owO%= z?5WJdzs@PC^Bx$GRYzpc`s|r;vp5|0hy>_Q(?BX8X{J*yEghOpub4b7!fJX#cp{0p zJ-V2*5Dw;WJz%Px2}#|@e7=Qh=e+P=dgg+gCHYSDqUMy4po)Y+W-x%FZ|0*<C#FTa z1=gFatcW7$mhTarMb1tznJ$lmP#|7@W9`0d>o~mG{J7a1X*)dWdF|LslX<Wm`wiIQ z{_1?;SNpDBvVXVeb5lzB^Hpg&n963QtihH%;v~BbDPuZcO>N(9?8GCs0GN>-;j|Vh zdv8bknEP8O_wA&TeP>;AP|jtav&*89&V2|b*e}cAh&d|8tks|&JaOUAQf&;Q4wK=< zxH?QW$T)=R{$UDjP(BB%__>YB`87Z>%HkTrFGk+?FS2#y99L}lT4j<?o{sJiTwSsM z3jWW^iPIESQSmkm%KNLtP|r|@(c9Vk8d96*v7*B>aOH>6kCjM`vl$Wpz;4#WeB>$Z zrz8XthSmStSs$ZTA~lk=2pbI{K7dE%u_5)&jiySPl^#kF0<1!kxhl~AL<Bw<kUuAW z$lpM^u8$|3NpX1Pt`tvvzHjtECd%C~+j1Ka)&~5{F~_w&1o0^6s>5I@*2x>Si@CV0 z$e<x6IZaDeo;XjAPPumE*e|%<S$EhG1X^m_$#Uv=AK9PHx~3NP%)A{h9+C9SNVJ6X zEyi9dCR{b$4gp|`KliVbBrq=05v;@L_nh68mJzZjM(^bB!#u1m%Ek5|2U;cC!=m!S ztMmPNYs+Nl_%{P_@2b@#*O>~+$9XABFcy+nk+vG8f|$e3&D%C9_!Hidsww3)=%3~h zUrGa2<CF)(`4Ztu2``K?IoaTO%D~-Lnq65{1>s}dp}R?Vt0Hk26l$k)Q!E66^v-6B zK{#+}RdVDKZ|kVSZ?i5)Dj0z^Qx90}D@DH*$u5%HEx}oUtI|bjLTEA1^c9P_-#-($ zU)aVrikhbhofV`ct>9HE8DuU&G<u^x%qJhx2IcVgH*<i^bEM$K>bYT+p&PsW7@B$` zU^qjve}Q_VL@Z?2w1aXF0*iexoKo7NMLYJmZ$@JJsTx)}0wptIadG|<E$@!mjfcY4 zA|(4@e@F_D(K;e-k3q$`)z&g<RmgwYm&YkISbW?Ov0D~vq$|yhr@_3|iq5kcn4_#* zgDqwuZcxbq_Bjr6q<ItIa~?+!E$;@NefP6w`K5HI>utp$W53IfSC@D*&3=OYQY!DL zSTT_co80BK`?&pGi+Y}=^lFoZTxLmVd>|gZcLxW2i9caf=QCAD+fcY-Bu5(BsxnGd zH@-GcT%k9OT+b9iYciQUPyz=f?Ni4$Sj;8PjR!?<rD)Qe(QYDs^v$j(6SNJsN<l%m z1%Epc|3o<OR*{mw8;hrnZan<mCsZ3KzA?rzATEqN+pj)IbG#Oaeo?I40R>wu=#)!E z)lLD_b&J2{SK!XR)l!)~<q4Q{jWmK%WtG^rP`;*o&vM}^5uTh-muG7DH%ef?sfaH` zmw;@YW@S&4Pk+_IFEvao!rqz_=~!A(D#AxW3uznDM~IuR6t7;?lrN9DN;5j;Fh>9p zI{F5e<XPFBJgUQP=0(#*1^thrEc~evNo^B=VavRzh=6Xtiq>4XHo?oynb`R`+j+`q zPffslFfSWJmW(8^No<dCUIMY^etx{#C)#Z2#We-i>mIrml6W%vBQL`0X(ElxP_^P> zv{X?juwD2o7ZuZqNCnqM`lmh4H%{yR5*ZG=XC~n_0P1)MHo}N(iabY7RFt9YBc>6M zB%&$_PWgERirLOBt18xBXmTV_F`fsN(}U`0y-)L4ISz9C_c9t)kEhjq8h+&4H}oIV z=bCU-=3~6qz2+hmD|Pr4^y(RnwA+3DE~~_RLEX6~E_*3;eG(<*Jk1c#Oh}}czH5l= zn{6ej(gak?VmilQ*i1;o?!JEwmF`giK=FX7j;)2V<eC?8rDTCp)h`~d)M3w{KON&h z^^P=6O)WlM4<~8X6%OI2kkY|vLO&|;<jOpb<vMYy1fP)4Z$FJcO{rnd{ku^a%j3uj zh(o{HMVYuBF)-s}o&g+lF)u}1Zem5&9`LCH@GKk?y6p*q*9&#!iNNuvMrm81{7t@b zG|zg@q@ip*A{s~CX#C(0$z(i-t|(qMTD=OxDv|+KGh<T^>Oq;$%2v2x0={t80IBR! z_<7IW1jXJ;mQ+#+45h^BM>yQ7Lg}k&?Z5k1Gvk^_+5D>AAlZi2ar8$vCRFp6tO4)9 zf~iHWvk}$xF|@MkcW#=dNsoB#JhQ~lAjrz($JSDB(S=y_HT0apUEzxD@M3<+W<fP} zD6d#W*QipqHU7c2m+crDj>==n`|022lEllg>CpRE`S!V~nE5F9r|G8F+v7}Apw+qE zn=yjLT5aCY$-=haXh5~?(w`LYu!Mm~w%EQ8bdzX*9&{-SqRP<`c*TEG&k&~19AbSb zT2MuW;uf9`r&w#ohD6*fupM-=$zW`lS&?&9kc#Pf`b}68BcnN8h7c@CyKqX{oAzaL zSe5;EN^|u@%qWr%qq;Dejir*raQ_?}jTwt01oL$`M7VPQkN}J0^+dyDuZ+8dmirDg zKM{JDF*^_}+~3sBoqQiTkMkAR+2KuJexmc0@{?%r7tyv4z$=)cq(-l)t{|@^Lb*(` zgvN4a;M5^!V_>5l>`4za!)3}Ed`FWrH6ujarp-U<O$myqJtjz9feVy6xvO|fuTR)i zub&**R$SH2M+Lx>gQ$(kVF&axaxNL|XAQB_kSIQeYW}q^Yr&Cnk_91Ks`%%PJVliM zsq1XfVWNoM8u?p9nA;l&mrmEH6l7xS=})ONgX{z6RYY<XD_El|{~mRr)*oob0#Vx% z)AB+?+PVy<=@9b~aIQ)nHVS&wf|f`tQLJq7u`jzi@hGR4PpS7RtNt(4Uu+aA-pq4M zK$Ha8B*%hSCPCCjtm6W<3HeVPKCVJqUzRBKItIndVV@6Ks$I&%$veq0epJ4x7Xhb6 z{7Pxj%)f)iWI}szYM{yCwZ^n8{vHi;k@nHRToj%iwdoo3(Rc~<q2D#b)YUExo;>wA z+WgmMcVl@Nwgq7)s>MktlQ4yHA6&faW&818`gsCQ=jrs&j<9Fm)KJH1T_W{k=KEQ8 zN`s_wkrH&cvgD<u%zT;TK<ud5z2ki&4>W8QrYGbOD^BZCcVLE~x1#&PC+jlH%Wd$5 z@((KXGV4yHvF!7_LId!NiN~HTi~NSa%HhU`+vJB{Yu{ncL6Y>drB>J-z0^q_>fbb+ zl1NwZwbfHxYpy~}JpI!!J^ATtg49mE-JKN8;6Q~*<l%_VN)#Fa{7h3Q>^$h}Uvco# zP-Jn22zB4AO#!?5HJH4|&%VEjnRvv`ZrBC(B@l01*bVR)XM!2c@OW=F`Kcz+%2ZS@ zspT6zS&5J_=u*SO%$wf?h=(c6BDq;1zF=xo&F(Jz5JWsWiU!tNC*B2q*3?~(RMe5V zky&GL3z2rDwYaadUq5$c><4pKHSk8npefdO`geXq4PZv{PomkEdwKoEB}gaf<QB)B zgf>D+ZPVRJ(`yna>hQdNI9N6vm+)m$%0=W<lWAPjE~tw%oh|EJE@p%yj6In^ddAq$ zy5CR>D50yrUHAR~dCXWclar`&lk{^iYG?H@Ys>^c$LHp91~*}}(`m$orJ|l|@Ub!v z7H+izAAqja(f%Exs3As8iJ0Afv~3Y*I5R0E%zv+=DXP8Q*F0;u#dN(qA=9n)9Ko`6 z)}#mLpHI;Z7QT)qmTuu*pFZS957#8JTBoQ#Du~xJk_%$I4AJ6-&so}DVrhxrdh5Su zrD+lUjC;yHN@>&K1^8ZSErKfBww8}Zo?8D6bYQm41j}X>Ysp*?BO`$$wv~>H>PaPC z&Z@8JQyo($!2+e_l(NZjvp>9ZWZ{Y&lN3gT(~{)7dgW}fsC!fL>=yt{nIX!t1!<vV zI3ahL|64LhE~Fc^P%{|Jeh(@gSAbn?_($zk2cOn|aGO!QxH%t3s2SQtDl-!N`D>Li zfNG`2?HNkE<w-uEV`D@A>iPNjc?s*Px3t-EG_8Lty-k8hJ|^ay(#UJm;mn6X5x1|4 zHww~jGE)E6j|;dQA9baIgBw(?{s0f8(=N1<qwTuGVjrv6Zq<Pe>GZ_GL9luLeydsy zKN~gOS93%<MHqwusUY`yg0KA=S$2t2z`lO#D|zk_l2yFnYeB7HIMS^}XhaIFWgZZ{ zYn<=t3q`_+FyV3}T1(V4xGRf}=2bZ@6P<$B0MR&8orHgDu@nSWlR=W5hdZ(+*<KT< z@A6X^p^-_4$Jmf9x6vtv=H;ir2-M@LtxuG{j}uPUhxnFw%t?iKEQLMFdyQT>uoJ!E ze%G=_s5k7*L|rj1<PjYImu^LcZ<nh`Iz6M!(pxc%yT9GtwR~D*@I_P8M;ucumtc0b z5ra@R=7g{4F6B~PydC|h-zG!_DgWU(i9)*eQ5GgQa*40V^9?;AbV8nqyVr<E`*qPs zg~u4Ia3#k0BsOg3sn4lRVrZxWup%UUSKCl5uGnR89<wM_8POR~ElyeEuedvCl{DaK zInFaz@Kp2uF;Ab~CO{^BKxB)AgzGBfY{0HrVKM1rmS}_hk8zQcX@lAD9(G>JZAw%{ zn9e_)zv<^+qT%&xJO8FU63BMa^V<uUMZ(eSLSM}{$WJV&cj4(&@|qb6V4yyZ<%T4q zE+xZR(V&e;5)ePhK*8*$RcA))9g)9EfDY_^d8)qN+IKE)V}9TvA>D2YNSN!`+qSq^ z(=;!ISIzbHyRjr7y1K}^WKZLTE}lTj-qd<hUi-%|<e?LN(26+%Wr5FNNM1W<Y(Y_O zbvjO<^R!mdE#Ba*0**ESC`ap0UkuQ(MY}`nBp0VFohUPMgtiO-t*3d?SjX<5@QkC) zHVY?B>rHWB+F~DEg(8iLv*npZZMx1VbyDO<H+{PEyCrMw8Fn1}9CyR5ks(>GUH-sz z7TNL|jgPUBGeOWHToPvXABpsP#Udo7q2M`Bl=%KyWmJ_<LcRoGSLk^TwLf|LlN*gy zTT57t@%H<_i%IBvH!lkO<@?e0!MS9*`)G6ij#_^`(*v}{38-o5cdnwV0lvEvE+|Pg z`7R=3b3xc8wEzNqUj_k?LN;d)37e3>6OCOyG{z6i24oR$xdFv%1`EaCi9VZ<4&{3v z$J~G97&q?QBtkxcviG&X?fnp>5UVIcv74nS3+D{E>E-i8jZwveSeMEl17ygR-uim0 z6#>WVZHuLIJWby2y3HWH;1LwNh1bYMbgN7|PrpJ!(}yp**ZfRa7+*>6=H|H`x%6Yk ziRzL$1XCLZzGZ(?O!nOjNL_5K-0uP@UxpcZ^%HTWC`<hV6wq^cW<B;;4%xYN=ObCr zLndk&M<}umr>T2f^p@)_&tT9~A^tIxGUI(A&8Iw%uXCaZS1%^ZuxX0JM<(ZN(x~K< zNdI>d@HaCW%GsHq+MA*H(HXvn?pP18(6nHwtNr7l`M#Q_^D~US=0i1B)E*DC4&5VB z95w*+!7JATz`owO@K}DE9!3}Zbsb8%b{Hgaj>1^zrsao2E<hdmHrm$Q7bisoDh6&@ zD>A{1z=e~$bX<^#%9Wq~xqp9gBIWGKjQy9pBQS()3|8X2zRFkZ@q$l}N4}T#fZ%Pj z=Q9)kjeK?ZHkr162*%%a`^nBoYbF6>Tn_Tbg@Pg_K%IZ^lk8i(x0BQzMt+P@irY*_ zS|lH7g6Q&;1Dl8mw!t@lj2cLAqQNK9<>?Z6!iMX^&~be+3e@Ia^6&o~=hO`D)3XuX z_73Vi^^t19xgS_Tb|pU<hS1wJDhPKU&iCifXC7y4`m^uVZBlZDUPDM<FJkX}jIpj6 z;LXwp0rr*$nYOO|TC}sDH9ix)u)DA>sk!Zw2$94|lT%z7!d%0*Qm(|Z{_y!~-?<%` z9S<D&g0%$@XhP5I!c3KNUj^ol{@W!%*czX?Ib(h9?u5uj!Y#h)rf%r^6hXd8Ng$tm ztp;$Ag5T1iyr5x3eRNvU1CQ2SUp0lAn93f2Uf2=)@`VD!SY`9o3(#G)YF>Y+rk668 z9X&&&8^*^OT+{6$Z703<BVC>1ZohLP_DQ9;D{?v}A9l&kZcgYJ{5F=5k?qeO@iD@m z1@%x0f(XOTmT<OyZ2`qpo^4FHo>9IPgu=}Z!5&)Ixml!a`iAYXMIemao+pszbJ;3@ zg&iD$WJHPzY*5`u$q2OnTZQv8OW*cMTgejaHMFDhIP0AT4x|O2F2tp*2mWu{L)iVJ z2$tHV{O><^itKOy;ynZYa*ytFXU0F_VlTuMNM$ozdH2qpXib73gO9y}nT}=6JI<qK zCG5>17=lC47(>b*j=^c=4O~l((Y+r5$G85UQWEyJ7(jLIvC1{e?(L5T#Sh5rzXZP? z(YHbk3I(<0J@zh<T_{%_xd4laA8!rtIoYkVBXxwM2NZ2>?j(?B2jn?l8CkOZzph_O z=+>T{k)}p=b93m9IS|^rD(6;K#W1gJOJ-w)O6gr*=zQ#Ep)qKVBAxu)A)S-})Icr> zDBi}*Jeb4Px}<Wje=sT}vf8C_3yLAAD>406TTY8=@WAcY*xfcRgkH-Q=O6tRftTS1 z4O5gxCUs`zf65?+3Qf(&J_o*g0N&3jdHyY;Pm$aIHWEf--@0@&=_T`bsgsF4Y>`c| zMszL>c^mp-ycGe<4FbD*|EUX*$V(*q2F-~H>G7K?^anY%yB&n$YWR&xJck!duuh7` zKTRs*p_vGyAFkOn!_nO&iUymViC`;=S&en^{RH(ctuR@)s^}%&1bJ)NxhjLJ+>8WD zl`4Fx2zAgBzA*t69F{kf0Ur^<#o%6^^ZJ2dx%roXEY&<?FV`IhAG8E8G5BRs7;-q$ z^LdF8wR2=-$50z;3a;X2Y_%qb>Vp24&R+$mvOUtf9_rs^5Bck>h3wG<zR0-JtIs%S z6sTX3_3pVU77v$4^k<;|FzBFpCg*9^Q$C^d9Px<|-{j}Q3GsNY!YGPsbP{s)_vp-4 z7gn}%-NN`mFtpbE6`Xz`{+FIlxsFJ94=Zy9_WR9lE7yw!7FPy;RVzJ_Cv>xTbq}TL ziu_aiA;|9mdL+war1_*_Ey(Frc2+t2C*MWz)hk5vk$SGv9`eQW$Mojn0+<FFn=k=_ z+Db!DW&Mcnnz|OdI_LT9%d<I=NxkwGnv}8Zu?TJJcov`fpD-tY#_{~JL_O}owxXU^ zf`VAUZizFBogsoP5!Q5Z3FsZ~sg|_0^NcfzFz%!~(2ZlpY=@x&Q}NgO_aEA;p|uPb zu_e5&byyV0QtIEvQ6B0u?9Q4p22b9z6#ZEyDGT>jfpqH|`(4YUiZ15NL=RBphFW#| zZk*btD^ivm5)-%p-GzyF8>3zkm(M7uy$Y^Ra|fHZ7Tv|Zq1>_Hx_lNQDVyjy;#4Qw z(AGJ9k>rB2#Ke59(h#Yu!xf&xSWYq|@BKs1jy^LV*$^u(qza-S=CZ}7r~_X{{7nzc zM%^>%i*%kRdJdfy;3T!u4y%XQo-jPXYE_7$pQvxK&jtaoxnGtL*wX&pvt>91=`;Oy z++zQzd?GS$%%T^q7iIl$$1VkPOJ%daWmhqzqYhIB!)#LD8}T@^iYSP6OJugl*m?uV zu8OkQM3?Y^rseVwc%vINEtxIOr&h|3$&*_D2eI6@HBK%3ok?!SiL5o-_RWoHSzfAT z29Rq7F$KKp$^ODbw^d3pN&638WpGUnafnKp)&JR-BHPC7&6Q_7-OEI?923oh`Z0C` znHENNz~PPH$@b+bb>f!ej&*<i?S$J=;PO68veLZKqi{D2GE32=x${tSrb9&dNgOrP zD(i3M^IzW9$U&iF%7KEph{=d51YYVE<a6ErN+!U*S5*u_Wc@@D8=4Vp{aYo|k^|{* z=8xx5a3|Y}<&SdMHug*SmeWiz&2sCd4c{$H(z+9(S0nV9_9_JRUsTqd*4YJN$7CNb z^Se_km-7YKlpljv$5tq=r2V!jT{h4Swr8P*BrI=b!AUbmQjz6J{kQ|O1p~ZX{k~SP ziIV_>&s@8-tku<$<k=^lg_hXKRFN^X-WFy3;mMJiQ}bOnN{X9cx1S#Zg;<DVL&#o9 z%r#Lvlqlppkv=~&p5Ys&WFC@0*K;>J2KD)%ERv)UF;DQvOZ}(dPTi+ZxbT!eM!S?C z^XJ44r<?n6<TD29D~$nw?Dfmf72--<Hx_tP64&PKQ2CI4ilvwx)l89q$E!2kh^Hq> zvTJ0?zrp%+<jf8)UWTTtPINdyC<42Iz=j+-f{<Z30EvwvX63wVAi4jSW^%P~{?faM zt@d4LkId_JGya<Pbm^mJ!5mU$ePX%yEfxwlBzG#|$#1Z!UpAXBjmHG1g!1MDaT-8J zkHhr1g)-+P3gi^(3v0Zi<W<-NiMn8xZ}6lu-vs#rilJjl+WJ019lwQcT3lR19kZ>^ z;^kajqHZHjZ-RJpCNEnj-i6V8-N@XMjlbFobneB=>G;AIWtt9OQnt~ZvG$^>Vdr3+ zeM#HD|3jEIU<Y^VhzG^3mEHvD0NSC<DbVGz*hzL-!Rw7cLSuY`YwGBPJ7))O&bq?n zQe5*R$Mp{pr&Ub`@N(!RE<C{5z#Gr`+`*k}Hg2Jgy-g9@1}nuO8ORy8@N&E%>S2AK zP^RTSpou`O$G{m%y~XJ?{tMnbcalciu<Kg~D33G8+Jb?B)iuhr09YEZTPtpI<t{D+ z&p39EV@q`X2s+<m<@wre5x~A?^mbKo;K6V-vIgDm4I-XX2rPdKZTI%w<+<==C92^{ zM%TzDv7VY&?C#1BIG;(_`tLbk)ZJ#jqN&(JDCk?8JDl04?r;IoRWnJ!4}=pB!ki+V z%kSntj4?mGKrJ6EK><svKVb9I;yutMfAo))O(f~$zVB<G9ft4;O;oxBBPo(u#n=vz zbL1K)UxQk5JV<Z{u+DEFQ#J0$IEA<C+WKaxGnR1*d4kt-3YkgW4s7U(gp13L<u?g= z{C7!36gQiM!MD{R#oIRxNwF<m29xI2JGg!-_K|`|4_&hk(25VOA;iL&wF&~&thJE} z(x$(;!^v6FMwp)eUA}e|ov!^fSEw*QFKZjB+o`L>DXEQrB2pZGB%jjgU{tR>EhKEa ziG2|@v(=)fs)ovh7Vfg3V(_XSeUZ0V&yhCz&+XumV{wM!tdr|+F?9#xdOSp*v0au} za`Jj%hE0esU<-YDgPoJ}X?9-`6Ci4NF02FfsIWP9)V%Sy`%fcO&Y+`0%>R0!(I_J6 zTPqJWoS#T=9ga}w^Is3GaydXy^DS6S)-f-@S60QaOGr1II$1*ai@x5Dsg08vsxK%& zK^n>g@+QXclJVY?z3zXf%RV!fex{7&ZCM1ZJ@%~`IPVl=XMCA@Pi|N>Z9b$N;oH9T z9Rsh5pwUo%4nF4$c7gfgr9DOXLK50doaeoUfvBf|Gs-1?p8JlKLh0jhw9JEMq@92+ zCB|y|D~{ojSLyF)syGRYJKuj*!-&-E)Qz#qk{H~axI^e5HvqJ(HEV`zCmf6Vt~6W^ zHP{0fCk$!K$wUnFVlfkgkfh@d#Qj*~=6epKmNZ*sjHwJNto-|$F2g?=`b^|3=1xek z0<X6K5?^Oo9TPJeiLS2fr2MU`!48*elGcn|?$lw}m6K?R*>@GU;4c7D;M2@;#9W|Y z-e&(rCZQfux5S02Y83)fi*eGcXfp;tIt=`TaI)xqGYiq4wAYquTluh>|Lr`fv)9}& zi`0^Y=I}>SyJxiFawEL+;udMah5O)HiRlG5{aTIpH1R32#*CgP-pab~#5r*%E%S^E z*A=<|OxEz5y3}q70h^B?tQ)WY;LeVvoyQCT<Z~CxZYPh_d~c)=^D%_d^eLjIo<FeY zT#l80Jrg^+@HAwfZrEjh9jf^odQaF66MDvO`D|C=IqV}*uy_rL5+)O8#{VH|;%2~{ zovZs6I}t)Xpn%`QhLG>%L2W!?aE94)&)9AR=!pDb6ghMTrM{sXL&#+*-f{l(`u2U~ z0Otn+H9y=`?h{wcje}dV%X&mr9R>gm`#I^=`9%O)+FjT-`u@CXy(h}ti6-Z<=LEIc z_GsNP*yhTO9W{~MXs-845p=Y9V?*&nJY3xh%0z<M@|R<jtCnk|i;7s6gWcCG=SQVA z^bSYDRd_y}V{ZrYImqro<R4aME-*PA^L7JA<Z;=rbX|T5jS-3CGh)jWB^e-N{8kbE zclnxL{^pQ1L!ONWT{<*oK4T!DWjg5{XB2^#fo)(?Kr??`n2IS}Z58%VKb~&K5tXn5 z#w0Qoj8z5QF}2`{IJw3yUXI0E*nhCaRY#O9zVeIwKRIvJB`7_QTCL!+`G(K{^)CP9 z)vMIuoww_?iyNWi5@m(X+5v$5J%<Y=z29#^6Je4e*HB+q3g5r8ak(=6oV0nItsMTl z=;KNkd4+*o?dt{!q5QiRqqhUhpCKzgG1sBg1G2xTR(d<VrK!RfeWwFAFYd`t=vpuv zY#0AXs|2OWzSFQsT_{Nhv~=;wa|1fl4Jq`=_c*Sts^vUfMzl_zwhxejVlv>oCPcmh zO>G?xuMqe;%H-F@2L)Ka$zy)|I&&E{6{r;V5DM3KMV$Ex!RD56U2Rh7DhPK6_kq#W z1JYR9hDj>VAguqVNKN7kU3l%Fr%*ez@o1HL?<gsG7fOt#l&fSe!!200t#QPYuk6;N z0K`~`zFel_#H|g0G%=%U@<o<A!Akr4<m-k6136M;kc6+=B>Ckj^ZrX6IZ9nf8M2=n zdY`s54V?79_P8-(QN!q{iSfSb@f7l*Mv@%8p+tDQp&@44u*{_HW7p=q0A&GvM2dw_ z<~x=c0ZT1k)H3ABo-&B}U~=293XG=T$g8h}mp*C!2Ox~#h+BZeDh5$Dh<%Hil^;)= zwTD+as#Ywp{WW?rjT~OEME0#TO}sl|sW>Cra11MPUg>w8m2ybR346H75_K7c^Y7;r z+G-`YC_^8XpQDI*IN^VGbn}~CbzAzQo*wfzAdDRTwHcf!#F6L{lT<4$uZY5;*LX&- z#TgJ)N&yIQ<UR>uW|F8~K_wCM)Zyfah`DZ2q|)B#lNz`|y4z`mB&HrwhAZshmKwNt z;WA2b-U5=N&{A?i`iWJ4p<&`_HzH!DByL70Bytg$tjlUrJcz_~uaB#wf1=L0N9{g0 z&`+L1Nt+?MwL*HyV`Sb6gyRg~s92$kF9roG`T)pLhM1s$0#T6Ts(3C|bCFJHs&4)- zm#93=IzPGBgFNw5kEmWNblrUO1Qm&ymOpr60nnp%U!O}9q#6dt6x!<&j-6a1LtXT> zRR3zPHw-<dF<Ny5^KLjlE1V4uF;{XCGxn_(BmRF~SdIFypCy5!ev)r*V(KnucnVzV z#Y0?Xv|~6>ad;Ob#5ld=l4kO3Oy<BQRj2X!bwaox7oI?BWk#cg!&uo=!CJRNofg>k zvbCi|PP^}(l3qfu9KNj>Cr-EH=LA8JqW+loG(?`iRBF}rogS~q;ICZcjH$CtXuljF zH8@^1+dd#WMPgBL4E+s!(66Q&{{fte5FRd4T1AcbYO^yWug#dB4w2_#>_{~TK()eO zwUlgn<t41T$_Y3R>}a)(i7E*)gUvg>dpp_0`YKFk@7@QN_prQ6R@GK?$xv}czv$U@ zQ}*o;3$vzqW-x<NmU38mR31f<)R${sM*ix>kq=ON9-2gsZ{NlFT2aGKkON2kyAUN$ zNRKhI+WyT%nYmXA+?gP3aH}(15&vpOn*J}$U;phj^b=4A?yS)7XJqdmm<9-W&5)V1 zewe%8A1-12LiLtA!Rf(yfgTt+V>Tb?*fhs(Xnnu?!mygj!+_g4cbQr~5t?<dn4U$@ zns!<@&2W~^(@3%?eTl=rt_N+5%Ug|L@6r@6^=Y(1V#IL$U@ErHX;Nj#J~rHJm+6No zssU<@ZS2!cE{Hk2Go~_{bpo58F}tZb1a0NBq3j72@~Jl1sE4=XhvwjIT(@u7ehU1w z+07HG7@Dh>VdadYVhe-iwe4@v-L^O=OX6(z%@-uga*4?`Gd>6K1#L~;`8viQEe7m} z71ALUY*#S6Cfv63wWXUv*R^AcQPSx+c8&(-a`U}4arD$i;3Ic0=2Yy}(rT2&()sF@ zNi{D}a8dZO9^KMjVwlzibRc4_)EoAXB%+GV;v9FuX_t#P$cAxncqL-eH3$`$ShK1y z**g!cOgvueW1fI!hHvZKo1!h8_1!m$IMu@3F~$5@Mda(3?w=1#dp*}!KL@Z@d+S}P z;Av`UQE-c2++spvo~V0^8_HMrPWU$aXnfNAk5Op-yL+k|^fvcVzWUS~Pn+ES=wS<) ze(Cq_ROLP*Sfi%Y^;txdZPthdp%N2O9^+h-2+Tv$t^`0EG>Cr4Vw<OS#bl8AKtcqM z@Q?Zz;l<B1sX!<#`R46EMi32F(3?r=ewsJ}@L5IA!YcA)QKYi36ZA!pYHH17%^6-1 z5<Lo9L7VHn{hs(nXZ@ZqTSypvEu?lpe^Dz(ma51QYk{7?e0%L35)qT&ez8rZ)+Sut z7R)!KY-m7=?_At`ZglO=5Fb$-wr~xGcWX3m;MKeGfQ1QHEj3xbb{qLtgZw=)=wmSW z&N(?a4x0S4d@v>g(FK+`d9GcccK$`IVfT({ql&Xy!Oh!nR7g{#Q>0W=by$XEw*5;c zF3bwlmHOvoBEK0kx}A|u*wc|R%j_ANy`i1FZUZm}>LOp5=i$9;o<y3JHr4Oro=pDN zZccU~H`{PO6@Df;3M)0Qnb|v!TC~~#qgvs<bs8jd_b5W^zFY>RBjfNPtZ<R4ENHsx zo<vY!|0o3RAC1`R-aOT+%?GSWb1<xNJJL$A&99m-9^IzkS1@x9fo*}>U<R!_N=^Q8 zF2M51Ll|9IGE0zHI0y)@xR0rK-Z05@O}Md*!M5w<h)xY)h$+RS+eM8-BR^~X0bBg$ zw~P6H8ZSg#?Cx!NijEaz=CcgPY4V~L>gsVK^TWft_YAFR{krN#ezYN17|f)3iK<E| z4e3kFiepQiT<FVcptNL)d!Z|ksn;A41}KuKB$};k2MmuUNN-A(sI((81Ws}91w(<n z;oPq{mZ+8mcM=7KqkATtL&jlQd(M!@ibahRD|7hUm8<Y5+?FIb{~Z@y;cv|2Aq1N# zokbvM(ZD^7q>H8L5U7wRDheN{QkzM7Vx6U1o%R~MwDfQhMk#f>u?sQasCfMY+(G!3 zE~873>$LASh55|*IhQD<hsKVu<`o}hG<0w}hBpd%hDOvA&!{f)S#cENxt`g=uawxe znRPH*(W27x4cc&`LXIA-m9YyuFB+9>q7TGv=$^l)-Ulerow9C0&0yP`1(fG3;MI3R z<QqgX2&PBMnU9M<y@8=@Pb1|6jt~~V4qYfavb=4O2I?YOqNM!EQ178fOy<G)Um5n5 zAHXf!uGgvaRWS(v3FO<`1uJru<Tm0S)T!0iPrp>~;&M*hIORR8HYy8=aiiP(=n|jx zm_qlbTIg6K8j>R7?Q*npfTQR_3jYq8=fi8R^Of^p2EB(m+DNj9={W=iieSbe#3Egx zyE?QG<#$=6c!X=r(dWDA^!-u3U#`d!6R}9@7L->*LBIQsbta_xxy25}o#R{JBR@d7 zE&p`&@>7kwqg<ezxJ>ys3VMhLlcu&Fnb04`zu2_#t;tA!k@VkQ;%}klU-$@$pB21C z%se)6U~nueLJGssl&XW|fH4PdWWe2}x?!OFJl;SLGj5bxz|_3@0n{GxM2I&>Jzu0u z;yARu{|6G+;j%r?={pAlyYF<5W0=;SElrc|U~<4d4>2V}2Sh{|`C#ERQr`Ceay^w1 zAoY0U@Y@LS%JQ<cEgc3#)x!vtpc&u2YGqOCR4ILO^0y+i21x;~$U(6``jOF)sSqTz z@eC}CBN)@fZt!73T?%GV{xAiER7!x;$^Z{CPk?~fh%qzHLsft<5sU8+jwba0Tt=EV zced!4z2AP@7E!YUyP=1>yP;&$Z8y9f2X#5Y>0y^O@~EE_lsUQ5p}@VnWE_G3;Z+8@ z<LT$tqV%ti%okw3V;94}#1%s)#veYk55>b2JBf2~?pceDa95yk4n+dC)5U4#q6S-B zmrhW?N+574TZnKMe&!Ck+8Q}_zrYh*((xs&auX|qv&8`v5o5frp~Hlznz<D7rVK&d zuI%>v=q|3Rpnj?m?koay2lYvGk5guj{o7-QFw-`VArHV@3Mzu^NWOqjCrp1MiA<5K z>l&#;QDa#^qT=&(oegSGMJ?5;XC$H%r%^`$6oM=tlSX$hyA^IJ*vakwY<*X2BADyh zhL80DFbkQSIJ))Mo4~bKmMlV5)=#!e4DIc(wXP<PW&>1eN4H`HkcJ*fPo2LU<DJW) zVK`)=^nf<{kbK{werphcq>p=jAIGwCQJDtB#Ipf6bciRf1MB%S_BDW=DMX6Zg3}%% z*rjOh*>DZfCn%;w1rz()g7;D^flzD}XCI_oK(;<GC4d858Rg$~ED#_tj9-L$P1LhM zENokdPSZfeaBV$rDHmVjOyHy<z)3!MKWkZ!4RB-*yjxy>Y2{YiVM-B;HGNiBUaWR$ zco7i@l2r9E_<*(xCI7`iw)x#vANkAs2QK)x83?dA!M#UL=2?89yn9q%q$=B+M^VxC z<5SiV;U~<TW)x5n(8Pl>SGXzlHEHq!QpB^EP$mUzaO9-{@|<HeB0bPvGzC);5PBy8 zUqH2^8NX718=NX#YM?rx3vwrc^*Z1H>0#T5DcHO(o`4xsY@DTX!xxQWxh*<ij{Niy zmNZ(j+fVOgQ*4S(a+&<u8jQwbHet_x{sPn@gC()w>h^m`X$I|P;r!gmCc+FZRmUkm zrd|uC#j%rSbbIb7mw0d<Lf|dLg-7PMejrNrtOBdD=YS$COc%oYlN8&PDJ(pP(88hK zw#Q^%8Y&`e#^j@541Oy89(LT{edmBsPpDsL9PwAUuwA3ZCj?S0O+ZzjP$H=$RPy+3 zGK!TH3=PLABMyzG5|V!s3UjG=!Wb(vxrR(<7pIOL#aV;=EZo8QX?S$*Fx_>^Aix&W zSn|Y{Ty$>nml-kBz>V16%-4(d^}7tjw$y92lE<xFbN_m}A{^~4+ImTIgO0jlgUEpV zTk|k={D*Yg1U|p$<W|L(ovaBemOr(jBg|)fq>2-}PEoSrhWTK@l*r%x!-UnQ3B-@F zE|KB;<j*W7`rw~X2gNUXmPK0@Cje9%n%BIZ%~Ga8EiV;yd(ry$ABJ4IY=|~8a4<<= zpdg5gy`>G*8ddr_q};%Q!d|j3=d(hCEE#E;LB<U&@_C^g!^L68jgW2V+SEl(=V}#i zpQ!5#HL6Z-jCXx7h*0Q{y=M6|x{r>*s+zu-`|^KT0gEPWD*=clTdgjuyFkP0W7>N} z{aKjOv{CCEF~SHkk`qFLWpKr{Ei=DQGFjP;`1h1<D>TT=O?|GdjS#Hd6}+q#Znrp( znxass16+~U)1iS4WU@gn3t8F%&;4(I#z_nkAGWf=?FDSxry|#&!F?wPz7N;vX1_LF z?yaG^qXdd`EZyb()Eo}w+XW0=8VX_|n*^$MwkHfX(6-{vLX1`9p*nK*GuXj&tZl}% zns;!&!0es27TP?WGO^bNzj{t|M_O^Z92~)3^cjdM9x?+OVmBb#{<NvJT;f(Q)Do4% zUsF2>$mG4+OboU*LMg9oS+hO3Am~w6D1j)PXMy^E*My|=M0r*E#R<59IdY3j7xbW* za@<dCvsPp>TO~xr%W9v*FmuYO+xrXu75#7)L6lk#-^uN%o;7|z80sOaPuJCjMlYKY z){ve3u_Gi0btL8F4x2fULh=_mwfHbD1PT$FuIGhy4}>_xt;>_Uh5j6~@s{=prjTSx z7Gu->;22Xcf`Fy%4*^hro}-}{97>i(_k<4hWqouvci!GtH1S&VO|WJ=qXBv^gJazj z-F)%4D{r`dtp3m6K=Yv`jh-WWVp~USYdfRQ?zZ=Rl!B=#-DN>A9PQH&HM8$x6dP=8 z<sm6h_^;&=jIy)FKK?+!JF#&r>ZAGUG~XMgsW5m1W~9nJG(F%e1Q$wc$YwM?P6(m^ z_uk9ZP9sZVg9lmc7Q$%gsEO|wH|TEs$Y_MY$`Wu5Pxcof=67ENcs2qU_P%g?lXy_1 zUUSv*5;<1qod?WPlPIVD56!N3lu_?O^F}zd@-ZvEgwf~mpdb+3KG4#lJ|+0<Wyho+ z_F-qe>snqGIjg`e2Q+!g*37$!&G$pzW)@AfzhyN{d*Mut>$Oh?%+XEuXebgh9nKDj z>hj-@$?T}i^`7!&0uiDSpzWGL25Ovk$|l?Sx2sSLU5~6niK8VMdXL<$Xe(-K30eot z<9-_YRpc^O*Z%Oac>7WOO2QS8VNt&kwDhFJ3VpCWu=EE=F>>TxcgknSsaQ8%mZ0+w zs61H2X{wVr6aio!x0w}wKd-Nd`aE?op&6U7kzBW$O&ITEf?(NLhuDzVh3?wz!v%$) zSJlKUa^)G^Dm_n9;SaVU^G(pFhEhrI_3k~^$riW{zsvcBYOK5Bs@CEma+4W-?fg+= zld2)|z}o=OLI`lU5|L1zYa`Th+O@dY!b*G?>LdT@>iX@A*}AskqfciLQv{H5+sp7@ z7^=eYH^U%^E0M;h+&5ur^Lrhj69<C{QSIX+Glv^~M{MqS{~?M(I14>ZV#K3HnUB;} zELL=qe{*h-;RGG%=dqkU-<TDYyzffRxPkeXKn)1k1?MIwB<lT(gEY}T`a}HndFTZ0 z`jz=IhM9Qbyar$p!*D<*yjKFfzV6;J=F{U`=+GWJ-%T9)>uU%n4~7YdN%U|fsfS)7 z?fy#AI-u+cOkGQ%f;Z~&|6N#tTvv|sdJwT@K~HEvRMD=mY|&AV=P7+FLl5+gcTp0# zg#f8QC`1uu^gI@{3?MLs_nOSas)fA7&)uf0@-3V6y}e^=?X@evLr{7$ZTt!yc|ciF zdQzlHMu|bzwoZq@5DW_`V?=?Ta;2xjw7ubr%t2siz0UyF4(eojSWfz<H>JO1JdQcD zAc>{bzSgLc@?y<|?e~XkCrEde23zn>C&1YvhRi>xI7)XU2imm|jzUD^nbdvrZGc7& z31MW~cAU=LT-h`}*KI{rS;=3kNifgc%)<<v8l^~3IFAA6b(7M~A#%Q4<?ZKWF1>bE zGKfXd2wpu{a~^z0ELoW&#F(wflQA-4NC)GJJyg%(3taFalf0e?x3Affu^L-8Kkx_7 zQX8WlUIUj8Q>0=cRGV&jgG7=2Tz2C#W#}l3;eL~8(ma_f|B6tJ3>1HM0^56}CV{r| z02CR1+y$gRa0SUX#px*(J-}=mV0j^9Ay)b+u=lMWLdKbuVvapkPpa0@0{VC6Rowh7 z2~E)SPsKl4iDeQ$34eOqs{V0eT>+Mp|31jeHXKiOTbjtZ55NW%L@gmNNI3dAVlt4? z?UlYriu1jc_Yi4wp_UXxwqkFAVBB*7f0&24Nis0$9exXWjrL$C9-Av`tfaw=4_M5c z1JdsikwwT@eh~J=#p^aw`ayF3P8$41)a02)iG$(o1jbHS!Wb#SN!{l~`2ab|BttQV zT7amVzTC|i+q3`oz?H@j=UZ;-V4*@J*&8rcd!oUyXDui0z%xwPlm=U-vmTWf6-Cda zL6hx)laZ9ieM4*DlN&&TswxEUGrPC`7puv!kB}<U&eE{HjZ2z}r7>{wgCv_~?H^|0 z!i<sV-J<GdLPYESmAwAsZ@~Cb<KNPCyQdIyk8WUr6ugubDSPVWg1r1Q$RrMLYg!Cr zeCiJ37lq2@^o`zhhzeGIq<J@qDeS2498|)R$zv+2b3$5nfFB5>Cw={shn>o0)MGIy zTElITR^W>h#CV+JKcw9Us$4oN%UJzO+`cV%ByK0kqi+OOzU>^76v(Tt)){#9v{eu! zxPl~#mJdi!AQB`b$i8G(3m~gPt{F+=Z8P1u=etTkioCZY*X-L$l)&D3SzX&Gd=0KL zSLvicWX^AnnSr?5me`XaEQ&#robmbO#+C~{Y#cMjeg7UIL&tcaJ?LHV$|P2jho9{L zK@UkMtXUhLG~)A~3h?<HKDj|^tKDa5^q{xm(?pE<#-uBlPLT+NZ+`D;tbO4ZtC%jU z3|BBPQk1WT&^Kgp#S@k5`(2nwc>}|{M0<6otkc;aV)*Y%Toi(veq5O)^pP?z)IxvE zQ%QyO_v_iL&^phS7O~Ga_`vjexjU}Y2Ax+Dr7CS(ziUhwTfpzxv*vE?a%M-BQ~$vw z9xGZArQxRV>__BOHEz|Iugs<vaXTc~#6J4tEoSI@REKxyStcjW%Ohs!5`YGFKvHjD z>*v;-bc2)b2_J}u`G^Sv!Ts5c8Cp{#L_HeWP7?I-;rNUAcWI40W@xJ@MCk;WUyiyS zPhNonlkf>l5HO8lNQ{5h_w{m<klTO7Gd2tn_)KTvTve8k<}D_F4bM3P@+KI|2C-I+ z{;?79B?39RiyRU7juA1TD1sP&laTr7xPrA`&7Xefzd{uob6|e$8n%AVIP}Pc5GTC0 z@$^u=wZ`%PulD~n@xMYAQW*9k67cZj^u~mGv9+TNpXwd%wI?LK>>v6HB9wmaoNBoD z7acvabZ%DmDp;-Q2$1>}y`@FskW$MxF^;cJP2z+9GIsof4MX8vW?V<(0rkI{`M}`C zMsICkOJvlc{l}D9`>#!i)xh<j^7gm0)I#KNLZzY2q{f8yhlapr09u#dan78|uc8eE zdb7015o-<_5P7RfuOOkk8)~Vt!VJEL1IRj+k>R^8h=O7Sq2jCVv%RoV_)74}pg*~3 zPnmM;`r2ZKf_kh85tweFq-&>6E|=gSwR>&_L%{@8)XJ6-8eqWU2Iq52biqkB)<@Zq z|Nhf`{ot;*<xDrb1U}VggWDgYh*!VhYORkff9yorGncv|p+!IBzz<B8Uw<b3e}Xxl z7u#QgIcxB;)N?M=Q|ki4%0^fXJF`da*|fKs+S#KJRr6**;H^9rmJS=+?{r*XF|{z! z`^F*4ZC<)nv<!s)sKr?f`6hQ|A;!>Up~}138*n5s*9*L%V(k5e$i!rZGU!fh6yar= zJ}Hy>)U_Jzd7;(du-3G0wUxKClqbXNagjcHC4hR-Mh%Kg`>PY-LJ}}Zd|8$Tp%;3s z%KFR>zZm`Zx#Csr{fWQY)X|ozmQ6d?c^00kSZ2H1`89{dz<?Q;v)WR99JAWO#EasS z0i<PK;<-g5yOjKx)rq{|A@1d`J8iFC8;b{w|Ev_ve%|fvd2e-Lx@f^J1YOtmLCC3^ z@Cp!kb~Q6Rtu`%nMj*@aHkJMQ<pF)0kaP2BbmxtAa)q0eETQ=v4S-#=d0cvy@vBdI zPaS$*ydF(=mLGI3{c%F6_V(Q3Y`N*U0$#g(GT|DQPD3mjwJv6t2CbGlmpZ+{dwF+l z16vb=!i}qb5xHkQZjPrrGRR{jre4t?&<%X<!|0(Q=016stJ(0zcwVeoZ3z}X>J<1` z{rWgs=*+zB^z3utwP+lanxa_K8oy0@ytP=RxK$fI_bE3HaC=`pTZ&QW3I_Sj4x}Cs z7;!gZcsBJON@oRs*VNF`wF3J;Tzz#^98I(L;_mKl!QDb&aVJP1xGe7Ou(*4053a%8 z-3jjQ1a|_2eEYo5d+t5=`)AMe^mcW1b<a#!b=9wM*C4sl-0XxcdE(BXtcP~CZ5Cw6 z(E_!C9fbwnM!|g!pLY4@#KASsep~y-**rfB-qXynJ(4_KskC}@g;(6yMMG1!&04k# zyg2;?Ob~t#1jjq6y~90ON-G;;y9sULA3nNy2Q$As0l;;y<{Uy?;p_b7ThDObw2uh; zRW{sQ0`Pv9x!G#XkXY;Hz1eKJ^|)`Ar2PAztOAu?s~tL2$ERFvL<utCtttE_n1n72 z++UARd~+!eEl`DSKF!pKg)Sflep(mMYKh}nUmB(=D!+<f7935kkEg%;6r48Zea9uA zfU+l9%mLnTUw>8}>CVU`Qza51aC$l&DE-zI_GZAZO`q)nO?22+L%f!F#g^*$^w+KV zpo1VuZJg@{@M?5ucm8T%;#KGRLBbv3j}zAkT=AH>$|AJ-&~zJZbCkBh>{oLsiM9>8 zW%<^-<4-RH_DkCz0<UgOBdk8fX!3HraOgC$;AMepBRF*6`&^+IWT~v&UjeACdsq0& zNx>2_#f9JALEtE7WqIeWR)@v`OZ^Vl&<J(`Sy7T9=3y<T4Gai43+Zi4E`sY9oJ-ya zuKdZx8{F}B3{SkYj8@&K4m~W!+xa1Eyh~>ZjI-2~+C<MNzuH@Q_qCKVs?^-}83i@Z zBNXr^omR<;Yp?%yUV$qhEnmVsvJ;ObT-g1QH3yYS@h$X{{k~i*g-I?gZSw0z=+*_l z4Cv9n#XEQ@?WiRQx9jdj&!ubjVi_oxgsa?#_G;<n6>(=up}(faNz8|)Hyyn5;a6NR z;-WZq_YdJsQyz5pzikA1u0Ppx5%z;I5K6%hi#zHRX3EXM96NT%I?L7MwsEIuJl*8( zy0t<21NS$}MJRVhwOGC=!ySKNu}`FyU7EGr)*0u2)}V4oYfo+Ss1}?r^rKOKH^HCZ zf}{vovh)Z*qcp-p>Az8iwQ{ISwm%cxT>6#d_|#_<6v}86ZhX_~m7yniV=c0=^e77! zM2QfoT-;zId<~pA4<}iJBtn*FD1(GUzAcmFhpZgtD`gxVZ(`+CR2B(`jqyFp;{J*^ zo*fbljO-?h|D0<HoAe;Oc_#-AVvpOm<SP2Lc{<j95mFb(N$46B>qE*ONI!IuM`L{< zo#xAu>L|TH`Qg3JCGG&`1{Jb~Lixb`?Kw#DJb0BVz+ZEmxkPFmu)PEwm%82hEDf<$ zI<>EHS-S=u2#4B9&>KpY%iTc9ThS$nuR`j3Ow7#At%tZd-J60NDAVvrlkhcx9RH%X zB$U?J^i9t?fv!BCPB86!roC0q6s~{gJH4P+?V)suG#hz<XrjA$3&%Xc01|D28J4Ok z>;t+Lvk5%4pN`;&LmIkHsVzzmjAhS1A|I+D7%DKmff3dxvwtQZ)@!L+?>qL{qOjk? z|0bI>#*!A!DyOKfu|5k`_3e7bM;rQ@pzrVK+rJ;POYkWrF|LPP8=4v7%?>y!kB5pc zs+n7Qs`?bR2jTP1{kggV69qz_aBXr+h<!c<gBi#e8RmJCyJKaa3KM(jpy_dU6x&D+ z!XoG@0vkHyX#dq>zG}spM2eX_FxhIhe`akN@3@{CDI6HsIgyxI5kXW|-p=(G`uzas z|HrVWyRzpuFY?RvYtK6z6*q#6uu_awCMSBekHh4H`Rw6<BN(4rm_!DWE_mGPOHmFz zIAu5PSBs1@O-EJIIx}gM=$Emr;n{GJ*GpMBHh^SnGg)fUO^%1)r8&QPT-Fy&{chK$ z2=;uG0+Evus0`C_1AUi<Ndx6fHkJ*JvO^xfnj(T0HspiHD4(Cd_cTR&4kEEsTC>(n zYTLc7T!un!cEDA*Uo3knMg0Um26Yj3n70*b*X4gi2=5Tg+rK(E?Dz)flykMGq_w+$ zWpY*awiP!%o6l|E&+FmdQicDdGc}u9px1zn7rB@+drREm;(9uVS-9OiyjjEf#-s)1 zC$z!jcn?INXvV5gKEk_g{?EYz3jC;&(YJByfP)ASm^jkXcaXfVN&Nc{5l>^rDB&0A zk%NUR1RPIOq1D@1o0Aa4T^W_|02H+!@D%X-p27ls!g^9jshtro$6rhSF(m7WdOX$Y znwxfh)FQy8{^E}{m^$!VqKAiE*fVeB=8p1xDJmRf4{!|&_b4NP>$2qu<1J8`3R+uE zQx$asHz<3OthqG*MMcnZnj_bHNJQQlG<Q5&9bt}9cdpz(!pAErtIhCh95%P&;Bi6( zi+tYsB3gL1d7iq|lu6C0==WQ*gj^@#o+Zo$KU-q!Y_YfBYG;$@ETHwloG*+78_%Mg z<63IJ%!`kRw{5f}$8@~;hoHH4<9Q`Bsxd>R!clos{E{rHB+*Wm(p_3iBM_~lOVjXs zgXVyJc-C9qZj0Tg)UoAOR?(ezT`*tZwZAr#m-RfS4``xWl<u0nRf4GcIuL@7_%vdb zR!|PZqNY!o60!PKxs%KPu52+A;=JxEm_5Xp9vC|3%7VDTjeOD9T82R(tYBb(7oI37 zB;7Jeebds)OSJEL`3RpqB|-Q5Mlt(Xps#j<651!7zrP+Ujj{p;7hGtcMdwZ0#1?c1 zIfRIEh?4o6pVsH?xqiHgbE2a_0j!5!H8e6n9s~OJ!j>Dpy?bV*oQu>mkjM-~<a)>1 zjQaxm(YL5#5PYnfT}{~tb!r7qctlO#2xDeGoSIAqBC)~>#9!x+wPv+_cn3b!ek~%i z2)5*}f&Xe>94ZHO0S5QDkx3TL<}VIm*v+{4s`_GW&onk68jW=WM&d=C%x1L95h^Py z`%<5$CTur+e}d+^<ugfTUCGf7=Z4&hvH@R(&1Fxq5NxaO=KQT2amw{d#$OquZDej; z!sNnM{tE2Yexv;rPYqyuu9OA|2^(ELJ0tqTI|S`t)6|Z*fyV?NJ`x5t?$~6b??Kms zv+qyec6XH6myswf>g2&QhP$C!!RnvFBU0N0-%g2c=>^|oR9K9~8smv6<Bnd4s>S1N zMsh6YNcJ&av`j~GXdGF7%B6kS$`<wK-C^|(@+3QtN7_b$H@wNi{rxw@005XjM}8NM zyeU=v=)eL`3l{rRKm8+IK*9d4t(&ZUHFH@X&m=Sl4<537p6??13&p;~rC=MYSs5iK z#v73|aF>L*{SzC>ePI53j?fX?4!&ekb`*lkeZvjMcZ515$;)*IjTM)DscltIH2Y^4 zI+22*9{Ue}vxLvgH+qMJShLbZJ|NMb&-V<f4=E1b;7?>Odx5rJEXWz@*#Zz46R5iw z4}W=Vt4+-PK_N*nCi}E;al2b0`)%(k0DE<p^>1H+J<-soF7(q6`8Fs}#4v-V?n!-S zp9?EledB}?p_wjQCT3U$^7Ww`VBIL2rK?f$8_7TaBmx4h0$Rf>*c4COR=H82Kdb!s z7;OS&VF(s)T>(s`xmT&TlMbFqq^+Z=<>-?mbU>WlH*;?oPo}}hi&D^l%eCi?z81*Y z@KgV78^QQ}^^Wn1qlD4cz>Wy&_SitL7P2;?I<xoRPPq;C;<Fs1!pjqL$N9Vqtq^n4 zMu_={QJnuTnlgOh=OnURMOAu(hHFy7*r3ZC7;uO~lG)=x@-kJK0|Ld^r~3Y3&{~%f z8e4Gd@>oKo9Fn$$8=p`x7g9F%h=i5Pk(J6kA^$j*7roq0iE0Fix_mt;kL8?|LB28k zOO#gfwv`1hrziYv)GT)hc^R)tSYh>%89y2*Y?;Y=DT}ee^3THn2!O1-$MJ2S<)>vc zB#UISTE?_*bRmV!aA?x^?)1A1p|W+Pl1YIs@@Z<tD4E*7_Dj=;doD*=kkrww0c#I0 zX+1flm*o6Pj^peO?+R}rAI=<rflPJPk#zIIn2wvAkdW#xkH1HiNxW)9?<e{J)!%p$ z<#aK9ON#jFkK#cf{<j4Nk`BF5CDTKP6tIIYvFHQ--{HDf_TQl5>TPYUNqo9t)+7fq z&ea=>-#6}pso;a@EP35{5k$;NMPf`f_|^0jauZ$NQf<)EZA-nK$S;wxY7A!kKjORB z9$PPSDLf2696Ck(m|JtfRjm&wcmms2XC$q0!H$Kf!pE%-L1$KHmCjvi@kinK0^rUE z4w}#`wXG?eD~!h{y7l?^#T9=LQK;Yk6AmL~F?-hjutLemu$HN}Qp<B)204i+9u#+J z>~?Y4@m|~^1+()T7qU033FZEiB^SB!aC8Fy2m<(=R|Wm)6F-s#zN^@I_nU-N6ie)z z=;@Fawmn-auIr(W91vV49W|9S17?}|9nX;BtTrRnX(Y6RUpo&4E|U!}R)s|ta-G0a zJaXkkMB;IiQT$s>O_zGqKFZXWHbfmKx!>C6<L%2hE&h@frQ5^v)IVJ$!Kqdu^SDKi zI6j`c_Hxg^*u2Hb_vo}1FbXS46z!<yc*r>I_Z4>gbxLM7qv!Ckj-_<o0sKj8Kau24 z%soHU<^46d@Mt6$V~SXtDvII*QWon-S-T9QZ7ocn46N}IKGojc1>Jzejl@vb`);6F z^YX6v6Y*hgbk`59jWY^zA#)#n!xMu-bfdau8@#8N@R6uhgBn)Xn&m@-8m;xS>u`Q- zQ=hKxnXat_pDtCWnlxzx2QZ6k4gaBmgXYFrapH0o%H|ns+p;d+leg8u!;<*ZOYz28 z+Tx>5d1kd)^+yxmKhEEM{>WcGR7Ux9@!Y;FQa=eLdxO4Cd31d-B=(B^P>fQG27OqY zL@qzXS#?yiRly$Hi%w7>7^dce%4{8HqYzv>5LyxUU4v{Os$zd_E&_b+_`My(=r&SY zaM{}Yk;~`%IEXO?Qo8-Ov<6~qf|MSg_rsMeG!q@a{{k^CLT<dYcK`F|7ZBqEr1bu8 z3E7kpZ?v`my6r!8#HRYz2@g@k2k{htvd7L}#Cb>C7bws0ct<Hj_XM}kL#-3s%E7=T zX6pnJ1)7F4lts!jwcskP1xo%@Y4c@*3gA*Pymn0)@co%;yp2%13|>2p*tDwvzO9W% zpriXUT0I-@sJ9fFvkT-T<$sA!9VV{+FDdYw<DQ;5yGC%80$RO+YOtOyLUDM6(G<VW zcjAN01xoPp2kQh~NcYS56~G^IXwKCT`b|7sK6d}s|5GjBbwI&k4V%MAaH4Pcjrc%E z3hnlAZs)uSG6Ea5V7<yRV7DH5M%QOFXC=rT$N<wOXc{2=|CioxYpXr!rT?Y>+xlOI zdCP%6z+V<94O!zS4a(r#=y^w*AmXb0cL2-9@NE!=eLEn`_(7O~$Zi=TDu*;_^BTx_ z^wNkAAcMcXm>WHRfG`3PogPG1-TK5CT@cwgLuCJtsB``gu?_8i+5F#1@ISKpZ&&|c z?dJb&s{e0O3CL_g=x!D#u985S@)yCksj3EV{L^h6q}%^i4@0<$g>Xfp7Q9hb2IPZG zr~`{+@JFkK%sf#(50a^GA{9>BFKuezrhqh2|J*A%nBt$-eaG>A_Ar0^eJowLej-P2 z$k4O?$@%M1-1TD-e|&4Zrf~9mQ(lb2^1aE(oLia3Nc&0gePOeWH$nF<p9F(XX(<AQ zzwkdQ!rlL<2(xByF9e@>wGB%;k(TBS=jr*t68jD24T8U#lK4;Tx54tz*30*blits{ z`j+OZl}ioGd8adwA8u}(18(z+)f5%S^VO7}Sq?vckeO#TYNsVHNp*QtV=d*qF2MTq zZMG#bx1_xc*M$77Q=WfusjeE`Eg|-jv(Ejm0w*V|Y!D7)zI>1#C<}cY{vQo44W%>p zftm+w`0P6);1`Zx)6@e)@+M>>W$}xv#irG-2a=ws&-UVUu#v10lxC=gsfsMjx$DRC zftRwwrJq98flI<c=qBP@w7o^l<MhiU_Lsp)FPHX2-zo6rAH!F2rkGEg++*D`1$#HP z7kNV=?xjoa-gIu_R?I^<t!7Vn{P~5=F&gk-A5yp3rYs?Ql~xS>Yr1aqZfvje?-m!j zpq?eqX)ym?nAE<|Wk=-Ww$=9aqxE(3GRh2QlQ8iJl0St<yI%t77suc7QAq+jQUwj< zBK8{>a0^AS5(O@u@VQB-^v48mJl*z)M~5gTUT+=?e6i9u8|QM*@i(-6T5k->@OP(h z_-t$)jcJrkFce?~6YPk6%O^j_&ZqYandFdn)&a_0lKCi(R=Dg@^H6lVp+g=FmDHMQ z&x~$9Qug@om|j*-GjJ`n_s@eBx^kHjB%Av<jnMwp4;>=U*LA;dPVTmDHgENBz1rF~ zb54pDyzi!G-ASwcARBvldF3#t%JtKN3;}dOeyfYe*#<C61X}~!HY`tThNpvL3g0_l zkGR53G#g-mLs@7+r*k{1&G1=7EMP^Adhqn`pVni^@R#QG=~Qo^zm^c`^^d%aZk`a- zZ$O6-yBfPfurQYC%;`r9SUzOyX9!RR29G!TbO9Eo9!XdB9xZ%XG$Ks1Nn^N5>&@!e z*y--w4jK5}gBP*xt3(`6(ey9~pegbOdipaf*FEYRA$Q^U$xo7mhu`^!UCrtkJ1<io zx4l3AD=_0{T>&3|URc0yr`lzP!ObvLcRGYKU<8H99m4NWJRir4PQguK|Lu)e%H<C$ z+6J&DEf5bbmfAS8BZ9WBQaOGBqWR0*;W4w8pE=+Va`RFW%gRfZG`<%8^CDHk)w+^l z>J}YGE+m?lx>>Sf7BsKE9$89<pi)}1es7!}ui<+7$bW)-t5lprhviH}=*DpEc~rbQ zH*&_qcZ`nb{TrMd#oY^zUJJvrYFF2`k{nb?DMF>=ey2wl&6cW?x;lCAsDs2B)+sPn zy1@auA%C$0TzRMij&jGzTo2Ib`5E|z6uH$d<;~V=11c1=($?(@$TUTNFL}(V5mh59 zL!d8=v7FYHIo>^Vr`#*oNe6b+F%f#G)_P69Mb|OCd}LDbPn!QSnMk3VAt{rmEb1@h z)+Gh_U@$ha-L{++*QiPvAWmZE7AxfAiv*4jf@=9?_9lP8$usINOz#`Bodh^g*FXs_ z!)Oc3QxLZgprPk4<YN8gFZA*4el`Q&(%}+5U{@sJ%7@`5c@~)y5~-n<E<yn}AXmdr z1Rw0*{AcCxo${5pNK=SXgyHtj=A-V)dQm7%gJ6Xw%I_wV*bjU%zi%I>2rz2@0opPz z38uvVxgctKR47<zn@O5HYT4Xs>x6r$RF@cWnYr4-RO*GYr>2XE2SvB~m653pZWiK7 zah|cq8nG3$LUvyq;MO4zJK~K}&_Zb~<t5K=<+N>6uW}3eq!X;N74)2i^f%#;Ul*Ly zM?&W}1)A1hTSw2=_>zpI(j<Dd)k|Pq%r3aZ0j4gvo6f&41Po9Qe;b~DOhwYlwO>EI z<2hB>*>+r1|DXnHDW^=fmdmfVa|Gy!SlFT}0Ok9U1MxrWKQ8x>C-P(9Dx1?H!HxQ~ z_|W2d^@&h#{D~adBm$bk-h>44G1f$5Nsi8(EYP9wjiCg5BbLUrTj|FJs%L=(rE5)K z6UA5|4mBLDIZ~LslMG}*h^B$awEJt+5x=;kF+K2ssP|c+!ImB&qlna2?3ft|F0`LC zRBopejbIb8B*)VhS*!|#wIE%&_Bj0#wwwg|x{*qDEQ({2GWF)jMNsgTZMH6dcGU^m zl^xTmM}Yan+JITNKqO&`iUk(_wE%5`Z=$eXO(>xl2+xIbQ(B9OH;e^}fVHn9Biu8N z!i1&DhsQV3KN|me{I_%jle-qP_^r>yRfW>6osoap4L4UH6x=!)wNV5D9-vjh68hzD zvk>mdN276}Bx*-Yilg2Tb8E%jIta!Sp)S5ru_v~fhes80?4<vJU=~eIiibq5B>Af= zEO8NkML5Yy8L@5AA(Y5QX_zXbtm9tga*N?FRfGjagg`iM+$u;9AN?qKL}jv*A#`Gl zdQ)l~p&Ubn-8xj+j~S?cY6+L878GFtm^7oL9-knT+|Z{ksM$uP-0LP<%_kI9l%$<P z#leDNmk}Q&VYi^B2IF9r+l`^3s2Su7VgyAk2&QDHXV7I%Q5K{&O0g2qQ(LFg1Va*J zXG~Bz3rd3N9R&wbWmNUhorVuF2MU-5$B?kbKwr^vVzXq_HT_`5sg~%5u>vD-?E`yQ z3vTVca^3wSz^<;yi07Yt3zLh<>EyskaS7N{ZZs?%Udw1OyE%frT}w+s*vT0`N|0sl zM0<XP>JbS|L=5G$>HtsS0ODRy7{{7F1>J`Atpt6pRYdK=(GhVID`!wT-VQW9PK&yi z*@Z@WSVZ$G-jllVKP$q%ENFi6pS7o2Ln-Uk>yM;wUzRk7#1~Gmz}fD_Qyhf`!~_xV zeG1g=1k=OTX)NvKx+0n=J?=FhQ&vo*2e&pLnn30dky=$lELevKsF>Ip*2~QnHadif zHpnVmIE8594Li%S>YL4QL`hu2)uLYaOS4uxa<Wp7RS~$r7*UDKTgr)}{GpW7g_!%( zNvRPQTj*#pW;Mz;N8&pbIgloz%%m9Y=je-GFh->2`WjzTdf-=*I3L5o6zeZ>3VB5^ zSZCD@J;a-jUi&4wP{^4g*#aIg@c171UwyxyvS8Avx&&Nrr58o`5ugniML0ECO~2bZ z?2++OzgR`h5Hd=}$S7V72;W^q?jkU;kol9`IZid^??wf%ynO4^Qc<+Pac~v&!SvXV z1gDF57BIbREdzgM?Ga38N1skSVsA66HY=C=T6PB{Xr9^!oAiYCcTIk29Zy}K(mgIa z$1JT4am4U}>v#Dck>h^Pbo&@>apijAhlAsBRBgHUVRdb4-K6}SR98tay`>WGhlFUa zX6J9^O_dy%hN4>b_~xoTV4J0&L$M{R1(>a_6z9s6TxqOnU&*3?k9>-L|9b!dbM(Z` z!7N!+B?HD0C+>Tw3K=H}3PQUhGVhzo##3!Nm%{+->K4g1lM_xDBunrn+qudST9T&= zS9e}({CI_uIV5-irB^xGThHD7%f)ots8fu5YnLbb_YSkMq>gWYcdoFRajteD34@RN z2AKtAx}bN#mM$cl6t=m5?-9)#$;C9Pr%w!93p?VST0{4Ji+24zpY{I+GfQ6<KHbD} zWo3Rf%Xlmf((r;oS2L7I_+=v`ks#mromzw>w!_T8ebmwk2xdFU(*lCg)dXcPj#EoV zffbraJX>RRDk1L9crrv?j8k;tTHt4F|7z6=iRaP~PlCa|=G#M#hc|iA?L&?)`$wH? z9AAXSRMX`JyFCL701hw%3myA~#RD3vy69KGmJrJ8#iyM)KWqem7PPPmKPXv5s$Mv% ze3Cs3UT8azm<ks!9rId_J|av3X~d~AUC+Ezrhpa}hC=Wq6Oum(NGs`<pMpQ<6lLzq z%C`?%k{VJr4t~^W(6!{DDAq(9(>5nkgQdT-l;1lOl25tOAT7j>W6zbSp_C>&3GZ6s zv>x7rItj=+L-ZwK?187KDg1P(YZ%F69%jMLB=#Ae@wM0ZLwGr9N!ZkM`B1PDnR7tI zZt{bH=h}$x_940=J~IFId>I(+@YOke-1t}cAdRc}8`u650VAd<`o|V##l?9wB%3O~ z;>~#VFV5Cs0}I}jx@V2k+}Q>2TDLc+m*jcUAEuq{<vDJeD06K#oMMGNo~z7OC4a== zt5jca+}CLCH~P4*p6cMod^p*RD0nL2ASej?SVyU8RW|UU?=~yC4>1YsBAtNbb`@*- zVZrluF2<Cxr>bbpe&sOZBIP3FAl(AJIPlB(z1d-J5B-)tiw37=D9t$6Z&d8b<P*`T z{*K@tjqzzO9O_kSo{zzLM#uU$?6N0VaAmKt(&U$~62?YMq=*!KVuaxc<OBtQvt;oH zy>Gbd&+Fc8wl2#7j(t4fZUh^;uYxK+xo^lM4Ji~i*S|B|_e^M1?LKGmV@(IPl1-NE z30rRUXzk7PKS{Ot`3E%)oitBxqu5uXO*z1uGLN~KX6T|%I=qcX`mk_j0&XV@c{_rQ zdK|GCH$_D-!oeR!kwzOx6peqvZWeguqiGAEVN366Uz?PbXz%udLy(S^_7cD5yL+X} z5*i6k|FC5}a$02rc35Ui%M)UVzb<Tkd)W4U)jCrvV{Q3u@Ge07bMS8AOd?!-W%bX` zg{w<k^U+PC?7~UmSa*bNHUpf&rx0KBk&p9C!bnn3lPb?v-BIadZx=GMqnb<DrYsw( z6sCSmXx1$Eo?ol5!A0Mme{RXzulUWZ8M(4|%d7jH$)hQE<|3pB(qB<aJqA_X^l2!H zlv{X(RxLuQT#4tcwK$tT516&g3*CtZQVeePl025~fXY#DzoKb3&xpyxZ(djVtbFYB zC1nFknXjkjn5@<*{77aS37bkRUkKL8VCT0;r!K5R6b&cZ1)qt&!Gga{>Y8*dUb<Fp z#t;Ym!jn8iH<|5wZ1N&Tq$AB5a+B?Tw4kY6q1vPLWD8k&GH6@DN+!0qfKtTe4o5se zSZ8o0IXh1sNWnK^#2ypO)D1A8D9W#3*T}oN>}9~Pj_KS`y<bC_mMh-0Zxkw6wB+y2 z1-nN?p$1luf&nw|T3lis9RRzcJygKO_nZf#VNC8Ye{2xr3bozQv;24M4vu-qV@c2W z1A<pS<3hER5qot7rAi#{om(Z(ONeF;;~|hP4&WgbEl&_%D#&{e0GLG1$)C&u1%g?% zOl;c>xA@~3I!g;SOF*eP?v2si04Epu1un-Yptd$RUPlxH$q()7AhuYF?0z)LP~g7a z>03Ze^`Q#kKGVDyi(O(v_ZxG2%N0JdpfHtdH_3+RE8NmGw-S%_anY7h>4{V#mXQzv zvXM^(Ls-4R4fIfy^uY;<T+VQkN~g)$>B2d#u^)+M<_Bm7`;l+mkN}^ETz*pJybbbR z9SRh1f%jqszfKdg+(ai?`{$dtqpGdnsGnSSDIAJW7UZ?MD9BcvEt>IM&DVKKBRI}i ziYV3X$g8z+c`|dTt4Vkawr<>&WixXMhVG3HT4^Wn(3EMkcs^FDo0iQIt?)~S?6v)z zR*4v@zYEsl6c)u0dXDU@?>Pc(c?ue<2mP=Fi=tkdJ4(tMmJ>O;nr#&;^G*Gx3UXkP zIhanDv8k6R1Q*=Rw^nKVB=H1h93y(`?hvPs*yy@WzBYYy<J-<kX!yOAoK42r3ZvGC z!AZFNzN|4P7=qFmJhpE{`${_L|Iy0<j-%a3qlf<*38|%mF4@$3hoAu_KH-`+9`F?g z{A>^j&Hjt*V*e(j_d;2C%3lbsH$z4pI*m4N6&4Xwty6*8F%uIHVL(1&eO+5CD4iLr zz@+gjl2eT>e+!G8+|gLdw#Fm^G6d~f45L1YYX8*bP@SDl5RwC(stUR8jv_U(>Z^(R zIo7vmLgg5GgW->vYk0a_3t7HXWBDO-U~XM;>kEO<`nE#6A%YNOsUz)f=35#*KJncd z-~`nXGgSgM4|07HrIXKIXjw;%89E<#wb5~JYWiV9byb;_LPR!Zf!Do`lx15?{6w5S z1{FrD-BC^319hjpIMk7Ji@V#ZmHsLn9Uh7q^m$<s^;jJV?P#lo$QFo8hRGrgY(a*3 zAa&O{TZe)kJ+m0K(iRb`nxw}sn-nGLk54}d#R`*DW4}3@rgyLg_2PK(rs7*8kV(T= zOdSFq{2?Z*ajV5ITM#>P{5|F`uCAE${PUSbZh=~I-p}e+1pEuam5Pq-qw}=yj6VA# z3|e%~VfU%ql=5#PGJSkET}j6$<hgctV&K0U*kgQm-&kldSLED#^coKh?T&8KRDYtT z^$o$`fd#+a&%s!3y}t9fLe(VyVWH@ZOeMEtm?N-AQ(c=|6R=A<?oq4wi&eL4qx=U2 zXlmT7A5b`BtM6z1g^g{RO_b>Qougp=Bg97$86TnJMr!hQ8KWH&&fW&e?rR6%!EujD zv4siBI7eR|(z|Hn4u*w+QBCzv;+7VGf(6&}09f?t_U`jGatnWO73hYe73|5tJ@E>Z zie&u4OK^PBIn%^CR^Nrte!QiH;q4b4eSB4Lf!4L9h`jW7K{YSP@$c{RN2v}k`T&Gi z4$mM|2SE-BW8tO#t*rq)mL)G^0tsUYO-FwVl4B{Go6D37Xy1`b!jK3!#FOEVIxZr! z#^3^WL&K!+zJ;rLYS5`>p=&!v-94Swk(t?(yJC!(u#4=>X>LwJuB8}j6lN{2?)sV} z*h*h2>1B=3YJ+_>#m98Mgr`PI<!Wc+iYvrStA6QL7DpQ;#>>l;AMcUt{fz5R04k&6 z%t$pu%6QX+Oa4Ch6CGzagJxpj6TsrSC<1(?0*D(t(Svx*5gZVk8uGt9<yu1JBjP`t zS_zz#*DG$5cVdY(=@TLO;vRz+*?z1|&#v#3?sXSEJm;m#kH0j3xBZq-7{cIysBoZh zbRd;y1ho>nXeo!l9&&|;@(mNCO0xr7$gv3I5BSb%5=?7w9MCG<6b<*1G^B2lBL}Vy zSUAKM{98FM7)}A)`JQTu*Lcl8qtWNI)$dOKcOUlvT=2a(sVZ0#KX0Av!k3}J|4p3S z{1oeUB~j>uF&+jW(S%n7h(&^VWlcJtAD~nD<YvNfeZiK6y%hV+MKe3rRIts6Cb*%v z90jWHTm6_d49O&HGNrRjpxHa<BQf|Lvj?st!qYbl?bnj}{9lizNH{usqd-`pfutr~ zJVcmiU?e62Z6JK7><n4enP0LdGBi2vB!cA{d6)qOEM=xMYZ2>1pqc>nuAsKqCjlWh z6@U<ne7?B!MCJ6Z1f>o$;}<%%O&PQ=^osZv)p2<m?WSb%Zfw8C3006fKO$+A4|kr5 zBi>>y(2jRz9Sa@Ot8}k+EqJ)K!~W$oD5+*VK>TxqKz@0psMD0)r0AafqZg@?S@x@+ z8|5}$vSp~OX@&e>CF6D6&uCmam!aSh=-)pl(rCtDq!8fhj}fIG@zWf}Af~`B`y-ky zE#w@!F~UeQB*C-Rc$FDvlbvY9ZV!@Y=TxZfDD41t04w419A*xo!MeXY36$KfR7k-F zA_NmE%fg+cVLg2_>>3mkL|ZsDJd2x~WtvI!{1S22mhmJi-|CN=nYyU?8KD(`XE~d; zxl6I;`;+J)Q=&@<hX9#}e+2w3qpX8ltB)(wY@E&JsHH2US3JQfcUDu-A;zRPy4;px z8Q_UWbgkoAUuoBt7+L)IKwCH#K+v4gJlH^c%C*C*<-xE$HW8rp@Xb(-L}ky{tW&7B zk`Trq(+20lDzgrluo}nF6*U1cC}s&DsC6W|UeoTKhy#&98AxjJDuET90)7S%z!;!< z4xRPc+VW0>2B6=aRCNo(7@Q$=HVUb)0tw+e)c^)M@@nqd+&d50*HNwd$oicrvi324 zn>_7{wZk|jK8qW2qWQkRrR+Gp^Ylfs2a)uIQwFt74x$rl+Pt~zO6#<l(qepb(sSA# zTK!{u?YM8hL?yCQzkr8XQZ^;J<tHc&eY6wUjTSg>i-AHNk`MBIX@fw|gni3Z#-K!Y z>2AW`QGaIhPTx6hoBR(H_P?27JQ4kt5A;1PVd|(QdXW$6HtnW4;DLq>M$Q=f#lwY> zRBregi?)0&WEK6h1@Zd<Lq+-pCtw&r2xG*~B$9n5V0ah=RSUL*?|q~OC_cGP4x2v# zYP-w;wSQOug!gww09y+vjsjg2=|m*}VPKY(NfeAfd@msY1Yj}1IO??!IR>K!R8s)v zpPN=WiQs$Z07im0=Y#+Sm;k65GuYk$oZ+GFNlqm9QVt9@;v6Kvx&<HxisK&=mASN7 zkbkb51HiVT@Vya~q$8Id2mu%DFaczyP`&vm%rHj8^$iNB90en629hfv6e1(ZtvdP= zft~--*n8*&q;uXDwi{T&Rxm0TN!tKqA?ZqIC!Y*RgG<;Szx+qBU%W#VLfWmFq$J$J zBv4p)KqF3lLRZZw3okC;Nh`GC?WhG4!J-UR6Le{ju@>H1*Z<6lgbVwy<pNdfh6@lj z!i)iO!1octf-r4+oRG<-A4*FyfBXQf08xXm0tFu3JuqN}zrw=`PeKQgaUt?qe04{H zg}Kl)?nn=2logjp48md>MGXROAA`%xvsiBy$<QxlD7Gi@o3;-$<E!I)sHq^~t5yzN zo|$OFG#|OS5$ZWlk+#{eNSKn5@}tssVQ<A_=r3bg38Zsl9h9jM<SYW}#8OYBg17AV zbwqxswSGcjf`Uas2?jNp<@V?ueL4|oC43l<v=}K{hF&<6PkqiD=&(NiC1LJMr;FPO zaX%^7_cb2hHwIMx<s?S~b6kUmdYAQlvGbap{;+4A3^S!Z?0>V&NJ6mJ0DJuk`Xa<2 zmrK#m)12|gjS$9A@;Y`PTnWB=(nhQy<mBPILYF1F^qwQp&KV=hHQY0GLr){3ds;vZ z?g?j<^T7C%)ZIaGvyVC0xIQ@S1NG#w>kiuM-kjfk%qK24qGa8SO!i*zH++%f{v7|h z_8oSQXWJ*`i}1e9$upFf@ixE9`$o;rBfO}-`Z+a?H$SIg3}N9TH=!E~tv-Gqon16% zAkIO4emQPfC%8Du5P0Z(uO^x83E2zUO&M_{+#(=A5kWyxoWK}mvke=-?=`O2`$I~) zRG|>T0)e=HX+r<CoJfQQX2ummYZ|B1us-u8fGaOM9rW`hiScJHiSPRHR^%g`?e1V4 zLSqj4Opc0*<@=0g@2qd-wxIv3&DOZWhq_z6@wasx`kHV2ct}IkA5tFjY09Z5hGCpS zqyb}cr3+)ZeAuU?LA&SHG}=l1JJ>>T7L$7&dUhJ?^_Vg;sR&{`@Z!E)2xU3hDV<j% zYJqz;oNDlqVoaHpv}YP7Z}o#_fjSPAwN4ebVM!#@r&$w8G?}=}k%$B~>4gBmR0J`N z^<6>%(L;gn#1wFW^gr8yy?!53760b|Vg{{TwrRI~6E%v-$)HLrUTz|-N|0{j*R@Z6 z?22Y^F>u`3v>M<-kF@0CdyJzob7173E<$6Mpsl2)8%sVSj9~a=!ne^Mw3nPnI%*>H z@t`;&@kJfvFS;=qP2Jq)(#IyM%we3|Bun@p^O%f>ZsqF|3YkR0zCJ{+@^j1Nn`dO| zOBQ<^wzl^BiG4zDnmu=xo6UjAxU}BMY;pKW#YZ8dNky=3vT#U7@u_KGb2TD&gD{5a zB<cuLOvV)XC+i;!(C9?mph;T~js^h7Ok6|*476Uz_J}RMxrsHv2J$w(V?h&lm!&j) z<rE(#ZFF;-$qs^|W=5o-W{z$!QNop6&qCcW4%md*tYXRhyIJmwo%2F;g4NsXPR7R~ z6Vie2%LsN--I<5h@LLS~OVw(13yf*toE~1y<!hy~@S#sG0R}QaClgu-cl_e}$<`6t z-;?+?m9Zq~GncHp#*;fT=d|?TOGj)&AP{Z({@VBM&)8Fr{6i-cl^@RgAH9#iccQQk z;{1GZ<bIApH})Be!q^F;G_I-&Qs>9YHZ2Vf9`JyFxJc7Sam}Q5w^DBXDufYK3K*r6 z6QVtK{n_N!5hJc?_iYOOons~y+HyXwrePv8u$f1U<nuHjudPaN35MJ}U=|>yB+m26 zex4M3NB@0&T%&P?b?*9UFqRAlhNjy{Rw|fwY8$edCs2LXm(*(%Pu^t;YzCOCixBf9 zqt$|WL+TfY<Kr*OY65L7><A@-Y{}qPDR&Bxqb-`|vjO8s`Cp+G%|Tr28X=#j<$-(* z@T(C5;1S5hy{6+q3ce|`In?ToXF8A%O=OLZFU$RzLeQId7g_3>YE+Z!HC#gtzhO0f zif{Rc_?<|`)Dl7vMcc`>CIzdiQh;S77kJbhmw-<1?p+3H8N(GP6G@VHkvc)iN7j8O z9LPs(dgqfC=W?z;&an=ielZ+stHRw2kJ^H11fthf&U!HksI~;G>|tAD8@Y%_Oc~M> zf-3)%gKR4hI11{la0QU9zNvtONNDU7EkHuL5R?%$8(lr?DJE%I61?*(fjLb*FuWDB z8E|dKH$AaAM{2Ec{x4%p>E0Zo6^(N<FXzy4b4(-W+_0=}Cpls^aTZ%h4n$2f!lbdw z3U9Q^Vxh6~<Sr_yi)Srjug1r}w3a9}9gPKz+Lb4{6Gc@4E6NgAHKPLg+3K6|cdAWc zw;YkJU^}~Zn)MrbH&~4rgszRj$-p)8c0&=d%P<26_^~pc=i#=ZQbiYG<LlTye)#RB z6&+8vwxVJ}Uz$BIX<xqW0@<m{PI4;L_G5j31EmH0Hjjo?nx#FHCe|?2`zMj0y~J=j z>O@@}byPl%=dgVxv{gw|c6-;}SaeM=NAq1<k$IJxuB)dEx42t0)%Q>^PfPrs^GBRz z^_uvr$q14yD1vByk-d^y7;OW?c`wK_)^I`Bz<Nnh4zgK1K8+{T0*SBdI5vsE{7BWy ztoBpCf-PQ~mR9+hl!w^9z}$$(+0FOmd2L~28Q?`TFH2+y@~s|!CT_lugbm|eu%5Tp zqV2(4L=WJampks5bkGHNk@N^f*s+JLL@<JdDft+_aO1&ZiRb=+d|CQeb>TtlKZELk z>}<m;JlB?K9DLRDI(zP<SQ`-^?UfW`>YTdxek`YMZ5+YC&<Tpg)LA{O@wn2j{bju3 zlKQ{|vTYq##J@4`U1`h@;%rX2N2$Jl4QNpc?dO3?WQr#AM{xnmWb`-Vm)UJ2Ho0jO z&a|;1a)v5V*|9qOnlhCD;Y`EpNd}F;BW`5(1NWCxG!Y2xvn9s`NcL@&hF2S{O=22~ zJ>HlcxW`fq$)=kr_H8ALdd>va-?;?Vf%w@M0Zz^F-mLZd{i|XfU-F42i+?9lfqTu? zxkpsm(+Mp=*|A`!=KG^mJ=bBn%te8#kJBZ_Y*^0HNLrO8_^~A+S<n{D(ZlC`SuvE^ zaH(UZ(GA?%IeIDb{oa%4@J_pYhA1fO3D&q5=k?~!>k7`g9bei!!Cs5lgr48Z!%E<h zWE4GK4<#C_KW8PZX6bYyr3?<;Ju&8~C(VE2-R0O4<S+s|jy<fKjPew;0TKAReAo35 z)I&SngzCvRVij58u9a^NO#42;^<6lV@e9+yYydl-WbOjz9MuB4&O~s|i*zTo+h>C7 z?)JxY3kqrW#uYkGz4yfg?p!A=eB?7bV|t4*km~I*D<yW&sbS;#0nIRh%y?>^@AL)h zeO!PIV|*~+G6AZU41PzfLmME#+8arV48LPkg8P0WO|RTEsFemK0KYS*yZ-PjirQ-> z*@A0M*fy^X)!MFt(eGpDt`ULNii?;ny)(^bBfX<W?Z`zm8`4WQf=Jr1v(z6X%tP&n z6uL19*zk>48!f=_PDZBix}$2Rl)$5M=*Tj)4hN%g-b#y!NJ_`#xDt5<|2AVVGU0(& zO6UNQ=iuR)9I*PaBzzgJl-NQC9mFEO-_VNBEQX~xO~iDPu?2UMnE|&b)6&!xc@^pu z7FuvK);yWf%N+*IGSkyvhDS+g98RlQX&eS0jipBz)3@h*Ml8R&hKLD%nxJx6%QBM* zCIova1a?{_UqWW^fR*l+ejZ0@G>fM49D#SBb!lBEzl8?oK2`5*DxwQc1XnEF_>$>* z8&w3C7F{cX&@ule;hjFOqtZ^X>Ea_7Su&ml=5);df$1<3Y!ugl+#5?tkA71lj(o#y zeN-B-_1+k$NmPR#A{+%2fJRtx!o0L30%KkZpdfloQf{EnZ$swb#6j|rA4|%G9ZpY9 z&t<+f4Vuu0Hl&^ZLJ3}_q0ckK!u*H>;(oWgPS-veTEBW$>q->)Ahto0#TMgBvi-5r zE)Fh9C1}X&`?`HLiJ@xN$q9;}8MQyvU=uTC_M-^b&l4B;U9|v5;%ecJDxwetFjD`8 zDrGjy5JiFG9jt(;8P*lqD({#2tuXWnVi~Sxq(Qy|taU!lY{Hxg`YU$82e^loh<>`{ zKwa4W@6shMl-W3?IneNDASZL>4K{)hnn|MX)?xb*#XuHX;ZrV#I6<eb8XQ}Vy__7K z#Nzrw-mLf<$|KrIt`0dxh+%FN{86ZKqDM&JQXp&}Q4#>|BRd!*V}}~SLm}E}rB*~c z8nmi(4i|7z>wuK~_PCT3CnG`1q%M1N6#l9wDPGlPO;e~NRu)~vlK5W6$&P9JgI45* z?mL0It%3q<lGwFO6Kn?mS(&f6&<xS{+-N`8y3t-5!rf+eqTpZv;Iuk;J+WYkYUN{0 zfp$2UW$?PgGb<sX6SpvHYH5Z*s?3O2Oe^Q!a75P9p@~_9ZXG6-y~a$u*6Agcy^*fX z{;Vw*dABS3w#SFXJNVCbnb%jxdt(S%F&i>h`y?kxYj(N%?$OHlgJ{zfgiiDMMf&0! z=gMjQ5G(;n<W_omu=EC@6KF6NtEKX;EhcPPCZI6ZeN8BlamNTg+h~;4kaonueD*QS z0OKVLkb=Zrr2$<~e%22K*9MfgMr@mM*&41;{Ht)xJ0WB=O=2nEq|YcMJ<6&u+X8Ts zP*VB+YyHbBss^$ON}pZcBH_LEj->kVs{e1J?ehh;N27n<e|*EMOCb${kgZh6i*Q_n zVB<t?OaorSj;-V4Pk}6W$_wmib946u+N}Jw^-qb1)sd0^G$FL$-nDf{FY)4$>Xxqn z^-nf8aj#Qu97>l$9S=-;=F>M|JPGKij9|j*tej|Y+e|{zR)`}+hvuL;3l~xH%Zi~< z@v}WP<AYOmX8~<=1U@$J9;LT(uD=3GrK*+5ZtB-as)nTUNwhpb&RG~j-l-X$=Ir6f zl7z0HnOI;8Z=n4Up)1YayB*YK;|8`1Im+G<l&BI90*xvQ8%%pjeSrOS0cyLI<s4g} z{gIXIYJ0?n^ntUDi3y}NKl~=4tIxv$A0-$OjAlC?2krFXl(eRr+sP-_GLC^;)x+Y4 zHFf1`<9Yp2=N#tt{X|3}Z3_*uQ*g*UrV}V>T?exz3Cl@=@f;u8UW<4~Gt0pa*;99B zZ9&#~|JE+1g>%c@&)<J@aAT9PvDD;4=~wqg?gGemJlmu*_NJM4*RGh*fkynDY-C*? zJh5ZvqkYXsiNm#3a^c$%(o&osRq(L{(?NKqe?$_dZxfeeOpLp;EV1_#a^^Dx$*In; zZsV%$#*qcl-oD}MJMJp?eAT}uh|E+qEdImMn&ssOG%V+2otfy0vPX7DXg`|G1k7Ta zC1rWpgL(jw6X&OD{SSTQqd&ag+4>j29hk}2<zEBXdCW1kOb>vXxt4zI0>a<)|KOq* zF>wi_52lmEw*5}oxSRZHy1<&fgH*O&C%*Z)nM%u1*8;9Z1ZFN(ua7lg%^Ljc^Sa~4 zD|DW0Z6i`6Kj#BIj0dCl`?0B}Rrq>ZP@$Fxl-QtU2xCK%X~Vio?()yl->Nf};Q43o zO#X0N+JGa;dERs50+jR}b9J07sFrvK^ZinO)0hx*{158p{Gn}z{X722lomPLhszY} z(E$DrM<a2(?Gj^h^9ksNjvgKsOH5m4GjK<J3um~E>MMx#GtH*1cM&_yEvP%qd2o)w z<SMAG#yK!s?mCQ&6KlV3lA+0fV1mX@CP@<}Cq!5MEdfc$lYO!iTFSb1Mco_Qd&<Mf z*%ymsPpiS-GMkgT^oE!6BpLsW@!ONd$JzO>C_DxOY6Y7g^KN^Od~Bd49g+?@N1(?> zf{!1If#sEwy-EX*gboAmyu2B94f4U`%>`&%bO2b?&YZ9!5@A>XO(qp245YavOPw-- z|ERS(bidg_atj-|4X$@xN*87;s7P(0YXR%x`|Dz~GD&NRuI)KeUdAl{-PX5wm%-Cw zMGsK>dgh7!E9Z+e0N{xsdA;}}-{Ey4-vL2;rUgcN`_r#fle8KB8T&B%VgyNh{z3;M zl)vB6?REAlCr(HT9kLC^!KcMgn@Yltpl3V0Yu#j%3Mt=JvnkV-WK(__aUPvDs)o&_ zF?V4OBm1$GjWzy<I70`u?AY8~3;J`k2iBZ{EwMuvAq4;IU!}dpo8k*ub^LY=adq<4 z8XOe7YLXv^w+MYe=XOdf>%f>UNS5z7kORVmkwdt4ZHvV4mOG&j80NyfZ!6-XLw~+= z1ydY1uMD)AHss3GiUZ<;u3@HEfU6ym;UMi~6^)Js$19|ROZ2&aD$`n?<bvf7vEVW; zcLU`X|DwlykO2I)=9X$-B4I3&FQ|WA2hky1`kM87Syunnn{5m;_iflq+BB6z{*_?p z$SBEiwljnJrRg|WYKups49V=OeR;|kn@!+&0Y|+|o>ot3Se8X$l*nnR0Jm*`(2FMM zFPY31x)sBxwr{7Z_y=g1%F}|2l*RfcUB$gMs@cRx+2m_vW}LUfqwBBeWN%c@H(w|n z27mRHps)+kBufTL)R24W7CT-f@G~&p!tb(saOvwa%%8b~@qV4^=Sc`wMBJAYOLAOF zJ9Js*^*NTf6_iU_Dz>gyS{qu2-7w97e|D;?l62JMCTSX}@sa&m2w9lfON8=N_;G`u zb`ZUmh7XOer?FQJKq{#i%rX>H?X$~KMw9Q^96eVLT^ZXVin^qy&>ngGaJQx&I(3~> z0K$^bl`-oFXDntvwPGVn$<C|m?cJEWsXBnjm6-U)tX(o%3}=;xO!;l<v)nOLT9ZfD zEpmEd+B516Z#QW)!`sQE%1H2@_h;aC-APhye&Noq=-t1ombVm|8MW-Q)RH7Gnyx4{ zY;?eOU`eUpaEU*%1#LFg(r*nx^g48u0ejRA&U1Wl4MIbkemqRiUGbJC(?(To*0wIt zr(yEuMDT8tLyQ*2KcoYV-oSy6Fy65@SAQYfCX;X3H9la|0B`exq2GQ)dWH3SZx)eT z)|D}GwP^u)$)~L&7)PM@hoFu8NJX}@I4XY3-zgvL4H)0DsQAM+YGGv+WBQ|soP*sN zHuR{#x-Oyngw8ZU{xNuzqt+IbE&FtoEoxLS2bw`*fmHlx3TsrOO4j@mai`xmGpHr( zQQJ5Vh7)vq-JQonaLO*~>sljZQwi<b3W=UXe~Ebrjw-z_tvXSLZCH+0qxsF&N;fEE z%r-Y#u73(_?)s3l{>y_bXkYUKVQ=%nxV>~EnDwNDavcOnVinGSo|>9b0zx~$;mpRK zwL9tai*}?6XRZx?u{p7<5TxLggWji$&;|B;CtD;-E}B-X<EwAVhZ1_wP=i0l^AUP5 z7hCbfZiyDP2d1D2d34>g;czP|DC2LS;j`@9>%_Duv}SF(ld5h;+(UFXr=7`Gl6`w{ zOvlifaSBi;31(2ECH{G1r3!hxLl50!{(9g%0(0M<=hFSuFR$x9rXF_&w86@H=`OZo zUlVm`z9n;O%>Fu`)4xedbD8>i!4B&@EHiz&&mKqvN8yh0+n#$do{G8?&s|_-VOksh z9NIw&YY9k{MmsT;%x~K&IFUR!oPG;_6ib~q9oMZe2V;8&r8jwFtJy0kqjq8S{rw@~ zFwHfi%ZYLi4+SIPKwQ$OFq4IkF1-bH%JuH&t-*+k9zUrJ*L2K%KRiPs;eguJ<vdQ( z!UJbGZ7W#jxFADDT3zr35eaXx)J41GauRQ`Pth`d4BdqbKp?*^<ByA1@udy?AAK#w zpMo;GJgLP+7T~DpOEVy57bo4lyjLs+<v0WgaPfy&CW~|qvBztS0qE`2JJfb?NU5yi zJD-{71!3dt%X*tO#mBR9?1|hOs3JIj4VSIY*f_DzGbu|OYTLD_af)x2wSpBsw`h=r zM_y~{!Mj0B9-EY!MhkWpU{!ur?3j-|k_)l2cT0n~g{U#@|4@J!JSN!$+fJNC_$>O@ zRVX`WrG-%lqKO=M_F1hf@)Okw-F5xcLusJhL0juOMlDIt)xYAp5y{*q)HYaU`K9a> zzqdoSelfNN$km|MvaEvebTr}6LawFZuUV?ll7vHFNkDcsX9i%M50Fc&iz}fe&4SIx zgM+y)maim;nRR@2PwRAHx>05!&VL3D=4hy!AU2H{Rt^XONXr{<j*f$vCaiUYzJ5CC z8i*l$80P!&oySfz>UYzg0QjKvFu2CV|7gygL>!7bd2G|ul-P%l#jEKQU+eE~4P+hX zOHgU-D#0>qaY#jwZ>$Y)?GY8W1@cf#{k_!q6sb&R)L7<MPO~>>??Prpm(e))OH71+ zc<F7<%v^V1Wlc1sJmmlJ^p4Syv|YGxY}?kv$wU*|oY=PQbZpzUC$=%MZJQJG^z)wY zJAdj&-D`DMSFNsl?@K$y?IXdXHZL+Z&?t%G5Dib+1*kmu?x#-6U<j&Ka@!hemHyz@ zX=7-Q7x+z0X0sNNfloy-p=s_|5z0`~jiXIo_zut=U4BaKUw&#duzruO@m*XXbBEOi zZb}yE4x)#4=zEOD*3mUAEhDSzbW=njk}40*^42Dd+Hj0n;`7r45;@Lrmf^(I#qn6C z6~5U?Fcz|%NE(RFYs-Q4SNNzL*}`z1`F?N}``(oZ^C$-345a=w96J>%sWqNHE)u?p z(}0!<%8f~qbuanBHUoTYn?W=KZv2I{WeM|*I8sis(;e5pwgB9}S0wO<Zhi3^NFsLm zTL|$REPH{>hfq(q#P$?@%V_#rDyBaa6oML(%fRhdvD2=L(yEiRNIMY5(dDMF4Q%t_ z88^?ctKh$!!e-oV7X5HGr@DIb>lR~u<^U5*|BnFqh|M@S;!;N2VZ6Kiqu7$QHzdWd z48mPWejQ`0zHb4<3ti?b?C9ftklbeAx7-dkrQ7OiBrLbjq7ho>9I`5RW6h0^bAl3D z!YeX@o5s%HsET9SZGaCJ2|-fZ=}!xY6%ZU0u^n_ozE>C|DgkMFC7uNQ;4*1(3~909 z-J+nIV#bHcNM9Ra#vin~2wFoTOOJtja4ZjObEKq}+YDxE>+Brd)*?vXn}yXiAd>Bh zO|m)=bdS?YB%%jzBs2?HL&7!NFib~m%7Qc_Pn5e`7c3C?xdHsZNe;7?EB;2ro6<E< zqLDcZ0)_#4c6m1-x(2XiV6c_L8s`kKl-1UTPj|C`SU{7mhtyaXd;fmdafxJY##X_J zM+~}DTnxa_qDI)N(@RECNL+2B!Y{IJFf5R8(Ovo(U^E)W6*Hv73Wxt2a?HX9&27xH zNS&dEmjQO$ly5L)tZD1Qj32r!oAW&4M?7P2XXzJo7)yuv&@;fJfXv0pe71Sebhf#O z{uGbm)Jcv5kz>82YYyXV<*#1|`&w+o1RKk+c*-2R_zI40)CzdgDl?oZf17Y;teOFx z;{$ETMG*fJ``S4fScucOsR;-pBuE)c6Ry9ur)f)Jb%s6It{!Gq%xbNJNgzin-#<UG z;nuI}XU!196k$L_x~~<Oh8j03iNjdQ8nD8X7gXcH&j8_C^mjq>2e+`3KOpUnfp292 z83iH0w#$MJ!}0%_Lf7;YOp2LxfHDn)8`THukg?ZcLkXb|6$OOD`4kBsy07F<=jkW8 z*Tx(WWpcy<xlRXyOr@;>ZjG}W;RJS2yIfH!Ub@+0+<?;=qT?1ss9m?bZ7Py4HV!eI zIJ2ZX6#JGnP*SL!-~RS^_CTZ}<1;n&vqi$+zAp0f3BAA7nEWMGv02X0p1~fDL8msi zAZ9}53IAM#8@dOSULw$*@LCeiyml$c2i$s^;b`+WJ!oQXDsp#x7oRJ4JOl#EOUu;m zh9g1`Dq!!sHpqTd#^2sM1YtKz6gK0tv_P6?$d8=apn>3Wr%WP5c(2~bjkcUGZ_R$v z&-e*H$i5ETCrgl?=k@#%*Rm0j-&b~ug~MkFFP?k<t;-Wj8Ln9cMtuFgxILsX!+%*1 zvraC{j0F*UlpuV?4d`Jm|LaOxXIp3BznnPH1Ry;M`Bf59Pou3-!eCYGAKC26&3@|Q zNd8Y2lj<Zmn}cy}B%9;7$(E#Ss%s_Qk>E+LFeZ9E14mhV5#Yhcs)t)(TZdw3rJ@pd zg-;f2i&IdOKC)f7g8XV@pk=){_wp`Z;<fF++YS5e$C&HmFbvb+E6@Xb=I<?!C5ia^ z5`g=ALsN39)?YYXQHwNNMoyL`xGx@0#0sHe_+roeO<)sKs7k{~4f06m&Cp(0*b-;d zsFj^(a`2EH+>;@A5emI7O&c83E(oL^9?Fg46iwa?Ovzi-gni(wA9b`6g?%)<oO01h zq(j7CYgL{tbdhm-eKthJX~BnPYIJ#89H5Z67dp#kQJy`KTWX>CBCn*V5K<;#O6D8k zz!eZ-+#|q}iz8>T%$wPOE9DQ7w8$(LCDW8Z&l*{8kQ=-B8#twd+cTq}Wf-BEk6L`; z_bLa3#1&j7Ri`z21l5>@77KhPRIt1F0vlbI3<P_pODK$7qq7~3oH1L>9Gm!p9speE zs;G@%X6P{)*6L|Zi9b0hH-9-dCeL9po3QbaLeZKeroz%>CWuYq2*!O7<~S<R+FJ}f zzBENA%QzjA;>#3bKF|&tQc&Db5+5fwB>ez3FVsV;UsUeE$y!#<*a}a1p-K1yq15(g zx>|HU8(Hq?C@c?5DP|hzg0yBI8-Qkd(qMf$&{FH{YKVLQMwZ;E23Q~Of(BV4l(M8_ z)j|Ke+x0?1&&V8EWb4kuaio>HJgUm>Y%y3bdG;MK$aEE>)}Qoyvw_&w2(p}%)<#ZO zV-2)~rCh`cuq=0_*>z}hwU})eL|Ysvo^70yH8016NK?{I{zyqV97JF@1C$%WGK<ta zy8^Rbj2zCB$B|H{(RvVWNnqVW+x&52Sg2hjjfiqwgEVw|%zr>Fg|`s_F*=AP=?Q-; zZ%sk=+jd-)1*|njmc{UJxH_^fIS9(d%Hz*KBZ{BpBl&niRtS~dP@!6rNc}{HZr2zm z-bM(LsZLhv1zkyc!g4<)0KjgOm)+VT#?$H|VEGAQHd9Zy1_*XuGt<OB1(s5+yONY+ ziuU~$VGug;XYZf`O;a$A#dBUvFB&0e7WGm1Cs8<;1zmO}=75JeXG~%Mz1P%jy4&<g z@3784XzA?x=>{wR-);`AMXAVz=o-BT9=d=dZ_LG@hiqm&LBI|$dM8PokC>~%sExaH zcx}?>pJX`kIj&`psJWijQ6dyfptc#tk=Hhs05hwsftKIk9I(_pFN5^Qv-%e?&%dW! zI9x{F=7s0HP)VIjStGHLNp2F*fUUz9({4E_w*WG+<hbx;%p4Pb;!YsDp${d?^B1g? zkU70&&;ZYZ6FwavZlaBT$ME0No)X(x|0P%B;H5?9A6-f93Ph+8a-HayiB34z<sMiA z#!!A{JK$c48!v>w6#NfxC`yth2I`8CQzgwH0^wz%>Q@vBZtdka`laXy@HE53kB8-l za}Tc?uaR_+%(Eu;2!`fR_wo(V8wr;31t(Q(?q|^f^))Oav=;Ts6xX$K)-FPel~)EC zx2Mc99xi|P=8(c9^0(;sP!l-AR-l~LxOn$(!v~Aftpzo(Y&vJpS>l%IA@Qd6P*GRW z=Go(>X?2m}xOfN9NDi=RCziTafAPL?{P%wUsP_;#8i1N=oVeaLBp^aX!rO*W@<=Fn zL_!7hS^UG}BVC&k4QdRN2%89&kkA|;4;N`~2snPeLBr&M9QXIBtJkrZx>T{S2G<?g zLk*K4AR1oI*5F%pD_8>31WN%k?+-vhpe^TgQVsE&uzZFCShFq%7Pj)Anjvla4cO@X zJ(O|g8S!xdXIv@p(PC;hZw@z%`9O{9Rs*g&HF_%xq1>1o(oDD0fc%U*>XJQVh>sPR zz1Pj(zXKzW!h~&BJ=H@0dU;Y53YFi{xvzqKs|y@YfM6He^L9pOe5s*xgkq`TPsGj$ z+n84bjLu)f4aVjXHT`V=IFjO6<OkL=Eb4(jun0z~$jFl*hUAnMJkibFT#s{g5Fo0+ zj7+6hmOiprm?gm*RAlPkbU-h-&H`@f{PM&IY6&d&c!M%^Z-)i(z%3DqhyS7kkQ-_T z5V9VimGp&Ee1`?FU3WIp`MMWoLTQ;2i7&L3_GdR2$iKiaW#fIJ&ovp7XNFqiL1<)Z zp;ro9fRq5aPZ|aqOaIIpNjBS4z@wR>V6ympTRtecWppu1V(9N_9H|X6iYqSh`EUcG zFmjm4buNl20y)b&RIg7MDIPsAsSPF*!TBf9Co^e0aRpFG9)_2*l^kM)T$br21;~AP zEuhdgqIYwz@s(Td`&7vD#4`-PaM2EnBF3NrsA5V07oW$G%8IgWiuhUwz~N~yavz{A zL4-SSiqA*y&vPDnShH3IqA{vN^Rd9wE8KD8u~XZ8?{n%<WnNjBdg4fFlP5k^dRSKF zy+bhA_A(<Ex08ePFvmmjIqS{N|5w5E3G?7rh%bicJT+V)30j5vEj#|Sk*MvFt}4pa z8QrisrW`$TXd^%}<!J*D#IlP`A<!y<QQ0fB3rO`)8e3~e*IZ#6*~Gxyw1R5~`6x6N zESENe>VVT}<NsHi+?LpS155ZBe3TK+y`7oiB;;D0_PFg)PSnJG6VMfde%7|q0&y0# zme1WxV84CjjkdO&nZ6H`+RqNPf}<0)66ASP=0oz&V(K?g*4jd}$J*ACv70$UJWg=t zJYVKJe^O=DZM+@vZ>{ySCIoCH+tZ=O2^Ix=`Zc<*IlZj3p8cEa`P@_7-?|(!4zg|J zHE&YOcv%Y_dM_*f+Y)<<rR|u>h_RF^s^W@=rA<8exf7{Yh%fkZ7q}U=LA~xG)LNF$ zF$P$Rwg~8n18AI(`<uD<%&d$e4|M;#KHvaVJ>;SD0<4_55Mzg!RU5S*I#4Tq{B2mQ zmbaA#yfHv%2r6{^ph@;!Hi0<kqlo<9vB=d@6lilHsG>8QplvNy=J*F&;}YY!ph{5C zYg=mO8%1TUI7g!yMvM}h_*~}dsts7A*|zz8z-tB|uf<}lPGW@4+N@hYnuLuueAEzT z-`!U0+8j?iN>5<&bCZcNC_klv#DY?M)~z*io!^2}<k~#Pfj%NX6_rhV_85$Sp?r5! zVJQ+OixDF=qnq--MkVokP<62_a$QYb$ru8$#;vovU&7{te!boa>*qYyQvf*up;49m z!4iP{ia~r<S{wrL#9JIji;R*%aCVWa4CGffsQ)oQaNk^q$5x3sX9>btMqzW7RkajL zi%kk?dQDZ`4Dk~hnj=F&!-V7Dab(YYQn)IYtE52$qD~ZJoSto1m~I$F3+^D4xg0TQ zHroi#I%->BU{)HJxyh}2w%gLp+I7um3Jf5=P-{$K`yAi&3vwgKQi8G(U4anvXZnc2 zx&qk3x&u;Oi_ivC^1JE8uiU}_L?_s&AqO&P@=)dLu8-wD{x(afrP7_(X8bavUKPgh z3jF{rytIJIx_?<bZ=|_U<a@q1o(ex5X*QMg2|kJRToq|=ZbH)73oo1;)qNpf#o+)u z%y+Y`Fbu-YU|M&W!JMicbbSKHVJK-BQ;&=lKc!CDMEOXCRGYmxRJV}K7M3pH+bJ^d zU_M#rNp{bo?GpQ$=rE**68kGfW|%KBJV-0r*sKw@1oVvac>q#-5^r}|dVs-Szj_1B zqRfGFeHXtzZoeAA-9XikEb>;gbbkRxMs(rp4ApI+b(=NXpX{^>1Vv>$hDox9?C?4* z1;*r(y!D=EMtX0N@HU*gEQ?;xSKln$Z{uEJha$Onxw_c(1-sBZK~2BxiMkSAIB+L< z=YZ_ZsZc`(502dMWj}f(@7wS@Y0vohhLI07+|C~CQtNr$m#RY29l~1#!U%x;j_can zh#7PHZ#SXWr+XnQL1cuxK>Bpon94(UXaC=epc(4o9MtO}_*{Zb@UJJ{OvKPz{a2Hh zrS35IfH1j{nP=qlf^lJ8eOex@Z9F1~ILG&UNOR;{us!14u(f{>J(J&FNq0Q-k~}Wx z+FK4H2)f8lNrQO8ob_&e^Kt;Z2Z#^8DQ6r+c}~MwNNHD2s{uCSrM#e?TW7@Wu<OYD z-v$Z`gV*R7dp=&bRM!scgwarqwb{{IM%dCfOdtQ=e37KO{B1kD=HaMX+JXbkUOKZ( z{k-sWHP4>+MBS`<#5Z8~t?dpIvX(F{FZ{*oj?_&hbxj017<b6tG4BA(ch~$qub4Ft zoYcMfJASXXJz#k0jTkDD7$(eEaH5X<a@&q0sU=KK&Zf(V+Ys?bG(KsLc&PBdwVOSU zbgR121h(w#qqb?$e7d)}J1gBTQsg01cbHyQWDD!AYg8U;@IQ_shOy~5l1_!7z)Z-5 zZae$C+wc{F0SLS&poah&ukxsHu^ST%z6AEXnd<M1LTH}KK_<_!FNF1ElC=r_#<5&0 zw1%yQZ)%tHg@Q2x$T(^~82*Fe9evBy*G4Knm>!kohUYZQu7(Q!^@9!dSLf1pdG7w# z$;Ui%-6=oYGD`Bo{?F5cthE<djwobUGwOyK)#vt|oVK5J8^mTlgb&?P*hZM`Tj}2h zRnbr{81e;8kN>{0hA4{DMM+9&iU(W#)?SGxKDP0@RYYG5IVicbZyqG?(o^b1X+Gz- z5XLux??-#hl6d#jPF%RUVz2aFqhKKZBxW!rWGe2?&ES@FKc;m)gX01)S?uN(?Ko#B zMqrc{e_r08vFk+4@-P?>eEtsl{)72&rc~h21|Epi8m9)MoFXPMDDKPUMr*fqmS5(e zU%pFhY5XSNG#Ur@FGbR!oT6ab+k000WH~Ghw7B~dRk!A=5=C2qCY-~o1EKR7!7WIs zA4S1OuAGE`myWG!>(m7Y=*noWD6$|vZRLx8s?!o+BY5PDG4s_*vwHz21lW~tpO*&o zCE2e!O2WWaQIn!cy;NZ!e2fym{P064zACIdifkhULXjW;znN*<z~%c7Nu_#?SCMKb zcdwN%(9P7%wEsUh(`3kiK%bGHB>uYeL+`QsKR!Z_LBDZj6c<g*bdi^=q5FY+(2m`K z94m9#2<%x2+;xB<sF&!wp#vv)lDK|_C8Tb~v+OhVD-@B`^9`JD<9c`_(mj}5vXYJl zpQZ=J6)|E|yU5v_WkIe3%@(ojm3+z3=`OF=o#n#}U(^$|v=5$KACd+zBF=qKzGz_z z^Wn?Q+-RKxmOq?#`HW2q`(~zcrEKd>Q=6meTu)~S-v|(39*8_duY>M``%cv<k+Se; zeUn}NlAfHCG*rp(H@nffp}cD(>S}(Rl#AZF#&Ob);2t_Wn;q_<Vd8<pPX3i@McJTj zi+`v8F2;%4znTqS;x_Cf%KseX9AHdFK#(4Jl7{Uzm%?v~6vc9|C;ljk$2OI^*EupU zf&_}?mH}`esaK925!p285n$iu&Gf)Ozm8g5_y#4Ug6_8K<vbO(14Co=A!`OV85-^X z3r!4OX;fbDJ<s%2C)s$4_W^mC9Q=W0Lod7&!v><kbxO3{lO-bSW=mNBy=!lk%Vojr z>MW;f!7JGp_`As4E}S<U!g4_hLw{s?Ri4M#?Fm4V53lyDCY^0$9-YI&^*(@Ko%2Ho zD?NwBZ3K^>GRG;64Lq(ic57L3OSkd%^m<->`LklmeWcJ&xfs+#bs9&gLGEG12g%k2 z;b|kxALN-XUan*7+GRB>8|GD?wEd$uY&*Rxy8F-8<A~3vng58IOo^F&bT`Y>$YKI> zEh|7GA2Mo^PsI4|cfkkc)5yntK`?blP5=yE5dw%~+*Z&?c~cf!tp=8Xtl3UIUCTmk zy6yuum~oX{t&w`PE{ognDkl1l-W$x{X6}9E@t1Jt$Fk&k+;CkMvb_`bcB2tdoh{4* zE$e<pEKUV;r}qIl2I<j}QQ>1}_a;p7M>#;asnjhq{Y6&2{)nHZo?phHj6KPpoa*2b zO8EQ~2|Ynl6ZnX$L}Pvh>k}<&Klb_AXOaoo!z1rY=^9{8{z|1z7tkLhMb+76CH>nw z-Si~8Jo!ZJU_ldqHL&!uvyClKuZ-+5A@>z3n&yhAP}Fk$Jl&o!L}oS2keG^zxD=oz z@IEmvC$MO3Hf+bYm#2*tNeMS++!@Y`{m)|D)>9K2Dw3Gb+mz<^8#=idO%d9XH=uZo z@1?n|S08H*QbXA7gF_U9<A!M$jeh&*t-6GJBR{Tm5sVAqBgL2!oheBe`E<F}gpcS$ zQGc2nE8u1R58L3qIMxpvCML6>o(TXHUpASCUj{Y%y3RmI`#PA0&E#78iRq-ZYZYuy zXu}O(6*e~;vcAQPc0-$<TmX`5xhPjiWJ~2KsK#SM`TLk{0|!bnU3N#DLOdL@l+Bk1 zF6#JQt3dANnF>b#CGq7=THo!#-nc}cJ~=cr$z~kcfx;C_aQBqKhq~q0!YKf84Pfc3 zymCNJ=%3H1qWH<+${WXIT-56=uD@a*y1K=RoIFGPxAG4SVvfv(U{Oey%taH=lofo3 zzNYNG>OaJA0xO%5`Y>A`_wmiv0?|L|a+`Axj?6*4W{&XPzk6tS1ajJwGQPdJjJP4| z&TH77ls$9ssy?R_2bpZAXdVEV>p8JCNNPG92F<kbP8=74Qd*zDZVjcD>BYSeDg|q_ zXb)M_&hdO|XjlGaLH)yQ`QoMlbjE@g9XaSd%*SO3%yg}}m5U}T0WtEmO9CdZZzO!K zy&9|Br3`C$=)yCou3pP5NW%VuH%J;}HtTOzxvR)0YNhJCSm`1dnFOE$N$i|umIAiU zlm{D^p=^3bu?uGB2aEI$(@oYWIx7>JFm)(;k+YH#_38vL+$iNGgrE=;BGZeGJVTK5 z#rdN-4aMUCZHGo_+uM}KFH}S_KE9POEv@aBsSsJfyRh0l2aKAWR}xWvcUraUjyhu3 zq6(&ar5Oo5M0<r$L@Yp<|03VC8%g>oQ8O+$|EjVGMS9W{x9NkBHhW)#P7?iP!Zi1) zrkBCoTghGpwGK(RGSwO!Q1P-ItTOdndhA8Bl%`Aw`<SDs5^HcBE0L@3&AfgacHm7@ zL4Xb7Mb|``Z*~?%S#J`@J%!hV=e7*ub(U@_eJW!uf@bZHBL~>bnoR@xN_*B1I@dVY zq)@mk`_;&Je!BL&*C6C=t_5jf?iv~H(9OpJB5c^Ngo_5K?H9xFD%KU3&`=*>I(274 zyefUyES}{bLUVcg!L*G`*D5OwJmV!{1h7>zZfpxGQ-xHS)Dtun=GvBMU_tG1@FVgi z;BNXF@wNu>yZ|n05xm^Ta5kC8vlC^P5<*6?N>Q*;jU%Uc;`r{!wxZ+Bf`$W0z~OjP zs$KfYWuoP0$0~RH)~{VMQBv3bOlB=r7+zqAGWAS}r?IF-fA)l@i#?mne$1YRT7A+_ zQ$0pnLDziLb-17KBby6npte6yc5w-y0YWCP7hQoCPr!uY@Q>sOhxEkfZK|<%9uh)w zY%MeJ;MFU{-te;*&-o;SJ(Lb7x;ynu)mx1KJtV_$0?+|>Q@;5zVnYeL#}yq6#djON zy*LKvS805Tuk|1vJkLRgO7L9>l{N`Q2_d*wPY%Ce)}mRR6GM7~+%doN+txjg4;MNf zroP`{^?)PPw=#*mqr%_`t4`;oq1MP}2%{8YEwUEAe=WdSgGOw3tjBYUsm`w-9`IZY z4}Q-I^!YUqvflA6=)U=jdtDoG{OPo=7<V_)*!46$URvHkliEs+^9}QTJ(MV_4I+h; zG%zXa!uj3FaG8t`LEofi0=csz<f^;AkK+4d-vBz7Qu-*zUgA$ib%>!0K3D`WFdV<H zEE~1`PbBW3ySts7)0?>K!-<=L*Du}LmR)7~^GVt&U%Q+Ge9GF)LP{GWcUmJosO0g< zq8~B+BkYde_iPTQG1unE3ElC+0=Jz$QlA8G0$75}66X^1d6$9w-wQ~2S`4R#Si16o zYUmhMY2un0o3k3=0~l-e@dA&n&uLkp5G;V#vP-Qt8eKRPvQvvwPS2(S6-aEBp+DXz z61<d&=0D-`eWHz*a`-d&a{hh^d4O?(dj_=R<Gy?HUhcTPuX{>%4Iaqh>mCxM<rx1P z=;Hv@1*Hiy-Id6v8N#1?F7u&z91h{-@30I^1GX$7yy52x{+z66vye-1kUKl=3%GzG zPdoj-J(X`<GQr&>UcQ-a<dLt@SwYn&jjI@mpBDYx$%~M?uYHco+Ixrv;SJbOuL?7R zd&G&W<TF^*+uyv%Bsb?d<+1$%QMorrz3l?Kf5!na*w3j<PXaTytn-K(`-_Abiyl?* zyl`Ic0|OH7^-nYwww9zcUcsIXs5bzS9lb1s(<ct!n3Juj<8}+ZEjF$FbF?mmjJodG z6~*qD1#evD?9V8|2c~2_27l9D#mP!-?MCzdTroXQ&8hXXGP{43OEtP~9o}xySNo3b z7AFQ6B|)Iy>4=bfDJVsMG==!1fq#|XvldIWga~~8#^Cv-4<;j6AN0B*3&()6$Tnwt zY7j<%w?lw1G`;F>Wvpr*OGX;Qdko>_C+<f-EI+J3-ww;qSj8Yh7=*7MI5J-9{fUCd z^Xt21D@a~&UzuiU7>M!(1Z;RdL}%3zf05Ec=2wO~iDfBkkMnB&VsupSg2IG`0djlL zukMPdm8>gfZjFg;FF?=mU$y~gzrbuTc-wBzwfkC1zNwg$bj^#(CiLdLq3rFpmn`%b zMNcllylSJgj=J1@_0F<?)D1F0lGkQEN<(8t{$~?Wh0Iv*wK_Td_ZrCh*r-;tjM`ik z1>Z)-$cC<3P|*xu`mVS#Ho$(LXjQU>f=L6rWdUvkV`}U7>TkWVxDJT@)Fs@nUAd~f z@f$`C1eX7K{dDk4WG!0lAIg2zushP<;G)EP#Ei680-Yo)(yg<6_B-J-=6U>sJbg>w zsqMwzEOA}45(ANrNYNrWuUXfGsWM_lr<=OZGDqFyY!Bxh3tiXYBPEx?7ro?fp`pEu zFPSImoM%0zyv%1Zz!o{F$C%gYi*CRsu&5xarJQq`?wfVOZ?G9+VSdjNw}vS<)k=M6 zV{(&KK}+32LRw41;`C$ON~dxb6KvH(b!^51KIW+3+12@&_NIWBiE|2+hi>_pfyYPm zCO<FJTzz~(*iP#(TpXaYTT<}pvtUwil`^CfBQSET@Mix98jyb5y&oWD#!8f7LV1wz zPuvN5Qz1S6VHtPoS8lwsp*}CQhp<|Qr+5t<!;8-{1pO|7LAZxPxZA+1hOb{^zPpeu z`aHQ2p^lK)Q*LS4R@of}OgleQ@hU^tFBYG+FK1lON<<v#M+)IspdXkCmzsw!rnaek zpIFzK9DXM&4^RkNb*IeTN6Dt|k1F<{72k&Z3aAWCx#K1@jUi69cTLEjIm^;lW%<64 ziJ0&H^$Pj<6m{tV_kFfF2F45n9$Wcty;z4cu~@9mw}p%cs;#!t{)g^{q+cBE$I1~w zZz4uO8)$AhbtZZ~@3WbMEJ>{d+R+ePV^9&fl31X?xAaBV#+T2!r7M0KiRXtk)f};Q zE{sNGk84n`Pql_^>gexDiZ|!{J*1F4%%2%h8Q3p>CdPG$BwPw)CDn;w{b!qZeoA#) zXrh^br^P`*5CCj<Or~SB5wvfx#U+(pY{*)dye5*!EL}n3FZ&|YUHpT2*-g?JoRa2L zFWmR?CA>(p;IVZr_XfmPigO|6FfDc4PQhfb89NyDv1==-<W`=yZsl`qvX?ZuJjCf- zm(vXg5wf~)IKC)$`pjfMI|EZg)7qZ?!k2rP82&6Z#{{HKwi;LFVV(Px<k{241{Cre z5)?ILehRZ(UnwR!(JB6>Ds~0IU+Kh;_MZejL^Ms@jG$hWJG1~zIf7zkQn};X^j25m zr8+Ptd6TXTW95vzLET2NL*`72HX0*VGTHTaW4$w*K{p^{(v*W?(T)EDzsmoB6jp@g z0^g?!iU_F28_>IS1a><cVL!HXgzAb^Qn}rh2xU%06Mj$t1BmX_4|JLY@^XY%N>GZ0 zr(t4M8M;t?a68F6M<13Rt+m8F*2KakA1OfM)S?aQ1&lV=>gBo8EIuG^hr&eZ{D65U z9p-6M*P1opFS=><cp5DhJ!K877Ct}Ls3_y8wgJOF_T#v)kp&2tik!YUo1j51m62=g z8@8%d;Jnb1xW7#dAn605^1rYNpcOE--_H0I<(*fvTyAo&x2|_<H?rhHLjXZ4U&aQZ zW;E-(b%dP|RqeTBcRGFiAc?K*6(OnHLE>3Qaz_qXH{E1cTupA-rp-aw7&cVG5;2=^ zcYtcK=X&?W#59zSZcpYr#^Yp1If>KdA1v3NJF+#TDb7n+IaTRXQC+ORAObe#`q%7< z1Am6;_rKn_bvm8plta=SqR!l`yI!VU<?3^k8F-J{42Kb1rvEWc#a5({sUM%0)aBuU ze=4?6H~*SemY4`WkZ6M&var#JuQDL2!3AKkX$8*TXNgRi!@j-z+7_CaXyu1N$I915 za~48Y)s`t)PIjYSvnYg*@;)L{nhc50X*9e~)z|G)$5t>t&0q<+pC&QMcPszxmd0wV zch8$I9ZR76`i?+g?2vksZ=!NbEzqtfXw7QRI#H3HRu|WMah2FD-giTZg;;=^;sdbD zElz$0W-^$9svNE?LlRma<+R~J7N)wy;>4;f!Bcoxwr#2#=9k%ngGiHZFf6X{thER& z{F^wj=7eDyx}YF0DDXo2(P2?%LF1)A#FT)uCrB#wGJ1|?<YE`eIL+`wTW&_b&XP8z z5_{W_y~FL&711pw+%H1x>ZHytxfYN^>B<M_?ymjcD&y)!ha#19v{L6E``?_>D<S`H zkyKrG!d<zC_76UKRtde7iMzwjM)_6eqcXvg+xy5FZI$IkC3l!<AtGpV?IKYJ%fxvS z=RK9;<k^`gn9fZ;)u79%-($QC9J>WMGRK<w@1C0p?UyKqIe-^q7v6NZv#v>5{)@2q zFtH$2XA0g@sFmw5?Psw{Bolqzi!jQpiL#G}>vm+EoBF_af!oSwgE4mc_?D}&%a=2U zdIWEtFl}ph5RfpA)m{9-xdJpWI7aXZ6Y|kiqYb|+?%{VNuiMrAa}Ur$;drO>^xVFX zT~n#>@s3*x0CX${--w7(I|Xeq9*K0{9;p&vC!D#hVpk$FNmS09<g1?b_$mF7$ZF~I z4!<|p^^QVwF$PkhM9D#v@Vdh4YTmv{?)TU}S|C<;+Lq7bE5nH!0zS~*G*+G7nWFcM z#~kp{?(=MEcJT2(X<zl|G9aJ$`yAIgK(<F#{Vk9L0UKf2ZeRyFtkVI9-ZdsjtDRsQ zn{E7=;InuXWxou%lt+HW`_$>2`1?$}9<$xSf}^?;YPsd~Y!EQjKPvCiGq;y87Jq0L z4Q*^lXfZY>K9n4Abo@xDM8hEP4TNH4?c^FHBV(Fz-TGUnK3P1!#PNqp4ew9<RMC&= zz_;mfeL%~yrQ@%h#I%!IW$Gk(^^Mc@YRbr9E23`6GX6}P8fEkUySN}sm1Ae`Ow%s! zOE9Qa7INewt=H2fkNQL1Pry=2RX037Nw$ILZaK`(Xhte^&G=Tqi8(0O2&jwt9hnkk z$4~;YlnV|{_nDbN0xvX)o=jI3I;}hn>jR*-9(YyF+Aw6yc+*KeX7$yh;AhI<S6>S? zCoFeY3F^4;BQ+k1h-F1%m|rZkPKWRI*0Cto@g{nRgpXrJ&h(u1yvsl8X$v^J4}%VC z9m7Jn3m$`~d3HAJ=$h2YQ%DV?RzXiZ;#;EU^{wrKLAxf6+nz~(UU=A3Co|t>vIl_t zsmLSfeX92Sr7heVws{CcOrEr1oD2Rki~LFnjHbIN&SE~jKF)r{C`orghWSMZoD{j! z>DzN7{)kbezjC82+KgBf%v@W{b=6;xQ+*LurY6qlrZZbNAGo>qPkN%Kd~NP-&T{Fp zTAASIgs{^^c{a^TboqnVwhQgRSPOs!jNc4Yz=w^Tw|#PV-~yG|{-bh=wq?1z$M*cq zI>As{8^F-f7e?j8SOC8&RO1S%iojjdsF0UGW_opg|8TkBD&v|fJR5RTT`{df2!0$u z09p@;)dn7|*Xxe5R0_{&ld#MaUVBL9+hD==r&UcMS&QLM=+ZlQG&}Qhd>r6goA0#| zbZd;>%%dY5H2{QPHW!LU0{ihv*Fo$#qS}stue3Wnm_Q|=yYH@M%x+2O^H%$&|C2j@ zs;L{;^X9?*<+UBB3V1v(LsN8yDq?-;j23v+K<Pys-SOwq`B3>JWDPU*%-J1!YpnxU zXH$hlY4dt`*H!|*0FRdM#RCw#?E9T`dta=aD=)e0NUDeUZJa~#S2Krz@deHC>7eoo zw|(+whbcUo+_@KmU;R=w>~Af+?QYSpSi*aM-@n6ci-`xJn*g28cWhIeK_-EJY+y~| zuz4Ze!}VkIav(HdFn*lp$3g3Aa2Qs1e?f?$TB4gF%5SFdYO$h*(+6;fOR}~9+HXCf z-ra_9f~P<)VB8_vbu9vQ-oe9*e>$QYTT%9vDcSv~Lk+*mc|kyXr`^br2x?+tT0${d zhbD8==fD0IY`C4I8&vmq3U^PsD`FHxZ2}I7A``BlcxkvU7D(R1IHVh0FoC^h9N_C? z{PEX3p{BnILO0V6v<Lt{&t%}Dbe|gt#iVn!J|Ko&0<R(Ep0~wAn9UWVGqA`a=pwd` zL(<X53Kd>E(Fx_u@ocx$&)Vr8fdsq4EvtDEzr}NgQ}0dftK@c*4Bf4IO=xSq+O3?@ zV+!y1QUFw1nLQw>_b!oc{|z&Gjum}3`EgN{k{43%J$g-8<PK0jQ3qyEuzHoHc$qw0 zyB4^^+aPwjGi~xL9*PDIVXHr0{1ew!VZ11OYIpKn<C1{x#!fL)Cj7@y2O3kuURQuX zfWUjD17i{Iw5F8OW_`P{S%PQq^5wSqO}cXc>Y)GHJ9pDKx|cm#!F_`iw$*RJd@*iR zGwZ`;^OxuQ?hOzZId|thJ4k({<mgf|3_QqLng8q{V@So(HHe@TUpb1R6lWtCR(jTi z5~LC7T|=KR*=t>L^VEj^GbuaQHQh~VU*bAvo15ouU6v<!d)g`+=$@Uz`nEh5k1a|f zNWuz#YUl9H>-g_jR-E<e{caz~;cPbW##2T)O|j<50Y*f8;9BTKFr%;)98maWyocuH z5h|6cQ!4s7*A+C@>93HLE;!3CLODCy^uj|0N3M?acY1VrzJFe7F}2>^uGqY{nC6@! zCc9l#E?Iss(2f>T!794sHn_gm|3!q(#kz-@vtKi@(^Pc<H$@`TsNuvJTYZMQt6MYC ztFJoj0IadjY#15c1#*T1Of65Y5smH|nm}JFbNwX;K7&u5#=C`5pZpF~raE0k@90w9 zPQM^|q+YCBd^Z|US3<SMQeFeh)&C+!1IDPVC#DJUX)YS892WEtDR87$pFx3N4bh|Z z;7zD4MSt5>LxIL<LvhN9#U7=VtLk=syMq%A=!XV&JOR8_E5}jw<?e!u=QtY6X8}3f zZ@(7!<*;7HpshbWp$AxV;EVo#IcAKE^nK`=Y5P<{VstEo&)3)3z?W|WLjkVD%fPd9 z!iR%iHm#O|n<C3M`|XLr`kq%t9eX#f<ionhBI3U>iMQ3|{Up?D@|@6FYKvCnWZEMD zwN3e(qW@nl|ATW5GBr8tw8Q5Irz*Q;$5(NmwnW1WIPc9;l6Cq?4ouWoPL-M>R1bH$ z+?4cCD&l{JGxi`<>zp=!#$QFMYQk|A0th5bJxr%`Cy7Z#_DTPjwOJ0n+`)V^Yev0; z@(iGo#>l6yhCNe<O|OH~1PCxJ0;MQV(8|wlrzK4AKO~?bNk0n_RenjTE^;xtD&AQ` z4e?EYza8le`+q-D9r#FtlVoA5hfruu8xa;PzR6O8O*RVZN8RIEHD^Ji*CpAF=Rd1Y z55>^J&SeX3h31;{z(zWizo$<}98Om7q|@p^XLmGCG{zPfxem=G0tklwUQ&DhIHi61 z?N(>iT)Isw``z?6`lh5hJz`uB&ijX_X_3?$JH{{R?ac&FeaC+^g(5#%*iKDhtT2x< z7pa5l6#b-*m!S1OcS@Z01ZH`k&OE6mz90Yzn2{y|p|>l0INW$!h@nCO<^3*Drlnp7 zT^8`FmIEElr71-DWdQ0u3Ip_56Ib)Gx5lO54CVCSn9XL~at}nCW8KhOOT>9js{WO` zdYur&{YHaK!aF3w(!og5?)i|7`48v(EU)*|&0b|ZX<mYGa>2h}o*m~aargwbg+t&p zSowxwE<aC&&Z;f?qj{0x>icN}6K#kPURm$1gL%#;h;Qr)-T+t_w}D(&8wO9z-u_l5 zeD<u)(Bv-$i^PDS4s&2SE_JEE?oUmjnrBD?06i3s9sfOBf-Q26?Y!OrAN1D1`WjS8 z(E8nla)CXE`};IQ=^FH$I>?XWUuwDTO?5!fql{F(YU^!HKdQ^pHPhxse$ez%;ig`o z=E3?;@Ay4ZJs_(ly>=SOwQx!V1%J>lY8+Wc;9A8t7HeViSA#1#QcZU_*&dw{ewfd2 zp^m$Fl$a-~{|1nz1;^e+n*6STj{y7ZU+Ks2F=aj}u)mep(R0N!ReH4L<#H+Vwmede zQRt<$JawzWD|Ziy(1(3|8cnhhfwtA{i}NQXzXb!1IqnEbyQ8qK4m@B+yCo?916TX* zay;FY?F+TqOI8+uGDE;hi0fiqMp_b?pu|}j<&~EVC*CT-ACyu1^M*m?8&XxJV1TC@ z_6f6)oSmOkN28bTer8P_#!&+NPa%0!dBnzD@r@imHwqtO*aCGc^ac$^bFE(Uc}%JT zM;qa|F5u;V1gW1XFzyj#N;az~B>L+=Z>RDTtzg=py7)snm2b+{zt?A~Rm+mwy$J!^ zjW&CBnuPs-ym0rAldBvchr3g%P)ICrJpRRWwRsc0nhT<lg6If(L4eNg7TJkt5;@a< zM&_QpgY>8LY+*xco)b=oh#zDKNU9Qsd7aZGVBc*Ny@PiJgDw(}63-A(9h|&FYv>%T zxo|k<ne4sn?7&jD6?pA`OtqwSVnN^ms=#Bc0>XwEp*nFC#=Dk4#dMl(8lphg`~e>U z>a3kOvq~@`Pk$o{+C`oJs18xC6JR9<lLv0ifyL1zPZji1ksd~&OoOGG-&=r%Gw*0O zlALaz`Y8BbVMBZ0kc}E#0tx2&-EZ;bPocN=UHkI59U=W;31D!tU|4d7!vPe4g<lgk zGedUh!SDkCTL^vqJ%SFH-_s~ojs{B;!kNiG_$#zS>kD)QK==SM&_?+8m~j?Qoa1Lg z`H1NJa-cEt5~o>~E?ighq4#2f5jXvT;v)X#?{F(w74x(}-AI(sa4gp5*9MupK$=iF zl1>aNYzP?`T&I8h3cpB#JB8Z-7(m>++AK{t^>aC<GOwU_dLbU;A82>s+IQaqD55+I z;jD#qG;p_8dRG=w0y5Fgw$dqydL7>q@JLQRsLE6itB80-Iaof+Z_8BDhF6&_a=dAC zEx4#uR>zcrmo2HTOzF)1!4X|Je@StuGs2F4$;ds{K>iR$FZ4*dZ`%hZK@Fnq5rbI> ze{Bi4!+eAE1%DUpY%I>42a6<s2_8*I(iCudhsIV4KqSxhLIxjX@ovMgrtKyV1{@%V zC{9Afp`{A)>4_nG^$nmbF}s2wD$dM&PuROUUPyU`+H7VbQ=#72vSb9V*41Apptevc zSI_z~?`Zv_n#-CQX(a;W9z*S7I#p@ML{;_Tja$M<V)%5RuDMVt-kynj3>7i_JcMS! zxYNoHm!ninFOt3*f|*kPXJO^`6R=OrA{i5HeJZoO94VXbS|+4=O8Dn44n@^gE5H9( z+j;>k2B}-rf;cfWKf<b6vOh$Ofq?|Z2R8_%6I%H6Z3;vLMN<l}zAo5FGKi9xgZswe zoFzQOXuw!XZ+0c;oz$-^kC>Rx44h_S2O_s+lz5}f$}Drdm92#UjCMvXDlrD8roypx zTp<<=h33`#A{3B;D?zxSEV$ps_nh^R4g?21K&y|q>GQRDKQJMa;1V};9=AjBCll=C z$E^j^A&p3j8XX51O|dc+BP*zI`d3kOI^C)IX^K^ob`mH)Jdz5WRQoOsJhRquyTm9r zOtvuEs&zD{=AxS&1xr6S7pM@)LhJwe_s?+ubLO7`m{nFDsQW$BcKNe0Y%mNgzM1m# zZe{Z`Cl_T(rm6gs-de)|$L;=+!10+N$+6j@#74v1v*rT;!YXEYaUP5pnHKBiHvb!z zzNHN#QHNu0bo(z;d~mPiF*}*6Vuuyx&kBQHoy}2u)H+7t?BSFugE@2#4al`afFdK2 z#u&i<=wAOJ7%{as$?}UhH7ZQ){y7T2)(KwgzmtH*IqoDN7>&tAtBhMWgoSZASGCwb zAYqe;25?a%4TLH@z@x1loc_@f_UVZDB45(UhV;`b(z746?(i>!RXyxT6q{;3b@6o| zM;<JG3pHuvY=7QCE_OwgS$-9c&l=yYm~x$%2HtN$wGEKCA0!cqp!?oVM3;p=^kdNe zHi!pmvSKPa!FJ<frvqMZjx|jxScH(r;hS{kqyX%KqFKqZ)kdv6=G;IPwwv+ls4XUu zuxjr^Rb{L!2g91~bJes0@SKh10&o_ZRCEGOMk{-c8wKj6f~aD6=zgq|j2Bk73is8L zX&r5|nJ!qgc(6S4d(UH?Gl!{{0vOVpf3jy&HfDs}KM#dpU*TFf8M);W|HjNBb+}a_ z5dvBi5>^D+b!R55HCzd~J@4bI4T1+q^GFe=#(rt)gdxGF_=e;=MVig-yHu(#Rsho$ z4jzi#s!;$%%OzCnTu!F?0lp!5r*t;7G3%-3hB}qWRrTJyRv(X7<-{EU>=Yj81qyn0 zP)fA&gGIAE`%HL^GT~{Y*EMqRF80>Y<bVP*1P;ne!}_o}E=Xl)jOE+Kw7Ky5p=eV4 zM7k{~#FsV%UD0T29g6|=di)qU7-7uG=Vf{ryqMS&oAKFUF*ElX1j6l$<xrh;*VH%( z!v}$;v-)U}1Px%uN0Kg_+mF?f@C1zMPd|qCo$(etcO$fHZOb{FpRsa1QFl}9_<#XM z$Yj$Iq6}at9hkJ?q9!9_i08e<g3$L9sUz7IDNI^Hlnhipn4^xYnIQ|VXEZ@7!(^Wj zBZ_HGczpDX;0wYbbxuGqXo@Q?_bEuW4SGCbt2MSPFtlW7$lIC<A||x1S~h(A=dkJN zfIt_G2=-UN#O&*mSPgFakK{O;E`X<=;a1UquZs?eB4>IL>{<d%m#_kuP%@lI1DgFq zV0;~mz5eQ>$j7Lyz}BkDSMaaOMI)<2G+>yqI7E!un<&9=vW-Z@{kLiE$;u5M6EM|+ zq`(Qq5G4H(P5jP`W>k6jM!9}Qmi0Vgs#4QmB;uqvAuka$qCaGYGp?>y0nbSjI#LG- z6(jRc`~3JMj_jkIn&Y>a1x*@o>d=~?nqhI$EOVRWE-|>W1zl#nP3d!NO0~{}<HYg> ziTl6~_;T!PvGPf;SKutQ=Q&jC)I{PyOrI=sJp%%9HPsaH4^PIOE!|n7l1*|kHebKq zqSy~0vIV%5Q_Z@Cex^$XbQ4Ta;S4`hviRQT&+om+i(0)B_EzWU9q$Lt=0)s-k4yB| zB6fta-+Q>1rGk>$@zJEsC?TkRxS~rf^ED$(BG0-6^+3ta<pZ+^Gnb|#^r2KxQj(`@ zSuuV`GVR|QJ`X@wZy`vePbYX{?otME=pqqudAvyV4??9XHB{jO7Jl-$W!?$OASP4? z)qUOkZokdLX6P-E%JcepWY{lwn$PZs<{q>+ne~@rM@Kwjf@aJmn@rRtct_#taU2MJ zBTo}4VFL+Ki`YSr@gn&@^lrV;zil?j6*5^@H3t4dHa``s>xo&~6I+?%nx~XHJrIKB zah;G!7uZ})KhCKF@5D_lXU;G4gsrnGweGol+v}^@kSAPD^T%oPblMO=SHiTjOrdbl z``jpJ<6Y7lg|yGYGxIeJxa}A@X;&tkbnLR=V)TRo_CVt!iCbGBT7!JTpdv{Nh3_A+ z{PgC*`h75IdG1fVtq_+A@Td!F(gdy9?^)GLs`{*Y;(92+Ai1}d-0y^R-QAJliFOZ9 zz0V8Eho*`{fzHbSHdUv1{r*b<@^@t1mS#_!;NzwRTa)jY)j+qyNGSAY`B1X?S1j0) zq<FTq_S>J2Z0IkvGCvEY5_nVQVuz<gHt?gb1+YX@Si;w$ChuXSfZf!!nc!!>Gup9R z@9DUz0ZJA??XYjYg1J^v&d(yGkeshJivqc1R;`uaexSU;CmZ&lrg_a@C+<aqJclVk zgB?~XsT=l*>Vq}f;x~?Y*)dL0cjSL3<{ffYvlP2`n(8U1<JpN_lB}oOgxTK%)M&iX zfq;aADAl*Zo#&idEBtn_=e0$n(EGg(^VvhwE?_3OeC)F1J^h%AWdyg)01aFAK1#;| z9?`Z_2XM!}sE=S1;o4nnw02z`Yr!MfhVHf-{JOo^g)}gSeUunDqpu<Z$A4lZg&Reg z(VPU2WZ2VO>T&q%P=g-U(;!-q8LS^hRPw{1Y>%A_F-ta;St99`1a&gS15*d~A4cIO z9AM)B@ysf!F}7UI3gGAQy#^|I^0P8`k-x6F!znt%7*%(WU~=ogfb3}fgb%yTmokY0 z!=Y|rm%MWDn83Q6epCSen>80v@o8hN^%4RUlI?m@fh0eCFlbQwAEZVh3@16$ECNi& z?r}eUgnd%~B)YHrapblKn=^D##kh+aGhi6|MncgDYCIjIXAr&8eo6ncDwpaYV<ig| zQ;j$FJr_qdgwx4BOz%6V93d>8&=+}aZrg<RZF|cAA{htd=V1%kW&}3xuQuq9D^E6j zguUMTvD+$d<Qp*LtmwQO;zg0AP#52dOAQZe4;0=JRaZ5$|H+4gc|MN6;(KQRxzx8g zUn^PBJBFTSwY_LpQG2*B?JI_2q`ZCH;1pY%LJEC53)#CtP-R)1r(h-h`0Vy1OVrt* zC;1#7IwDLx&y~2uFN9OSb`C7PR<ESG-Jy&6tLe;*SI)4uvrzyVy`7}!!;84-k7E)* zKnQr4iW%anS24D=+8D8P5d)lzDp!JMoCc;+N8uMAR*qfqposS9G)H=*d$<$td_-dX zI%F)|$saX_WMft*2wKkrcM~&gjv(PwH{03`iR0KDaM#?SmFx6!N$_met+M@3Gb|8A zgaX^6$0M?&4CwDQL+e(ixZiJzz|T9>R_n3c+GQeUisBVRW<{~m2K+8{WF^{{V5GZI zh=~$z#l*S~Y_A2x%N>{0q`j8)cYE`sNBqxgZeZR~z9=fx^d(RGkiv2EdNipXn5QoK z;?2Q#nIYnNbxZ1%a6Se#?JJGEy>*V^_%-9Eyb=6>%vxzw!WX>!2Qeq;;!=J{AaCo7 zn1p2R{<<rI^V}0qwIJGwL@l?67Vn1hohyg@uH8#fjIvDnaUoq56jJw@tMqb=KEbda z_rH^7HS<FQD}FOnA3-&o<G*1F!{r=$dS)&EDVO+{{CC-xVcNZWl0W=!oF93;y>e^p z8q?w&k4uPwOK%dLq`u}y^J!{N`KK;CH5X7CS|0#wj<J;%zd8XL?1|X)HsCy)yA^Gg zwj_3(f6=|PQ&4u;_fb#Y9Ant6+e_6tDs+Wsz_2hB-}b?!t6y-dcMABv@e*IzQs)$J znaI<(|44E%{Au}nDf54iD7IpXgrIwcd^Y3fOs^f4ak!e@<b|*1uD`3-5KEq51UhG^ z25A8#PvDEymx|9q&ui@POs1QY+T4EYH79*YZEtlozJKW!#Q81_9l+iP%9=la;dZ3+ zbk_mtUD&ec&;G^h7rj^{q-$*A4f~;jZUOy$V{fpuehJCbRqw&rs|7h+pfDFY;!U&L z`L{A&wXUw4mih^QMA6cV%knjw7S#*{F)=`^jp~K<NzN@!x%^^f`<Lcj0V@ynW%l7w zHb}gBg==*e$o0T#pu_MRNv{{03m657xy{U6L8me;Iub|-fe<`fBYy-u%mDQr|KipU zY(=_8APo=3uMofui)ej#)AttwEhIfQkJ>@)5?ymw;dvXTo{z+LTtL3-+mlFkHxqEX z;KzI`{{@MZ0iYMk6dgcfGfC6$%r%P%Gs{8K;%+i`sF6`ByaElu#yFMtW~AB414sXO zyp)vr>p2w4<B~6B94!`hZFnUpav}EuFq+<F4<icft@(8+93+&n_}Q!)#t14z;W*&3 zf?KkBiogWls?i%yc(COheZrpI&koRIc#hLGc8ghKSNj2C7F3LjaQOOh=(cvHR<p&W z&=PqX^E~c`09H7}DaJ_dV{yrrpT-hb8oc!s8XtdX&J5{ie~KE0RO{rO^78|!jU5o) zazivhw7wTV3mxi0wwh+R7((&&dA0HRe*mRGTEBO~NqN<&b{SSdW;aYX6OkiEZT(oL zf5?ZicElX>l-D$j?vKA}q7P=iwWeTnYl@bPxoJkC{$i&rrn*M?J->YjQX_ta+_rAF zJ012WCqn*L$V`;ql`6vP*d^Ohmn>Fr29IpDSpe*ju}8)p*{kr#4lF$~OX{=P$0AcJ zjpki5*vNmg^vIm=-xIy7C^f7gl#3NQe{+T8OZ}QD;P^Sou3x2fAuGPh!O0$9z21_8 zv1sg^JS4IJE5-LRdr|0`)q~jtR*qdv^gc%fR8CEkwPB>EgUNK3A4H12@&-fPW*?hc z;Lu6dHc5GH>RTbitvU2jXhr#Oc;2J<#5%N6822Hepgb^c(B=_=p=*l~eF-Yae@f2% zB%>@nlhXqF)-U8ZYxm-;c@1X?v{ssU!GRVBS{!J-ia=}MGSDg!p%XUT$VDVZV_zb1 zv}Ux?Xv;v$B3QDCmC}bwx$;UlRtk?fHiGzrd`aE9$8I%YvGGzscgf&ZmsaRg+d9;l zD|nFpv{sPA!kyNxV{dx*yy-awe`j!}S6irsooRNa^L3^zohr+^ttqSsa>9tw*s3Lt zCLKIkey9+n<hxLI^{eMI7<`5akQL(eEeTjhABQzrv6GY;oc3w$mfy2Xj3?Y=yAD5= z`o~g;VD*JEcYe0aGjMn~kU=D#@YII`87jv>WAdOKimKsBY-q(zJ@&zif8K8ELqUk< zT1Alz<t||np_^ohWJ>t;qdiO08G3?wF!4H|G4tN6?TF~^QV8kmu#xeLR@2TRe$lSu z_+|IvmpKJziC^s4tCqD_Iey{zWq0G3Rr=DZf@c9T4#VoTink*;k~s~V*qJRcMbj`x zNt)e8o}7|C6xG`l>2pjIe`M;0Ne5b9LV6|}$27JvjhpNsqjlqMD3J|+iOdm==4{0g z4dFl$A;gA7G;Sfq#)twMwK1kHsjde!&Pk_LsWpTuj$^3LrxlO3h;p>+ILg_*C}&Q= z8KRuk78U0xhohW)qa19nVh9VH6l8RFqY#ctFO5!N!owMrJ{o-*f1J%=>DXCwBsF%a zH`%4mMa7$$8nTbSTjJv0-(`<lGwhK^O&M#v{UMh$i$_gh2l#{)Jt0|PXz;$}5rLFJ za<>rOlSWl?^xhv9UbB87yUn}jHqUD~gWqhK8p)>vvLatye3OkxKWb2<>;zgXC|daG zz#I0(rTdto^(eZmFgln`%{!64ZAH(yaHm?2+4#kX3+T3{)K}S@zSQi_ZL&L;D}CMW z*k$#(zPsVjiI?{(1T}vaI_+`GI7_EJzL1^v-E`WqhBG+rt8FI8U^j!^d4b*Rw6oJL zs-J3CewVLm%<WluwfWuPHM~xz-CB3Au|SXXRAWaXa@r~9fN+sBfa@!E+JRz}ie)tK zw5RP&OgindxMkdWICbSyd>K3JyXUa$`pw|3ueJj<yX)+(=jDH{XP@d@n?~Fisiuvx z`H$ac9m-onBC#W61@wb*N%mfsdByVAJ@`fbiZLiVdJ3{xSk{s8rnZW_jQ0wU#m%?Y z`1k1BTlBOi?X}jT-U4IOu49Y6dlq|6!5K_;k*LRm&sEW}0UwL=vH1H=9SdP@<BQJh z^KNfmzo>L;&Fg<|@oz9FucyNzd~Gnwm5XZSXIG=RZdVIR15OqVfJ1@32{VHO?KDI# z3b8MlZ8CNEX}-5Mk<pvL_ud>001g6cAd?14<rMV<(Dx<^f9IkB^Su{oz}bj3;Ma=I zs)2*@f!!J)_#wHdfGBWH>42yWy$Kr=C}oLdaablAff9dGreH^6IH5uu=b$xb9&M$Q z%~&W<E@TN~;S|c2&BgWza=BJ41qs^HU=Vkw6eEkJY=+T>H(6qBI8HfD3os;Cst^#R z2lIrd47YjoA}s*Eu?bsLySdbI*eg3`pM?@DEC;i!s0)bExolJL<u}BEf;U-aHSCui zf+0z4{;+=<2*DB;5TkQb!)C08TIF&X<8V-R?EEOz*u={7TBRP~+i%EnfHzrYIUJT9 zJJ(5(PB%&qo3S3winV3d!%^8W$5Yg1FRcSH!-;*#+Fc^<Pnl|vz=o^|c#~z;#Btd< zwwmG6M66O5^!*p<Vl&o-EYY#V!YCHXMN832W!QgRLX6JEVyhA*n-NFIS6O0R6rFOB z*h7dNXF-AjwXG2kAXKs70o2M+`q+%6@u_)sl^qH!0vc-x$J(z9J9Q|VWL`{^I;0FX zBDxEDU1Ws?i*WXC3C*rr8_H_fX+sHNBh=Bps4cNHfcsz<Yx`{z&BDrOt6KB~YH@%N zex83a5dT3tqK@bv)Uh+(tI<uYxtq@2U9Z>cCwpS(A?Crv8#!LDu(xM^fTj}k00l%f zy~*I|!JD|l;5@H39?ep8u7{FipU>K&{rT$pye_H@Q9Z9*{u$ODb572$YJZBej;K~@ z(Wj`mLi@bd>dG+|^pWTqrjG0$bp$Ebo;H6{DA;Y0OdG+B2@TywWf8cV!iVZf-n0?9 z=XL*V{405PN2eIhz9r4`4*oGefe3F74-Rk57hr>fUWvRwvo`30n+I<szD))*PxRfH zD|%pO+`(w7>dg+vy)WX6_d|SjhtHlE--*I^ctZ89`m2A{(_}pJpxw-PBqUW-K%;+| zu%nEq42Mus_<k^*c@yYA<J}ELUSAAGLT)R<op`~MgL}Z=o=3C65dJHl48OYf@GkJM z$@uyHK|NG$NY|Ijj)k{=Co1q5w=Z9C_5d#s{z16IZ|)EI`|NoF-|2t7$v7XjYP2tg zz6!b5VMQS;Z|$q3n>*+U$@2ycPB(vV&;?3^H)`HMckgfpoP*l%bA2|j##q&U`HXyz zr|Dt0-=A~`qrt2z=VI6C7T%l~KVHA9Ava)E%qGf%NmtKC65WC;EAZ{SX^N6I=$ac@ zSO-g#U_`}F>HK&~QDz4{qUBA!nV?JI=sQRJpnSLBig<wH;~(Gr3PS32d$WJZ@Xg6D z(a*npcwYhO&v6Ph3yJo$!r!M5nI70;be8T%>&C1Xyx{RmeOJ-AIRMmU9H)iW>#dpy zTz_b*;_syRv37L(=yf7#cfO6g6L<8b+q=65f#Y8@%6z3R-#TOtG7wTE(iGke?w=>F zGH#(w$y55Do2@R~7X%;tlu>`?cIP@9IM3nhi+?_Q;A2St1LEAsgy$*l^GMvmPZ`C% zQZoEHrZ{~4HHiBroZS8o*@SIL@Y=w+eX|Z(*ISi~?vp!lALWJuZqqMwpJbH&*6-$0 z45BFo<EbDd+{T4wh?g~^y0D!W;&(ENf9p4PQvBMnPrl+Wz7T&gqxgTfexoPFuN~{< zEB?|8@t3lR-||#`1Gj!s{MtEQzT)3|A^yFL;@{o9U;Adch+lyg%KnDpk3Y#M{@vL7 zr5Fb8aPYr=6s1I@TjD%Dd}W6l7op>cf6b_c-7o<llHywd|1Cj7y&iy2D~Ycnalcf6 z|4B9-q^XD*Asf|`O|^dmApN)E0$}eIE8zBdGMycDC;e}ea4$k1WmJK-V-gr-3|nog z3X~kg5lnu!|K2~o2;+g=ccGx6OSk^dPz&)V8I`ab7NI_vsuCmhf39v5a^*q7qx;mo z1t2e!zxH896>PmZXnR9At@Drfq(G!CxP?Od;#pQ^bf-f3tWtk$0{=Pp<q;{PH<n1h zG9CD{Z2BRxRVjYe2JTbo^aDbBejKeyLzD5h7aD@kGHPh+ElD~Jfq+)4o~wE%I6F{l zC-^m^Qg*|#fDBe*Ql1`ubbF8e?riYrjh|=WTB+md-qQ@$1^vfty4cr%$!&DCnxFp# z$2gCV?x-)M$OM1LOvqmpN5f~CxKF^y@Wkoa>D5Y9RzE-Q_8{!Ml{;7Xb4G=1|Kd15 z7fKi_Jj#^&Pv0B5Ke`X&VIQQfe~?l1TkmekElG(*EdcqO6wgYgyyQ$siy%)QqMx(L z{b1|&pnx+m^d^z4MXpB<p@ijOL-cb-X>YwtWRiBSCk%g~gyq>n^m9gOZ~b;|l6I~K z3ZaDMQ9|@{Mrm*T4sMdRwqTb%Kd60fbX$$nR-^s7+c~>vv<h+uH+-5+1C{OH%U=p8 zPdGwKy3_rC5~d4(%qVGXT5O}|{{Q|dR(wDcO1Rs87*A&1vk{OofLz{fDHhy<zh+d) z)^GL~gK2+n)k^7m-c$GK8=M%70!U%*lZ?XO`n|snf)P*SZ{DOo{x*s{$vk%-aM-)W z9_&5-57{JL-TFPgGl4{p!RUUa{7)rf8Jqe)WR&&R@A7I(se8B2_bZvv{kwrg%0QVQ z>G+q7(%$+FyncEnQ7}2TVcMxws66)%X)+r%$Yg)Ny6m*B&cT!X)V(@AZ8tiBKV$x5 zHf>Pl_=)Vb4Ln`}X$WsJf#wChq36cn0IS5<mTVnl^mVDEcGYUBrE-4t>E$vZ{+e-} zZ2hi<lro-7g8a@^?O)fIg!F?gjQo2x?bNs4FO}MP9)ViMU!g?A?a&MMwCT*9J+Fq_ z721E9cBj&5zg#}>M&0>8Wz){-*88$}?La>BO3c2L_p~>8mgig1&lv^2^$x8RxXe9& zuOzY(dCODYN$=~V>*uzE)aO3QBzwoQUhf7vRLzGUAn=|yfSo8v{9lOz;83{>P%I>5 zg6!j8GKzic?X!QzZpu$pow?K@`*b+yd7^)?M8SiIRU73C$CA%O4O?%BcR~%@pJ%fh zYS?-^{Ik>`O|eS1QT&#`Hpd@ivk_G7x$VI^{(tt~b-QgONf-UUp8~6T&9Xdg$pj@^ zwyIU@=vvku*>cgUcF*+b!v&F`gfU650MfGh>CYFDxCE#SiAj;V6}<OU+fpKt$cTT8 zjQHY4<e*(ZH3L*IC~oEDUJy&6#naCKc}BE&7Gmm|oQr3n$36%xl5V^EN1BzrVOy^F z!F>0{(@#Ah8O0&G`@elrir*~%?pQoso`t-6hIDxrvg;Yr<yjcz4^EfMWa@q?e=y(u z^5$8{F_U!rkQYozhs;nce=sLuo`qf@dya&87Lx2466WcrmyoLb2nZ7j`{k1VF`o!~ z`z%CQFY3h^Rg9PJ!HgI~i~N4M3t^sx2z!Qv*?jU*3M9gwBVnF}n*2BjLz*$wVNd(t zA<M+S=DTG!pM@|p*OzWK1SNlbex8LE{fOv8X*zEy3EBK*zFX)0lh@SL+$e9Q`Erqo z3%t#5^8J1@=YBtVDRsksuP=1JN5OfBDs?GUs4M<H*RD60+iC4S(m+0AGd$XiB2Z<K ztR4J_+M^_j(Xi;M4ZUfE>|^Y@?Z<Nx;K>XBoqrs8pR+A0(lC3-lrn!e0`1s>gGp_> zzgVI?d5hy266NW4^mvX$dGfdR0}};tE4Di&`|*5tivN7?6!y<A`$|~~O`h+S@?>f9 z^b;6V;q`QB@_a9pWznQRqN?RoaE<zRiGR&^d+a><+vE5%`d>DS{+ErVbige4naZw- zf6ck;PyT+G+I4@)yH0-vG|OF|uTxj^=@&qn+V$p=cby7pmb*S*gRbW8lb7*RyS~1Z zT`#&AW6z7f&m~>{JRObeF5$0tEe)?L$%kbt?x)%%{Dc1sZg}tL)6wbC&hgRz?3^B* zeEQpK{P_R;4gWbl+50aK1M;7UX7R;2`stTeR#nSe`CFEz{uh4~gJ`!Aw$Mp_@#w!E zwGV$;Jahlu;|~uTjrqFA7f<eB`7?HpFP@p1FN!B-?H*q|;MhIBcy6)X<9B}%#I+q9 ztttDxbc#<dr7NQ>467|F>lELxdoHzJ@vE!yEv71QMU0;A>>eL@XK~UElWpJYg#CUx z3OcAvx83k|Pg;Nb2d%x6<CE6*SwHA}^S&PKpMKc(8;$?Kz4f=#mWMj6(fM%ur*S;u zpX_^=QFnZ??aS}jYaBu@F|Qv+AxhnULg!t%F#G3=$9B<;$o_zoe&T_Ta2h#1@b{oU zaa+@ui>Nn7e<c0M3RT477R~46qh(%C;~qMZq~kODr%QjD6lFYie`##lnM&)BRH>O? zl1@@W&hEAr>*&fxin(_>W7L@O`sk}epAIi!8jjbzhPMr+4SzK)tq_Jq?c=HLzmMOX z2H(PKzo2#`anDTPeTv87R&HX_V}nUL_Rd0Yj7Nvux}+Ez5BpbM07gi>px^i6@df?{ zf^bpC)VqJ6Xt1*oPmPlJ937_zVRsS5S9X6Xh+fp9MI9pR?p4mB*gb#Cjgpn>{SQia zQGcDk-s4id8J!LNp(5;wGQC-!y)JVAXp}oMiMr=8_#qmOV>8}NmXWj|8&^~tP6lUq z0L1THp;lz-z4!=!<zO=KqAm)qb^3AV8(OD@@Q{CoVzL+BiiKL^xcy_j`Eo5|x6_NL ztkOp9*WmcRBBo2il6Ac9B%!LI<_pl&5sh?u-Y`xE0eEkO!f&YRFkCQ$alGf*ByF>3 zcVe;iEjOm=*k^wL(Ly>XzS&%7Ik$KX5-saZCQm-1K|;lUTlty)13x2alzb1n@|)&t z3?P4wd)~J*>|y>3Id^#^6+!=Y%gs1<3}{zw5oIy<(VC=hna}0kQ6#H#3A={ygj}wY zGBUxr>w|3ZFa+r!kV$IexR)n4sm??<K`<`HLWZNXH+odX)RT2GnDm1&32;8q<0R<y zqK=1ydPYZ`nj=y_E6ktG3Xu@t=wo!pOcH<o2s@K;xaRHk<CIP=#q{8vkTqd~VFNG7 zpQUL7TKFnA$QmJEr9Zwh%gZmIQ4n=GemD#|7v6aiPe$h2VrB@_pJ_2z3*^tM{0*kc za5eyP4lgl1Pm{1aLEm3qKUTAv?@az?NEXZn5kqSx;YJ^ju6ZA#bGqcrmZrQMp0Ix% z_u8LOES_Hl8w<n6xE!Nl2k3Z;7fr@d-t_rry0pb4-7p_SyLlNyiCOL7A&>Td*ufQ> zrg102Kf0jR_#)r55bL2cNlSwS&R<SPlGn5v_g0(Unzt!`K+RsSou0d&OzN)Nri-9` z9*mq0roX<mX@5YGeCs{Oz-;BFSI&Q?GP!m*zg|GUA-avQuc)L<te*tKG=O>*5506u z*CDt&9E`xwU``CiKhX^C%G{aRb^6LnL0d33jt5N#{tuHO9YD);Jr7qTW*NrARi1dw zJLQ8I*xtT!2F=X7088?bC5<r;{d!5W(CfNkFPQYlH-1Ha-c9_IWm#sba^-*g@oOG$ z(TmT#KUwe;)oBGI2Hh950BEabSMc{F#IMkLb<y{Dl%h>x`|N6j!(?YSg&+KlEyt>q z8?LaV{W{Q8SNmv<KTk+U2XCe!Dz8EScEc1#EB1UcqHqHvAi*|fXV$z!aHy$;PuhK^ zPsZT1v6tzQ*-%JEa1}8$b5?)OQA|}MH7{VeuCdZN*OQV;cNb7tLK|mtE)ICJ<-d`u zDU}UHM7?`r96-YAJ74yEJ{H9V`Lym_P(CU5eL-9>f%Rdz1WT%FlHl!@|5mQ2d_v&^ zfJF*urQr+q!@U?$u%9&=53m8b!eb72w|c<k0Pj2;l4*r|?Ti#MX+3|^tpkxA4M)&Q z@t4j;Fgy?0TEx{yV|ye*NFm83z=EeXXhn7hV+DLEMRfB*H%sCH^dsD-{wrL3kb>c` zTU7{iEjc1+f)p&s%CvI<-LP-{UP*j6X54Gg|6>@iKxY4+#w}>8<U=vRx<3nOB_8m5 z_#@YDXI$}weXz2gSu~dcJ_HjD9AETfH@W_;S-l<dv6mq}1VIIdhzDl6muEf%NPm@C z!3Io&K0%f#nK-z!))#D%vWgq>P3CBju5bAYu`4ot_wdcZA!{7#u3D&_7@8JJTCSD( zH0o7sQrcdo&MIB%)e<$J-~oYs<PjiO09&yJ;H1G&Ew@MUh;hp9&t7ADGA#b-F*JPw zD6~b>`t1F>jQL-wcLL=!NuigvmVbg%2hi~b!KmFy+gQ4NbJX2@=ZZIMb~FN1FZq%o z+*V{Cy$Ird3HxZJl)*;WGsJT+ttSA0fO?{o1V~4lng61(MlNUIz#!^5{FJQTH{Xzc z-}TmgkNc+rJN9;gpKf{Y4K9}QYiLR_6;5|I1jdF=bVk?5FUdL}v!yakj(^A*p}@c} zp6!t{f6Y7U8T2Z~+h1AMTfkfKVsZtAGX#KZ+y$UPXuS3KX&lyUZ29l)PbdP!CqTo_ z1{#aGi4c8$jm_8_#ox||(`y4tX2u)Qk>rZM%DzhPl0e$8$aN)@{EtZlelo@?4LsRD zB^nYGmKv#X+qAeoj0<%3d4GKZ>TjEOeU5oat}RE1b)#O-7+L^MoBYMj;C|EJYAT$d zuv(Ki&5hNR(NeV(iiv%+#%3;8)hQ&$7*~wpIru^7@MrvHJXZtqn-Z@23eIc{Hc)>* zNT9-e50WStqCpL`l`#r}BGixc7Ce!8c`{rAF07ob`4xb4QIiPoNq<K`J;2z^l$nQ( z_#2@CDLLXOT7)2Xh}#%=Ob^})!h}i2ri{_#$cLBlw@35SF(Q$ePK7PG!5?D(3+1(X zNh(~T5V84otLdf#@g@008O!;a^xdvW2q)s*hr1^IC0>&`n;}AE*ggZZ+iyON(Kff5 zZth9G${s7DMLqB1!GA}zCOrE1`S_r{1L@NKxbvB|A09x`gx0(-a9W4zFm?o)p|6UI zhsDPi_Ts^FnJHONfJxGvVBevRL6M`DbaL|-Cqg!euDGiPa{3bF)M)!|tLc^##(4gt zy`JuyxO6pa7}COut{Aayq!r+>MDa>|TtVg}UBw*p;o~y_9Dm|1x3iiU{LXJEfJjC0 z5Kmwuj5&ep8%_QuCb|t5xYjaT#mhf@Zo%D!Hi4~Kq4MmB8YO>zD0xBJc>r=ZVW}aK zisD}b<TXGJzpWIjZnucd#<&<IZ2&xg$cOC??Ek0}^xd&?*Cc_>S-h=cxr%RAUT?RK zuG}MH^rtdx+kZr8bI2!IbPxsJACnMvD73%xNdQA|90Cf1&W6BI3N6cbEXUCc+<XZ{ z3VyWFts5c3&g22c;49XnB5Kxq2qCoN02-X-Mxsq^`nyo66AXzkW|t6+Vd8RujB^bC zJ<{q2To>(RGHmz5;rZAt47>Tp)o@=YbbG1Py6FwK6Msy^K@yNH;;AVD9vz3fKzv|O zRgYYSW^@1<V?ibZC1vCoa~8Or$6c0j+?96*--D=6K{t1BPzl8|(-2DKTe<b6bP|s{ zE8jSb^hb)6(sGjrSiHnOSX)u2f%9m8L4~~H1tc4PW7(J`*36@LA3H1F*%P(m{rnW~ zg0%A}-hWH2p;9Z}wc@>S#k>C`6mLasloyFmrR}3DSBMy`E8Y+Vk3;chB0X}&Tk-N} zB+@j+yHM#-DBeV?$FF$Lpeu?aVtl=JL+o~fRg~ac6z}rLEkp5^>ZluLAym#xK`8ZZ z<<gg&FCKq<dN-&Gf5{9&Q+x%{>CSrhS695-JbzGY-Oo?!&M7;G(!JcW+_lnOE8PoM zx-Xvq)@{EJv`|I+=-YJz4)4yR=?cXA>&$xh{5QH#Z;D8#%R6aqlz?pC2Mw%N;b-ju zH?>X8Z@!%_E;?I5t;eOZ*$F(jgBj(VUTpjqcD-36vAZ2*pZ|6mo74&eg%hf6;%<$n zX@5>r3JJ+4E${O|3;*+R=hNPSck=1@zZZjd8x^5YyR~co$*A2vj;CE*R7fY3ZXC2b z>)ez_(;mTxIG|V))a#x(*ktO-S_^-ETKF{*&!dhjF<{a|Bt&x^d_pxU>xz_O6Q;yp zk!!lNij;7YD>dEZWg?jN%`AESV*I+@seh@d0Dd6VT03)y*_GjyZ4|@Ds5!XmeWdJ# zXh3DdOfqIs$%EobD2c$MSY2-=9fh4O@9i6pDm5UG6p_fyRa{-1$(3e~aQa0rNU6Xi z?v`<if`Jd0uxXNQ5l!T_i|bo%HAB{W9lPeGku^|;D@@jOjZGQ14)<2@*)I)wxqsv% zlb8!^WXZNf-Tbd0T2A$x&YN#QW(@J)<1Y_N#ZjD&+sJf44YVGmGr5NwA$!j4F7iQ9 z#{iaDv`}&O$Re|Ifp%JPPD?_9FqZ)WiA>$kP7Gq7=apV*s|w0yF-P5)2B4%0N|_DM zvnnuonZC2={2b>MMmMVMp$H+F0DqK%1k(?7Mzau^@CRzduI1d37ZRnomw$Zu)M6Qc zzqR)BzwI9%Bd;k!DlkH2-uQX`HaO{)4DX7(kOy~eoPJ7~DGdjODdaH}O1Cp!&qZ8j z5cuL9@_X&#UJTx7zMDnjiziqVe<q!u@@Pc?*9t3|jaH-6tJ{f|GjkdaFn@W1Ur-po zNqW!DJrwpv$&|W?J&L3MMQp^PRjDcFxSgMcOw5ZbEw^c4jpNri{^D``q={M=PTaoX zXgc^a+$7>KX$42*<#zk<nUs;-a4-q|6#I(3KxH|~k*=5!pAey*^($Ka#rt~f{ngt& ze%-|XjDcwBzxgY&6^+)gXMZB%kuXgqgE7N)R-UwvQgA4|yM_rVZ6CG9$DRpxmdef` z;CijlZ?fXcWi@89g79-ZPNhpYo)^u$k^fl4k<z!&5E5~C&zDouY$&-hE6hpND!3dg z74BT)Ps&$^?V$RpsKy6FD_anhHl%)cQQ4qFb%$9s&!_ju)=KYymVXM$7Cw!#^1i9% z?!>L{x7_M!u7?$sqSR#+^hdF{6mC`QEsa}uxZ%N66<@9^^y%cYN2ct-mxJSjz0+Lv z%^NUqwLvPCSP*8a@V;a&MEau$Hln(_To3@9g0>!H2=AGW4n^g>Tm}AUuW%&k;->T3 zs6KA@0=MQZKD--l#D61eNv`@<YHLL~6>`6EHh>RzzEZ6zbR~fCh?G}CFi^rdYmAZG zx=bh(VnDYIGLJ5!Av!bg*{A1C#gLp>nU91u=)C%r{N_baDhbjy+){Gdax0a+p<Ei} z@F+)Zy{Foq8eq|!!BP^;*L1gT$E}?&EBJPXEV3>YR#b}ZOMm<QvW5d^`djdm>_iT- za_}Y-=UX^}Y^U`049(hXcoRZ5P!x#810?#t!z89-ofVSDPe6LEZp2cAOW6yjXwDy% z!|XSj8(G1!iaEPri4J^=IK~m*x}QoM{<;$zZ`_bGq=|LIGZvUDS4i@6ew}AXo)^$& z#tR#SY!>*0?SH)AYqhy?8!a20_7DJtV|4_U1R4;f2?IA-z@k8Vg+|#N>nii7vhq#P z%l7{3!259Y>xb9JCtvdhGoq~ysh<)7Tg&xI(&e^1Q}P0bI#cGjl8?#XqKTmkjPbu; zP{Btit62s1si$c?tC6_~Ff4P2Gd_jXl-^mt#H?lr{C^PBIAc~lWWp{txocx1+<5b@ zYmaWIFogIHzIJWERmE@a1YDtO@0`FDmR<u_73HD?)}CxJ;wo@u=T0r=tpKi+0PxCQ zl{Fn}k9Y0yeto(xH(^TtE#KqKm`%HH&=V(ZW6AiH0yijDkbQJzH-&w2O73n3TnuCd zC<fhqxLA&Y`2pAGLqi0(xc5j?`269&O@+~3&;fq4LjOk5EOfvcdf^XP18AENk5G`S zk0y9oBLy)N^<DYdLq$tYDu?o6&?o$b8h@e0G5gpI0A(J_2$JJaeEpa3Ndy>wpV?oV z_&X4?uY{EusO&4((>ylBrnz}u{?Bqu+N9gOOq{rC**KF@8BSp<1;o<$Dpy<C{LFmq zXw-9!y|N#`>>PIb6F`jS0Vto;!*4u67b)~g149y1l1G;Moe_k=UDCMfvZ<eY-jB~v zI#)Pr*SHKw38l6K$O?uaKCu6Pvi19lsbL8t+<Q%0@JrybzIntj&gUdt4F&+XcwPIy zy++MT?|-8)VO;bD2?3%gMMs}hU|_)+kWUXKTuraXWtzj6Ni(UmFT52zp+Idn<cf+? z+8J88<Q+{oSETDY%NYS8c#bNF<~&%i9N3J7pq=L`cr{76yRso;{o}8HXu+I8{v{J$ z`&EhdX9krKVHw<SSTU22uT;1{y@)4h-8=>OSNboyBL2MmZ7?|aoeEZeZ5^E+(CyGV z*xAoF*xUhYrp*qBaK8}$xcy^f=T1ey`R`pDWl<d-w-exo7jTBD^&2#)JO0P#EsA0* zqhm}|i~t1?yaEg#O)}AcQ>g4ayG&U$3cBr)n=ooNx0-G{yGcN<+at<og+1(}H9`PH z#b;+-qyfBA%gog@_)ge5p)aorjFsTGbI2vSIWkvUA&%&GJPqgtM;b;5LJ~fM-`fWh zk;}0_WeByU+RfyTq3T%s=s=NSISa#Vgj!j6+MxEhdV`y&TB{&Nrpm>_2hoxGa~wtG z4H^$r&S9wnftDp^4~iGqD{HZ&wC)H+1uDqOxOMvZmDePHK$8ZsqRWFwLCJo-@si7J z)AQ^|E^n7fOaveY^ll6{S~`E1a7+X$0rQueOaw79E%J-)in18I*=#h|--R=n+2SGI zUmIv!LD!r>+x59YTL{-0w5?nv&knRz?oWBj#BG=UOavVPc$XYa1T%la$3Iv*NWB%- z+Bk11Aj6OUc9!){hfZKrJ3<;3qXY=KQdj|q&TxdIHXVcP6nIi|+$&*1ihOj8u^YFL z`@z{{r5&DADOcmN4!_f6DY8oZ26B!-NJ7M4E|D@>+7n`}l5@x48FXP(Jn+iVK!}B1 z(^AGO=TspQ&=TwH+F5@(N>fyi&MOZWSwh(J5jADxNGD^Z!y$5&m*dEih{*C*A2k4Q z_+vz^x&SkBduhTE(WrSycyLzJ1BZ`dW)UoDdQYRx5_Ns1xzW!gKuDxHoOcMLP!8kB zx>J=KOgu?ZkP0mn%26<l{T0ds?#7jMdX3iO7MZ>kvB_Iq8sdKtjQP<d7^X0!syUnT zrFS=V^RqWc3^H}WQ)HO3!@hf{qjI{H^G9H)o#+}>IMR@U%8|~PUSxg~z!`^o)D;$P zoz(j_j4zRi2Z)|}roQwp@K<xNIKsRiBCHs=RtJFE8MSdA^9VXs`6!NmZ^ym%C)9Q* zUzB=R;h0c31VDcV-U|XXs1v7YGseSS05QL!!<ls>qwYdG9F0CTkPK^TVP+;TMpFbl zE?jaga8t8<z$K&cm=}E_dy~Fdcv%mfCOb>x{)GFv=MC7_2>3T%QNE?gIfju@7al0e zGX}&MCQ%HG57C?S%4&B=4(QAmzz@-&7(2$kUI?80mqvefsoU(O++T@ARG+p!d+e5t z%sbT=dxJhr*EgKHzeVuyQPJvX2-%!YIvreppb2ox34X}=m<fDt_`hM1vWw>s#>(w4 zu@h$8FucUt2!X|qGDV1<ib&|Qcbg@+iAG1&@&$|7wCmi?={|Z5RwBeo#aB7d?0#@N z(bUh8za4-4#~ylW97%Aq{NZAS=pa6e`fi>@@-DdDXC`t}F1*}5Mc&#sPP=05qcy?l zZ^MZ|pZ%IqUZWo7F%d%&Ea<wpP5W+!*#^jux%*Yd?n(5(h)3;kD5oy>N#nA0SG1om z8vBN6>b^2sS(1IUP7IUk!g^bfqHn?&Id!Z=&~|@x`rpXvB5^~ZGISM$$bW*w<~<`R zPS;f~=)JQm6P55f3r-+P^1jkUEFaDDxIoOy=wA91j}g#&dO=qLMbbcCLbajfE503~ z0h)(H_Z)NJ0UCo(Mxz+Zgfog6$goi}JG6^Vwjwz^S6EdOE$$R^S~+w^$x+l0jXB(P zPgj3Mha;`w96yFLoI?#r&2NcV1a_U%zl&%q>g>+$brWV~QvOU3fT435os;Js<d&4q zTzV>nS$QD-$juVS3ce1}T8I^(Oj+S^hCwqeh<I>ByoN9uYTAp%=B^{?96Vld;X|!X zik>@3wb8{@n;f-m^n>*2Kgxxnh>+&{t)_olmuhp{I<oFO7rOEaO}tHp#3BpZSL|e` z-HlTChMc1U)*D;vcG;{Q?He;Lw1<>eD3rF3)^?K=Bz!%kM)H+_T@ZeHuZK8*3Di_l zn+`aE@`h0h)>->;JUR;;yRpMRx2`auBBIUhtuWz3kmRlmKIP>rou2E3(^K=SNS1#R z)6DDioB^m7Z;?mZuBC~=&CTY!&1QE7W)~0frC@V<R=iVAPS1_Got{u6YNu!AOg=lO zr=ly$QzlT&!s)3zR@tl49aK9#Yp3T!XR4qVd~@6eR0j)=wba{Xxnz9TYAg2HmGfc0 zA{K;uKrP}t6t;t*mwHXqso-s3m8pOFJ3s%OT>qVn0#;sOZuP>X&7h*3*?xzLeMfZy z`*>w16^la|z3hG8rNWm;w?T;(a*^>S0I;Pr8XyjYXfWO?zwqvRjrd07^$)+b9lgis z(<v8Hl1ACQ^%+zrK}jXLOu1;3$u$$<WE#C0P;C^>bRlTGY^R>0dwu8IIj4WeY_UZS zH;F--*nLGC=Vdj^3*;T{|IWA7af}?A&NmqIu;VjVbT{gOuL&}u2dN<59}_q*DY*-g zqA`ID{wn951N!F*LljG#`?Xidf%ZL)$eaOWPxcLC8RV!SKp*pB4y0<G0tllYP^A%q z!4lLMLVI{H5&1D_c)+MXP%MAK$gVp5aP{med+}M2M(NLF6M_F?S{xUmAnywdDPSRK z=OXM*P<Mts5*UD=<w%=ZJJxJ!F)~WVJX^Y53%4J;7{Y#Z&R>FW1Kd{3O&?)!m+$y2 z+Noj_V_I6af$U+tFfre~Ai}qr56ftjZ%;m3UWyRYWrz;QiOs?p;4puJvH-x_4eZF6 zd^Ysn6>Qsjb2s}JJ}*V8g@>e%giu9nOD9M}#1OF4IWJ+KZxfLsh3ktPUjPlK*#{o4 zvR+WO0DL*7r3v7nD_Fwh`{8#H=1ax^l>lVY^7CCoObR%p-$zv$O5-v-hGaq77$8en z?PM5@c?s3M{t%tho|=ER)BGL09-<$i6Wz-QK|&r@-;e&y*ybw_FR+cK_Y5h!aD_Zx zCjam<Mro{7+Mb-F!JiAR)i0z*5e+k-+ruuO6cO^)u?+8qavLb(PZ_N&#y(mTXFEg@ zqWuNv2=^hQmYf#~X374^*LfH0F;!)ZZx{055g)JR#iK}U@DqP@o>0Vwots&b*DEi| z%GZ<#B)LL6#F4xLBL54%$cY|IiZ-Oq)e908#Zaty!SD*+1WD>f_IFzfHm%Une`lx7 zL%=E@EA`^&)9LiBvXm#!RF<DW7%O6ASfGL+D69+W5+&l{i!nqOiSS{br%8i7RN3D4 zKDHWOgTS<pE#H6h%}<)1Z+>Ed7m#g-I5#lg_D{gEe^N~t<+zfpb~>=ljV(9$mkQ*D zj|dg3lFuAOzOgh49^#l9n)1~Pnd-k?#odnkmuU#P;##9)h!BpitTt|W|L=><vyUxG zMoIp;xz+gBE6~&1$7b`t@LTdX&@E>hy3Z`Khv|#al16`XYo8Y3Am=TWNku+Vmw0=2 zfOZZu?BD(t<*X|wU0IWTv?jCnNVVb$aY!W`N6^k7nn4-E2Ct1;Q6vv$d1cq+F3He} zuZmkCu@J_QCf&d|n2Zj`{}y^7%XXsyRbESKX-MU_5mv_&()GdtHY?G~a*=t`FGaGP zg$SLgMt*-VG`SL)Cy2w0wpxq^ry}*-xW@L1RY4s>B;fQ$<ga1{3!)2=ej(JUu343O z*O-kk-d}T*k#~(G*b#zRI;;}&lq~fM*;v_(k_LD$Hg!ZrJRxV{G`>EM*<nzYlt4k) zS>|8-WjMYXIYw=~-D<jpyk*{>M{}%7x`JOp4U>PsS(UUEijvw>1TZmc@K1=g%m(ty z<`#ESElo(ox9O&=c>Hb`c}UrGQ&loIko<!3Ee{~_SOKAOw<vs>9lmQsYUTO~3k<rZ z3g0`$`eiCk<Hyc>(qMQOiFyd{$mAf;k1V_wXALrsvLIjaOIB-P{=n$Pwbu1kXz0sV zGHQQ>*`>OYTmX>_;P^JnjA_VO-<Z!g)3E@yOvayunTWw)wUg#v$R7H%J4k{l*HGyx z+bBI{3K%c1H8IV+p0eB7PQ1iESX+@DNvhnmVs6z%R@n!0wr}o2Kmy(DsH=;te6n_t zJ=6AOlEibgFLNxVS-Z$o`?AgpM9&Se3HyIxiZq%LVZ&0)-d&mLMF6bZ)ELOQN77qI z|JbJD4p6ihMNg9Vi9jLjqbt{m7`;buIeP)BEs+rZ$<dx<0`QeX0s-C}m{L@TEz3Sg z$7oYVL7_CPdo>IOQK!UjO_`9~oCk1>NPBpGdN2*M+FK@ffNhr1qz};9qe#~)pwoYu zrN;<7jFWwt-9)+)X(t5#Q*nzsC>UZV3au8PLp$ztow>koID&8JTP#`lLABYh)DSDn z2+7-CB@tJjA=<t;K^o~^Oi{t(@C8U&)chmnSRkQK$x%ZDMz)4Y<>BffnQMt6l9}v; z;IcuV$C?^tmCKLIJ-xeF7z6QjGi`tTb=L;g>#%L)s&D0limq3VRwM#}Mb_yxp)mf) z>8P1($Q^daF>FiL|1<KZMlxj850`dEr<C7zshX5Vzzm6JVj5>703E1j5ZU4l*6pr@ zG>E~V&kRCaJWvSa2zIw|V<83gT4OS~h*=DRgO4p_yq&vR1KDG>Dez29fr5X&^Vk7< zk_k&mrD!^}9Z=f=4<0HvaLn95vb+6y)too8wFnTZ=?u5qt)sQO{u4aw#tXOV{XOU- zi5B=B0L4CTd*F%OvWf2-h=FDS>_Pb|-FNWO7EgZVD#@6Fw8oDpJeNa(QV!f*D+>on z#b;Ye7DWfbmG7ATPE=A8)HQ$7DvY2k%07A#lq&Zfl=_`Aw&3d#PV_wMixa!%C(V>j z($21mQZG})zuXTohqGQrG@?K93rbdymyCFeeXz15`_ZeANK9QElvf_MVm*)F($B!n z2rX^r{5qL=vR2EUv09dscn;0XF)yyx%xcZ-p*6FCTP)o2^|JTcA(DTWiO|fn@s;aD zj2F6Dp<qp^W~65Ety2jKK!;VpdG82EV~`Z><nyvE08SaP&2ctFxFL22aU?+AWSBL^ z0l6I^K4g?oJP##+&!qDC%TxJozq!Tc-IK=W2E}oJiS;w?zyrC~QEWVPKF~k){`r1u z^IsfIM_fP<?lc#0SQUS`B-c=gV{YV#@desBQkUQPn4`2H<R-Y;15^4IE1l*dEcDJ< z&ZU^<5$c^AjhTdn_=ubfI3hAj9LULfeY0;?Jh=qcJLJ$@Ca!3pc(hhMpQ-9uq47Mr zry?|^!6qfSTWVHvDcQX=2bD{?@q?^<`eS`elgq2Ca<~OvKiz-XJwEWx;-niU+rHNc z`~7qjbl@%BZg{&Vt^I@6-pTPvYx}H^#47LW(f;WN@Jr)Aej-hC#^`h57_X}a|84h| zr9}srqJSh2CE0Ifu60*v&_26zFqC7YFuHxT#@`&?n5EsBplaR%B;fp;3lVY+pgcb< zQ$n*SLXHD3n+JcPPsE^-+L5cESxh%wT=3;)FeIxed0N0OH<sv|#u5k|@ZYlU-xQ+) zsKpt1nC3&!?|Hk(1pLbBUG%y&?|?%Iq9L`QrzqIhCJ;LJT~p*X`b?Yn2h2@@tW+Q? z?vT2RSnLRiV$h<E%7k!Dc1oj6<S?EfFNuefTGfpy0K$K))U?2$RSvzw36j>P*VJer z^<*_0U4GXFQd5wna{U+Ykg8(+1>Uj3U!PUx!h&frym8*nr~M4med+CB6(+|J;iZU! zXLXP&eI*Dum)z#2gbDEsC4<Txb=nj-fws%-AJoBHPJQb!fy-QZK;e8iWbNWw17`iV zm5;rBMQ?v+DP1CV!5T<I`%L9}K^nxzWbqW#`j|ucfs%6o4P)i3zL9HN_;mAdn=HO! z3(SzWM$Z`6G&1Dcm{E_SkP#Mz(|R?+-}^|<%u{kHULphbIpRM+VPV5D0fLIT@nX;W zW!r-*8pQyMw$H2H_~_HoX@i1xnhkG&WJn~JQf~=6{<%*-C&tA)mpNSo9Rc5$S6u`` zf86oAa~NM~or`!Rr@fVsRAtca7f>06NRL1E*C;Yh8Liyz_R$(=9cE?2sE}lFgJdOx z5$<0mFww0?Rey1z6`RG^`5l7pri@l3(;}<dSevB<uiOXn>%~0I7QB!1zTBuDA6Jh3 zBPv7@AHi1uZw8<{p|Q+s#b;&X+WWt`e;V=SleG>1Ol^2c;(1JXHvw3?k81bPL%WZl zgm=O=l7C^SMD0rUz^zw)!_kg+tJ`G1yDQ<M!qTvhu3Rc&^iIb#ZA8wqDR7b9@_8v} zZ-vqYslW>Aq{LJo%tLjZVM@I%$d<?x40-q1=%@5)>|l&YALA1uOp9$8`wY+tf8`?{ z)s~Jr(U_{oAZ0rBP7V*f-K!B+wC0__p_N=lDcUo9_}n7x14~1J76h>LV0u#-RE}jK zzt_$`j*5lweTn~=dJu~)NDX1x?*3ud-lRq%_WkMB?)pl7BcKG9wb4jR?1rnz{Xlpa zwYI5nNYR33k8m7A$gJE-Kjm1mf9$S-labJf%ELK(@!SPvc_v1nzyO46bi=dBIm10a zQ)U1uN6<@^Mh&b-0p01x;7j~38^6R!Tx#9;I`Pjex0Y&Vn;tu+W)pB9DpiD+t%Au` zd;Kd(_dD4fR3e(c#JvRH6RzijBH!N5h|WA0F@`0<1VL^4JJ=B7q!Io^e>;1bwEIXO z>Z7RuvXUgxZWZsFj+r};C~@b$b~iyq{yBH|onzN8AWC-XJ%FKnU)$ULqhWFn-5A$z zx>gN(OeKSsvbj?RHI<GhxdSV>1Q!(JMPB7%8h3D^*HNOAB?pT)*x6lKj{R^{^gl5* zUxxcx0r~yk3KdDd(p+jcU55lvu?qD38?~tcp(~zHdANoYo++d-Mdf)=1tnfw9vgA< z*Qi2`Dm*l*Kun*D#rBz9Hfxsra-#$6Ii=cK?4v8Uia2>+xU92@3!ojKN{t*8$lZac zf*O?)7QdHqU<5QW{R*mO1Va(i0mZ<xsF#Gtb8MpW9(p%TVZ2y89~qj|cYAR*3H!w9 z14{N#U?F+Yi%pl;U<5A#9+wAU1X?@S6832_K$&=XWkH~D{MbS!1GkxL&M+q^-&n=Z zDm>}EyMZOAZ#mnF7sR&tIYEtl>|Le$@SR}J%EgzQVFWyXHC>UNV*R&@NfU`Ob&;iW zLq?DRb0bE0wg!xzJ7B~_o&zs(>{?OdMKxaZSnwj&i`<O8<-$deMI)kS^xX0RJ2a)M zd+Toc&`ncq<l2zLqoy_W8}X=xXyMoI)LB!%1W;6DVB}~MGne9mk~)o;W65CCQ{XPJ zgp0O)6L$fBhPaM)ZdT2}E$^)kc0P9IvPU*+_S4c~s;9tS;E4sXSLy+?u<+Lu&amY{ zo}B{bo(U_xE^Z$+pw1%BZuY2L_~${qZjw#F0T#rE9?${{8>&#O4=0eyj|-7_Q&=nq znq6n?3Lxtt3I*`jXEay&zX$sVdz7)%d4*Ca*R&6Rq;6u7dF~{~C1$-p$jKB;&?6Hm zke!PlIY;;^!O^B`AOaTCc!J<#i96<fHsKr^LEiy*Y<=U}QC^;}(w`Eg`6%0uyj)08 zCCsq;ddk-!2iM*XHOQ2U$=*VbneQQWYniC@46!ys4e|d(*xyZu6env@ht!8>ZDr5S z&N*{`aZ1<An-24|?t;#F^-(rn?^%yBwoo>_OR`?GPv|UHd<3^f)i&3+nu=nk1oSYD z@A!zcpl)5#4KJvGr9vXPIGS!c(GJIOVJ9E1QtQe0>3%Rp7Unql%_ng3Iji|`-Q-XI z`1{JyR3L>Wdb}xi;*IBDd5iYU90I`phNVk?fZ31Z!Xy9?GphtZP{QmI;F?|Ouh$}A z9%cR+hyb?`Hh4-MOC^{a+A#w}DFCg=r5j(dbRjVNiL?rV--m3ld8e^A2);pu9D5V~ z5!r_@Pt%Kd(uY|{s81G?q=<m^yNLjxrH|hLsMUadxcuCrc|@Q9#Q~Hd6MznihzZSq z^D2*=m}tI;iKSL{$K-lVmWmV*-!WU{#C0TR{@95mzMMOD0?*d56VE+%f{8p&?1Y<Y zUdK+<u@jFab^<K_xKeH0;#=*bD_4%dpX8M@6(gS(coTM{VvpFfZ2$yU0+A8)tLt#n zfyh&@Sd4Q}+U{;zirArR;SbCoZidQ#lEWYDB-b33Z{6^Txj4k0ZgZVO;3QJj{Ci=M zCHbb9N1AQljZKyw$l%H-%jc976&)$HWoCx)y%l1NDS6>FU(z(FB5M7u@4tM;eCE3a z`k`})_Jjt4x)zso=Cg${ntEsXMPio>$@5W($Ygd+-(Agn_CQWEw0|FoUFIr(a|`9W z4<EWa|J(xu0b08rj&cJ7-LoU>8Uq1=TY6@oVIalUlW2`lsP4saI|m2N%|cUpvo3<H zQNcHG&^`M>u5r-Dy>XCRa_Mt%{oSBi>r7+~{=I>THgwHr89{N^nCQ)dm`LEHRp1zJ zEP>-0M3I<iUf@_7mSt3k)SkqDOYDQCa1?`kZ~2e)nTicBo{?-+$vw_<9XR1`iZITY z@yY(_JpJ3V$7-bbnIgpnedhs*mFP`*kc8q;gTysR{LmmV3NL(Xpmkk4?vAZOT0`BM zjy0Z@<OAhs*mYNJE%wos>qQ*9JSO0u{#N5(=puA9G|}NGj&Tv8U{Vr)4iMw+K@hx1 zJ?_qg@Bpg4jQH`BPhNMDZ~!}()g_RIwvY-o9f)d6=-h~(rXICT3dD@wN)~EPSJ=z! zg`EKHB8pd_!#rv+Asm4lk5X8==qt(r?9_~OjVeRowWN$>eW$3_GoGX;j=${wYz829 zae4p+vk$``9PW?}HqA<Zxm*;j`xQq+P}fQtOK=wb$JCQX2nA|T@1L%D|7`N^p{EVK zbBF@M=%PcvqF3g{r?cHMC5X$-lB{-jB%9WZf}n{r6TjI~umXiG*WCoX8(M0gTjSz+ zxEHKdaIb0aRZ^s8-y7JMH;Y?B5v$>_FP?w>d4%x0Ed>`)_}A}$*hQt<iAR2CX&6Xd zUkFwu%0=T~BZuW179$D#c_aZ`6-v0ivX!otf!$;Q`ZvjqDYpKe_L2&OQweK263#*u z?dcrx_;pu2-o81DQ4fHLqHaF~Bef0-QP`eu)aEK(g~f(=sK-v7p3p6k)CSys<{!l+ znWFGMx*=vY^cj<v%x44<SUjDC-V5=w7c{0D_P8!1DG)uBXk#@3kLL{;X__>h;Laz! ze=;0LeeO%a@8EWeq<fr&SXvTh+6(oqpd0@(fSO=xIu^P^vIdt@(QGVl0+2;T(nH^Q zUzas#1Rz4bev$^~;o`(+UdetwMup=@!B><+-*IJBMZHi2s5-rN?C0cFbN6$B`abEh zLSG|7?f>>=u@s8Bs3=~X9jjo|&X<>H1Re>aY(K+Uy?0L@m%eBOB`XKZjVF}R%E7gd z*7#vricfPM#fQ9Cif*DD7id0zhTUABi#Ol8CUI@@ZkG;e1Q$v6Q}{*LO_br&rH4on zg_0S>9L^phYWqol?6<ZQ5#lZO!P=5K%?<lUR0OD)p8Y=rH02%4<ok>fskY}Jjj=Q6 zw#U&R#0`r>mu_hU8v&k|ifIHfJ-Z5j#k{+^<oJ6<K*{@C2q^pL%H1tSFMV5+Zl`@2 zw#PA|JJ3I}A5=P0-pa5`k6E{?I@<YxI%_>|+V+?DX#`V$v67<GjOl_?qLk3X*qsUx zvm1`kTNG(JBLZFHF}#UPKSDO>h3Qo~4hLMntNQNDkBWx6{Yf|U(1dgqj!=FU)x6L_ z6~)B(Uno@8|3+G0H2fYWV=o+cXx)sZn!_Fs(P$UVb16%P4k;u+yBGZkyWpm6f3X69 zIJFn`z^LheIB&dXCAP*K6#1@18Y;>JSx5F)C=V#37a|Js*10(b)rkql&blL)d`g<S z;Tq<}eOy6Rh7>?I;nfUS5x+3EUIGOtbSxZ<(12Nf`<=W^)4U-kzFo!u+CU}0ymbXN z(d5&Sa0;fQ5Kf<86Euc+s00W{$ay#<DF7<*mh<7voCC&pf59h66FneM?(D#+%@5vn zG5$~J693BjaW~A1aXJlWfWmF2ur~<D7csjhy2isHSCcIit-9B;LWX?!M=@kU9_c|W z^x^2&54o!x;v*V@D_=8SPV5QaO@~@|MQaGdU~XeTv)hYsr}w}2+u`uFSNtgHDnB~M zj$tTZR=gb|e<*+PVwtoeWWoeG$8g;4^b<FR1q$zm!@z;*$gs<lG<*FpNM2JdN>l!k zB@J#t+va3!1`b0dO5BY>^2+nK%-<?p1Cj-}lZKs(Bp$}-t<$|427{=Rb&kiC1#cL0 z)divH*+qw2ccCRDNf1GR@@3T-Cw;n1hHk`t=ZdFqmq%;_6Mu%F@JlC&*NBEBtI8ii z)X?Dk_yv8qMN@P1Gw~wEo`-Ps8ixp!6Mk~B=M;(wwc_sgP2*@c3Ze|CTl4~>r1p0_ zfFj^~+i(?=cbaw5SFGagA0K<cImz41M=h6o=ewJPFUe)78SS{&Mnh>i{jE3n{bXz? zB3HS?Z}KE)x0l&$1R#H}(qFOZ-f4E9LYA7nkKlVW(F5DX?SGv-9$7J`Y<5rx1Plel z7nqm?dhNJk_dqnGZu3s3GlA|8eV*+b3Zl4-#uwg2bdHz{^DsKlO(<poLoOp?zyM$3 z6<0lspkzX!jp&`1EcU<~Rbfv4yQfNFb1|U(Bs~re{0j3H;jx!^Z3HnX?;!mkkFO%D zlY+V!?qC~&gQ_|b3CYEyygF`iKjBtuBd9JqbMQ75&zI6|1S<jFm;P-8DSwlLA9HLn zz-2e#LKPydd!dCfIqtJE`$O+Eu$OMm()Q0+xY)l36j&jMvLgFvZG)%b`(hUgJh;e5 z6H(@D!*${W?i^Elm!sI(zf(?-M(0C#e<rCh|J&zck8ySob)UO!=<j6Tp^pqqwBW2? zMC`*0l!L|8pC&(O#>_J>Eq`AKFIE&&8eqR)aTmJb3__JGuJux<R!)<og_yuur}mc{ zrR6v5$F;&8V*S_v7}HR6GuwU+U714N*lpLoi2Gd!g}M4*tu;SCt$CWl^Qg{B7wcMe zhBNR#ej-J=MHm@G@%B&Sc*H;1hxqJ{FSaWl>Al9`;a&p|?EZ2Xg=r|K{wWxQw_k<g zv0Wq;+aHkB5D$C|(r-==aHfp?iK+lDKIO|rguJUR)ySIIzh+AG6;JMmX(x$BW4IaR z8{O^_p^uVFl(jmOrawne%W|Wz9P?-7p4_f4fBOB)!M=Rt?%QY*#pl?Uj&B4#0il=7 zZv;Ajc=GEpYC61O*p8Fg_FL;TAqq6$H}rdkfcsecumcT|AEPfbr5c*691w-H&sAO% z?-@@Pc+Isp`EPqY@oV$Jn402DP^_U3J(3hrdOf#|;^##JsdRCk1SuCtOOhw0I9wHJ zEd<NbW8Lfqg|-~~=!%pTi(f_-RVEqSH*)zbB}kPxFL`;1&3U6mUue6zXTkvC@1l?A z6HM$IWXEL>E;r;E=LXe}#w&Bkm$7gJ6n}j)i#L3ghu&p*#avr~bD{%?B9y6!dOf5? zLr@H2Boli9WW<)oc^|tIL^x3V;)b`{d^Pp6ciw6xqu1@S5avr&!7Pn9MQM~|5VJTN zam%&gz!mnpy22G={UjGl3CL2CxML1m&V;6f=e~m(S3FZ&aL><z6U3dvcvEV=Ykx>% z?f0nt9uMvJ7@_b52md*#XbneKhaIHT&V^m$T8w^n)I;R`1g*W#sALic*PzIm34dgT z_**y{=l@2;J)$iUYCBAW4jIno$`8H=QNPfoBcQ&RwHNf$%CwnnfmDQHRtN<)0~7|R z9RVtx!AgKy!!IUfWCj1=p@Gmch<`Y*JSZ@v#Lz$3A|dxb{+)z91pHm(KcYe@6fe|` zp_t?<|CI>@=Dy<jc#NU2s0Azg{ZYbEYfz4oC{$n*sgDS?5FQ6R_eq#VjNh~a9~wLP zN|vy)nsxi^6=g&$2H|2^=1TVIq$rYg*hf2;5%7B$cBpGp@(^MQ$<F{Xa9eyAo37rd zk4Rl^++lu7rE1QH;8IE$=>?NMOgO}Wal{9Oh8jjh9UC@w5vyK#8+78VZ9Of-yaB3d z<A;A}EY*uDwP-QxO%HBQtfE}ing;~T`{&z#(eJSwESH^f1VIBIU~HG+as(cK6&xK% zL|A(%&z76zA=tb``;6FWPezD5Lj4>HT9a?Mm2~eM9k{@K?ejkX?M~zKb3iD3L^5w6 z<aU&m>cr0h73Fd^H%C^|mq2k4YR^@#%(lT~JuOaVehCqg2M9T3cOTNtXn(;KROlxB zVe;S7xJNGl_pEte@M6TU=Hfnozfq`UC$ADUy@q&-e1{8`^%U5D*|8HvIU?^J>)2_P z<}?|vu!yo6`{)}FEFgnCA<RH^z#h&}3Qg|9-47S-Y;k_ubmO<<ky`~^A9aZA->2;z z@+Rb0ln3|$4j2nZK3=$Tz~-bQy=c5Z`xqRK)j|Rmid#mLE=xYT?0UE~NH1_~@_<QP z#=(?GTtk3MMMN@=!_pVi^l_8odCvJljw#-cU~*Ku1*D1Ws#oR;mcz`0moan%8h?EG z3;L>2kVGkIqTvsdS4v+!zKrt~(hQVqi@iz-#->aK%ASQ(@h;<}`*Oj;APxJ_Lwl|l zxZiXw$4JS5kA_d7@IxYC>y55gdIAh*Quqhag`vTSl9p+kimQQTI@8Uj7Tz@{R3K#q zoKZl98bl!_rI^4OD)cvJ32PH?uz!<OTTVsZ7LuwWxy9UjWqeJ38+#Q~!$@qkDo?(B z%{kyfbPEf9`P=IcO~N>Kc8?FdGn~FK*#;OL_WS85=-_N_H@w}G*8V|j@8tNTwSCqP zko^AjX#eyBur)V-_aXi?jK8}To=5OQB{RCb#gjWm%-M-jxev;>eI?(!(|;2v1865? z)y6Mh(c+xA8GYX3a~AFME*Qf8xsq#z?%eptA!7dDHr`g800sAI_i_yn*6`p%!-MB> zGuIy47gXp1T1DiB?%<|Zyo42bvU~XE;E<zr{9gEYE_x$D&hmu*0_@2Olw=VG6bdT0 zD(MnJ*NLZpewh>r{Y4Sxvl$RpJK2;JP>^7MQD>w}YTF-^5G9`n@ppQc;dKNee;4wf zSvq+7bLI&(fD;s7f)FU#kZ}jr#7nw+Jr1PG^zsGPo5!jv`{#%TQ8`{WJjlHeKt*pT zWw#>o_4zBhint_v#D{!=!BYS&lApjggb-PO2VOH!MCzT##5dp-;s+qyfO)A>_=^cZ zqv03@yg4ozMg$Gx_!?@eHwfrlf3Kly$Ex>VVK}nCX09aw4)I@{-GxzvHdkD}r828r zsFEmR0%v8mIh!aFuee<=5QArA67hshp{=x~ol&7(pF^RAkG@uDD_2&jpFVko)*gUS zRmaO4x=?79<bldAm5<d5ZLQEgv_fn0=PxgB7u4czUUFvNhMR7o4A&Hkf3GI8G%GG( zK*?2rsRoPWI4U?3Mqwv{c4zcO=m*hYGSEt6vF1Q}rO{!@)dcS%9M|2X(=O{xq0L4N zZ$#-Qp+~sI*G&Tvwo1kBM8GPeWzc7bA$;&gzd=eox}arM{_rEH!7nIHzSTYc-uDj3 z<H@x<UT~lux{`&22g~Xle^I*St+b9&K7o`fDn4+%v=@H)rt#9OCxcVzO-5Cwoq~Fk zPDWg+2O8J945;mf-y@XcS}@pZs&*xgWwMr@GO2K+c71GUE<KHfTU70_sD45oQ(|EH zUlRwoApYeEbH_nuaWTKjUo3?`ndN6<v5c>z_*#J52{q!z?aTfke{qY{ZX&?gt<@Lm zwmVnpYARZW)bfR}Zt7K}H2yk_D0mk!{;%<WfAQd8AvqIv5pZKF1sYjda3DqhQcOe^ z8azN)gbBVOrxkmPj2s<*JZ|l0@fU^AWS>9^d7E*P3)$}=PJ|Va8&d0~AvMDmiwT?! zsjOVT<l54NmfE6te~EptB)S;fe7E5%Qm8_7@rccqs;I#;+EN>H*ix`tYg<avU10W4 z-j<pHln~;ou%(n3{>m=Zwp5+?`QVA4q~W7jD~ebmMhP{m0Uko(exyZH6i<S@M#N!M zB4;XBh!}pF)#xU}CbyY_0o98pgNQTr@(SkSwlQH@6x&6`e^yMK7pxG#{V5_h5comu zp7Wrv@JHC0<Z)oI;i#sd%sk}KOte9J2_2ZiWoSiN9mK4lAQV}|ZB9^ZoSsCi23~|5 zLt5d~yI&#LjNVNVg&eVlLb3x2JB<)^(!n=nfvs>nWE1d=tUR%boPC6eS+lQm&HEiu zX)wO1ybgswe|NrB+(~mQvl>@E)+#fK-mEd^TP65qCe{pousMH6*35KVVrz;%hZl%~ zRZ+Q;J9Jlh>F1IM%=qxf2pP4e?tQO^a>U@%GopUxatgd&c$uZ)t#L_Q;zDvnnP@Pu zc$SV!?3|bIFg$H?!B9G}bd;gaM`|2m(-lAPUPS80e;fl(t`hzbej!&0AHjtJfrfZz z6F*DGq*7uJ#j4{3bN|lqnI;ZfwjZU0;xQ)`i!vkd;U(VZzn_}Ap4k~hBadv30Xm;% zXAC7@;DJL{V+Ucri##eq^Ud}DjZ*(tln=>`VghIVf4K>S{@YpPwphOq#z22es7ys` zyG8ZifBSIIZXF!94!(S7pB{ZYXf<3C2rW<7=D_nyCA5-xj-<jJMTMyi1J?*SQK_Y_ z2!paXi;j-VG9S2!VbY+w@yWQ&*+=akn(t5EFha9N4G1^3pQ&uGc!4szvK;$pP4{YL znR%zIDN#U8i;@kI-bEMs7O55kWOPMbq8$1Ke^RfEB8<eIX(X5jt|CkrJ(1#JFFHr< zaL)UI!hd+u@;)E5@IN1SKJ6W#+QIR{nt^d{p`oOICrYA|uU`pKumgXBG$5sGsP_!; zrFa1W#8!0Ck)SBv;zX}oslQ-?N{#2b#!@JM+65!ef|zP9<!_lm;j@ube8DX@^9;)0 ze`))Fesh3*>4o%2t>!&XHP6s?9^I~Db=)c$wM$e{1rxU@hsF<b&Cwqx;kddghg%NM zy<|Vsx?OAgFJcoGuiItS{wPI}v$p^JhopPSyYku)m+sRo8R2i|nV-<WvclMTG2txs z<$r$L`vUzet46iQ;nx5DBP%G5T61Wnf0m_bQ|SdLfQYy?$%WaJ-v9hosY{#91)Qm| z&43gN4<jljZ)u0&<qH9%<&nNcfbh7D*Yk@@fSxOw7k+APDVh78Nk;_$)#tuAM!(D> zTgVfBKfx`C3aa>DZ)GXpv2KK$8tN95WuIG9D37v?@u?Ul>~r%qZ#G33pbB7CfBJ!K zC_+pr@}6i#W9|?OAdaQr7`)TzM8ih2f3=p-)axlp<I4S|CV?v=28y8d12igpq%e*G z0HnRmgMTtG+W^Zexod|!8ht9jz>RAC%xcSf)q*Vkn_;@(atnNrsnJt=7>}%9r$t#c zaXj4UV}f(+FIf<YION@pN@anSe=O$)7W1c*&mi0%6Sx$*S%^+RGV$13nG&S5buSCL z;s|ABH7H4<wezvS*!N`H;oPtH_++Gz>#qC&yRGTQ4>+&7I;b7;4Lfx;E~Tt)`eWrf z?clnw#FuL<!Pnvw<%uaPmJE;5j#rEcDJ{kGbK3FCwB+FvZlFDuol7gs8z8;|2Oc#M z5Ov3HyIh=0ezMpkNXz~ks&L_{mydk}Bs1Qvk$^gt=7CaagbKozDaC%dH_P(hyE}Mh zxq5_Y(>dF8vnSODDp!wq!w$kas48Q=50~bB1Vs}<=APekum*K8w(EnM&S{bg+@6;{ zegryyt}MBOV#;~-O{vM1kdV9=#Y^mil@)=evmJTyf{#(!xud?>MUH)YbeVK7hi#l< z2OV?j$y%>`etP9K63?ScD$#uM%m~p|>youD`9QiPar+4M7L#raVdz-(<q3O~P-#WP zprTr!$rmik1@2QFme2Xla2B(OsMf0nauJt*UD6~{`35c--h)5k`mCQx3!#Js%DNWW z&3UvD09L3id786n90aI4>l?J-h!r7~s;q%3(e5_JJ4gQzs=e6t2Sspd_J~wB#20DP zYu3fbEDN7@!sAndYQLAr!Jw{GB0rufM_wUAleMh76V(h(O_iVN8BG2v65_kmEQ_*# z?a@<?V)}EFV(M6u>!};&6{`?<R=n($X+&pUL;ev;Y^C97>N24yc}n)+`l?{9GUcNT z^uG)DEj$8F=y|kdLP;x?Ugd6;G#Ar2E4}EKdOHt_7uW|YtC@)d#pL@1=P~1fKR8xi zzVjArl~}6TaZqv11GQ%R{50E~vU4a~w7YJmeXY>e3hhHFw8)J$C58s`B_1w2?p=+O zmsf^RTD0++(lS+T2)n?khorMytJ0GFE=yO1Tc4xg^LPp_uQQcI%2~0Du#&zLKx3h5 znMe{`p$a}_=^^@;P=Ewm4eQak&^(5s^5E`*HO-f}fCMgoEy^>B)}gG)K3d~XZgla& zr<$x?h=ws&UPC=G5_q&d1@+5qGVz-xotoML)8A0vb%I_ndB9TO?e)VTSw){<BZx5- ztL)sOY^sV;@jSX~pv4U3HIwC*FNk|!&~o4?+T+q@oQaL{!U#i!QHOXrlVM#%CtgsO zbre5{P%(gi+pBhHF;pChSH<oPYEU*rFC26xqplS2cm&kogJd;NUoM!%a2}v4fQf5m z*Y4o)5EmV9I5TD`KIdS}H(O1&YS$(r&dxlVze^c?zl@o5lm2&<{mAtk=EsB^Ru`N# zD`!@vBlwV{9W_k@1OgT*=36MI@@d0=4FBFg-;$7j&tSCN$t%T^v8+#9v_3Rn+x}@B zkA6}Wd%?+5;={D)as^kLZ?~FCi3&wpqKy#!k)Ke?apf7e80(wfpvhU^+s=Ed=M^v+ z{UQO%4Y|x!j3`o+b3?u=)qAM;V1FirE228uz{&F1Yp&dq*MV$Ye?{JwXfdLxLeQk! z?*4&)YV)KHus&hma77l7Z{4j@I%U@_m#jCv;U==Oiid)I-mfGqj+aK>ZIlm%GD&Y@ zu~cYrgo;Kf*%OOyi%6CX5jq$L?eHpWvm|sQ^t&ysZlZP;4WXBLfaePKr4wujfZgy8 zuEf3Ic9LD{EiO_t3qX}la<fx_$r`RD53hKCdLA$trqfap<qp5Vj#*a@ulSDB{9ezK zpRg@+0n1%iU>ON6b=}3v`F{pA?D<f+r7q<~7kAx^$QoEy^-qy{TGv)tN^O-46KIax zoR;RK4ZL#<ww=ifDNGJUX^{8Wt)nZqg&6%M?4$6z36L-VF&AbAB9K)pyog5BUJ-+T zyp_E#pI$OnvG-pG1ZI>z>)(f23<EHe9@=J4l5oM=dHO9Hwd0PPBwBW3TsI3#%ed5N z!?;s^dl#*(zM(a>2g4eze-MyN4S<LgpH5EmFcN}<sNYeU20MlGQ^W~&(>vMQM_<$b zoup$F??+th7?BWJpe#_SCR=Lga2)-An{$?-;O*_V^Q2j7m_+|RKpX@|AY`TWK>sZN z+O$0S6c<(e7q7=7SsoBp`|N52PINl5PrHw=2Hs_7kU$;mi)m*LFpzd<&0|bdG+H)4 zhgpsqlVo{QK~p>y#Xt_P%I8xqgtULK`}?nVErBGIRHIdts=A^nND(KVc2?DYm)bUU zreiHu&q2=n;o0PzuxMA+oGY<LNSbyEZKk5a{7|i;Kfl<Ik|msEV4<cfnFbY0rml@X z158}2>GxG8hl`{qT?63_o!EZESzEVHuN)5r)|WS#P`~Y?RfUjZQ;Sw7M*A-ML$wZe z_KQ*sSuyc_ltAb7xn4jO%1xVp)5>$C8s^a*#eQa8rPjPpaCMrX<keri*72&JMZfaD zNTWzX{FwAl<6{C;oYgMp@(*(37UOWqE8|l=d^vmR3sE-O-*D`cyXo2|*DV`T=@hR~ z-l>CF5!+L^x$|}csOph-3>!f0hmWST;pBEMnpnXPIs2`|LI8sA=I4cfNllIp`&*Qg z>R3y|xRR}Jn2~BTMr7SYHL7!E&hH(}YoUvlsza%ZE9!teSYifeT^yvMKh}+`xa7r4 z?1PmR5ux7BAXvrxBqxo2JhMvf|AGSL2dCb_-kx{RYMr$H_WFYl0B^eX1%BV&JNb0l zIypvF^IzL1hlhB~0a~Y*+=T>E0sEIPh6Ff&wG_Iac3mi%mb31s*hum=5({?H`s`Cz z4w;ymw6<)IDT;Pxt#7KZnO!fdmAltARYg)+bfo+mb;lQWQY%k9*&deq3ABH|Ja$6t z=D@9XS}WUD1GhDB``}G2pOkW^ph((bv>x5cy(5n9OsYavajTWP5-(IF+k;a~s&00F zddU&Ba)%Hu>#?b%C<=AWPT<NR6QdWT-0hvTT5NqDpZq#Mbf?TxV|ULJyHm++(}=Yz z<sXM|X1s0eDM-8`1#9rG2JapUypy8x?>omw`?rgCp%pC`tg~Mpm8(Xs#k%s!RU<}! zp|0SuN2$h_7sxCR;DImjOZE+!%N`zo@=h?Kuvi3V?xa9~C^whFZt)xZf0|$zd%Z~l z<Sr>6q#n>{5e`3X`8bD#(u5}eH-9mwJ7YZHLwMn7Re5w7p@RSP|3%4nbTww)C=cGK zqc5iiEpMgqW8Gg&XAJ|0v|0yyrw9A(-J{d?r{6zXcU0T|O~<lgj#b5$$qZS4z%61a zvD1XW5*nM;-$<{9-6Tf%1|q8sOHj;Z3ZS<iuF^REA;L6I@mw#WTyKzglYey?p_2;e zc>!b>jk-6R^iwpqF}<@z7BHp;F5E>7uF1OP{^3M&9zW_M2!z6Oivr3NK4ad<&k^d3 zm!3!8!9N(50*9yYk~eD`D0f$XvbFfEA{eEQYI+DLFrU^Bkc5tzl5m8Y|9B?_itvjP z1RUW1INj4<U!~+*2CeZ{v<62mGKz`}nM-+&m3OP$9{jJ~n^k;AUY=pYYyizP3`6=} zJZC-~ClEQ)+4s{9z3|u9yj>iCO+EmXG<aGgw~WH$d15{jF8mQ7-u>)<3Ym|zjx2aK z$Ug4^<>A61x~-#7bmhL^J30Pm<6kC1r#O5#T<i2Aiqw8Zv*T=n#%8C?RE8?Yv}+B@ zTSi>>k$q}252AQ)o2N<h-1Ox|VFbu6OqPg6;U!Gh2|g3NoIqNkh@{*OtPU)TarqaS ze@%^JPXzYJ{4!s(`Ini0TkP;@jJgN34rW^0wvt~1tY;%6?}s-<H8Ycx$7av7USVBo zX@M}JJB6hStAoJ3%Cbvue~GUv8l6-G#gxwY`ZB{R?2@x`F^Z*=)XBEnNDdMt`I(Rx z!Yw84Xjgy#r0lCB(5}u?8)MJY7`uVsbC_fMN^#2C9IIQ!{~sWKT3;?A6ngENnypgA z75L?pusl56Yrte(e5?2=zW-AjWW%XAi1K2B`cfKI4`P!+SG?IKyF-|UxQlPRLkrzR z6K{*sP3%!H3yJu2S4}2E1R2HDU<PqUnWB=8k+(`li*rs^?$`Ztx^wzveyA9%*`A)F zR!yF#YGSAL99qeLp`H0F=Sl!pHAq~8#18}#lV~G2Ftd_dh?sZacmK_nVmvGQH?^&@ zzd?CG87=QWaggkzD;k~{-3o#I4o#T_QIS`GYK2}xwI6{I0UD%~Eg(hx0KgjMSE16Q z3E%Wms<41$E0kaumv~o+1TGR9e)I0-9n=u92L=6e_0*An12!EK_htb@#PaL})nID- zXgBgthO5`mDNz6Z2!FHwc{jpO>7Tayu24O-J4_xuG2a}p*etvt-e8v-%5r941u=DT z&fzISEcQI=ChcX~NhW6w_*d~vtx!Hcg;EgL;YQ8FNDu2Ap_A7BK?~lSlh*bbyky_J zuSff*AHXPojsN(G^u-y=#Mb%$_mux1b~<e;PkFnX78iWeQGal&<Z8bK7Nb6J=Q6rD zKH{5=!<Id$#(m1ZUQ#Wg3tq;_H+b*hvtvi+D2e}<DtD2mbRGW3M#EeA6qA3|)bYa4 zz~kP^mxI06`v?0TAtIi?dWH&K@U@;IgkWO4m8KehFFA#28MO7Us6;v4URg19DyDii zN!xH%qo5ERs!hDl_f|dx{ho(kz#E5OnAa9h<#eR+W-ai1(i?%znU|1DFf4)~{I|v* z%>L}ZdT-f1PAkgm9Ux(hUPhYoaGb>bKE8)D-KjnnqA1V|jy|F{p`eO<QQ50FfP*H( z%Sl^*2b6pA3017HL93{QKwtg}|Dw`bEp&BDzGFbcr(b>!xMotrul$a7cGa_NEn0Qa zz{+n1lm0jw0gIx&hqIXH-RJJax$m7kXny4tlKmHuvX63FtX9Zr3+!6s<n=9k=8XnG zxEXN@Xec!?al-9$Yf3rC(PYKyh~`rz)VRohRyXVgpiCZ5L8r?USKBwGiTOD2rok5u znjV-2D8xnCPyb%lw`K;r&imUr>&tz2BWG2=G*m3LYvq2In<yr5*RJKdOMa17wwT^N zSXs*q1Qk=$u9MVw&09Z@W=)k)lFs)8_1p;<cF?b<o~*U(=ci>~BeA=#?fc4El!rxs z2(%ilt<l;CLTl-s;=)i-uN@C>m)eqR9Tn{vYQc!vm#JvuvYv3LWj8?(Bx$8bC%8vC zA^w#h!jQd%BNRBz+66;P<e<qhYl>efwvV(3XqZLcWs09e{oLMa686wI?ZR7OH2@WK zw|z8GBTbhT_(I3!e!+M%LBB^QP(@>ZAVsXqmMe*euj5`1y7I|BYpWQVM*lYbVIxG3 z7Ttx8PTKoNhX<e9UylCgfLd?_0}6Xv44_+K5e;FJH@l$X=9Zfz>?ved1gA1uS&V(O zrZ?V!Ne&OQP|}+@gqWl8aLj>D)`)NI5@%<2)zQf-{1tJ#9M_DFfTqJAb?6{}=|DWr zf9s*kAmVpnXi-@09k@(rbyL@FuGXqosid)(#$BzQK`e>+Z<ml_@C*zmMt{DzDvy39 zgmCs;E60BR@ZW8i#l6U-a%}lft<pX}l~z)B9&OfcpJ}Flt<Bcj>_cm_1XHH{s1vrM zVH=m!?P6OfEz8YQbHlpqqcxp>WuLk`m4K&d=s<uXLB-tv>G0_A1Sw(YJ~>2G9OeB` zM<<iRM1#VhbK!9^4sRb&ur2XV(n6ueMu<^pY~iv(M=u_mw-8340$^M;+KV{@4qXAl zElzc!yNwt3g@^(SjnUeme;Hg+3%N|^zKbd$=$9+3rUxbb?Cws4<yNVGvg-vJ^lBfi zu>h5;Z2kS9l9}m-GFo<$TDDQ2%DMKkxz)5EKSil`vl#5V^N9D-^rD?>CzlJtKe?Se ziv#rc>yOU9wZ}i~EGDf4!Pgs(W~s02jl#joXk{_>(c1Yw_yNOy81%he`g-p;jz?$Y z%0`qJ)e~fa%`OikY0tuci{Lv2kfk0v#tqW}r41*1AMo}e>Ca5S5<Tn0Xj&mtQfJYQ zhP;5(VmK4o;g1gWr(ui(MsAodDw~tqNES2m(ipM7gQX~lmy35n{=|*^Zybw+H|-?S z6kuia1Czg%<6CsiKY7~2DsHEgiGxP2PDdXV;_XGFHEM45ItoF5AK>)w=h>_bO>!bF z>KdY{F7E|{l$6@=$0ULR#-}{sj=WK4(ntNn{ogoQz3@;H=yFC|N&Yla?%>V$8$WZ? zsV(nwYxfH^4kCeLUVu5x>(F}Kr>P)r;QyZ*N~yi*sE)kgY+VaJ_q1Rnowhsu#EJCH zb=P|HbCjD`cx54fho9@KF=9N3(m^nWs&0~Rd;Q?N>=?0&dJo>-McDZU2soo9E{D#y zD>)q0&f|v-4H5eg_IijTk(+vF)v^0W8yGgO4>@b;K34W+y|LAF(*rjV2Tp(NuThSw zV{d@0ceec<+J$|>U!S4>oN!M~kOBAa|F#AQRs<ewd5s@`8wk@O0sX$=b;I){1O`h| zj>2@Z8X_DL#N`Ys#~43pUnZuT^)@OC{Dq7!vfjR$f+c*Lo2Z?YuP^DW7qKXSdq2UM zfy5}pqI1ECRxm_-5thM91WF*VS(pzoJ`CjXdtYCF;-V82&SM_;&{2>|nb2=nhJW>6 z{^tq?z4TUpv67)@{?1`NSbT!I4ya1<%A=YAvG-{eo)WB!JR-%b$|!nTQ?aBwNEC^< z7EoykH!E0|<Wn(&Gu(_8W&G!MHWhEN4_20xG3gAzfp`NDLUKO=G^T_DnZ(bRNC^md z4a?TQKHb?pJ^<?V8UH6cBb30SLySfFP>n^`SoFb{P?7{M9p3=?d8)B!Y=`l$-Pt&+ zvFJtZqyQspEV{;`izAnuk_0OO*_X(Y1Vl}luYaCKZRMG>?~pub@}e}2JAB8mkUB0J z$Fo#%<>m?i_mGR?e?kJ&?KBaO?V?n^{Q=45;(?Dr`pxM9&IhtTp?pe>MO!PsNrH$| zmsyhpBLT0MeUk)_0)Aqb4wM8K0cn>flms;aeV1L71RNGc`ypE5L?f!-A}znU?}up$ z+ft9@p_h-81SEe+vPZ8Awtw%~6J=fNr=gsW_in4Pj;?H|So<mJZlQ`;;-Q`3IXZ|8 zxD5(j4IW<&-0Z<a<-f0JgBN<oROFK?4jz8_DBm-;w8;rj0-1A>z<<BxSgy5)3XgVx zM@#TKQ@m}8Fl=_U!gP0|#jhyKydXM=T3}VFUu2_cl3ag&vzWy>0$|By(TE22(^H{= z_yTxjQHQSJey}IE;<!^mwCdj0%2tsTS&?_8M)N01Mys-hl&H7fI=Qm7?k2@*Wvf=U zYGq50a<yL<8fa}FUAbIpWo!4BTG`tEi%>GEQom5PG)Z17Tk>KwriNO5wz{{qvQ;Zv zMPx*kH6(ve&8}=IHef}QEn_iiWvf=UYGq4TwtTz(q-k5q@LJuP3ITIhw>pYkld6YM zWY_&?fNibM@XbPLdu-SiXfVrp5MNMZTV)h)i8i%zh;O#?Gs0t6C%4>~+_DIDOKHqe zt6LDj|G_2ZTHKp+hN5$ahgs3Ny4Vt0OZ+JviOPR{QL9@rtK&XgiGNCUOOfSL#T3d) zt!~+RE76^b_NU!H(srkJ?uc%c57u#8&ogdIQh1KIEv++Nt!~xo7WLzLe6=kzhEMzG zcWh!=onYN%M{cdZaaxWtT*J3o)U+?}F^Rs7Nf>m|VDbwBg0UUm{_%0yK$7|^_L88( zZT>IN`!PTt&=?)0J6GrjiYG@X85|DB==F+NFB;4pD5*o^S?C3$Q9r`3@GtsR@gA4n zmINDr{NhQ!ziQqz4o3NklX$?t9Rw+Lr^a$k{5oEkb^;_Fo28&RWZn<*JBHysv<_-D zNmF;jesGn~h&`a6na?-BL9kl5=6z1$?-82a1{g1TZ+h4W?!HOgsRnV1?zQx#9d3qL zsVsZv__hk8;&q)_f`dy(g5PkBZ$nCio%a-fVXlmp+L-_V?V~kDMH|o<w7cXG!h&kA z1us~+7wj;;V2Yxy`XnT3nTO9N=eZVSPQIZvYQ0+eRG!XgA&alegAyx5<9FD%RM{l5 zB$T283K2N1$^H)I2|FP)i_Sh;Bim6ANBz7lbWXF|%)ZpL6)jvLIr>2-JBKq<6wfk$ zN$)@|VJe6PLMblX>6$hpsU>E4bOwk0?x+w;ET6#LLNG6(t7~<WYs;hdt5^K$ceOke zUyLI4YQK8zSASr?dPO(jR0;dNEpHp4V$_yLZF&6IXmDOZZF#t0Rn}ux(Tc<|t1XWy zF{vdt)t1NKW;GOr(p^=GOUpyiX5?LeAZDp8j~nZzRyVn}JZj5hp_Yf@maHw0+UHUG zJmB<k%~n^O7#bw04A&I8+UHUGJf?j2rI)1kd7#G`=~A<*vcgibBK@_`BW*t|pNFE& z)RxD*RkBK8yS6-P%VVLIM_ncBq_uz0GKJO8;70!DeLdPg{eb(u4sNfbt!m4EgTq}4 zr+yu6b!(coRf(;mttdur=GdGti>9lit!C}`p+Oseu4pSoo2f02c`c92WX?Q~^1(XK zV+t!O(|(_HeO^gn7vK3m4&OBzZ~Zrlf3o&@)RxEN^m$|qZ?L$>7j5;<t&WK@d{&=_ ziKD-VM33@CPsR3-!9jP1!YfRFS6dMlE23l&KABk#k1c5<x6CSgx}>y?>+g_kajOye zjM6qLJ27k8#tlSWeBMU3LYcRrX(vy_l9)xfi{LNH+bDN@Nr@ZfE;~9g$_HyxqBbRj zyEv~t{YG&()}};lO4O#r9N|;S^`T*m%JABhxO39D)ER42qO~n+B)K7f+cPC$lsZst zN=Vi#%@yTJwbMeA7xvMbxDXlOPt%l8w3FJD2x_myEEPCPe?eXB^VVXY(pa3wE1`H0 z6}eaEfY&+TQ^}2$YwTXS2C|3tv3e!myLly);gwAl2*7kEhDCu}PWh?wMYUI=_Da-V zi6@uR{WMJpMLT&Sro=3N!oBuN+*(FmRR3?)eFGZMKo?(1o$~$fXiB`b!yKBVtWAm9 zl&DRK?pSZsq+A~w%BT#lO^Mno@o2E^+A9H}KPC0F3-ZxchkBBxgr=R;ro{YSiExg7 z7?=D|9oY0t1Di7H&f$r8tGEqoPedKm^f-f>dQmTKL!Qm+ia>vV0XLI*v)6Od<ot%4 zGeR3biwR+xR!mZKkhr`EhhDxp|7bH@-X6qg1%XiJlzK?SUgHi`=!HKdk8sc577fko z?As*odNGObyS5BKLYMswim*~fE9<e3)`&2ZN}cb|Ke9d@rtgO>XP_HWqlbJv_LK7k z{^2dW&%^X9>>H|oY*7=fIhyt-6cYHop4L8BQ^4(X(9Cc9XpL(7qJ_%z(YZzfK0*Hw zr^jFOTJ%rq%#rtL>3OYS_zeeaG8)ARn#$bagb7fZfkD32i%P57O)Y69W^k^w3R2<E z?bH?Tun$%iG?SPUlT)?;ach<`5DtSgwC`C~)<CUPE75m<WmwQxii)w5ymI=>C!TiV z@g0<E#er7Yrm~h_jmg)T{1iG>xxnrryeC%+YNZ;@x283Nd~<508ZJ<~!nGLntX8V` zE0R#TFKVTlc4@|5cS57IDb>nNt?00|Qe8MwOrFr|)1BR8t$VWpon6y#Gqo%D<!`S) zG>Opk2JZ}iEjGhs+xI$Qzn_kRPBc8<Zg{&3DyvuryW|zXO6`3=Ogl+5qBg2h>z_M8 ztUP{ly3*{BXHMWmdHU_9a`PxcvR0}qSBczQp-&!0VZZBr3I;P|h=|8_(Fxa1L`e<t zz{eo{=JdedsST?A3FT8BU&rQLZ+yH;weN^jE8{DF)`~c~GH$+Bs&9xnQbe2Nbg`}W z(HaqIrMgzC(M%jQPVWn;)^2La&O$AJd`k5!VorQOjZ`a2T&YXe5#)^}Mv%{sRBzVh zF&AV(3T3xes+W)|F&%SIE7dhpeQEOarOkP(np`8*6g-tjnA~n*iw&*AKOQjrL%XSK zr5eV64MKIiZZMuJrkdjF@hIX6zdJzH;;A~S`uRmwSI9d@SoNmjS5mI38mz9t>IaSh zDLFp)9=0D}-T96iRILoxKvIcR(`8}`uVhyWHiXK3FSo>tH<<hgQ}0h(=fzyX#Csff z>!g?>q~EuL;#!dLlWU)AV@U5Zvc;0;mU8ufMDwmLKAyb#l0S75-Rf4D{YJF7|H@0k z5n4WG-K?j&liftv#nzxN*Y~{$D%bRsMOhU~jwzo-%1;6H*Y=yQx@E+bi~X(*3ky<H zdI$bQk>!FZoUXV$3g(^LJy#nRwP8^k78c=CW?v|Gh=vm@!)wFh3VCr*Sn|ZU?||ih zns44xF#S0tpCV_@^g#MfkzI_~(a+e8ll`V24Z}O6&k3aZ1{K&z>ehw@6D#YFd&92Q zhQ;5M7>H8P*l%u4a7@*spE8dr4fRnQ7Mh+?8x~PIu00mXj4{U|F2AlyZ`2rhg2a_~ zXTze71gax}C`4KEmk4TleBt#a$3ISgk44wbW1$S!^n==CQ5zOFl`*wzo0ZcoZV&rt zjrV3sDYyo->eX**!{TI?reljC-cvU$6g{PSZ4u}X<Zt&E=j4JVdn{&=^0mie*5qzs z9<=Hj^~=dHDAla*PS{!Dv8XFd)`mrGSlp2PxjswTHKD92cZjAQD8n`7t~M-xYQv&7 zEQZ@ZjpNZzs`pqNN4xb>heB~oeG$<2_&pYio>ChYclTJ(5qZ1e5Cvr(s52{`UuH#4 z**TIb-rG?sEylNweNt~Pf$P`F%1caE?#J=yEa)hukL1lF^a<Dc^uFCJS5l3XGo-D> zI=5yz9A}^Fjl*<|0&Qy^kYZDRxcQaW*z&qjN=2OIoO4$b%eAhvzEY7~`65)Em+vp5 zHkAERW>-cpb9Y-|8YbUS>7No*dXw=a3BBLb1xHK;<90ggwuABL+`di9wl=riHcCNp zA7ER3Z`(^ILoe+FLuOnr7-M_;eXrAxJKwx?6k^ZMCS#Ls(GSxU(c%byZwt;tuVH&Q z?Z=n)hcBY@i}K<9NrFS-4dY}G^zq7JhidJ4)U)B038tfx@<<Fy$KDx2<q$@<;06w7 zQ0?00fI3loeQVu5UZJ0&S}UWMd58{78F>mljD`rr8Di&mkG`BDNO1^~G68~N*Xvw_ zoo|TK>Br|$$Mn|V@J6P82!gMO7$1&){jlbJ3CASs1i^w7d~q3pgHqU#9Qr6<5ht&+ z+1I?Ip~r<SDNK@>kjIhqOf?P&`Y~R{nLoJ*%x{^+#!fPCa288DOcFb}6=ATk<#xOs zled=1AEy+<ij6fk&sj7kyOsN^fI>_*&=UfJ36(Pmh79AecOHFzCr0Q6{WSDOL7J|4 zU#Tg}HO4{Y9;X)4^azfntUt&TG>^bB$BxrcA9iV~7*YrCC2kFWWZXIk2XS)c5tCLH z!D1o_aV-qJz#ByAAQ*QpJkTyqmcSV#rJR`J?baLnctr|mt>cv8%Vmm?rLqTt(b4aR z9TU+!3dTegZ+ta>3UdiURHRtwup(DL18)ZsfqtGnm3je4Jx+pQI*2e2gibt!D4E2Q zw14HrLu8B@+1y?8nlH{8(4a6P9S6g4K8Lr`pzN|RpvQ4{gaJst{~ImzB|ifNjBcY| z68<5cK~EMRe{OsfvCrP;*6x?`vF87`x$0McroQ{2T!<Hc^e=;})VqLOOi^jn@V*HH z4}WyR5pGsGCSj1^v(t;H$MO`Ju>AVGg%Q4B0>Kz|B^TXUeKaSp-RXbBS(7uDilHLp zHn%qI;}r(gB4U-{ik7M@Mwwhw)Q?!+m`*mMxF00Z7!23*_FFq2jpnjO`g(_Ck<o%6 z;z*(yE`vya;*nskLUP?Qc8ye3BMF(<d&mFfk-`-uNrd!jNYud0*$ux(VEo`B48YM? z(r;gIMPez@uoHDdIuv~Q(a+C<Y(Zx+2IH=caa~_!dD>yGhhvJ#!Bh;!n$M*dXCjrq zA$uQ=>_yP;d8?hPP9N&mD2cyEEQ14&9tpe9_E_=|(~EiK{pcJgKlZvtjq8VamvN&6 zCx5H4kJgyf-v+}?ATO9sh9Jn0Plz#SBOnSxl6lyoOyuFhU4cf$_pQ;Qv31e#pPO5a zf3dJVX}usm!JT^n-HxtMGr)hd_0Ejg`Lr*FtiALa+bi9$7fkvPsArHrY<=;HDho+z zCGilv=4Xrf*}IGrbcV`QweF;Y!xMBmlYb=WTzOG;*lzwTx^JewDO<6_CsjUr?WLse zoy8~a!ZxeOa@Jc#=ld-sG*aQR<|E?DFMRtGxxsurzR$;(P~M3va}fZRJdYt$F9zuv z?rqqJ=Be`M-d{Zb#Tl8NmftkJ&;1ah4U_i3rVueLnth)N935PR-f0p<LsAb@5`S>u zJGv^-EejGh@qV2^N}7U5;W&u;&@#?gjfCRC(;R1Vs$5K^l=q!kqBBnV^EYRF?^?;B z*XuZPhbrR~sfAh*${RGvHSIzVx?KpY^mQj53`pqo1K9c(-Z&Z%6+t~JjZgdL4T4S* zFQ?E5b0}S2c;945iY(X{8G7Rjh<_MJ&6s5(WLB4LPw)_9Aaosi<6z`vd3#AbV5T1g zoX28<Iw64xpy&jB$T-%1$t0Q#&cKial{|bVpx{cjX`SR13g0#DcbDdS0FP-*qdlU$ zKw(PzXpJFf3fP_I(u_S#hUV?TyHHQMf27&TH>sWr?_MdW=<Z){Zf-Rdt$$j%cY5LD zBBKc1t?V{)^b8L6St*Re%cs2*L;+cp=7$7K(bY7_E}^EeipiFRWGSGN#*epe_&5Lb zA=BUeYq4rE5E;~{8h&e-MyZt>>{j)o`EIM}tQNa-g2HRcfi@Du@uJ!1ex^{uGDW~& z<s$EZA2W-GsKV$KScDa2+J7{_ldB#Z=u{D&eh&nS?t{yrc}@z)JL?1e5pF*@<L&f$ zztwbB5Z&ox7ol1doqe<_aJK;%okjid_3`KZ{Z_@arTZ6d8(0>@c(|(mUT)c)iO?Bz z+kJpU!_JkQ_f}G@H@4R8U#?watSvpJyAMJ$>wXQ`HP^uKzhHW3)|Zl|1Rw{lEA+>H zY^RsGrUW=TczV8+k|p<6Zs6R_0s@NfD9lKLos+m<-rXxr3re82dgI-E;~LxrXF%QJ zt#XjddRR}kZ?a(pryG|mrvw}t{XkWOM_Fb@iNleXnFuzskJi*$_Kx!x<7$^-rvx8= zJWb}vS0JPS_X*V`P%Qf=0IKPlP=GLdco}1yN!SVD)4`9j8ojwj2M^L-c8?uVU`G!H zH_3j5yETc=lVC6aG-WWXJfLR9yz<!S#ons7@zS96<USupgUKL!06>|)SdEZ;IrH$& zdq*ewdg#V$iV#+qXBLG+@Y+JTr-a0RiFwSwX6GFSL+`>m{KArR*6)pTx^M_mTw1#u zX=!5$wfuEgY<=AoT2~~MvLJPOUHpXJ$KVH_%p6_r8OXw;;&Fsi6LghCSs+&*u@5-* zmlv?afvv;($UrNCumpll8(IYGge+))fx%FxUtj{nTtHM2qtS!q3*dX99~c*ZImI%B zU1RH%Ln1`-bT~XCiwemRGJ~U;5JPy95r>9XcH#Gd7iZB?4g{AWkC**Z_RB;ek-xF! zD>6a3e#ri}ze0IHv2m3Jsncsblgaqp>4k6`<2%cY9*%SPoqcUt%$K+>%)P~z2Fk5% zaR`I?8QovzCS$&X<D)Yne1*M#AD4Ww70$p<@i^SVO+*|;_tuvyIDe9Oh%g_odo>IO z2rx_y2XKG^1-eA=7v=sTa94_0-^E@)#1R>We2#U`!y0e4e7A@$!Y7u<8tU{KYZRBp zuXMWagEPqeM%!I_iXSy|P|?BgYA)t@yXC)iqcuTSZco@pD}saZb?Xy<H?v3XbiWVw z;Gj@!_`=yke)pZRWMpx)A@HKJc9Ob<%=w#JzFW{7B0n6tLg68WE9|2+j@UQ(D}3jZ z9>%-it>mC4f`76o^050d7wJ86m_wQuQQ}z`#$GVu435L2!xL|W=r-6axE-KkP>4CA zI@mu?@HBD7nr8$>`Bq$iB`eX(@`dDf@Ae`NBWO>M!a)(AxTRJijLK9GLSWfggD;Ql zw+@^>eC3o%3<Zi#$W0)$917et$_?%}8j66DAru{g)iE=HKD<t;nP#uXyGLOX#iRrE zu|FBgo(H59cO)*|4k9Ghyz&D%fF2*hvKcSU{m{ll`~3IQRp{?mqi~pFKxWxt|2l|6 z<gh*1qe8*M3rCY`&-y!`gF88+*(oZIW$B7h^d#uwkLV~ESM(~;{a#$ra;w}ZClGG~ zPnNj9qS;DWA)cNO_m>N|(^$O1K3G|o(W(R#7je42Ie`AfGd1r2{F1r_aozK}n+@e0 zm;9;(8!x*>!~<NAa3=4uc*w5TE+)2xk&GP0DqQod4CeV51|_3D4+2fez%sgov2&d^ z?UzQY1Vw*Zv(Yh;Ixs<+FX4YX3Emtcck2|tmCsNPFh7@I@+<>{W&n3YFcmp0yHIL* zC3IhU!D!Tv2-=Cgw;QVzQ<pECzJ!tp&#nk*G@m_{Txz@*v<rDAJtl&SB_(eQc6S6t z8_-kqZ}a*hJBHT%`iypoQv&Y*Bne$2+l=&Eu1<fcVq8&QW;+Ptjk6yF@zCvOQw+AB znu;|jMt>#-WUA(eLB7l=scO&!Q0#U_uPcIP$>?*?rfiSbiq-Q|tn752N5^stc&&A; zTE}{59V>})!8nwHwKSsT#UgZ{_m1%uuH`q?@ydF%@s)c;tpBsQ#yKH(OgfBlVST1r zAj5w(@9*4_3AzdYF28z;8U=k$g(Xeoob;RypgKktR~Yz%GBq+;u?#ap^Wvmxc1&fC zN7ktjFo7g<yt@E2No8TIkZ!7%tPn}B8D+#G1qk`zWwZsJAgwUJ<|yBY^V4aDHSZX% zStPe%rCC%4B^xv7hk1%;P*Z^_D3}@u=D~kMpqk;2U@(GD7ipA@AMcvp3jNA!yv*jp z!s*R;?8U|U;o&R(CJbE92Kq1Q+lnELe8?G+pm<{%1rqBcFBl2R*+wBXD&P4IW$4js zeY0xna>n&tinWtUXErkbtm13<xjQIgf?Y_TCYvNHuGD6_&v$bCd+^%Wb*#l$COLmT zZ&;svv{UD2IXgZ{a7xB=Zuv8DiI_d$Xzpe2xv{?4l$mqS-ytE%ex)do6DKt|J#imw zPO_8CFk{wvJyZc%Xq-SMRocnmlI;_>YnVJ7Zdp8>zu>gXZm}c!{MuD~c(8N&d+Wga zbaLu_`Tg_flh*0M{+f5fdH!$NerJE5Exe)ULvoIhHIAHZIHHQsBV1nxd;dRs=l<I^ zlI;86_pfNoV6Yn?nGq$w;yVuD=ZvwA?Uj^d&K+C`v_#uPBvC6;adQ6pTitwgwbW&? z8Om1V8sMC@oo<TiXVs^_U3Dz?8wn!PC@`7wObSBc8PtOVHnqtE0J((Q-tT`uiFh_i zce{K|lYh(wYkE^I*0^iA|9PNc#O1RQ0lWb2d!>P|<YV$bf09vG(!awiz-A@iGY_{S zH%A#+AvHc0KUp0q!k3b7S!~<z^zT?=k#BADdo*YuCNH+X(6ih@LxVEej7v}GBkY5g zc%MD;@y0Mjk3anDTohJeep`P-c73WeQe9xX8pHGfMy85oj=KUS4LM9Xo4xyty+B{i zctOd^ZL`qcRz~}3EqZpjquDsNS2LPeS7Z9X^nvNaFXHHr<Ex9g)L`V+L`*@dys_6H zce2=LRB#*E;F&%!eV9uNiiQi*hqLX|Ggz*V-sP4z(}#nf(Fl18Pndt{!_(!D%!fJC z2c{1>VSQ2bf$(Lp{e^sYrVqbg!}j=R^WE>hfXhz7!A~}~lC=-r6T|5<Tw|sWnMB5p z5%AQ*md9UbfP8nFj^X8*J{SWLH!kN50y_&e!buSKr{P4Z@9@ZUyP$wZZ0=3L5puPo zE!I9LMFDFcSo@F|Q*wW)YKXNDrIVdvwFzq<Ai$>5f3Wr;2VqY^e3(8keRzrVf%0Wc zD_!yj#iW@&Fnz#7gS8K3v%1?L754F#8kD*A!7+Xk(+8#xtbJhZ1K+&hn-@yDXdDD+ zjT{}%$`Gj{xcC%q8EYR{`;gFTA&+lfEVUc+Gk^hvS>u}*eDi-I%NeKdd_3dL3(A+l zE+%Uqz=0`u^8zBL$-5g>wGUZ!j{C6TaUY6)t`PUZ@uDNs2c{2eKp(OZ?{<Q_aoPtJ zpIS*PIqbu)g2O%-t|f94{F`Brg?*?raW+)?P)wiixRQ+U$$W!n%7<z#zM)YcR@dY> z=tH$8XY^1SJ-~n6|K9y%DkD2f|HN$@eu}sct3;VupoD4Q%UmvzjB2EPZwS=z=XG04 zed-wS$YC}P9kbRi!X8kj{14)Mm%50G+JX#vAbTbaJ5fF6LDshHdGoD<x%<_&DOl~? zpSUMPoaeCT&7M@fb6_Pw(>J=wh8rgvdt+N0+uGQ+lZ`RK#`eax%@f<$*tYF2&-32* ze)s;<b55iB*Hb++)m7Ei9G>e|j^}#TCwTTKKiFzNbDF#j_g%YzgQ&Ogqu$Ji3+W8{ zHU{B9vtcc-_lfS~)O23EggdLbEsUj`q#T!pi5qem_xpCG@Hw&tFwb=tyBT}9rw)K! z=F}$e0RP8r%XWvw#xE)zQqT2M_qqccj!P<Y>3*xC5vBc8q5AHgD3i%F-ixQj<Qwto zk+X6)sfLsi#IVn`=YODGsM~gWA@5p!^IShUbXO|mx&Jbia@DHi%3y++^Kig&F_?ST z6wt;PWURW4+5?kx3EzM@?(j}$fqbjevpmtBmOlJc>g~8J*W0)O|MqTnb@ZD5Lb0k~ z{+bI;+h7vLJcQwNIhz*?qY%?l$B2a}73HpcMk!<XLJD*j!>YI;!CGce7t8#<$Ju}O za!Cp#Ia&>Fg57P)vBHV^;nAWZwmhmHpEedbx6cfL2EFV#>~~Ksi>I0v-;7>!x=QD# z)g`q)X?fGn=~)(l@3lW}w!X|m9u;358DLrosyA53p0O%pFOqS8t%yAs$!x;j@!|CQ z=Lqs)#s}E(MD~j@HjdZZwbsNQRGY`VB}hB^5b-s&9`)1e>toD@&l|>c8vF(BtH0cP zS`Kj}3xl&-<G$R}CCu0%``L#|3i9CESv~5M+sWDNuXp#~4NJCB$t?$8^b<Xz-V=+y zse6S4=zYd$!He85hP>?*{G~s1hSL`#s4c==#Rw!2LNFocVqL#Q+4XV{9l@sr=JAkK zOAsn<fMp#QNiv?TJ?>0`EbQUzob2A#|CMw$UCcxGxw%CVtaL(U(sptVA<ki9M!pG4 zcv`<&<V1{|!#8~`dOx#SOwMrPjv(0m5EBrcffk}a2=s`NbHF2WmltXhGfFgG!a}dz zz5$Rz1R3w*voVY7r{(f;S}x{0ZO7aaZ<=ZtTDK_iHUu^AsM48+>Y(NjujQv)Q&<os z+d*Vxv!=KLBX$~h-k#DWnq<!z-M^}#*0@t`@5J73>3`>CW`3g7X=)M)=Iu59uz$*N z^6($5)yl=#JtvZ`Y|fI_FmtmKjJALH3)s3|wVi}RHd9SQFT$bO8C{SW<q1bm%$Mz$ zr_1CK?<P-1?_ZkHD?*---%`6vRPQ}r_<SIshQIARoNrC{mo$eKGHN05Od|#Lx@|ug zoa3|hDawj7Q@XgkJ4<JdWT$$eJ{?Mu;(T|bJgSKbW@wzPRn`ms^NUa(MLCj}6u6Jc zRD3R@wQE5erC|Ws!{0-x!^nN@T&4F9dbPRFdQ%E<H{8IdbnVhzUX-4K%P`Z!1-BtZ z5@f^}7FJf5xw0aDu(r@Bf+~@^NF2kqkhf#X10mCCEPp3TB5wD{5l=V~QO@zA$d$S$ zpwKN5A<Pw@T>85Hu=I6Y>i!ESK46W6b)$BY)w)4$>tDkDB{aWW=4%RvvlA(A3rrR& zl3soBu9{!e>CRsvNo%BP-pkW_0nYRO(4V&#NO|uE>uTVYD8ik4A@X)-Fa9-ghjmjn zo)+6Wrv+5fUlyf_T%V!&W&R)u)s!rs+4WkahwK1ZVK0~G?+p?Y43ll+VZe7$FRTE1 zTRq=+N?f(u&bwd%<5~pTr_Ts-UmiNZDxWTBA-+*G_N&F>ec+Bo=X_L{9^xPxZ;CyM zc7rE?-&Xwt7pcD(afmo*JoWgan|6HVWc~$g9uH<X>|B)&?9h|-dN828si~u`NlSKh z&0&1)@2%J@bdmcsgrQtLEfa7dAh~YdY-4&qERKD)@^7c4gT?ejpFJ_#2}{ttQIR!9 z4VJm$zL!<TzZB}3<mOk7GRj)m*~Ou(anBFwJjNZW6R=6KTNpDIf}iN`b<ub{h*M^t z6N#bzjO{F->rm<TmW#XN$=k)NEDnFeklQCd5orp9o`587TZH(JJq(~gzsA5*yBJVY zr<nuhFAQbqZ>j9oH@7i<zLS1WOGG*g$WkQvcUB{{Wjk{BTEz<_iFDlwRiCztVT<D9 z(6?2(c!fqn@UBzTi@4Ef=%(YGTx>~Ao3o?pV?Ij46s=Y(mc4*a5oO!M#3R#pzg9gL zjrFa(%c03PR$1)w8Uj3S2%ITzh;3kOGSeGGM~810!0bffJYlW_Xw5s9BLq@fBfyPq z8k$rDVPrLby;u?}O*!~u<70P?y36mI-HdH;$6Mz|?D?{*%X$0TyZVBU-sBG>39UbL zHYlhs$hKm)A(j|h*HNN`1`IOo(F>%7py`UkBPS?>vU<To5YX1q+KjB0yuJIV{kt`I z4GEvK{LYu5JM`?L11q3ody_&zIztctE?b6yZbzS){+~&&!wtN+GzE8BbYz~6;WBDC zS%Vi^|B{!s<qSzc_(cKzy2FCk-R|-A@29w^Q>3bf3lu(dCT#;N*RPVcuPI*bHG|o9 zL>wW4tcZWUgMie*jl??*xKXjjfLUp<8l#^Vo4X4f6X{bJZS75p2G>VoJGtK^e;cL0 zYOKmFCh%+PYJ=?rsn(rNkEF$NXyD!N=OSJVr!`UJO*pTIyJ}++u7^KK+_yv<?;Nrp zbrbK7UpL^KS(SkXw;QQeq;b=rJZ^e@P<>!GSq}t<ssO}bE_+T`4)s2;N8plV)ysDg z$(~!Z6bQDLIqB~F{WfW@{qq578s4^HwGat8SrPR#T!xjlEYj4z8ty3(wfI{IOtOla z!HlpVXY_cb%5Jb!P4mOnn{B?PHFM;-KpFoxD7Kq)$y;O@=;>apa{<n~muOF1DCfxM zd;KKd2;jy??uAh1kC8-20-LM@iBP0(OGjt8K96rPlhkMt(r9b_A$y4v^3KvBRM1!W z@6LFH;lTc@LS{^nGDFaLM`R(G$0C1!=eK9o_FqULG<bQP&uqB1Yo5)`u}F9hUq}37 zKV%VGEwY27b`!2&nctx5s8)ylld;8TW08!I&H*v1Um7=Ga34cA+EV&K&{Ux=IEHkC zH0<6lv#`*9mx$GY5g2fu7N4%-S0tO<aCaFuIb=AF7GOgZZ4?aCG2vlNMz7;9-+=hC z>04>Wu8rYwMFBfK_-x$6JL9UEyC(cWZl<<-auz&@+c1$M&DOjO9glb%_?Gv5G|}s2 zFF;4ujxKZ<xmC8+0x74R5W0;06wG6Pf8n5(VmPBqKCJe*N82NE`r^SXZwZ+`d5`61 zrx-NaomiM-Hl76kzni+E9--~tf5A^1@`R{f2+ws8f4B#GC3I@yLa{el3@Ts?6|70U z9_fz)`P|AG^j;%TQ)M<S=NX@pI&?e0WPw-v?6_x0pAX$t*}NsvwZ?yF{*NC+$$kgj z&o@6mAX;<}7Xq}>_~5Nel>JXq>wFr~dsf<qH%LBqZz&;?uo7j!jU}$(e1*2<6*#)7 z|FT<@>x%PMW3JuP-}q4(!VtJ*6?^7-$3zZZ3f7U1At}8_uhvfsLka3i+|LU`TmTP+ zNQr-}KO8>NE_XPOgD*$FJX5zUY*6Z)@0E3bO9vpi$mX~~p|%^W{Z)pT9|jBzY8PYa zhy;baWQnae6pz!-QvrYBy2PZkQ-qW%oZH05*bPhSS5y)Pg4{S8RDD{LE!_^AJ~y&3 zsx0^xy>s4o^8}DIaK^3>N1W@k`T<}F0chWPtFqIcP1FB?Fqm%X;I01>Tsttn2OO!( z3H)`xiEcx$hU!(?H8Hu{)Dy)#9>V>WwEfZQ2UjJPTvS+Ik3})d2tGd9q;^epy#v)< z!H-KG?Gx_RLDLYk=Ts|x#G$75u9lN}m}Q@Zx-6cbn8$FL=d}imV8$?;&H>byH>|!u zm=(wOE!E-(LGK$I@c3aHFWWOuTVs#WIdAeH7AAw!f|4tDvZ}J)MP=88>4rNu_E-y} zSxD3%*=1%6f|~eEQ<oz8R<nEKd|MGS4y(G{YUZBexUZVjeBmgGh(ZmP>j5EXwp%^N zN+smy1s5FnyI*{{G%O&jF91)MPz)1<(>Hw*0eV%vf2LLY;yQUmK=}*h6q_33xBPXI z722!W<O}6A)F=WRA`Z71SUyIIX63$JNCn+JT#Fckd?HtT_1fMmi1J^LIlx8q=XMXe zo_UJVb;QmT8iDa>ISbK7=w94n5b7Tq6o}7b@x(~Fh^w<astk4lQ~*XSB$)ulra0kH z@_au?E0fhu=oNdI#`*1s{@#8YNul~qqPiA+KW*AeC|`n2uhp#z)$Ud^j_L?}SWrhU z+Ec}?3%Q`K_0mSd3}W+fLjsB0Mbrn6tUSMYj{kSI-Uj%^qX6z;CuHr&Xd(6s%D&T$ zZ$-o_w)cctL6;UoEI>w3F-UZ~HX=Cc!o%@)XU@4KW%l>K`d0Ugdyv25z*#|L24t)s z|E2(`v)Pud?hi?IYV)~ZqLApUfG!m-iex7U4c2a>mZU%XkwPc6V4`sE^RPipfg1Vm ztp#|NA5g0rM|%+65V04xQRAJ=&Y3(k?tw2kwQs)3bv=KwlYrt@nGn>Bh(@<p-m@J9 zbo%MR;@_|pe=N9&h<8ZW&mwzdPAi5w76OK{=K1+S#6){))%FCSQJdTuKdZ1*#Lwhs z)?olbK#`z_@9Eo!t}+94@y*aR=3KbP-N-vqKd+lNH2>Rb3#aYFFSc>{i+4Ytm%)op zd?BQ<inV{sK!UCw^v;?h#<@$;<?P(`@Smiv*X8gA)CSuTa^$RCd;#~|7znD?SH`4t zLjEC}Ve0i#bGPc(f|y6-cg(^y{>!Sw)OYjIi5^PY9rM2p{96omdV^sM?zfe{W{Yfy zYD3}9sX4`%)ANzc5xow*sJa+_88HYbFs#Dq_Uo#b0Pe5wpv^r{%v!jH0Yf^T3(~Z< zLZ|9!U?RP+{30BM{ca^i&+KooRG3=0QaOkpxiK}-me^O%^x~y(nT{CgSs7azL_h^Q zTqB2xI47*#Rx>;}VlNqw!*$j~`~-|Sz(cZIh2CbQ)EE?a?$)#9K<m8WQKb6WLsaAv z$>k~V#T^zRbQr#fVv%9_fKc8uBYakVByJA>>pb0iaF|X#ljo4~h!S`2W=^%OnuYjL zV=``YgVHX)@<~EQ<dVwoqGI0nP!9-pWY6d>e&y5H$R%}!=uOdzueCN)7re62<7bTK z#4l0fre;R$xND+=y*{_@1sRSr=lHHGnC7j3F;K!O&u+@iWpU7is=EAcKkQxkR@bR) z1&Cu`;k5m+2m3yus9cIhR<8#Z7l#7Xd<BTfF!csp-_vpM+5RosRV=f9eK=49o!pG- z3U4F5Nf-2+E?kW~;?T2Cf%j806cj9EtFu{OTm){2qDgn;040m-{Ou@~R(3l)jg7#4 zklR)(C=Gq(CAf*w=FBD?dVYQ#c|ozswan1-<8mOc0u(8L*U<2QVS7<wd3J^^oxx}S z>M((1eP%-&_nFUbdvO+aX4Af0Sl{HJlGAv<-hBpAssV90zO9$cqWQxnEC;kTgIsAE zAH*s*g1%QYUV(yFJgYQ4(fsBBfQT#1=8c`)#^&q|qv>HK><kop^01;(PC;<b0J01| zX_Gagv>x%X(A058ut(zltJ|=1v$iirzqu~OBZK7mS639Nt|1nq8C$Kn5hY4TrYbjl zX?cij75-jbE5L|ww(QWTk=+S_BS_H(Au8juIDTn)jLnNow=fv=`432b+6nKmQEhHQ z<(TcYEmWHxUu7oW82B4VbH&1YYUY9dHdZmN-5Y7AvVs$ZFI$zH*V2$;^(e(NPJYjt zhR!=qs5fAv)ho-VyZ~coC|{>BJ)3_X{k|xUG@j^|Dtl_dPUDffGGN(6m1gQh7M$|G zIk+uB9BPiKz*1kPX<cs7CyK%ft7e1K`Nkll|590H(zP*4O8CD-_&a)*e)gzsTd>y@ zEtMZyoLleXbfC)Dh4PM@ipt*%CNpS>Hye1){VxG+8`Sb5g>g+>FS1NiIM39Iu+05R zCJc6yS=Py#W1KCzQ;SAwz$3No6H1fnwnbxg(NgK51u9qtV6PHJrCVk5=M#y_^z7=2 z1b2JGXHS8=<Eo<aPIfE{_C{E9>^+}2J{z3d{11-*DZM!pJN~6fbH%E)IBTtP%esLR zZ)Y^t^Er(F_UDmm$MMOH4iy_K&U;oZbbxofDXk@_s&96+Cv*Rk`i(uwg1wTB(gMuJ z|IN6p_-y}4aP_l00-Un7LhDp58m|9ulmB0hPe8V`2@Mrn|4$3-szxU1kx!O=La_bB z6#Y3N^g@eTJ`n@|xhvDAm0O>Po2rXiK8MS*KE}5Cx9R^jxBKt-oNzr;S3db|WJGH{ zQfOi6e}c2M^4US<CnURFoDLAG{Qp*okNk9W{$!M`k-Sq|ZjpO!tkt7SMW*RN{`AZ5 zHEzBmXE~EE@;eVwD2Twl4E|trbDXOjQNEV2S1ZOKMa-c)%&-ZO=%o&pu*b>IulU8R zd>Yxe+XqsS>*nRZ%lY+$vf!+K5>6!Dt;CWY#XDSy9&|h_)|m)`t0eA~I4z%L>b*}t zy>UFk?W%|_P5&z&o;BQaDC+O@nVjKxgLgmh0O|6a%5T6veFOqyNq67oJf0IQV#xCq z=}a^gXNf<PqFW+L%LLgJRb_jb6xDxa945=LIIJ3#tnHd7888%BB7Rm`<Xp?Q-Dd?I zLXGTqSq(Ue1ZU&8+C0uY5h_Y1)EFGozmaABU%dqJ!mXe%o=$_j+{&ODrrKFRS`JbQ zHD5*+@cZKrYg?pVm&7Az1w-%Ez>S0Nkt&q@n1NzjkbIAuk$G~s+->m4oF6V=E8Eyb z;J<paSJ~eb+x>_tZWn`M?@a~he9yfap~iWKBtIXvY`|L@k5a{|vQwR-Z-4KdyuI84 zr#2$+zwK6-bSzu6SIwyrY;nI9sr{hAk_`p;1oz|tg{Kc=RT*r{pI5>XIrK`+lgc;( zS6q+egGaP6k}9}*@)I}|Rdbz1cjQ0qvPUAmwJbs#&?<E!`Je~)8W`MkZv?E^!|NdC zc+Vy6%74uv$VDBTB-)u)7>b}*=<5tv@Rd&yt84STv#@N7HPyWmaN~JBMVc|Lx@-Yj zoT*;70zPElGsg-qP2M^Figj)W{T7Cygr-`)sf8%7E=C@MM8ds=Ilw#L3qp8?c$K~D zBhxKfjS-Yr<5l}<l3%0gzvb{5Dy?3AgHTua@Pyw{sn0W6lpE+1>!u>XXKvrIv@WpL z4RWmmZ1#Bw9?bVf#}d#fP)%6+j}3t>K0#dWG%C18LY$g|<OR@4nS9aN-U)Z<oIUF= z`0FxPBx{Q8qBc-?1FK2eZ*oEYb_C)oZW%|gqkwMPzhkId(bw81GwZ(X=XPD@ZbLIw zMZooX$P4mmkR)TEHaH2eili)ef58Yom7Ih>{g!p2bkf8`>#AJxscNeor~&Tk?t5D- z@6uAnq)OoXELbCQmT<QtB|hj{LEaU#HR`OJgglSI(J}T~tB*{79lxfpT7L7!@$!Qn z#zV@Gd)RJxM0@|J>WPnLail8*WvKb0PL*L2MsMgjZ`O4b7yX;fVtyJ6wi-e?n9r;5 z4j^VYBk7Ux3Q8f1AMyI@+YJzg%+}QY^PBCU8gn7$W|a5<i+12B!;XAAw|McsxJBic zZo*iHQ^=_B=D@HTaGZ+<J{>;fgr_BqPh0|yDDlejCFN&lC}K9&-7S`vI7B3+S0<R+ zp&j(aZ`(ku4dU6c<?|#@FOvJ-g>bRY;Zi&I3@uY`;{>mJDy^#${{Z$kaOngJ@pjr! z?o&NS_v=Pa9hI+0>mz7euZO)F7rX6FZoeItJpt$K#?8}n+?mvZw_Ufl+4bvxKBf$^ zceBBq$i&vfAb5n^9tYIDw9seF7Vu$I@YlyN@5v;h2E1_$!YN^SiSYRuam$+0RdLT3 z+fklsf!BHImjp_kQNTDZgY}X9UfiIQ<1iQm!5DK+`WU`Uws~8rKD+OEm}PngVDLsz zZ&hiz|IqP1)CCS(RcHe$|cZO-rq*NNf5b6tyzl;RJSZH8+?OhfAf&}{+`rMQVd ztbQPvK9*@B&i(myzgVw+yen4)#KY-9%vL7pw!GUrTx~740BY^tEcA~vwo6W@Nh)%w z^>;>0DG0%Jp&<kA;zKS3;!hhU+-9P+l1iX{l!)F(66iS6nXX=B-n?%yeGGON^tWL5 zJ$)+)soxK`Ea1L}7UH_I+XJb8rm&wZ$bEu;&oK>?{zMS9`Sz{m6-+u>*ny2a5?2-n zR-Pc*e0TVJJ}^C=!_=$2NP+$Qojg(o$DmtF`%^{EoLAGAA1g_MPHLXm_&t6=uWy>L zL?5Dv$8_egm=CH#TL!0lU-qr9+cJedUW`1_Y^BId>d$a~P5oaxpBlrNq5P|dJlF5{ z*<+0Vp_&!W>XFKdD)BWQx$l@gjMan7BE9gSjf+wnxa~@g6;mL<>)G3Q1v8P%D;>yp zFp@Ny?^I!pv^9&7!Pzr`@9^-$>E`qo`8M8KrORkxJR_0PY+FP-0QqdljJt!?qAP=w z!s;Tgzf@H&pdmbS$Zi1BP0D7Z{?ARH$lZD$a}<FriRAldh)8KN!@@}umArP|aIH)Y zk~*;!K#`v<MSYHwKj1GLeWz2jwWul^Uwo+|81gs{Z1I&*^}rhHJM52wl+r7a^<f6m z$OhkmZ=a_mBI#>AUu<@`DCMHMdmGFNGE8jpBVPTGC0s*xo=ujiO}(>2pK6{t45qcH zU*Zai_M5`f%iJHG6%YIoz#vc4Oo9@9KM~rN4cM;c_lZSCh(krf<6yHc-!qNqnN68* z(yQe}Crbaa8P8T6SSD$N{7<nC2K|37ohK5pf;%k!Vx*R&*#iRs132iB2VX9zDRE5L zpdy_xLiYiFYuY0`*1|U}FxFIT{Undua&y+^;1)**#-l70_KLKUy4+aw$OLf<aUd9K zO8jujr^(-O51W<Q+<5A6yNc!W5<*8(f9seo7By>LD#s;9f|s8(rWQbsI%u)W8M%kF zt%j{-PPfgPDe>hOB@R`w9|u`JtajbXY#Z4t+U<QyE(&x2|C4-?oHGflExL1F`M{Jz zUQS+G4+YX<vkl=^g6rf|Y|f4yFapW&SPy1}^>c!PT_h;i`-Ec|Dbdu~$UQGCXUBE* z8q)83QIOx%p1as!atzMExca8mKPXXB+n@35@hX)P86n6>MJ}+a9JQ?|j)^9)%$E`` z9mPm^wXwqNjza^#N=9SHCyoaWesM^3737eBvFU#QT`qs*M#~b{MuV@y1_VXn!r|Aw zSLFUC?ZHD%R>u0t{M-0YUE8zKNMt0dKDlsJGAL><Cl6$Das;jVvv$T|Cn2gVossr8 zEAk$7T52o_iMUu4^quvsS57K}@=f5e>-}5U^{;uMgW}6zu_%a{N2VB(SgTd@53-+w zI|lzgwDns5-aie_>K<(0DghVB%8BQfSAAYzjD{lnW8ipoASF<@kg+6sGGg?6;vQ>u zK`^9Wcs{@W0@}}cUkIf!TLpW4gyuL0c8r(^W~Y5X?V{5qyAvJWo&^vzZB6%sXmtif zee=N^1G=k=Rti@q7QZ>}#A_tZzve*P8!{qvLi~^`?0%$g!EBmVegk$Iupsn$e2{mV zs>=N(aGz26NBMqFw|P{&>3Bls9%(jNefKT%lGdAFp0P}J#hqSX?+{PFLZt((*ddD{ zvcawT&%{Q%Vl7-Tx*;Qf;Z~oHQAIdo&rPfFzNnau2|@uaC*2<+JyteWx+;83gQ_`j zU0|kWrdOBjeny!uO#o3wsf*AduC>X9I4!t+q4ghV!$F(<0*ap4vf}Rw+Oqz%<(_$4 zq262W1h0A2AAC4i^MZSOt#nT4Tn(JsY*wum^G8#<dE}F-)(tPR@%le|5H{x%;MR5Q zCMxGM=?!Rh1pj2J?ul(wydnXZpquSIeD5$2<CWC9Zl@p?9WbydHaTf`Pc@oHvT)Sf zt&h`JGsKd*%^{KIV<Dw{mOFBIyRo-30$m;bg6g$eUqtiR{HHpd6$s&Ga|6$P3%|iy z?5VAe*22NduIb}DM}KLKX_%3*_T_M5RbP!zzIQ-K=|XJOtdA9CZMbePXM?YUb&e`% z(JPHVL4l&12Iixir#I#nPxmIWINIolPWQs{_@k;u*m4ctvrW1yhO<US6O){`_I}MX zXI2jJYYQ_x+@GyKc(zb;>G9IjuTDMjVPX<=(VvIgJE+L3IXJArP;by|d6GHl1{rAO z2p_eQnAe4m(pSPS_0U(Y#GUy>jMAU;ZxVdOr|;B;12kHxNRFM-lVi{=G(1CzH5v`! z(zGCk@&5j4P3~;nX4qq{e-&8lU>R*r5P`u8p~R8Gg9sz&1?XSq0;rIsp!gObm3x-= z@?0}sF4<wBw)6PYu{F!K+k-RKYcsK!79yZT(pMZ``<G2zJk+XmOpB5;bkT?M&+MI{ z>9osr0n<C?h;))|-ScSYN-N!eWR3PaG$7xAwH*Wg=T}~zbICT>RU18J&Y5w`YmM{$ z%smVp?LFk=W<7mI-@G3<IE35R#OLYK2F*q^JbiDAe7q+adM=(tw#mmhQ4bEG`fq)I zs>917-ViiA?q|f{a%YN+K1{LtgnXP(qWqMjfi0f}9mXWg_FSs@pjI7zp{yLu2B%{e zZ(FO4idY_Q*qrPXP~x%0Y=2BRVNrL5tuo4Z+%Rpd+VO4!*W4|1?$ybJxU=l-HqJRY zTfZ<2M-E6Yjj;a-{rlbS9k<SJS6p;k!It?(32AVWUa}ki%Q4k=nPRxfKmKCFO_8^; zz~k(L@$fTL_mSR&l9d^N3;6go7e^Hs`}D0kwxP-JcrESzd`lfKvrZbG_>gXVlf)W5 zq8J0eetu!}fGO-*LE$h!4e#9Llq@=)sg3L;@V>MLcjX9&_N--m($Awk54_O&R@$$; z;nW_AwCwUaA`OkGh?A9(!#1w#u_T}XyzzgE8+N8>V95x*So7+Kx;z*W%+W}JWTKI= z)e7^6+J4YIJE9JGsszy0E9rVI=e2eZYxI>I_zd@`xQI;P#YGH+Ul*$Q8yScL^R*{G zB^>_fJdrU&f#)Nin0Lju?r4Mb)gZ;l3SFCL_i^vZGw_^My-TaAVR#uWzyTEy0wPFa zKXhC~a1zQNm6-Idl1q8M$}MNuUKFd<un>iOt#Lwm!Hbx`JBeto2~SFl-=^Z;dfX^d z@%(bCBxECa+lBEU;4=;}-F%DVgY+)mO+jZu{1;3&V%jL(&g!&L6+1dxV?aTqDyz{S zK1jK5h0;^B*VA?JD7~>Q*LxY@I(vU#$s(8v;7_~odFaOicgBBBXky%jC=Yq4O^tY9 z$Gkw-(FVIXYqg<`c)+^gMOz<Dl1OIi_*H$dZ@(z5bUtA4BghX4EIsb<91&TV^&I+= zg|UMX_RRoGSf<v1HFOI}XaI=r?3cX6-wKMcgC~%^IR1JniiiL@3vmZhZNsBLXOyer zJn5E}N8Kh8NHe1;akLyC`rV|5!^$0wEA;RrmJJo7jFzafffbs!siWN3=;WC;^)%F( zTB3|UU#1_~+tUgJ2QSSE&#a(Kuh%ouYZdEb8nw%;>m<~g@nH|N7oJF++vM0jjm!}7 zgGT6lTjkRVGk;u=p(Ozg6_Qf57ZpBaYdw5)rOEe#$ct<w23#Ox*gQ!5A8Y?C3|)%# z_Zr1Mu~<#WkjRWwyZI%HQ%dvH8pT@{O9aCr3eD8W-2M}g8=H7gxU&q-06DdGp^oBJ zsTc}c&e(|l4h6_hROW_DyV;8}4>B;4u#+(A0ug8X@V^ctKpyoPy!TMv^?yA^^z?se zy*_K}8~(T@6*;`UzC7IiXF+#`cc&L+HY;~w2(X(Nd;Dlee}7Da$x8zUuC?_Zg>=D? z2AR<Wz-_Orz)%S(*$#s*8NM9ZDp!)ZZ%6BmArRyQV*Q2$*}mZMOLIT=^=zy*0kTr& zdoIr{Qe^-^M}<UTc0ug;O9sS*d7t!wnDtp3{^$xzk%DZ;vRJ#eEQv06-DZV%Q_UXA znSX`TzbX+6L(NadJLw_zdnhn^80;TC6XjgTxlt9YsV(Sm6uG))HM>bhRCIVZ^9=q; zVs(BlC`2H$<24K?+K*aTbk*8)S&+!DkGm(qU<XRy1olNE)C^x6zVNNMdgp>)xoro2 zt|vwfDs0?zETBBOD~0Hy$6jxH<#*a)@r$ljyeH|Z<i3a#+3v(p%0>u#9#LQi5>^tI zURqo{9@nmPIJV8qSf8R*N8_mf5^57eD7op|VJo~&^73(C4-E1Gyd9REoe<MvjzZO2 zLI7vEW4(o9vcy@}OuZ&>4XD+@maD-mD~kns%J680_sFb<)t9di(dy_S7>OS9Y?jlr z)7F%4{9Ix$Gid{Qo!7{TvQGzrD<%RVV~f9U!58YNUZ%~r%dC7`<Zl@4MRn&Es}~+M zMh6d*ti(XS-|8<g{-sJMRGLtXK$kR_Q~@Ve8qHdp^R+84vQ1VlM;=o3=rxAO1y(j1 z1;pJOpzD9h3%&)<BUjYb5g5n&EQN4X)0~r23CUpEBHudXg7_{+7;(a1^etiBS5tp) zoz^1H^Q08os1*>B&NOUdh+TvY#(0|u1wMp8Zd6MsK9vSHueUwuQ))L=y_*wu007|g z6fAmyMCP$@kPH&*C5{WO?4$k|S9;o6pQ9Y-D@Ab~i*nM*fwx*xAHA2+Waqr`e_MVk zzZyi?n9c~Yn{leTtv$BddWgT2COYqJd(pch*l)DRQ`yX&c8XlP+R0qgh0p^Za;96K z><E)%gnvdZ*b&GF?*8dj%`D=t1AdQoJg}Y)j9zpzogldn=FdaBkS2URj{V<ouc|(N zS5Edrqq~4f^^%;_+%WJQ_&hm8yE!0@JxDuM>d|j8zXi9-%`l`+RJ8B8L7lc_#3Dxt z(djBLk68pva5!02rtBzoE=1#c+>Y}1DFG0`S>%W9D?ipVKBH2<L(!EKz>2i_^Vsdn zhpFn&`y#IJ(P-}|+H@xp#g8<KTxX(fL99FS>93_wfW@t?Y0YchD{}F!JT&<Gk4=W| z`ogC<$MYwhL1`JV>EuN+K^i8-)`3j<7xjnNHHg&b22MiZzSISw_j#*Z@~vh}Q~cLK zXDE8eTc+{^jX*C!5jK5MKxXidB4pCESL+DzuUB|{z1Q|YpLH?*i|j1dyU7M?59FR< z9xfeA+6yiMXYkY>yV9%=_>3$UH`=%tfxhds@I%!%$M=;)w`QaP-y+ZJpOf8JFJ*|g zPS8DQu%Cx;*poA0k7Qjflvvvs6aL96NDIO`$~?frf{H3SB^0RV1q7W!7nX=KZM(j( zRydsWTF0H0M?^)hod^2DI$kL@RyI*kNIW|^X;nrXO;#lN!d{y}kJxtlS;Tyx1KlH_ zSTTz3Xw7wOewXyWJLd4P!a+ycF*Ce>VSP}*#e$6D;`^d^iV1rhdIg5|P9^RaoH6Dt zVg_#g)%l+7N*r{ffkuXK?D=ybrPX=Nv@ardoFCyCjFW3tuiw2<PA8NRYTU#}4ka>p z!&p30#cr>^TLuem2vX@58H@DCeYe&x(}3o<hJ2`5?VEqA{)o0_S*iWfO8DBZbO8A| zYLi9qW%fQB*AFsmc-??0wDg@E0nP;U>(7b%W<9NrI(;GxeEsgHu$$f%Bz-&(m%(1) zeulYbPlYp8)+4ZGyaYfSH&Ag!{ej&kPet@U@}qd}w#Sk`R(;BAD|cVSbb#&Fy43%H zq3i9LbkV@$Q6-8LidxZXpXJ&lNZCXg-p#jO!ZLUiup;fP0zL5Ws*R~ECg*A&;r;vQ zcaOO4sGf&RAh6qB!7)G2QXCl-4M5{%v$xCscJa0t^Od$jz-&IHt>4wfC4F5-FN=n5 zOd!Qiw*l!=RPV)5gbt03c`DtfkX}w!JE(<X;5U_J?1UJi%;n6XsedlWzlEZC=9sZ- zfcI^meLI%x;TAfeGi?R(VGN$RpHE5sSc95M{TMwFh~i$S!SVEn;FTu|X&E63<t1db zN8rd=JS5aR(XK8!rVcQm@6QZZnX)!HO>v=MUrTZ+G6<xjlXd2UvWwuI9X(#O)<1>) zqAxcr5ZO-1!^3VQe|BAEQhbUT$jcka3w!F%0rVkoAba90Xw!~C1$)qAsnvbEhhT`U zDKZEG%$uKk2bli}gSQ0+>d4rb1>VleruEFoC;3cZ%LH9T{<U5c<i_@7zW4fdTA~q! z>K~Q6PHDN@b<-#BHI)a7)0TU8%E^%u%`>q3PM^>Z_uJWa@b^DC@%WMrB#*C$m}?Hl zb^#ynAc`w3H4Nm1X;u{Xi@8~na-sr>((mC>Kwil?G959#Ptu4S8DV0wI~-VvhH8oU zo52^8L^oF9%IzNc=Z6%z9hCGD>Y2SDqRg+N7Dh_quLx*236;c(#VVr92}+X)Mf9o$ z>8-vc@cd$R2;BG-pf5!eX6dOJGRQWQoQV#lQm;=L)H9+5N8=n!Ft%??j9@0z5(I*9 z0PGKMs!(N1u_yODb7bFl0{O!cc5)g8y(sX85=B}+e_g~dHe*&3MeDL}VSyF?isdzt zwy0swED+zmOB?sGrb=pgL>OZCGk<PJFi6Zq+UU_M9AR#ph{MWxrSpofj3U*!`$AJ3 z{=hXlOUmAvo|>y3YJ>T0!I1n0@-5<J9MGEr;QKpFzIy@+NYUMR+P$0+hxIP%Yb$D$ z?0LT-LlgVjo6jqJ%hMIBAXdkUt}05ES0BY1Y8k&^guNi&+B!zOSl|ee4$js9Ebs)~ zP31v!sxv>uBf@bS_oD1D%NRRMysTE$wurvqL1b4`!hX0U-oHxCRnJ{j3_i}Q1LUW| zzCY7s#zR@Fg$YpfcfP{Zvv!bOPLFmsLAlU`+clMLY9Z6Hbg<2z$@Vmnkse8)#_nhh zlBz`5VQ(=1hj{GgE1}GmnA@=6M-<kARFWaYOC6Ooji}7rl}#OK8@?zU8TKjx$cZI< zc0$T%WkN{^)BdEyH;Xp+O%xA!t8q(gl*&qg@6}XL4_iIn1gGD1=};B4ogSoovOx?< zq*W@h-x~}eDe;jH=+HE`0}HWf;5?@32(%&mISJ|2wCZ<Zhz`{O?}ZT8+m-Cc@O9%; z){6J5s*zc25*k5pkL_|T`_l2<-h-=a;fu40--QeMCS1!6)+3I#VZ1NE5Q~i>Pl|iV z7L+AME5>5s^*==PcnMEEy?JdJPMqP4($xDhF&-PGzHS7@!Fy#PJW>k{b-BLzRvDH` z{MM2y<<_&Jx>V`fz<yk|jO|KB?^w1aRkeKKlYHt^f2)x9B#^!-*v4*yG*>V0`Gi;{ z=!o^md`V}m&_e<=R$1S<eX%ONv|+krOM-nt&Sr1Ig!O7-yCm~PW5;9XR;>g(9s%FT zpzd-F_Pg+-qFW)|gadgRqpWX*8h`B&@%?V-X|y@|-=XV`3JI5Exlmfs_qOsbNN-s| z=^P^QKWuuqMR`Z7gvhra7N)s2(RDobUfOzHDxu2y06!Ms>am=r0>24j^7OxB?Nt(v zs3h-YD4u&&6i}+=c$p<wm5JtRM>V{hQo0W6w6&=RD$?g^2TU`Lm?Vr+x(c?n^;0gW zlVk1SP+-FJVNj*Iu#S)^ppq*b!s7nD9}}=QQ9QRrPiXtB8=y#k`}tEELYlY>K2IBU zJUar=Gc#bs3y}uC(_Wzc*S6#dxr$rd<H`Sis=W2Nm~R0o9q_fw`uk;D;g}^H?s1+i z&Gl=i(sPV%l3BW*(HSqI!T2pp<9<a=bb+0>$0pkr#)H2+HT)I6&@rgh5=062?To3! zo9|Z|q49Sx$2?a13jg{e{xG6&Z7q8>Z9o!I_V6U#WN_MiHyYZ+DXE6^o{GzI-QgGU ziq$PqSrkvxv!|<HJ~M_1t>q=+KyHL6cDNz!a{=HvNHx?k`s5z_Z9yke6qfcTPk$OU z6FaxHD^Rph36nW+gDwA-#a>l|wp9%>9Ncd|2I?=PU)Npv^cS;>!;ICXY9tx;e4tf` zJ0u{VmQILJnS+ix3P&oPfk-T7u-axBnz2B@h&F86*Ut(W#hCUROX<1ax=q%D90O7` zy`1!zQbmgOX<MYdkdXx~?%|Q`g(00RSYdLAXz2Xl{1?r>(_@WNUY+V<8PY-{?b3R~ zUz^`NUF<kpVna_=$e9Zq(IrW3!2t(c`1RGtm<0k?<~-$gUA}b|wrpke<T%A+oSc8O z(0_$WED)>rNS(v3gBrd9)jT#>G>WjE&P#H4j}fFZK`0Q;lVS=l?~Ai=C9f46Eg$pJ z9X+TXr_rJh3@E)eUw}ucFPf9`c@XAN;aN>nlPiY@__sIbet(}112!=qBLFb3q2OK@ zHBu!2FS>^mw(tjHVGjTIE-#9@{LKDV7T7Vg`T|f*ll<S4KN(wWtL0d^tToZg(n>}o z2+XmjYoU7_nA3E|#YlZmc52&Od|2V|2?ThZoyQ>qf@WB}F#i$3_6I|sTat9*LTS-7 z$ZO$5lIp@5A_H)n?#@I}fhCat&@T~~3w#(0+iZoo#ne&Mr6!e-Q!VmZTw3M8^$H?o z8&oEKn9-O}T5@<9<M@}az4qejsiCxRDqv$PB~;Gabps;o6NZSlrty-D<0+@mPvM)7 zUq1~J$Huecj}>&Tf5WhbDes>YXr+$PNB<bQ|6*|dSmAo_@~RMm2EzFUhVTm&+MQm( zwCI@MznqvRI}T9CXJvP2h?6W?bH2Zvf#2BRh0xy9`h{Ya`A)I-_brKkPjE|B+I9gY zaK7Ndh8E$V9$@!53E@RyBdaY7V<GeZ`C8pe6+beh+41|^1@hrW_4RZPr>%EP8WYjF zx<JI0kV#IrB-RT@FOa?V!Q4No^^+Df-ysff?61s<+b2=DAL@O>tnI~mepXPTas7k% zLJPqicIeiTs0!>rN=Ua#5$t*---fo0Z62Z`_if9h$>i7dmgsR+9j`i+YuW&IfUb3< z>C84lP&>RkS9N(N(mkkyVAR*7DX=@D0<1n{35?mqqGi;U1$fXdu@yIva@|Twfps$x zd&W(PAvp9Ct>6wz`qw*PYqFCfJgW*LI~r?2hD)@c<Za^VWy{w8vZR*d#9zQd-|t5C zCq7<8aMOX+o38dr<q!AJaCIyAg-p<a6&+dcv2j-l{EB6)@!z|y<@|Do?3Ne<dAdbg zjR9KcU+k-tPyk)ELCDgVZ-)K|!Q&nDw7^j}m4SSY_TuMho0#gh=@=7S&Wbqs-A*P4 zyF*uB@&}%1aoqZ+!=6X9>}-2PhR!65-(Yt~OTFHiE~PcW_{i7h_N{z}uq(5O*y=tX zh_KB90R>N8uYg?bcj{Voya`OLDajM4)F%Y;O^SRwKfs!x$r+nPJxPL)VTc~aUV>Id zrt1uYqhEHb_Vf7ZU+5t-BCel{eew~h+1fe7J{B;{r|E;LZJxFf2QmQ_#0Mw+cz-2@ z|48V&_|Y}3hq0K+gN@h6J4C#~SOS55A#V(Re?^dpdRpQdOp$da+A*%piafy<ghaRx zp|>z8f#jY?zxrR{oqLnz>-`e>>3VSwIH|s95Qs<~w|@{4xY7=5X~7>#iR_VMnZP*~ z&&i4HIYRYO-2Fpd4O!#Gd?LCeS<ofDxUM0@GdZ7)rZ-*cmazP)$iUTxQ<PUjm3Oo; z{FLrW(^Q9;Od)i^{G5h1*hDv_fYXSsPY#I!n^Ll85nVP75)c#HhX>-DmRrsuo8ivi zATrT*{r%7sS!v<DHm#t19MzGHv5MKD5DU65lHu_Zb$Ys9^1!?x{tXA{Wko|9Y{=&x z(HIDC)_Oe?Cw)_`<^5&+((a_v?xRR+hYdMm1Ucfsg$p)W_SsLY5XKK)BY1xoPY!M% zj)@blJ8&HTe+;5HD_PQ*R#hz*Oi+a2(t&TA9_)A>DYCWY5O<^(`ta|LjLk(%oPt}I z&z)OXt6j+^Om21Gpn~thnVP#@IbZ|O+R!p37vn|}HtE_VMe*mDq0HbXr0l(EMaBp$ zXEbExq*}YMyy%#kXOPsoHkEmK0CIKMsAM%u!)9pMBMM=BNQ~T?`>!Eu;MFjYFeNO8 z(sc`5psu&pf1uT63Ra7tmfQvW=$L5aezg{v0?-xc$0ansa$lAAqpp{KmMFzlyuhE- zZMuSGDcEzFhR?fNI^J_$K(fU5k05JAuE(|^>gTVs{-0EHVm}Uk97D@C01d}D#cUE8 zgeoq1;+O?2rIE^;qs8nHZ)(bIPBUD7=HK27AW+`V1aQMlCpktiVwM#wMyFJc0;(qK z^i^^xQ|gYso0%zAl143<V8*aNx8l7nQ=R8JXJzlhk=TeWN7Q#q@OTW4*xDdETBBhP zZ*5{Hu8o6RD2X7j_#ucd15jbK;q_W7G3;)#5%mZ~cJVRnTWC8e#=^@Y_QZK9x68v4 zxGb1EhMY8Q#Iav3A)@p__K7p&Yi9YNBnhn}KN=#NQUVtXY>S<}#Oo6)!R29lL<{j9 zV;lrgig9~Y`6|Yh35bVtFgay-6f@_CPpJk^dtAy4(Y8#~(O%UR;OB={!`~n3#yt6s z$J5&j303qpeaz@mynihOt_Z6=teHC+Ilu4dW=qQY*j5G1{BdI*2l8-0!fQ3$D6(%u zIP)_IbLQ5(8U(JI^X_2r5DjYd<U*ap^{EoqD@R!eof;Xh-9`vP8758-fPj~0)66x8 z_uA*HA?+CukmXwgxc^rS>v5^_Lr1PNn0pvi^loDPK>>pg<%t_L6sgio`(vZje<-H{ zI~LdTfSmXml?9fr$^9}uDa|Vag{vi*_~%%+Jm+KRStBvYHMj@vXf>aIT@$hi%d#}T zoGR`-R)6NR6}4;p0}ex;h?lRM!(Gg;Ne#%=RaYnWkbdA}Nt4TF@_XtKjHfzIxt7LJ zl?wbam+bv!CzXU?=_${E3@>lb>2iwB#(KV#dtk++zrOv>1OGvoBC4qH?$&3xo*bfE zl-F0O7|Il~xl}6s4`?kLw_D5tLcPm$(m8712ofpX^7ve1{I!-4G@(3W9rXb)jojj( zo}(YvnxX)LVgXo(ltFDtu@4rhsF(F)i&{tq|C8j0Zn}tTE^gGPVHuU1p>!h0o`3>( zMuz&S8O^nEp0e5Loh_lO)psaNCE0(db&1oTUD!BiNG)T(an*pHk3jg(v#PXijDqIW z8Asc5l^c3Rxs>|k6tNh*pJ8w|ym_3WlGZoriUy#n=hw+WlBS(Sl#D*DwRi=C_`Os{ zMb1Mo<MxlVux<9#uoHV)o?d8LxVWY=-(4H1VJEIc4p>s*3j(mcbhvW?8)8Ta^6dv? z7=J<LfZY}p(?z_H!n*m?hI#wUO(>Bw^S|1C<<gl<L@0rh2IK*|d9G!4dl%5d-wGKe z-unR$jm34pXe6(NFNBagICq}Z4|)7p#y=&ocXsR<|3WeC%0mXF6Shso{Jk5H&UmKw zK86TQL|j8bWtgWTa^U0pCM7etHr32h&=>tJ3X_tFj8ip%6Mzd1Epmtxo%(ywXHkNh zt$tLNAyCsWfT~cw>8PQQ4hSQ%p6zaMra%FBi2-?z>KvMd{V_iBB27J*@wBG(5Na{Q z@{bO9cfui8Y{-sETyY+LJe9vQy`qg|!>tVsaznjPn`HUNov?7ZzH{*HZ8sM7LzMN{ zgqxqF7HF3TW8fxK(bBD#2!*@;#js<f3tF!b2yZ$)L5EHYik*2B)%j}?&hT#kgpLD1 zNNKo?)fy$?XLWan(a^al$u3IX?o5^A(RILyg=h|Kc+9pbVhI^imgvL_;=iMw@vmoP znZbZFbR;IyrgPQ!^J$b2VAE7#tI8$D->AF8A8o?no5N#iz6%~rY-N6Icz!ToQc6d* zd`Y~faUh!c$;HA#BWgdrp&DK=&d&fiOP=ufJLS$(TNIEq=$0#q3=fHmp^yg@&fgd? ztD?|p#$UNjP5m^Jt~amm-W4_Akv^*@(`5BHB~`Aqrq*Qju~uaDtVW^xDfvwKJ><3G zVH1F5-dsN;fP13p%2AA35^RAbX0G3VDF{w<sZ;IB=~5MP@Df>~iKr$NU$g}(kbX>$ zd?x%Q)yfY=d@gO6sQ!gLrgrj~Nrn8K_T6<L_md?P^|LX{1Hb<$8Mj|cA66|7GW_+F zZchg{7b?VHp>eic%NCcqqD-#tY|*_yqmLc|S8SgvEiW7MGr0J$E4CdgQ9|yAtqPvW z_d_HzKj%N#^Bjhcv@WJKzzzBKiOiM8rWJPb4SFWFOx0B&vXQ%h%sB7QdTaAYIpsct zkjk9hzcN(|5xPZ=avUCSji{i;xgSStR+SBb!8)|83}@fBuQX0Ys#F}M#63XjMcE4) z=HcMFvwmFrTX%VJRuC3ChCC!Av|D%PF+qBKQDP*V#eSQ30o4~2z%=WqYlxzgDj(!{ z(zg;{9B*Ia**s+XhnJg)#E@CvM-64OSN;C#yeCKGey+U*jjz;RIwI(?mp}33O^10} zCZsxd1tx756LRi$keAv1)oGou)HkA0k91P>nk%56u0RT(46;q=T5Yi8?j75tG@DEi z|IEbIys$KvWn{5d1c;B@Omj~(vm3y0M}<y6)KfS;Irhb7Mv9C1F!*HtYx*0zRdpb- zyqY#qWtLP)!bgWci15Y{AnYs6dF{IWRJH}&(iVbzjXW$(J#E2frS<}SM?Lke=$*=o z&v?rJ{=!!*z-yK@LsC<+M(tiT?=CA@%%roRX_mz<rtU~$0xYxDx*bjg+y+(!ajt#y z;m%<S#5h_U9`P@Byf|7`+gfHkM?d%?rPzx3g};^T38uBnWd}8~T|*Cyx<h_hxW`eo z*CA&ke*X?z6J9(MT|f>I6?r_oAr)Hd4A;JUIcsa5IL)4CW6h_6!B=i3fg-lT(fePS z-@E-ciD^ARw@ItH3XvP~_(R^us2P^@Q`>HA-i(9P2^&IO$LDd%8`%h3d+$HhI1O$x zeZN9&j_bJ1yv+Xhj6BEY#}3n{v;z!Jv!hH6nv;vI;K}{DTii2n=AFvsM%d_s6>&xD zUWA@KY`A=pgjsp^c60rpVUMH6?ZHGic=cH4+o}gpXoB(xAb^U3#;muc=-z)9h!o5c zN*YYdx=8gE`Kb|&3-C5&SPYfsT7g6k;wz1oq|2tF_cJPU6T}31Dj8FaaZ}v6pCS$9 zaz`o|5uOj-+Gc{y?3D<sa|O#c7wpCgs1mf5&kx3;%X<Ow8!`A?DI&_;Pslk<??}Up z#W`RB#;WtSYvIqZ@T2NjNs5vYcVn&lM0)Cu?#lThT4j>=PURrBcdSFzhNYaWK6Cq5 z>t=|R&2Ta<=Q>3{B@P2TmLm53M<@r&rM5;kgh^7kqIL38?D4+zR4z6r%l&`M5o}J! zorxU@Tz9h$$9NE1_?5LcuG7Ey(w3H8+jfB??B%ZrP@%Gki~c1UxJ^P=r-Mv}bg-x@ ze=yYU%fUmM>&&#+;&|)ITd|<-I14Of2r=(hm4E4S+*_9@iF_SqvPMDtV>)@WC7?<; z`g1|0Oz^lbvw|(D+Ds)_fAXn^l9Q;x9Y3Vo(Gd`!gUW2O6MzPJAme@?ybmF#{yGB; z$ECZ%Ct=gB5WzE5saiaOM5<S<zw4)LYc3YATUT3ych~AKm4PQ2G?`Rek6p=vkJ>gW zlb{LMp>;b)m>O1(>8Erv2be&OQfC=5|2fQrR6qZ(^u<Nami}{*Zf`KhtmTq`OQ>5p zap@X1iuKNoWuYfYg$P}(v3$Z{6<DgRz!^@`SSUY2zR##m_#&T?d%F`b5K2dLS3#on z`9iKX!LRMrLaj9-psV7W`5l!shvh6ZI*;R0&+|(#zv|~fcz0o4qvG*_dVl^vKhgZ} z4sDD+uCi{weBh(Psyvm{`z`9#^NQ5>WPiHi(+kPr(^``Pd;0jM0{!d883>vVP~i83 zHuFg@&bq>@Xa|{44PMrTJ<0j#1dRhOL`vKDxSV;CHzbV_v%4dp?&=f+mR?h?CLHKo z{M)b1z1-;khq12=sw3K(#hpNKhXi+bf@^ShclY27PH=a34Q|0*g1fs1cZcuf-dpwl zzJF6wbxw`!nzg%EcQ5J(qT#W^fz4|;BKK{lj302ABG+9TcTc9($CgVnH4HXPd6YH= zB*(?z@Uu;Czq~+tQiSM!_SemvaknnuCAQZagWy%A!Jr<&CS-I3DFZpYRjOv$_b!u# z0b%KsqGd;LW~qY{F9lL6FcylvH)7b=f^K7!-m^UltB4xNDX^tOk6KFMEB~f!n=RcC zH73%9C?2(OYljhnTG#-KoA1Zo{PDlCxt!-P)VeGI2dnEo@w{@-*?|m{ny?4`Hnj<V zwwRuXj#5SG=<K-N?NE$ntB?t$p*k_T7a_miwZON=vK1K+bS#>o5e?-{YEmUFW24QE z@F|(_I2A3LPjoGu0e!hF@YBCni;!5#cJBJCe~o4PUaz5|fhLKko))ARlRtB$YEp}) zq9sAPjWZ=v6fCRPg9aK#u9A*1+_vmDR3=BN7RrK(l3K>5e0*3iBP&}3Pjz3QV7Z%E zS@VD-k0j*k_vdRy*#EX<=^@&h$={pH6)fE~i)TV0JEc@s_%WmwNmB&Tdu$`kW-<f* z{Si0v$eIzTg|p_z!2`;sf41U(_RBKFNB&7gtb7c*Y9Ns9N=2xs2rqhnk~T>|0H!FZ zFgEWtUC1&iasu;v#S-DU!iri{&<tG?Lng)yOFyNJn59)hIckWAtfmANsMz_<P$3QR z1o??m;gq@nY<{O94&fdIQQ|C|vrq1*_itqBooF=pUn#~kJq%{<5z3T6B~EzeJGjrr z?{xI<z%DE;Xu!pM%UXa^dLVy}ZBDUN+F3FlyD8TZE3D68g_)@?#Ap$&3~Q<{Khye* zLHd>vBb*GrU@cwhMma>W5bc1$3Q1K4R53g`LAKOS&wZz3!WVE#@@8YEaf9@p2L4h< z7lWF+*11D-rjBd_t#wLsrf<MsqfJR7SS<!C;MkWX6J5Hb*HyGACRFjmS@vJXo0h>* z>W+X%5=-`T!3J0Q--(|RZ`sck0ywX~>W1l=j=!pbg+*!(>Da(we3Y~FWx9-gcR&9b ziG5NyjN?De9<~<wvr4qPZ<3njJkv!^kZDfcIy4JQWI7Qm!}M1!*8)l>KlX7rS$I4* zAQoDRm#d#FRu6kphuDtOScvp1&YKXd`Q2gZ9D%DAf2e>-YOBtfKt=?h+H#C7tIcMw zAr+C)kj1hJIzK}fX6-u>K0yBr7U(2EFiyX7JeNHOA0i|mHIPA|x7$)!6@Z60eqrI0 z8nbSnAh>bR^|%yl{Z><42+rF6ZF&p<?CcPi<gNwroc`9urUj2l?>Kq=@|hI0^IRpz zqQ)7%&q~oM`Z<FUR$Emwhj;hYnA;w+J+)W0#WoJj{jAq|Ng{iC`RMMKuvy?u(*D4z zs{0kk%?_k<v^^SQ$VOw9|8|<m?!e%scIyuoMl-#!b{uX#xrB-HbDTEl^!%|1U@-x^ zB4cALV$lz~M5wXER{+!_!^k%*USt=eY{!3b6<aiO&_O2fqOsS3b{aD>whd8S$Z%cF z<3JmGQ>LI08e1oebm4-2(nbmD-o~N&yD3}r$&G9X#Gp7;k{VQs=$N4s96F}WGv~51 zutGG6Lm^p5K_HuNYQ}9lGDfokJje!*-$c)$do#92)S+b6T){qyvCaRO!H@AL8FM(h zAVo)iAvI-H`H=@9_ktiXG!;!EV(QWDocqZpo6_v2DdZ2-Z0{gOeq9D!5;Bek7R`l< z{~unYBu*R}k&9LvVojGwLe6<G5z~-ANJ)+KhC}^{41Id(M&L+Ei|8~JK*kDEQVt%C z^kYGAHI30A2zELO@$8{fxw1x_C~oUADOABFV%k@LC2EGhz6xrZ`ny2=iEvfQtt?}w zip!xayzV3MKo+7FOn=epp49Sr9RalqcgR}N*Bzi@)sxb&Ne?Y>2K3cJ!{u(5wF+`4 zLzsRGjvOP^ksLwC)!7*XpBl!f(iB+=Ouj0z?k6va)hBBAQfamUk?}eB<QEo+GO?A| zLGj2?8d&5PYC<Dzk@1{3<RbqLMFnwp>|hH_#783IK{poKmpBe`1T5P`#xH&*e;}y} zh<j(8?O%Xm<c2gTFSZGPsIUH7M0d?mAv`1sBPgbeLs_j^1DMK#Hz07O-HlAfB&a@% zOJufdlyr}9)6=^<U8@eF-4ErzsDCEGIWGgzlQ0F>DSCXDLQ9AQIDg#aK4Bvs#xQ)B zKs4Q+Y1<j`P=rqefxh7QRLVaf&?gDXrQ-QwY8^!`$(<!e9_RFqOs;&;f<2a$M$4*h zR&~0G6!_x<7@dQUby!0LZ)<FU?<a{gMf?;bgMs>@55XKpv~_f6#rH}<V!12Gw+3tM z=sWLaSJhteo5jCT4^^GR-9WRdT|Jl<vhR><1cqt7umzi3&SI+QnNRMz>dkuw2_>H! z&)M|g&sfr(H;Ea_M(1T=y%xt<Qcm$VWrJmfZYBK>K<*<Q=5#z3Vk@@IAjpn)^uKs7 zpqYW)4vZV@XTyg(;F}E&w^n~lv0)1Wji_Il2woFK6LN-KA){gti~sy6=Zmmsvybn( zHS3dF%J5Y!e6q)^xa))N#x66)Qp%^(bkV9Xpci95649Jc`;~2HV(KhrS`T55sc;*i z0&w7`b*1DV(Z8sP+43LrxrEnM)^YIE9f3%)2=NF;_h!o)q;#<pK`g-()mOvu{20aq z4K_~~MV{}PY&3{;tv}Cxv78;>Q4+B=Oe{xcW~PiSX0}`0dy{^3{*y3mO!>vYh35}T zA{~)7#yAlYtv*&9F4UORjKrqm`+^r39uRAIYxkfrtpCOTl`8bGtw!y+bV3?s$R>v- zQL9@oJzaw!^6AP1_;Ch3e@_sZwgindmUm_?$!<wpmDqQ&K)APCw%qM$zRp?c=4;2$ zq3&Rb5Memv>my?BKF+d0<Ox^{nn6+}L(ke*_#HPR6?1A_B6VmBUA9X@Re#~&0AOax z40!A^vj{o2a$+{JKynBYhBw8Uc6o)y0;aGt%zt_a)a8qM<=~iihl6{$e==KtR@v5K zL+9KSUih7NwoEcZw!sUHv=!IY!;aa}zJdtl*OsDB9>!f*(nt?r!eRaOSMQAt4by(5 zx6&AV)pg&jA!Y;V^h7=tlkWm1jjWZP_nigeocyS%0u-t0yy!ZfsB+sug5smGba+9y z%EMhyqftjCUvJYrgPEPh#wsTeX$%gq%iJlzAZrs69r>ozl)zalL?fe9J!<9r)9P_B zpiQ$6!p-4?F-opxP0bj>!XqTHsX2XBt|Be06nRRzwFo;vB2yU4hCN`{f-Szh>gI~% z^hJuzCyC;=Pewz@k}AjdXHh^BXN<5$=|+xQRrrM#2CJbnn|>A8I0%AH=uBBlr6mz~ z6ET|?={xC|z5EdUEC))dxG`!Sb6KXL==!g{W<=;J{e`IZ5nT>83`k=@U)`4~UpAe0 zW_nZ2+zj922lEH&;EYk3&AIi($nHijQH<}Z4ZeeT`B?T3hw{VbbOv-AL=-ep^F=x) z=Wi~{xdG(IP8Ev&49y0xrpxuUM)t9%n)h~?tu_R97HZ~f3H<5CpbJPdo%H1AlH)nS zDAK_x9v$tqT++_5np`<@!t3-uzE*wNG7qXLzZIw9WCSEqoIe$qPCBxH<2mCD^{8a~ zk;lRLA&V)KFvQAR^JI{*APsSKA{P@>Xl8k-9Tz0tO+F}=|CD_ZwHn(k6ZjidK#gV| z{rv4t9bkG~RUX-*$pyU|izYYaZzf||Y|Sb=;+!)!WeM?Sziyzr?mUdx1&Ym+&4c~Y zXVFEiD}hMvxk?%^>!w925~mQaFHiaf>J(4J?}_<xSInZ<0)msJ*{{D6w=~`0Lw_8g z5~x}I^>~3P(fCURLz}c%x02@Jg0&0&Bk5$>;n9o(d{;i$r&~_Vlpg)YA`bFE_Hg=F z$iXxe@{5qWON53CatzLuOUBCb-cM7H2K8Wxz9azQU>e3^os8)0hhZ^XpHP1fbGp<G z=fU(?L7K$LQ_XjWZaz<0kB0CT&7f_A#+zeJ(}m;S0%vIb&vM4EsxUsPR)?#Gx3LkK ztYUfpq7{nPTHbE}jYm5B?Im^EJ}t3BMCz8xQ1J>GCrpdm2n_z!w&P`s1|DDM!f8;E z2Jo_oX)(FdKUL=mQA?&-Bi`x2NK`zy^-hCm=IDv8hJ)#N`U;+yx_z5*Webn6LEJct z==0OB<KS0R;u_FaMGIodJoR(S2xppqk$QZ^Txh+SM^KreUKA-(iH8&gZi}o0&&ee6 z^O&7J^QTTbanv%si^8O>vbdHXdD~c|0GvehUU>BA28hk{!u2N<u2s<V_>whrT6Ste zqYiw77Rv_389~Wmee!%smn?US%S57HE9>>u>T^j1;-ittxb&=AA(~8_NTry88p)BS zkCrR-9A)+4BBK^hJ7Gl=h!)%^mz9aB=iu4&^GrsimGQr~hVd~;?Mv63F~QhIfjmT_ zCM&RCeL8Ehy``|VDSeJ#kQZK;y~wxy=>mjxbMb;L7E9xI%vB10wh8T;zX@BCMZG)B zPiHx^H}Dv`^iD3|NnbiYjro_ZbBWd?YB#kB$|TRC8)MO@j7|2Kq>a@1Q0IA*=CcLc z)VONdJkGJ)eI0SCCmF?9?6qwh1WbO<>3+I>SDUWe_Dmrk{Y7K)d%(oQS%j@Q*Nqlx zdWp1m33V@Yge760yU@bMmLT6Fc8z#$ccb3IgI;VD6yXN-%SI^qBnozH8M0Q5WB&P= z&yP$;?OMRC#ilI8O)+GY(!GsU&C}(3l-H@3v2Ce|6Xq66MFygNefB!#8K9zlEjaG< znI@zK-HHXb(4AUGuTrUd-=-Yf#v{v!=g>xzkeR2})Eb#ro2blTTs`Y%pk_jIl?vLW zGGAcV2zCDVl<A=+_)YL^C_#%pY>kf(myKJ5^$bKlYVbQ#)V<E+Tl54O9E>}?E)U<s zeHi{&5UsFfR1V~BO>~;;7*J`vF=1EjC@e^yv>oI(nTc96KhuzApvxG>fuC4IXS-1@ zoRL?nIb^fMkWpyNz?>8tni@L1<ji`N%3=JldU=9su^;5;K%9XNq34q`lVEw{JbN%E z0m7hLg_}{ms5*B<Ybr4Igx4C4|0(6BM!mkSuHqx5xPhZHrJ8`$+nQp1k=D2MVV;9< z9<wBcDhMkx!W*Sz^AwqHzP?)l>GLK|BI6ON;Y@gV?A0O)=245g{)?lE^{p_5V&jqu zIx18_t2gXQbCDG?!qpOaX1Aa|EBst#Uu659``G=q{nEt}ckhcJb7Sd9Z)ut$Yk`^g z_z>bdQNh8X_&A`A$Bv$RLY`*^`8io^d{tH9hNIvB8H)q7#rw>GE@X}LwR-Xxhn>~R z%&<NirNYG!hrOEAim1>s7h#TWMC6-R4I;&Ol4Ly^Vrzn#G<$oXngEl*j7}6ACuVzv zV7lg<&Q^`*R$5!BrYr^LOuI%A$@uY?S?jb1ez9?vek4FvNgcZe_exiA{B0U6z^U7E z*O3kHDK_fXn-A{A7fn__jD9$tyL*X?7A1FCFAr>7s{$!g(=ut2SKT?@?9~7|J?R!V zMSF_P`R74>rvI-Q72}n$hBQlO{#lKsN<z`%@k!Z?W_DW&jYaAFaTszs=?u5>sRP2| zaeSGKJU4)L>YzF3^I!vR)$!YPg2|}u)c|pb+iqY^{E)C~ZOw0=OI9l=EDlBjm(@c& z@>Ii>_Us1D^*6JXSD*4X3=37+w}-|LQyR<z>U+h)-vW`(Ir_&x@wsc$M^ya9o-kf= z5!`1@x?krMS<|f;RKdpROnrZJx8Hq$<+g$!4A1~#bCDp|y&<bbcjflQUtyM$$=I1a zqoQLFZk(vD8>RcvgcPCFI-F|yON0AAxN+zhK`n7C11jItFGv$>oTze?VagP$kXy1o zK_G}Tz{pOq7<bmRcvL1OjiEmI=|3A-ricHxfo*M9o-z%$?I3HlQRt}yTs)c)bT>>} z+k0GaJwb;Yg}1?)Vq!eT^sLrtnxmC5<!9|JL}IwJ`*($-e_J_c_YVt4>$gRNnc{@6 zyPC-loEBNM%eM&=6>ky>%-Cyba?KW4tlN;i<dPu4TddRmw|WugDhtd6J$qj4Q7a{B z{NHN*R5?SOeF2a@h7BbsXtY}BJ6{@k^*m#T`_{=GKp7el>}-_bWJ#5kV4&L+Y(#Ll zvZrGinQvC=9QTBS<MH%r99fDBsu9u?0>b%wASgE*SpO+@@4+_{N;&THxO#r6lJ|E- z*iKo``9ZbGko<c2B(n5C&V*v0{jh$FbZRzbi{dGnJYX?K_`(W7mWjzmI#0!m-ag0S zrIrj`y``gLpend&;U@yf-h1NSSct%OWT=VIp&l$O@`(0nBa)ZMh%<*B&%m3YBY~22 zl$Ti50@gA1CuoysR&7ocbAnQ?{S4}8d;OEOtA%Z`Eu}x7*i=tjoOY6f=+VM5d}WIn z6|rkT@6*OuPe#nU2HUsOjFjI>N52O#Jik#lv?5Gkc-m6k%CRHZmW3dL6;H{_<<0k( z3+Cw;ZY>WpRlVVzmXkQ!kJ_F%4oz8c{5Cuqpb?XdLW;)v%x;17jaacjU=HW`(dd&% z!6TaGD>7A<p@j+-(`_GE%a41s%X%zs;5y+Q=->)F7v&@X$5S&sV4u_+fDjVF6Lam} z>8}Kls9;C8gMQFDj9?b)HUu+I58Rq!-|!${rjT-R1zG*{ZXvjb2c1WAAK3gTF0nZZ znB?_1!E^_!L@rQj6ilw{`fb@T7q>N1w`%D8e6^tkyG+44dRQ!u)@fKQzK$V7T70Sl zzMz=>Cp1Cb;(K-j34;EElytMt25$!+Un`d@{*mbfU0lWaa*o^>Y@5D*3}PRcCn2~? zbq<nHqB_`-FPmKPw1R_%xd#2|tUr(8Q>P$)2!>}fUQm-t-)zp8+XN8{%+NfDAm2Ep zBlf_5k4Xshn4p6u#5$pai~H;>fXsBKeTji7M2vJa5n6<-uHgQuS*{WHJHAjk=_Ih} zzmWs*qT1GU;M2!G0r4a}A0hFgl=`sO-$xAFXyeNSq9qK!VlFu{A;0DQG=ry_I9Wd8 zNg%=5YW#7G`)7k691(67>D2AzChS_&GV*I|ngyfmnTt$8nmB8e8QwUXC-Am6WzQZ( zp@FjjfjX|s%k4tQwvzjk-11hf03s@xan#A84{MrcpIGmcERq;nq^Z-9NSo$>5ck$v zfCxWp6jn^ebpL73i@GUxh~@3g^wB8QXJV3A+<WtidZ`J5)PTcccYzlg78l4R_+I7{ z?YrxgZ2wfuv<C6j?l{>9D_~?X8)3$<OiiBC=|J$SvjM-hNny~AxkA!lAk$yj{Ln?s zoGvxMBku1$+5`Wzc&+)SI@QvCmEMMP%p&M(4woMXInExoSh?-OXJJ8Ij~l2tFezxl z!-z19=~t=A5!`iDn@|%6W2lw}V+w^ts>k}@h9LtY-ac8Jp%`#|kkEBU^vWnS*xt5o z`~`sCo}r>#Z>zC-F8u`i<HyX_R#fiR=3IkO$ZN}$G#n?~Zu8u_V*||20w{XeWlC&U zP{;f92cwBuXhh7=MK#Yx_L|E~PR1$(N7n73nzXpdM?Iuz^JaJ9$VWJ=plRUwbKk%~ zsP${95uM_D(;~3;@Uu@OQ1WOsb_-Z>1OLNG`5k@TkWp3^dIGnUH)MZolFod?3Ii;y zSKWQ8no-a$x%Ou2WOZ(g;(>NFri11cXTj@WXwa%d>UGJM(|+$Y)xieDzFJd;&Tcv2 zIX9yqCj`!q1@683v3y;(;W!u?i@~mXMmwonrLiLpy#pe(B*N;Vh*5f}Nixylmgqn( z4?q1tUo>&!IO?I3=~-Q-PgT&cU6O>%%$qf<0Sc11oZy&lLp}EEj+aJB5U?i(SSP5; zxQ5zNff9jiYghlO=Po!@15S{SKv;4042Ey&y4k+6lXaQFes2LPR?fp=R>fB=2}L^C zj@W(_;L-6PsOtrRIwBnt=tMTIMDWr>MF+KXNLoglAN?+M_WB`&;b!Ouv9)swAh!qE zgFn~D*`G0Iat|_+KNC!zsDI5bwvZsKDsq_VD#r-xgS0?)qn?6hd#W)P=w1vsg4%+N z&0&mG>PyrER2!_t`t8eco38=203^^`&<b25al;!w)o>IB4NlGmW^16eFLW-}Es_+& zD{mbZcV`!OlaEmcDK5&0>mWjNsBPC_v}guH^gRz?ENNhHgh7B5N3}Ls7)xjQILZda z-7@}nWk1)yl!cZsLz^MAZ{gstrVxb&;ff{d?U%ZibaQJ@v+QuCff;f~ZZkASmH-K& zTb6z|{LtYL>Xjw+Qt^7fL12%W)DYKmc%uLVN9u)Y-lZf~^te8Nu}7idAaNs@CKa<c z(K{m5J7~YriR_epEv=?V4k4I5klsj|&oyY*2<o7B6Di~SdUZPom<rdpxp@>OvqZ2e zba(GP9`wbyfbh-+OW6Ho35IKU4Dbc*44hp2ZqL4mt-n>8i{!fZT~dap`7W-9c{CKr zMa8)9(Tzdxy*9KpVde6*b6A_(O5IX(f7^6$umS6;+iFpTCg^)Y2sG|X*&uU}$;{qP z3;HyV=pX~-2SReySxC{i1gu=3W_}U}exNbT5MnfQEd%;#I910V#gk9bfb)~iX9=TM z)eSK9>uqi7{Xe_1L)+^(Mot@Y1#vTg?#GirWYi)&eto=q*@WfYl17n<GosWf{Q`B3 zqi?0M!){5mluigf2(s-Ag32)`2(rz<(>l<7sVTpqf|^KX8SqOv3i-@(z|>D59N;j^ zhlSz{7nWW{$^yCIi_Z#d9h!C1kNd$x1*h~Qn|H&s(T@5xmophSV5EWL+g(vG8$dvA zrI{FZOF*G8{kx$tzcg@G$b}pK)NgZz^?FYEWWN7SbxFeTjT^z!elGNaGm>WKyP(mx z-%CYD9f^Y;EHO8LAm~8+S(L++xmH;}pJ0^4Iv$4sQjp{Wa(h!Q^ns{{2*!8sa)nD; z#_-f?uApftmp0L4Yy6M6ZKUqOdY-XHIi87x14;(T5cL=uwpx+?jVFURkH48O)%^Xb z5rW^2kcYZM{57y3?bUm_S0nwubS*JjNH38gA$52`S-%k!lG?|c#0AAfZkHf4{YTb? zlgfO#1_!WK+DDU(#RbX2PPQD^x%kxby6=58)4h%a57QaPFl3)2e0s{bA#!vk0t8)s zhtrN6<^nKqM>JfdS*EFvvv^^eR4PjFxo;ilaZ;iCJaPMdKWUY##rSJu?#R$BP%}9c z`_oe~5t<8!enQEJb*Cp9#z95Z$L{~gO6dFQ$q0zj#eG!GHeePBCGx6c&B$YV-^FpE z|Gmqis%Z?#f{0Pk*{+!IxIzwh(p)Xv4pmJx(n0D)!Dh_q+pdHe!eTfZ--7N;)lK}; zt&{qjDFAGxZ6C>*<xdkMg=VCIq<`7D&eJ~~jsD<GJY}c^%Z>_>gnR7Z`~Fhzot2uL zD&T&g!7e~H1J}#gbF1!{Js{YHW=Qv1>(`+WQXDhbXJ5CsM8#mhiNy(SvZFAa2CHC5 zVl-?+L&ELAgsEP)fHY&9aW$88OT^QX<x?)HFXrlS)%=>~zV3PxgE}7!W&JOgZeyY8 zpcg8+_a4o&mtqi$!(C64p<Ou`@q!5(*f3xK@qxjJ=*(KFh$10Npv(u17LY?gq$TS= z4uLuSN^LOV{QobNe$qXd?rcrx^k^28BY-DoVny{DZPx~Soz!-AvvIr+ip!?<)!BxM zJ$1-2h-#6Y9>U23HtuHEzwe$|AJ<30h!*7t60ODIs%NG<+i%+T_-UE|{L{Ijmia;; z9Wsn55c}3cJWNcc_SJ|c7JeK=d@6kbG4nLHYf5>9XJ}~nNJI~thiDu>(}J7HZsG6W zBHRh9rTErULynFYDtANZ^%p4De(j*2*U7i^@P7d*WO9Jmminke*g9oR+J3Ds3ka6R z0ZY1<A^v7D9SybGxihEeRw<bl3it3yY*8AO+#g5ANGRhCcYLG`)mRuWMmd)@ylvwn z)RI8Eaj)(fIvN)B21Km9>UL`E<w~SA)De2}>U^ogH~u`s(Ud#*hw{guEk9?RFUB8h zg)gM!mk7WL%#FhJ(2x2*sl=stFF~j1b@HV?!bbO7Z;0bWUdQIQ@QipJ6UPJBvM4^V z_Bl1GQNgOssGGzdZaohYe>RApRz6tHFoja**W><$d%S+v=NutTE=?>Fds0!2rr8qG z)y(LQ3*>T&)%!z03X2=^rqnLRm$^dVz(?tWdk)y4i9ds)#(y2&QEY1zAK(6<(ZSWM zRMx>AIW%-M4~k~4)~D`(o+19rOFD!-5QVri0hcIe?gpEr+rpYJKuYB93IAWLCMuvS zI(LTVLQT$?{%w;gW8*_GCM(Ecy+|X&BsbT_lIHt?EQGpPUH)>QtLCa}Tzh&uW4t#& z*nO6Y5_)ErZsvhO5&J_^kmCTg&Z2u)kWoke9cclMy73OA>@W6x{@1XFx+YBP7kxV= z%zSdp_pLHj25)TOOB$NgYUOc|^yv8l;%M53gY1HU0j9^Hz#cDXq+$h(a~n~8+U~7Y z?U(!rU3Jj100A1dQ5=V(Z8e`*py%>W-Bz=z#-+Ad4}K*|z{am!6dno&+wEh2Nz{4+ zv!1a4b|R7%stv}e(^^m(%NyksEHmOnet`pB-b*@P6oyjKS=kp=O)Nfqxn4aYC134c z+6N8cWGi=T6DIF~2PUh#o^KqRG4x-rlflx}p~S(puu;Iodk0(zKa0Qb17d?{TAWPn z+Tci_&vEyq=Kz{87<P*Tk>W<W)SP%IsA^VO5=}oHi9kQiGY7P1fB%LTZ5m6u)#;F; z4zYF`y+f<0GE!C@Fd){dlmywQHVG5WJu$`m630WH2}+Mi?x>u^pord&(!$k+?sb7P z8?3P==%~-AQCaQN<!c9pOAWWlob<s*iypApjSmdjJqTyR=zAx+Y^)~W%l<Q-c8e#x zuUWyTPK-rb*X=zjmEGSwDjhrP)A&@RG5c56%tqy&tS}qDpjpv{ff}J>O9npVX;(iA zAXqm-?lSKBV35{H-fB+QX~veg>Zmwe7f||+A%<{4-FU~4-XWmsjQbx^&He*XG&K!Z zd)FfmtFD=UKAReP_wh!8*0t5zWbk|Y(L^Nu{AIhb+3VO?%QPq{8jZYyX(!h`?Gw~1 zc~rPo499i;zBi+h+a}!O?>V%udtVc`P4vsD7K|9*9($7myrUk7=g}@y1qVUBLz6Xk zdNvljTZ)IxEnp7z`5vctv*{yU8TO=?gxpT@+c~L{5ml!0LOxrK4!4~Q^;I7UwH}1; z!u7Vi?@Sjq<#g6j2x)iAU$Mjae(qN>@8xvhR<YCOS1lA4ZMZH1)`?lh6SBpIuu0|+ z#RTSHUgW<1B$<&vbDxpy_-SFFRyPh-+j<5msj$LJ7@+MI8&lGRaWLSy$I9qyrq{kc z)t=g|DgeV6;)hO7*GAsG1m$DuU#kv{)AzNn+uhi|b`?trDw9OG6KA{-TOJG7*gx5j zAusimAeCqjj`1r~htC`5pqOd*NO!9LmGB^kkuJr=de}?_K_feaF}als>|nALQlz0! z(*w^w3s5)wct!U~fP=|b8yp9;1pOSNUB5hvevdrfLdWwdMtYnMDnjhX`EK5iL(U1E zl=pS;Nn6r{FDjvOkB=1u5&Z@iT#90ZjX{0JDGmRFx+yLYM8tEp2oE0rY#jK<40|+^ zSpRYDbxi3e)E%asPcp8ERzoigg$;M}xq;IdkQXlIIQ&>(%C>&b#!b<J34(zhx~xTx z-}aVLpG`*`>k+s^W*I4l1|iy|l#F+5(B;V+L=N{J`_JW-C^KcE(+Hg15q#o>|LN-u z15}rSFxm{AbTq~r8z`ltZeEE%x6QD}g<|Sg0!{0$3I95DH9zpL0b){JsR1vO3ACf0 zOl12&C?b0Bkn0q7%VO4U#aJ6qa=t&-U+Rr$ucWzi4TVynIYQN9(7A>mefCH)N2Jx! zMC1!_r}#;{30)v=&vq2J^4NhSR2c|88bAfdjB!f`Z3|9(J-98|Bj<*BmESR!+#?uU zz)#0u4+BM|m7qkz6iuF~+xL4>4d5A(rsiDi>{R{-y3SxKcjgKEXf_Vjt~d~}q~l0z zAb&AN*e2JGfG}4+zYTuHcTJ4d@&F_4NFz>8rAv-myWmn3${=)M8>|1>P@96*5h{kv zj4?*3eh8I_R*u?LJkm(e7c2==)IFQomB)5G7Yl^=QX9r!VLI;s<Nn@<V*(w~=r^!! zUw$H{Fuy1|uF<wu6Gi-)Qn>;nP&_rtf*AN)iWDn)K3$5$Gi;Z>b*30aFPtN0ncGW~ zK4kd$e4CfO2{&@QQ+Uwm3q)i1XodS}Sk7a$Nvr~Ib8y|UbY5?6<@nMs-zw4O<io%D z-1Xy3)n~ss<}!Q&0J08(Du1?|Y46(V42%_{Kco5Djwak4ZU1Ya(cY)OWcL`eVNxP7 zn*TY0SsxivuJ;$J-k8I^<>x%IwVcxK?G-L`ITLL*mEi~R_%qh1t>lHx&ND|ot>=U} z!<VL?Y8Fd}+TA9$Ho=b1bYR;^H#?HoJM*K-ai8Kj0NNp2unr8Xpw?ZE;h;?`+#vR; zk?7S8H-cUAnXs?0MN$})4&aKJEZcL<SUFYoGOS(UgBfSZT*K&-KjR`zaH21Q)=Y$P z_vP0lP<pW8tlZHlYM0(@<sWASBMU_P>p>$eDPk}CiBUwoiN`}A?@&1XQwOdmYmsap zkY}zw0ipTCQTCyR0{)xvUYOVCyI0G^$L9TA;b*@%c^}10Ad*<0&>Yz^?xWb2lAx0; zQ%Y8|l~Xu7eC&tx0-4sen~@(U%f8P(#;<Va^6--gY+p?X@OyA)#Z*!l+mFghICb?# zMH4CYxY)31^+yhh!1{#vOrbJDJq6*LCWGC21KfzBB30l_XgdZt-I<v%Zh10-!2+vh zqMjEFRnZ^x6Ky+3myerWANM7&FN^;aYcEEpF#&-&JlI2L*>t-`Y6q@Mg=>NdN^Vtc z+{+fI*@W3V78fSY@#7=rN~pu^)ejf5A3d~3GvC&n8_Dgr1zspoS&iJx9|rZn?WrsP zgg8v9f}L3(CM=X$CitMS0IIY0ew3j<x9EMPADZ4U=$8=!nb0iEdb_mVz0=mFV8x9T zvsC`ys3HfAFpwcW4}Se;V>7s{;DYL7-pnupxx4$Amy)(MhJD0w^9NbCHqCa)2zozK za_D5Ic-^rdYb@E?q<_gZiukmsyl4RL7LO#4I0B<<u#B-EftR5u@0?FwEE&CS&zlB# zZ{e%6<5&Z24xJ1K#c;nDwxfkn95}@OL=oP?KYFc%ve4+*t>q$=!wQr+I{%XBhIYY! z*NOOit^EK>j={icJ>gz8M!UGD=wZuTgH;A_`o8F;7MAgK5Si3@-sa?N+{OY`^xpmj zZf9fnXekCl+ZT5BH}&=T2ipcr$U94VLmH?qS@JB?k;=#;9%q=B!=lympLR$-6Yobh zFR-eky;<FPK8Ei2;;j+A-m<1&3Us`{KA#bHef)s?#?)*W`&`~NabGwzPhtd#fA(t< zo<KHUUVVXrRR3><CwW_eWm7%iOa3zUlAA2^dTeK{T^ovl3^>j3ck%qq0!4uvKEFYJ zXq6quG^wrJp2<Isq9@2V8x?&27c5>_3Z}!vCEtd8VWzBa>-)sxx0yf4;5Rkag4iCe zE7;FjW_~N+itR4H1bt|3?v6FAU*YPHo2DHzOI(fEySi$C=ht(9G60MKJn}rGxo=mI zvHwEBlUhsVxk(S&cB#!mSE7~m679yX55E%%txVpHMI5ezWXF!p^giYPvo9OiN_<&H zYNOk%4Yz$7&O!F9IfUvEdL$=+nk91Qb+WAc2(&iO3=rF4*AjTGZ1Fckt4kAIkO@}S zIE4AN`pSUA2>cLzAF|5Ydb_-jx5=J&6E3#?lR^BU^=q3@-j~29sALJ9pP;F2hU<HA z90il>C+{%NYZyk~s-+cySFHlAmyRHPZuj!~GG$H?4i38O=M<VKABYHE-$vr;=R~2X zmypB8c=3xS&={i_<45AfkmO85H%L4lef|~htrhHbF91nhIU8R@G?nX=)*(_I9~Igq zKQ3TU2`Sc1sa#}OILIvV;Xqqay9@*48ac?QeV{}-)MpgJK8^B#?3(;al<T(|LFNA< zhw~=tW)mP5XHOdzW<^Z>5TdLUewQ9I!G-e_<vA%9(5W!ca0&0{>vbsLvdoV<XS938 zEC9&F^2DcY_4A}bbNIM@WE`#tBwZeX0s~H>1#%YsvTV>7*WKuE23(PpzQiu3u*i-s z^ZeYlC7%QBLih<#eR%|q-{EIM2N`RO-}M&i#a0C6Y#Ial)s9K@`&iF<Y>oY3b2)^= z4CT~vVIB+2SB{sjU(4=CG<Qu#%I--b*MaCp)8kXYm#f{=My@frp$Ps7iY>@<QWt`D zv!Uhv5F}5aS%iJ|;C7?uckEGi)8@wy-`nj<H>Zs_iM5Ugb;^>R&ydhLrQ8}+EqEDJ z8I-?SUl!NJHLtj7SE;0pslUt~z^OdkkAKRs{!gxl!qm}vT&W-;JJLM(^Unz%z@66g z!$sEESd9IoX%IVE+Dm!+TgenPLC5O)W@E|_eefUjhuhLoS-WP(uuy#Zu#J5Yr0>5o zmgxz0s45`l<fK#-P@fnbGM~lY7)erO29uBf22pMd<Kx|+9OY3KiaFk>%zXv*Nboai zOSVh?ZcDk$Z15XOqGmza1-(6~03M)mNw%dW0t>Q%_PJ3gFC9Vxr#+Z>bHkU|%hC{u z4+RdHe*_O+{u>1A^DhW?Ye9B6pl4OwZXiw@+>YoL$((kAG^B&MWD0i6-inx~l<Cf) zpkCpr0a|CCyO=)oTsRlTbtEFXqSm8n`XFufQ=DlF_li~-OvE@V2QVoZ5reJOOb?Aq zZIfN=!I5$wz>*t@OpN@cnLOUJwRX3lM4EP`q1qSfrc#nq(heHmK09fVf`NTf$<WSG z=Cyj0wP<aUMEdy7^QuO`hjQ-&`?|BcVvR3heu-~Y=Z5ZV6XDjT@!5uBa*5CQ#^y@~ zmj7p>)rYif%^f@?7yu)~9JLw7PL61d*-<JcaSJ8`8v0v7Q$Q5Q<Uw^@V%MSCph|`C z%-%i`$2`Z1inBAib9_^W(mq#)l}&kV*<i8O2pFXIlx1c@)qAdTI`oPPX;T2UX}M~V z6#*85_Pz^}LGm<Xt2A6Y_Cx0tcfy+>@%*3DCQSW1v$m+SCZP3{qijr11pXWDuhDLN zivv(O>b)-w(kov+guW%fl7oSyT^Lwn`m)&Cij*J=k4VXQ+lT^Mg&y<C=^l=*^!qnY zjr<o`t;Xy8Z@cY~o}#O+0)*i`kr9f|CR6WVF!C9&*`?V(c<8;u1*vWR)KDv@P&>Og zy-$y5ZMw=N0$VsM$#BCl4`L?WAe-;PsL>iOToV+yO_4@hBO}SF5?e4Ls`jO|vzM1X z#=axu?~#MpPs^R{eqxu8@%~-hp6T@zZ88G6hr87_4_gY`XzPC8H@Sj7zM#aoSRYI$ z5}f~`S37v~PI$QS{z*qXXJI=+d0@3`d!;ww<)Q2K1YCv9f&l?scRnT9D~;HI3$OjC zhVKx<w@ksUKCbj)Y#c*n0aVIkdLG;exO~#VcMAyhi*Jvi4FR`srokEZ=mODV==X0~ zXJ-o>7~PnOaeiHr$P|K|Uolq&8vo2Szg5qGQO!CJL&Uz=FN66NLdQbq|LGl1x<7Pe z-?-zn2NpSBngcG*-Dl^R$4D<8+BX*)H{DtnC2WTm_nC&(=|MSTmb<!08A}UpCHF6% zf<&=K=7>R}V1KWf9hkZ{?{`((Bj)F3t`L}HO-mEMo(80Mg64Jn4%?DdSKD*Up|2XY z)-SUrwZH2Z)IP8<Kj>cDS)+X{p06%5{9NK#07FT4+8INdchie5br$wm89oo<jdg7Z z?qp<w5T0`6Z~MuP#^#&ROjQ22Sku>{Y!LY_^z{(JN91*!#X<sbrs9)i1kj&N9#17= z+2MUt?irq9XdFsB1r0<u%lV{Q0ijG%zV*{fxVwe>_ofcM=~UT#_OKn$B%+nh40>@? zKt?C{#IKPm3M)jN+G2-K9OpfIbGo-A<xxl)-`zS#LFhC6!Dm@<OgB&5o$a}F{RRg- z!9c4my7ob9aLXZ++ZTVWmw640W<xMsn>_42Cn!T6Ja&4P3CNDd%{orZ=^DGwN<ZZ) zOf#Ej8_9`>rVuTp{FBGiiGj91eQj?k!0x5pIp-DZ$*~|?#jZ(>K!%0dOMO{S7N<3H z6Ut2N@Uk#}<T;67ot++A>#EZweYDMMRT6LRi~7r)Ep#Z~pT~rhdp~X9^CX35_q$Cw zEYGy<H3_S}$RN80p&dAk>&JIiBDvQ+y-zO7<nG*zp5(v2CYZd$PCB4P!m{*n01V|U zl*OaKW@u?ytQ;@SM)*6^B|W!C1t^eLciw@ogA^|(RSU!bR-6_Qww6b=B6yZFIVHO& zD%!EX1#)4VeTF_Rc!aPczePF><hM`Cova^HW932a@E!?5C)=fUlH?*d$8=3Sw<TH^ z$vP4Ic3r?H$2V@+O26o1nyl;!th+@C8#F0r74(rjbR*T<mthR}MUu(=z*oex*BP#E zPT}~0pz^Fj9*T81o6yH*=pu3&k+8LpgN&Zn^qKn(-0U>sDgTjIrO8u(K>u}h`sxzI z;&Do|KQ8dFKdSqQ7!OZ#L3+-epa?{8rOUp=uONSM<jl-DkLWugtx*#K7Lcn#>Aq-h zrZ9__*k4z!|IM*J_TLrA3VqccqRRipZuPP21@L_eSvE*1na}0N7XsMGYHrM>*aD{V zVg(QR(XwC7k6<4c4KZXv1B;*;_dQ7^>-Ao1lYLwG{<>6GT@^HlNXXpjN_ej{S0m3g zeEE*fXv`@4i#Mfy8d*>Y;G$QMfTNjK5aDQ6fBkay`C{>GhtY9`RQ5Hrf!DywyDY3w zkGLs|$8hyCW8>OmJfW=ur!<_T^j&Ww3iWPR7*u?``N?E8gp;WdCzO6bZEE2M5;fH} z<FtXotB@?x<;qL^Blybb$F@ETv(zPmr`*C6bbEgHaE`Apd3&`!u)R5?cyGS8k>nP? zE3k?UTK4Ahv!IFHgsMv=kn{HUGb+tJcpP^x*Rh5;kr(kV-WLkLIuj0Vm2?|2-jUuO z@Na3^p0b}I?TY`N`CQp^>NIM>7}@uDu4vmfIlnXzYz=OLbM0$C+k1V75qopKJCI=T z-Hx;DRx~OTL~#K5Umcp^yMAZur+&{vslgs>gQd|i+ZG-e-E(I<4tY2iU{T*Tc1s4s z7lL_WYb=PUqORy)GrnmFB|;mOV7)F^x~%>Cnq6tBMbjg+%)<3B1Q8%adOvBw)pCp+ zdGomglwFFidcAS(Wq*bac>!MvlZzsuA1g@Pk3T(GVnclg3<UGZJ-Z-d*>i=w5;-uj zrv{W7(~&$_{v~?<aWbTIx{U%wpoVO=g%+@6%p&cJSa3TT%b}XBc?X&z(r56j74ER* ze$ogRf{M#MO!zTk!{tq?%K6dNVSQ$cub#j8LhHWDsGB={S751Sj4zmc)?aFj@5|Pv zK?g<YhzBVJWHu(4J-k1Ag~XS}32WukbIPehH)*eui8)G6Y&#qvtur$e1K-9_-x?_Y zI)icFy^;(`PDN4LDi6JT3Yx>g*f`Wqs8}`3L;vh;_qpmZr`I4wsBbWmP`ZbEGhw|Q zTJyGHsX4H%!=>C7>mq&bm^~1@Z571DVCz7$9*!IYmK9;bu^)FR`>~a(uold68cbK= ze<7MEl0>w(7roe>44*u}WUmr>T%4^H)8<%V^7<)$@$(n(6f+bg51G;V#e`JANT^I* z*&s9XNeqQ`8L5iq5Q8%qKv%K2iy?62=GN|Bdv#~`Pa)8e+?M1?Iqs+8NuLS#asMEH z{362!VEOiJPESPksbohG!Xb1)n_6zDK1$ZKE+7cBxhyF^TL1k*O`9piRmsLQ`DWxx zpGZ^%PhCB5yJ{nK&;HRG46&T-LWzoj0_dHGZNHk~J-3JIzlb+GyPNdkW>h&EkV6L` zT1#tlUgFDwr&+6g%VLr2TFm`THiwhlVl>AO2o<|-^ANhNIf~nc@p$uNF`sj0em1r~ z=2;IZbaVvVcu!x*Dx?d(S+tUF-^<A<kQ#X@sxY0`6j~3d#4H(?eqYDs2!>QTJV2!o zaTslXPC_4R>8tapFcqoTHCzwXpANiKrG6r8r85y<YMp)i%4FTEHvn`WlfG{E<N96! zOjXh9*F1g7j%)lp;=~FsDB(x1%KF!2dT6x8uR_`lnKrj?Lcg?_F=QKB^?!AI42Rzj z7QDNYrR#q8K6!}i$U9iXtX@9OGV>ZOHCT}rC!gJ5rb+V_8>7&{%t8_?Sa$SXjCqTe zhM%p|k&$lf47a3q6YCr&OZHf4HR4qQR(g%Z5@;)ylO)s$3<^}mHZip=*oX#n*0X+V zo#qX+ud#zIRJBNrM^}&W9w~OMCxBx8*q}w{V`vrdRwvzT>5ROJ49ms!o$n+b>4p8M zFEBA>loJ$3*ywSSWN1cmz<iB_)0^ek55wWpxbDTA^HXD^Qx3~iwGg9MeU=~q9wre{ zuYeW!1oOK+Gs6vN;|zsYQ3B4Yn#f(ZQOFCs&Y*;<3v8wP@6{;m`tOfkxUKWa(Kmmb z=CamgX3tFa9Ej%{4Cd5b<-&sWJ`z(6***WjMF&44tmO~42A6vFL;8|?O4@d`B(Zxc z7`O82kDZ_2Fl)hbOY3*VLCx#}44TJ!#GOVtdW!c-uk2P^_8p4x%YK-%omnR(<OAbn zgbxt7_)*E%k6eq|FS0=IH0n=ft|&P%037?s8PAcqlbn_kJ2i`23pokzabhu=lg};+ zMz201?vQv9?^!;X6CznefNP&Iho5)>_xY2KQ*|&gO}z%pG|p>nMl?5|E8)I%d3p35 zERg7>eW6sH?uqAz!`wb$6oUGFbIH~M$z-j%%-uGJi1vh*v0Y(HjP3MvcoV_R;i?JG z3Vrd^hJ2!e%v4;sciBpYUAW{)HwkxdR7$I$kz^FLS%jad+R2az*B<YKG4Zc2ndO$? z+bUUO34-&(2-_;q(r_if-+jdGfIVXVAG^G+txm~q+k)cS(AL4BvfG9UlZHjUNAlN% zGLbHhn&Gt>MOEL7p=UizOpOX*J?Ny0#jP5?Bj?bcDrOrC>SAUao0Qhe6R>i(QIzPw zVzB0E!#?&Gr_^h6Id^-AloyT|(U_2`X!+gSiu>o!Y#LwNe%0jzux?<vp5rS*RXArh zNo`QaU#j}zSrvI8VeKgWA1;hMKWh9$u0M(+eh<=<(K;hLyBqQ_Iy^JmyS)CKNcZ!= z6z^$0xE=L}t*BYglw2-GI*CryIUuzm&`(Awl85Hra7owCAY|sVYmNn{Zct^G>5Zwx z$_-MdRT!B(yu?ods_ihZOiPeR@A|q!8capR!KE8`@l&KeE3tJ2CVgH1%T2@qtJk*r zuyPJ(#y6SE{<u{4lr1${b3N~pm8iEeh3&ISA%k{Vs3YyQer@#wUSg(bujK?KG=2aQ z3?fcshEiiC#Sdfe!=E@7^Eivx8)|3l<R;k7<H2@koT1|eco}d#Mv45BgHHm^0U>p~ zG@z+UKSF$mOJStQUupk*#WCP?vbquPBFIK-T*o#;ZBIi8qtucP=b!F)a#)|-Xoxa5 zlY(lkr@sWcls$JD@yg@K;&U>N1Z&9<J>-E>Z0<W26>+iDC~aK*jdwhqZ|yy}-8Xz% zo}o_Q2(8D!6S-P-x2XUzgEZU{QL61zRRNe8G}Gv)o+whQXv*I5vF1@iIHBq5zRCs@ zz8^6sHq*X6)i|cK)p4qkVbKVOtbOO<rr}H8hS2+nTPHmQSqZWX6MnkhQvLp?-4-7u zf#cQ$)yj5Is>vKf$QQbcrJC6a#P-&f3{vA>w7p3H$C}I2&jvxrP8T6JC5NgzDxABk z5j+k&gRzHV{{u&K6_E@ngW>#(5Py*MhW8?hPulAOj@nrf1e}eA08AN4?<c^$i`1($ zFFenM!MZ1JF*=4VMdpG7$J*)gCsJbkq~BOt`d_8+yj&u4rmU~;vhXsLCndT+8}t5N z3$5w^OPF4Ufv26lym2(#3UcS^HMC)_rnF}!Z>gnq;a;Ys+VO77dK(I^A&|9(MbB}+ z)RawDBih>Y+7s>;;~)NrH<4Y$ceG2hLxQJ`h;CObWyQN$ig-|-RFhvMMeWhr|IG=i z4ar*0B@b&DKDYYtE@`^%aW-1ozHB%RHaC3(PB}s5i1FC|?uP!o<Z>Xy5Pjny+LNs# zi=q43<)Ow;*<Em>rajv`houzZS_{T*mLxG~KtAJgQ5P$?wGMoZ@~~|~3)R<Bb{h~} zYD=Qpf45TIT@Kb?(RnP|s;O_f?1OQ_?p(1!t{HCl`(SO_R!?ip{MzHU9DvMvn3 zwS4oYSO0gO`mB+c_3z5Bdze=*5|gko2<y|vbPhUBZDYjWj9g_VQm^+GO-N?ekQ6Lb zax1p_sgH|GwmEQ&YxJ!4&4XA?r0;`a#`dY6%TJPIg*{#oG)iq>@=v}8Fc^)9cB*<o zTicB2Kkx^a4AXtsjPE10Nuq4^+yiPADOprv<3Zo;ScO(+o>{+i{5~6l@)Eo(GaJuu zX8kKT4oMa_Y$-9-)bP$HX>Z4S(@liizQ=ZJMMSbHDR(0X`q2$Z$8YaL@H@7&dy3Xn zrp)0_boEO5=bxAe_)7K>wOaoAi+8Uyy*kax&xTjT3(<a`Z=+<vZ7e5Y?f^ub@WM6p z?7!h^54*un)2c0?o&1>9$H&Stls%Eo$Rye0m8P<#U;H!Z#NHI!r88<h;@T$Fco)y& z(ezikI=;dlqc+*v!}vFz_OO0cQRCjTQ@#AO=iM*D1t)r2I(1`2?%^bl*BRb;v!pfj zyuSMBqImnj%FkY*<_NNVIk!OlC$I3%nmlP-H74tHaq!3)|6cMIXU0eqbg2vTlA;ki zRsR0Pe%?b?5tKeb_NQ;tz;S0aPG|Ms&XmtlXP>!}bR61VOh(4GwZy(@^>>%?1Q*;* z9$JhCSznlRm^vl&j<h<|O^lAD-wzNXjR-tCI3!o~q(0Qv2Ed0@A&mefM~^0g{Micf z@WVNO==PU)uf$O2Vl;dNXf{hAx+O$(I_2~H>dT4!K6K)q*er!_jn__)6pZO_3vv)% zlVf|(eGEn1>c;UGTKO3SAWxJD;FwQ^bfU0l>qG5x%aBU(=ZA<<=rN-55qM}NLHP<R zOO7x<<p($KUoD9Rd~AUEyVUi)qNc!C%LcO`XJ39@1~IPX#}(b#K>n}hYbJgIn2_Fg zn)a6?rhd{N+29NuzG>4**4E-h8EJoIsf#OB?8^h}^M=!YVN*c4O+hO6T1@ft2=mJ} zFWNT73__;ug=;c-k5METM6ng4z(5s>!?_8^VVy+H2oMoc;qd|U<CRB(^K_43VL2-` z%?l_+8uYTCR)-*iNAj4s_e`B0XGFiUMc`(i^$**CcQ*1xbY!aXZ03N4pW}ZWdOBvd zCNJ0*x_*N0UM%Wg0#|)nzO}mc5%*{-ahqL@C=!&k&bj`~@mB&gs%^~9`X^s>=aUYa zef43C17{V%JWvL}hf;Y5M4UEnnxjvr(2<t<yo~a03%_)K%u>FK%v^LFZ}8?|DX_fC zpu$GXZPbBpw$?zwPo3W5TS3L3CPX0WBrulL`rUqG7N$@bF?^IueE;!4xM+KR5s?Aw zF8sAFITiLIuHf|@L0(@p8F5(?VH(*vqJsGCAkdTP_n0YA;*%jcWy<_{Gt5We==u$_ zPNU9zbgZa$XkhRc-3I?!4=*K7vw=zC)&3R2x+*l9eUwfK_8hrXspLnl|BXH=PSZEX z$j>iwY4fUiOQQ)m0T%BDKPlyjABdqcH|4EyFbd_nbjIv=Ab$?=%f07;-~GlG8Vah- zqGPB!<_Z=Bjx5q`Py;7UiKe7y_UgYcUaJ0ISI-&MWYa7~l%^=sB0}_|2}lV=ijW}E zL69!JL_m6xPVhkm0Ywl{15%<CLAnTtNK;Bc2vH$)kY0r(K!7A9xxC-K@1MKp%$}Xy z*_k=JXWQ(ao$nRiPMuY{`)q?UrFiKo!VjFNQ*)J76KmzUZ9#&3Q2sq>qZ~5#Tfe*7 ztmT}qeVA+&f?HNeb2Cjm18l9PY~pMvPXlNV%dF*OF3apW0lB#Xy`Ku4v$+wsnDOFy z>Rz&F808S(TsIr~WCmzXwf87znFUqH>mg~On^Bk9T4m>4JlZxRVjp9JIX91!R6!@a z-P#+LS?z~WS2H<5sTQ%%L(4Sy%s*eAhL=qRMvm*_Q-|>b{<HLB4;r73SEY-bO$Jgd z#&=r8`uDdMtc&~x-4FkKBKN>XGGxc&*BKkTGz@9JlEHeL*Oc`HAJa)DcBa3MS*C<b z?ycQOs0tehunZPf<9$#Auw@D*@1GeHv)`#1`6^I1W@YIdyCva^Pq=iJFK&zdl>|z> zjDwqTkOvBj{KA|3F3fyUG!ks}f$AHno)0?HkDcDc?U=dLKI<nTpt*A1dHv*Y<$^s) z$C=p=Q><)bxNzFQ(ikqlTAGF@>MW|>rpVEwxRC%gT646FWmf3cThZ@ZhT6~}CAs-V zR(^Q&lUJzu$A6MfrNr?e9%XPu2j<fcA8Qi}k2aSPLo1M$X^Zz|pQd}cPkVG;Y75PP zZJ71uL8a#>rtf+Ut_ak|=N0qo=c#LfT7rVRS58AQD;}+78TWPrU<J$9yvtcBSDm#3 z;2glo<cur?eDV9|7{(P@?SkV=Y4voiyu5Q-I$7B0glOpbj~Zxc`rGZ|6jU;?5L$$x zQrE9GS^95BnF*M${zt+5%=VcY4W|MO(x(H_jd+zQ5(`gJ_4&*aRuJ&n6|R=;xeAp~ z&2qcb`Y>N+0DoWi;dt$`A;D1I*R$qQM-o6!J|VO6S*+<x@)+7NtvG*bbMwCVfWn)7 zt8JFR1}JZQCwC+PePNGyR3~C6v58t+1x*a6p}iami!yR8KTzX+k4$#@cXw^;4OiZl zMFjqQw;vY%FochJwy};tcmYimWozAaPqgMEnYeK8-Fayt@UGj7`18hGb`c`)ffk_U zPKC7MP;WMiT2QA4KbG)#{f0EY-djH~q!oYrFqiq|S~*O0wCQtS_^5DNx;R<ojliPy z5eHpGcJFPUxjuE+NN0Jdc`4<Q`Ag!h#1R^oq?}%S)1Ki($Z2t{q|7nb&V5py)W+~l zs_>e^U!~PpNf>{9WKCO|w;|NZFbD|FI6?~~<~K%IrfijRudVZd*Ey`>s7tWzQ{@cx zGSlwsRO|G{tt9prTgIUC;X*uB@lRQ%!6xR$^vrA?$oF>_ag4qRbZyRMX3kf7HTQ?+ zPI`83@a)8i8Kb6`lLylbx65oQQVzF{m=<sT+3ShMvQqM#E40G;RLdJsV1N=6ly;?K zoP=^ci7a72n#Oj)kHvoIT=*CkZ$^oxXY)kFSf%X4R`&gj90Gv4>Jlu+g&A+P?|HwW zrrlrZMVT*_Z=ToFTE~m(ME{-DLK5gHYs<19+gMyj%EaUQmW8RE_Qt5;$wEb=kT|jE zLP-kokwx}WhJT#y8NVe{fIQqhaqg+vuRlMd?<N=XE_dBYMlNBW^cHIqg0ytYjI%5j ze?fPm7P$<rYxDi}b<XuR)bhuw&rYCPXBXlOgdN&<Q8umBIiGt4XR>>v;OJ-Cgs&8x z1A`^}r1H~M&MAL|xl={)(Fo(-QzX#Sofw4gK*q6~kt53KR=0gHpyn#RxW&z!E$4i( z!(bovo#Lbky@#@D$S8OiK(#p0vB~(^QC5HSwxj>>a=L=X1s@er2dWMy?<$vfb7cky z$?B_xx%c4V0@0ItlPmG)1<^$BAzW*5zBnan-=b%C$3SVeQ4NIMw-`a~XpFNWPgqTw zk`Z971N$O`6Sfmzy>t`&kv5-q7pU+Fz+a;n5^S(KEPJ&1zPncK81sDk9&1@fe|j5n z%uPcxblwz~QKEd$ht2ZESm54jYvG{t{ijKPzG)3O$+f(Z%l26zIIV&nET40jg3f2A zhOzl`oF{}>teqFl%_3Iu$k+@hBpRJ0%m1F-@j|rOjl2dF2DwSb@Riq4C1`m^0Qik` zqZW?aq#&+v#F47;F0(2L%ySB&6}thA9s$5wQ{YPF?b@q?v~SN5YmE=QuG+k&ba(mO zl91ajRYx!{J}JXqI`7SLK|r(Mx6qF&Kps=Yg#QmJOrNumcG(s>rF;%6W>^6wnO(A_ z7gBcnJ_4l~OFVTUjVRjI4?cB^B6?(C9M4hV{%Y>c3TT5;(h#Z~Xj59Af1V%A<(j** zj3z`t50*9y|1u(=7Cp&FQj)N{4EFY+)l|v|S&JV$>NY4v`eE<Xp0b*o`p3;30D*tN zAV-CiF<G}%${2Z~E3ZnSH_nB#bb*!9748DO1`|+1g+9=B+Vf^5Hm-PPsv+?*4Zd^p zxF=MsCx1vm;-lZcoSgNz4SWHTZ_vDsLa#8oRtj!g2sH4v;9RHwo+mQXiVqX$kk&kn zhTRxFaG}QGe#VdBz1mHQHMbA0GUgX(*#xhjbg^HBq#qvyJ2Zulm6a_Mg|48yfMUQU zqlZv-%otEV-CLUiZYGLcvwMp!x9Lx)f5D*)gqXd?<4{vn@)*u9lGfsQYjrb2!y1nL z74#TXd=ko;xqvD8O(6B<UFi^w879<AMBQ=hy9{ZmsS1(ld!-R@;cwH}f=FDFWcum$ zD-sB8Yr=t11IJ&o1G!Pky&M>$BznuIB;$Nn`}H(FMN^t9dFp?=FFcB#7Wh{A8lRE2 zibGJ+?>Wx3CD^#WgeJtzzUd)_wdLl^2?~B1pn5N!j$c%vT?%DY8IgB4OLJ{~6d8!= z^Ma8kqg>lsQa=YMUQF}7`Vm_yg)~HaX=rlR=$?MkMI&fFI#3Bz76U$|gVvRAcu>EF zrg*D<&(H{dekP2U$NTI%*T0QNXrzP13Z$efwAMrMgovhhi4XYK%I}JIzG2GYR>P%* zgOT8fL8CpRKT`U6rn1GV)brgX<66?SLCRwI>u$$IYt`wXewzbvRDiPBq9_Mfj65x1 zi^87EPEhZtqjowM0Nk41;*9FvQ%Yhkn55zeySpC-jhbM49tw*7oiZZVc%ubYFu*%L zp1}(jMPCv8bk3$D>%b=l_4iQM=!=SC9<Uj>T`IkQ6I;StZZHY>d5ceqDpXq34pNG= zVL70QJ~Z)G`M&$qYg1jGKM=9J!<jsg@2-?%HdQdSst5q+3`J>=u2+ku&fp;u$1+&W z{j4aGTT`->Hr4LTcw%O<QLCNv(l|Q9IQI#f@=Zy4m>};KL*(}{Xo-~s;YUri5$KXC z#=eSLtKY`NsR8Cp-!X{vH!YFu-<UgLH>r=8v<N(j(5q_N?2@JEs~6{3Vxk*mvC$lI z{8&@2ejs`XhLMT40ne%umWF3hC9qu&E8<|XaW8%Yj6?fa2{^<0NLHf|Y4Xd2Lo?Cr zdSxktJnA?^`b#}N=Vje5As@e;!4N>Q6r0B9T?ig3Df#wTSsz`p)Yw@Bx6&PYrs%ch zR>0cpM1DGdT~cCF=6mZBvFEc7H<m1Z&TSXaup-v%+#>}a51ZV8dHwhBHmlK5!|o_E zlbplu93(R%6(SZRF@!%pi@~jmXr-?VUBb;w`hp?eB~BVUG`Xid%cz{AUPg9KrbxEZ ztQ!x~I0mn*x}UGI;)yOffqp1A)LB>#HwY`iV@d+NM~&`HyWC&Tc?r$lDO{Xm1|ZUK zxwsrNlVOPTv3eitdN?iJB}~fBFb^ciUg~QS6}EL`&LX&q@;k&IH)$c;<|m=y0N1fI z)lid<WGOGJ*{Jr7oR?=yM6$E&Eno@8po6}2V-7lL9h*~vVS&Qy;a1nmQsR8fBF%nu zN^f?ig^PJT<CuX+=k_x{J{1UjpJ2aF4HX&AJV0K5FSZ1<MB3|L{nQHA%!)~zusl=C zRd-`~D=Ny&Fe7z5iaha&N2uYt@^47$^Xn3`R@J!*zY0M8i^%zG>q)v8idlo5l9z_h zNk4wyRc9~1#7HB{h<;K`_n{Ww-e1i@453mF)7Mj|Jv_w0zC$zNtae~GZF{h9j#|{B zES8;{?&@uPUAZ2vx!sPca!*G*(>Uj`0x^VwuvG4!E+nI~*;w*rez0eS{*d}orkE{b zd(cS8_dokf9TTK2P*;?X!9us8x8IJ*>tO77Zo0_uIoZqPw9aFyhK%N5XKog<@)P_} zTH@hzMYhe3%pG(sAOv-1<Q)A&0YrJ<r9E({sSWY%#xx8MrL`X9Ua$`m84mT&_@N|7 zu7%NZgVEvLYLX0tRbz@2f2@ze?EQ;cm)^sxyihso%{A9H&^vC~K7X{yHAnN{Y?Pse zRQa|T%?_r?KBGS=IjuVX_3%PabKb;PG>onSf{D<q0cq;S&BFsG`B-Ur%)`{m$jbL2 zvjS}mPDJ5WV!zKoUQcg9l*yl9yWsct`52yU4j2KXpQ?+q-9`^?!&#O!us&xlE2 zv?KKV%MY(3tRm83@s@3Et{<kllx5d>HqPQ_+QiptYkhW2W+oXvL^q&1yqfoT6Y<WE z5PTl6^=NMF<nqGVCGS&FFPTfLey8NSydB*ay=R7xO8oYv`is?=T3%!99wO3KF8JDp zuc~&OO9Akq{S?=gjpS$2LUYcy)HV+H_SV-XKGjy);ttSQ`WcjEjK3|S!m8E|w<oA1 z^5AQ+MHE3G&yHs*f1yQ)+KKmwvu?YM#CZet&0k_|t0f1X^-p~L6w_GfeAQlFLS%s_ z@bUcg^0WSmN9j3cf~(mi@0LlkYd_0vXa3Yg;jtF<_h*cU%ZuGn<Dap`s?ayZ0qdUC z+n^+KYnySdZQO9S8qQDKNxswY&JCL&?Ji+m#c#fMJ53S}2K+RNBsV8o1?CriaL`r& z;a8`(oln$MU@(3jZOOo{y+w!T!mk;e+~%Qo%bQznH*`+cpC>i2C!F9vrITI}pCn9N zh{ZgKjnRn;v?0c)RCXmuR>?(@Ni8@&lVX$1s_V9xF&tIGGw6A0H&rgP5FH2;RffQw zR^H!F1Mp9pk|B#mJ%LAHvw);FY%_o%V~_e?JNP`CSUCu=RTTdcLdC(|J$Bq%p9#iH zAhtzfz`6n8^$Xt{GRC<te>eOaEC!wmJ^jd9I}hU%!t{kQ;niCElFVBnG(x>8_F`(f ziSzh7?Pp^(wz?^Sm5GYSaMq(TK&;rVf6|Va#jf^##@Re)WYqos&6KT`CxGH{bas9v zNURvMg~RkDXh&D|4!o)_MNfo3h*U-eg&<lT;Knf;ZtageAIoqixmPG2eyx8jGkU3M zr>?77#IgUE=;FKKD{Btq;iV}lSpG#=t`=iE?n>pm)(7;xWGO#em!VPNa(ng+eO$MQ z&FNx!k)1zgbEFq)TgUS-@K$@{Q)}dg4)clMAu69YCV9^;)q0Hksx;!VYS(>i6!Wp8 z>fg=R(#yG(1X8zuRr$MzN-u<ph$l)d4=nR1`g{4um*Yp4|K4g0DS$YY%cjglut5;* zO{9T1&Mv0|>x(KkiqRWWtEF$MapCmMy%|T#fdFHFde(>Kq{-GAz=phNvEgY;TYc}C z-)4>K8Z*WR?(cJ*y)DSEb^jq_l~c$*2?#R1K{AZ!6Cxq8%7^I@2ZC;`;n0<c?8@$+ zzK)W?23-9dERlOA*FW-le?wWSt0``>oWd~n<8$`t3b##}S@>A_n3(?W>`%!bnj{}% z!YoWo985?HJvIrBe{2HqBfWQF$ap<Ag%kgv$T~f?3(PIZ0X;U5(?1zT99F_#7MPd} z6WN*09M}IRFdsw7L+Tb2Q^<WaH{T%Na5tZj0D1VoGXJ$VG=;>dfY_OsX3jJHzq)fs zm_D1xrGEr8P-|SYoLHI0%4C>M|Cjy00wgAo2z@r;|El@Fri-`wZ2Zh=$R>R@uu7~R z8<RYfA|%Mq*Cf!<#M>cC*TXT!T-V&r%2?GrP~R_DNjK0`Pg%vo9v*8Hs%Q~rtNlOW CIqUZT delta 295110 zcmZ^~WmFx()&+<|kl^m_5Zv7Y7k76OG`I&kcyM=z;O_43?(Xi8;0(!o@0&j}f9~qO zU8j%ieRg&As-6zR3=YHms0f0D!T<vUg9DRN2$!k)NB|D}Jy3<w$E4f^1CtJd1A~9R zZ0E>jVDZhuiOJ-&?Zkm>D2C~=BNHO^rr>L-0y7#4NqCtJKIv>g{5L;EgM1qXB=F+x zS{ZYnVzsvZ{k4e$)MRP@0ZMW;;mU^iQkyZ1C|m=#M$daj{@35OIxkP>8|_aG%YSBo zvb)3y04T#E7HprzyZiO}whd_48DK|-7BCCV1}e-DTeS@gq}+Ek*QZn*ln5Qv5ckb+ z-OLsAd9-N?&S9M_4?I|UziFKHSYF?<X1*wTYK|S$?TOhu+E+h*ddvP@T`HIHS}ZW3 zVZ~qB3M4aRU?~t=#x7&P9VRjpV_W^uW+v7L^r=gDyah|-=1=yVSi117YW8k#3La)g zb^|28Yx0jT)Lj?|j$6GsqzYUyztIIIk5Na~^`WnGRqPpTwN->BMvhD{vj->68Ozu{ zfD5GxdS{?UJf95o6-aQxw2`FpOal5QSpIiG%LRMZSHf?u@+v(onL-;vO!LG*;TRTh zd;K)Qe1DcAm^Pky$TPZUJECSI0LyX}0bLo2Yl{-Hff6rFN|KcbuUvLivk*C=u>Ae! zHgcwh0uIKnF6J*F6#1}+x3L1|+Yi}*rl25`frZD+-i)<~qkiVkKKz}Pp_l84rlc6t z9|d~|m+;Lq+Mg*Ld}=D@iK&x1U-)wY{6LuWqulTE^xB;he@?LNx*$d_TXlm)9;_*0 z*UF$n3lSvH(Lq04D-t7b20F}{Vh_GXDVIJ!{`&p0YhYC}q2TM)2_G9~U{&*w+4&}B zBTduZ@um@Fcn#std@~2do{hOL)UTjEGC_QJ^E9Cu8~@Bxcn8HQ6Sw2)w|sUN`wNmB zFbMO|*x9gLIH+5nv#tBnQ&K~0>1SXo>9?}7d{@ZX+S-Kk@p9?17_fKcY<>LjS>bAT z?yk{=rIjG!YWd1JJaAN>lVEe`W_izW76@|vBOip_b7d<uJ07${?{UJTzf!VxE4gFz zcAmpkytW$tt=twC%q}p|K+gcFVq6Lbu(0E4#C!W0eWhh{$@Z$$;<eDyh~oF6ePUp+ zroTh!npigjUU@6e40FAg^!Y7^tMxhCWxnO~MchrY&PteI>*clwaf<l@=9V;kvIls% zx_k`D+8NtHeSLuZ!ik5C{Knc!_^fym9@qe;!AGoT0!BubK_a8KwdZziNwOgZP+)xy zjqi;7DeIbK8ByTEd8oH(qnSW|HsC-CYmk3)fPIJ&12ZiY13*`RV1izM=<>@6Vt%Jp zGm>K!^cEjQmLtIhejgB=woyHx@i+9&lq^6u;_*MVYI|$9Z@%^6FT_e+Qf82UMDKv8 zk<T&}DwXzy^3_$tOgVI~>#Q&UG(r;-AJ7X~9uT4HwV&Bkez<w{-y6H=tP#HcUfZaD z5WM2ec6sGGI$OSJjun0Cc;+5+LbYIfgm}ZQ(e>`eC{Uzdl;2lC(N})E9kF?RIcvFi zB`$iY^27Kc_&Vac;pMH(EQn&u&s0@;J9o2_+nG8}#T;9kJP*88EN@r=Z!daC0Pv)9 z=7M;{*Oel4kokOX^15{<0jRbRh7I&43@^B9U@yG<IeO}{byIm+sJVGezCPP{d+oT~ za&M3a);3~uV|l|h-$dW?H`Zx|s4#9j%`Sd>ol!hZ@w}cNre=C#_XRymU&Vme%F9f= zvid$gc(a`%FPC^L!n^?s#Bo~_K^i?PuGAb0vTVnz!ZSZJ!(fDvYzO?JWx|o#JaR|y zUND#m>HRig@7V*0Fip)54QO{|VjeHjW9I|4Ni@asu-3%^n6Bfyn`V(u{5oHe(ZgQl zz(Oc^j@rTd-Pa&;eUR^H5zddqg3vYiWp9~r1@MNXrxml){wxA33>X#}kip=4ty09t zxxv6v6I=;t6P#_&)463#=(s1+q}mP{!${zV^KauGCZ~c0M@yGqy(+^{$FBl({mQS} zc%M98{`?yGvT<1bfm<`jgk0Fqbo%?cl%I#BpT}=eX~+jM1SALdYjo1s;+c)gyB>Hj z4Lm0jd4ZSkc6lR!;ubbb!}$3pYDu3sHA{iz7G@MwyPt%|Wj2d3N>MfT7a6J6H8DD3 zOjc1Jct{*#MH0w-4b}*>4gaXZ@?+y}3;8J#vgf#VC64Ns2Sv0g1O&7#Vj?v6gUl(o zc@>)Y<Nj(^$!*o@Pok7`S{VR-e5nU@ViOv?snc->{_O@3ss~RTcCjc4<K0b_5OW(H z!}PW2^&(A^?`u)&NoeTz0)^w3?*-VahekQm!C#^9keDg}A~<-n9E-|O37+_C3=Mg# z47<xXHJ&!DNXI>YZ1<m7!eJ{)Z!UJEzuAOP@$NPvlL9|di_YX{+lY6r^ZGN>Tz&!} zjF!RkUQ&z!Xlw&jE%T{92_G{qHdgb_PLxg-Ui&<`V<3_MddN!2lV8~@J|f2PhR#<7 zR>#j`e&HXqZaiiS15Xcs+5cKLG4g~96Gqp1&BoM{&^(KkY~d|UKvI7{RaXwzPLGu= z;AJ@Ct8yL{s_kyZ4GXlO{CJYV?u0Jn-@~du9gPVP;|=!0emrR=Wf+0-o#m%K3S<<1 z`!Qo|`RjYABcEmyrd=S;w1&H3ncroncE`mOBnVH%YfqIixz_uDNpt#;t!Ap)QA_U= ze=z13<fkMG3oYGGt!AD~Nx($02`M6Wm_lcj^rGIUY-neP9d}}+V_c*p1S<XArDpO* z!?F#aDIPsX#hh%#q02$pjG5sXVNsDt`D_Z>>TE-QUerXoOV0NY_SiRB6+W)XS~)-0 z(p~WLJ|dkvt_6r`acQYA1|bkd%NgJAi{zHX^xA020gIHFNRwV=pu%`gvthnN^`*ZV zIXRup0JI}5b!Zo4$N{Iq4+P2Q=~BbQlx<9)yufcq7^*k~DKg#VkF~C1h;L1{HyHy7 zw}1?q*kE{7P-?4~KG|#1Pw9#vToKJnUqih5e3l5ybPnwfF7)#U_Ayud#ql8&w#q$X zJd;N!GqFpKfWfPUI6fHz*=h?KzqBG2jiB@l-0L2zfj=0YLu|ByHFZ{tSKyNLW1T60 z^pT^U$R`L^+Rwllb8Jj)#BG2I59Lvc%lDI?_90zVyJ=I+tXTb@Z?gqcBBf%=$0BSA zyNExzLFGiT_-y#+QPL>W4(Y=BB^K5c@KZGG#Db@SWmKV%aJ5{;SIbdB#)7&1rx%|C zrn%#puW<^z$xP#}wJOC6EQ{j40Zx2^)R;eIJukMFuGS7+T19jG<noeKw`F=r9W`BB zvW@=MyBZrSY`3AQ-gE%Df<E~w9G!8B5KA*Jc<zKTKdS~ADZOxJ*rvb1TAOF1H8<rd z|66sXzg)N<#R|&~X4i~UY-Zl@=zUtFOAqxMR`aUf&zLi4GJ7t91$j^4<qtfhIVE4Z z%Yo{H{1ROksMIvbyW~7heOo!$zsv7gI{m4(5h`#D-ThiE`B#XBd5eiW{0jTc1?QY> zDk*Ho$AOI<xux2Ur%a$h4J1dLI3v_P2l6s&Q#D|SC`@nt{i&4PAluGl`cnhSm_{8d zd2n-A*tS@}0UK;36Pg2nJdADaMlR*1Plx#Xl;qU>ZpivsJZ!^0()KZf@Q#BD{8ay| zl=X+>SmVzc4w7^{t?+Mq0eEiEFJiI%KPauwtv?VJeRT$B;f|EHDlv>vn4~o<xQwnS zI!EPs*bSwRtx)@uni7Ev^;sC~;gj1+VvQ@}`qszXq!vjxj@O(7psOe}XbS0Q=6IvD zXC)7LNhSV)<49%Z%KE#?qs(li-lJ)VD)gpFW&%Sq`t-uk5^Tj`yU3$9B_^*rnsL)G zra9cx?F%Jw#5=PEd>0Ek*nVG?>np|h_qw!uWEGm+?ZWIDcjcx_cg(IjJSoLy6@wW% z5yj2@8m#jEUF!QAFa^6Yw6krCGPw*How1`OPT`|Ro({Dw+tG<fjvomeepS-91DT;} zv15+<X=u9Y4+)Ilv5~*vp`2joc2@`#IYh>~f<zz7r$!u=Nmpl<VgD4?ouq6U9*4Of zYp*pspR4Z|<ys0Pxx-V-m=LvgkB*qFlcj&d+YG}f76?!VdZJN!O)m}3K|H|br<cn@ znP8XTI}Hx7Up5_Xi(T+k@D)t<xp0cqZklTm5l+0U#yHlfD1HSr{7FCDBtM8OoWOOL zq=6H(dll*7<UO`K^>QGrqf5@o2M4aBspUo7L}7BffYisdP1W*_A0d8Pe7d%7rhTk! zzBfUz9z%Zwuo`od!nGvQX$r_Wr_$;-q0i49ebn^e{ps|4dNd8?(wn)*n7Cwfw~cIk zUW7-XnWT&j40$6|rF~oKq5E~r1;6`br_Z>MOAqAo@$&m^%QR>or+$IZsG*vHzXbmV zQFvmq>|JHH6%bM<`?l%G+j2^J<Z-}Aueg-2wEffwG)j_fEhnHHvA4DelpzSW=NQ5i zG7MgjIVt)R|A;C6kwPtJdliMCm{>&Lg`DF0@p^mH#SFeq;W=RSqcCH+&<7O3*+j%2 z3>eC1U$ee|=6R+-jSqZ;Kbkp(16wyTkmyxtiZUrYc;F9Y`6w{zQ$r<*kJ%x94YP|T zi{)Tv16K{pq|sl+H_Tm-up-2otCWU1tffyVrY00G8?;H4?f{Zs$Ev)%(ziY6B2k6c zLQu*bu4Y?#XmoW7XnO0SB*AX#e@7grQOr@wCxJ+#0%>@QiYbcRdI9b3e$Y0_had`} zS;~)5464_si;GJ!JF&Zs)%#THtZvfYg<?Rnm<||1^7H6y;SFyS*q34BTyX6lpVacv z<(b)?#xSxN*j97~OgRRDW|4%<uoyZ{urM&7c%xg+*Y<wejQAMjdPUs8#f_+ns&ey7 zX$b`-tcT_O@1$?cPw}Yn89r=96z;*K!$PyD!9dfr0jqy%TIQdp7d9;*4)O6$9XOHY zlDQ#~Htc4LY-$yw&d_mMYvRuJ<|x2!qvyDf>2NxITWW9u<O>@Lp1#)1>BPRMcFTkd z1|BZoWC|Q(|GG(R=D#m{-Lrfp?OU9Q!wbwSxf>C9Fn_e=R5!=xUHJ?FW3E1Z=YkwA zq`T>^-#)HUvv;Dx3!r_=C643b12*j|N=fypX{MTB7|W*@`Veg@#hG!L%d$pl)1y0< zR0(`l?*unW`vyj_7|osEa1j>dG#qO~b%Hvt^Oe_T;Ro}#w;<*%f5Ycz2#n7l$A0ZN zVa7p-##~#5UnHg_v$(Up%x-6eW^hUbY1G`lR(!qfL(uFYOufKka?&gTqp@y~Z2gFc zlt=eTvs%YQzqHhOghF!oxS`TQnO0ND;zPuyeVVRqC|&F%3KpQu6uiFv3%_^BS?36E zy6$<a)rVr9TxH!bwJ-AqLy!C|ia-ydVkqSS2b{7*-_o}}P@gQdpV9*Tlp&L9;>am| zomqbrF&k?u%HPjZWlin;XHTntQ?KWrYdNB~6Z7w}C@(x482)eftujK48J+kFM;HS8 zg<INP6qbzGnRGw|_@y_cHcz6;2gvj)7)^-R$_Tq_N(nY;c0#0HN!T)Hjew4gZW8V+ z@X!^og=}QP;-tYp0Zv4CO8JpD1;|VJ#{~q??RPeH1bQkNG}BXi#K(uNvN4IdsF9|_ z(6(I!6Kk^9hbCy5zq%XtWxJhy%m_P?K2mKVW6P4Eq{wP)%YG73f7-@~9H-d(Ky^B= zPIYC03h~thtxn%J6nhd^wp*;5>d3)6%NAaaEZt_jTc0Xg9zVa?2(1;`@zy*>qk-tE z67KQ#ELcLnq#RsCY*XbR>Y5{#4~-0PzL{BsEcN-|u?R`$KqqJZRk<?UHEUKpbbxw~ zR%9|h%v_Hx1UWni!HX1$%=$aTbni|IINGQOWBJO?i8*|Zus^zc3dy#Zeg{+)^Cto3 z1!h`pu^w0tlAzqhV>BUte|YCuNXmxCK*O%YpC%;)nQ*>UL2YSK$pqcXjIwho>bVHR zd~y*BYCE`IZ4y*-xmot3)qQT+X+3+@I_^F~rIP}#vs<LQzwLDjvhYN}DtFKY{!D&h zuR%%UHa291`c2xd4PBXdYjjO_v>W8tU+IAr%ZyG6+zLX@RaWJRQi9W=@A;=+e?eFf zcBCJ>mbpi@bv*L7AE%ug9%V^~f-gKGj_G?5&mlkX;QST$xw<=G3l#$*lm*_?OheQ6 zpj&H@p-bIrlJ__x8S`6TYa!Adzn3`ue!-D}FG7S9y!q|9<A-wCcylA-D(T8AJ0D99 ztJZQT0PpS=maQnJowR=W3b~-i7+YtsTJQz}>2}4EYO*qRHjL9$*W6Ptoj8}%9Tg;d zItT?-+(%z$V0t+`5W<R(Z&jzP4uv<BmePZYRS?QQ5v%pcaI~|sU<gLukUJ9;yDFM_ zYWLAg1*h|eG{7XhnekodTBXnj%gMvIAWhU45d7YCisjxVY)U5$@vyX{N|c9aqec|- z1;(d!Sj-J>T4*p%szAw>y~U6GPybtte2u&NVOT==pt=RifdgIWot!nx*B&h8qO{<m zua3|u<Eg(NJ^I=N5xh(s^}Gh_StD)4k+@u!k;L+1REdbxDcCE8Zzbr>B(&L#rONq_ zS$iYowc>GoRjX$C_1S+!+m~vQ-hm;@J1MaL5b107@zP$O8H1+$fC*u0TKZths4e#` z1``92_8aoT5hVu*e<-31kl=#vB4d}<rI=7F%6$+D<(T}U5?Pv1oun%@Zk*g)Q@Qy5 zdPZ6In61FySoDqDWG~|qn(^>TI@kE_bN-vN112%=DE#oF|5-ZNTxljd{ds!82i!{6 z$9kBh@LET2$reae)@kf;`L-2{wB(~uU+hkxEIjcRI+01h3k9S|C;cUx@hf{M!3Xc! zjDa0w>_J03{!xvhPv0Sh$ng2AA(wxF}VCwxTHLJ(f_MP!eo`OrCI_AvFnD&Y`1 z?h|uA2je&YSQh$?hXmd^%S7oX6O3FwSs!Hry~MI!vn){!w%#DkdCAJwUY3I&tFRLS z&<|6X*pV^Pz(B&vE1F0?Tq_NitSU~glyymcE>3rc@>5k(;n2a*As1d-s3IXYn%M~a z4_6REpZ7u7cL9csC_T?~2K^B3Slfw{?cT=nUXUw)_GXko)l|BW?j#`{lb-xnXeweL zq&8E3S5e+kG$5%F2?J;ZyNmH29(BwFYQVTZL!Xd7lDLX+kLv1v4olM7+ymdk(aZq# z;9n+0tb9lThD+fy*Whi_`ntqM)fZ>(Ym|~#TA|~8^0kVopJs8RwWiYK`{hLy&DU(~ z?t9*qID@JU3((_=78)8mY&<gB%fHlCedwN8I>xWbu|E^%Bvub*Fh4ai{lNlQ=nfAu z;UVme<ZtKNtEi?;t{gH~a9hk-$jh5iS=K8C#o>~*4`tU;shH-ekc;k2439l;`A+^C zL~)htptzF+Me$oq^!A3<G+H8-(<<{7D@51U(a-6UikP;`w)fYhd2x2q)b&KU+bg*! zxazncub9e-1_&|P)t~Bv%Q67lw>!#@_ktE(->g<0I}fZ3(M)tcp~}BjCW`cZOEnCP za~j2E2Ok>yZP~X<lu$xzJU6m{p+S?M$B~q<&r6WQBE*6$<2lWheI@Cz`{j*hruj#W zia|H%+}NZCN-R9JRsEQVL-(kyH2zwXOYW3TmevlkPvTpN@+LC2b=D5Rnkrt!4sLf9 z3i(h%*vP;Oo&a`03d@9RaXB*r_YeT?r~c^yT?D0G1gA59WZ?F-Fis($tlJlOUQ-Xz z@&mB?3}NR#f(QBuG-Dxwf0@e>oyP5JC8GeD|Eg0oXSfs_Fu~t1rK4fy>CTOrnh6Fe z4&Itmsejl;UOCdYWP$*&ut{FO(DQ-C2Pl9iD6M@2ef#5W`M!oUuo*Cm&s5Y+XXVTr z*Ok2Fhg)12Qs9DG{I)mH9y0)uRPX~0!-_<_O#8fds#(BHONMkIt*;vSF6gWit*IcM zMC`L@9gSF&G$Nwbs}TxoQ^`Yg@=~{QiW!cRX<ra8>lL$<M9V4AO_dh9`n~6kQeyXt z*}<&Q7d$Z;Jwse?<d((P(h#hx81bP81_Y-WbMCFFi+jzb!yON2q84aVj&oXxXMyHB zIvHd%S!tIq;Nz=J<>O)=ygL6(!G>v!!n#cZiTeYZES68_1FIN$APIWucr<FIu&ION z4LO^dF<7-MOfWRSwH^wF^;0$_NU)DQx0X*eSidh<+`_Trs+IAz)CWlhY}*u*7n@wZ zC9N&)Jeu{j@M}BIQ7ObXfspM@IMDz<b6z@ybRC33L*+wu<_gE2L)F>-2UYJvde0ae zQOfatweEmGsAdTw-_W0Cn=!-=x+gpzgGV`GLl4E3(hC!TihSy1gl59FvH%cUVL+(+ zsdNtHz~13^7>05}qmPa#1wKxMCUVds&dct5G{|sSg0XaEd(rH?HipREUmVz9*mGsV zqf7}r#Lrighjt6`=-Rztr&pW3bne=I&9Qr>qnDv{rHv6&vnm}*qbe%M>4W#?KCAbM zad1)bEsnqfj^@aruq0w|Y?VL#J4L|N#*BSwY5Bh9<RUwN8N-}Bc%S<;zoVit$JI%- zeu%d(uHi2Yxy*>d@`@c5tj*OP%NLHHvToPTo1yjxh|QF{$+!LO8VGe9nuCqeXfBUN zj-%D<*?DCq!^RoEt63vbJEDfUi7UR^eGCtYx0}BJu22t-UekmUY`UOE4>!6uY#^!7 zy42jxAd54I-@eOc3IZ~T$Z%T;{oFp`%CnD>x<s|H>bS9xz})mnF)Ql~F@r<`_)N4} zC-;!oO7Q6@0`g^R>XTpkM~aZzD7FoX?)^>pq%R0lJ6oX&F@_Mh)#Iegjoox7oGy3c z%o|KVO#>!%qt{KW`j4C!0j%|CTz=%LTyr9I+e9JRYMNq=Ut7O^Tu-frr3ERbeL5nb z91MS}I{dDsOn#boyK`Mt`x(lgwO;yFA0Hnj-!h9;1~V(-woGJ<4~>R=7u$)|7iv;b zE1oIJY9aD^&?#YXJPF;Fg&CLOe$)NhC;~wbn9ti2J@cy5$QG;n6?~w;T++vHg|}%h z4vq*fQbs>OitZJD8m4%Gh!``Y#q`@wr1%3zN|vmX`wF(<vV~MHgo?i2>jQ2*w?hso zK7FUN!flPTQ4D0>SJEV%H0i32;hJosGYIa1_|Uu0u~`HKtOOK>MA#?L9%Lvx1tSO3 zz-O?{<Ti?)LuiB|w9e<B<|qIql712~R0wA|iekH7W%?hMBI<2!pbA0MC9UN-$Sl`f z?CPrGZiGPoMpULDl1Fi9%J6`_dp}rvJjy+WLQefp5j=Bl$H0y`WP;H|kQ+fxM(j=u z@iE;9=<U?*TU+!`Et$uW2JRzfq#+G=;Iwux6<-gbWY18Wlnv5A3p5)R#MNvinSUn3 zt3dg)vdj{1#H~cFPle7Gy=9$@BOkrxhd3;~{`A5?tEf`TgYO)AmQ{z+Xzqr$Q5kDB z_RZv#J!C>6>@P|gHMXWaxu8j%4>UdQ!s8+QqSok#doW94q~(XlSs1DhzoHO@fpE&O z84dMEKFw~oMQD^Vbc`PvpWJnD^znkAGUDp(KO67!w}OlsT4Ff&hZ245Q!b~uj7TF@ zU796v7!~QxBEW$p@R|&!>z<t}c0u1zG1(7!onZ!|2yQn8+o!R_>01o`T{Ts(5<hh> z3vuky?}RTe>D6bPFd(LRyFFPx0Q;Z-Y4K}A;6si;UZ;51F0aZ{Gnsli?c`5+S#wL+ z#KvRfBw4c#Dd7xlL*ys_W|NtUG8aB+*%$4>k7K9qRC=@%q!STGyEjBw`>p0c=QaKc zuHY^*8^4wcY`Ps@p4?kT$F$GD@dj7xGz$WG6lsOg&(dp|2wy?Za8Y3lK$J|y(2oQ> znzquk<bgzP0WF$Z8Hy_B()=zBADk6s$>E#+)!-lgx#vPI{QH|z_e<CS^p^=B4jS-$ z;)VX%7|VcsX-y2)L+{e#f{Z2?lO_kBVSW*-L7<HJ3*7IJpk$bSOT-hEa@WYAQO~fi zN$%rM-<n6m5Vz+W;?D{@z~R1%y0kt_SW1toB$bV^Bwb82n(0a8$Q%f~Y>yf@pij+o zzYiN`Oql6|Z~G8-G{5<y3HyySNP*j0RMo+R5Ehq)_KVC22tH;c7&GCqA1-6vm7g~o zwq{$CWA>?lkO-WUD!9m0v{W{R%G_OZQ7=wS`SkjC)i^(1fibcakRiU(voa9oK$X+# zAJ#rJq;E@%UMORl=;<cun!MVt)7#o;B$zspS;Fpep8RLu&V0YM7pnUs=Am05y{P@| zUKctdGy}yA#+a~tQ@fyx=1+=G50ILViooK?-b02kGFBbbH6u*Zmifp}sJj7`gZ<=H zT2XtCC+!$2!YnTrK=fGkOHTYJVk#jg${e&g#cXkqipPE`W923J4@2<@!Px^<0xs{R zD8!)yGmB+hs2&mXgnWjtGqOD_=Hjek&zzH-P6&bZ1vitm#j0i!Rag8j7fWZs=KW2F z*Dv}WIMxC;$ai-P^%_IApSdfhuoMwC5;xf*q!M?~!Kub=frlW<d%JzX^tS-kggJ_f z{(PbA<L^0{_F1JE22~|7zjk>$?iGpUo=23Tztb7dRNENk`^O0Wf(O3!IK2#PCx4Vl z#7s%64^x#m>h+(E^2(Ply|3)<z0P7jmGaObaw-7q&Wc%ZQsl>ax1JbE&ptq;;icoA zh=_cczS@622Ksvt6T?0$6DXssn=FFiq!b^SNs$I3eH_)90XuiF;=s^i7r)g?_yI#$ zk|>U=QJj2COd!)eP#D<v3z^<7fZd+ed!o^Iefk@|ZuD9`3*PF-{yLEk1N<<_1#+*_ z*PZXxG8Y;7BXgF5UfG-49-PN|`S)Ha^e&MO%Xb{XXn?`n*`dqv-~&diOK`5s?eysQ z!Pr}echPaXHr|M_{W=@2hMjp55`Lwo+5Npkz;?~!+VfTeV`9;_r-j^Tl&H{?OyyI( zo|@`Q$&J>lWWOi6;n-TVViAC!8>A4lb9;Qw3Wnj3QhZ@pNCr!jdZ8#6d6!3oKAQLV z@fNGS1z6KlqrP8?SmPk3Pq%p{vyMwmU*Y6BG_O)OzEh?-td`&lQs{NaH7fZs7LN9^ zbgn`&JVfLloQDwJ(2O%=DQWjv&^Qyj?nC!3{U`-~6@sIwU^>hl72iNIHb<*5X`+d- zQT!t`NpYH%f<~qp&#$i?&^hV)@+Xvc{bZQI!N4lnT{>YBBhj>(mYjp{{HZ4o8_6U; zf7~EW*Vd5Scua&@!@d-v(IpD5$yZkxpM_xz@+xGt-w0N~OQUcsqAr-9j0cMd7dEB& z_t*Lret*H9RmY%kC<tJccGzbKr)!(`^D^9=e8U@on*OUEICe)iZxmrvJB6gt>^Aa2 zBavxk0R&z7hx<@M*^pd8OvN?kLsq{?gw-L9<r8s+SX^>4V1?}lGwlWP-~-XTqn7F6 z71;-LUeDv`W(VeKatHUU!e(!+(O`wa5>x*>O8ovmX1ko8p#n=UoRlUhhPn!xS|W$& z&b~3bE6S{&bKA!b>kfW%FFC3gmYPmd>4C0{=EFvGXP5J0vWKDDR6J;k3F&Ydwzg<- zOE<dSfAqxxO7hh#LV8@M&w|1rn8J_BLyEB{Ju0lTdykuOh>TnBqqIB3R9I_0uHt0Z zbJNn^(zRI46~F(K=`U4=UrY7N$+N99Elj@wr^98lLz5|6(_$r=dq4S~14?pZ);Wgc z)nuul%eh^%DhyIw*FDwgEKpf(bCHKTu!i9-S(rDo+BK@Pjhs4NO4{P;`a)^0>R#-_ z$H+XIe;>aWNWV&C<i;szP!}yVBi#owz+}#9Aiz)d{T`zh9GO2BB=g<!8)4*qbte1a zOI@!5e`)0TSlHu-1O1j0tFK-=TWR#1OilfdD##})CKmE9uc=xc(szubMSrTi0k%kJ ztJwjaPZAjvBh(gVUd(q#XtHwkNDz{_?KHM?m=i=rnFTcTio|8ag^gA(++ENI_-z~f zXd~7qdJ!WoJTIvtgir(_8*bcR5s^|33-uCUvIFxQoj^NCe!y9)k9m%b%J!&jUp)`e zLHPw$akQcOg#HTiOQ5cUwP05qVDqU-ySGEcE78#M7TFX@j0La6in>FO1rJ$gh`1kb zG8A?MPx<SBF;dC+x}X(~_KN13W+`}{S7j+FSDa?4(VAlY1YRJu{ijlJscl0oF<zc3 z&7R}kdo4)Hb55Pyld9UtFr>UEj)eLvyY_7JNncJ3k`;6-^F?24q;9MUV4mB-h9;|h zWPWnLv5KR$)X18q|5$xVF)XOVm*aPRwz(;SNq8ataI}?J(Kz0KVA=bC0fStQ|6OnO z;mG+$BVo%u=H3?wajY?mCpeGN*2)e$rMX6@-Q#wDR$7(GsjrHolFk9A(~6XLxUc5J zA&#tj%dzhh{tGyt!Z%Oy7tq`L_?#Bdak@&{9JWPYW;gzw`yhgVFof7I3<)Ce`Ma}@ zLM=x*+M;3<^cnT62|QM{i}l)1Sxxi68{w!k6w~%fNxl_@-J=}2EncPdJoal`^&Q0Q z2IOcquv1|k$U6yC9`YN7`fl8Ib0?`@0*-MwM5z_$z$@TV#~B8kPj(!v`e)kI(6|9k z+SZT~w{u`3n}3ko&oppD*kRnk-GLe0^ilh=Zd_B))Rtp=s;s~l7(DVSD0QLH;Yz8Y z@F2(VY!d`l_QJ0--Vpk{jU+ncRy1mB@XIprc{?F)KCetVVwt;C<y$)u<<a_VSc}FA zO1op)8b$#BGv+F|dC&+U6jMgz^q9h(J@FpWD$C7bL^&#oz!Tn@5&tvCYSqHeL&+nU zqmPq}RIZJpIaz?(+ScAjaw<y(JIwzL$ufU`6^w^@)|`_=blwP9$Ek@Ch>@P}Y)(QI zoK4obUtd3yf=%nw+4P|b=iQVSFhQy=3mPzXRCX1Dq`|VasUP<QT336R24|C!+NU6t zFf67{+#N)){6#?n)gv5eQAK0RE0?akVA-*9(88ewLI;Pjm(<vR7+<{I8Mue6w`SZL zhKTC&gB&VG7p7iQ(}<6@0Ac&|O-R(#Yk_+%jK-q+q&6D;xIXXCJVOKro*#&Und?3* zP|4P9Y5@%xrXrk>q+-N1#jh}Uyi*34rjZloD%e@*cmveoJC-jBrak$Vc84k5Qnj9* zDZ?XRFW&=r|Ktw?8u|4s_r=`c1X;M>uQp7KoEwJri4;Szmo~v|gJ?WY>2&!UjKEy+ zPj?&dJV7AXq;&i6qTo7LC;XkWBuB<D89t<#f_Chvh-9LVMdx`se%1s>s_tyfP_{fT zkbjuIoKdgZFbB(j_-J@2i!%Es=KP8sO5%HANp+gC(-B!3a6HB++P(%}IQd6*L&EPj ztg!-Q{QYD&T}cAsc?`|ZFRQA!P!rP$a=Y%jE7+*vn|pF-ennq(O|T&p4$b5R9<tLt zW@RK-OQjk_66i!2itQ_qG8^S+0*H1i{VNkLOz_RNX8fmnX3Ouv@Q)npNzn<@#G-;V zmRe+dQ>&R60PSZ|o(tD8m~jeOr`)JU!;tf4Dg3TGV#+w%S^FI63mg2bLukC`2@QE< zznNQ3+ZCtlDtZr=w)n&1$FPs9T2GP+2HTS9E#nyS^alJ=i(}upsd*dqZW+?PYi3#S zI4!|srZ&N?MKH(j-V0U;ZhE)KfVna!)|UQaf;#X{0a|5+)FB#IbW8=dgYQOqYsh<Q zdEU@67ZKyRE}0eRk{HZY1fRAIb}_@&D8zZRUC|Sl#}~y0HPl|AJA3vGz`_PQ+^<c( zKBr#R4v1VaPZDyzp>_K08$jSh0<ru8HGHpo!O1<_1~>(<Wx!O|W#<Dn82PpBw{?wO zgGMiW!>8(_8enQej#*|A;mz`%|2MO<c35|Z8kpqN`_Fj-;D`*d1~n7eNY(<+>Yq>F z&tU`^(n8ukUnA=<^4ywYRz5b)tfj=@h?J>NZLGDg21ZnGdbY|6)R=;6i4P4YVqt+3 z0j9`2r$1U^OKH|+4-<s-20!Xl8@scq(YR&BKu=4=m99>}$1&Kqqz$eo#+z{1-Q{`L zGmv<ZL8M1u{pR<Ok@Wq+mYO^17U8)Y5;`ETPpo*>N(Rb$thFQ^s}-HkWtb9o^{29> z^X^Wru5gC+XyOwl?2Wh%fvEa8FYLwA0L*=7?J<LS-;tLYJ1|X~Ghtt=tF5qTw$;SW zBSE01JF-%rpTo2XBBOHuRWKMAlM7S6wB!2z)DR4DWHT~I%!K33(nDw%3mYY<H#YS2 zJRLWk)M&*AM|e=}zwmVtol2bv^xZWC&~Af42{n+_t+>I}xg?Ph^YCw10=-7a0(;va zg(1EV5=@^dtZHs&m8L5jf?^naJeB&)mO`m(3szR6c#k~Xe3|H`j>SFJvKUGlwf*I) z^|^?c+>ANj*j^5VD5_Duq2Sjiv><Q8h<N)w8p4#Px6Mv)5w?aa@8RB|TjK_f50wwL zG_^Mt>KV+9Klb3Q0Zy(ZGtZ}wfF)Rhqx!(C0$&n~rOU1Q1L+;1Q&aAc-L@E^pP-rO zv)7A;eE|u~#6=kD#1*2scZ=>41Do-xo}TWXjfL^?MKvF2w$j8#1gJjByhO~oKa**C zgYxmAY2cOz&Z`h{G$_q)t?~xgHHndwo;XQh$8Fb5w)}yfEl9!H3duw?fcnKNTS7U) z+$-1_5k$!g)lT+0OVcu}6^GbW;G&11Y=&3$e80};d0y?UFHwaYq)b@aS|z`C(Q>#U z1Up|0CSvb+4WY*%c-j#Y1PUTTj~MAXr5NazlVlC(z}RGVe1B+xc4U0K`p@>ZPU}n- zR5VgM!UA_h^0|VeS=(qMfI=)jXwj{G4(=v2XNv(@_um^xzs_sV$$g7Hqa{x4swoaB zyJ&Yd)zzMu3-vK)BW%0KR+wzL><|&Aiw^gTiXGsGx+PngT*>lM<GaKzUs`e#ZP$;! zorVW3Xgr)JyltC3q<PhXv)?;&zY^u{8$3^R0DsmF3huUhX1=R?0=j};g#s0PjS6lb zG{7ZeCmY9VU-^mx-^O7F)xX@lE=LMYABXi**R6!U76zW!5A>8|&I%ZmJ3M+{;!D3& zd_B0Ud3JVlGfD7cu&anr#Sil?Io2=f1&#RQ8a@vp5i)r;T&T_G8QsKBg%MoA+ax<& zH-AY%-EIc7@R6820WPjAf7ZEY`-MMCJDTfTcSYebyP~D9X%o|1b8;SzFC&Jghe`oy z1&1fc&L?HFP&{^HRJl}wvrYuzLa%3mr`#`S+MM5eTn9%uzPC8JB_$lKjcM8Pe^wYF zaZ^xiB8l5j{zMdJS8=1ZbhsX?95DqMA&m+ydUzp#y@w;X2`J89-k$Rd;IIFF-$r`0 zcc(>5e3`3=$Hrr)Ifjsq)JLY;H6<|hO!H#nLQr^3zc+Bway7Qb{T23(&V>q@JE#00 zrp|Nm46L#)1?*5vqGokfPj_`~7i=~O4|dbG+(-&+q))t)-B+qZ6nXD4UVFn<7Ba;@ z-=O4}>xK?D9H9HXWUbH<&A7$mvxn!M`dGG0hK23Vc*Mo)_bb!rL-yPJt<DH=KEv{G zcESZua73gEhg)B<q1`bsXA8H|J7)`qBGF;P@N{<E5K|lG*HyA?Tio)?20IRzx=Y=s zZwhQU=3?|F{MNDcMPtLpg$Zu%HZ=%Lw<7?hvv}h&8>lw0`kgwXe~9<U*r{8nbB8ae z8rZOGJNzdM;djy6D$VvWr(3?gbLt@f<FfU#*;a{7LpRr#dYvG`^%BdLWNbVu2Fpx2 zvz0O0WhXH^(=~Mm!pcwxooO4d9+#DpZzsh5-%c*(92}48y-iz?@{;VG@xjEaR<7w3 z?I}~Y69MnMZ+y!Nt8!%|imuj(6V9n$yqYjV=f2JGazm}y{6Lxd)^o^m#)&ww^9}Ws zGm9In1&JnS*#<|b{2MtKi_=Op{r<d#m)a=Ohgz>)jI`#lu%<J;hBM0jeO6tOx5B^Y zeUmm%;f*|}oD34WYgl~iFc7^{Hsx+B3&-^@K<|p~Q8GE(I@T_l&Kc*~kX?%n^A#sD zc;mO3{H;&AWmlX6Liv3w{5_5>jHYf@t#ZWcc(za5T~!JrV?pHQ-l2q!e!~4zw&h8w zYz84A(V4JE;f0dsB^=`^2A^55%Q~CNFuD19OH4}K7BU%(0kohFx^1|1!3u7ML^i1= zAe-qcbi_(%7c(x8?v%5ykBOwmWA$vpk)jkkIWCi+YAF<95JeEI6lCA{+%SZA5$izo zpx6N?flxSeYdjr^WUklrWUx{-4Iv$THHz4Gb4ZpPhj3OLZqMn$7*Kp1+z(O|Exy?~ zg*|9n)n^Gni-hLr_lcpSr;lh<=aywPAcn%-OllJev7kP$uO<Z5gVI=^l2A=cQquWB zUL&*igKmxsw3#qsFsL@r1B*mGctlW@N*9bamRoTLh2rC<oX=^kTnfWFr1l2`YZdX_ z4hlQA_BPy-K_vD+>~YY73XwK;ely#H*@Jl!xRFQnekgE%LX(n!hb6K9G>0s*4S?-@ zqWD<le~$)>@@@lX*;`4shm-}5_UFdjE+XbN=f@%@j`>CD7g3T%!uxb6#K?@jW$7w3 zY!Pm_4=Ecv3IY(LdQdFSn+v{ROa>p|-2C%rO?ouv;llM%)fR0aWHfm=CY?$ayt-W& z^I-3d+|o51TUfzyG7Jt;6}v<R03duX3)x1pw3mfwHKOesew1RG_zLtbmo}nhX=quO z;MZ_tBZ`F0c<CsJKWSif5IKSERQ88r`1a$H4Li5&NK&Q*7W3;?5)=_6_#=Cwvp^({ z;-oZ~Hp|Ydj{~>JS9<6&f(VzE!p2Udnx@?FBX*MTl-xERwfUAd!mxgBKp`O3Y?yTu zcANZDJ{`%UPqm8f!EjA{O4#OsdNX9q9SR1p$hGjys?iE+WxObnd*3ECrV!XfotlY+ z-w4Fah^+|@A7z($sCirlAy~Y)JH>CShAHB|-tib~1lpnT&BF>X$~Jw%)AKJ^fGp7~ zMPA?5&a6SX2Cfv`3J`gK#vC>+aMPgnd6#S9>IHnP>K!pXR!q)Lp026MMFH9RRr`X> z(^ZNpGaMq@hZrf@;S#p1O36%J*=OSuxv-`ysNH@iepu3a4O0|@jW9D}Vj&}5YMb!r zCK-pr$aXp4kWT<E7LxWTD<FX~XME7Hak5DqE_X#dM1fpt8a^7Z(ZsWjI?u0DPZONY zd&=)V-sI*2Rb4h{EexcXWqZwnQ<{5CHjl+>*)c>lequEBslFL}IVrh1Tz2|(>A?ZR zc#*tuHs;W$b+yHPW?-<ci`c&}qKCkAKg|2bu2?4_3~}z0B$Lwy&c5T@w%6eLkFhS3 zkrj;y>p3nG4_71L3o|3Ts3&flfD%}^xChhcMGm~SmMC|<4m|7H)+0Xp@Lp&t+bmRq z%qTTJUJC*>)v=iH;;Eky)T$dsJYlw4+`YNQ=h!i^zQWLpsf4<)g%5&jk}3^cj$b?1 zV96X|n1UASQm5_|a@Y6r)-517KORP>`i4BJJE3qA_3%ssjf-UaqWPs6(Z6>k1me3W z=Fq-~c_Jw;OzzDeNW>tOg&^QBTUj*+evAJGfgOIGt@FMlPl{WK!ydcN<{O=wRv%@t zI_V30WcvQZFZG6V3vTbY6jA&Wg$)1gj(=b=!j06P8$xAHhQ4!q@n)>A-bMFv!t*Oj zA)C9>iuvOKPz=>oZY)nE0b6`<MpS6A*<baoM`4!W<P&3Ejqi;EW59FKgq#bU+>c1z z^1Q<FHItjh!1cg{9C*h_`yCt)dhL+oW&ut=lS3QxqVzcjSaVt>NkVCm(W#!qFk~GD ziujbW1nPr&?d-VBz(^g2!+2FKhFYoAwDOvpb}_yj0CIM9-lh-+1sflJ)`mBcnpYM^ zkpN-lZR$)Z^U;&gEGQ3h_fIR&o{+{Q<irGjuE)6J{AmI@E6o)7k${#57hQ|dA5oh3 zgCrz@Zu)U$Qn@iA{@}BiG3M{Ew%?{j2|1~T<QBAugdmX7p{6#x*t=&O9&O!sPobcx zMSGwQgY;*1UPx%d5$9M%1ZYcr(_EWQUl+b6B0q1tFYnWdrZ%0iZpe9C1g1bi6}eT> zXZs@45_?)*c|ti*Unt0EeyUcrwGrdXy9bFd(A3?@`}u7n#+YCTW8GY%YV}iN9KAqz zcGEyXQ&>b?tiV8lfL6**&*Xp1u>Wg^nkq4)Jhh|D{zRiajGJhjSV;!XtX*6+=RvD0 zO#J`l$!PxSe~uECEp>}gnVABzbC;B_ww05d*>&jNbBvAn`Zp%jP^tPnvc5b#op2C` z3vr@DdQ?|pIQjeH$F4tb-9a=T_phnR-ztr~2lft7V8rf~(XRPlJZ|pIPaCyEP<k)# zQ~uiTyw}8uoe}fhL`&*D<acjQ{EV0^OcD%4S|EuK5Jv-EqUE_D(_XQ7=cF#k;tq<h z^!;ecy2#C*c9b{a-&pJV6B#HCK+&(Cvo>zteSCO#zCCSQEbl;k(ZWO&r7xj+AP?=B zPN|Jr)bWo!Z9xL-j<V;>-`q&t{@>irNSXF#mFpX4z3WteHLA`KC3@s0SpEgY{2M5q znS}SvI=kaN8MFUlf3cY0AWbj1uT5uIWF(Np2xJ_J_2tkA*@RWOegide8sn{0=CMC- z)nn8-t9&K;0z&o0saG9AO+0Qb>QJSBXNA~%%Ks@aW8F<-oC+w}zUalG=%+oc@ztO< zow9E6-cL}Q66owbe^Wg+Cd|&}m8!oy(tfwXc~9P~KMx|o15`gtw5==;=nP{ePBY}B zuP8@vD>HiP7Qad|*1Z$v-+jU6*CG-^uoM3!^v>PkFc37uT|(_YQ%18;(3!^Hde@!W z7jan@<-S+<zxe(0G!T^i&igO|My7zO+`hbb5Q&IMf1)PxzH{Z6=0B+<PSSwmV@#!P zD>F5m48GrfahtTkD&hBW?_YWlS~%~9fvQHTYsVSo{|5g1Uq?jz?TWt)c)zpsz>G5L zj&hQ#H6tc1)8FB35}>-V#@Y729Qs%Gzft||z0daV!N|Sqg1J2{9$z`51e|ei-tu_k zY-(*BZXz)iI2myEZZ7?|r|SMgGM)eQq1itUr=C3$WR{@$^ha3#%la?N2HufAG$%6n z*M4IOAy|pszjl`XM%23<{f`n)a`v=|^%qI@Mc2h_X*4pU=<26wO3^zvh+}oClaup~ z-<M<a8rTfytE_2({{%|7qMVVpuPvkV$qL$KO?z&rvGkdM_)D9k&TxEcP8AZP8j(_0 z?-=^~KKVT;lSLjuQ515fxvGJ@b+_e4lXrOUzw-UV3aJh0Z<|2-zH^2z62w#Yo+rHK zF{4G1KyO}}_B-^;6$v32iN}jO%C>XLUjSXYA3%L>-e}`{WbZ=u&Y1MIfjqq7h^Idt zZxz}v3pY$I2Ok6X>s7@B%xoLXk0BimDi(B>mDdC(4r<=N*OIpg$4TrieJ{cP^~3;C zq71KL+VM*s?-yN}9U<6*Z+$y#JIW$rwqk!v?X%fZ|2LR0(3$2x0rT0ss*Pi0sx$bD z=)bfI^P2yWSN)y-10nAf*R!Hc+z7~SV#KUw)U=^(TTuE2a+i$2N+jc4agLZU6PsSN z-%<7_k<=}2c~?NL#9<n65<u0p#J=d;J5+)HQ&#hTZb$z1HaNL0wTHYl);owqi8)JB zRe1#VMGbcX7ybVr{y#;2ug#D0N~sBYkMCb}=?r(@i}|14tN$wi3#f@6sR_Ih*ooc$ zQ8CQ_9bhCvcMneS3nn(ufJ-FWQG#PZzY~ZzA~A&gJv8Um_b{3N_Qb&Z?7;gs(F<)* z9YNgzPRc-@wr!cfh2_*mwa0%MoIa4J>uk(kpPk1(tW}cV7cKC(f3Plb_DlWEHx_bR zL9eXR@%z8@zea-QR^F>$chL5)J^xR~->I;im=J>VuVetFuL@{sTls13uM7xy>K>Q< zO}H5Qzlz@SP97UtHl{k}|7a(c-2eY*i=ubR{0Gl}aQ>TD{*Mh4{}hrp{9l3i1^+uM z5)lyxMqnhq7wBC%_)-#bs&@MG;6%b2XOGl(lnW9~DZxnr`3;QsiDOxiV@G)}oifU{ z^7rv<2E)jc;jUX+OOR$j&^Fb9WgNo9(@)c=m??yjrytk$zcnb;MG$ZOWc9Pzw6}+z zxR1>H>4u9M3CF_^J2K9@fICHg&I8G70|m@Cjx<L-o_KHmH%zgCYD5+%hWCSuW!6&~ zZOyZzplqP&ZCQ!!PF>HSAyY=&5zj2H`UR6*YQ=!Xh5aqQKf($Z%@^m@YGbd%*M8L* zlgVa->t%uQtRhcumF2HkO#pqRxfZlDz}kD+4?ECO`-Y(>7vDvK;;ruD+5lFNxzhra z{8~~E;{C1B@>VRMRi3vgnNIN*P~ZHUjDG=`)H&o|j?~cb$#yNv<F^{Kp|$BFfZ7mM z5R&E1c*jNE$30cMcon{KzObE9T?8&?QCX&R`&l<!?cSP}`XHq$1Z8|JB*Axt00inQ zDLK4oFYm6Iuc}q;ZNz|Ue;1ddB17w*g#Stcy?s%|g7VjYeV35%&SsN$Xgn6`<4PV8 z#2gvx3XYk>2*wh+7x@;H%e{QwXHnHAOvAdo!_G)N1jLail=q2iHkqF3F8@aG>wDwp z2J|2-1~R@sAXxlo8czA!bx+g(wDL<!1gOcH7B7}wgar8I-8}YqAw})XAvnve5nnH+ z#Ia?wtst~sBt(mPJK+FjL&^AyA`?yo627F&2q(_aJR@{XVqg;Il2Hngr;#9HW@P>B z$w0E_YV+xoj6Uqi?`QB-i8(LXltM)(d#!ly!=<I$)A=tKp4RfUu=4jE$V|*Z8bY)) zO@x0WcVdC%KIb<t8}9P--;WpZwnsa+FPmH;T`$WPuJZ907^0iJr5orrjE4=BPK65- zS6@q^foU;VMEaws4mx@_l#0sfF3zzuN#>CQYnT7~0_p+#?dD>`>(7Hl+x?~gNjp*E z`}gJ(C-RT{28<a(;~KF8&dqMxt-or9MgR(%{JV`{mRCN{#)N1OHu<vw;u~aJ=EvOS zGeiFV<JEoS&65^-n-AmTCtrsfmeEL}av8y2e%pXLGcm}QwW{=)x49iSYAD}BVe+xc z$8{bbm#n)obb4smI$Cr0;A}lh*GHTlIjQjp=iiT{(L9}OD`4d1npX<IlnfP>2ZFkP zVCEsv2N!$8WTKoHg6!-N1i)Ys)zD$R3P(F@l#{%e81DS@<I3XhgX)zrgJlMEKl&7Y zvHL<S&IkdeLwStq9tkU~y7{xSNGd?6MlUC($`ekULvBOB?pUcxBLRhD1G&Uv+t$Uu zI=N@`ab>P<anfpmtJa;Cm$%@e4EUpgD{x_$J}2py8Q8FBtlG1AYkglc7?x5`n;awE zCcRp&HE>totj5jB7b+3BV4<+gmHd6yIM&C#VafCmbD3&J2>p;xC4hB0Et*-Tk;m^z z=PB>L42u$&4ici}lpae%t(d&rh_IEHy67Vq{SlWYx~7`3{Kduq{Dd=-5>Pzw<|Bed z9FI@`4ga)hI-gV@A$Sj$Ymg|{>XpMI@rX}gvh!uOx@w@Kn~VYf>2UXR&6Vi>8XT^t z9c3Wr*obkP4mG3AAz0f-r8-%BVme<I_+*!xUq#_%ZCxVQ9t*7X?V7m;BL!nSPoy*D z4c1~-Qw3KQxjwo!$V2X(p8#`ftKgq5BgZtRr@ShaA|{EMr<b&a&Bpi^@SI1Vpr7Qq z<#OC4jVRRyx~+r+kJQalsP1!R<tyZE2Nt-zMe<&Xnqv+Vk-cZ|gQLf0WvL1nd&8Zy zrWVIZ>E%*Og>7ki&pPmxdg1qEhJ(Vk;a=79xNS1bFfI&QnU%u$5P%cUC1(?7P7W97 zt=bp%hLAKEg)b;C17~BCmMCpHg5&2iOYpZ1R-tUxIUUpk)I(L0Z_3GeB|Av@vBY#G zr&JK(skjnLCBF_B-;5}I9b<4&zcE>IEp#AC)}7E#LKu<LOMH7g5X$3P$o+o^d+Vq; zns475ch}(V8r<F8-Q6v?HIm@&!GgQH26y)m+&#eq1jrroJm=isdGA^4{i9dU^i)q( z?fu=mdU~oq)dX-V>Gx}?f;pQ>6Ok+IJrw0*0#bVp;?(#O*w3kKB{{4|8G^C$A6L8R zQDtZSsz1VKc}o?qO$0g$6nR@$Z*?AT8Qu<yi?Z4(uKaxhdWmgI=Tf`7l0Axh>8a<A z<(smb(%lQSxXHKIcVxi&z2W`0RTqw9^YgO_`E8YD1)ud_S^lr>p>sXe>53&TQUX1| z1~cDvyiMK0=0)CeXd`c%<_m#k#X%1TO}0ix-A!v}h6{#(=@tvSg;#5-+N%fg>gD@D z6|ZNr8;UwL#cv3u-Ic#MJ<j@__W|Aom}hr!j)UR~DQ2JYyV6y8I?N<78$VmY?zWfW zd#8s5{#nd2%kwo-$l>w)o&(*9y7VWYPBHNno{0Ow)O`EnOzRS_E!Qi9!!`n{K8#gf zd?rn`(`9cTsx_qXR;=@n;pRT<PcH}azIbf6zkaOfKQdY4Pn=cvaF0?y-t0c5w>DV! z7N&_tu4mCyvRjWf4%a1hbH!^}PbFA04V*SsPiJJAZp>H>n1xnQHBYR4U)?SMeq|}1 z4_ZqmDLgCe+b0%$3ce9%nnS`DhfhN_LEFwkdG3*gJ)|FLw6rD+GN#<(^3YwmgbD2> zmv%_6sNu#IAAG5M@A4^t_b4tFTYblLqSs;A>BX9W=V8aeWP<t0QC<3Ywk7tRy{7V= z<MfpAq1q>5d!*lv>NG1yYb9~Lz|7Wbnosb&jcffXH<1m3yAs1nTD8CP+8ld1p+O`Z z$*yYHuu9<7)ZC06QO_!rq{iSylrC1_WlQddYP%RH;cVpRDe6%(9ReDS6lZ)4lw$iT z&4;f}%$g6N?r%ASICF`vt?&2dGjsj6!pztox0USdu%DThh!4D;TKdxhRENX<VdCTW zww}hjS!>BXN{6_&O1A0C2h6McTdmg0@=YPkzd5fxwK~46wfsPEq9lJUdB+x~W6PX0 zEvWwzJhDo{_0;0b?F1IM%|^f_OpkFVys>>uQasv?zS8@}x?<qDnUgM4ndkB-%;+g? z>#2vXr+G(l>aO*%lXou#cx5&JJinzGurmySusL}{ws~3h=}P>U8>r?P{DHtm$giv5 zTjxQJtKhkge8~dO1tA~)I8w0C-Ll_)b}z-6sP;YOI5ssmDVIsL-Z1r657zen#^xem z{bx<;l}V{Kix@>39$|U-E`P>K!Ptm}=H0cv=w|D>U?o=N>1<~UaFQK))jef8^PoX! z<jvjH=kpPf@RYU5;=Zw8+H-OHC^YqD`WpsB&hhihdVng|dp}<`0+kPa1|DmVqzA&& zz)6YGYqVXs|8*&spf{HudELs4+e?yCx-x1s>K5PgH&okH_XqUm7kAn-vrBe-|BbH% zxrMF4<nO#%LsfmRfE!7^t`1pPZQBjsqIji*rFd7j*e`y}g0taA=SR7nr@GS+&~2Px zLve8;xU_6~l!1EZ$E@zb<b{&(QQgGR(~l+#UIjIGIWF!J3<v!R^*LM5i^-=iEnzLN zc32*q#xvT$w6$&W^fNtD7q6bKb5n2Lm~5e(bjSz3l9--(pfD904C3?s6E~eN`$Ij4 z9u0E0WbmI1nhwk^_=#HU)+sQKspPOgv`#4GC-qrl&2cbA3NU1gw)`AqX7L4IhD=H@ z<hGLUvaUXH_sC7<uzBTQIBu=jESnNzFyl>PMbaf!Aw__K;VuZ@wlKiKWO5(Vk>e z`qGrMxy_R00dg^j9Cs=lj?1AKkaVY`Je|m-W*+^x;aFd#2l_Y^=xwn{^OoRYNz)Dp zh0KEX&#OAiYn<T~gZ48vjO1~ptCS?aXB*x_U@?E+q+Uuv(1Pb{<;wsI$XZlRvg3m` z$#bg=g*VCEOQzpI1(P5=t3;s+GWQ<ob_*m6gAd!q1rAWoOt4tJU%LEVlD4em4$aG* z4(|QoAUXwW1!dvzP2t*@YP&~D6e9_$E@GN!;6*XQg4V9S`x(GhDaO9N7eoSY-D&>S zhNh!WV5{u3?ij^kV;E~npNy;=uyU+?L3DHsBevlB?eO#6CVwk;^eScH6=FpRE5SLP zsoWOe%mt~+Tzbwqz2#uE0OuWh!=1Il0co`NJax#4JXrnYRVaGJHB>W8xBuIc*wd9s znk%=OpB-k7j})*Md&%jBzjFxu({3hHA>8rxlQuv8>n)}){9SGBbhz+FtVBBDauk(5 z>1wPV$08m-G=nqlrts<A@6bYu9CNp=HotX1P#F8Gs};n)ur`x6<JWw<B2Utnpq{SC z&OOugmc&qk?<L-d!WATZ#d{g*1%0hT#lDktk$nTDDfXX9nWuO<;2`h%W^F<~cm>CW zE<Tt4sqymQY%_67Kvlh}cc`1#oQg%u&El`p_;bjNE}j$5Vh{JpC|2@gc|7n4`zLb+ z*vEuJe|c^-MrQ>4HSl-2zj6-#5mgQ2^^xyC;Kq$eT(|&3IV0bz-aKxQ5+3@5$~g8> zd7&%bN*s@5oKt(4)j0X1Ufh{d*D~5dN%Yu{p$$Z?8)N5~h;Vo*<Cc%Ng+cKZu)h5! zc{5QhRB$$M9d$1f?=Wp5q>X0N!z0s)0r%E$95jKS^{sfFm>VOazx-Tij|89IX0ukx zsMxo#YK7kBdJ}A>uk{~w!~D#G8{h5m2XKfk?2by?qPIct5eMk$o0hp)5PW1Dd6B*z z#2%Twrf@Ss1V1Gr0LRB_w}k3t@aLfYPHn}KvH^Y&{eTjyeQ-^=_S7Dcpn3}2wtiPc z9Y$@N&x3S5J#oJE@C_6^MeU`x3Sg&5YrU$?(#Vq)`EC5@|HdD|iN(6|L@oE%7`+>3 zYH(dNp7(qbP-o)FAr>M3p=#&D#}aw29j%*-N`>BCM~eQsLx$egEBGfy${O-#tp<I$ zs0Od~uuQfb&gc3u!sQJmstMr6xiBd`QI0lYuKbTvkbam5%Dna-zm<M4;kQAxf;1N> zdEN1@o0Wcy)Cfx-Bbw!@yCKOyM0K^T^%v2>U#zMpgX|EoFzGA8s8FBS=CB4Tk$EBn z6#kn<k}y`0y;(KV?$#eIAc06ad{M^o6K;W@IV)r-ud?5L51`J5Q#9wMv27HH@AmMH zZYi<QV<mu1&=7?swxD~qLLsiNK`?w8nnJ{j;mUw!miqpcxH(YL>zJ5t9E0_b7L18i z)HiY*YBu`<o<FluRX&1t8seUVm?xs67Dg#^jBm%ttL|mRoh^u=<f-HFs-DFes*3QN z`Dl;@rSYHud8=>#9Lwsn$<W%Z`ynfS^nzxA$8s_ng^Fyk3mNXQfwt@cA!?VK>^^{# z2dKm?&&ssxo_N-|F@SNW&u!j&qQ>y;p2^Wq-mTWaQZtV%rqduv<r&Qj)D`6$fekcS zgz)QrMoi;qk~cZ$n?DWNkyW<^!AZvSR`whL0$e2L)m%ia2G=)0$z;P>M#a18rO2#D zYBCKYNnA$ft=di#?{+#`ooE9s@-`Fq@ET@*GQLmL4NSO<G@AGQ{XIPIa3{OK$g?Fp zkNU5>lnc04JTPKW7NOb8v1mVpB)3h@g{K{DNm12KI*vh$Q@qMCznjs}*Xb|Gi(mwn z{W-Vn@-*Ge-Y_NgUJUef6^K^!G>FPPqfJD(lf~K3z5>0_6oIx{b@GZ=6}|75pCBe~ z{$Z4)ZH$}yl$!^HthVK0L0gNzNa%>T_}<dfMMq?O+h<x>he<Gsw}r%lTBzgvv|m04 zI~DF2Ic~LaW1IPtt1c(HU%`p^%RY!ya=Kd^K1L8yJ0>(>tp0;G;Qd%hK9buW)Q4nI z6&%3IWU6R;m?4K63j$%ZXd7ba#ZoP4IT1ze0Bilg=G#>@1s6l8B4IgJ43u1bcz{5X z@k!Yl=;#9^OuWg!XhyGd|2zg(d5T4iG7*caweg6U3%}4DbL0r*!;BbMC()PLM=&Wc zfuDt<uJWx`BNMnjVlIEVBvj}TN{o8INxX2dPW{EhE{w{_JHlL|t(P1cc=~g#e0>`< z?!;+64gSrdBuOg=wsb`V<AWv1Tlkl5)x%@eSUIh3bqD2F3pHx@;=}XHjwHMpc_Rjw zl;%s#P8HQt?wrDPEB}d-)DNdA)BJ_XK?inoid5iu>fE|I{e5a6UWs7`eAfw}x@S2! z8fnk%f9)*F;zcGW<(b$<5a$Zk_Qz-yOLCNjrQo+#-$~hawh(hE;9r?u(2(x?a^4kK za^pT7PIadi&=*Q;MHF8nh;%lpT^6Q4ur9{Ye4KC_q@sHWHs5E3MMf9WAI9zW@#qxC zf@mw3sA#r}O@Zqx&(d9Sp?@DR%pEWj_k@obv~gAxE#v|AAMlai)JCFzW>XA$F}S>1 zKE^FJ%84LNJL)=OD_q0#L7!s@U2`r8lGA+gceT9UxolWjbe|*@fq)nd?^~OUMIkc| z9}yT_Z>Cv#h}MHCWhR#5E>f-p8mBjy14eTz%nD_{oTAhNSBfVLs=}Tp$#iPiLGc0m zWP}*qhdwmcBwYo+VNUE*HI8*;BM$oO9?X|pxqhMDpZt~=A`FGa@`I{Z2CUEb47&>` z;Uh2n??hBbPREc(heD+JlLO1!5AF-Uum2~4#HH%~KM5p-OWW7&jn@Ea_ro&ZSItM2 zc89Wa5=+UE0!H%ak`SLugtKXe_L25Lt0H4avz!q5hh`ehAFkI<vNBtozCKFyAN}-x zZxc6r8k!R;<h)lltkiFv-WV6~(5QxGS57nwIbCH%H8MRsU~_gAIL+_Sdv`8Yj{P}o zebMW+*Yt^OHczd<(Ch`^4XH8d4`PBt<=5$x*jb`-!U8{qLakhzOH`7ULJDPqFuN>5 z?1dU+{~i`Y+BJ^XyR(-5{gZ0NPkCvB#%)1Ujf)hUV<uJ2Qyx^^VDnasr7B4+&59b9 zpbQuaW$erX<;rN`mfmH7eCJ?(x3k{0s3<;FC25BOUdKMf^^GF{qOt=EPO}3dyuLS+ z&%-s-&?!S|Xy7}<@8AqXgSf%3z3znNNl;#nh%v3R{tpo1{G}ICNpaF%?%u;?yjo*x z+*43f^IodB#}gY+0_T4TBx9}E<;2liVgNR!;m<Bh=+iEIs;@IE)6q4NI6?lBeMl<0 z30sROgOqX0IDkOEvbKR1^q_vg#~ObSdL&rwW?FRf3ru<{-J;Ok1Rex<bK5JH#vTDB zm@aM6_Zg9?w5ksU=`J^3d}&qokQSrAFIMZ=K24SgnsPMUU*w~DL_;UgFO(IPC#uhn zvXejAdLnE6H*REN4Q&ECvf>XUnPw@5ue(nNfYw18EF+rm)Xjj%lBzPc)jA0-JJ={z z1|_ppzVCc~7(~fX3F#fl3|;ny8j)ZbK~3awP57t|LW;-}Hgne?!B0Khdg_uTM5H1a zfu3?p_~Bbv|4AXx%I<+5>$^5{bSz92p=pHzjfP-7aU8h&xPvoM@)Bt&16c*L;eZX` zh6g5liEP|)E*@~g{JM7!6CP|rt647}!<7e~0k!9%uo})s-4sz1GisBp7bkO#A)W%1 zQDFRWEAFv?<K`9q`RliJL(zM@+q3F43KIN`%Sm(QpJA=X?fwB?u3G#=i%KjmoEZ=` z5nT_!J=4Y)%+rf0RjdEPi;Mu1VcpR?KvbaOIEL6l`9$c}sd3FAiCT=H;>tvLx_fhA zI}M5ApQ&L4M{#QcSrI4l#F_%>vQqsEC=?P~-)CJxj#ro9BcDe;<EgY-<&$UGw%9<G z&GR6`4Mde?v|7wXhif+3pTe~ZD?{f8=3tD+Y+k6Qs8t})2(*q>G!D?z@h9ffSxMz1 zWM)#>U(!e&L_@iETTpXmcSyN){LSsa{_4*s#9=WD$zRUnON^uQ5;M+-&6oMpL(o;L zW;r!hC;!eIMD}v{YTrh^kdDynX+L<@E7Zft@Sm)aq$#)4Zye3TiQ90*S>tytWf*Q} zfE4X;xb0KZb4s9X)byfphg}7{KyA59kwFsXMT!)6MDiH~OH=8OP<&KeL`WyyXI^FP z<WgZuiu63Y24W$Vv5puWO)pGJPN*0Ky!%TS9Ih1Q<RkYErJuMgR%WweEZ&??c<`@o z1Pw|mD>j-Gq9jb#39J>>44N`Lj-k1OK&oJt1mAlo9bM_ie5H#Jlg6QeP#wv6`PdU< zbxF&o7C~3?%ttKnkBv`QIy2i;oFDEElelJK^G`Qva2ER=$VSs<Zc1;7lT<3^L^%ub z#CVsA*i8|{;978Y`XK;>KC32i+M%>|Y|(FBnd%a~pT28wSaX+)L%L4sUj7(40yLj& zub?g-Qj|b=#3A=<oif?x%)~}Gl>SRMw(30eo>q84BI}j4fO&-Nc;PCFY=7Am7EFU# z^oZCv+LP|N$KOobE@f~IX2;_w0mo<o<XgDk@3g;snICyv2%oIFRgY5>?r=#hv>#{p z;Olr6C(22ey$(_MZrJ#B(i`z`6!@W~diSF+Ue-Sg2ceWF8R|lp`M)VBy9)LF)5p;t z9Qcw`N_9+Y^*#@_=40>>B$pjT8FU3rn_%Q(z1QVAf_*Ca*1aFtr~7S!lFfk(W%WQI zq}3#jSn>LFnSvNcv~*mse$;-6lhg+8<&046E6l8=n7NI85GvguaHvL+XGnDj%~1y{ z51ztayKg8y5Q!WidQ&gGJfmigS_eaYY>HYoTHdspZF|MF8EnQdb*nU<yqm|+p4ef9 zMFJAWlUN7Tw+E=A$Pqb6N}d)y>iUtDIV_1_IBPXt%u56z%?Y6eNB6TwiUKgyeK%Zv z!`*Ocs~W3-!efJXTWE|A7_Kf^dzhvs_jT!*4Mm+CeAm>Z$)>vaz+W7S!DT<S1~dup zFSIp2XiZr3-Z<skbN#HjNimn*h~P0acP|vbHn967Aq69<oM)HgabiQe5HNH^7nCv* zpDH4mDGS94xgfiyE)H@`1<Wj%TDCeBS)4Xjl{N(kz=<AS<uff!)eN{k&p@@TcQPbq z%2Kth<za>rgeB<y2Oxv<3p27NdEq9wSR#qj$l(C$lLEQVUrq|^2%>!55`I%$hqYC# z_4``wHn^Kx*K0N)^OdS?Tc$Kf>Zefpwy#Pe`8|3T6agx3nO5WQnOvUgjPUzp`V#`P zN}{0tnW<));l^kt3*9e1!7xvboR~H`EZ|ZEY|I?xK=q9jgqFZyoPtQu+#4w6VR<B~ zZZQ#dxmk~nA%tglSPDg2C!6_NK-ub~u_)fzI21hQyhMpa$qg}}VbAtf(G+Zfe0K&K zZaw*@bRdH2x@gZ%9SD{3|3gYq^ROTm1CdgIe^4p$ot}SDDJ8|DD|Wi+|DaNIasL-o ziu|j|f1^^Eti8UYO8xJsl)t1D-iH4qrL-9;tgPWskw1mw_vV>x^e#6F2m!~gp71kH zl`>Htzni*@1>_J13oYRjRK@!voyih7xMNap&}E1tj46hQ6VRy*KvRXlnCm5m`_UO+ zT|~>42!AKq%|76D2S3ytIxNZK8M<Lw-zuE2SyAfDko*C0kE}n)ozvV3vsr8QYlEI2 ziE58?z?~n{^e;n{6=fd(8U|c!>kL@E6zRIcvLLO}^FQplJ^BlexrqgOj^wT`Qt)N7 zBYCDP46P`$ruJ!l6;$V<pT1W(*DO<UcE{gP+MAqoz`2#uD!NMg%7|9+K-wnuF6}FC zCg(#j`{bcoeLtlBvVST<JSo^>AiV%>jMSrs#V!=JGT~Rzdg_1~`goupQI69w3`WR3 zVv>ej4eXP5x9@g??%5LZFylJ}8|qm)aPN+)YzidQ>(bPF=<WQ5Y%qai%Ub(3HxaCK zvCw8Tk-RZ1Yz#CuJ?09Gq}OWWMOv9!enk*_<*X+v4Dv5{<<|#F=~$Tig9x@_0x{~; zc&&om)0wfog2kLrpzeal{%i?!z5Ka+Q$PV;H*A(~AO$s=rbx_~COVy*_Lv>H!^S25 zr$=#EFbLvmUlsbsT&c0^$eu<}5PHSp)$69N%*KPm#(0;Su>-9};nRDx3XUSDbGyMk z+KM@c%*;$FrEuWuBf{I9dDL_X(^b5882nf|`EbpP2bTlX6-h?KgCD^HT=XAci*<bS zY|~E1ggD(SnNdrVO?T0-^t=cNL8OvVE1e*t=$@La(J%W}?(4U8Zt86r75+O%4tKG- zb8VjFS*Vo~I2`w^Y`qyA%$63ov7fFj)vxB-=n(dg9dFYa8nto`_d(Ag4`NBFT17li zhb#3eB&GsNv2d}%4BR*2h+@g);t2vTNs9zbqSr-&n3ybjuw~#2C8Go7HQMt+<`#`^ zVDl-|#(TQcLIfr$;pU@VZM4{xBk#O(Lf~UmcH$AUnXvW2Fr#^1+ET#rzBHM%#%hNz zrg+F?kXc52fKe22Yb3CE-x_{k7d6;_%^6_CG(Qg{xyrM!e$Azxirh{qEO8bK9oYXl z{i<5x0d9Ttiu?(Bzk3#o5Kg4&0}z7NrxBR_hZn#)&}9KH^Yn#F`xdE^kJ5-=!Q9!y z5c8R|I)9Q<>nDkat#a5bovp^bXGA+>H_=ZRbsafc43*5D?YkeyVg7RT_&CK$zZz`5 znKN?(rX_WTVftOnkIg^Wo_EA*kJ~1xBN=7k47xV>fo8~b%j96&vB%M1d8vozTQ-PS z)84wN41uU^iZwoDlZ69wUqFL?w*SF0A9!_Q7^=z#=4V8EzmxSQ%r3TCzB&=Gp0y~n zfq*&aNzm@|&x|c1AY99y!~Q?Gmc|jFu#_yFG;WqlFf&3k<YB-wjP_0#EE*9FXoO7D z3__|{GnM^4r_3ZC*zclyFtQKBU!#PVrDiQh;ei8nezspq0O8PjZC?7V9_$c)EyOGZ zU(HZ-*JeYcwJsyUz6PQ3KVU7Nf=&xQk|w`<*YG?*YT>30LZsLO&~mnErn8CIB&VZ; zJ%ltJ7Px9V)rYTOuPk&0V18!M@uciD0=7?SCrvP#z2G65c-P^`X=rtn8!vTjZ2QhF zF7)Wb6-a9a@4uOH&Qn5t&PN@{Gu=_}gE+WVfVh~&Xs>>hwW1#+wHQ=|VdGPN7pCyT zfoc>f_FafV9iOxmcP~WZ*wj?~q(&t8C>tIn&U)Jbgbpd2E%6gpJksx<DpbDM@l@x) zCJx{v9o7);;YR8@U+12joM(aul)lSbk~C6hQD;Dvj-1O9t!_gYqgIKOqZ2NxF_Iwh z^lr}8i8?-E{3KsSRc0b53V+Hw#Hyy-?NEflSa$6)n1r(Y=?cqI_I8j1s86k|f(;-t zNDX)5(8!&)WyLPHG)$O~FMZFqBumi6EVRf3XT~5#g^#iwR4Z1EVQ_p66m|NLwMFQ_ zFhAx;${&XH{XkCL2>1bm1&J_oJN_d`5~@|-WX}58R1tvyD-3au={xN$5+Ts|sr5LH zEm`kc9JM(UyX;d{^R&T1-8Di*MO2e+uwGe3MTT&(0o4nh0E^IKIo0byL#8BF9QpBW zy7XMui_}D}+{EqV?LtfxKp`$iKZ_f1g#J-sMnina@z6~R4XgG0q*h;IQ)Wr+hXU+t zmBz<Ci8McNjPAw3T-=RogKA0dpeZ}{Q045kA&vkY0WdraRT&Y59}^6`@Pt_IOL|yA z6oJU5%Lbx=(M<4<34fUW)K&72<2F<n4MXDs?~)y~-58ChL#%F=0QwvuvdCYw>J!9@ zif~?Q)U2ghW!Zx;+yT0R^W$lUZE%@$%n3I!u{#!0pV5^gB0_gc;sKS{!z)!Q#qmia z2pDA<?rgCj_$8fBD?;0Ob9-OnPZo}pPp-iu+vW!$>QwU+zow^1j~6ox?vrkrxEJTt z9=!o!*x}Tp7$HjliisfCq~9%=K15Lc%tssk{3q@%1zAlAjdmt5aY1A)Z8V&+hU6&* z-AY)T>VSmy;ptU7S|W|0E%GpE^7n#tc=-5?iuY_vhwX59oh<h3Di+lNn1`OjrRonJ z8q;!>zJ4wZDxeuTT~qUW(Z&R^&vd+pp`5>TM{#nMLoP8O{xYiY@G0Yj1C>D?^o0fT z@;cxL>aSKUEFX72%Hqn1Iz7inW3tHw7zm|l$%UwdrS@&vn?r`D?trJNR)BdFHP%zl zw{RHG>MG3}hYi=dpM2;HOtD^Qu&b$R=HE0kLNdDSyV)Ngv{qU!PQ?HrWF(5XNShL; zz8Gmm`z!-j6PN;!th7*fl!S3Zr}h@sAAPM&60+m!8^RIQ6w7!CQ_WLb?Vw6>a9u1? zzZKw_Jw4*pAR&a74HqeVf>@tE<ALH~J20~3MvV6a&o;*~M8LE_pqv|b3TaU5X<Lrp zCbfNT(_xt%ihL(*D3lJv0zPy8hZqJ8#)>M)M?D%KeHGi8&@V7<LJkYMz6iPK`eh=B zZdeh;Pf5zJL~%0Epvp1*^sw?|8AqLqNVg$$D8g$Vn!|`p9vA|NOm;(gl3Vpd9ot9> zP|j{a)(PXsG9yyuYzdYREURQ>d1>?{zYEJQF6!}*PJW$70a9bx^uD&^dD=2qSY@Oy zF>?aM%(o|YQn`I{Pq|bPZFF{BOBK7-B{mb*Q9_LK>9=?9Sr%5DD$O}n+H5DvY?hp> z8e8P*w42lh2w0_{i4)Rna302Yjbfb`z%6-DCkLxW^M|>DUndd-v!!-&qm&WGz!nX# z_qy6rUs2Lni9Nv=1F)6tYMGE&rgUW?*!+NxGgu?OW{0-jyEgyAV+u@Or&6#9)+thR zYS2F+rO0)f_mWuk9%pdviUBsRoWcUcar+c)JcBCXXi%Zz2A7A*l8ZC((=Isu+pFI~ z@w?v3GHt6$KJy_y_&i`&%9_du`e6^(6;Vf|R4nbuZ^=tEV5`1iWG;rvgOxo>?mc2l z`PB2!i3k=Km2yauSwD{l{z@0<7}1)dStQB|bZlOeH9OUIy!+Bv@IhHW>J1`OqyGkx z3Hr*mOiXeej+a}7oEkn~0?ZgESz!ZTx~0fS5y(KO7}12PT)|a4A(@3{iTy~d;7HmI z1HoeXAS7%v`4itEimjX5CVfaCO;Gu%j3-Cfc<BH>0t;sJNHJY6{$eil&(Kp0K~v+0 zQn>A122J&-_76rX+f-WKxemD7WZIJ)J|Z26+l&*WjS751TWq&%$_iCti7!BkvuhUd zWvC?M-j_eLh}gIHOH|-j5W2dDt3%*nUx*Rb24%%DqFJT3cPnY335hU@g0oMf^PN;a z!aCZej3$@c7-ZYp#){Cv1h7KS3jYZfH@0!SO3ATffRr+XW}rx}fv3POulP^;43(FL zg;ipbD7yh7r6^DqO}qO@%~sn4*P}Zwr^OH?o|^KVGj;hN_6*7bwKH4yPj8J6sLLDm zr!05M`~qms3K;6>qwgM7@hpTGt3Hg!(wArd!=C9+5o=LqFaACfv!);+CVACe5Wu)1 zMlvPuX)9;xo?b)0Nq#t#y67MTY;xc;p?<uZ1Q?{-u|D5mV8Zclm+gr@dksLM=4No1 zkWp3Jz(mC!V>J$liHw+lHTmqnw`FO+ss8*V?sN}zg%i3Sfi&8S=J8SWjXqOePhoBw zY9-xM=wl!C%|FB69FMRfEXsKh1f7u>iI<5!t^-lruqPmXkj$qekEw{&Gcx6Z_06UL zpQzR*Ryh`2kTNZdq<$bbA#a4_UzBqrR@1xLUiaGiM5IPo-P+J?{VXmVS!VyEVmxE! zm--ym5Xt!@Yp;tH&nfnhr+(Ma>gG>AJ@Ux(rw)q5aDwv>;*d_I?B=jGAhz`QafWBz zD600Grlt?A(4sWvAGef)9E1Ul`_AbUKxIB$V`pZU#3H>hKXj#}f6`nsyCu)Cd1{hX zg)s!B5B&+v*!C&B$oPv+-@7wmoS8*Qsc#`dMmBhKRcdmGywstp#qL-6kO{PLVlZsy zS}e1+BjpS%i2J$-*+-K)c^Sl8;RsO^b;owpdeoM3{g};soS9!%LJMpL5vX_0{-SD- z^3}HuTt1FKL9yO4El(hcD~KeFXSTY1u}#97NVF6j3E<+%Gv%I_Cyfn-D0vp~lu>+N zq1z3~qAg?2x`5=f3BlsjiaeBHc*65PP&I}ePw_Wn^xb}$%-WTYx1S37PFBmjKJWgr z{R-_X(dz8mW(pJ_;+Qp|txu7pJv=!}x2mVFw5q+tKC3~)-<e{uH>D=;b591msF|V# z1TP6rHRu?4WyLUv>f=TW6aGW5xgs-os6t10y<AJ1m=wFKm8Kvg#a$6D{)QB8Z5f;w z=+%l_ko5n+YmzQ2(k&ehPyn~JF2+mvM#!%HG+zbQ^p4Is{f8f(UYa@E*8H9h0U!9+ zyc5JG94WW53EZ1>80vlLu-sP*at8eNJ&Ln0mo*zp>>^(smJlR(S3_CrpIff97$~I! z*Sx<;pWhT@NWB}0%H)hR%%HeA;V7H9;6+LMI;K|Q32+>!$bUv!xC7kpbk{4NhZ?sa zRBs$dnCA#)LL$PycNb}SaZs`C+I339QoGn%b};gXCT!mqc4wnw*bo!TS;TB#ItZj= z;0k|$RHo&5tqUK0$KRQOqnt)3wQDVEaqX}YkJ!W|ekY(b9Rc6bPTRL3=G@83GHW*x zJZ3ZgfB7|lX5e}u1hacBFd-D_-zj;>!@u8Vp;Pr=_!_$vsOKHRr587`fa%<ye`Jg; zw3ZxA=P_E8Zq?sEccZA#>XwVpLod=pEu!C0L5h-L8$&OKIBETE^A;g2VdSLE=pLG` z0NvUn%~Hop<*Cr}RfrW)Mbr7!38|tRpkE1`PPE(Iqh>ex>daWa)QxOWE7)AtN&^!w z7jZHR14$|?EYarI@IFiRb?S|4mHWBr-9ttr*IXVB_?7FZAR%U8=3sUg@JW3dTw90q z`<js~D6OeWv^QM>sWGCFQuJ$J8`HBoe~EPu^=MIz!#Ieqq;d{LiRjlHZ&5=OnIq63 z?}20`EZ~8pB+TQ1Botp3_sPE7+#`m;5@nVTrXo~h#65C;r`)^)=XiVZy$De*A57VR z`UseZ_vyPl&=)~2#4Wixc}Jv}rIJGC1*jLojedj{j%fc{K9@%dDRZY73j>WOQtoWW zK{*O0cA*pd6oPa;{?$;wnSXlm=r<tIObY3A&ZkhB#wHAldjs8!GF#zDRKQnakBiDQ zDl!@9A_~*N{&O}2Y4GSO1PM7Yq_QOLW*xcaN?*|kM?Nw50|}iBen5)ADQCt3ECMY| zm^ihbLYB|~3Ba>5oV8sMcD@<Fi7Q%|?26yEa2;BhQZvYliGs2+tu=OJehV=Ep^G+b z^cU(r_(qE~Mx&eh(58E#5~<8RJ4Erd>lPXD{vWaq!}7a3%<1lXnf9DJ5cZ_TrS5fc z;K}_rL22Nhsj97_erGMiJ$A^0SgY(OZ^V*O`+{p(J>4z&mmfQ7#@WB;{{nTii)_1o zmI`6)xu2T8@H~E~IR;)16LqZCphMxAaZJ~@kWO6=Ri1|jc+G8Q2b;YtHCfzckve4U zeYduaJ1xkm#_xo_ee?bn#k4;Pp&AR-=v!Yfst|5+17Fgm_Ysl$75TIiO1<#UFy&=K zSpK`%rRL<Vns>3`)%&RCu{mEp)|nxiBbPUt*Gn1I6x+sLG=>5B&9O_dL-;D+VJkWJ z!!V*bs|d#Lbq6jfQNlR4We6GVWoT${>~(Soh3&V`OQ)8PeHkw(%*9UvV~ZUHvKbta z^=88$Ed0!nk@aW8#J=sW;!D>cLoa%*;(sUPZV5~0j6ojK*`Ozgy1LY^8{Xu?ighrp zLiZ5o1>tv=boYR7rJxcA5B5!A{}xE$u9I2>;c^V8rc_jV3YIVH!;U$tcq2e`o;m#C z0cY#jmnOWD)6LT=(Qmtsw34km2vuY?Jblfa0(FUtg1(T*hV`YD>%m_dFVl1Q(d@Vl z-EVfX`Q6-k&M+(-&{!$)D%X5>m+>+2&N;Z40K|s8myf>N{bDuQ)`M5h5Tc8WyrzeU z_Dzj162#P7*(%iIohedEzwNTgZtuz5V1kHft@CcV$*nD3$Fz6`aASW&WE2kLfo5h# zO|ufW$XF#zlbVE&;fdfRvh%IF>W8um@FJ8>U%G`|qWu?@=kv2SUtuzDjV4bh;6Uf& zsi~az7p&9T044W7z&h&qes{`T9}yck8^T(bs`ILgRQPHlaF2gBaNc5n8|3s~pW~dj zABI@_mAvr*Ht*v7p=KgKoPhvIaTd4hw$M01oB81!ks$@C?>%P+h)KlY4x2~B>Lr03 z>1jx&I@?q61L@K&937Jrr!DFSD{(TK3jrWU9ydk7xPI6A;bWmP48U^~@q0uH3`-}+ z*-i|7k$@qbO%xGw-HqMH=M@dimW6Zv*P^y)d->o30D;)@1;RFj(m~8F30I`-g;0k~ z<G9<%6VDWat$9m}C{`+J@QO;cH2X7-GB7>&oe+12QFuZLHMfKVY>4Fr@jPU<IT)rt z+!tF5C4F5VoCHYOc$7YCz2W}@m(V8h9qH~7I^kSq%9*>rm@CJYi5RDvi*TY0MAVrU zVfP;K#r%b4(ctHdU89`<?PK*3sXC#O-pie@xQBl9SnY0U|0KS{0V~@Or6M|Vo;B&g zVMH<EL%zSi6zUH!UI)T29Q2!T%zjl`6pOc*dc^tZcMHI6Etm>V<*Wv8u9hTCMc<Vq zL#EvKTgh8f1ksdi4u)&>WeNU>FaElp%y%Z>rBVJ+G$5dnP2wV~npf|&JjK?vu>f*$ zCryb;4uR;Aq7Gy<acM)(JK1Em_9|pP6~o{s85bpFoO`q+&G+=4P6qB{zxLeZ(#I_Y z&(~X#&~g9_!m~L#Z1*XV1-Ga|&>aaMzEUhY?2mR_UPn*&-g#m-7Tr{ev&_m6=4E_W z`2?C;q4Kcc{X08Gyf5oIXae%i)`^1qQ6g0MeH7Z!zVoo^Gi_ahP`Td*c<%PYJx?P$ z18L%;e`Bo_rJNFg_&Zq)fB8FBhamnA+udh%p!#~6eh=sSP})HU9^Bw3Ub4}y%vt<a znd#q#H(v=e$u<fZYBY0ASQLa6mn~my3*$bxrLz3`US?G#8%g%_GcinQvR+o}<M(x( z1^q~Q#$4AO)n&-d56B9I(~xXfMN4?g!=V3h-3|wM>0LT){DmItdWlqLEeZUc)YE6F z!T^r2x^7K;LkDGr#J%5LPeJ+{4B+eC23BBHApXu@{7!^lU`2FkU9Q-my6%qq-HE2I z&M#^z92}t-C#$eBT~jevoon$N`))JM!N&bW5;`rzE7YtP$|fn!`dvH@bvCmo>?E6T zCM_`UZ)VUz;j~&o*s4`gQ2RB5^gHFiNGJwF9=}69c@Qj<7Stu!P?X6KW@XXn`VWOF z@9F!xK?LGq(Vtq!mcaHuXU}`YSU};lf@N!CGJM41`YOM0q@Q2iVkFg!TR(s4<Ij*6 zO`!z>^sH7_fUU>t<oD=3sZuX+b!j)W42NOwa)UaUwRQfX7NgRSN*o3d`XyJ`Y1~lI zrj0K|a%bMI{STsNs=I<wmkZS%NV2@EYoXIUp7-wc!{J<uF+&KG!vPnt86yu0Z?{Ho z@b*hPM~%u+YJ_Q}*Hz7~G8v9Nu+Rke{+86tO;dTAD=GM%LQX5OK>dZW)gm%_SF&18 zx6i83db1v<0Xta`AaGx$FXn>EK6<3@mR3cTTP0SRy051+l<)O4lBExtI^=15k6O7- zslm@eja?>Gtv6a-lW>Yirg2?z_Q6FUy)?MuiLQHHIo~R#O`(CYQ*Y9d^ULI{$E)Sn zrw`XUe3I*j7)-(Im@wwv5V}g}rC(RBb5F}@!yc0&WQkAk0IuSwYF9bQK3_5goe9B& z&6XI@9a{`s_VrvrAFz}1DlDg~^b!rs$j-dT*&Ts=E%fBe0!lx=>2XVVX4!dJ)n0MO z%TvxE9pp0D7a6`U_0XvO(k8B<2GY0raU(}LU#xa4x{v|r6%s0Bzf!?5jARzj&*cdW zgLvvz<0RigfXa&Z<P_3gKvdh`PGD|NJ714lR?Oi{Qw0R~i4e;A^ZE6L1Va~&+l2o- z+XnB7)Cp~$yA0K;Oyb3#8*87X?28C5L9yTJ!8P{^E^hN#<#$~`r!Xt@r;|tK7tj)Z zb?>Gf{9c+u@d{NGd-#|r*W%848Ifp&7T+HuNk{b50)Kp!<|vVJw_50P_rUX>jqV$C ztp?jVJKBEq)aK;Gx`X{i`?P>#YZk9OFHeQih*I`tR}Dh~Z6dc_{yDW)6Du?h3t~3w z`4nA##$k|}LmPlmX>IhX{AWS&x=d$>r7dns80S3<_1V)m$`65!6?#=m&2lU^KZnAI z22d!ZQ;A2PHn@CaDkhDW-LOopkkB0G85PkOhOL3QYnGqdtf6^qK~MVem;0ZU8w;k1 zN%?mZWe5ioCPS9h2zThwE{Y{A*g<%Ad7`~CojPz<bs~KR5bqq#Otrdn#y6z0+?yXK zY<P$*e3ReNEoALB0rl#6`%7IooZ1D<7eEHx%xh=xV$O1a1S>YWJ-inz^1W(lI+6Qz z9Y5dW)!ABT8_`0e(bhD{GF_1a<Av|sFzV0#2?K&?>v`VQx~#=8?SZR0ulLV0o8I05 zsm{!gEJkTFtI`A_p~m5RKi}KE4*hxl{qHh=0#nw_BL@rNZ%YLR>RCu7mjU8VMR!}W zoX=iDA}wrLzg7aD6Sb^4gvvLJZl#;o`n(0Yo@6&}4(LmN!uHI0UZ1V{yf6Yp#G4r= zq=?V66iYCLTNNz@n!Ck@5w(lsny>p|DCym6zv$2A>mJi;KWFS>e`h<`_n6|K81(ql zz=dyc@8l!`Z&~rUSM#%Rq#o!RI8!H}3fJa7nYv>MO{`~O&=8QccIZCAvC@%~cpkQ+ zwo#sc*xPa%^{)g}o4E<T?(nDC8HyuqF>A)v(JpjmHEy<H1^pT<MHG1~?%eA;W&_>u zR^0MySa|Q-Yk6e-qKbCceZ)gF086+_n7f%%YCW*Fc``)W-6h0e2n7VXtD957qEss8 zH`eNWr03~^<-Figi8maNUGdt>>U5n(QIwqi%#jxvYQ#Rzm@ai*N)7g;f_HfMV|Vul zHS9L}NYbH%Cq}QqdvsRCUDb+Nv39lMN^!1xFhw!-ieR~^u1W=pumFrTbvp}g@3@)v zu&rD*hW4WgXcKw4>U}_rW#m|2-Hz$cj{C^vnzkJl`Fubz8uj{DHTxH0?Q${^Ozm>; zpq{<V9ICH+<=B1s7qrbu9}@yFQd2WeQEmM&sdU_nOCH&4cB<tR5mmzV><j^C6x<*? zxheJlr}9q<TNR6!`3>y$X_*Ub$M|wgy{u*WsrYY%A3eMAUo0`O_vP~-#1B0OPp&IA zKA426&8M>oEWL0d8RVw<CqGxg9E@0;cF;#jr8K^q$&Nk*K-uq@%2_bdD6t87B|4_c zjvQxo8|mMX#pOd_r$1tL+Qk;m8PkR8^=$NDlSB}a!N}=3Vu%;S1}+8CaQeg`qaf>M zgUBmBg<b8@b3mYp=M%N>+T<+j@7l-&T~P3DYuH#3I?f<Cvbb`j2P2$HYl7Z0g^8^> zV@;Xno6_w9^iAL1bD)vNiG3KF-7K5E#}xlitQ|M`PA_4^x_P#VMx3dsdvI1@;Rr!7 z>*Ih(^YHBLc^Z!R4blu#Q^HR5dyW8ad!(t)H!!&83sN^b5tWN(BXf0Vt|^%eal?%{ zWfu=V37ZiZAuW2bRqX*-vU75YYkG7I;uU-?-`!ti0p6~jkR7-heinGfbb4vQJZ8;S z+)(3&vCjTo4nC!4+ohj(Y7E8V7mqRi%?~Q8e}*pIu5)(?$^>iD>TpvB{2x9dymEBB zaC`-=Q(<x%3z`Z1rQ;sv!wx@3gyre3xaBDYeHJYN5v(x2=6sghCU_wtY3Rfb4`)TK z(t%{E2H*o?_~eVsU##@C>*b3i9B*3<MHGnt;`?Fb{(Zco!Y)*bTcWhuDoo*3l&(P0 z9qOh+nZ6*oOweleA?%z|&rfRNL2GqPNaIkKnm@x&VzuvB)k1o6pN^_DA?IfA2Z3ey z`hd-v62Ys?-_I;zgVDeKJXiE&_3R3OJtGFBJwK9kaIWS%1PZJMuM((wvifSQ2BQ+c zm5^8sz9AW~O8x}}J32j6*yqF7ML%v~80YV2{RtAH@1ob)$J{%cYaT^3_1i}OsS-@s zMBjzezwn+ONH}lG!o`z5on@IYBV<ank4p%U60N=g6b?gbY(dCjD?a573;<u;&La^Q z_#L8qUh26VX!#l<D9vhZ-e+$Y{`(FQFp)V(#n>~JhIe&3&^oi!Q2tJ0a7N)!KE^MD z&G%dkD5vB`QF{*V{7T9TE~LY<>u#jG`&ISSF{CfQB0`~3>lPXwP$Bik(A_a+k3P3V z>p>VufAK5Hkc5ON@hQn-R{^@$*7|H>7KC|Vi@jMMf*;`4OWAq?pHHxU@!YIu5C3^+ z_b3Rm5bPWvd3|H?EQX+g3&&7+`oIIpkTCejv)q}KVpy8*dkOt1XIPSlQ%;c;Dvn)) z0hgqsk@9tp8B`7Ab>Xpe$&HNh^iRrTqNu+cF2n!C8{w#9TwC%Y0{Kt4!X!U52w}9{ zQ|LtS>!@r>`u=QfwsN&5QmzEH1WNi5t>k~s$?1y*8@+RYf(yYA^X~=YL7mw+4w8}C zMUiw7bt}5s?CyEVtvYpD?5rdM8#~MEH}&iNF<n(vrT)3JqJdmme9C8{pk*Cd`L}5d zyT@KWC(Ei*+mad2KJd}i4(b=AsQs1>?N(EcE=73RWdag2|Kn1Gjt_>N0DO@^;qjwC zN9vtJ|NSvx6DJL)>hJ<n0ItPZBI0=>gPPhd!V>!+7g4oN<lXyLYSIRx<nTMUn#ign z3@Oy2!8=YVrv)FmkAaKUx2m5u^Vt%>jkfk`J#abY)eDtV%Yau=$7ZmxpQ@7UjPDji zuY;Vv3kzq(&0JI=e$l2gf0#(CH7N?co;`GTXLHFW@GJ}U*Ym<(_=fVQIEZ^1D2@2~ zo5tB}o7kIV6m0#4vZgN*U#4jE4AYNl))akF4gTELi-8=chn|*PTVeoh#ReL8Bs(f1 zD|NRMW=vIK<s2a5xFy&b50L1PNs)|T$=@J}OFoR-1plpp{aBnXae{$frS4XAAPr&w zp)N-!%<8X_MlULdAB}-f({}6pf=l)^q+G#**FlQlX3&bPpLF0;mfy!EGQiZZ`^|3( zlouz7t639@yR$0XMl#2vE1!P0@o;%p5SpK_>|TjAtqkBF6zJP$dzGh;gwRa6%@I+^ zFZoU`*d4uOKKb*tXBCno$Qe9zJIH4_h$JckJz3%{;nb9<u;QAIUJwR^jjbE2({2zu zp3d>9Nxv>)SpfYsn>r>wdNB7oU!#ej@okV_xYPt<QzOL7s~!88rRl2N!jg1n@*+nq zCRC$5fk4<@yf4R!c~}=6C=#s1a|EJv-_Ho)umuXZgVprcwg`jO%<SJ|%8A}Dx83lh zgupP;YC2SH!pS*08hh}{nF@YJEVkk2#+37TREcU~xyjS;(l2EtSCKo%wi2TowUVN< z=?zgEQaXvvTU@?JPASCFqH0%(IwfSy$GS){0iueJEre0!94|NkD7i>Mcm-PM)k#`t zWF3_Xou@4*xg9aB4^-@7l@U5Z%#w5+h-vV}q?w5SZlKqnW79(%xQwDKZVIq$dxO=6 zG$-6O=WuV-+Hf<Fiyyq{nlk_0Ar`;$q3d|ej25H;)i5+DkIk*^48XjV=?KYWNZZ{j zp0#VyiHc1ZD||GglQN1j$i^$q@GjrQxtz*dy!WBox^~|<EG|e=JW_(Xn40Glim;0Q zrwWENv;E@Hsc&X<o<>o6Gf7uIK6K_3fs0B|>!wqwS95o(3iVhLAM!!lkQ&<5N411W z9C=YL0y!YjVN)lHV_T$r*YhcMP^}F$`+sZoRW3ss`9H1Z<mxc4=o^t2<<Msw8j;Um za;V25VEH6<Go%*Nh1Jf(F8BUQEjIQ?x}C$9^y{32U>mNKzg^=>F$49`MkwX2sY9Pt zqaxGoyjgw9J(NZ{9=+)z4#hy*_`^TerP~X&;7SQ`Xo-pc$FhC$oZP-~wPVRj6T|=~ z_c(|PG$a4$NiNb9srEe(VrEDCm#sO-C^>LwQ#kThS86t#+KfY^@`+iFS%SY3@hR8D z=&FUX7shf@`G(@<rXmZ=7KV$!<rxnSi%&H)3w#wn9)e8FBDdO=62>`=BB2<Ie4Z{= zxvCNs588nwlL*>9s*DfGUjR67ZYjx1*TXE<^Y6lv$g0=yfqhbus}$A42N?!ePLxA? z7<Nuyiq2R8e9USXcWx<y_#8v|wh%)#<qKEXd39lmGdcCD7Gv?r&+Mq2daP6rb$w!7 z`9v7PdX0$zd5`4^mL-tYNdHSb$i*$w@;<8LhsB=ZYQX<BG!rUIGqs!}$kH7(Q}U8+ zH8gvb@7}u4BxHn8&~8STl^%6`iaeyozg^r_pAwxuPhL<xqNdQLF)<~-v@B76aieCG z(%@4Lx3YmG_uuEKfGQ&I@D-<RhBO+FR%14@YkgB}^Lp1}CT%mr$ea7$dT0RZ{0B?_ ze-t(RrYIK41|N2z`JmAB?`yOy%_AUxk)T%($v@852!h%YF=Xzv%~+irI6;hkbWj`v zq5+LF{cS)_J{HMa`T7Z5z|Y9Yjwr=$t!uGH<!>({4tM_zxyba8zry$%a>Hsx;IJ%9 z_t$Fn`6*NK7f7IJDCZ(!fu3`q9U7L;pYx{$NgyADoqTJ2ch{mGEl9G>Z)*GhL{3XU z+)OKGum$^@ZD2I~3cED2lA}J70N?Ge)P$OTe(TbBtN{^!D%NPKVm?R>;TyjRPql#C z<@>wp!>E56=Q~lakyojh5_N2MRHHO7F+OvZzoZ{u>~F6Ql3%%-)R0e{@^e|XNeusp zG``EF8WA^3^P%lt9LRGIGgfa#FOYDCcnj%wpfG&%IPm83|HTs?AWwAATHdIkzc%8% z`HyxkON36ysG>2zs3L!|Z43C5UUa|Uic2N~TQH>~$NzdPpsrPkOqdF9$5dTO?RE30 zc^$AzR1K1n-W0BUyLb6U0Tw39lIxAy9SUKoZ$LSyRkp9d(bamag+CVyHkB{toALU# z*W!x1-fHd?1LY_Lm?LpIT$X126tmr8J|`nP#gx<QD^Q9VdW!>)Bi2AMun9e9#fZ($ z#A8m^y{t+s4^7#4rM7RiX0&%Rlt7u4o=%R;<6Ztk%BP(9U*G-BD{n4L{xXdMEN#%T zEFJuhXmBvH)2;`(TvBEe$xq2?7)QSTMs3kIO=o;SzFPZN*TkDb3El*4!$|;&X$>f? zw$+;`yf1%GL6dubt+u~AS^w7KyGymR{6(R+nhj_{+nc08iIV*alqA!xM7i`a7(tp= z1trOd;(JiyxwyGfOKuM(Wc*EVP<;PaOX48QBUD?7({ULg#2$+ONA7-!y;l1TN)gEh z!34nKE2xXa|5=eUL9t$L_Ys=owHni1821c{(NSP|&2V7)#XmAXuf9?H8esO8yr$fg zvZ5*cZqrG8X~sm=e#d~&KwrM=yB<BrK%y8`932+hIr1ohex3@xMgG5OYxPZ2o}d0^ z=2f7@i`-lFErI>bU%8<Ch5HsTe_P~G|2D!nGya!jqt-#|0T^^GI=$uT({@mrRs|)( zQ;<Q?roz<Lj{ZXf|4&g))zgId^bnhx7}SFHw*Y1Xja0kEz`uiK3i)bR7ih5TlP7XY zN$1=DACCRzO~;Xm`ZiR$xmvx63T0)t*uS&|)zPS;s{v}6AiJ5bnR{Y6fb{ds2d`dD zC`Kp08msmP50d(KMODW`)xUeM1#T+)H4`8MC~#|4@T!S*eqDW^JER|%tZu`bv79tU z^-Ac0VVu5bS9m<jPwE-=t8rE=o=NW!<IuK%n=^Q1kZ|S)fr4nOmTyCC*nx{OhC8LI zUyHW`R)~^vtI6jP?|_G2nh&#IosVh>GL7Xc+r;0bc2N6QYL90%DpBtND(*c!MAEk* zGo|M~;PH$!1=`!3jvrXElAU=zoi+ybK^4JvQS_yjw(@NA;}K?e@OWXs*8ps^ZpRda z+h#m@TfxKkZ6D(8nh{WKIE+DKnP%Pwmt3ke__QEUapnqIT1cL;*adrJgkBpxs<<oH z{sZ>=+)nfqvGK`IYW~0JpVqdt(U0w!-^N>$OHk6^RDf=%*7+xs-(ee_PN<$nyt#=v z1+;-Q(@F#yPyta;UgQ7%0zC#A*Daihiv4dO##&S=1Q1+?)!etG4<PoI0{|JwIGxkc z5&3G5&7;x7Vh(-ph9JekztRAST@R!XE|zA7za#xr%alCmawf?!e^JStZaxUQc)d$w zgD;hb7e9Apo<#b@!Uf{G^g#FNgv-9?oM;iWZk%gXNDFLP<|x<I8z-oTj`Nu00KX~K zlFafdlEVh9?mLi)5{g0efB3rVsJ5PcVfY1#ySuwXad&qp?poa4QoML6?(XjH1&X_S zvEc6Zgx@*$-t)fqS<fHITA3t!X7<eNWX;U?v(tu5+^5|v5yohxeh_3J6(3qTT<s5C zI`Vp^>YG8fZo()=2}+Ek!oS|YvWw~d;3mpKq^?H(HM{Ng1uwfB?_N<U>|1rhzI;*V z<qZiB&v~~@=<IIIA|U@I(O+>B!Ji80tXQ$#rQ}6{RlE`^d*&%Hi?LR$(me_Q&@fDK zfI9h9JA?FCT!)DK7hRFBB`tLoXzY~SXiw>UG3)q*W;pVGF)7sTdFctd@%kIqqTf1$ z{U)`?eddjQS4Y<~i{XU*+3fM^aoCOZ9g7^k)hV`f6u^v`I<-{O`IkfYI3OeAW@y-q zB{R>1>Jk3odLVTHQfjD?e6Lyufc^c?bldkV{c89zt`PBN`UPvqSaKh>WAIDZZ`cny zY1#)s%M5wwo!RryFzlhjX~!8pe%ylM11X4PuamGJ40lH7#*q`zZgag6ITEbJ&@3nt zhe3&<A4m}ULL%dIf7BHDjc|_jv4{{IBg_qkPBwCqPG(xdZNdX`WicH9FEOT-A2NSs zcZ?}Y2jw$9#}ScvtWr<OR8X(leT1(?y=0-$JuS{WlAp&`sn39(w#l5YU`6QfNvGg1 zspMl%XD52{z~RRqRLqe1F3*aAO6GSR!mdeC(AA;n@~qZIj-Ko<A{9|9wX`9>Zgt{2 z^dn<z?A*#O<lSR_AY{)1He8jyw9c9Q67g(KGXtWpP|OOUt#~kDj{b3!^e?dwWozkf zG%V|8%VXa}I5cFYl3~n1&7Qi+mX`|K;mSkgB&AGOuJ2V#uUHq@%GjTVv|gp2wF-7b zKWR{E2~pEB9pY`fU@gc_=IHRtp_F*S=C5;NvrauZRQdrkYIaTtII&_ikZ;Flt%Lq1 z+CXT4^}5b$X_ZIrNGLWxZTnJ!5alFapAM~{&~yhGJ8YvLX4_dmD3r*xx_fV$rf<_K zZgVnwQ+z3W=iakRWsF0)+A1O5Ztg}v-fr>9HsRzdo{;o=8EtJGRLyM+QcZuYblUHI z+=0ZeQH2$R`{f-20H|cE_9sP`mdLl^^f9N72bjyopf*7%D`F=fJ9<&ycQ^Utd*n9t zFnKo7hvjWEZ$Y?zjPZ$i(mF%?2NeefidA?uT-nB+v_t7!MBe6c8wG6DPaK$wN8Lo| zhGFA6Be9{~0Z$dyPH==H`;gqa!wof!646^m`U7i$M<Z%y06c_Z33M-cAmV+U<Ao4) zel8n<Z)Z!Zce(+iF@Q^hh&1@2unVBPxp-n2p@nnYG&D+_XmE!guxmkTd`D~b`H@(W z+!H?)*Vy$})C7PaD*l>n3A`Y6mMs76vfR~GQ1SWOYy%}3hr~9f%K~XX`yDb~n=$)j z)1Y`zMIfLM5Oc^m#;T*_Jj_zAgt-xL&Wnh?crhj$KkNU65N$R6#)tYfGJeCA27$^Y zeAmQvF1^fam=3^moJokfnsfs=%f^Y_&BF7hn(Z0!B_Rc@-!`8#=5KpWg`Hf_ssVFv zQm?#$sU5Fg-t*aWBY0{BCIR2L$_dTGC{Aj)a47@;1uH?}Y3sBKjDQ(?$4=3p&Pn_H z-diA4Sk@SOdkVqGW%cu)k=RS&@$275q%EpsCL#SB%jx2oZ5L55*=tthMEbZ2k0lX* zD2d$!)BF+^0#p%J40Pm_sD!Ah!>SGXv!-;uyeHw3&};f-dJvi@xz#GvK48*wJ(<fn zuG=~Q%3Y>xUpN#ULy`#t5ex6rpeyYgwt&fOy$9H{(*x|d8Pj=TCo4H(gM#Pp9kZLx z#ZJB(j~(WmhuPEzLRH6EsMWl|zgjgNG(09!JQ!<dIyI^_JjPQ%S=}yZc#I`#y|<@o z$t%kU@MKa*1;uYnRvA<K(<i|Un3^==!OsC;9J^$Wbc9U<j9Nd9ewxI25Q2qp`2#Dz zoC>`a{&Zcy<l$C<io!h@$10~jq(F&s*=-A3#ln2BQ5#`G*KvYk+prW1VCtP_{uW4B z54<iw`a8!Ft>vdKcWX6qZs@K#&}|d$mo-Ow>y<Sp^5T21p9DFQGjL!riDQWjT+;w_ z(ds_7+el7I?rq7l_-^Gh{8rBLRJ^Ir%$j5s>5X_$V};(5QaWy3EbEoN8BN_fNFmv& z(U2)w>adP>{9ZM$d3oKou6}v0W0Gwec#x7~r{sL0oSiN(^tq|kS$DePEWN%yS1Ojj z_-S(1oB#2==LDF_<1uz%C17Y6k&+Iu%a>4l*DxGV8fPl4We=dd^U?uiEo2wF5x1&f zzoeu6@obs#mG%Y&p9Bck3EAH01M~~QJbSP?D~RZ@jtrw6FXEE^1V!2G?%=vEDe+-T zTti6-+HcQXKP|i$+*T$!SeJIwZ;lfd-}H`|%Vw89^{Riy5J){BTkxFUey9bww8~kZ zv?nbcaBuM-dB#9+VnTl|I<(#04u>0ly%sm9o1W}rOI4(e)4eU6ElFnVMUzhScxCTE z+`=?)huN3A%cj2imSun}z#oqGX<_fS_S{0zu**=9d-poD<Dk*Uv{-9Cc!LWx(Gb<$ zj&?VuG_W1A*pE6vp0TAI7V-<QY$_3RvK*8RPwz94hDH_-e0jEb%9A%a+Z&e8NeX<t zvpWzSA)d&-(PakYt&nEFRH{SfVakeY@an7y6(mQldMuu2ALF-z^q@H|G@s`~<%Qub z=mf6x4kDubx}YyCOjJQmy!`lu{cr4q8HF4Khc<Ko!%V&M)7dz|9T<SKnn0XYrY?He zf->8fo4tb1>|7qvLY~*uR1Bu|=9Sg7hgI&pr&cR<w0tEL-bz;)yUHw`f~R#(qK+W` z*igQv0IXH~=Mbc?`;I)_+01}+!$Jk#gQK$q$?`jR(NoDpyw5|f?AY&mP}4|czwE85 zg=AHNNh?J2-KM5&P%Qz(b<e>^IfLaFv(p8*xu0J|g{<}RJ|8K4Rm(%o{al6)+|Vxi zjFhrtX!flK?G}b|3w2&maMUIDQg)6kp@fjVEVC(DQKd|rl?l(dxZrvSQ)%sfr_JQc zV{KN%v<mN+qs*W-1IV&5VjzrMl#p<^P6e7>zAZ&p^;Wn5e`x_gDGE(O6U79Y1nyG4 z6HQQ<`&Ocq`G+F6q#!ygU6V#wgEE=P*QGrzxpvVTi44jZMITh**2XUceJE3;;MC0; zk>rb{eWZ8DWf^_NU?Qe$ZPa8Py$l|jXYjiSg?PLDU-)VGBp-8Nwi|bxx97mdF}$Q6 zF=`9RL<>a76(Q;YNy<@(YmLDTTrxr48Bi7yq^EpLW?1%GN8kk_jGzxxDJMWpw27{y z2RP!X(1*-^y@`4&4O!(Mpef<WV&Q==@EJPOp)7Ay<`;>4ba&$mp@bKxM-!KWHP5~j ztbdXx|I4=VO(WY%(s%GxJ}d9pHhI`_o;7s5L8o_eFU=6pGRk2%zA30t!2Gmx4O`cH zc`;8vmwA|-O^9r$A0;7ynQtq#AW~;vPt*0~HYTv6#vM?-c#eE`m+%QCGnM*j{q^iJ z_YZCTk^n#t5$CcaMBbSOACgdj`8h`ft~n?7A;PPIuSw=PLxF4?-$6CHUPjc@H{LlR z{Ay+_AN~nYfE*^-n-GaUx1TR6^19erU*8+fisX!nX*e33c_&HE>M4m0EPVs!F^Ll@ zDWOkUd{gTkySX$p-Zs2S?EqsH69U}9|Cmq5FLd>NZFPO&g2UP0XUx@*qTW&~jp?Gs z0Ig7R2`T_p^rDRzq_-B?tGSnzcj=9yl&cMj|E|SbCb9vp+f(0$e4Rz}{}6%hemPi6 zcpp`*U5~!5C&Fc%QbuqOl+sUos5W6$+X*1ebX4+1+#H2i+%f2{sD&Q=3%GjJ{wgFF zgRIi{4y~`X05RkhuqV0r)V|{VcdK3U7+*gh?4hXtQ)A5NC&a(Pr}tu<G%Xh=L3O_} zUVie3i6#01_=nLP1<B2lcwcyMZ&6-)=o$Hb78AY60~BK?hhD+-1~%YraOkzjut&V) zC1NZdBVMLe=|{dULr3QMJb3qfC8ghO`O-UrI8Wx9`E?=(k~)=K-mD0q6E~O>zLxCB zvk}XI>Q}>p`bKU<<{8lani?Gg)m!|PzI3h7wtib0P?ShuI>@m<b#713vtc+XD<VZ# zD{N8xb_{m56TkygU4$)Z3+ZBnCO51@hRWbZ`|Pe4CinGA(vIJ{R{nK-{7YA!x1F0D z6t2*gcp>5>0y|Mg);kLd)<|d(N;Q@Z$u-W_*CRD5ZXVx0Qz~li%IF;`?rkx!d<h)W zFK45xfQFl+j=LV~sX`rZM|6kaBKTZ=$(}XdjGWI@Ay|@85Q%dENPac4C`c|B5%rp& zjeu+&KZ9%c7^GQe?jBoi(dl6CmHiSh;FZjk#|%u}5a4y$4rFcK^4041A6rxu>IGcD zcxBmI_brzg-lt2oB^p<t5j%ly2|CUK3(EBGfay|=&a_5eXhc5=7Fott4ZD)Wci>kW zb{yncS)v62OJEmqzV^Oco5etElEq(WCD(P7X*WWMQZM;@{Mt{iVOnmisI<uRudo_K zkXtd#X{!s9Jtf|4NUBbylNM|&DuRaRS==0CPBNoCM~Js&7!Z`lEuC}Hy+??4^wId_ zfJ|DP4RK_AT<JeDN7|__zIKU$+w|#jy|PsHuEB|csfdpFJ@J9LuQ4Ber;2P&jpdTm zB}X{Xw}Pd>$aS?s(A|V31h1|X!&V*BaMxAB|I$pA<+<*=Cwgl<{Lr(?21V4HxEjl< zYz(Vum{4Ebnuc>ncNQp<t58)I3_Cz|LSX9X2vYI|Zuv_ao-o{-6jzcEq)l)g>;lFZ z6m^)A=U783#u&6>3JN$kQCwhLH#`0B!n=#IE!K(gPcip0rF5+P7CJjn*h5kRuWFHQ zJAJC+6NCHVKfeFG0j}bYTaMvE{CQ5fHPZgv@`;WdrtdkVmwkN>amAU&n#UF(cLKA( zMr;?^;5$^eTD$ZL!vSO-2$_G3HEAf13KM*BOY0zgN&Cz8(*Nd==K4(5Xrtqw2~BNT zPOUfz?$xH=#vX$lQt3)sO%y5qxpEHtKo-tkYQ|0!L;1HXTovBhx~yzxV=;ZHH=Mmd z!{3L6tZBMY1%5f&pP%J$nZtYm6x}b%u{*jxf1nwN1BNUVQIL#F;UfwYp7b1e1@oG^ zLStBV9@^Y9+rDMwq)rqj@Sc`)A$(T~wpCvHRylBaB?k6_j89ts)e<$}#H=RK_ziaO zZ_G|)`xkSQi<`d>XUzL#A|cCk?r_mpR1c&f9U<X;44#g06x1r5O$jEtfMHe(f*dis z6?uYc?qBwl)Mps8YD?g$nX>)M+g^n%8*AA*z4;>!9SILbJ_$lm4z0>O&5Bm-Y|rB2 zRY<mUq-M6Z5gw)E!Iu$o)6kj_%s^H1!9UT*NWNFyeFksQG^xu2ed~@9{duokBLy@x z-M;AS*GP(!NE4&!1AEnzfagM#zMai!r&DR@z%^vKJGt8sWD~YyS6?DWtx4|?ycUiV zTJfL(+4!(Ki=<C8q*}J3s!$Q68#?6t8vTOBzV}BYD%TMy@?G5Wx2^dvy+0ievIuY4 zn!wL0;+aC`Fj2_-BH7S(FKAzsi1fG6Z-{j&@TNn?E8TLx9cpus0D{wND;D6J9)mN< zEFykBrX3N)r7QWj)IB-^xp1t#b+(YQ8&FY<1z{ZUtz4rXd7-LF(yDy2N4iT^x8^2- zTy1Wwo;Ne>JYoeavda1;@%^lM&Hgk?Wm|~qg53k-<qFEcN$=yREi8c?v3#x1Tj=W? zl7{7GVKM9A%i1WB001Og!h6Zq*Qn>4eqBNSK5|YU@m3{(%^CVhr->XIR@3!H&?|o@ zH8n{#{F$BIjofb1Y%HEjImD+K1yv1viL5u5+1izK)Cq{~-d9ngZOlxm)gYUu^(CSL zhc34fk3eL*<Y>ZYpXDOcT1GNcwQc@~V3+;Gjh$1W^ut9AfD<R!Oh%BQkJ>F!^?8!= zli=Z=nSa#%l=%%WSOrH9c}L!TiO(X(fygZ4L8;aALgwGh3AO&JTgwC5q?Atr^as7v zCvL3_x*0J(OZtr9?vSg!Si8Ah_h3rEFimy22Dv;T{<DUVN%+P?Y-S(5-UTusqCX=U z0XTE{>~xkrK&l@nNmtzQA{f#Uye^)TG$>e80Kz*MG~bDuaCAMB70&RWn4!)`3DZeh z4pJCXFuiRTD|8wxPw3R2u_Kni@>{=Jw)5RGz2Oovct~jgw_-AQFB(mxGPUd|xTb$K z0g=wm>Vni6LPE>*bHnC)@iLzH#|7*W#0N%opu;c$wR|ml=pN9sP6e{$EyqVjz9B27 z?krP0c3ek?uD&8+-zo%#%DkT4Q;hm5P8&qMJPM4u2t*70S<SbDWE<q)jhkTSC2N4* zsB0QIP30=To*z0StxfV6tqR2W^l2F|;OyWyG3dx2{X%0*9u9ebm%*jF@r+46VI1K( zQoe`)MkkCnG=pYGu&jxJtGHyC)~OFNaNAOyqd7nOMXg^DDx;Z>34ppS;||^ob8fU| z(f7mCHFY5;-n>qneS_}pvp~?QvT|u%wCo6imlU44q?r(7mB>&kU9_GCoQG~FW`)?E zTDbeWRN2>cS(<3fl8pm3Sjh<0(oH^>2i0&uV&7gLKOL+f{h+LJX<K5S`5Zr8xFfA3 zB^`+&CWY1Yk1j$Xi{Ifv6h*REQhvWxXxB#Qa{p!;%+N_vI#Z4&@5Z*tVs~E7fg;S= zIQq;#tqUYO<)hLF^Qt$Yy9?mnqLQB^3~aP1PJGX(LM~ZxLoBy93zqG37^@ofpKCt@ z><Uqn6F`aPe5GWng|n6fQV<Ny(LSpz!tMpr+nCMcGA>F#{mNB&%dd)iVBWk+E^s^^ z8hZ7Am#(^8gnrd2-_u5p*1H(vNnY%SJ-W^^%pjl)3);Q-yeLgOf;lGlP<ycVwx)cH zE!EnlQ`Nqtq^ot9vRvcw<%L<LTINs`K$5v4;HmMx<NPKVax!}3e|bi#zai97NT2|) zzzk^$SY9B@!6if0n5O?a$;~6&CnX&V*wbT5V7zJ7^-W{0#>%#zeXNwm@0W$tgkE!G zPH<N3u!w{!tg=9^zd7CUdpdkN+7=7ev6!~J9u?H(IhIUk#<uSC6CZM$-FqPfShEb4 zVCu=<>e8EVsGs)j%~NyV&X4o^3AMu)zxCDjQ~)0pc*gRO(}yNrpoESL^t6tTo&@y` z3LQYp`0JZeH`_`59tzdVdt#6SP58f$BLoB)=(x4~+Iu^I+6M_TF%*$|b1YWY>O|Y9 zRI%|pO-q!!psnpY?V~sDm#nXVHH^J%A&>b&s)r7!I4x&Z*#(b${#L3RND3fN$*uD= z>qXM>=Ki6x`*XSLE?Q-pBqDL7lyjd7Iu(Ztv^L=qTaQJGG6<la8GXJvy$$MQ0oGvG zrS+htLdWr{45X=xgA*}UvGiFw=+lyHHz?Rej}<Y+<9sQciM^7F?X7~X+U!K{lx~@8 ziI2;{1$d<`6yE7{t`{QCiA;@{_lDo>ucn0~xpCtkT6EZ2HMOK~7CEq@$)XZ!)JKq} zWH-CT4+jgNn7G=+834CpS=+StP-NXkXCi3U1OL$CID)0aIlCWoko*kK2zeD+W1Nj$ zY!)6`y>a$>b@bzDr!`VtAGd4+Awemt!wrbhfGiQEAtU8>?DvFeiA<xe3LEa{j18eN z$6AR3dslIp?y;Viu2V7?36#jC^{HH9x6Q?bXZiZKt4q$#)XlERzLA<e%R?z*y}cEV zy;R<H*T>j4cM<AgF0+}FG)$F2%_+$pMKnwg2T?_|w1yg|5!YWWrE@zJ`=b&<Fo<^4 zfJ>%YvVWkUw)h0*+NX?QsM<*xX`bZxl2y#{ZOBswYF2CsTkMHf5qv}EE|x}k-tYp( z-=ytpG8dQ)tlufJU%1g6QNLQO#YOs-tw0yxl>pYoMxH;#kf4YiL%c|1q)&<&waZS( z-7-|3<gR&9_GrUUQ;~=@{`4`sW#C}G|8A2L0CxXL++ZYSyq#fVkgH}{mXAcA*$^X4 zpEi0fPUXe2Q*SFo9+u@2HqjzpBaawxz-0usp)#T`?{-_`jj?Y%&Bd8{j2<Y~-NxNw zcSLPzw6m8(Z5Da<1^8!@r4dhGYXjfeSBLW~$>k6p?E9d|PSQ5~9uZUf`XT)OXb5vf z3El1=uh69h#uBO@%C_&qhJH5qoGv&S{3b?xpY^p(JM?Joes-n%{c?vZ7vtIk0Q0G2 zNu9xFA*_7@ETQu)+?A9m6RaBoIrC8D@j0WDrj^4IccHMwXxCo80|rMU5`$Hjm8<aG zkfLJhXVTiVcY8EOQWqNP9ObzJ^5*ucX9oHu^K6!GZhKV8hXo8ICt=~2CE;T9QDKS5 zXXwa!HEgC<j)|6i_#@r@L?c)LN)8st*Q=wOA=oE}SW_qKTvCpV*70QfsEaU7222e) z1{{KnA)H5#)Z_(a_m##UR?v?7X8cZT7~^2w#+4}k*6Nk4rRf|Ri>+912&c7;1u0mu z;BL&|r+}DVm1pglW>QV@f*3a=!g*PJ)WO`SVO1&d48iNQ@v0d<t8BnjN9ZS<^7J-8 z`FOsQctWN8ZXDR6N9Sq7i!5J3INcwf(63cJ&hW6$DOk=@yCI?&bTSYj>&sBFs$uSd zVGXzmbA-GwL?9JqD$X1xQ?hdU1nKStUFghwd<C<HEh5RJQ=`4Cer<$Z-o|aQED{sG zRAVC4%E&o{GqZ93Ne3{{DyaL?yW8dqy5VEM(hu1Q`$nQDYf4xmFii?OlmK9+#!<wa z`ECqWQXC&%l~zf7x=i>SxHdaR{+3pxs5L5XMhUSst)%pU`pFyJ0W`!g(_#I(K1E2b zAk&7y<{ub}?{x(~8^eCv=0lj9w;12*{Sm$rei2AoBG(9(VYUGf%LE(bMOMU+H;yI4 zi!mOg#Lj)EIsqbHwCmKV)4RDIDiq~^%Q<$uX-DoZCdSru(OIgVeg9>nLFwNJm?~+B zq)!iVb-@%mauG3R((#K<@0|`CUlFPQi(v4%PTk@nec<oeDT6LLTsz<XSkVM9CCj+A z-IcEXJy=cK9y1NVW}f@kcH*~QNFZ^vV*aWX!7}K8owal`p3=lsBI+I32BhGl%J`=O z4~2|lE2>Um&^4Z2@)D9LxpVgJo+#0h$E8}~=)91g4^U1DPZ{bHeeiM|`T<b>HwdY* zW(6?tsn7G|?c=S6>&|0+xjBf87m~nPjwYAihe5Zqvey9cn_N2{)Tf-J&KSo%I8^2k za2r;*UaI6J(f|?3b&2+1Gk<&{Yw?+58MRhbuNy<i?VP#(bC11i9xSO!@Vh-c^BjCr zs`=O?6QOyB`t;)&SEL}j(efsd-9&bCs7@Y*@Y*Pm<3id^{Y?|vo7t16ToOpJToG(Y zrkmC(b{4?TnpG!fLeS7%k1H#R94!|u{t1ZS(D75!Xl47Uq198ei-3&7F#|1{N;ubx zh2?h_J#zZF-53cQPZDYu%I`xAs<zsOe~=wIhq#W_zQC0IBAkg6iN(qT^^5q$MSEFZ zIif0zb6hp!M2%FFe2?|iEQGrN?zUZy@Om!?bgw|2?}Cv4{q8uSkzvbjT*tj4Y1aA6 zkfG>}W*rIVb;%|}jc5j20!K3%)76%_I6qFTEgN=9vz?+P5-G!c08dJz^&Ay*N;qQ? zOhlb9#T@rnaL(@)4OV8BFD~BokIu%H;9q|39v*mCPNPv(H&=`IM+WxN8Lwa*J6a#9 zI{=7rEnK`mCDGb-54IOQS77A3af{XG7&Ks%yCCcfLh7>4z`c)w9q2?bVxVVwJ{pt4 zrcPP*+Kx6Om(t#o=7uzgX7E`OQZ<*0VA=UJYZ;gr8(-3P>KYUm>JAb%_KdwX*eOC4 zb67vgG4RMgp3QHLDq|nn3Urg|2yehE>;i;?C3PpMrOAUwxUM(%xlDW(#DX<+1wPB2 ziR%~>TX0mi4Zi9-O$1Nl9n0t4zZt||nnh(EMUN~a@pzaup=TIHNW-RoPWa3G&;bY> z=0c3D{N=D$v@P7SCZ1w4_Qm4&F@E=i_MmTT52ikIyL4WyG)(81^Z9<UfHw~nZg9M1 z2|nO2m0!CiZ;r%;jq>|`b0(IH>U;2{N<^b6Mt@+DAY=NbJMDf(k__|p-WJL)e4yEb zwtT=vm?FvYAANN#18;Go7%2rYm(QtqZD~@7PBA3c=FMUWpxb7T5(w`n3t00&|1@`N z*7@R(pgW2u<mAmW6nlzj-^pukFPlhPSy&IC8R)WoaW%zQJ$rD{wKb%{%CR$M)xlF$ z6S=Y!5cX(E!C9ojun;<8ZpSPi<*5{BJ3&!aOTZZ~Knk^Zj8#b+)@H0o?bOVfvq(ky zm}+UW_}k47VuCPL<0|(bK}-;sia39*xa7|e^Z@gxq|64%)9*oWiY)AgO?sjwlz>wr zjnU6y*P}>El>OYjHFn@Zx|NbTvD0IH{oM0YPVW2xr#FKzuH_oZvpP#=oFtM~l0@cK zk_WIm?D1^6;TBkAgO*f!>vK^Uk#q8j_QvD3(os_k_(8jY<*r&ZSf!~5$YMR@R_~0W zCMcc+4ew66FW1yxK=IT0pV6oh<p9(&YawQ4tVMhLJNO0l_U_Km-D1*(yO&bAUyay= z%Ol+s{L*!J2q_D=@@1Vc$<q_c%9G&e=jXYmhX@S@ikN(q`9_>@WhHdsNJ^?{2UAB< z%}r^0!8mvbYjc9!oCGDxDW3Wo9*v7Nd61vHJLrn-*(<?yi?_di9(@vK+63?-9yTbg zT)AE56NxAz|I*0Y`h@gAw?H=yuby?o&Me9NC8;p^WqLub3bB73zpOoM2JsUFXVxKK zJ2@}v#+h=YKbzqKh)ZMF%W#+$&#mrBHFST#T34~qm3zaf(Wnn>tg)`-(`?mwL@Fy2 zUa2r*JSi({@t3tpyqUc6x&-9gGhP~Ym1x&E{?3{0&X91p!)Lr#)d^)wCazYsjfq-T z4QK=Eena_^QCgIU%a+_8SkuC0;f_RLRX%z%n_(N`R#N^rE^mJi!*HXD>f<t7*{X4j z!#_2S%hs>dF*{)XboNBZ-Y<%QMPlJF>f!v$`4h0rM<s{g(I%>X{}bTVQz*<m`#_UL zPn$thN4rqmq)4k3$s)>j^RL!MX2|&dsJXXSq(ldPYXgC>^^9Y?Ik-!EcxHUc787w2 z*Ia#}jb1^TI@8n*ZgT%Hrg^`o$q!G0v#Whgo$l7wxN<6UV`kb=d1>Sf6Abhzt%1dj zot$Y<Na*3|&XDUR5<I}E5FUOiniKEPMTSR>NsZK$U>7|KX~hfRucNUrMrAC^F^Vw@ zdax-Fr77;Zq2%btv_HDe9Bzqo<^J?o?7`#*4_La@4U{QE%dMC{mQ>L^x(ANvWoAd> zUIoW1egTbs7(dtBnrk)<0g^(Zv{W*^e7Kd?@4ps4x#?Ei0P-7m)`AOz;82?&6Zebt zy?JtHI97tr;;J8U)sv5M$=AQgXi!ZG=X8Bzei#TooI@&BOJT;AOpdF``wH`NI^Ts8 z8L#;C9b<p+{mM(!q7*qYeJ1l4O9G~O%BEXu0QCqAO`>+0vbB<jshxCt(qrC)Gn&8l z55vQm19v-SLx94lyNfxO`Ct0GfvphYwegKfr~Tb7*J5!WO-j^K1Q-Rg>(#KmTmJF~ zOp?hQoKGSGuwkaP-o&khxeK^jRK=zhgQFp(7GDWsnoJXut%DVHH+$kve`5`x%ntDt zd|AQ~_mLkV!5FdgBMwuJ5p7ihX;q)}b0)fanFbKe$N;}ezG)Uz{KhtXkK@&1?`rMp zmc*oXesOiulr<0)Xn*s`2!vswr%l0b{Bgx>>#H61nrFo#SvtkAG+~3haFq8+#fg%6 zFosLoyW0{Yq+amF|D8n&b<&2XO>83IGzxLY%qrpXn0pFkRuON#esA@wI&(eXd$FAu zBRye6cnrXjs|hr|RKhB9UhAF78bfUh8@gX27T>-gy;H!OH;CHR|DDm0ADv?Qre_WM z|9*HC@g=BmOhAtPi<ruBx#ACx(7Z}znx`{fKWU-$-PN1M)uSkZ4^U`jx@=SHfFW^f zV_Fu{VG9S-S6DI+_cHMk1PYZSjiv}XQtC*+0yv6b=L`pz`mHe7)L^4U;XXBf9pGg? zYv>-LtVs5QJ8G3RvZ`hTXJ*tcm8v+2^S^Vfm_Kz}*>ZFp(!b?gv2vGiVtIbr@zsuR z7g2K^+$l3L%pvwpiHu4sPnvDv6Q!tUbty!kcKqoaBi8s;?xI(jx#vYh;9`HU0z>3g z1i%ALCp*aKFMd;}4QtrnodKI<Sldoo4z0)<@Z<RH`P|%C%|Vk|5|wXQ{qB>r7_JMo z8-;W`GyJWFUte5di2NW+H!w5TLa+ci=7e5_n}};`>>xG9G*7%4*cYL_L;8B&Z3@93 z^SEKb_cDr+4=0z%5zk^Lj$#slXyg8F3<w~NFJFL5kNUx+&i4F9F>2957GMD<5Q?$} z^;)tj|C0P|y@1*ThDW1rIuL?N+-21~I(XQ;KVsN)fK<Qh^fOE}(L<V_+ZmA9U0$`% znj-BNq6tJD0kfJ2Y%e-}gNBbGSMYvmN>i_|b-lLFW=%$A+;^J(lg2wiHwnu6QGgX+ zefwuxUx|j%&!y#$FZSxLmHf28<;CjMvgK1W#2<VoxyM0zo_i4I;Ez0W-~!bo5Ch@f z?=AD9jy6$3G;*L{M(ECtl(bSsZ&vCb)mr<K2^2wQyoA+Fj8iRQHj+iHQkqliyxuWy zdFIu3qZoL@!mVi<`{K5M<y<TxGJwaz{D}YDYC;_H`D|F?a7{)7jlOhXucPp~mM4wJ zEW$Rze8Dx|zCmeIoo<6QA8)Z@C!B!_Q5UTe*RbV+*X`BghL?fByUO{biFe{#C}n}q z+`=4Ap)kAX6PVg9<EOo+@Qk6G=9eL~S75}i$8)U|gNdtOp-=d9`|<t@B7kny*9dKO zLS)b{H~XbwR_UGc=c2m1Z&0GQf|8hTJXb*sED{{?^VU8j5!|+5`i;Y5X|V(l`kzW6 z0G2n$i1Y+IZ;Qu#yeiCnyFZy57<jAaW=sgwdP;QK9;WkuYSBR9<9qbOo-~-_F$2Lt zyjMMADm=zG+d*#M-!JqA27vG@R9xxL&Rb&TD7K7rkVQQ6oWA4U%TsbQu;OGeu`t2k zl@T}yZW*yzNlE1Qb}wF@+Y1Mr$LpTTb8!gDj5%kTgS0$SR(7CGQ;kMy(%AT5yh9M6 z*v$U$5csyNH+?5<->~LSK`0u|*;InsxomYBRe!e-)GfkpPLAAj_6Ix)KO4{FJDsx+ zTw4i3LWQ0+ol_<~-7bP)Fj|L_;s)LL#`>(g86E%CiDVB<&>VlQQsyi{rnyP;X$!dP z-@Ml!6LhnaCskUndG_?W)$O*DRRBSvNHAyTH#!LxL4G>&z^C<710<>Z#0I>Z;-LH+ zM{b2lCPnguzQEu#bAYy5>ky%yHda9TnYW0`K|9$+8gKiw=GgM---@>0*rtr7&=vQF z5fTZ7V3W$xX-=aCApNut?WeYi*_b$iG#^{}em<XZ8Qs|}PVdSo8ZMs%MW{swzxmQ% zVaLq|NVv}}T3Q&rtch>=lG8$?aS@JY{$9ACogX-1whIvnrGOh$4(-u78A`uk3^)}d zZ$PUrhKIaA(pdA9WqETiv_fZu^t21T#c$2PADpp&!K+<WnuzOT(n;Lw40SB8&-90J z$laRSRCtZd{!B0(1~n&uk+;UOd@*mj=USw>4$KT*l3>6C-skb2X=|Ndkpz5eGE86A zsY)?Zt8&Pf#0OY4&L0Xb(rrHJh%kS>(*KrK(P*Oby-j7t1he5wTu(4IGBD3Xi-I#w z!vUME?9uuDZt+HBLvcHnr4(Kl`Uz=Uy0GS=HA{6Ar|>RH?~7`wBu8P@VNxpcVhdAC zLsgvPU6PYMvurt%h6`P4L<8FDom}%tys9|(v6n}%#spw3smSOcikjs3e97(Y%%ACA z74ph%26iCE?XH=B^9+Sv;jen}h^KtLhzK{9leK$%SjKf-^^y;jvoGqd93^*CkUF}G zpV+dt^QbS6W(666Y0z))!vLSoC3c@Gb#v~)S4<_87%S{1f6gV_nrh@`m?2k%vG|}J zK}8kKP#gf>*lMsT_w#I!spnICkm&;tIYH!{_o!pk)sqqnZ>WY6TFjwncro;lt3)5@ zeVW^wEgV?^0q#&$CFGdgIZzVtH_Xu0MzmdjZD;rlf}>yDJXXGG!a5+$Q9fZWo}AvO zk{V{HvKW-F$B0^F%<yuyo~t~zA%7z<<s}2a%xe#G)`CZHP(Xh6S8IZ(3OkVZ?mVQD z3s|M(cdh)Ws3p5m!>2=T3gmiWGi=fZQ>br#dwMFs!&I6|;A4;6Z7+hW6<PU;<RPVf zqW*){dbix)Ia#9#MZ$2|gGszJs(Rt~_e&>%J~a+Oee&LKzFsa6yeD$ehRE94O{G?V z4Rx;0#XWt&#byI0r`OT%$FrD7MYqp3?f&uZ40v*hq>IUmXekRrnp5H~tU?Y2=pKO! z#qGV>CAR|skc6J@mao3_A^Xq9$EFftO~)IXWB%#%Et_lT>nClL1u;iGp|9yG=5YKy z4xJf%p+#ve?RmDXNpu4F6dC*YN$+g{DXJD<^!x3>g;B+Ile?8)^Oi;$o95(6Urk)$ z5#_asmk3fG9JDsMSU8@mMFVqew0bOWt5#pmQhL0Xt=_m7m!7Z;itU}MNLkZIIj;Pm z@T_e&I2h)dypu;cKCLKWaqI^7?cq(pnqOBLo1-ZWa{QF$*IxW7gOqH;9iLGHu-TgW zMZW-qB0CkZ5k2&mDX{Cz2x=<QnF|IVci+WJvsXcfI~>HeIeDbQ(Avbdv9!}0z!O=l zp~5KlD3_;M7&Y9&<4ad&uODcIIu5QoNLMavAM}UesDL$pms9TdeuURE{f0h*SJlg# zivbB7W5HOc{((ojhyvN)@Ok<OuufNE+}Y7(b5f*{LV=&?#SO<H&D8~4NQ0}<%ORd= zGMB{}9_`Ke9lvBGm`DE>iQj(8(Ej|>ynQk7ZnV{7cf~l~{cDX#gmGN0cLlYq(rOy1 zB3s(t6vG5tjS2>;^PZbzhAsu=pEOD-a?u7!zI3Hs+CTFmpX)l1*_~H40oMott4h;s zIZNob2q)U6H&F~i%RjyaF9Bn=2skEd9$x5Dj5|+oQdLq!A_NpBzgt9QO%G3mYiFTq z<zJXcPO*uHK41tyL<p!%_Q(nnTe#N!ZjAV*oWh8#e+W;L9Ke!qT1frcLeoF~OPBfJ zNA58eG#=l$l16A`1cwpVAb_S}$F#!;U|5lR-xIM2<0ZAIV^Gh#B#>>p$(OTVxy zAu@8gN3;HNQ=6J!u}!E6b^_E122Lj%h236Z8$o~d>D%a)4R`lTpgA$b<O|#|cFNO$ z*6c+(-ebOu$^4b4UK^B%dHSR^{oP}Y0n5YTTXJ`jUHDRSY)wjOH(-^VAG%{a*(KJ~ zXZD9Oq{@L#adCb`+iuyI;~iFE**9d5bMmh%!$gP8e}SiVzbQ@KT$k)QrQ>DEs0!G6 zQA1b812AXcB!WimF-X}O7gq_R;f!`KDJ#^@<QJQ}$5rC7n>`qb_E7hPIUs4wH`Y+S zgmaHo;e?LbYn%&#Sb*Ac(A1vOGA!re7E^HhkGIvQMnZAM9W1yxO@DyCyA$;7<^uB> zNyu*nN6vZd61`93G%<5m?vYGx#zijIHQeN9hmsP_pqZ*PQoe<9<RMF{QALeQZ;ASn zu_$&i#L2R)7&O0|>Ie&0w!Dr~ZJMO@i+p7C!WrDh<)m_teL&FFKGJ|G`JgexeWpgo zf)W;Vg#}F;(hZb^mIL!%VY+ebkakGX(d8U{n+jtrvo+0E(OGB}VTwL^8i$Pvppy3O zA`JkplI|o@Pm#j;!O%n<Obq4}k_0->O^>G)j{$MrJ56rJihO;^0@81E$t1(m+AfXx z07*tIs7%#p5%3^rp7^AlpCYj1x}7+>vJoC>f7IVO+pWq$qwPCvNYsv02u!kHS5>*K zO{Z~j2#9!4IZs?u{Y;l*t$}S6vhQgbQkLz_7*e901r=48_%L0q-UQ9nmR84-<|bvA z;2o1!U~)V48GPQdfT&RCt31z&_X1)R>rpt*SVPv!G{8>4$vC-16aBs?=`SMheA};K z+ZG#TI5W<9w4nL#lWW$A-!z7GEt_W(Oxf6fbnWS-OzQjLZ6wT-%xYCWId$6@-Uu@m zjMn^Kw`3a&IUHK{)AnB}+0M}0)3wr=iV~!S-Gvwra<tw!vN5NGkho6DdwL?YKl&4a z4SmLJIR}sz4VBheH`ce`<DoU{&cG+!V4}O5*f892MmJiP6?*@zv|{%t_*JcYXwOG) zTuY|+G*<3NLj@(n{`Q&Mr{zT%l(I>jN#FAFAakhoPC?0w#S=wjG1yZ9lPk|34_bG_ zC(wY*ri^|0V?2-fz8#UhfTOVwmnQIqI}CH76i^|<WWnjA=-jnT;R45cQjSDV^Ux56 ziQya3FUutCj96|0=B(RaX3r!bSZWM;nsrx02AO^H@w8P#*7#si?p^EL-e_!IwJca^ z9D=YTGpRw-_wxJSM<|MsuUA*;dTLpz0WYi>(ND`=gS$1lbpi6>YNYuGtib_RE)mM< z;OfRS)G^k^Yea9iZ-VsXbsr66Hg(W7<iDTGSL=cK({z^c&C<)@2G7uspKZqt{4%h5 z3aOY(G*DN$u!^n7EYwVtN}}&yS^wi~p_NXcT{80!e8520G>ir}7{j1?ArlLFvYOB? zz}?KCJPtA`n$7Etj$ufmpa-ltwf?H0gA+WBYpkazKgy8cV8xZvs1+~X*M5tmwCBe1 zQ6J&7<#c>)^T)&5;j+Fg>)TMtomlrx?aEw#i>S0cuSq`@8+K--ZMw}Y5UnlenXnz3 z^%|Q{n6W-imkH4zPG)3KW2~LSHZ6J5xfk5>tZGI0%As_)=LLsp`WwJ#X<l9~v6^(f zjCoe=odVhlx}Ly^x`<N4NOCXUgVX(;A^nHi=KWRRyj(5rzKcG)vy!2)S`z|?BXpw| zqmJINK+48^^!{jrJiTjsS6^dwy$6ec9leCkODnB$-r44q_zH;HX(BJOx0iKh9^&b& zh*=eIRl_(kcDT4w3kKBUeFtGXZObNMf;q7;TR`TctUv+$ThZm#jvC!9=9j#Sr%vyF zeJiE5-zP4-KE7H#$0}fVE}aXO;TjWdZKUkkIqioH<0_q1##~b5Rw(V(m~$1$@cD-0 z0J-<)bJcnrhaT4@o+-`>ajzhjZgW5GphHBF<AZwAt|F~&Bnro-rZe+qve*eF#b4L; zyCp*Mz>(3&aQo~0>v}NW{`M6E(9+OLCk~(j6x|*ddhwDbe3>QlX%8DNx$z6z;K1^* z*SmtVtJxZoK6n2scygMtl=|Uo0>|@~OoEJ29MI&|<YbLea6DNvQmHwmXdvfOP3qyU z3LJU`^ZxEq@YU7tRvByZr_MNs-0giOYEX45c@eO)ITqMvZ<Zoq-RP#(+;t+75(7ZY zCv8m9T&krg%DQLc9oZtZ0sT!it<29Pi?}MM3XSa7n5Zo4tG1LvsVi>o-W)&M<Ge;2 zs&fxXTi;?&a?!N>qgAkYa+Mosvfw{OGm!BtB8QnT!@v}Qt>3EOBoj7HJk)<k(y!oL zfWS3i^=^_in56kE*i9hr2TTe0_71oeHYs)?LRFL?cM5QYhP<m)V&(&4IC^}&Vml{{ zxpHTp^g6G3tg|OIH?dlb_~JizKxlI}W`}0!`UYbWZ|2FFf#f9e1h_5gzpnRnAI<jE zS~C}_#K3!D&*=K?n)JS%4e-DGdwKWv^m_V2*Q&kNrF3@2cQ|y+V2Sqp^B4e%4+;zg z?1I;2Y5NI1-sv7?f})mE{~aQPG-*)k(si<TGrsjLP0^p1w~BBtyMMGC1;IjusI7R= z=%xZMh)1d;O8$bHV}yNEZ+W|c%PYT)DSW)xm+=oMHfH~Qt)nZ+B9!|)n`)=m%7HzQ zGNEX{`uQ!ZGv<siix|+xzysQkoqtUXZE9nnQQ`SxWJx5g*GgQg7njO%O7f~$D3->z z-+W7U6yY*@s`hL4Lz5}z^nsLk!r=h0go{y~#PjcLvGAi^+-&ayXj6A0hmdF8EV;nn zq>cPH?vbZnmkgpy)G+R_|8KxjVWtt$`kdY{AU}+)XsT1#RTNVo5SnA%+i1tKlq<_n zUJ845jWF$P`a2oYQ&yY>ZNWTC_6pooY(&cR!0_+@jV1{Tfm{T7tHO83frU>rxz;2J zPDE}cU?I-RAX`V{@)L(B#Jsd}{W;z2S0^qLmmEnav8{&;rI&r-%H1sHY;b(=#$WKA z0R9;+DC^3LZP(a`@gO`EaqlXOo#1rn#s%h%9H_uh?71A_mcMDMlwCM;aL$%&IU02& zyY}Md%4*l7jH>yNScG#8zJt^ou-14Yg!rY~P)}3(b%Ky(0XjL476n+dzSJe#Qsx#5 zWE>7=Q;oumWGupFTPaDG`D7corL+JpfUi;V&;7+f?5UrgSKxRUMqmwOw;Z1;O$nr< zqh_A?WCS|N@hF|Wzz`#gqrNXRSLv_Q=G<m~&bjr#%YXb-IZ2Rfyh+WRBLapcJldQw zQ&*AZUIlIG)4n}3H~#791mTh@$I2;*S=d?9QU~(a9~eY97I3@*7fDML2WKk;)MTDY zFm%B6B?#By<;TP7aj)gxf@DvZT4l{S4VJowEU6Ks&spZj1F?!p64&CEKsW>8o&71J zPej7kPL?*{EB4&%n^z-Q<GEIP@`W%8K5U$#tFU2MmR+!xpdESR9?q6eE58PFA%CZ% z>O^@`hZRMFk~TOTJbRf;8-5aX<P47I19Hhdg~x~TQ_C}#RxkTW2*Vja+qrSoCEcOM z=h;cv+vvs#<d^NNq*ry*4upBIoRGS4HGfWurJM8@_WP=!2qk0@eLww|f{^;HVg0;u zhNe(#?~%bo@1<nyU9`j2SJ)TAC$^k%DKfLa=%I~2qv{8MLjcyEP-GVWG$?fA27or| zF=ekgI}jUj!fKaJ6CV>q98EWxUbl|apFR^pmK6<tl_$I6CGlPt4Ss9i4K+E>2`7$7 zxK{Cp+ZAXqbc&qWG*eAy`_t71*f5_+itWf97UO7et6&bCo5!mU^|d*fYrKj=dYq_@ ze=TXKw>`-@jWUIv(H@NH7*>nP0GON*oO>)1@Fft{De&3tlK=DO5Yi7+myPA+r2yM5 zFX-0^Hg|ENw%S^;@<(4&Xk+G`r2yB3+8}}qyAxr~oh6a0N!0pbpFr}#3FMt#P>=<E z)9@48<J|%$5)2|$kmD}mCAVDxVIW<2J8fU(JhaA$v1FI%%T{sUr!I;P2)q<|NvjzM zZAB`vO+*UzAFK2Tlx_3(Tx1#y91v?po>>m@!oLaeV<a?RTk7vPq%hzOg&mf>r($I> zeAu((XZzXXJ%1Ab_H@Jv`{4^iPEAO=#oS;bt)US;o0G7rkVtN+;(I+K^0~N!3vdXL ze`NoBEz2s-7U2C&IkMlEvhVA;W@V4F;N>{z`xthq9YGF328mo=`)!TD#D6#+O7U^E z)1L4ZIhDVA7+0Z;W2z*t=kr@XyO)2`u*3BW`)tp<^akS*ph9_rG3{FY6zh8Ly}EsX z2-d5aU_k5DWeK^NmjLRUYYdGEyV1CQdNTQkT{Do|w1BYqXdDG$x05>%`C-?{vhRM- zHC#KN2Sp!a4#)6##6!Z&9LI;MC4bk&D(}dU?BRw~9pslXP@y+T9pqH<l!kvH`g7J! zq8p221c=p(`}L$+l1_T}$1+j0BDR$SEb=6UzarU1!HhML#hZk<vYOR7eS#eCCSNc= zmhJ{=-U#965fPx0FB!wq{-y+NUWmpP%V<fU?1#;(39ockjKcH5x7o|q%&V<yIzxEC z^3`jYt1RJ4MvNj<j95F8+t0BhMN_=h?QV>VLBQiV#<a)#t^&du#5n}+_x5a>{b<eI z+we|=gBlpPRA7iB83UO{R-PogHYimu20=_OA5$;YSx0=W8_fZgLW)(t-eYR2dV#+A zhie>7B#O3(E;GSU2Vp0~Q8C?>9CP>#C7IT_G@1owg$KTZfEKf;sDpL@gQ^f=7Ipq5 z;IZ84{N^jO-uI=?cYh!_A%9@9_hOh(D(eh!OIG19Pn^j3To)wCf=gvOAx!tOOjEb< zOY)a~%bV{t{(%%4DmY!K$}MD-5NTiOv{Ya~1fRt|D&Y~upnlA%RGyivdvtxcYaioG zQNp}^U&nar2qwhw`f87~OcWEtPmnHG2Czf?9%*{l#JB2|B(!#RTB~|h*M7O@Fp7rQ zBq0U)9Z6#n9#$E#4Rfk7?ypsaI01;8d3OPEGvTZWW8P2-;#9gZstu>SD3cE#V1wnT z3rXPR50FYTEx99HvW40So3OI7{}(0!?b$n38LqB*FmosugWX7^)I{@IQrQ|{lb!~O z|Bh_cqml3_O;s)%4O4CKNP#YFTjd2u1(7%TOd#@xlTB6x0xN;8iPqtBVN;W(VT`I) z;;twy-ItzV)dqzC+N3<wTYj3x#{3&p8b~hF(Fc-r+`=3%cv(!jcMu1$7HVB(Ht2|X zrtWt-u9}b+sRb&_KN0yVA7S~R7r&N0K}L=k?XU634@yH8^PXT<K*VrNZd=vhzuA_5 z^p=0Z$bl<XRay~dZ22HJL0u2O6Zb=Q0ls|$CC0}E<48x)w{Qi0-~hg(vu^3hGpdr4 zzv6wOm3-=5qmlELP5xmLKw8E}bUz!2y2(t=Tc|hlH82=i`a#@?4u&la!bDs>IFjLP z!AM|R@chYZF_?BN;#vP-7^WXY6iS262<yLfnTrpJ;NQ{<NTT^i`>4erXO>`^i2CqN zO!J=$@ji+|ZT26E%Kzd8@Icf^Ci)kJ<RV)z3YhWetQ{MWU-98P0LDRf!#K!C=>nND zc6kX+*+!9ks3d8O|B`w@b&g9W<67nPIV0zgZVFM=@#8Lz=c9LvAWlp}mr^N?mVp3q z6d+}#v`qXq4d&xW{{x*s2GtL!+IC>zf$WXb@5xtrAev=`@uPAIAQOa2w(-FeK>LH! zwDbx|d@mbu?n6`1`d8aE6UaSE(o>|<VE!*vW=ORwJjG16HlYaM%OGLCK9@8!0BTn$ zhWV=t)y&2tInZH2^vQp56{R3u;-4a|=l;iu1MxGJ;h;tY6n0eEfPj%IP$(#fez5+B ze!!FiSsLP+4m3$CV9a~bAR!_F3)M~_2?RCh*c+5J{mY`s`;a+W&MZFcoPT{U1Ox(r z%8M^rN_YXv)A>@hU4oX%pbVt%fDoF0$chgo;veRPekaJ1Dj^Wd45Vq)fja1~@$^d& zjMFi<0pc?R;!6XF0M-tS1|!Mtryqr3Aaa5DW>u;9KlS?G42J+Sv$<YUp}PI$N74S} z#Qc|&@sGFk5AW07`hi`nTwkdk=X_oL2hKnl$NV>B@?nF>cVJM|=VF)vKz<2DI#<#^ zZb?&evJQM92qgLTKe)_)NFZ>h`;s3uJ>4r@5Csi@vhn}0ll1=SvGz@;F20~%Mv>0* zaUxehuE+|AQ4p^;_x(px0O2Me$K)RaLM|>6H2MG_w!|PZ`6}0MXuoj8BXe6^WnIwN zJcEsH;Q>4k1kj+J@(&evYJ2lR==`e!vLZK0rlrD~=`@9IDK60N<E`bh7j;)IzLvKO zrnH+Y7$3$%hKfl4DSFgjslCspYdrrTK1VHHr5sB59<~N_eE`m&k5&XhFrZyC9}<i$ zO{3cf5A=U;+8t2SN__Z37un-E9~~lm<FhQN6J>%10;2ig>)UfTMPqhMdkTmhw;NPN zyiMrj%PU1Z@#@sDvC`*gO`oj(b&h^+X}$|`vAVSW+bxMnPX?5EY;n&Y%emPa$X*M@ z9@tat)c-eu0|&L~&an59^9tsN^TNVSEc>6ljZNOy<bT+U4<HFN95y&*AFr4`##)M1 zFRt8SW6YHn-3L_y8r*ky+03C7|HYNKf%F%*5AX%>-$UqsceVe`*nE&G5ugr2CwgVE z<nlikzTc`u2G*Tz2m1eiu!XFqZ#+wL?BSoUgno2QGVE_LALdk5Sh5as;T_#aA^!2Q z{*y&&ibAdfU1R@sEtg_w>{c<b&W=Z!B7$1k1W`<r9~2Y7At8C?;QuYId>}Ws9~X-M z%E5b;X8!6#Aj~p8*}Ag*zN5T$)BG7kpCJ~K_#Ag6IAs4Hy52IXj$muo#oZFz-JReb z+})kv?k-IrNO1QcfrVRw1lQp17Tn$4?n?GP=Y02$bN}_|Rn<MKYR<QM&F*>%59~d1 zwb^d-b-X{NfrosrL?Wts@JH`tucd9+Ua@hU>+2w2usqC#0b3eteydymF9X1#qy3lD z1V(lF(FWuG|50af<o{x>`oP}yzsMpB|7cwQH<%4weZYSl^Z#2E8Qh6~!8e#7w1*_( z2qM(c;lI$W)|m~kwut}JmP2Q-ws_e-O@Kp-QQoAjOSfFzuhxDAKJh`&3*JDobF*R3 zHZ{ul?p@T!p-7`Y&FD<yA37KeVEHC^TrZGPCJ#S*kX92rRDJ&wnRQ{%_k80F|6td- z`6p*Mxr2n^!LD`haZcmWAIzC?lMKTcP3aK3ZlLUm4eqv86p7#luI6K#)y{rDW<F_9 zL{8JG4ee^nSY{cMXE6iRi>(RdHk}E7i872t{T<ca;kN+-z{<q4o?b8UU+51jAPGj6 z4UHLlfuTPSj7|9SdJuzP2wu^1{n@ZFd_Gerq5R@QIk&v;uC~`}S(|RGnoI%OAt0%1 zct<0cyN{{?kepndln3oQ6lmmCgUL}x>~UQ@D%0pOyHOy#CZxJ$snScj&L(LOp{ig` zldmAGs?B?y9R{%<$@6XwRTO=0Ji%%*8DL(Tld&Z5^uz!aF#3-_gwlSaGn7>-+JOCE z{!n|jd;p&u@xN&$6g-!MiBZ=LX7s??DQg6`s;zWO2U!MlYVbc+ni1I|&Oh{xP9)lM ziGc~Y(``E6$rC?#m)4Ix5rLU)mdMIDHDAF~<o}I))ZjyJ42C!{CokDBNfOlLC1<6T z=@-AJmF~IHd)%V?hN2|;S|=zkvSN9X2DF7_4xw|!YEIljXksqXydLxm-0*<lUJ49C z8h$brG6^TZp+acsPn)WI7r)XOVQEH-`OLS2kPtGx!6|g2xcbaXq6E)c8&yGeN7(gs zvbcorQ+}@SXG?43cl|xJ8{0P@UHJu-rM9lLw^k1h&W}^3vIpyUa!9CX_g;7goq<fE z+efG5j;Zm3tgi9jT`w~>UT7&D^kJPIIvq3h?Ri%6$13H-Te-8iyIGI|q7`-Guch`q zA@^UV(W)$WxqZQTrAqy;ME~+iX_q3;SL3Wkf~%{>9UZ+M#ynBWcY`Ydv~5|e)n~#Z zX|-8T*N?Z4<ZYQkBU8S5PoYG>7U|-!tmR=!_V%*V6)7$4iwg(7Lh=4L*;lAnf0rVU zuD?s}9?Iun$z1DL@~q~Mpsp0jCr={l^}4nOR!5pe{ks=o!KtJ<K7ImPaIWXNWn-h) z#g{Yt8ciAQ;NW4h(}LuQoFYnS!EfQan;Ef34TZJp$N?mZgzBRSC?6=x?V^qGu#mrI zqr65C!YEn#`{xlEN+@)0F9f7jOk*KL{VIM`8Yu-bV^QuZ;pVGFF2I55l8R}TUfjZD zW4JaspJ}7WU93>0UkE^wsuy1)_C7RX*G|Rb%0(|Hzi=bUSJ*|UZ5I&0#q@O*{yr$* zwg0C*X<a=-h!x`-HL%rHz5$~iO@K#4f(l?T7I@mr<(s$7Q8~?j-_q0n#vqvVjgq2g zua0LWNr9z4-5g`Jk_P|r3-{o4+?U&L^1U1!*$Jd&SsN&)PA6%HXVG+{JmQb@QL<{t zR=;UEUudT%H|}GsLlk8OX=d!tXK3ua(0Pk}+&?ef4!RSN{{dhGPB0xeu;vzi#IpFK z9PC-3I+0q-CcMJN+5d^wqw#yhr0C{Y^n>@)i^iQkm5M&@%D`|4Pm=_Gq3LDrBN+6n zcyJPmFhH9TO&{-mR8Oi5=rX(1n<v*ltGH0!8RdR>Nw=KWW0@p);(W0YjBg`mYm&v2 z8uWC0H|eNL+z$*?;X@Eow>+CmBjnl2*%w0Ew-rDiJ2NHH{P2--p~g0&w+nw@aQe|@ zu~gMQO?3JlxTJ9-VigwiKgp{aS6!0+W3(KJu?7%NKfZ)G&XP|@V+4BgX5_?mvq{#v zc|;ti5eCi>A`jknYlNvW*I4qaS%-`{T<J}RVu>pD)&q~#{lDi;Yf~=^Hk|T=%k{Fw z!hiZej%jf)+dHUI^6F@_|H^B=Om2bev6+-0!SwBEV<LJUAGF>_fj4G#tXeJ7mS0$l zNs&e%4=g<+ow?(BN~6H(Yd*QSlm?}}NRc(^%A^O#5qx|NpGH`fvXsL6M)fzVxwZX_ z(g0zz3)Bsi0M87>-{PiU7daii$|$OO_hx$xu*JNDggN4k)>g7ll9jI;gZKDBb&H-$ zGT<0FR1fu9Z&B*`%_UenwOyC15dQm?=4Dm(ZL^Sv7%61XfT9VH_@>C#=;x5}n6H)e z?*{6Z+$Y*T%BJG5oI%bS4pNx`W3LxxzY{Rq9k?ccdcMZ;r3)x(CGn+k0&<LQXzPFW zVU_BvFOJ$uFOnk)94o@fqL<#9e7E$HQy<Q)BQ&U<FgdGTwssb3?#ieAFv>y`?)rQr z{PWUGP~}SShc7}^a)i!Cjn^%WYB7r-<r}TnQiQa&l)7*kh3la7w>V2ukVhU?NJHA( z6p%C+H+ZyBK3_vympam60XHzDX}o6`zMyVsug(<MI}{VR_}+kLdHIltlMsl(G}y2R zHxWN*+x=Kh$jtk=qj3Jv>+a632xnEdPu{}4AOB<gN#yMM*B=|ATrXj#48_O2!H2k` zW~<-jX!+)+vsts>KI|IGSGZTtmd_e~*aZy3b=vA@iQTB@-W+LgFg4Vam1qYi*9-4z z?H0bSMN*4hr0Z1nL2*H4J0&*bOz4p5uyk^Cet>&b?(QUVg;Mw2h4lXZDp)#fNNfiK z6YF9=qBiTIjwtcWsvpca0)A&-@jV@hRRD&N`F1N;-WiOCG3jS|6f6zl^-!eLG6rVV z0y3|j(*BEwq4kgp$f5PX5LpXIv-r$mebKb|0d5JJ|5u9Bkk;#I=47oZA1@{f={+4X zdopbf=8Jvto|}S74aKX*2;}|bwC`!XE!+DSSDJf1ngQMl&G!u4)q0dfd3HCN&#jJL zn$LeJF6rxhG}g;WM1nJWmcTgsXNRgkTAbxiyT|tXla%A7uUB;>%C7=!0=|}G#8*Cg z<MU}0yOzt=lQSJ)l8t(;ye*TW37D4y2GHE>*{n!A&cMDE!ElgNagrvk^63YV#UG;+ z2Fx34+dz<<hoY8;M}|IXQxf?XQeTp75j=Rq5#LUaNlvzQCB*4B0@tnWHzGCxb~{;m zhi5}xZvFHDY;GA-du4&n*pQOSyG;>XMjpI@#|Ec~1W#4exBmb%Ia@)By^x=6_z8Wy zzl*uP2_~f3U)P>16sgN?YXux|9$LuPz^LsQil90{d;g^tJtV&DeSOX-o)<?~{tgr5 z;S+G&k};ijmIh#=J+zXa`JAjS&}(t!-ziQvVQ!sOeG$4+ck$l1asG0JK+8L0ns0uq zlR)WryS~>wlv7*uWq^wRtv#ciE-Bs5hXb<&WYQk6+!TKqentB-H=JAIIY0~8X@0g2 zeV>pLi*tv5u(Nr)a`UwJD0M2XM|~6Xdo_?h*@NRAat9a%BbfS2j`L8WqXtc~(Z;0? zYD5&_t!z-GA2Wy6v}xyUpWF+_d4vCGD4Xl;&Hc{jnn7{<ra!&PSzi)fZ%x8kXBN8j z%U|!>P|uo+MC(+RQoa0hSVJ{~;!K?!W)6WhD0Lj}>SAA0S!{{wV?J~WpTXGK-tgM4 zwoTGlQ!^j{nk;(>R%uZM!F90{kM@eBeXI+KDAZp%ic`ZfMJS)J1~a4eV3xv<h4cAK zE*PHOIc=oqAUh`F2-4_2KVr@%TT9S=K7?uZN~Qk{y?c+lh+iWiB!=iMQJ*w^X<*&@ zz8-<v7Cy$d_ynY$%%B<_CAC0*@a)oydH2#-l@2HbRuq47PmYcD-OH=e{>*R%&)@xi z3ti<qPPxP~9pTqAM(<DP$_xjX1rn3kUlt%E#01r=zo26%1*je(f5(hX7?+5Stehoh zPL9CC_T-zJs=^3(XZ5vU+6YF)u@WVm4aPm9bFgxDbHF3QIpQURq4?ZJbYda;^eciB z+wcmI@&v^vUJCb6gMvO3t13(<=6%v=!0RFUL2^}9Skk^U0>fq^V!E?}8p{mYi<$gH zaVn3b__MC6%u_6KMkrxwGlY#w6!>>WEYRoLs`y(=vTUgtxeZQip#s2mgIk#$$u^c& zVGQbE^+$`=pe-|&^2Dch!xbgdL9y`)m`OlQY|*N9yh3<7;y(H=W7aD3U9O8rQt`g< zvIU2MN3`jOO09FZrd`}q*HP`OUvbz6QLp#@!S>FA{x?V-R*!pD{XN>aW~!>abjt}I z@fFKVk<|)q1!SxhD{DtLPa$nW)DRL@yI%vnI{f_UT!)xE$4RxJr39VGlZb|-K1u`Q z!@}0_-OSc>?Vm`FVjZT0t&(K!`?55)>=Q9a)(VL%zPEB-?FS1V^yI6u?QFu-Ka{Ab zym_1*+dF@X4l$7Eo#!wuZkv=r$(e-pEfZ-jL00Xbo`$JgT-0Fu5b&(6bD!o<<0LvV zF`gSkt!4bYd~>ohx-~2K(6e`xb?pmWoP>+C-v26pXgON;;mCBC7zD7Y`T1m3yKUml z|0q=6ZuahkFJ2zup6#J~AG-$vB7P#ItL^%&Ol4DV{BJLeGuiz?IB_&rI~*_GZzP}h zNMFybo_*gA9ycz<FFE{TRkmC`S$j7df^7JkAn+7v;GtCN-a!6HQ<w}MfDq8q^m?|~ zbF<0a1hlq(5j!JsdOhFUK5-R(O8K2(e3l#6k4yQ7*W|^`Ux*L<)SCGEsmZ_9FWoq_ zUEWjd3*o~`T<w!_QcLfs;-&M8OS`xKxxb!!my44(2d|uJA!6&X3U+>pznn4AB<|X1 zm6NkTXG<?f{`(<u9s1p)Vj)2N?q_wJlG3M+Sn2XWVp%iS`XG^ZuAA`OF%l<|5%e(r z>rE~GJM1P(6t_1vKkruU(M#ofq*p6Gz}sMT7fh~uzE$4p3&m}8*_eGcbq#Qf35_7# z9rkl=PusrQtU2`-Zt2F83mksEbZoj$&%P}#QEbfa=x7JhSusCOL;@Vby*1$1Z3w^e zkis@2(Z1YlcRf-Xk5@^gw9N(;@N~Q)-I)!PIj#`Y;W$hhx3(y|rL-#>4UIqhiM{WT zdj&6apQP5+z0w|k%~*O{-h7l3%m~yo5*fiX^n{8@bVA|k-P(=o7<GJaL%XQm!R*N9 z?zG$2B8j;INb8fU#sUtHN*CT@YiWwX>2FD`Bd^zIgKyZQ25pxsUNC-M!$-^EUaW7T z;(OAQHPGp=K)~yC?kmNs(`AQK(p%fJ_$BJ^0LqS=l$w6zR@#$~;=J7i-;H_JT-CNR zIUY5Kg#*a^+Ml)CLNq63U0+yyzRtIMk+r>=Y@h>W)l^i7s6fi|+70Pj<D>d*_{i78 z?eN}$hCx^z*(lo7!O|$MtfMgnI7P?{ZU4S`Y>N30;j=?*o?EbTUkKxryH{Mrt)zP6 zreFu*5hH)js`Q{4NBNol3{}gd?T|Bi7WbFeemZJ_XIN}{jBONwOB;#Td6_hK@oH+! zM0Orb5pxJ0?gw^Ul>)u}-fF<9w+|P;)|yvynb+3df?FbISl6L{MI8?hhA#%Vc64?& zzX;`r|L_tEjCdAo3V4<g$#fD4^hXvoY%Sm+5fMxBdyET5pT8@U6C=II=qM$PKM8t& zw)e|DK*{y4u~nZ8uc^=fLRYT~RqQ>Q)>=2uDK6<=P#&;zbIcsJ4$ZYa?<2+yT`^X* zubrklQLq*-P~A>l7x`>5$@ax@{*H74oCc#kEI$7RUS`UY&`EaUn)_#L4cZ6DqJ7iz z7lo2ttu23xLRYYeR^wD^rF>1hKOCmQd?l4t?76EL9_S)T?I81e_R3t+5@r^%y|Gdd zuN=9P5e6vI(+1bh_XzZ^e{GAn;$pnr^oxikPmpVC-p{XD<EIzCoW$L9R8b{ly<I$Q zLIVm|qKv|7&muk%nF2*$BYQ(AoP|hlT)M>M2=UM&I}l#3Sn?zEP#v^=C(YzaGI>Dv z(F_nE6+bgWDAB~QYT<jEz`}ej^(AG}u{~Lf1|To@!-Z19r`{)I2{{d{rINOMTS|zS zz=5~WYkIAX4pHzzc7RpUoB_1Ie6Dk5;h+4GnZXVf_FmsM`W=2eH~S={kT$(kJgZ5g z-f7N`M03ktoWP%)*FrelOI7CIHKgqA1+jRpxT;9b`Z#^9?pz%iFR|<q(Y&y$rW|s( zXTa3(8mrUp9X$pUHhL>nwYsI7Eu5o+UpU?_3G0|+wOS$7aCq`m*UoVDYlXP}oRhA~ z9jV&BHVxB3(TC$iTE$*oTI^$%;VHAW;b>)9zDvN$^y_ncgu->nh8+?Z%g(BGH}y_9 zs{=HORkH1UbqaSZ5m;b&TXdUsHws4E06W!lEq&Fizdo1GwJbTU@_h5U*vS+)JHT{c z?dJPJ`hdo>olIffgA)A(lN~jI>HxhlJRHe}^1wiN73mcspltc{HPcmyem13C?M{vJ z%W97}M{{un8Gv5;@vYyTLl8gMc8t2{CZ%T(SKHL=GVv9V^ji7`&&u*1;*r1Y8mQ(t zQnBi(zO29mXnS8XMx5wi7-x%BC3E}I4zJ?ux088@iS<x!7*4_<{ImDr1x!S7a^Rmo zJ*>Y8tkK~JEwqW*{<Hw%#Tt$gzeEeTz!0Ra1xQD@cs<aE;6QK(GLwQ}ZFNd=^S^To zU^vEeD#XFifzGRi9P|8c1Gcmcfnd19MdT%?$F14PHkI?1Gpz>k+t1uu@XfrK-Sy?^ zDt>?1Qv1IwF=jSp)69@mJ9ck+%zi<g{qjC*tAes<*&BYEB(660R<6mTuD@Kr*xRsP zDTxTeEzG3w;L;)(={e2sa!QJ9<3mn#PGcd89x}qvMA*-0C&tKqbqQRYPr!9tdMKk@ zK6R3bcpX|L9r;oGBm)}Cu&b{)SH?CZ<4*yf2l)6UgwsU(7m7h#HnhYyj~j;*^tEjS z*1|8{3An6A{&ya((Y;t1P=;fUETmt@rA;2aftd2rwKb;IV0BD-#mgJ7O`n9Fia@#F zQ&5=?EW>~VdV8U9huHBGD`33!#x;+?h8plPTL>hy^Ye)u4`R#x`RNwOO`JRZqReVM zm-GgKEh!Iy?^CY;_bV89!o7%-0ul%MGZ)R;`onv3YZ#idF~8o6`PE3R9R*4n6Eg1s z&C=?7tgoTjc;YBhjs4Abb2R3zc;*8KKi}F71S5F~?P-|uIJId40V%go@~Wyc*?Emu z)EAXOB-}=a{X+WNkJT5ulCMSfI>5!n={scM;1Bt*y?5&<PPJ$q?TW&m-W>;`Wj-8| zR2JOlQK7O-Vfkv^$0TkkJR#~VFOC*3p51-57D{EeLYIAM3EOtxt9zf@sz3Jj%G=TQ zEada`O(83nFt;OdLRBjNYM3NYfCT;Z%I@Of%!s?aX!fZ3i--Wf!Ws6;t?_nPdWh-o z6u^%oAY=0dnXhm^V3xJyEJ{QsFwYsupYZLKR7D;d1`7fX0s%r=IYzE_U`Gf6@$V6E zB~uNy_f(!62z{$#?^|tRwpfm^u^&xMJP%)1o0(J0_DN@!MEJCz#w>48R;vn>YPB|E zg~b+uHhV#AN7j0_doJ|lsZ(2OPt$7ew$y%aCe&*bHfjvNPg_!vKM`iJurL&2!R~Bc z{_(el-o>UG9)Bt`s2>EC>MP$cL$ax+r9Xs~mIyF?|F*1_u&KuEX27ucpQ^KJz6K0I z9={6yN7cW#f|PHgX08u!OxBdo*i?I({ckl}ab^!JR+i9wGar9Eek}te@&3zuHg_uK z(1Zf8v7U8}STV%&Ta+;Dn`+YfG4jN{QSzqy)_M#^21vC4xJlo^r4GFH7(N+9>InW! zjl@dhU!r5NaBGRZZqx{3CUI>k`lU_#KN`=VXMmIxTMVM;14Z;w+Lg+<lv3Im$Tcy^ zAO89D*Lqv0zgIt`1K&YhdRlFAQ!U+HkQtkuCDf;X?yuR>bB!}JdS#XU0O%G3VsmHG z2U{HpHcLkjTmyWtZLNo7CLJX|x!~eJFNQ(MGTYDx;-327*DfRe+u{P7Qfn7_1^%4W zNW8S}R<nPmG;p!hC!|K=rrGxYYiNT8c<3yNhyRwL;9kDr6V|7OiXmS7+fZA;iHl`6 z7B{VXVp6c(+l79FKa&}onI%-VzxIDN?^eo%KC9tu--RBS#aF@tJt8vhWUHoZxuI0r zmgeQh?A;gAw*C5GGWWxt9)(yQ+z{erwOEi=eR7>iAJXw}o!L_7j5i`u%QiRtq13GJ zKe(88;z~?59|1(E+kEF>_G2Pa@`!h7hv{2tggM-&`!1yruZyvNNByI?lej#1$Ud)6 z*3FD<scHQ$FVeF`|LcPsy`bB25JfMDf46a_NKY<K9`=0Pvo4t=4T->)@b5U3)jl<9 z&>T0uJyyTX$pi|Veeyarv7SvG`mKHoSVqy{??3q_))j)919HZ#VgLsn5Z5QLYs6ap zA2%Zgd*?}z%i&$xA-E5|zA<IDV8<zgZ-v^1%8bp*0vmj`l={<_UWlch_3t>t2KP^^ z6*bNT{)>u!qQ6n$u?6-s_lmz^gbnWRmN_-<4rFHW@&=8829r9_wvjZ5c?XYA&meNa zex6S_3su&vKSustFrG{4sn(X-3V3wVcqt)CfR)NVQ1iv#h|2ss%-t0QHvev{|F`YY zt>5<fe&}-QGx!=rT9Wpr{`?K%KJr_Q`8URO|M3My`2%nV8=A)E%wOMwoxF2Ef9k(s z?C{r{tEgG(GgA5g`NfaA{~G-704uE<W?)iKIPDi5q-2^iH6#w~FM>4w*tAGWNHV~q zb+%E1^{?0WPl3(*8;fUp^7Tyhdw6;=^7Y)6LT7T5PR4CmQsA&-y9JMC13x=~{<PZr zooLPJ;{lR@EBUkUE>kk?>)Q}dnA(j0%Plgt)MN>x)jMumasTW0|MAs-3iKb-EnBJp zi5gpabpzWDJq9&{GOJj5hqAvC;`^VzVy=H`OrHIpH74tl=~?QHnX&0vW<T_UxM$VC zCELJPMj=-GCu09%+4!F_EHGvMA6N4QyIPpuA?LrA{uLW0=D+d-fb~bs0ErSDx2DI| zdXasxglQCiWv3V{JKy^LhwS{{Rc8gPIs)|pW&NP%Z`AQlY`2HWIoCS@Y_5W1E8-R1 zA6>q=Q4fXg1?X_d4D5&Q1c*NHK9ysXlfgX-C>!N}&;t$!o^%dM`Wc=z{-EtiT^lRk zt#|O#kz~11K2#S6zY&ZzHDt?)<h(`Zo9Tvu1sQzc<$&)A!_0Jh-7``4w)F19jg(<- zx-#{5z8JPhC!lv=@>V;VcoYEr*wPF8LKgP6^y{J8gvie@RB`#v<IO|<sr*-zz&~w# zs0PwKIGI6D)lc9NdC~q<tJ4HQAOIHB?T%ZA*Q3rISRDs?a9+bxcKFry))>4TM-Rzy zM)on+y4;SXyB4)AbgbaT+XEZVcV2Jk$6OgRb!B?!y8iw__<z)hfz8QK{-F}WEauSi z2a*^@Z|eI`*n4}QD!MJ?b@{a8G=VS9r&@Jb2D>`ous4(h?mVz#>tjKGg-rAuJk4k+ z!<yMO{5L3#Bjf{GQu(9)#>M~k@s<XV{j4#b-mIEm*=zT}q&<Cfn;Up;#I?3p{Cn#H zW=Z(K-#R8btKk^GYd~Z6E~PPJPuT}xO~Aon1xyGV{^ukKj>i*udC6$`cUdR=EEct( zzgIb#O{IWQtxM)(NwF<{?=&7ZFZ+6=7%0#vdR}ZH`{JH8NGeVH6_0diOcLavs-fte zb)OXHHKgnc^HTf%qrMC>;vlV9{72JzY7}VnuwA}oLvxfx(G}N)_z(cRp<&1IO8c7! zClUIqmVtSw%iGwePuC2YX!6(7jBKd%?_7ji307bIry7ZjcpRnX&!pOhhc{*gO!<Fp zty+UmH=cGlq4dX08@;uiGY4dMkUlH1H?HxYjFp~$6GboxzmaCBgngM452xbm&uzdt zOhV%~(NPM&)RgRk_5(r_(28tQIeiU<aclL_`R*NfUkO(=0xv|~?l;26m06?El^aiw zTpq6TFP^6cbN9-7ulgTsFYgmsb8xC3>@WETvwSY$+4}%obRHzN>VBK&d$Wg)6G>pE zkvO_v=iBlnq<?@HHFMXZk1LHoBQqov-_-jFCmwyM8(Hq}831-tVdU2NEK_PwTX!Q& zLk7+ap8z3OU&!Q+a?f<j)w8|#vOpW&cG|oZG^WorscOx_cRyu)cgG4!B*ti*&)GPk zkc@ITTJJR<P=v`-yL_EXaC{-q@XGkn9#zsmn}OJ#)N~S)i!>^*p2F+YxW3Bu8=!qI z@pZN&m8gV*(Fdpx-}%JBi)yS#be2k|h}0T>PyFGBATg`BCggmmTCeRJp?4a7!+GH) zAhs}KYWd>sG0J`2cZ2F+6dcQP;CJ+_`Egu8$0}xWedQcSKiV2EI|mgo%}`z8rdH=r z%=~?l=#gF5TiN|{5ub^pRmpd%buhU=D+^_$<%UMQIv(K8c*PfA%Roxr*hK+U%QF9N z|8UmpR7W>UYj{6xo0L3)e~X0pc5=V#ew{P=5nbkjo(lX5iQDc93GbH=(`Vkh<qKXD zXU#>~YBqEw%NWO_fsi=1$9kvD6U;&S#5EIqLY{)hK9V=Ms0p6i$-xBkt<VtxWPCs8 z-mtmRVJh1;eOhLleTX(RRW1cWQlwyZqzuoiLi!lywl1;DLOVQtqlz?5R`5*GY~g{$ z;rX3zA@9cvt$+a%!#jIQ*{&jD$*$LtY#J>=%9_LyvyFPvT=ydmMa<`or0MeM<%^pb zeHEL(`H{!hE+=0~?w>iC^xJizjR{PQ@0WGWK{kol`>3BAUvj0feuy<Cp683Xz`Px* zWpgW&_CDdB2}QbCnFi3dR8<0FMZc@avg>kFwGw96=~2D|9c}mosNZwbz)3Uz2B??O zrA?HqebR#qSzo5fHO5+ZkJdi-XK~Y%6Nx+*<bo1!?7P+nk!Xn;rJrQoqmo;@kMmt6 zE&;H5WcKET1B!3YhJkKELr>aUt-bcxkmvlKGvwXo{j?bhcwcL|G6sMMhWjgyq71gZ zbfFmeFS;FND6$iNF<%g~yg!1LhXc(73%#uh)>`)046pmdrPv%5c}^Y2e9a~~jP=j8 z+sZ#g@^C$N+_5I-CTAZ$Dt;ENePI`SXgp}y{877MJ<-1~pO)51UXgL$c*L{wEDv7* zWZl!K^HIjGIpPvONOJ-UHfNp_`(2Afe<ELF*fif*ZxD;QXzX83SZK20loxJ>p%zz8 z=+l4<={f&sQmRzr3IImSmQ8=7&g-U~+|lqJ_04#&4pPJrQ_;J$BteLk>$T3C-a^b3 z!GTrzGHThFPy~JL1;rPND=xs!yi<qzS}}(U#g86++58}P!UYH+or6=FeQ4mP9X%H5 zfvdWxF*Sj`R=p~mMJ3_A-}tnC)N(Pp(#YQUy+*`Xk5)YFb@kPkvLi*o%)9O4j$n}& zFfw>+ruTyrw8cvvXbb!)>=q_ijyk+(_hKC|hvGBGRw20j7~MoN_iE41#9bn_)Y$5f zjmqNNk@Y(^KntKATo~7B$D!B5;{}`w6F(Nve|&==fNVeNd`ogSDRm{%_w0hamwXIR z-}68v#Djt3Q}Zl+^i^k*I7YXFo?l3lKh@D^=OW=osTf(PdQ*Ug7coGwUy$r@Iz%AO zY<TBTnFvmT9zTOvHScSeYSwr>Tm#y{zdb)+t8c)%0tLN&mTMk)EECj~_WBLyZ8p-Z zg|5!M6#fy?HU#4Ly<XBCB_7X?m>R0@S{N-=?z@(K2kXDHzkhaSKljSYi!iPHrlQqe zD$AQ()u6TU)ZDJIF>c!v_zSUp8O9+X2|9df;kZRHFR8o``{b}es0BmlanMWq?Wuu; z<e6vx7+C+-WIl4X?3-bXw2<ZT9X9;6z8+~UQ?Vc{46)9%!|7=4*Ui~-Gjn6Y&-*Ns z0i91Ekc{4){RKRl6_9?TxK_0S@!V<2T>)rNAFi#e?~{TCzP+38%*8Def2(Jw*Hhv> z-^(<5%vpOBq3@{QRUEwGd1#^D@&<HjqVK#s0OQ7npkSUW*9cS+F1EmZBS!+RYh8zh zGtW*hf%O*R8fJmcABwn%;l?x0;2CK<M@&R9*TqY&=FefVQV7W$+-{_X_Z&&sJhpdC zI4X3%+by`z+Sc{Y15=meJQGC&(ntHQ>sMdCzW6od%l5s~6uBSGXl)KzEE#xfSwy!$ zu=3(YXl^(UZ=CaIapKHWra-NSfmDKLSGKjYI%e{TuPkfT&8*!tmP*Pv&~hy4m5wb$ zzu&el(>xDTI!e3-iv4X@LaZvOq5NK$jE$}iE)PsEHvGa#!HZm!!%4N4mBW9sz;)zx z&7X|-xLtE&z-*m*vJJ5YHVuHc<$hQP7?h)>ANOH&U89ri4x6mbJ_`$43E%y}9(UEE z>#T&N^K^%47E_&|@R<H29iGpK1<Nc*ck9d4ydC1P_Eq9g!1N$ucc)wI$G5fCBbS@N zWzl75_Q>YUjuCBO)XFDm>=_BARah^>oSDtqH*xkr##yO9`C?B<PZyFb-lrM>k_vc2 z2<7Ma2e)xxPt9`nm1^Flu8lcnL0V_hX82<)hrqqi?^m-}1koUiLgSAW)^-VVRw_;w zLRxon-6%y~xz!O)&>)H?Wt+Qxz$bO!-TG26_$OK({+C??!~VQ^%JQ=DboSRo?dYj7 zSq87f%Y+a#$k|T@d9q@Ybtxpt0G^DB^wOdOglRcuJ8$7RF#%eUsh)f}1Z1TWFGK^= z-uXLdDl4lMMT-D=YbYw|v)e;Mq6U608#m8IWR*s1zpOcR1|91CKaVC**AAK4g*Wa< zouWH)@R*&P{j?s<q!0)KbK0bG5d9^@chApaD3~a{%tOSW5Y^80!6v{NNL;g2+AYxj zRd(x-097l*DkO{WlMSYfnYFFIQ1L5a^htCfE#gOlFwy!g(A@$CUKW9@^7~*al+U(3 z@@GHD*&4VLa|~LRv25a-33@bW2y-5*CUX}A#->oefHBdd$VY8nj*d9BN>W?68jATm z3z*%>RcjUS-wk5VxaQU>0OKUs*1pHA8@o)%>L0I`FPSJV<*zH&Ds752jOIv9fx2Oa z_QR^tR)=HJ9}Ce2*0NOIOdQ38vetkq{KUh!DyNOv*s!zJ{4|xf$3y@7Q^()U+jNyW zk+7R9CA#9@erVPVd2YNE7<Gv7@+a~YUB|TauUx6`65`T`KDbS~0==7dWnp&kQ|R}M zS&jN64K{?rjYSS+ze=QU#EadD+~hd#=ZcemXTK*D^p^vrB5qCv7nHN9kfOxU@Ot6y z^U93oK8oB`Rl(s#5+#ez_*dW^|MYU}`Lef*#yH#2B)_P#w>1nWmalDwV|ut{eA?Bq z!?gn=CeiVH!UF^T{E{>@?0)es>>j{PHkCC}ZhYQ_Vs~vO?)+qk)jCUP$h}|Oc>YnA zV`IAYxF7>@OS~y{2yfReQ*GE~@oO5vr%ZSD#kNMjF7wynn0FU!UHez5@s3#Msym{} zcqrGp2@NoCs2_1HL`?f&2sRUNuXjv03*lEz$YEi|{f3t7R)DXkTX|1H8gzR?k18ZF zFXeJ7@(pZSA=e~+gsa+1-Fs~cCs_zXo50TN2>%k-n6e9Q7we@-FH&}zLqToTZQB6q z48xD|5#gjuN`Dh*$i&#M5crag*ih@(ECd=3m{GWwr$Nt>hiEa{DVM*O9~UE3R1UB2 z`V`Uo&>PhXpaGY|gR^Uoz(Aox^iBrffLbvot1V-JQqiQK+m7c4GBs@03Ih7g6EwA^ z5|zUgee<o7j>3a$pL{)MexV?VGHLpqgKR1eV`fWl;be*H?nsII@DPc0sHbOSf^VqV z9q&u2;8Y*7v8B{X&ExTtMod>mH8=!2@Kds*xAR3m76Y&2(0@2!T;tmb*616s2R<t@ z(b}?0PYkO)e(QisEs<pEx#IZYSjEaRq@O-z9&iW$ZmmmV^(!t60V+#1fX{}6{e3VD zm(Ur*2Ww}0hhCEp-@99kroeScC$4-5k<}H0ISB7pfp7<<!f9g#vX+!~=wP2B@4L(; zN2MuCZxX=o=p4sEaj;Iso=EgPnBNf(a?axO{@uvnevoEsbZ%1D$K=d@d3#fGL)a{S zH=&vu)bxu3Vp{z-+>eO_d`$40S1I$+BULT*#e_^5bg{mx??|IV=rIGf-L|>ru9R0~ zI)XkGoeUzJ-Ev2&<!*_Cd_&+<JyrisHRA)(VLr@gM>*ykXW?Xw-m+3!0VU*Sq&gKx z(Yo{WFr_|9Q>gkuuOIpJ6e&|IK`UJhl@Nanr2Lk5N$@;=r=@75AJrP7M;pe{vnu07 zi?&hunAp%5K@?aIVtM^!VA08xP3uheD4c}tNot<*WS7;etLk5#W5HYfDTF&x>tY6w zbK;KF9PO9kRnC6RnpF%gZOvrSS!_4<>^gTfRH?xMRY*8@?V}m$dWyLtDVpoE=*X6B zhNb{6Y%J-4kP^IKN5(=oe9|N;tB<G%h)a-*)ag}r@n}mqq)7>K)}rN<GL{pO!=#?; z4cNHU9ZV3Df+>FDUCkb9L03{>4xa+S7E7zlC50s{=~dY%f~Mrto(61LuC7NH5V+Cz zAl)98+1_%ve!L@OlyS@wer`cey&Wx*9(m>?%#l895FIb!A#FEQ@HC~iEWU(9f1gu^ zmIK7;%|5rNBpafBl57rMK)n9~PPu)mP;SwyBkD3A<A}yd(xteAg6{JUn-9=;pLzV@ znd>2T$|}j;b|{ZiiA_7|n-SinyLQ8TtXD>*`)G}Q6bxP^C$t$2ERWG3XFi6CC=Dzw z-6?BQVneKXJVo(3i}Yx$6ES`5)WYvXxh|Q!<ja~U=^;j93xReI7(jIEojo2bW}~8x zUNA#S;@D2<7WL*aCes(&{$gN?`obIH?E^-qeSfjLuqZi1j@M*fGf4mE)<YB#IWNyU zB)O(U1PNMdy@Obh&pJO&?hoNqPVtCxh}T}k72nS+REqtYAakVn=27C=sze<!l5Sp= zj@8ak9<`78xU90fuliL^s!iQN`P5vE+}+5{)7x-3T3g=8&N=zVxn?t<l5oV6QE+VO zKU|n1f9SnuI6>R#%C%3UEGjjx2$xz@Gvhhpo#hDS#6Qy*hez!)8m9cfyl=rOK|e6| z-m8Zl4dQMMIg0}MAw|-CjgglbJA1l+=X(6?Mor^3k;-asFk@tzn$-6Y^cW4VI>Jw4 zD1bMYSdD@DOJPlns@@t%xDHa$-GG?tvcw`s4E~N%y@N2`%RIo_&L<#~?_-+&$=|c= zNM8D04Bz-pNMO^>9m29YiRCdFvWDDY5Wi~soZ-3p56=bCV|d{<RBBCAEp=UCjwIA4 z=h@U0t&g;%1?rtj8x+Rse<<ZHFL38+NYPjY6cvY2ps2`#{P9}TjD;YX0iT&T-AC_8 z4Vd*$q=5+G64gGd^o#J-`;KG*%*vs$314(rP@+V7A5(Wpx`hM02E#4RgFeNP-5#7p z2V|FPr%;jqFsve>v(*)9hg{S-x3-!Z=i*rll*rP@f{3nyv!JH`S&_>}#3+Q{DTwz+ zm(?7<mF7x@tMTwp$|YITJ^CDPyXu2VlYPlCDYj&PMbhgX8;}mKuANSsa5xv^#>1f; zTy|r!TdJm@B4oGQ#dzInu)!QFEu^9SA><o<J;St0=Hncxj)DjbfrMP0&|EI{J`xSe zLhyM_D7HJ6lHn)mcX>+ZHI2l?gYFs;`9CB@QF7cN(}TRFmg3)i!R3SIHF#2M;gH)2 zIFOlT@>a&v6iBMNCjg3&JSK~0dvkww_6g?OeHQRP>Rc|1<kwP?wx{58=tBOpvIiB_ zjD;ZC3>DtcnaE%7lBw&66%!c_g7t)+#9fH{-P-9+RGJForHCB;Yr=m9Hq3KuSvNCI z+RNQrs+<pJaCv?dW^n44D(?P^BNT}zyF<s)&->*vjku4D`5gdKVwKm}Pbe3ojQ+ca zIiE?cNtF0<IvvPu<jbR_&*{IXmc(Mtr?JyKAWJKS#kAYYOBln{F2_EudW8%xVcF&m z?4W!O(kpv4*HGt?f#6V)smuMWr~7m4t3h{V`}9w9LrgNoRdD93p1zRh1hF9DhZA}L zojy~LMwV1gk(3saEaiB_KJ6lx4qw`#2;>SNPcZ#a#HOC<VWRVk6~5bpk9Sng@VpnU zRm}9qt!pUl5XAMefv6{|wdoh?d~T;$j;u;<#anemM&b{Iw=7|AU5{Ld@(7BwEa-uZ zKvO0#z<$dK=nA*)mZ#18T~2PVRa8!JyEml5Xed%mX<j4TxZ7oSZcr-5i4=qv2^*wI zeT4i8WnY%|_z_Y9$V;@qXD>UYktsvO%itL5vC~;l5mwB(I*<?S@SepCf%EMuPZ*ae zVdWt|g7JvK;d*~P=?aHfu*6?2)@?(0O;vTWSor5Fd1p8OCAx*JHcS>X3CRLOqR~*1 zadGgFyMy1cMBS!3493{Lx`|Lon#MSIflp>Wy4Xrv9COKMU{NfdId@O_L5QlWeDWh# zC4O+O?VpSZSaKNsC}-2pBB3VJOXS`^upK2axQlnq-#Hg?!;UU0Vp@}Fx@omOUHGH` zdV(JXgm<QAH$B+D32ND@Rak21!oZu(xN?-{z3bpX6e6}tTMn2)T2~Ixk>Y(w>}XYT z{wPhx&HtV14v^AbbAkvLmo&jt)b`vL2kYHK`$Sd8<8!jeSgtUZB`C`^`7t4MIIe1N zd}eTe2;IV6iH07T!^VsGkz<QFy}<QXWQiB4p~B`;oeD3ALv|v^!tDLcMx-pB*f-e< zdaVWg0MA%O%lAMe_H9{$tN|gz=MU^(b*f4taVKmPfbTSt?l>$DpW914S=)_En2ycg zlQfuwAIs5n>9a|P)A-aLy@QX+Q{Tza*{(b#z~KDyYJql94e5?QjW<QGE{^nLaR$L! zI|@t)IL<GGcpe${(Vlj;hgQpLS}54JqW=M}1C8lk1Fx~2ylg*L=S_Gf`Xj~MxA?V? zcVEu2khB7SF?^{frG1?Rl3N(gX0v7r0x`BSS@yW}s05ipJWWFak`LM*YAP*W0+Jsp z7B6i?0`dc(2?xh;0fh^T#gf#S`%Ml-gM6rTYEM*`ceGM=mIj`mu0Vg9VT3&crh7{9 zZJX(o=Di^;?H+WS#3q-~&=5P)frRT2OD)W}X{>gtNjaA_vB&r|!MLrFlk>aXhplao zSI(EA#&wyZAc?bfhAvr^1PU+;0LBhoyF{z@*r6Agh}g@;N@6&%`@{&Q=a1ji)AoIc zVm8;!@d<@{bl@_xPBwx<au{fgFXUDwM8vzm5MY3UidHIp>F)W(qV)w7D_B!8+ggFJ zSwl#u$vd)Hm$2u<F`Ua6VDLnMVq(r?@mNB|Gf~W&BekXh$Hq+YY9G`ov=g{pgwad9 zO^61(V12q)#T~Iv&_<CcdQTgl_03~v!@|1Ya-DM;VOxV_M0~xh;2eWrH<7C)gz~8` zuEjIThi^Z+2sAJ+r;96+viMk3R1sx~{8fYH_sowbC`GG+HsR8ydE7x#`>JyEUaj4l zn3A1irKhe4jm@E+uE;NNI1#PZMp}hjl%5(uinQ3Vb&6&V<uI)L=!PUT9)5o!cJOkq zd7Fa@MFmg-hr%$2c5I>52Twf4va#rZEnDxHn|c=|?f99ujtO$gRz_o!5aIVIs>6-} z%qIM%ar`Ir0R&8z%2+B0Tzv|M4TRK3XUURTqNfDwiAH=Oaer;shWD%mZjNgBZ7R($ zNFUx;q&-W4-`?rUFu1DTa@oW86L2RbS&kC7a+n_-ug4AVT)h+yAT})$`&p{T?w4fe z{}8ZZ@OZ&fx3S+@`ruQr`Dy&OQ7F<)MUscWVn)tl#ePhM%xz`|3r5*}XJasaY8Brh z*ZnF!j$5hj#%E@`_Mr@E3<z5hY!WP~0)GY$7nCGQv|KvX3h)O}&#K;1rA31io#8kM z4-yhcdGUJHB#ricz8*2`jA2lji0*P}9nz5dfQL#M2tKn&Tluy$p?veQ#C=MEC|K;s zF}OhZnCd49PTu@xwH@sxZ96_O4{^mGbCx1H<NKzck4qKS1|Je`!X+s_5D4Jmo46DB z;V(*=75S%>Hd_BNhjqQ?eq>D1Wz6T(O~Gem4^`E`qo^9p$E^82(5g-;wlzL5df0=V z56C8v%j5vENfo^^ISp3!o0>-u>?TX*KD?7Ra?4goi_t_uoS?CJZ!L>lF1Dc_`ho05 zI&8*%ycvF2V!ZtBTn6VN4SU#V=FRJ^M0j(9so)iEBVx6rd2-XRWO5dKPT0X4$N1Sl zB&p@}?~#XpJ^R!Tv{7$`8JQgV9&*wK1M0Dv?TDyoNgV=D#mYxeV`DFbNXX}Y`SiRP zLDqY(Fyz6d&%AGTuLDE1M$jrJ*BDGcF!RNTErm1;cV4U5EQ9O9I573w0GdBJDEaD{ zv$viRP0($`dvNNya&+%8GCS69Zc)Sh<roMoL5X**)(fUAJf=l-Ez!0YOWX5}z;Fq= zwy$I#h(}f<@k=MD!B5-Rq03^y+(fU;-@L1r(%;e72J(%~WWmy%MDkp9k)O+CddDmf z*v7WDd}hc8ZdRls@x|W?b3=ma#e>N6>79lTTNFE^mpL}nNIfGlSo5}at?FTeN%_&N z3d}X7e8%3z3Xuq*Y9gw=%Pf)xFxlneA8RtmTVLT#N}&qd7ompfL<wd`YZ_nni&AMs zOdF#w3*18Rwfp;vW-`>|zrjL2aX%b{K`d83r_+0m1o3q@<l%wBB(&ps^|&WcnfkW% zG(&sCFMBLa=+~wN2UN((n(4>~c;R4mA51Yg+RK{~4(g$dGO_W@&1b^_4vt&a;jb*K zp~*hwAd#Rq`AE9X9Gxyox)DU_%yy7K<wtDiAQO$S!yW>8Hl6HKN1ZYbjWzpq4T@-0 z{07ZlldzN>8w3tT(y)&`-3PZYLkHO4P0-~Z8g^+isxU(0-$~mH><gOWakSU^#M=<C z!{D2FVB<p~OZ4&Z@NgIc)No{s=J7htCuko`J`Xhd?5hb19(RbR>}v>y)x7y+y(|*r z50TJpi*6R?dnNrgl!?SGP#dFIL*O4ar}eD)00Z9}C&Hl;`zc5Z*GI8QVU#rX6FBvz z=c1XYn5UMY=BQ%W6d`<kZktFDxqrYEs#wBTVj}kuafq*%4Xhl1ec^kQk|F2ciD+}7 z7g!du+rR4`KT&Gqqd)33mZ7Z3ln{ZYO&HXMf08}P7qj!v%G$M#2`4ind}0*qB|uvb zsupENXL0vQTxrEHkYGZ^eN*Z0b?Y&?Q!w{RYk}+y>i*F5Q^4e1*9n}?b;e^2RVaSw zMz?nqHxeIoar<H?pzki7p~U=}AEGx(Fe5gnXUQT`@iVxrio#(o52vimc2}8RTNWS~ zuLVEK`~Vg!EO9~$5cpqGRIe>X>@w7CLUS?#GgV#8Wn^I=zn=*PtL$g^mS|)xjw3~H zN@Xq5@n&mHKRYPZiILcH1KH=U=+fWQG8f7vf7w>ekqLGJmUS6jv~wq)GDVn3f>6HF zQIXNyy~-&;!NVH<!hv0nFcwd**O<sP-W9#G5Qg6HZ$QYO();?w{)ZrXkxT<KpB9Ui zWbK1(igIrJZ@=wQi|`_vFD(w3I#V&4N}ocq+N(%xEZX>3f{W^rHGKMhI|~+6V8nfm z2;C@(1Jqu3fr|tyMSn|H$Pij8LM8IfblZv^J5uL~{fp}U=Yy+a|A!!nY@Qzw_8Qhy zXVkAUd|shgd~`f`x;AM?4-I883h3S53D}+H=CEuj+f^rDjNw1m4Ey~0qr^{~y-&kz zUP+MtnbIVJ`BYX>Ee(NOn-x=XWQKGyrODNwMPgf486XH@KkP|4pzIOfv7!^SmRsah z4`-9bp2)zW-hr^ttGG}-ZKTTAE-^8+D^m-oM@&=I%q(0PB(WG%K4?yEj&*mV)m;!I z4tq?<IY(xnwjq%!QX#F17-KetOqPE*T5QLN#H7(B!*9AEC8Whler)u=){+ZW$xO*_ zpZ^Vq12FbLmgQ-{9?Dpn$rdWwwCB}k7j|&Q7$1SL@va({(~-7S-|GcdzZ;_%U36V- z_Yher8MUUoKMAOpDC8!qOBl^H0zYa^UJSnzgl40Ixu7D7?H#f+xBOE0VM0c!UneD; zQ4Q?#L@8zu`dm=cW5~Rba`tm5>~GH~+Hj#C0S&WF^?Zn5SNv#ju?kH*tE1idh7fx? zUs*yCIbaj5E#^P!RvFtLNjt}L1{$<7P4s@n6EPO{3rPzqz5~#q5uj^vI)9c*VgUK) z7D@ZK4k6@u1}!En$3p3a74n)M;V|a?wp0N+mYQ1?amL0*d)Avx>r*G6(2_q`4h>F` z0h`5OWITs*;_2X_FzyESwbyT(<seX#IQiT=O*6a3`|zJbu2UV>w29{asoAg3%vz6b z0?#o#L)J~ZMT?-y_zY7csTK{t#;M|Rd>=T*Q$Jsf(xQ@Et%69N%<s%;^p7<I##g`k ze<~O^S1Of$?pD;-lPvc)11E5Uo4%(I0INalw&xJPB%(UC-`x#0S7Ifr`k3@tN}_Q! zAYL1X9dB?(9+oy1#-oT1YEF(t5gs_1BA%EMiY{uq6y7e4oF`_daFW$Z-@Cggs0k?_ zVM*7nq%^QAd(boN8Y}D8bP=m99@wf5h?a5+z#aA=vGEthG*0BI8H}PTqe_=i0t>tn z>fsnLG2-^;yULm~<1tEZINj?R3n2up^oihoEhF#KDAxDZwJT^s$#;%5@p=+7$<!jX z;ur(Q-(6@)kVyE+E!V0;vxY9EapmkQe3o*zS5XuA>Z>4T#c;J^{Jfv@)0QRwH2vDH zd__s!utTo=%>e70z>=D~JFW3aGXNTox`p3obU3-lf2;{Ewie&Xt2<pQudZ8Xf4s|3 z=eIP4ncC(;Nl-N!RE@V{RYiyplPN^D$Dk^(Qf|mZp1KaDbd`wgl)y5q%AKMX%|v1c zxqsVKJ@s*2V(!T`9p4gWP}&>UMihZe=z(}>JykoZ5%N9nNEY5AI2rjf2tYO7%grR) zpE9r_oU2g^N;e>%$1`ei`iM3oucHii)+%ra&vRTODMwd|rMzrA{m3FY57A}X>Ucds z#=gFtrG~tKGSb~$=!KLL62fZuniNKbql)(t+xR2>`aT9F>b8avic1KEptU-sM2@?p z*_ML19gH0NKnP;c>rpQ6H4r+7qug7{P0Du2phJl7rHG}LP<Bb?_t0q2rZu7Gn5gP1 zEm4F^Z^PMBFG}>KF@h<ln$k@U`6{ca0;?X1S3|bc(9ouBmy9o0XNRrwie~yLR-Eh3 zWQ92DRqd^=0B*Bh_7ek2hFG8m+yVNBqioj#F^1v*rVnwyOW8p2CqR-y>Ftkh2Y!m8 z?=x-R14ib>NJeEn9p$jy_o^6HyLUkhrHlTrt&W_B=wEKG8KpJpr}lbjFg!a|`$F!| zGT}*x*ljw+<n$&`um}_AEyF^Cz`Jr;UzPL~e%ogGWqF9+w2HZ)&*@6Z(FrX+^G+%| z%gnWSDK;8}no0Z;y9+SWe|j;!jPY?UAz|>KoLjRe;}4Y3lL*uFr>oX^=lmAmQ6(Gp zJ`(iH8wy5T9fx}H)M{F+hkk<hiecMGTz{GwZz;#OcA|_Ttq(o>QM^Kevr;+==-7+R z`I)m5e(9^V%(%ystk{~DTbC^9qC@!wonMp1?`q4;ML1<`2ptf*-`A^p(8e;Wq_H40 zen3>+!xTPoZoxIKFOR%b380JXd$ph+7O)7TKl3uA%dbN(?au8Ip<}TUhp+Ys&FG2b zb@<pKmz80ehX+F(+;dIdo_LKe+adTPlHKUlKu{eQ-x9gmJ0Aq90WaNmRe&pyIXDzY zCetDcMKNEfGy?LbW7V~laOi5Wc*k{XM7e}KNXzKPdcK$A+hu6bAxh62YS;zlz(`I; zV7qtIF7+U>kcbs`@@*l6BO;jW>ocX{houLRrLa3$h)n;c*P>wbjcu`a7VUAQN)xq( zhjoAWd>o&tR$_ULmTk}#(<SyH*$26`ab*rm+y%5{?F<Ou@xZ+Vnz0cW#+gs<i;U5h zKcCt(8&vL2Ye&NESrx2W#IEh_;Ot}kKg`{AR2*HuFL>NFxLbm|JHegc7Ti6!LnpX9 zH16*1gaE<a-GenA+^2cobI+W!=A3)i%$-?l{^(xabai#@y??bEimK0d{pZ<sNI0{$ zOdjm6!;NuFO*dbAR(of4GN@(`NH0^0PL+{YmRZ<oe6|Wi3LHXaJhKkzS9NQdXeDlR zs+$Xi#vKj)qR;GxKqPD$B<APYus747`~o<h4nrL3zv&>(*uEIULjZYr_)yTxGUO3n z4+b_oyYf!doH0Xxra)xz)>+YXplBH$h039I|DL#}7t6N)!Gp&;vb|$nf1c)kIfmrx z;@ZRl>Bm`T+u_9nIFj*&h$;g#*we3#E}pSA`ekySyX6FGO%ed}d3uRY%Ym$P5B7l5 zDk+(i=jPkm$fW}fHdKxnSgs1J4tDANS!-qBstYmW`jBd|JAiXfSw0PA7I*~W=+JtI zH0_4X-?tcKo+g_7E`!=6Aj@=SC1&<}!95mDVQXf@_edZxZs)e3D-+Yiij-84UCMFJ zu9N-~x4$N;VDZ*mD2c?tQh$G==M10(KRkyZez?KUOE0&Hp!~qeIYe=4LXz$bcjctF z^K(TN%s>HMWC^)7mAb(CtGxQ2Q!HWYRF{9E--ieHz_GFu<((blI>J(Q#oV7uy@eKv zxx#w+?S@LFlgn2xIS&kz<tt1Iy1fhGzVuRlHL%!nnl^EA5KTysZiV*=KXL(a5jD^@ zqC6FTdV=I=yYi^)7T@SB=L419;>OFOBS<u^NY$v6v0+kP&LGsKHWx}C<*L(PuxP4C z4fZ?zm$tuQQFGn{3%dOQ9ep#`wI6@qFzlp)f-*s=%Vqti8orYZ8z$AJ%L*jN1LYw2 zuZ7fO;mqL%Y9s|>CLDLaGFbqc!v^`~RWVMMvykPc^l}ML#-&)zRe95_YGll1pR9gD zxG88AkYw_o6}ZUQ<A|@A0YUg<{+=NGOn*lZzAvc?3CE)MAP8S;ggDm?MIF8@=IBFF zpW2`+(^OBH>34oXHx%X2k{C$P#T?sb@Ot2iNh)?{E{y2<`9<MAKLM(xFyj!B{V`np zv<gH;;o?9Ygs4dD-&Hr~tz_aLgI4MY-Czmsll?lHZ9Fo|Snk5TMd8+#+)_1}%>B`r z_mOQ#ay3@@CEOGinMrlyex$x^1)#WEZsfrdgiY?k60oNPRFuSwuAx?6epNKUlTZ24 zKt?CiAS_3^N2u`j0}2rFV>>P!d$xG;qC{BFX4lP8L(KhKcY0**^e`{tTG`tcZ4rah zgamA;t>y8bD>m==w?F;WlEOI~&XqVJNr{#6zH624rN2vv4tFqf3?D;apEP$$&=v-9 zY>{r%l6}VGojHze(Sdr##xG@oH%GTT$&WA5I^D9tn{sZ^qbPtsJn3a~gI`%Co2bh( z3*&FH=FUl(Z<gCwbS_WgAI&Axe?K{ZsMwTBNDWA70OPP-n}Q~zcI`&2{r!|kcRYdo znL60rw~oX3A2{7lvuZ%W%;BOu*0Sfe&$cNFg%TQF=-dmW+$P9;^`F(LdMXVohuCK+ zmh=LKYj9OyvEBf1H}(N^U>*Adua3A{)Cwql_?u?bN}tr<(7J(%FJkz0!F6zp%XQiX zjEf141GhTjvnwm00TWc()4+K`U-9sM!U0tCr^-C95Ac12jvvudxLOmjBDtcJVlucK zZ)eSHT}e`I<D2tbyZdJrNSTwHVVJDMA?t<eLV~~#C;)bscF|O;AyA-IJ5=i!+pm4Y zge%~rABQYdNuPTnZPRZ=5faU;3t{bf#LL`CkCjd*GvEcBpP6*U|Lye=xJpbn@iK3% z3Jcm&Lp9r0?Z6wt6k-W`w__{R)9rB`QxW@+vi25XTa0E;BDs0{Ryf;Q1|>)PXu>5K z09NS6XK}5QBTA301G)RrF%pEPW9If($6=CB{F!cu?A!?W2~jupLhiQFF(~fo>`c)0 zxi3N9TfJh{S(d*qzd?!4U1zeo1aqzQ`}d+J)_kGG+OwA{DD)GWF!FxF;Di1J{#94` zktm)6>CKEGb2~!HLwihR2ZbryP}<T89YFMvL&g%Lw(k!LdE^j5)=q2H06`3Ku!bcs zU!Yf5=nw&fdv4|r1%JV;X*%LX{!GzkY>^In8s%a%4(RBw$uM#vV<W86!%d;`(G;f0 zYJ@&FUlxLp>$xIAT5hUxs|uC*o5S!9zcq0E!O<S%(p#IJpRrwm%D78hcL58kY=C`@ zL;(anL9(K++)m1VNvN%+`%@(POyu6TOzrPI><XVt!s;P`8t!gSp)%E7edg#Kii<Um z+ZuB=Mf!?+wq&c^SbY{oh;zD<pO1FtsmGjiPBSaw_X%_G5fgX*hQ}qS=u60><1pr3 ztPTXWb`}v=9s(#@Lo6*f7}B8)kk%;-K=|GWBs}r~RgkZ#?;MK!fL;CagHzOmn}TZ? znS9P4trCO&+Tc!KDm6gibiBM^S|*-%1Ivv*&HD0sk+^Ey33CEWBVTV>$w4cFiU2?K zG1{q>@0F{2=|!hue_M%MBHn$>mw{g_6@staocQ)!FvZSXE1vO)j=Y%#05tjwbYI0n z)X5j#DCc~I7>-GLc4yMFnMoViF<!9gtB-<eCO<&o4D?{+aY7dz=(t<h_J-7nvy>W| zLTamamM&3ytO$%^)-Y7eepT=+*xGSc)=>xwiT`aW;1HmPw71LQ?Fc_)?CzT|--(%d zr(GpodPLJGD8_PJa<m8mQ0A?e!sg4?l%y^nxYS@s*Il_zzA;7;P0Hr9{i`-DArO|M zrxR?tKguSJ_GYXD8-}ru9DGu{;PmxHSNjAgQZ(qgB17HmjTtUwM@^HG(hutUBtEl1 zF6OKr4Lws=pAj+_Rp2|fRI$|VH2rBac9c$^UhBHHVUzu{tDI9MK)P6ciVIq?k1i(2 zkM_z{mQIx(VZQQw!tM0(bMXGKvwV84em0Dhn%jxEKzTkK5DhA*udmDbZ(AL?XUN6` zqfaS4RJ;{TtR53cmP|qD^zSW(ryaUl*`NrgTI~9bfS2CdK`V8C#kbnh75i$?Z$+_T z&IY7N#EN`j`SSS<fR5LiSo_jUO~#M!`l(m}V`YfZPLbE0oAc+Rc?2^;?I*f>h_}7Z zvw!*zax4%hhUb5-*;b|3QIm>qunD0k(KIc5b7^X;DsC|WY76f67UaWs?h>Xlsz9@2 z7bX)d{MJkncYf^qMDHkI>nr?F`-?<n%G}o1T@5%@l+GDa3+PfIw72`BptAGS`S|_o zx-H~dmmV$z-+_O6r;^A5RG5!HD3YcjTkN-*_O|cMk)pQt6Lmi>u5gr{MM$ZRg;-hb zeJJ}Pe}8#|<t3GfUS<0U8TC`Rb+orhk;Rnja2TDYOg>4oHsqFZBn|{=zBZ($L|yrZ zxQ-7M>WV_(^R%=f*TJtq3R=}seut;kgki|mF4EX{w=^l*w?mh&+%hJ<b_h1Og=f}; z@C-BQ*B_LTGIB%y<t0=nCS!cs!KwcfXT@gJT-^tg0Wqhq1*)CbL<8O^X}uin*Gm}A zW5phs7rXtqPj=HhcO^#m@}WW6vjxy6nQ)zfl-;pS3-DomJpre`E8(K8lx(!C9Qva6 zlkK-N4f|nsFYTv9#)8l$#bGhv0Q5#f>9Pg38moFe!j`B!E~Ma?P-I;HYJ(?0`NTDW zt5st-V#f@Y!-0{e0_|5{N@W+Hj@un0D4am0z8pL2&~o`xq(1hfjfO95hR&C6QzkP8 zY9H>ydVq$UJuC0zm4<(8K50_DP;UBZ0gb-=FLwjkk?-UCybWyV6>A^W1z4!fONFa+ zhpMXMK*$v8?bA1WyxA4SKR}OkT{B<uETUQ!W`O!t`_1WJEDrhK_zhpUysninTiPV# z$?sBo@UuVftNkuJ$MgSklZ4afE4%zL_76&iaz7v?OI4*-4*_ez8NHB)so>G^Q+OnS z!(_JL_iJ@cq?UX6#ES4agDHta$86>DFxmP1k1qTZL*{hMvI(*(J>vEkAg1ry1apDD zAN8~9AkllJjhv$Oq_6X$2KTaZaJS7mQ2{uMWJPIhv!s^c!$9A^4{nIn(uu1q)(K5Z zj5Gj!FQagp!-y~8G~OVM(h%7Mj0|s_k1KsR)0S7=f8QQyq;Y#um(T=gRHX#Ni0~h{ zK!F4{D&1?S1P=_L66FcqBLk<l=kc3<$=%0trv)<Z!~Ly}uW9?1i(1f(AzZ83neMEn z*yY1oCBbH^P9&*6wwFTL;nKDdE;kc4C+Gm_K|Q0Zn#(RW5T%{Kb)L}xd=D+W#h1J2 zhK6{QT$2#sr)vAplF4`Jn-U_PA0$4E4O<C<51EH$1HWC(+dbR{*{35$3%Le)oR2!@ zmbX4lvOKJs+=3b`5j0);G++D_O)j`KOz3sj0RuB`(Bq5&^C|P~w-%8hM~60Zu(kj$ z@%|61-ogrpw1FB6D?y0$3-L;rWtGM-^FginR_2Tv=KN_$2-`yI0Ke?c3w9TDQ)`Vp z5IepTL4}z9Z%VzyBU@A>T_GzB)){8F0Bc5NHauvl4DD2$$rR_7X=)w&SAN16_~4C? zQuNo<0;h(j*tA~rHIhuprHTT$BMpGJtMA83yTZMx5n)Y19f5F9rLzoZ{CrC!S<iFF ztAVW~vm6GS)xwNwGE3SkvhxGz=e?tLM3JU5pO$MgI777hE^9s5o?|yWJ^eHsnD<x= zfRp{wwx0txLw383=l({%Jq)6Y`1*V6_<E*K62^{}phq77m0qn?@D(f3_(t^;MP#_1 zJ@`J?u^->@_&>*MT5<|ouNhoRN3ZsH3U+$aoIUs^U)=?6S_?d!o(S?W7>0%}QsWOn ziCoJx_8hk4S6L-_I^gXv)H!STIV*;aZk+nWyIC(ej{+4GfEfgkp8$Oo8PD&h&&#X= zVd=B;Ihxm8kvXnEV0N>mBhDmpzTV#vv2P}@<lkzBOzI7)M%#vMuNv$jM6!2x@Eu2Y zL1fYh+e179&tl*UMtvRkGJal}m6%_DADx(dEFcF7Ogia?p!5Fb6YLV0oD6B{nvE3o z_ww7<hq*Z{{w;?~43NqYvoLH%aC78Ew@G)(C0!kjUi8?_=x`cFQxu)RvHpw&-)2#G z1e7`{;fHus#^2vR+u1oQhS|c9{^CN(foN2Hh=8rQqg*~ER-_81^cQX+6vb4_f5<6z zS13@1czsw^voYi0jhS2y+00hGTDvlbuKiTB-!?VM{<x5&3mEm|U1xv3O(qNuGc%+M zLTss-(*^w2utAst-$87hwOUw&f77(laI_MT8&3oujLdIV#d@Kz{+16DSvmI+Fu>iZ zQYH%tQkJheJ{vcNR{m1PS6riOLov%k&*e*dGL}$e(S*{Tu8Pcx@}WSrFSPeUN?!5a zVEcz(Ij2AbpcM%<#!@dB4nhT6ckhuNsj7fj2DNeK(Z@-s>O(Ycn-dOULJ5uYhFHQF z2}9#g_fwDoj_w;dwl1KCJ6$pFhU%+T=~Gn26a;yM7=yeL9TP))Rt8;T!OQQN-zE@F z9s?KUVu>e=8NzJ3R;us`pOH}^DCs$)NWsTxE^HW?00F^p*ziVqkdo@isJnw2Rxsot zVgmL3)BIJ6J61B^PpSBfG_1Ud?I)4!S)4dCejtIQ-=8yuh^>CZ9yiG|rrV)!Tw>$I zAdeAi=%3<Nw|WZCTb^N%_C{eE+U3x-nn}#VT`@4RiaDx4QcMr(|I9To_2(o7TigYu zM%M~J(MM!z_4i@`Kb`9Um3gTodcPM_tE@k!z?fo(mg_6A;<$T$^Da=-zfTTf#e}Q= z&#lTwNqR{K`Jy4*GEl0`>>ka-#TilvRqL6JY_4HB<IVSa^>qUje<td0$dR*$yq7c@ z-82RaMdGIqjlNt@%1dv{7ruXEwmIfV7v+}#4I{>G_en^vAKSZ#40+|HS#K)+5{A`) z8@VjLp>S*pS$?z%eiG(bKc}58s45aS{#>EqXF(F^p$)ca`nip;loyULJTf4`&Nzrb z9>@hliRK4p;7~=Ntsl4gOj{!#)0d+X>SJX!rxMIOz!!y4PaBUh2-Afr(ch+O3@n-f zXp&br2nTpZlrHmFzvP@4one~87f8pG_$Sf;Gv(YTVmpXN(Aq+$o3pr|<HPC$rwc~V zpr}AU8+ev`mM(8eDt(BTjr|W7%tGrQF3sRAzDX?i9IBYZ3wv^c7XYtp0)Ubcy@^Fk zF3=qXckpmgPM_Gs_xCgl2aOe`1sc$@7rpJYBEt`}SJ#m?pM}N=6mRNSz6ji;hgy0@ zBUh#(FergHC7>d3=E4xi&}2ZtSbw~UuEpsxgCIhw8C5m^>Pp;K;jsiW$1?Rpv!0=U zmdq7Hz0u&|Vz=jX=?DrELK6KW7jyTJ(JvDmBhh1wi#9Y0PZHHXetTGpGpm3o-qOfc zyusx|_spFA?az>^VXEe=3`)kwhQQG2s#EjZ4g($ly2=s185oj&j&*HNmvT{Jd6R zi$sB<_9{N+Q0`h??XilQ72$>d<jHam`Gl}W!`|)te1v_+ThYcB{&wlID*-v|=`QVe z51iJBQA1XMS!0b!8kL{p(J=z#PDA)n$x=$FU_+1fNdEoHZmmE!%vx4H2>Y6s&?%^7 zUgG7IYh&~#+s3#v@W&9>O%B&P6)5R<|F$@qFE7@{Xi1^|wzJ=t716g95yK8E1KkWW z%!!Z`^4oJXKj{pv<b}OAt$kW~73fO6X3art(#wiJ;Z2^~JRHz%)sYL3D$8G^JW>!? zHij|F#*Ye|O42eDGTe;v5+ULd;-|3>m(^f0)k*m&Yd)HSe5e9-+1M&}hoV_b#l<jf zU?COox!L$?ij+D2!k^jU6cWh0{@FQWK#lS`ud`rtPMgFA`Y7!9>pd4&u!Xg4qbQ;- z*|nw%%mb71(s%#`@^5s&;r`2U#pR*yUHgSMn;DA#@+D4`t1_t-^EYO=5SKnUUhs91 z#VX<UTZZhOefJ(H#~px6VTpC|O#wlOEB-oL#{ih{@6Wz@mQbkJqAWPliX8+9^8WJ> zy&U-tIKZaNVKyoZ_XgGbnO}TJI_U+83O_L?CaE$d18BuAKa{@$STi|!D$(-4xN(Ub zB#<*g4)F6yCjjQg)9dRD(t;Ky;DU?%`8pleqN+Eey@5dIbC@OSTxsiC#0c#v>LoEw zcsX7Cz(4FgT;5-167ggwOl5Xr3Q$ftvFyd4?0-i#;_G6gnOl`uTM@PIX&m6C0S*`7 z4)j&KnLMYj(brV~o$A*8j1AlyHFW1CEt4*;5~7jF0>IPKAvlWq%f$n}f#x8@@IK7E za;+=~+`+Rdmgc&w?>-wHk#d($w^Fm+nxrrtNYZ@RB&7zo?77^Y$E6ZP%-Pt<2(zjJ z3_Z8DbY^_|6P1!yP=Y$$DfuJcLWN9;z!%0xlvk7BMwuT1s;ubB-P}`=Qu12zirh6f ziF1pzt)0MpLY)V$0Q#)zXADVsjo|sO)2ad$72wtVNqp<R{n^wO{ju$~;f7)5xD{>u z<OIb4=5w_r)n|+(jGa*kUi8WJ!|yUOy=an-qRxev8(rNm*_9xNxsD17h~eYhUSpqM zXA_l`m1=2#lJfdi<%nh%jy!cBdXd!=JGz`(iyIOZRMBq(W3Yd2XOR>D$4IMjk_65b zu(#j*42~brNI)(soq)uWn^r<B){aoRy~>?iP`Z-NO<GC>X9C2HN2yMIhb@XIdQ;5B zW;Wx}VmED#2;18Q<NqB-{{#R3m;Dbu+YxN54_WMjRecsYuz^ggq1U9Kh2zJ@672Ki z-xXc3;4W6t_HIz;XVkPUxg>x;0<7yhx!nAtyXP~Mw*8Csw}>qI2iIpwG5J$8)SvcF zsna_^ZlcJ&v&~Fj7VQn_>6cy4B&IeP^})hB9oYZ>XWb@rQU(!gQA{Z&2Suefj08DA zAUpSt^r^%zk_9~iGW{IjaRVd;z@cPQhB5f**MGHTMCag*__r<l$Nm3DPw!X#uk~~= zp1ySGUp8>-MYoAa@IPbYL%_8AL6AZsm<tC+HbPP$xD*`Rr-Rp;sP}^y;8M`3?(zio zuW6tpz^?i!%>}W)TMqZa2IG1-#I#)<HW^E>rc1wSR3~=Za`UnaS(zx9Af5sB@nx;) zl&L+J&SmmO@Y^d8*8Wxn<Jd|XpUM{{tQ^3-^^VkAYww<Xo|{|x<xkg`j#>ovxEs@v z-;)y>)6xGSvH|ZsY|{?**;UHH9J^>Efqb$@E_zWTVPR1nwuDO__-5{l(Pf_Ww;wys z&cYW{Kl-qT`BFlJ9#itc+H`1xU+#h0B*DI4HT`v>Y>7Z#MTe(pakomOrV>{Pd` zdQ=62MgPH6wgGeBc<<J|2W>w9EOx(R^x*CUrwiET&w=~1=6I!3J?Pk*{b$5`^XI|w z5aj6$DfM1uVbvSTj7`u6BSi9Gbe)NE#hbDJw|vuDr@Fmj(uy$4KVW-=<NA2+zrXJt z)u+#|;0aO{*edFz-P<X0k9@Da(ed|y0w1RLL4I%HyBy7_H2^=YKnptz&Qvh_m(IUS zh05z$)&&l6@cGw>5q+53(CtiOIb8u`@nPD6`*0I8i7kQPi!#6g6s?T%6>B^HsPP2v zO8xtLB*4}`5lgVt)q6Zbu)E89TbmBRj=yMGGdM*6>7TX*STVmt^6z;Xo^&!`82(?o z)Hg6R?RcST&%)A#E4SP^H}Ovv!qt0dMaaK`A41;?V`V&Al^xus)lvDXU~s*vXS~z& z|9RK2TRph<6~L6?cKN5vz&d+VqVgCSpu7v0Wl#JmW?Vk`oWCzTzum8Qgx6BN@)8zY zj$o!Ax+Hqq=0BuHz_zbQfXBi848+-4`Akfv+XWW6Te#m{Y<f%e%!B*iu_K5BtuDUc zBoLN)rz=%kut>559?v&Bxc8xuyQY3`L_p87@_QWs-V5Qs8lOS%`1}(Juq~1y`W^uA z0UU<{t^|H?8VBJ>)YHoyK-NZt!~-+Hm3$?tsBt%Tg2TLN<(EoY?!rvFjW17zKFuc0 z#`MULeBQio-M=Seknpf*od0qvUj%}dI+OMR0Mf~InE}fyRh4|6AMg7*%Fk2nf8q%K zrM??E3jd!$6YpsR5@pO}EU?ph?{xznh%Wo2zkB-c*#m5|0URkawj_IX>Yv$(SR}!L z3|zv7D6;ilUUW0x1A!oiBp?VJ3-Ug8;Lp+hX9yDq0?`5M;PU#v`2Ftxq+|sB8LvI~ zV*#EA1Xv`iEW?WgJLkOJCOiJEu-+$!hP?M&1f$>#p_<f7aF#{TAJl(K;vkl1yHwRj zXK5mpJN>6<{!K{d#FG={#9{&0IRTkjLq9q=h61lh20BLD22jvBC!82NK?eKZx&NU5 zOs%Vw?=AbFO;fYXtA6vmI@xkN1A=T$JeK|cRmB_pEPJaoQtvYs<3VHF{|fg1U%39Z z|6jxY|G#(rrT@+K_x_?NV%Mx(m^5A!H^$v9#{KnGuHK7EeC9h9;PmOQ7H<r|Fc|&x z$^ErG@1dzNLg0NCGJK7AFP5zCGo(cFaIg_QR&(~O;nP4|6emS8_ZCs#ex~RtJWW(8 zxN@+n1vw2y`ac_H)FmyLk_HOgm1<U8e3!Zce-r7!u7F*CFYK+gf_73Z(f4m2BrPZ3 zN@tur?UCa)ZY|8+HX>2F!ZAJC{+62j?iW9tA3wn{5K;!<R1I&&y>WH&)rcZ@z(4b_ z;daqa;-Z-UoD@-)^z9B0JpVKmtQ*FS;mV8NE~t#~u*BIR7mXA^STceg5p`x(hxJg- zt+1jP-_AMR1HTw>j7LK-4f2u%BkZ)@d$vg4ydCX(Y{vU1N37Ycf@kd%N^k_gDJM8{ zMOzv09;;KO7QS1s?ZxzRNlAkK9{~UV4Zr`R&}sDMGcTbM+<)))--G>r02uIRmO7}B z>YAP1US=rb+m-#s$tR;U@$@ZW`y=1U%_c3NC})-@Feg0lsciO)m>!^pqEgXQ()fRe z@9+HmANc;s&CQ+mnvDL_r$1*u0f`&qDOVln4SU!7!daUWWHZM{eVq1%O@>2kukl@( zX2k}>vOizA-<*7B$Ug1%m<4PQ9I$Ln0xfm%h)EV>lDcj5At<Gfp}gI@m{~WmKde`4 zSKtW7oQzi@|E9^*-?Fu~$SNpMVJC=E>LvpJi?c+!&*}WaEG|2n3{`Kx4nRg(zZBRN z%EZsJpaRbjfZLx*`s*`x5xOQ}`6GevjJ8&@1UiRU>=g8m@+x?6k{$V!nw6ihwvC&O z>Vn#iNMm-nl{)|aU+gHGM2-$t8&M3qxl*4bAz{b@F?6^u>kNO>#tD-H)=P}k1+LeB zl$_zXn1`!eJ=79@5E;ZAF$2V~9(Odju;tMl;Bk7<;b*OS5c|?M9DK@eFyr*(A~uas zfe!2|*hV)opNmnXOR8K<|8uJAs%KlF7HnDXB4Ku)d0Xt0FkFP2h|=3J|4}?=iaPBz ze(I-7k+xI_$6s+bj?A8ysu$IlEX<+@3z;`>Hd&kPsQaMeH&16LUT*-^iV>D-Jt7y8 zVAJr*I`o7!seW#=pJ18<0#A?9Q_xr5U@rH{0%S7LM=zbeRd`+1LO>4{*bqq>&VCLG zt5vw&=*h<2?QiVBr1Ej3mRQ|3pWdtrOrhq7T4Ylv86Sup`Gw!l+2J)cXJ%`7k=nW7 zHnbpMd#&cxpy)4BybNeck#8IjR`e%CHTwpy;P4?tr9SJcMB`UYX|{S>xL;Dk%p%K} zP^IY~h^!&Lo*zO85Qi7a+GVTg$@XiHtQh|s`D*8w)?9JU8QO7@(>Y8$7#WLUC{TLr zl5~sNve-=d`_6E%(f=*?tJ@GKRZtE|47j6>Q}dVG_$6*fQ2~HyBE<jB?|-b3{(r#t zU-nNCp#3yh)9Y5O0oHaCF~nksJ*#etl%Ct(vPd#UxvlQ*#mccfMSpf~h+_pjC2<Bk zqnBWIMvp}~Iwk03zVYIJI<TyTmEkCnWPTj1nTfnoSrN%7WWp??L#jz_KqDxw158b! z7I-ytQ4^ZsJTtf{v>3-sdnd?;==haip!qIZr?!SzY7*w$CJx6fZ4_<_92sU`Q;Qq3 zrpbQ<;z@Ufrvoeh0vk4#G3x;KvTp~k;g>RJWqFqDsFh>zAO0@t14a!52xH*<hJ;0g zf=T6a=xRc<hgWk-v|gO5D%m9IaXsA|R#e=<e;oSkiq43a3*lERXU)w0r8$LLWZ+zS zI^ibNi*;~399C!;z04ziYmcpb#z&tM{8C98!0?GullLU6OLnddd2$HwHk`%1Go3u0 z6k8sq_gCehU|HIlgtkBTw5aLY?Ai3M_dST~1hMMV)weXrdgu8?uSJSmb-Y#VNW(hk z0>fsQ#qrx_e)#bL!(A9H&t-}wW$zlVDUcL$j6WZiuRmW~Yv#v54&CcGeInPPrGu9o zkH@cPoH3IEIW1$<FIRs6&x3C396K7J%ItTbanJ<8RrM#$_C?S<s2coGymDg&6w~(M z7{G%SiAY~YQ?mQV%5mmtnd{{DxBd@#o(h$krauTFCwOG_k*ydp81ak<wg{l#XpD`} z_<qm{TtNt`0J7)ys$;mIpayx_Ey_TxWiXVlK&Bp?{k=5-O%;H`J0Ty9`*b<^={<6w z))dz-drma7y%@8i#->bo{NZKvto+`CsctfnzepH-7nXDWbF}_5LF4_}2?6veWY+2k zk2wRtWBhQrlsFSH1l>0&7=Hw7GTgs;_KJ_1RvQyQzYp<zXf1T7%Y`2f?v`g_EHmN- zdPJNIttt^rw5g^?dVhnK4*FMp`4bm~3u3IsQ&}`pkW0fs>Mw3B2Zr={KNolI>%;g1 z^*1lwSeiGIR1zVMgO~@ws)NL)C2{}=e3b<h0lHQ?y6kyol`w|PZD{n*<C+Ri2=x2j zka_Wbv6l+u{|4#*f5GSn{O=(B|8t}N0_p%$$+5IgZ1|*(Qu_9!XEHch$Wjq8Ue@*1 zkHb%en(gkd8Gu?@zQ^~e*@a>c{ou=E6;MRwH15mmLOIkl(kDs=5~ee<nTr0?j)(sW z=^r2XA4dP^rBM>vB=d|hAOUS+hA`%uE4jNyse1nQNG8V#rmZY{sBxkyT->&lV4uIG zxXao`1>JU9(8_8MfYkKuq4BVzamq%paxGD+SQ8r6-cTdG)H<13kZVegDF=0bH&ROo z(Rvpq7)^cOk*_0%36P;*qR4*$I!ltOxJ4E_l}aXr8Fb~ud((rPMx#t{u&x2b=Oc(~ z2TL92<}FfA37g#^&WlECZI=`{afl(_2m8;pN+8bVlom?>sKgM}X{Ndw6cCA72fK$R zzJnDlxnES}S-vR;V!O$ulC&xUR_X%wELr*L;U;>Vy-KnNu?*R*=Ywwjp3kO2I0Xf+ zW^)4YZe2*~X(CgYDfoczADXm3tw=4)_!{<L`FN_z=HP#ds3!l7_8EI8&HIz@cSlg9 zsv$;}W0Ws7z(n1y3e7rC;&*B7XkChX@L?pcJaN`7<#%UWbKW3|TyYpBhbmm@YVjcP z6Ebza;4jbt0~W4dBs>Fk&Z^h&h6v=ihC<CfmEEKMiemQK4(|1qL8sIGjrmJwA}<*v z6DXAlXTg+*Pt<CQX#Fh#?uHk2EJAF>LvM(2t#%Gp1`wzVUO+#O7B$l?xRD2Xn=@Y% zsif?X;6)9&#VbNZ*+tOU8CT{mX1z&H(>BjD0Qc$?<c=hd>uvEVEak(9@UEZ5O`6p2 ze_8!e_fb!2f|7U3%!%9OrYITKO#wNhT-}a9+n?E8ew8wY*U|^3W`PCU6<VbNvmzn_ zq=298#NiS2Qp+)wfoAI#D!%4BB(Pq_r>_Pzn`9@abdcoy9AB<Gp4NB8<JBzcd|q1# zS$DjU)BY%PBk_A1+=>QbJBW&3;#_Ha*d^ZbWk~e$Y!nh&CI(&HQ}2P#HY!S!#=Dj! zLyHce1Jaa^O9ux$*7#Uj24a~K|DmM+nF2r*IMvq+>v3@k&juOlEd-bD4qHR5oY+yO zrU}0?Fh0u*C-RV693D*iL)Lk_6ePvehyY{Wq#*m&IzP>U`VsHd%L~pCVc9o9(Mnj} z*jiNh$RF;x#u=2ju^*7|spcvc%XnL|1#F9`^tBZzC>`h=`$68ALY)dW*X9quY5}-I zgl^oQlu+mX)COwE6<kwC8bS5X(waX!JCwh%<z!CL*2Av{<T=?53hml1oJjC!O)26R z(+>(gssO29E40VvJdI>%*02ow?*9I|X<cNsJ?W{x&++xgou5qD6<0rf6U9rp`Q>C_ z)HdDpTHTz@dtW{MD79z>@$-oFa}{8h{c|9%^3zKkDiX8cQ*Px)8WFRJDdkUOU+Q5p zClS($&;Uxz-U$9%$tfg5YUqGsk&?lKf>(%Ylk;G%C>+Lk<UPS+O6dk)$yci~9P{#% zK*?z#Um>INI2>m^0@J+^8_aekddsPR#4${2_F0iC8Ph3=Gx=8H^TG5mVQoMv!W$+l zX6LWX*D)#Sz%8}%Wc4%E=4Ho^vvM(PyM!)>9xchl=QWl^xm3y8<PB<XHxPH1zlejh z66L9y1Nd0Oi_Dnf5mMTd`~<4OXR;8CUjB5bjJ!%reEym5ZSN)zM<B8#QG_~)!bzB! z{l<!cI}%ciQG;tseuclK4pIY9^YZ!jno`s7Rz>ep^KOen7D(co3W7#g8?Ql~x4&$r zigdjlvAzWrBj@Q${aWKEE`Xs9#+8bKPM-UL=2t6+f#z}%(V%tc&B)Oi7gV>$D8n{$ z`%rp=MUQv~K4lCW`HQ*on1QJq3i4Nu13BB*0=0(yhf)>A1|b(nemM?6+rHHj<J)wZ zj%3pcJZjgWTavD`(1J1p_(z&u=}r9bsD6^Ha!hTS_NB>hhw8^Btc1nMf|Wi~Q+^^+ z4P!vp@dz@e@!#m#hwT*k$NX@rSE*7wCXkQlbs!T;RZ`7ItV%(oUnGW{Emb)`RBl{J z3U^d<#x0EUeSdBUIoSXtb`ny4%oBk$=-}iQoP5gS1LlcVGzod6_|;fD!%4Z><KhzM z8rTo+SLk8;w77u*$qH+S2n?_sL|fe7X<)^lNX|p;l=UP_ON@5J_doxjf6z9T={aoH z@jLl#gv4d0Mz>T>|8wXl;(2Gd(CF42@{-z_1~2BWpi>tACA=75)SOIgI)DZUqH3%9 z;|UOAfbH8uEi$5AF`$Fx049?#Z*yoqxN&{QevWlu1RyOSm}6DarJ^dK<y@p%bI_%t zXR=bjI0{n2QUR<r!{kr5HF``lGa!l26IC@#JjWUbP?=+5y&p?(j|YN_!ZM@Bu`nSi zj;339(x+27;Nk#xY{xzI2#%2IQW!yZ$br`FWkij8%5%<3DNC4@mclEz)(<h}Ev9u( zm^M>}5y%x+Wc^m)3*h|jk2$@>@45Od??YcUIgf57Z5A7!`O%f8G{0nK!~S)xaJ42T zL;89oW*~$cj-XoxJCTgN7E`zyM4KCyjIGDj+L4^(ZTkhFrFw(C=55t~StV4Uk&@w= zqDqdK!ft@}Amjg#M>^PY8;7nf3>7<`Nlx6Wiia&vcayf^>MLRIhe~2RaOrl+?>5OR z%8?~c=eDBt4Id&0VL7*TQa5sUFBIyYj9bj2Y>E<UdDw`d?-?tqpJWiv!+hlT)=~fE zy5X2)09c^bIKd{|Q@jvI@<PT6*16cClvT>Nv!m;8PB0zg{T#Z|n8s`1^l@EIX^pP9 zq!yN4AUUL=?@G?NvrVKaKstHTlkQUSjuwEw>73{6kTv6Oc^e+4N3x_YHng;aeXg2; zxQheKN3Vu6m?|2HiYHnmx&H$Q?s_ItVQ+{G?$`#vgi1brOOjPl_J5#mKOo7>Cdt&| ziL5Zpxs#^|8tg9EU5Q77X(LNH0fLapep@UI9|~^OX5S!jIod9%g~3H8Q=^}bGeQ=M z*DeJWqF{@6Hc5F_hWIHxoXv~o@8htg`8uV7T%;cYDK;mB1VEN{uxmOr`bcFA<Pwj# zmLCB_+iLeYg6+R(4n-QZx$==Sz8;HB&NSG3M&L2kslv0nLKKi3!=||6n&+eB$$54( zzuKPq#yWT1_=`l?nu@&F7h{8a<`DnN4aGo|Q~ypl8KBbAQ<epPv~W0k+s(InM(U?6 zq!Aj;{W#}36!$Gwcs!^X>TH?@D5C)@c18vGGB!13OX}f#*F)288}(Cj7>%R@w!VQJ z_YKK{_D!Xy4FB#Zw8hsmNZRf;%sJ<EVU1?Vr$6N*quoIl{F9gW7p2d_cx$UGhrl7G z5;CrRO?ZgHjKWIp2*H<wV$&ubs!aIaz^7orUIzZyX81+BjyfTkP2c0Ba~QG}W+DN= z?Ona%pvhN>f#P1T3&evlhOWU?0QYPS-HshpAs>AAZ81p5V3R5D+HYob_!<8^Z}iX& zO566kw5Q~`%3ZbXL|`$|gxc(Fl|a8hR!NBd@$`fmBO9c*=0xC^rDJEEW?q7u+74Zq z6Qt%qrXg{^bLi|T^qTS%E1(1b93l@u&cU;pWihyI4XBcwB6`-9;Ds^JEGZCLj|pL8 zYzf=iLPXwzF{7~;gF~$GhPy@pAMvN8oj)iN!qU0O;;nF(!|)c@OvcA!Ww>6d)@ibu zUeYMPWx=k4mU1bZa`yn~KXdSFpT$&8^^ownZQ1t6@Yk)#IOKn_pC(ybH-`sQXd|I& zbcmr>1ak4-c%}QS;fF;4o6yi0OgtRhsL#-fcV&{L92e(%?va`XPmFS1RvhMLhYu6b zCbfNHG_Gz>S$l$XR;`2op2_t1@&(Dgn2hwoCtIbx_Ss>TM;lGwu(wuyw%027cz5X) zJ@;GU>x@jOd%LPOE%pkw9j!V*>hBW@N&m&HTbKq8`>}Is^flca*OuIUU`keBXAN9Q zGSi&!R11d${T%ycB6e@AH&B!!G<fcwK$l2=fp4nCF=O*uyYyIfi12*3z}Osl`Nnm} zE-3ocy<|GJJvvv_oG3Yo;BO4)NYs$Ou3lgaheo@j4&9pljb<%c@|RD5l^3!yRX2t_ zPR(5JoG+j7>Ew$1&&RgqKWQQXk*`WW-dEx?h!%ms&s4zAdW-OrOTYVS#1)QHJ-R=q zV$z@`q|y(Q-C#-=IWklPMR<72R^TTOB{Lc(h=AzzZ>6w8(|RZ75P*=bcX|WSQn?UE z>dQ(?H)eCvQMGEgK7eC>K4|B5;ar<VuFCd!OnSs_whrbemGkz*H)6vrRt%^jdZ%y= zWezc#ZQf#~<=A#5S{gkas)`A#HmMmPO7vecx9H!1hm`J*!Cys$&+eY2ouj*j4;OWr zCcUlx1XxbAb&|%Y<lH^~{X@$~{4tcKRZ32!+Q^_cR*2>9AOxV>%{y{)<GkMQztSr= zI2O{CxD5#RR+PhoI+{D{X?P?9Hr&yf85Z3|dY~Wuecfi-vwGyHplw7QYsQ5f#|W>D zFv#;B7>4{HEav^paNwM0mp8e-Gi&G7b?=%n;UKw!uSws^zZK$>mz21}^;MnLO~@~` z_#4^z?3b(KP;>yMO`2rEILnjWUL}$JPrcHcTTGHgMPP$RX%BvZrX$__x2mvt^(JKC zV$RmwB2UBh%QbW6Bk~|rL;eBJ9&4nq9jU+-(Q~PflX2DYgI{~6(&no_Pgi&BVINcI zdAh-DMJQ5)Jx|yoj*qXlx?}C5d!W~J!vtL-u`KRrnK58yu3WH}N`aJS(7sN7C^i~m zz(eckbjS3ujc!B)a8@p_c;SUgS@*{zsq$RP%USUdS!T*b`FJnibc^X~)8fe4`>De9 z&xfjXDO9pZY3E)QENU(ncpZ`@j$g&8%EC(LGZjzQr_VhG_^wOHI^cl3u|S!uQj>9d zO2}B;MSOs9V@ox5oa0Jyvy_DzP!=Uk^+@`mV9;ps%Hv#u<pVQUwSTuNIS1!yIM%e3 zl5uZ#fqWKUa4PCJP%FFGt*lG)n};>D8%CqEjw5B)L$gJ`ILU-mI|0krbfz<lmZPsx zL8=m=^OYtD`v>;xX6(l3LHO&sg?Rk;rcn->d_e%!_4-CixfXI~Bsjfa#Q~Y?-lzy> zl$OSC=pLijyU)0Xo*4#A2k2K7M~aY%AP1>gNk@!<HKk&Et7{Z*ez?GuuKSWGW)RGk zxqjc#!S$<gL?jcXTLG2aqdDCEojsl?ZGiUgvk<hG(x;!rYnJIZz}S|f@snFWT+I+B zX?cLi;}-p5HQnUgQSm5Xt_hi6_9sQ6#bRX``_BMwLXU*fRv#?qgsH;dXtm<R--<<~ zG;D^<kD^vq0^uIvI8IWAnWnQ@g}&kQ2Ol}Z{FKB-+A<~9tF6#jtStG$+h|QX9M8~K z?h~$p%k-yYKiX5EE$=A>)Z|7(eth^d82=p*najQ#qxVN`UYLCT^UE=*>IS?c%ny8B zvMuTSC0|mgRSjo@a!YG-^t}lN$O$oCHb{c<;5DiW%d$3jJ!n*vR(SHiaszf7=9k<* ze~fFcKpuHN<nx}$Sr^8DBg~KYpjgc0zK4G)<wTXRRz?i3YED?<c$y{+6QjzM<;wa6 zSnDatKOtGya~J7=M81eoJu@k<2%Rqgdu%`Jj`VUch#%+sd+gOjM4^dT;Dso@x&lR| z{X@#;4}1S^#O==3JGErW8X`b&q7k;B7}DN3E}tqHvKlh`8cS+WNKbJ5Zgvpm5)CqW zf`0^kU9C;)+xmkEy-IO7Lb$spRu_5=SieqeRMRGDr;{e*QbCGGP9;@dMV1i2=bH5i zpwCk;z-hz`Hd?8*Zj1`yul&f<iZ8dl5C6<zpjr7bX(YsXz0}3XH8Xi(Zk!UnS#_eZ zI62s~9TB^z+CQ0@l>38zUvUk53Zd-TCA+th_7JzK<4J_f1%A9HCStR0;DW&&U}$4k zr|}p|HAu&s!!LE+;Dx!<=u69Yi}Rm+0k$d`*le+_QnfeEdGmkUFRkesRVGCUC^Wjh zp?*Duz$R^Z$|jx^vSUlRNx@rz*i2azBPvMwZcK#4+^F)E0~wL`hQfmr4#5>AW@R$r zCu$odrCTNRaj7OKf)&kNA%&lE2XLKs;NYFLA^Q}x&js^KdwuTIG=0rD=fsonrC)}1 z92of;aQEj*Wl8L}z@Z0h%2%1C!NxcNN*3&VWm!VnlL>CmB>qM$!NT#}!m}yS3k-sQ zpcqE+Lr$A$3y<1^oO>r0+lA`ySt^a#$4x65S$mpbX|<w5Q@>JMrpcLG0RWxI(0EkI zwYW$>akIRGPQAGIWJizuTT0#8z2e45ok8{jp_S4)5JBR+QB|vunaZQFHfn9!v0p4} zO+l^@cPKv(A9hd|TQzcMOX5XYo=uFu#^i31PXu4aT{h?RjFJxJ#_7`CpNc9DVkALq zf%HQ|G+I*fcF4R71@tiVCE!lmBvu=fx~tOfBc^vab3K2|9J?ViN>iq>uqt$VZ2`}( zbDLq!s=4VRp0t?kAJu)+=fcm~{(5?oR>s{*Z}QbT$@lZGq6It5)pocu;~LMUld!>U zRySM@aMEPlw(#Q-9G;j%nrS`Xa3ecfX6+PdPtH;~GWZKjy+iiSW&mYluUJavZ5mb+ zNx=~fd{{0xNdBDs(GDd}W;J%Ww*%!eyA*z@f#seoonkO4dE6^L7xG_In~HYO(2Xwb z(jubB#md%CF31U^7u4!L(6}O9T%hixU-MOD2IHmJv_qjj9}=*W4a;ESvfoumyJxs` zx9_C}i;EKJZbeU(*aGYh!e-%*OOp3t55-rVDX&GDd`PxOa3~FsU>8iV0~D)k#i0VD z+9TZ&ho89x=fVmX6N{aT{vLKC^@^5-Zk~@ExG=`(!k&zBjeO=AP$(y3>h~|-EathI zaYYNXAFXH>{gcdT4%fw}7+x18dR#=eIsUB<`_Y;oKr7RuYz3H-{DJIO&47yX@x(n- zFZ99L*rTxgXb03jMfWGiBwBMbQR57y5C@l)p05vDD*F0|vIV?bZLnmHUxBkgk3(u- za7a94n<$yGQq{h#?mg7cnaihNC#JZ*!3ikJGz0mP3Nb(az`yBfa$_asALl7HVADLQ z56#PXDG2CLpp^l{Ud~hpefg}+GH`PzcMKQ5{fTvEU-<^7*G8wR;O4vSRB%_N8L!t8 ze+tx~LMDPICKy@bW_B~niH5x=R76~ErQ;r&UOY5Jv#26$8b-D-iKGk2XT(6{LQDRR zO3q+UNI>|OxncKH4?q1o%15uqHUrznpyA_F+v$sZqgpvYDtAABX1e$Ms&vS5Ch2!` zxz(D>>LX!>ek+oRDaKMfrhX7{VB41-&8Ws+5tm<WDJIDM5;O*W+A?C)oVu*5e^142 zM*L)~D5@|H&~!tGPi^8PS2vhaw6wLgedW$mt{PoOTMKYgmvfrOhqoG~6anF%Lg_ZU zUVR8aVp&yy10D(FA)mZ(;;<>J;|Cb)Ams;(+tm{(yGie`DVvH=qv0$WhLgU^VvWVt zZib08R$bF9+3Sr>TlVazI<+npzEz5Ym@)SNECozD<HYZ(&#*anUsxZCjq5c2R;_Uo zBLFiH)S`3-qCEX}7x_k0S@2r(kInI9IdKv5fI4S@_2))umcfsKQ#038u?7j-$g882 z&%4-toTg8-L(-<8M(hsVwZd<Aa`24^{-~hM=jK@xB~Z=<pIn`Ll!^A#T#5PBDszA4 zEc=o9Phw&*XXq>xkw`j>*D1u0L~RvFqw=u`W0$4YRx#vi;#yaw6cd<cKTq7lW}cyK z(x8U`&-Kzw2N3m@XPWW@vBtJKyEIBH2lsVdJylvIV~S<$MNO`_MN7cw9ET{ART2@0 z$W!Egs75A6DS2@ldKuCx_(L>2tlgEM-V^B;^A-~~zLI3C5E3Yxhz|v6b3IdxZ)|cL zd=<f54R=UMD~z+Ei<S2(iwe)c0|{WI7=1lpUXbk9+b8mfFq)JhgnCEHT7}K}#D!84 zN97*Gkp0mVd4#6I^*)<4BP;*q?J_fb8vJHOBgcw)nPawe9Z&ocLjH*un%b-l{2vbU zAZK0Vj4`<1wBthsjN@QfDAU5(f?;}0>^J^+P(?<1yh(ie@Gw(l8frLZy18kbo?|iq z4Y{9^D{<Qx!Smy3x1<aTRBYfaxRroci4aY6+a$0oWPJ27ydy4(aiB5N(l^j`VO;5K z%LAb-N${063CRx5%B`-t?3)@xNkP!Hg*sieiCLnm+q|lAQTrqHV{2c<(wsTEjWi## zc$t+vB+Lz0Kd%~JgB{~1-dySuDqMhTE%QW)RkDO(D!0hVHT{98VXW5PTy(L9(J^Oc zgpm%}(B9V)imY4NuRodn=co`sqBC;X#J?a7`*lBg=Zz$0@An@{4%A;Kh^&B(dgE3f zako3=c1WXN0~~&q9uhRYt0Xql-}Xn-`*^}aBCnXzX|Hj3uSU@}^94riY=;44&<i%2 zwYZv9ZU^*Q44a}FI5HVHmBIQNIE!Dbs}f#ygjxLOsy@Ar;&CD4#^Ad?0vis;{R{RC z<VGF$LA!1d<MC`?>6P*Pj#0!yIOZGKP4}I(FmOEv#wLDH*}EnCr^i>-qPYomq>Y@C z{s=apH~Hq!&b4Sm5(wYUXKDes5BF$qmD?QO6y;!)ForaFZ1$z0p@aWk-Hr!465l<* zYl}7&d&gmQ=jD#tm)uWTn5-f9(IO)G*l1wrmMLa$U1!OzS+7{DMf-P0y!<F+AvJ2t zS8CRlj3vHs#50hwm$=Z^_5sOqojoY9Vb7PTr@|dY6B0JKUG3msbdv$dAZoKw#l1~1 z849}oMJL4u8Li=aDuhP3{_LK(A?&Y!cV3I3)m{s+ZdDL33{2wKW5;115Ebq@%+0zx zC^0u5xBF16-k`vy55CR*$vcuTfQMY!Yt8sVlZB?_iiPCmfvVR>g%LKs`9VQ78Ns~D zfG46|wOd+iAM~s@76+iobWLE}AC){Ts0hu<sRKdM-As*PZxd=vO~58K!j-O?6p>Yt z98fcosE5@um2!%l&Ws`^S5K3=#`=y=mbR;-lN_bU*0X!TLEQ-X^srDXl(_R|_4>B4 zwnqiw6p3wptGVsh&UBrDFJ$F;1x{kI%lm1sC7mS&<yF%5Jp-yoQ3X|`K9`ND2CMqC z6WNNdG>zf8r6gXAiapB#g<EWW9&B`*GZ;GPung;1;8>ow93K5cIB4#Q1U{{F(1**I zf3~8`SQlnIXsF!L`Ysq~0s(XL?hJwa{<sI}S{kKg#?%qA;1#E<I`OW=Xc&}Z^4t4C zOE+VE#THi}1DwZ=7@1<6ve5-9)3)6B5sUF5?FkRt;6l3o;D3Vaz~+eym&wo8kFWn= z==&lKGMc`(@E4KBp>ck8b<&bE5EJTn^~nrCU}d07#cK-t%VOuN6Z(>G%_>zk#ke$K zi@pHLhfs0)#4-@WBjep|g&o`={Ote6DvmkXM!ZgO0QluT5aLwNIdbntY!zlr6Mc5{ z*S@?QxGeH(wmB~)8LeMJx{+vgM*g)_TyyGGhc8}NyjgX{(7)dnKoAN@2xiyKYu0hH zW+*nd0&*}n88BbCdMT#$sP1QfB23FGz0sigEg(LvNsH~r^M!2Y3(o_$-)S?yDLS^p zO1*m{2*9(zC;zF{qfxboh*t`hEH2(k%GbP8t6;UfkG&XOFH%!QEZQ3C2FP~nNZ3E7 zE>;i+^_fYdZX#0P^xO&Y*gPk|yZVFnif!aCd3j9`CWB95ju5e}n@<)uFY&sQR#&up zy1okWST-P&$<~?2Dq_M@PvZpnS{&UaUd3hJ89?YE9cIRDQJu66gQhy+SejykD2xw+ zO%*AH-&jjcrYseqJ@_m++}a--X28v`ZF>*o==saw&SQ4-i;fz@X&vy^#b^gQ1^uQq zp=lc-g|MbIJu8fXvA#3U+bI9STi+NrjK7c9#W6P)dfgN6_X%lm7cTQbaMnp7=@3_6 z8^C5OSorqhVfTHIZFAKw6p&`3Zd_Jx@u4^xK}?~}c>GL+k=4w3Ue`DgbGpdJVl&5V z8Aa>kltSJhM!;$=nOjEq+uzEJYY7yJK{;++$6rBK4jq;8tZjDMY+jYTg%vGX5P8$k zh7C0nBrkn2vU1wd5^D9LcAryr&FN_=JOL)b3pVG+Aya}lv)rj)?73~viBcN4K46%q zb}ej_RF|5$^VwUv1IdGH!rary-GnmMt0JnCX<&t(9=X)GI`s1)okE3N{o;^oe7E$; zMM8CR4{*$Kc~#EIT67T{qA%gikECDra)Eei9P_d)`!JU{qibOaW^oE7yYtPkga8k# z@gdH;L!|bv(v#DMjVJT|X<}3av|6(xnPI0a0&~6Me<s>>mt(y%*#B<(J=U@lq$J`N zA<MGjqm>dNqwhJSQ)K4-AH3aVSRBpUAo>8oLkJ$+-Q5BNPjJ`Z?(WhM+}+*X-AQnF zcXxL`!}I*#_w1f)cR!tLzw`{<)74#f-&HVl*RPW8HM^FnXOtX6lq^K|ri+ySP!D#o z?;Ma}j&N0I@~&}@mF)2yzNku6Os-Y>+D9xVW96U*iu~Zy28!DuGk@_#T_wK8zo}&7 zYsfM^;lfW1nP^VR0D?X4lVe=_%EelYCB<7=_wRSyhL~sYf;$yvUx&XJuJ#rF<rDRc zgv>8AtX8u?6haE1%`9O{QoV}O%Y-LzSVs)=Y}4`6TYlr}$U(UwlKA`}FqC~IMoZhv z2pL+O7lO|Nzy<l~R#w@tr*=xI=U@D$i)3cwSc-1?KJm>CkBWw_W^<>G0zb-Ihya?m z7Vgh%ww!jdyhYbsX#zqUN!oXk-{*nfVPJY>x@6aEkx;<@DoA}E>*!EdqnV>A>A(v9 zlZ9nS?7<!A5KzS9$q)~l|EYwt#wSy`Uz<VDKeg*mfb^0D{MYKEG7+B(?dVUBFZv8v zY1j?FZmbNa3|w8@>Qv~Alo4z3$#}4Cq~yP4?8<9v?^`};>K17Mpmv;m>F+Am!m7%B zWI|uI({SSM5`$Zf0WiG`p9as+AJ$jjG!GeWKkns3eI+2@tC0J+)s@3URJgWsx?|0v z_OpZ^0L=!D7!Y%Pe90m}N%NynHJ&xjZTU}}0aD{ZIR=YUJ2iMkQ4O|7PwEQYSTXjq z@lcROp@nG4C%I`MirHoy3kZ>rcI37M@XR5uldlUoO?|yAtw7COYAq{*WzHO2m~D;d zfxh+2ta2KaOQYw}PGnU?h@UVLj{E_iDe6lNP7#c#p|Y|p+FQ6yzhT*rBr$y1m^z6x zg-OvLSzjRY@05o`ZThzNI^E&J>Rqwj$EQmIQF$74MchdPjjt%^&c!eco*0iBVRgx$ z^8DD&R^vh$<p84+>^uk&|KsCmUT1MkhUh04VtGS1fLSyN<CvtIPIuya2gZ!e*k9KK zXxMKxFN?#owml>%OdVlZ7P&J@1#iDf+>gv4+hhiu(p;)%gN4BkMSg}Tjt>ffqiBB1 z3<=hWnio=Q(~v0I9a;g+bOP<d?Z@{wm(Cn_pWBx>?l16n&sB_8FLsgHcHl=I)=X^j z6fHCUZX;b|ZF!XSZM=;oy;#X-B|EC5;9BIRTk(eD7&#&EBNr!MrP1a1eC@qZa)P6? zd570iPqI5X$V-b!*q(5Q+lFszr(dWgHx&y8oh54c`8m*SOdVqaQm?8j=H19_-I2D% zNjd6$6j1<K55Wah+aY&O<x(OXJ)dsZ18=vsc^0>FMFWoB7c}WF;U*aMo^12xl@*8$ zFn#ut!!bTvyi&5t{$H_%mwfd&o~C^CoF8L+^zJ!{aYCkDhpa;{AEg<%{FS7U!w&?4 z3ZVP!h5v%KQ`}sye--25;qaG}MhwrI2Cdrf24MOwSHmp2s@j4kKUsT3&7kM##jXI! z))KKhF~ro`W#lk@Wd)$)It|K)gbyra{IZw8(E3)lpZJ_g&t(a7+K7d-mrH!QsUn5H z3(t@HboX}_+kUQ~-KEf-oQBkD9=9xt@f!OziGHcnD}O;9@bHie3nn)n#7!2y)R6ZB z<_RpQ0$OrtZmGIaSuYj&n#8Hq1G6iRxiPX8dX>#|JMSCuHj1z^%A?kq+gqE!aBuTK zPtuf1FHN(Y6{oBoUD9P7snaJkzAAR!9Kb<OJ-*awbo}H-56c^jJQ^~Cl{ng>HqLHJ z%wV4k;^se^T3MH#e%$Q*{rMYrt!H~2AaM0kc~g}wxa4qVmESRfx@>hCWa+qqEGO!q z-Ss+B$OMVE-k>>(D=06ytRY+fOFS-J7Fp^FVF*|uM)}nq^kl7fWKdwq=w>ls%EC}` zR*fW1#n|izm0yZz{#oLgz4Qz_G5JNAkbj(&M!VK^&cf44Lc8sl1xPhB_kgbe<Qq8V zA`?e;upW3J5LnsHu+UA_+lF?qKAez;VA%?6*dpxtR=dnqG6j)uVf`RUFE#5Y2^eBc z{V6riYHd7#W@Zl&b(_u#VsS_&>orID)#YhT1-uQpZ4o3J3Ss^1w?bHN-BI95&q7#h z*~)dpGR;&_f@fM3$xx4W=&i$mG)ao&h0R|+4gw2Tk`yx<dVIcEv%b}Dq=h<d&yeL+ zHLwN<bJ}S#!Ql3WU*V3Fg~B4u0)OkM{WiX_M4PVE)LdhAl&6-64jcT2<A;@tz2++y z`>A9dD_e@uR63<wqz%0jLBZf}YPl;k9{WChgOitOgS`C{=%F~<lggjm0M$G}Pi4Of z&n!w_fyKy|0?ZLxWAr`Dd5U0|rb{m3QQBx^{gLP#Bto^Ev=Q=4)a^q8aMe|4tfrF^ z7yr(af_+Sx;~-b4+fqhXk@yVrZe{^<dr|A~WFr-KPqg7mO~=q-ieZAD^n9c5%pzm^ z`g`3pV=$$%&WyzSm_&l^KyW%(PkNz|HfaVTGneY7S`RbDaC|`dHDqkb_r!^+$r#UH zu^Wa1WeIlO;|?JZVQIVM)Lp_oSe4j%sEZejnw1^&v*T{sJ!ZbGIcBsKs<_AL()DM! zG8O@;%ED&^JNLG+mY$FE!?LsI;YS}F?P&$B_r)u_Tp!>oA&Pl)fHUnpp%z{-)Z2<+ zT>i644%rf)677JS%LL~>sh<<Y^M3||9X(2oJGm|Y`JY&c)=<6B@scR$S(ddEq;!bY z-JvGY);1HN!rz5<Wm8kK!Wy=U`5bN`ax+r_Eq6#%C;AcB>NeN6Y@5+lTwLbt*ttJR zkx(X+)?oxsc01t!6Tj>~2JFF+NsC7(;UbU>m-ooClur2%CsxeU+dU^5A)+oqoHCMN zVoB^yLU=O!&9Q$Y9_dPdQ8o)7Kb{?k{&M^+$<+wIL6`kj?`Z)oJJje0eiOGgHbi%t z`MUJjgPR-K2s@hp2p$KYiP;mSwrV+%M>lM5iHB~~&9hPn?4!q}@-yrAx!!Di9noJH zpwg@`MvqI1GA!IsMO&85j(Am^2(=W6WoL!&smAua*2z}jBIaANy%}|vSzXR@Ht*&z zUvHlZo_ieF^qTo3Jm<Zd33GH3*J{svd;ubH6nN5Ih1rXDE`$;M#Ec&a-~xpbVRuGS z&|WA@Ua@un=`2M*kEo#_q0evPrBvE5S#2M-zt@ISlPmk=WvNTs{p7O9#a=4Sw8B&m zMUgI(yxLhNG%=M?ggeGsD=3xYGefO0N~LSHRbAq?nUM5obb3@Sh9V82e;Cr6%R*h5 zEK{c{=5<6dIHi?A;yY}MRuBFTsW5T~UOJA0SSE7=$XDcjVI7+Cs;Wiid#oG0T^CW7 zM;EC%Eew+zlZ{m<#Y_I4i4``TqYx5IDXKo!?9C{`!x)e>8^hOJMoxE(d}q3eH!s)9 zU!bPUl!V}fAm%-sucljxb)KYNb~#Mt0)OI|Y-{chHPMg?f7MhX-IY>}rH@sj$am^= z5`tF<_}OE|H)2}^H!-|$w9yYqW3#5ll(<umz$H(RlX|;nx_pruQTHe-)z36ul~d5_ z=#s=$z1Zx0fecIj+5hEd33usG**9{(;{>~$t|j4~)q^KaI(D%5vg<49=iHU}GeOoH zw_ojjGoheII$j%dEzuzV94K`}Oa+u6zOMmZCYpW^MP$T=-$=`4>qT|#>ll6-4*oLO z&HRvaTK7js@m%$?KLSTd;{w0@-Xu)NpgePd*vC#qCv7l!Th|a}k7O@JlBwWJSNmb* z@88mHD*S&6I7+v{N#-i4&<Pq?OAmJ^w_>oA#!pQUspZapzAs=uQ_F=|)fZz>HvsC` zO1sG?Q&>v<4C&YAeni94?*A5{f7s8Wj<!=0QG!4%16Q(~hdEd#gQ&z8&>o|ccYx7f zmWex7S)5HUWDc59b>$Pa0=^Vqe@|`PS=@CQt4`0y`_bz`wDo|=l4j<%=vaP=w#j2W zJXM;5xO}bt$6p7EXpkAV{fmIAtD=j36I1C*7>$vC-9VHdRhYE%Xtr(&O_ug?KM`cc z_4{HsRXF)#M75fi!jOv=Yk9w4_h)rBZUXf{arXKYo+`I^b@8aG0!oaHKAO0_Y5ESn z>ZZS5m2#eWmm$Pl#ZiF{qh}6!1=TSw+Trp@{K3+}NyYr&?dO&SfHxN8vFWO>{iH%| zN#7XmrAg~KUM4B4Z%<M%zOh;O%QS;5?!o9^T;XsA5x$o^^j+~PRx`aohEZhsw^Dtx zTCD|Vissg9alpc5!-1p;iVXtT61c7O$IuJ-{^}r(8xMMVl{VxQ9o{)%;=5}!HiID< z2q&48x$K^}WuF5LV8ysyVyIT2;eoZ>Bdy)%z(k#La&t@)7RBMspKS8;9&8;hql2A% zv>WH>fq}#FX;w<M3?fJk&L9e%`S5LDbaDip`x?wc=z}OAdxv_wP>3-&y5qM34s2lf z&7I9)pNhFnlICYj9c*=hYev9TW*pUth}YUK&LCtxd|Z(P3M|489S~YLv3~l@E0$y$ zw*C70;(Dw8xCSI7_c%l3ca3`2>yQp&`##Vfbzw?a!Km?NAY8EaJUV#Q)H%?%pgn9p zZ<BEHiHARS`Ifh~;vBK@aq(eiGPjnT)OCGU3k@@UOygrLIce<c-LT#i)qrjr$h{a^ zl-|TLff=g+U{TMKKFWAzS*ubr>-|bkOTAko5f$w{8TVsernfG_bX162l~%1mOczS} zh#o{YOW!;CU$@LdOw>MM@j3Wfol#k5YS{eOee!B&|7p{5)#47Tu&?eTIE=z)Z5~2% z4#ZtFawTP5%{K;!f9=h`A{5oN_J)%Jj5n<rld@1}DrPZKU(Ik&P-SDaSQ&re)Z1Dr zqIn8)|BmEodwH>RrEf?|;E1oIo<4>us7h@S5bPyt%U4E3L#?^>5uw~&MpP^QDyeHD z+qyq^QZ314{`x*93DYu~*r1V8>IvhDrM`9X?qP@EP_XaQx2FndWbU-9Kx--hD+oT9 zo1!wp!*zkbz^f%EI>Y76I<_IlWz;QTB*6im5=Fd4DfS|V_e`b6$TH@8&Xp)b3Q1SZ zhJGOY<XZ_lW_rd>jrwbM*CySQN#6K+!NxXee$6xD+w)ZjMnuEi)m=l)(YB$@6K_+? zSuXyb>Nv?Q;oQ_B{YQkien@?Qr{>Y~@}yy!J7<8OiT5BP`a+utGrdi2&wXL&Amz^( zOWL!&{V(o8zJLT}!mq=>zu8NN{n=M#g|Z8G#!On5HjOoDZ>sJxv3eG9&_GU+eo%`f z=FJ*;YjW|R9r<Ll$|ot}Gqu_SNt4CG3as4S9Xr^coj;Eo3~Ve2yV|@0TiW^~L&0c> zvY6aIm^s2=s5!bmA}s!R-QI(TTeHS&oMaMoC$^`VA#XoPl)QdGLIQo&w3$wcrTX&i z;y^z0xxRlu+dld8=wLGbw}pc!JR9*dSpe1GWt~$h;yzF?wX17r>)M#(6gU0T<kVHp zq!dGhrD^&0L>H#~z9s<|kQI#g$0ym6r_!c&8hebM93vrS88`WL!i(thV|tV!W$p|^ z#v<H!L~vrrP)y8F$S?0sYZ^+aZ8osKXzCD|zQx`$y)M&PP^g3aapj*?dmyG^5EO{{ zN7_py<a<^EN4&9m5WKhScrQ{Q>Z0A+-Qmn(rp4&4FMq6kEv)++08H_0vLl)bAssZy zg`!yEO{;aB|HgvB(v<N5-v~CdOt{yTq3+Kb@lqT39od2u$1gb&Q2QecUBzBz>Jl@< znY_^Cql0;_KlZ+$)4(HYA(W~2Zc3Dz#8q0RKYm=2YRGhJ6#pD}U0~;jC~rNr-iTml zqul)Gm2+<mfn+UsP2juW<^a60j2Gm1@buh=+He~JRwl8dGm@L@aO2qi9Y_|MbS7}M zwPr_$0<T#B*=u&qBy<;|me<bL-y@^d3kp)@1MQlv*ig0UViR8G$%((XJUc{T4Rd#j zSALIb`-cI{EEBXJM8qr<oiN6cqnKIztpj<CLdN5pu%N|nfK|Z9UGqCJB*zDfvA!G! zwWD)ml@Cijn_d{>=6Du;UiBz=BN&-~A4|OquZ(fL>ebOlP_nY9ox#FV1bx7qKaBKc zmBAXfY>c}PQM<7P<l8XD5wV<%%)?la<EUjmkY$>LowKYBWF44^V+Ejpu@W(6+)Tqs zrdu7za+z!003cgJCj?wUF=vnP4_^mk#g8y7cht4&L-e^8FefxFX^E<<jYvebpte<C z3O>eE#GPBUf03l*ZS~PXENW>Yt#ns#xrI2)F?DCUXEbY#Kqo)oxF|UBtcVk##HAWD zLfYCCHF(9?G}waJ#GZHiW${Pz7>BKAZfC<@__<^N_-TCvle}t-&vKogpwjN+*pf`= zsh}TWyd;!lkMnG-pt>4+;`ntnmJap895oU{adMRUF~I`0(CLZQxx8MMz;u7zP`Ecs z@Q%w8*sqQARMhdwRTnHMEC1Bw)x~M=dsS>jC0I~Co5;GYu2)bVXJp^+6rOf0nwMsv z2W?>l;BZHdGC6KbrrU0#>g_pqwCv7jT}N6hnh@jbyl>-j{2rQkH9Fe+S^sJTbXz~Y ziuiIoEDTp|WDlDg#tpOYb8byI?)JL59&|P6hiD)?QF0XphtbjVD?<3xhH91MY(jrS zL%q#+V+K55VynPD6XKIE3O|=6ku1x2D*?IB%$I1J(0pi6=R3ILo%&2-F?jv9Oqh?B z1X=vcnmQB{W$hhERk}r(>rh=+?j_X`xB<>rpHl)~;O#TxHpOnPp>aPd<|tG0F2Xp_ zG#~b*)m3n2tK5UTcFp>DDrC>+OgKm5<zED=^l8_PaiSshDbf0T4ZdFU@(o<%3kRgC z&WtGLhz`GMQqY=Xz(3#?3#uq^8+W$U)ml4zC}PJ$6hXmh`$I_5v+w-<+4ora=G)8h z1R<R)8%8h7Ue}hCU03C(5A*I2U+AbD4(1n1XO%FXt=%tI;&`mqgKMV_NBcnk_Jic8 zv0RzA2pS~WIez}YRgHva%3eVd)GHu*X(?;ucWF71T4CCdNdE?`(BA&&Z`q;fJ<RCx zY@FF(;K;EPLdpR%J6&wW;lwf>rT$!3XV^H3NQ`5QjX<k8?D)4#DVvZyRGzpIlbiv4 z&`H8*wx5vd8;Oq@uO}-}yoWEAG&toLg*{J4V!tkvj+_1G#pIY|iY@Qsc6gxrCJ^kl zAC0nz-me)`HzHJtvimVn9i_5Ae4xvfb500d9ySO1a^ew-xZ&ViHb37X^P{leY8F-~ znB2-%g~MJn_(&L@V)i4n-w61p7Ev^tGA#+{fvGB!w`X%Et_FELcg-EM4SMzVw@9fX z!IY_x81Jp|azR{@n&zp*UPs`b9<tTum7D*1h0g^A;;!@QJJk9qd9ceCnu~`neHSOq zZviq_!c>@$z_@}!BuR7^526I(t}k=OkjnX~=ty@J-Rd6)WF_W~aapcQZU$+IG)M-C zgp|f-;rke^M9;h)i-Mmg#k1{$U}m|aeN`ckRz&0xXG0>#)s+ZBrlo;^y@8(n=b1t$ z*J2F1@8;lc+h8f+-Ehg;a8<|@WqLTo%ApuWx4CUDa^j@kIino@``U^7C>nUg>C?;; zhwBVN(1L;)`%09l87zas4YM5Pa`X|9(#bp7+#>PCH;Dx^qeHYe5BBctf}F_H3FmGL z@s5pN8OhI{>^|m*qM`s^_z3AtJyd58quWy2$+sbV%ez|@N|%L|{lf->AgHD69PsbZ zC3}7!3!zrv_7{I#uqY9I&a1C~Yr@H^?EWfd$m2ss6hkXYx#)-+XypFxD%9?9e);1p zWc4x6ILC%S;XH8lBS@pMv-v0%<k1EaHx|^lMz>_(uqrbZs(=y~Vv4#t$&nojzn))o zvDt0Y5)?B#f|QHg!#;8=7P#Jl-zlqP6JYZQQZVx}!QjQvmSWj|%c-l^(u-1-irHqN zqG4(aP%h?oqKZ#cx#GnxF3LW_#QsjHGI0N&aT`m)e{dVPyW;j+LrSp;;Zo4KB(T+h z;Q+yI;RorN^t*Z1l=Rr|mWMIxe_k-e7%%1QPgs;`gscArYRbb_KfQm%{*76g=HG$m zRsWhFpwaRmw}pdQ3<NRspe?8H7oA+mweK7>gJ>4Y9HW7Ow%&p^)n*Vo@;^&2n1DJ> zWUkt!1}J-vZ>cf?|l7kq9L6K``%GG#o73yJ-0{H}6<IG*SQT@V){2%>AS#s3v} z5IUk%;1X;=L;nBuA^tUF{y`z;MnIMf2`FwL>Y!KTPMr09;5|s)`A3EU={Z|@<HqeO z!BH`m{jl&=6EAXM?<b7H6w~B`10aALl2O<svVZ&w3Xp+;iT{rf!wib+us;Y6iob|5 z*Ni24CsTGs#x7VN-U}C`1nDnjpcghxxUgNp_rdtTQvW%DT@ja}-FHAo>SG|Atk{xt zWltK+ml1UFf5Ht4B?yN}u}I;$i^3vV5}*XicvGha(&D&!BE&|5atfz<bHT)Ec6Rd) z6%?~wC4JwEqW^Cu1tg5<{(H!fJjYIP7umrc@e}m-dgrGksG;Pky%QQKRSGW9*_UHb zhwu6e2oCrKs+M|qo_h{jv}A1XzNrwRV-WeHY!JnuG&Uf3JF7>zCL~c^rXn`Q#~Ytr zZD~5Rr3=zMh~rINoQp=)ZvHLyzau|A`=3)feaDZ8FpNYf$ux_1Hr^Q#P+&FZ>RgIo z=2j%$v!?DJ10nc79tx`XiC4m9uN<AGSV&+!-n-<XM+>q`)KT*4$KGqRn@9A^iTT|* z_;*KvcO+&15Fu;&vok0RP0mFt+3&25Er?*rp2qv<n!R7IcYq}B0_1;)@q?5S$OVvf zGq5{y{{!N)rm0m<Os79FGDw6GZ@C4zEJWxLP2M%NO5`A_2pgDA2cb%ILz7eu&hLkJ zd}mDlEf~Zt^onOqxKn_xSk}ri1qkIiad9aE&3|U`kG~MO8w_G@LO>|Zd)|_1f^t>{ zt{Nmq{U>Mti>_g#uVa2kUKanuoBU614)9)^+e<mK_q3kbeMf<4<3S%D2k|uT!DU~% z<>UpWQuN3_?#T%VRk8TT9b)4BCjtK#w3KN@kNCrQyWW-DPRpoucA;fPCDSM-nwdM; z1eus{kYoDR)GAF|8d%x;`2!$1iNJNJN+GjEGIo+{xCx?VcX`IdS@nUFx7PItWL8)N z>#I{JjuvKa+`@`n<k<dZJjKB`>HH(^u-rPGToA_1MvwOY;cT?6*<u$#NXokz^uM}# zAVBBn9dZ)B9|H_!KvB;YvH}OS)}ql{mm+_rEzh?Xt>{JRK8@i~+(0(HB7fJxRosbb zsM}V}lDgV*thEutxq-VNr&^{<P!Fa>^WXjv$k&<SwoW~~$>U<9&wI$|vty5b*JEP$ zLFgAKS$wRx<NqO~Ktd77i~5?1DE$u@_3lL-HvZ#94S~EU7L5N`I9Aws?k*s+qe@}8 zc0DxjT20i21}$>9_q_$Xg^ghdMw@GD2D7ZM=QU&R<TZmX@IU;O6NtY`c-M;9-mm+( zDO)UvdD7^S14W9^h54AX3G=@?LS0G_WTUxq^^d;uKl&a>DjSAl)Q0Z=>>~J{f*?_< z@7;Ks>k=;ukb8PhCcAgY>OJ+}37l?mekS!^=mqmCL{RPv|I>aK*C^=g#$u#~@Tsk0 z6BhU0)9XKA7Q(+K8RB~!Y;6o<bvN^FL4MLZsq;^__ynqu>;HHVkO^e!a+@w#J0M;+ zyW+MwGk;e7BKJ=h+2)`**dQGW%9A|R(IzuBoQ0f@=W*_zpQ9IzQgOb#O|J%X_ldrv zPduQ;Zq{W}e8zJI>JI-0Gzip4sAJ!Gw2}HYls_SI0G+03!+Vpv0cBb9dxvoIpHBU~ zqtF8-)*{;buPl%v^#Af#WB>3<ASx>2-{Aijp7p=^XpmgMKNWn3vfd;3PY3c22!Wt1 zBv5ZRu)6~Sgg$E?T7v)~LJ%N?2RJl>x)JU=4`Wc{iX*LDT`!#}YE!L0g&1&8Z-FQ$ z(zaQ$V;$<Lcna(xFc7A<T!D_?OVUCs|BbVc-Q?^yXnfPz95ENprYvjOGvZ9A_v+f{ z^USJkV>xCpsD&8!%(`mlVMgWA{*0F0L?jrvJE%qIx)h}&%CA$Gk1v!0JeY}U6gla7 zjCfEX^_ZYi8eCD6)PT60k@${i^VR-Hmi2zEC(z#ETWiW2f=}&f9hSzPv}K#0-|?7) zj_h|lX0HN-$MinOEr*)Xdg+6hGWplVyPXOj8T9qAyyIuZ6jGk65^i8-l}3~@R~GuF zBfGTR4JBRr8j1>txP7=){C-_8OEo@cNRxMy*td>dco6Xar=atH0(5}?3xdx73D5!l zF9<sSCqM`I-w8V2N0pvMW*+=w@$*?q+`W7y^uz2Bj$SGz0nhRa{?He&1-QRPhAplu z8;8^ykU8&7I9!70cYyo746Rn@*0zj}jvfas_K>B!ffWz(wiMbuCw#-9gAos|jx@g9 zxv2mXHEFYsNIl@0*o|{QqAfbc{mJ5W>pquHtk-AYV;l3RR%ZtNSS=j~S2->vFw^`& zD0~+3`q))NyPcAxS))pc+8JjdMHM4Znxmz2ZgQAQR5VAQ>1&!N`!|qh8sWdSx-me& z!^4NwIIPDfq+bQ?hNy0DS(@`%=_740Cy$pmg&2M*ivn=Ne4krYZXSeZ=!=&H4X&t; z_$X__pII>e=w3N}IGtNs&<z;#C{7gG5ARTZogp)F)S3QGFkdKpN8#oUF5-vxDZN>F zn>mG*3bIA|Pod*}3k1m2*&RgA*}c+XCGiX=jvc_!nRPPS(-n{&r8mBmW8i8y<G5Ea z91|BZ8UT#XO*wi|bD*FCM7!|22Mcji<S0}tSO=Es_8VuF3gE`{_X7LRIZq_-NMgik z$uNDLN`CtDW=S%=5NCPpiB*MuGTII}H01DkQh&;O<R%uo8i{<p(T$$|i+KSn`F63r zX?-nH`-d!7AH|f-*)*oE1v+)=Tl05|CugRhFP6aXv|HE)YdEuG6;TY{-`7{w{tbw= zxj){1i?UgY(8lmQz#{1+9k==L(DsIyI1!87u1f^gcMWBCs6*3A+J7w9E96QJ!;kZ3 z3^sAR$}Ad~ZC__}DLaZRbDG^RxHL?8_LQhGqfIal^GJSX!RlZAoVZN#DMz2XA<(#? zG+Y<ZpZnw$7Omk~P5e=Ym6UDrL;dOmm?H=B&zSjdIgQc5Rk3wl?r{zC>r58PYbKwa z7WiCZIKwgU3ELfI=JqH~h%GBDgagky!cAUY`5O)+O+;Y$xN$&{{>va-W9JZfoPhnB z&5j&>;#c%3PfdHoK6e}{ZE3eIAD+@HIoJ%i(TWJH=rW|;5$#(0?x9r2;rH3#3Ouz; zUu}LdTg1AuT-TD{VTaNQ!G9_{=o{I!zndz@ea2Qu7Bh}}TVs=FiYC1dz^;Tx=zE{n z4#=#{9cu-vd_Ah~8^Vv%yv6q3HYC)!r8N2YfG<VtjGctJu}RXz@n*vyHaeyaA880k zh5WjX(8|Nu%c%v}eyS76$)jpA8sRcY=)GlTVT)Xun@O<rQ{5{cCR%pk<lK-Xe5!d= z*ucFnpJK2<7%OGrJt2=FtZMt&;WXLt?LgB1uQpS{4?6mAR}@xy)g5}Hwi;sHrRdkO z7nH52WqH9deJqtEM3U`_me=?;M;6eRmB&aAPggjeSYCNeM4p%iKIw|bEj3lWU<I1< zW5c?f<49lJ+g_jC00lP&r5f(Mq8;f)gmPu$%DV*%mPWjl_3@Y_!-OqC&Q}L~)dxnr zLYH(Eo{!36LZ#O8+-@<2@)+q+Z!z2!-8s}bl=zBBP1`x6qBRkdTr$D@OX6n0!N7gr z_RaZ>**uDx`0g4Lg#J!(1<18IE~2ZaMDNhu5$Q08El)YIaQK`Y*3k=FeqJqDnRTss zl{piJj`LzmcK>W^Ys2{y%BXgqu!U_uvTE#!@9er^*#sxUiPtJg@^NqAA>ydnsJrBI zmj3BX%1j#bu7-H2eZ@@4j0Q8Xs}Z2mRyTudM>_jvNrsN1ASW%=H!!iDdskyNAG8)s zDsYjgQq~L33Z7~e-Hbl2LZCv|$<)aV^(y_h6UP=@-f<VqIs5fn(U1nN*~gFJHu}SI zGdA+DLeKQ-zp00Znw(0r6XEE&KVs9~Ze@sDUk@c|_t89lEAruRkR(<Dv}WWylCPc; zRunJJ)O0h*9mG7+$sNA%t$8FEcrzJaG%YYgEkbZL#90k0y`Cmd)W~vjpnSr=r+{aS zB~M3rF)!G&laQ$-dQ}((yPueHJ*_ikKz<JkCs{v7sUk8JTZI}iPNvz7;&ZE|lj3tr z{QO?xIwzU&QVh<w={>-3jM3Yod|8R5<Z1WVe1C#?tmyTs7Ek(>n}OTaa1{5-MQv;@ zfoRuo$#`PAV@CNxzDC@X1~kIYBYifb;AYQcMbvT{@-6=(6J9wBe)KA*x(7kzF(S6d zoR+f52fTAXgpz<@zei;Zj{6_t+x)$(mlvP7jtkO4vR!<L@f!f^0~e1YVDfQxkiBkt zE$(bLT>epemnm;d@nxL~JUD&W4#}+b(E544X_=Ju_TB%%Imdzi)(4gn3;{l6&vtn( z<hT9mLPWo?Z?uslQ4rf-_Bd%WJru7En>*OziM0xK{vQ1+(x)+$2WIJt0K9Y|*bhgS zkMmB<1@vnGJvq*$i{R?jVq2Absj0wOO1>R=@0tdz+it<;`QM`r$Wh|db(sLa=mkCD z@Z$xa40vj{(PU(znEmG`!^dn1-P4@`iOl%l54RS30)zOY8Q1FcK=vYG#&ek>WHy?Z zkP?^LGGDH8$dc35-PldoazL%vA$CyPi#^4W{y79Nm=dOi7$LjBkNGuW>kkEPx<+o- zD+z9D9xKj)85N+F_N(M%DvEat##Wvu$|Ox4C16&DdDy{H(rs!w8*et-Wqdpw&2;V3 zWWvcLYsOini9AfA3<_(py_iLIib~SiG&4?(7kju5qrw$=vKJN(w{UpF){#&Bm90f8 z#eNi+S)mM5I>GQ=45MO&2|qBVdu{rZjVna5GO77SB#h)=2^TiYFIXX*>Cu0RQtX3O z@mE@O%g`739R8)yCJ4EZPgXKW#22|xD$~s<37JDX9EeH-6Q3rI^%7O!exMoi>!p?r zk;!%(FLYeg<Pt7I?rAjkO^Y7ISN>+R7I6dwU)=Y(Qi+Hj^cOmPK6FJZWCAjYX&7HW zkeXJB)rM6^-M9ZHzdg^5=4BK^)!+}r^`huzz7f0surZC1*G|B-@%2HXyKr6&cTylI zP*x{FkM;y^0>dVrgX={2!_MrWHH_f*0GQNeHQMlB0Wbmce1*1f8Bia?M)uPK&!K97 zWsN5KNak46NW`J@%;T>+K19BA;X{7%&@A%XxI6OQ@xd@rP+=fXM@@i{&3X=ba+L(( z&;~0J&9C;XF;cZrrwBe0st&ZhSwI$x;|R{g3hJ+kP#LO}`~)+|qp-X}&B@$#{<}>R zd5YU&7FRkeaPg6LcWLGXHJ;{rSPb|=OB(CZ`!syW92Pj{oT2KGk9mQ4$Ltb(TBAYM zrP%kCZORmqFTu=ngKo~9$L&cas(n9Vw67mZv`e`{#wj20bo}P-z=@2e7+Q_13O&#~ zl6^ne%?)??ck!i7vx9GbNgn*Wy<z&k(_Kqn08zoP?%lHut9JJlI@3UwIVxa(-FoZ; zMY;Ujf0KH*>U5s$#Evb<*Q)g=>nIuQ#)agdEZLwqa|GRf3@;8Aq&%IpOiBbC<c-$d z=qMC<FGs(yf;%mW<6=wW7oWXNWS*Ih#!`6MzL=?xwF?VE49p(S$}0B>?qpWqhsVb< z!bp|0o|m3)4|gwI<DWOKp2>hT`u3Oe0E{<B9yj)mG*XPMaEgbBO-0b?2r&+X=+Km+ z8O_$lM+Obgm(7=Bu!ywL)3bszqpl^VkOX1HM=hfcz%Tjg>-gq`K4^Rd{@EJw`EwWk zYpB*4-w1v=FA06k9t>-F{+%3+C46G;mbll1vpc~D;U}%Z0s@{axjW$MVC1=@0P(8F z!uHMC0n%@kXZ3kwY+z}}{E<3{xnq?NKa7svddur(?BZtI_>n>KF=XfR;#9{G_mOS^ z7RhqkiBIYbkUT|aXCLT#-ZH<qUk6E7zqeM;^{r#Gf1o%oWKjvoKE$>=^J}@Yr>(6! zdO3v{2$04GRLTv{Nds>Arv_Rb9GpQfTzF-V4kTd%H{h@Lv(xyVTpq11418Y?$A{Dp zmgly^8HQiFt~V|;S%>&GsP8j&jyG&vQn*htE|&PhurV~(d;OGa0xq5n!~Ft5e`>qg zwkK>~ZC0Kdv$gep74sZ=y|iq)PfWcfFO+OdZOd5W8;%bmFb0G%Gj!(zhj4t=WgrD@ zgrWbsUTVKYRTRu+53ZVaPNZl7eou`0iVc<sY9aOe^s6fcO=4^0^gBkcJcL17q`-st z<bHH%=|hRpo5abR1!D)gbbdzsRe);tkW{AZWms0e^YZfr=m86&6OyOHh8_g}(~)84 z2IK6fGh=F!L7+-<jS^3K|Ec=tmn$GTVIkv{=b<AzsYJQ`DO~%^lC#6Z`R)>t#oiMY zf!pigZElb6<$!+kjqD9H1^n6&AIaMHW%f&TEm*hKPPa)s7GL60!bgWIT5{Ii*og|Y z+XIM&tDTNR+|ppF=!ceVQq8AT@9Re&KfdRp_UK3w7C_+=xZ-=YxhXhCOhTC%KnxMJ zh=H$+Mwh0Ek;gD&n@dajlnlOE@eo=;EmUswxg<{KxEDSah8-`%w`IqFG&C4L4?Y?Z z8P%X9%OAHL{qC<md>)ImXI$%jz<qrA?VJ;$Lbcrut_|>sE{U+}F=t@&)YOItWj&hO zZ}FpY1TeG{`h0%-s`KDXYPf0D;n2pX(cbX}>4a9K)d_8hv5*jhIQ6vc>Fw?C<R*Zq zcjo*2<-x`F=|0MnWzF;X9^I?iS=8#Ar!U#vH9jK#?p?8%0RBZ%M-hJHi5K#jQG>mQ zl<i$(t2)8gB)#@~nzD5$LRjb$s}1bCkO=1Q<A5!%M+o8lcZ3Ir_{fnDB~xaPGY06_ z`|R7JC04LyM0_eNpR$&oUm+eZrEi2@P}}WlRF+ARzvgP3#w;zk5u91oY-@!e{va4| zbG!jAyn{kzgL{LVy5EqsJd*VPz7ZFh+^0KWa?W>MV(3S_Ny#q_7UYSRy@r|;#y#K- zhyow4m>#fSe+h=X#y^Xmf^T-b-irnzb8Q#Hy**;nLd4W|yi~o;#gW)v@p)>wuSW!d z8~2C?zmX%#+V!!>RyoUjEZ@WM_*EG>3FYszNiXo$Do&BDB)Al(d7X${ybHSDn*;Zq za(eYg!iUJ*jS@u9WPFptnb_N@fGZcdBwAp9Poh;mSLNarJPH}jkImGoa1rKv4*n%T z=n<@VPe1;1xMxiqB}t6(k?q^88z#PiOvs>`AlPd>mYxt)uB3$h_c6s_q;2@G<?$^> zoKdHz4_lub3iyit__-^<GaieEo)r@NFAK+lFUR{a(rb)a=6C3QA|jUZc1jGL>}dh6 z5ARA+7ChiSiuXX!4;;lVq$Yc-FRc>#H}!=~Eghj!4qOuE*;_wcmhc^o$KR-<Prn49 zKE}a{Hy?az+5RcdIQkQ^WvKdE<&0@dUM~E3O;v@6kAw(?$Mil~ASB|d=TMXDuhCUQ zZ4!7Kj@9alS6aVS?a=D9=T*ClLro*_IO95ZKl8+9J>#nUH1d>xMc4kzZjZ+!`$U){ z;GB4E!#`E-qeY^3lr>R4VKm7L*xk7dp#@3WP@noA{7h+wrIj(txfnT(CMpMdVZnW# zZzMTxgK(F3kyzJ&L|<17Vd3sCT{SJvRCgORHZuByH)Z>R6_@i(BCyPgDe*Ues^+r; z+cVV|*M*bZamK*0zd)s&2bSWsiF*<6Tfc~u%hW_xk4(j+B}`{ukY^<Xl>UZGCw)BP zYsXcX?u5?@buO9uEi|S6Q2O`&MikLZore`CU(f_z8BE4t+FNs%vTB+Bevg<`rZo^7 zP(Qn_e|X8ky)AA*H5;w5d(Myo#+m7DnJ@W#nz$?QkR4B!2Hg7i#(EB!A<TroT7qHg z`VjP7BnsQIlZH$LPA(3~Xf%~2=Vc@*syIivbeqGR7)~xYj*Kf})kWP}n;nAZ6pvU2 zz!;2RM3U*W$O=1wCUSa<kI1}R1N%YZgPC<+nV&2uhVJXL19^q*bqGa(w?Ng#Y*aNS zST>=f@nGcY&rK>l-a4vMR)u6S-?W7t$?4xd$YKA{h%VD2c>wR_zw9XQD0|sR!P)SO zmEpMD><Kw*mkO^+B$Cf8IT)ECzIcF<z(3n-On!VB*`(!QjhZCU0?5-bR7VdBglK3p zlg_H2MX%SzA8)9;+utq$)>kdNun$w?b6R0f2?r%HS6%79vbt%x_l#mDI9J}TFAaDe z5M^X*KSo9=59wP|-!}kAZ^qZ;LGGnVJhLEe<QX)N;Sgq2Abl;g*>&5g@^xLv&aw2J z@!@e#M<j|~;2)Sf6{nF!Uy08?pExyc*OWs<k&Jvsd?90Z2fN1r<8p@Xo9A?U$(^-v z#JE!Hpe28)WL^AgEGx}jt3m%84E!^^s&!%x6g3%l#L;uMqw@!gOQaC(JLd7>xjSRr z9%xb%>!|$kn!i@DI7XAwor_XdyplkNw9rviD5uAd!!=ft0`8o+`|TCm+q=GnlbN#t z@n@sO=jHq7IA1ndxHPTX^Q8;p^9-$!G2pKHf)z9)HtF-igpO;)e*GH}dpFJ};_~OE zn1dnIGp&9?;j<D?eh;l@{!0iy`SqpyySEQA;t(HEKR|te`5-DCCf0B|4#p3I@c#D8 z3~HaPI>d*ChHndCjPT-Ph><teyp(Htt&tsboj;g~O`SY>`<0~?AecvD3+*5@D$)y~ z>XRsC_x!6hxn)bBZWBT8p-MD)WD!0wFlX0u^Ydk}F@_(tx+b)2gGm9Hk8;H=e5Lex zrrHuoayN7M@x`nVW{0_p{YRMstqi~2n5A|H5g)bsW7L=#@+2r0>j0Htta({~?hZC~ znm9hQ+r0bf&S<8*!{zbmqk>{@S_82ee0DBH=E{7DqQ@<f0#m5lSW3kP;Fpkrwk+rn zXe3DOvGvIrl8R3@7C`ahvw87igqger*1Q77NGsXQGx+NRf1GM3;J84U0>u^wCTwMw zuD~2FLHj`%!MykxHE&VvYA8$PLosL%e}y!40t2u@Q*C)nP|=BR^knh~=2U{k?ci5$ zJ@2b`3QYHQ(BcSBBi!Sp;cl(466GF(T-ZbLEPKljo`L9V;Xo5@eh*$_4LEB2q~DDK zy&+Lk<~3u7+DrM~7y1G+zyu6ePUS(o_k#XD$AT+l9ptCG=h8;|p-y{Ht*JY6>4g;` zt_Dcj_Ue}g$Q`u8esVGMvNEI%QyjX|OHCHoni$SdYPv_mjeFQz^1R{kkQWAp(9}OK z&lH4H0evlf+=UJfjS<266k&c$%hf`&*TjRzlYB)ajT30e;QD@5dr{wfNWV`XL?Fdk z4hF?X7ZrUrT6cTlHQv4vQbDBEox#6c5d1u=R5Mfx++5gBJ+?L1y-X~km*udQG^0(N z+kC?cqmno<JX+6b#EMX${QI0LR}w79z6V=U31pgDZ}rQC@g6cqM@X*N;_h6!uBUgR zu&iJ99xQ)a4qs7T<Ms(B=3VruS^?}99`BWu9BaEwT@wo<m>#-ah_CDUL5!oI97z%{ z@TYCq!TSq`Da&O{o{7S@PxA6JgWC&j{BN4=I+x(rwzId3iUP-f%{=a#9Be41(*Cf* z0VwSUhyfbrYReZEuXjsfUr%l7^{G8sZ>t0j^N%)3z6%S{u!}hq-_%=8RLhoUx*Oor z*07zrV_2v`{XLD^ud@-p&+`$9D)iymN1pLFh7rU?X_-(^z*7jy-Y0~YKlJ5d%*M*R zN0Cm+;NnE&<$bsqm9a!?wy~xS_po2@2Jo6Ntav@|hqMVBObgS<--6P2FVHNOo%apD zb1Nv7p(&it$U7)Kw4Vx()ktxx&U?hJbiqx`fv4e309TTAa!6*nZf>IEC{tpKM5jGq zhJ3sLK%ifxq-OkJA_Vz!0tNx?XHEfaYc0OiD)1enK>g?6stiF<(ZLH8!svGAgqVFW zWWevQ&6RQdUn}AscGq_F$n|lS$n`3B_h;czkF%5|#ZLtAiZLqLehG-<O*VQ?(^Hzl zajj?wDOkUt?j9Oe4R_`&E*Rh7d6F)x!^tTUbJ$F}zmH4Q)hjNn@`j(LXY5~`WQ)U3 zJ)Eykoox~v7t9bGq+|@%?Vm>QIZqvA5gL%|fBU*Ui04iU8&+?ahOHx5oWnr*h`Cwe z)4MZ}AzJd`8}x|cMV^Cg5F*90d-!JFKE@}Vi67lBae|klc%Ppv<x*-GkkpK{f+sr% zVMp%cO<bIaOm#%^ie^$o7im^~{IsC!5`qrE$XLmbX&bAivOe2kbbe8mkq`3;X_#2S z@1gY$L7%2emR`{Mb6MMrJm9tSr_}fdTp%g?-!C4h3EKx?>>Q#*WgfNcE%pFEbu-;> zr5sALLHHkxP5r(WGAd2f<*DnVVBbj}(CG}oc_Fb{IogGcyvmOq_sbIG55YLVsuMyF z!I;6K5;_mTtQjRwp}*koPqSO=`+T5@36nzRtz+oJm^PH6f;G<~?>OPFaS?zS`bE2~ z4?(BmO);ULpmPL9&GhAipkv<5g?(=Bwf@?J|1R!&>^N6zk)*u-Tsl!ty^1J2?im zViTMP$-u84pZIYd655ZzSRnZx!jHug?m$I+;Ykm+dQOg$-<b$mGCmD)Okg?&qXJ7y z&^QJo<F$D8v;thY0wrW?y(lOt#nL)G!`%TGk~|8v?;JDrb|PAy5_q%(r}3%TNw65z zaoWp9SI+|d^diZj@T&v~e~!UW5bM_MxBHOU3S+kVv7eIt6Sj`Q7@<7ST+I(J6R=Le zsNm*P(fEF8vQdGrBuJfrN$B>C?rWqy+}LT?(#|g2-*cRr<J2XgXGChHAWX3+`v<`< z%$<`}A6sfITUzVc`?Z&p>{hAu3&Z>J9yH`Pm0M3*nYI@y9`R2{KW)}dm;$f%uCw>J zY55D}#sv_$Y|{2I3C|~BOkj2i^rv71U=|57r(jrM+6iW-U^uY2DK^eOPlm}h5+YB* zh;g+qxr!t;m3A6Fk5$^-x=>MNF=+mVSSZk)W;4NP7(WG**8<?j3$#gCTHUzYcPQ@P zjLyVUruw5DJH{#s_rrtg&yM`SEoPS-eMxdHSK?_||DH54Uub53^y_8?_wT9Rom(T9 zwou*AT}RD?EYMrj%aVt8x!k_o_V--V+S+dZaTgPZl~j*fEMSl9ZFTsT>Tt~8a?hKM zX~T~eil(O$um&JqHyRN}s~2f}6*ptG#u{GM4E*Y;f16qCEVSWBb@7LsA-rg`ahB_0 z_U1Ds6$&d~=5{-~CM`*Aq7(amsAC&O9ZGCQOr22Ak`p)aMnX!SLEoyxQg)VJMy6z+ z7dC=QL&opa=ph_-@3Y)*iwKn2PWU-k{-M<|XP4&Pg{;6~Z~~ieb|Y04<qHr?(nvzb z$}X`9ol5;cA3y5nE*SqsL_odNW-H%~$9|}vjyOu?D>nJLh+pGCRL0=%o6azOGSVU2 ztyD<P!$QHP*8X!K<jwQ;iz=ZBQhj6~%8g8jzV@IU2|a}}(I{%WNVCx507LM!(521u zMXr)0R~{h42=S8*<<nRGaJo5+V*U1U9DRdy-x_+~GEEClYZs#~41Gz<oP`AKQ<>4| zv?<(0iuKQbwiKKw$Ps4yag!mWw4*6|uKa4BapIUficce(1tYdUN8N-e#TripJQLe* zL$W~IHG=uHU#!r_t;_>Gt5LB%M2WC}FH?85jT!(vCjzpW)O+SXtPWkIMm^Juq%TQH z(Lr+M6jmXNF`}HmtdYIOBO+^pN)Zl&Qc2wX*1C2{1}=UB!n9M)U9d5ZL9*QRI!$u< z@NKd<DuGXVf1ZV;c0ZQI9*F59uJacn=d!1|-cpl9Pt;JVkL%}TN$#@d#X3eZO?Ep4 z$0z|v)PYvor^cTM^yYiDhz3P#I`**7n3+b`v5^X7Ozp1B=)e``E#n%Q?lrxr8HY3& zd_US8A0z%GtL{!z|LyS&!whOItfY;=tk;pMiq#;V*jg~C(0JI$6?)g@po1ANa5i8K zx-clL*|lLQjqKtC^28H|6t30L8HM}JDzpHQ{Pm+Mhaw7|1`lHznVY<gohUtguw{Ic zJ;Wl5zmFnVN3!lPbB_qV1K)v{#mI+9W_vLOuOC8)hhRK%2xq5vk}+!omIGCm+QB~M z1kvVfjO>nSuxgk1w2;KveDHUXmC7<9l1@XG)2%X(sruIp{!q%mGn=pj*9%ODRj*6{ zbN7bTn~LVDkimx?*4td)utVG3+ESn0n(ay1?CMGVs^8-FRpRSj?My2An;}>TzN}f@ zz1?5CFd9!nQtu2rLsk;>xB8S|*$^$-SJCSGDQCb?A8ntddeNWOQs>K)=|JM3C|z|c zZuMFiY>E)=W>d?LulPEKq%*5Bhf>slKHpz@0)mM0&}!33U-+2UuF~CWjElfu^Ar^^ z=GrQ-EI5!0of<+k!?X*``%x8B8IlJ&k1{CwS<i@%+gz=uh*n!?-x1&_CYis_efcGY z(A<S?K+&cD8%ea1jHxebK#G#z1|GFr)BoJ5u;@zv`v8&s9A1xBe`s^JfP6<a@Zs0M z$30Z+`g6L(!1KCsn0T~?wyLWH)RV+McOCo06kJJggp(hG@V)ds&?ffg$$>v9Xm4*q z15C+;r!0sxBb*j0b%(!~ovTCVu*&EmUFZ%LV|3&EIY;+1uhRGwvVck?;?A#FWxsb! zGGZt>e>UdNnj?pgmzb1vQg<2729&p&AYRkbP|NRbSDP}vnqyOdr_S)ZV#9ZT`N|Ca z6uhkd<2SDf8>Hu*14}Bo6|YY!Snz$5dsS$hoxg`8q~FbPEB!BmZUjg{ti-^VTVyNS z*3nG6sCM3hzsYL9RO|v3lugGWe>$E+XF&$7{|;tesU^B)%C_cG!x`vn%mirQDEjz2 zuNHN9e}2e>$V2-8BMv@mFjWuVnP_rWcNB*B+Nqf8?pIZ%U?Z~hnzqKWB;-BF>mLjA zM0A|(#-iNVaV}DO25;$}N}jgnf;v9hbWu3P>N5M&r|D{u@3_^g+jNRGOzjWpSZ>`n zEqy7ad+4>gok{@?TX7VA;|{=_yj6iD0(N@S@aNn%K~mDOi6Pd4mKZVw{?>Gk58vl7 zN?SozQ8HzoKE&w~u;@%Hs**NWeJ}|0VayTP!`D)T9#!6N6+O_Yp8ccu8^F$W)lx5$ z1U1+Vo4kzlUyS=AU3P1z8zsD<wfV)%7aRvU%YF;mC*)5HKI0+&CcD6R5+`AM8Tc1` z-$Vg-&bUgEFBvKRVuZY4%44#WH3_Jj@_}bnguF~h(6sDh+I9Wu>vq<xy`4x;hpFw_ zC6E#8pAc}y4I6-|E2S;ORK=55uPOn#2<?GN)!I7C*|%UDrYFmv97)>lqis!9kfTlw zjU#|k+S)F=87<q}U<1&y;~J|R&f9d_m|{Yox<`d3cxj;(6r<%)D<5Xz$UTebwZma` z{hJ#X|CC!@<Jnh_Z;x+tVzZB9Yb0uGmG47ljCih88{5xvWueec#ajHt^I6W`LDvAp z+6%J9xM^1*ugz(7l?m_Eef+nrPj%mSAg_6@yirKBsRhA5vikxlGRIJ(KRSc$9;pqU zH};hWWjJrO*E*pfw!bxEGIahq3kY-F$^f`BKMat<ftPCaotay~PjjBZI_K+tD3>gn zZxzIS3^e2zviuR}A5`d*d(ixp0jT$s=k{e-4OzW;AlHS|*fyWPO+=Ak+JwVg^1XfI zC>SN_9}yl{^)><et}*~oA4cpS0hrlVKc@{7)QpJVAbG^IPa}S2kMX^A2h&h;v}4!? ziJwVM+C)57l1U@jAqrL7Pg0Vu<k>((up^EJGf04ms7cQkQ0+B_<W#Xo>MyhAtVo_P zCF@B+O;aYzxfSxpqm2`}n#ggXGyMEI9No@*DYYyixK;(6HLOTQ3P|3r|L$JBb=x!l za+?-0;an6X7gR)KTU-d31>!1(D{sxNkm37pj>LvbdBhlxL>KW{t|v*6V_AE>sEeao zG^E@@!yHN-&ktG1i_A0``qbRu7cH|PKq6V7$n(&t1O`KElI(DoX+2+#MvGsL@Sohe zC4^}L+66%Pxy6t+!2T#&H+>sGV*)SHMaXWHmiTJ<)6>_knhjYs<GGO-tNXNIiuri0 zgS-%r9~`QecuI)8e-q`bC-xgDT!%yh207(vcsLB*PI2D<1G+#(zvUE>cNPb3Ii=iU zuZ+o3@y0~5>sG_*5}cfSj#`1>x_#p$kw+bK=?^E9-TPwXe^|k8pWoSSqxc?hySaB6 zdxxoiEt&ZIRI}@g`PXo=t-Fw85B3!MV&RogjaMq|l<cx5r{UNWaQ@PSU`r9-Thpl) z5xq0~ht{>fVx$SOhu{B{xKoXDvYHylNd;*wye!~wTkfJh`1<<b*6l9hmhadPh@d1j zG_RrgM+(g|f1|><iSQJPlr+C{?n5@0#$kHDd9m&0+=&<DG!A=0W?7i=RCgPZ?V-?? zW?&_RqV&C+0C}BB9e#d*N|L{6eEVs8GH+p^2FA2Pt)!l@_c085aGf!96t*U{=8v1L zGWg^@<~8vu-sRh#rho%2h>A2{C~v#wb4Hz-?B-z3e-L4twk6DP;igD#xx2-A$8o@3 zMKv!`%Oq2+o|Lw<CPM-|s0{<0ZPN{5s@6KUOWs~K{W$T<(Fy?*bGQO=^BtHGb&oNW z*_VQ@;uK`JENWl!?~@w&xm{%su`d?hXzN{RzO&9A@Ub&$7PBu~i1s%IH^bIVuYp79 zU{g+Af2nrl`q`0pwz!DxD8y6McGQp#O({6P>Hw%}d4J6}V}!-EmL1X|ZXZxGV+*;A zt^<~yZ#akSM20I;RWmmj%@nqMvI0g3<e1q86z?%ZQ`=rOO%@}fnd$AO^ZnTb4R4YH zY}kT#m$9Zd_e^Cv0=UoGyhOIdQfD<WYbwtGe;B0c-lA*AF$9Bl_jcnz5RVeB#cc`p zjilS(=QB+4!e~NWH<L8mq#TGy<(b2RiHX>Fsu09f#A?kH0F9!S#M6tScAjYc+v*_J zLrsMZM4kBpQwNYDJV`$QdI=*UvTn!J+mn`H%>9I#3{kHvGg}UIM_I?Lv`><il{oPW zf19QBJ(&%anWBQ~<D12dIA>GTm$-p54|@RrvYE{)yv<y!!){(pIl?f+i-=<^(IFA) zBt9rN9W2o30yR9>&+trkwt&64@8)nTR;gI!BVm<UXa4JAjTe1)l+vyOxV~W4gNSKz zl`+S9$=djWL8+MQo=idj6-?{J$d<@Ae{M;Bm-Q2Bn(Sph4a~Hy$ShRT71ZFFghT~^ z9BIH8nk2rU0RtKF>GPKaSO)O~+4P0t*~9U`gcoTam}s;`*h3%D`DP>hGL_fz3$;-E zGR#j$_$9WUG2+HdkByRCO5af!8rwFRQDcc9*5{p8nUzoy4Tfd}LNNv`S6nkLf51^v zfINqt&B0Vs6#kw^NPJkM+_QAo6IOdLHjK7_v7zY?o4$|4h0$f0h)Z3wk^vskhnFy~ z;lM7&pVQMj9)UmUTahU+j!qnLsc9){mtulcDsSvceU=5e7bkMqpCVi%SRKMU*;h;a zX=Zf);{O5|K1q{H`=1ec(%sL<e-!055(^K}p4jvx1I7ZUJsY49cn94u7{2cJUPpo$ zw=zutOb4H-Nepx+b3NKt^*pl)<a#A9WCnPf-c)A(o#~i{Ut=O7QZ(U}A(t%bFdGRq z{OT&~q79nqmW4(5ph+)7UY>a?6Lf(0*YBYh$gL5~^ikmbQu>8twQ01Lf4#5~!%6Zc z+`nk3A`OQ?YnnK5bBRfUhTw)VGx1q~G0`C;*KZ;7$pj{gvdQesBgs!;^->r!3o&Nn zffB5M!3cBn@31xOenWTk#2hlPLO}-33sJ~O5{lk<h}LSvNvmOK)6xxpMQpw-_U3}f z4WwXtbV^V(jDB%NIm=tSf8|_l&`g$%TFwYeD6qL(rty7GkR&Q*eoh&maY{Sn59W4G z{m$T4Bt<VmsM|;%n+8|N=rY~wbK%kdCY%aQ)l5Qp8U&@LeX&$p{&o&qjn=<02$$5p z*;oFEY>R|5Pm%3y`xU|lnE^pUqN5~-+&1;TC)~5C#*)Kqq3E!ue<l>vOKyMDWxtV3 zI7uB4%71?9`;7kTjqo;X7)fT|HEExBcBM&B!am=d(Yl<CzV{K*PS2@#aLRF*qs^wa z^oO@h0k|FN<>W7r3@$fQd{~02`2I13wFo{8Z)l|;ann4&o@|@jJ@!57i4KlyeK-?G z>g|4rxaA5Fql`nFe@*3%_!=~uGH1!HKqu)mwXapCr?u)~?cns}wD$g@8(@^^m*Z;v zcLWkjfB%U9;W@!17LC^`(^XcPj=pvVjegUO-{0*D*@QV+kl}%1eVMS{&7_m=o>LRd zVKMD#aLS{`gx3>HjzkCbui*gmb;q9n%LUR!@pp;9gHNaRf5gov2mx9@t0<g;g#jKA zF}>4+su#5WA8w0Lv;Yal2x!OIjwU05Z2Ari`Tv;mPawSq)kac(NPV~IXVW$Vs6~>Z z0Ei?mWksFpuJ;M46fyXKx4Nt5=09bmJ#j4eKSWY5vmgXXwk!)m+OwSP$5cE2j&{F; zEtYPcV+gk1e<V3p!;8r!f6NSv!AxN=?MNN0hU_A>9M&(m%y+j)fLTZ|7KEe*m^Hxs zNC9S27HMo?j8Gp9XLE)8HXMV@zTFwjX$+?21vHT>u$ilK3WKe#Qz!9sbV6>fN8r^C z_o`_DG@IaIs$tB)7!zi&%mYcn$0<GIVhNM~##1RVf6@LmTGX3NDBut$9)NHd3-||2 zmI$8){uGagG-cO!72CWv0{hxi+a3)crIDlj?so0W?&5Fkx&<e;+o2oG>sJ4?Wxu(! z_fzQuu;#8O*U7X`l*-%F2h!6L5v_&j<G+(qn8X5&_-G-6j*(f|tiY{J9b%lm+ioFu zkT6y|e@h6eY(rQs99GzO(i7&~wKySUR?^3PyGCthq8(d~0iGETnGr4+1e`3)j4cY| z&E6{Z5c^``jcB8`?1DJAQSAN{&Oitaku?4!n=S5ts2!dk*1f}n1Mjd_JFWft_IDp9 z!E}*3e&0Aa{Zy}=o-}HQzco&ej&PZS(N4u-fA*WG5x;&${C&G!z^FeE9BDxks!^{- z{UaImCOxL{x!3FuG27XU%xRGAXk?#z63S}pmfR3R`|6wuVwMvH=_!-LPxFB&-N<Bp znp`3z|AfdeVsyd)n@dW~KyaRxCe!osYogqyCJm;?XzK-x2KK7ao*gV_$DVJOx4Cv~ zfA)Uy6-(PKX4}ZBB{lgZbs-p7&L*GW8gXsJUYMI;cE64-w%<B;$k=MK+F}}0ejb04 z&Dfi2Tx2)L)TVoDvrzcvB0hUmiV*)VG;oX4J=<a73M7yVMTML_*&}Kp_4-5B(!_pU zjyc$M%Pzo`51#$|=e|xB#!AAx(jm=}e=WOAZ928-)TaAY5HHy|aRY(utMd{iyZ2lc zLf&3eo9^lF7`NE$`^8tNO@~1LY>mgPv^fqLTfLY~cW_#(8DI0{^ta+bop2XL>edsf z6Sv!D2+uO>1h`$zI?djDS`CGAT>sbJ$#HeIRA;EsYN0v1&XB5V?W-j-?i}tUf1>Ap z)Z-^Wm_5ZRO&loF@Kp2{48{{v^|v<yI!6_LRJ4cR;D5f?>wE3V5IEj2yKEFed`Jb% zE>0%d8Qc#^csEz5(+O|`+~o!^A%g7mK8UqO$%H`v{^kFVhcGbd!Z_-LjDX*c&+CUZ z??vf*#kX`WDG-Z~5Rh8!@SuKJe{Jj^*BhTce{|x4ZO4v6jtzzE8@n7fh;uMedk0Dr z8cV2a9Lycv_FBU}@-tAa8L<PEN{s;McEi`S&U}crK6PBz?ohQTHgntj3Ny%2$k9is zPOsGsz3no6iW2*_M+q*h!j+1(nkedN#RYjc9n3DLEjQ~2NPIRKn`y(-f8_Eox{lCg zJoK{j4g7=m9070dAXQ}Z%?4UwWh~9UR+??nMI$j}tVUn08=#C8cN&H?K?KjFJP`BM zhR83$f2LN<ue?sdX*{)0kH@z}0GnRf+~dX0YkEh_Kihnb50e7#jfenrr(PJ+_r-P5 zSI03xIc5C)i9)~ll{el#e-6O5IRLprT6#w_kOh|~rM+h3!tX&7>9@Dkt^wcW4P~;> z35&ko6{<hO-slxIcFoB=B$)rJ^gmGwPI~xoxGMA@%H4fMcgNYp9`jp8yK+KQD^Ynn zGJTKjw~p@P&%RHY?sMNaPfM#IJn%k4_ELC=*;fpJ!RNRSZSIhhe*<p^mc_c{8$~}& ztuqk^&?x$4^3u^y(Y+ak>wQ#C(|Z`EwUL$L7hpZxMjCpc6<2Q8qC0U}9ML?U#M259 z@IXX=q0AQMW)PZ}d+jW$pW*0&Eyqnjc1vd*eU-IOcFCDjiR{(s2*>1(BnJcu*8_8) z>7PFd<a7ko#rvrDf3=?8*F76v!2hZWUG3EWQvd5|`Cn6f{{wqqy{SZqOdG*w$^0mb z69zBp)k^&^*oXJ~VGE>SF#Su`$P_<V>=8+}Ez2IUXSn2Y<JmiN`yd1Pg?Di`M<zWa z5cO#Y#09*l$T%5|gYm@DT}-UVPGYv_^}YIeaXc6d*_ghCf0`rfX^z<Ux_~8eWas(Z zabkFy2KY6=|40FT5^aS1#SU=|N$?K*?r-i2ezIV5Q<p{i6NC$d)m+uEgJfTw^L*Lr zS_p`@N3br?smb*PK`5xdVfa~UjZmXFz(GI(n*jK*z8e5Tqe>|nB5X1;y%7}D5M@(n z^*zp@tqk;Ae;x+Bx$|-X+k;Uc-TlM>aoqoH#}d4GP!INc_U&8_1Z>~@d<KWHJh1f- zwd?m=oQv%`v)&}jC<VVH^~FyeyI%qDYaT{mPq2#&;dSg>lM@2$*69()!N)n~Pnv;z zk+m>t4ks55C|7!onvm;fLbA<u(4k3E=#dusXz!o#f7Sb(dSh*jp3XR;v@$qsHfa{U zJvTe|J(yB2JK79x4Iu5pUwRk&>YS=zZ*Hark-qqd?;OQ{O?WhljpFYKY(LZ3_ohGm ziqISaawdv7820}kBD5x;ii%e6#iu?+PG6d^8?agL#rfgE+v;J}BhbV1Utfg57$MX; zG6N=2e-JY2&`GggdI2K(E9zZLnFDbr1?r%1F&Q-wgGMYFandrvn7;So_n_PM@CyXl z@Qdh~=~c-N(t5lIqM7tKKyT3#D9jh8X$}5X6yr59>i_b#OvsyFCuvfEVlR3UnZ)Th zCR3bFU67C$F_<6}*+b?;x0}6D{3w$dK{vz0f5|LIr1kU@niYXM)USxX_AC5k+9r;| z;Wzxg<fE0*S%5T(Tl|~<247{@v(OaZ5zBJFxSn*!odIAbqB&x}bkAz|tphthj-*py z-RHNzYa<lMzeu-$=8PIMg5TB-sxe-y?Aps^B#6PyO%u>SZg8=8vEN%dMWF9t&j5S0 zf7|ApkGvPr88XMoa6(F+ltbSWgW^zN1FN0U)D1Z8BC~DYEy<gL(N1KBu%MGUA=nMv z4PpM?*`9M>nBCsKSa_E?Hig}qwt|lQ+dG^%!w9C9Bg5vmA)2?)mN=NgQ&*}%ynY7p zoh^1Zi+x`>g8YmyS)@>^LaC1grIN}re;t@Q?M6O@CX?)3SaYs*w29q1S=p`J<=Di3 zk=0AqV{3?HMEb|^DU8x)h@XaWM|y97-jnfEO;~m&d2wv4JCm$O#v!c2bigU)v5mrR z`}HtvWA@CI_rh2N2Y(#C_c0EMtW#rar*`Rx+GOI%gv%bE!hGxl%P|IM_9p$_f7^b$ z4g2=AYAj4F9d-VLzc8w?gDlQ;PRQ|Tqk4RF_^EMz{LjN|a`kfX)*OpS7?{8#+q-U( zuq|x6AUK89!pqoKOD5j}OmcJ-r*z)WA;cV|$72q749GKW5ogD~(DCUj{1f@JCchZ7 zy`ouN)b5|81M#@|R~rEb<k7-8e=?(OxrtZUj-67wi8U*#QohH`CUm!E=MYQm{`|Yz zB_vxs2g9+|XIHn`(T~Se;p~|ld;ZuN#w~`SHQ>s&J1*1bvy0TET|bkS?`{!m*6x-` zUb|YeYRx{jHA}eSs0%!-(djjyq`3UFi*07uuC`Ll4W_cMmTZ<PRkNh9e<>NHag7b3 zx@L0A(ecqKO0zIWu7^xDs=uMBn^7$hEeeC?m8tvj4Eapsb<<nb6w1(4MH>1U4+g*N z^-Z-I&@J<8a|v0h1{ixo9oxfQQ*uPQ&Ai+>Z3@az48j_8Z-QHz0~Ooci*$8o`<EE3 z(KI>4!QJux)pmul+Xc(<e{HZu259CS?`D))C`qHRXp~$bT9$Xq{AIG^Cm8i^9>cSv zWi(Z?5G7ZOpFcZFUcfYNjIKKEG3K?|S&VxLjIYs++1{X4vT3&4O@TZD6AP<__pz@Q zrF>FaX7C9LcR_3qySJX9MO<cyR?CwPq_YX=1%wHVJIzTq7<$z|e@*3R8nGbH%aD?= zbdugaLTVJGs_mcSI+Xhkd#2b8^G*@`iyvcR8o4Fr>3CrDE*LZF({q-KL74YMhEt>2 z&2>-9go;368m{ct(z!rKXZtoTN3NTuhXyUW?u@R3G1PRFW8Urtmswl(ebiig2UlV9 zYdDOjrg&kyO@Ul!f9Vl;;Oi~F5ZfK6`0)d@u)=8ly8+}1v0i@HnVVE8xy}~erUBUa zV}FcrHXR#p#}hH_&yddOsrmLX=4Z-XX^tX5zUrT7Y#+7*L~x_u?s}!~8;GWp_4TfV zsg{?+5XcLOHHxOk)%zyMXo`t*=N6wdZidm6%J-=2{h3TIf7)pSQsUU7Kst({doRAc zq{E$tjsfy~0)&YY1*C_Xa_?HuLjnL^!x(8OKsLr;vLr$-QAO*0dHczfd{f{(y4)iH zf%rK6_C@c%{Fndh1s1*ZUgJ%AcryLl1mN-VL*%WZC%`MJgaJK1y#_vt_aYoLeN>j% zO7E1u(gL!Cf8#7EK$3w>f``k63A=+c$h2+d`ZZSwHN{Lihr7VO2XFxbodFe54ltz* zBzTk28qB6ISx7Gyv1D+ALu56(ND(MSpbn3Kq3nN%(+quIi)1y;@Y$ppl0i+fQxPad zpbDcVia;3+kYIU=K!F?)s=lyurU=xsD5(e(WymN3e<cR|80M%5lp;`@v0tMI6csNh z0(F@oP=bf62vih^_7s7NaNK;U9UC9Ahq;a6DA#Nz1Ke{+_zyV^h2x6_a-hm~_+N?_ zY7UfW60z#gBh!fXQ*b>;eh#~AAMI&p2YlECAA`|XrwdH(ul<U=Kt4J;h~^5NQ@jSj zPx;DRf24@L+_K01Bp$OOP^P9-bD)am?I;4J2-N<EmE@Bt0;LGl$!YEV&kP^28(2B5 z$yQf22TF6GGzW@;<eCGeIZ%{vf7yHg)3`tQ=^oFEa(|3blX=4_0wsC4d50&xgXTch zKuOJkQUvPWGsjGp?99zZ7T9G;4piB#E<zEge|zL$3fm|G1p`76sHzoP&j#tvkygu2 ztSNNUD!jO}h*se(%h%T&C}VL|&EI5w&D$=Goy`OtR|INVT&X!wngbQ{QWkIKP9r;g znggXdP?`g!Rd{eZGH*CTpac(>3lmnorU=x%2ozPJbb6g}!<6<pRO00?)M~u-tHzt& zf8iqeP(r?9F3~J=pcRc$H0qIiLM0wwA`zWH*msV-PAgKMOyPXIb9)=>YAIA+M`b<w z1PncN^uHVi*Vm>EIjIKEsD@}pIr?>cK~wtBI|;vqU1}n7bpDY)XW_D=48AC|1^&BT z-<~5NC1JMFw8ia;i#aS1?#{HN))R~Ef5mTTx3r{vVD?g8>StD$Ajy|yb(z)&oRKmw zksk*3qV~nY`;@T<_S2Kw19p2@p6E1@a{p3V9^`4O##Rcb^DSnf!Y`DYY2Rnziu&zf zjx1sJh#DH9jpxnBW!Eh`8j@!X`*-HN&E!iG`m4A}F*UZ-*ivH)L#cA2By&dWf9gVN z(`8?sQ!ebts<D-nsoT{&Bh`;+Y)O)wD*$GyI73`&Y+Ydh!~37n%CONu|CQ$O1L_Bx zL+{tOdmmm-tK#6puWx@Zld{;`KRNU+`omT@eD8bBu-hFCf+oD6_a$$CNv(I7jZur+ zx_k50KCvsc%8x9^sUpZsv`_DFe*t8xBKUxUGErkojV(2{WMj*>+fS0UB`jBSYpPnt z9ouROa*dlF%sQ)JaG~ApTx>5M6x)(yIseX2*xbS%%yS;tH&ASA|F?tFsI&}LQz@!; zP;)CL#8bq!gx0%iZb1P5-4yfG%sh=b%6l}e{pSa0tnj1*iGsdRbBmW6e?LSh@rN|G z1X-@;77zPGfpoEQkRXg<3lmL7jb__rhF|_d&D)y7|1)#&S%(rc*5Evqf$jXPF?TKr zB}$zK)!b5Zi<${My*^ek!;iYbw=l|Obz=NgXKq!5sY1dz6qZYvN{z;HBvWKCnZ=Ca zqe(J`9A5S0BpVS!6I9y6f1qgwd7!sufXP1n=2x$IiwQrtazL$odeo#0xn8u`%$T7W zEEvES3<g~qD2<=!SLt&w!FYmP88aWIyty^L8qw4jua8AT?*;)AjEON1o^-pfqbH4n zLGs4K{<Zn-buhvdDSF*#P7EG6Y6jipRge&$OnjjM+;|SX2Mv><e_OZ0Zg8925j3FB zqOTwQ2FYsS8}Dq`|JK3$ssQWRbRB9kYUb<Ec%AG12otR6n>Nk3lUx_Mh4b5TjEcuK z=gC*eLKl9?HNOorU+hOg5az;afm7|PB}T=(u+JD6os`$*Xc1)@(gx7hrL2{*FxABL zvGF%1|1jP1w~Udjf2<E)8ef@vqWuL1x?`)O2Sg+7bMFwb3W{<qgKQQkBfR?z`;G`S zCP&0xTP)=+n5ks;XFS5LAGhs0Zn)o!l6!>(gKmJCpJoT<-cyJKv#ASg7lTFfrg573 zC>cY1x7b~tS~PwhUBX_Uu;KLZtzhg^p2&_lknur+$D@vme>yHU$3+NV2~tnP@*0+Z z<gk3sHZV4jU2k)3!x%j;}{FsM2%AMCD(6w|P;R>uVjf!g^>&XJRFQpY7|VU#b! zVG?KbVH_917~-mgS^ny{%&e5p{10_pw0P?%X;PB+X`Mu58gUVVqUyM4#6=@6FiBi% z<ptPFG6#j_f08|@5f_cPOaX9Nj7B3a8gcnG%e4?xxZMGj<dc21B%Ypo#6>WM)Nv^? z<}h!as^g-L%TgT|p)rgg^)%w55f_cPnBrvVglMW5<>$0DRV)`8)KoFbb!YjVCgi25 zV)^lS8gY5th>KthspC@Aak&{5tZ~UM)Ksx4q-srSe_Z$u7wF)!DMUvlv#e~7>j=w- zH}>UR60kI$(s%SxFn_F<NjT5z*L=C9Wb8p&7O`qtos@-8#j@+?lWdHb;h7D@cRvFh z1s+><s@RTO4;Nv%#Ol)#m#7RNmt3)$BA4tz&!)=d1&S<8kxtyp*%Uln2fazq^~S>h zZEexGe>tkRFzNFzIUg-t;gVz1AKY!p(ITQaW$KaJlJS*eiHq&R`)gcsZ?U2pm%Gp4 zOf^$Dt&!uBqlDrAIci+)+0^Cdip6h;GK#dwMY4vtE_uSaV)G~y_WD%hl2Lp`RW2Eo z9b+!ph3dMf>%zT+e;n<UN?ZP>5FZtM5skTMf6V2PV=g(JXzU;->p)$XLiPEXic{C+ z-iE+d4_jRqDdLjxmAWqbAJlcBflxUlW_4vQnQff8E`l|ru1la%mwCFYWbqK~n}4sG zq)fu>06JN4NuA545Fu69MeAIiW}V9ucU|7OMO}pD8g*H`x0ps<BIO~7a(e~Ca_SwX zfBK5uJ+f)Cn7S_0EsocuvsiA=pH0+7u!f$A>oSir(WuMJmi+KPbOwc)T$2%E**P>z za9y?p4JPP2jk;*mMWZhFRk{f3Lox}4<?6bq>*BkK<|kaBpEF}MVN9OksZp25jk-wI zkh(6#qb^~ASuQu`B25%q(?qd&cMH_Fe{2b1QFT`|((*JTE$vRb-+(+TTHu1&7;bjp zcDrp4VhU%(FS!L<r1kT-EYZM~2^DhOT!p=Kf<i*1POp)ooFkfn()UUWj6q;orb#l? z^potQ;`*N5#P?liRv@$0{sdt&gw?|P*jGy%F%?fpD8U%h3r4(x#>5wrW&el=f6ve$ zo4JOT*e<-kq9eHiT#(gsc%3&GaZ^Wa$uEXAe(^3kV{a68!zM=aT!iDB5R5b$gw0O- zmP&xA3?;f8`GLO}Z{wF_kPnJfFrg><t(on^H)r7*q3QSMH=kK6QpOA%w`xqk-9~Yx z{UMLQUR5@$sK7b*7dYn(jyI5~f3YvpR4U14;D?Ic!MS5F_5pup?*n^=eX;ODa|v8_ zbE*S5IzBp$i$TI(aDgFM`Fao>C9f5X)vBKYGxIQAhTLlo)$AOq1+01@Vkk&44MJ!T zV(Q%HY#vs+zwC(Hv>C~ar`a-{Tas$kzs=nLWm;awNOao^wd&1yO10|WfAch=>7$-_ zB~HAhRy`+|+c{?@t<9<zYzD!IR;xZD{=Ku;BiP-wuv@YE8%vI8<~uy=nd?a;CR5fk zk&L7&V%rUyP^(_8dbR3pq9hx_;QNkPy|6y#E-|;H#%8V;!0;5Sms30J!cf`>#p<b{ zlw$RN`*lhr<^jq~GPtqVf0M=PC7a>dSoQM=T;|v)R-b3+%xbQMmz~i#9D1LEYpr+g z491;)uedMGbbRJZ^V`jD)oX{@(^~bgc5r%v>W+(U(ERFsIj+`!N3K@s?>~_ZU-Qs_ zvNN+nhQZX@VRnTbW|Oe|)5YoqrI8nnnXZ?-0LhqCtKNoQ2*E|We<3Apg?+WO5o*<| zRqv*Bt*a&Wdb(D<U^A#yUn~QM>S>U?gPhWD4q!dIN;B)%FS9<ky*7o%PaE?GQn+3S zs0n&f;d+JZr?9D<USi(Os*-Rrjt{<t4U_3>)t8d-w(16~O*gP!Se~OQJGb1br*zJ! z2~3>S34%~g$7+X1e|XoE@8QUME^SyjZ@6V5Fsdh6A$i^_kaUD}Z@cs03j+6v)%Tvq z8TfXBn8Rr52W)IPUjc#j%KIw_EW6@eOhyZ&me1UJQ%t~FYbKJmW<|b{AxaY1oPxQ> zLgXdYYMQHN{O~O;2iO+u9Bv*lgx)!EXvNh4^Joe7`q@wje@5@<bVd~c=8(p}>oh}a zy_J|W#OSMz$h}EGU+A}XF_Dw^SpekOrVTNsuzz8G(wKZr9FZM2y{L|eIwI<bScFd& zFc5Tx<QECc)e*TxaVZ8@^(NOB2u%0C;ji-M7F8)<Qn7MccxvX)rQJDA+$k<P?RI+M zDy>m<L`*O5f6OLTM?_9ZQ%7V-?S`0POvUZ7hq>GVb<8G89TCaS;pP#u<kb<OWVpTk zlS9}$XZY{;@`qmouZQ%Y_dhZEsv}~t1v0ln9g&#;P3njUVauGumRVWqh^Ql?j)+D0 zWJjds77-Dat0SV02sQiChzPS+LrOZGgkHaG98r5%e<nicH6k+G1h68PlHfURr=83) zL-hGXM0Nx_=b1Pn^Jod?M`=VPkNah=ftFm9N-$yfKP)?8B@+tYta2s4A(^?9IwIQj zRBJBAQ$QX|usXl$w8!YF`4?FLRu0Q)E_UUzIo)n{7!cO_UJ~c8IyFns8Pe+r%X3s^ zk<1z^f1`%UrKwr5ZA!GDD2YZySo5nT+oGs3(bTM{)Js#dOpU-Zazq3>M;(!_b7~f8 z;;jvbU`TX<R)(x!Wk|BK1&Tu6X)cy}9?#12xabO9)VUI2wmO=B+C38?$|u}iSS=hM z;a%*jMK2>9g~M-f`^c<rPsWpB=zSh7IlU+te>X;hRwEb>F70FzzDjx5jZgvv`3Pi% z0X>{xqChj~dE;<2_5zF>==N{CX19-C512Fnl3z^5QKHCI=kf}@)sYGoT!vKFaOc|g zq}#P$+YLvf?Aq#NNaG87{o!@c#eVjh)bhi-?zh5MQQq00KOCdx2CsAh9|{>~OYXOI ze}RT8ji_C`(_UA0EB5um?g>_&u-bkoBv0MGS~^09QEz<mNjp6>o9Th_`^V>X<n#5g zqh<qwUdwA<h0U+X_Ura9JI!cxiOB>sJAzCh+Kk_ifBXFne6R61nnHn(&95<2qXb?7 z6O6|Ff$@L&Bl^R)@!j8e$33q<Y~eY`e<&nAGV6#3M-m4F-9C86q%0-SOSj)2T%aq- za?=bAZ<z2=BODIx+bxKKja|3H?N}FO-|^+}N1f6=LD;Ah5e*)}&t`lLcF1fS_7n2K zY*fM|Skmi{z01xwVuW_k9fjT?7>(X|Uufpd9merrMJZ@>d*qQ)K^?>i;dvJ!e<)5z zz1N~!^&xcdT;kU7d(5rZ;dOs_>k*UYUc!ipVF;zr^8)X>GrA7O%_|Rk*B`EcGe}A~ zF~!#IrhUC21*FM*!t&KJMQEfl4Wgl=Pm^fOrVN5H?TR<P9fXMlp<SeCeVCIg*aL45 z5`jLCuNrv)wt74adZX(O?gOFIfA2w*O!|{i_txw8P@5CU=GGgp1SN%EkH$f7oZP^B zQ39(D!ho)Y;s^umclA$t%a>jWnu&B+dl>$kU4Z#9KK{tpVfvJJR@*<%t||ZD?bm+( zGx4d1*(-QK_a?X<c~=mJBc$v_EFXoe!|%;-0DVfQBMgT4wj%<xiRDpSe`+32{6%LE zuRno2#5cq&Cj1mxK%A2nuI!9XU!&Rmiu1<rJQJwf-rcsZ7noE^02P)CcB&wCg_}#7 z@Yu+lQN)q`Zh*{KFkRcL*7iO|)(g0fm<~)D8A8|%l4=pNK`fb-*pyUy%~<<}j8!}j z_c1$vaPo&oHdinlc2K<xf2kTo_iTmV2>l4I!T^koSNiiDY7(!~=`}m8kPe1Xfb{uA z5WmnxKNz+kEeCz*?Ay2wI&8ObR&jT*m;nc)?@LdP<*NA-@dI&guYzvdd)>Tkc431J zhW&3HBZvc!E(u$(_>B0cC!1HNu3vPkR%FX{Lp%y>yj+0`iPJEKe~6vg1b%q8E971Y z+*W=<qooo+yWdOmTgEpqk%%D@8biQb_QADR*P}PTT!2-hi?WZspFRJV3oxkV7iI6P z8-jIk%XVab)#%<;nvisO8+!F&(CLvp8WI0MxRPALm?d!V(EDuyE{w`3!*S5*LXuw? zRSn^8ZgUc|LG~^qf4+4KORVO2*eyO^@SSTRdb{0p<PCJj<6n5DAVp%AaD^nkrXy}a zs|DpSdfV(@Uz2Ur4d72*dE?G?tW~HBBGJve4w}RMYWgG?{v_Gp(jmb!(#G_@jmwCJ z{c9tZuLIK$Gs^jb@jy;sGw4D98w-QX&ZktE#JJM<4Ne?@f4LcDfoBAH1s;=_M(U^_ zJU|ej_SF(YLI9C^OPn%B4C7WBaWT!LF?UAMQQBq*D?%2cMhIjp8m0A?`Xa}?0X;bL zF88-g7`7U%e~)7EVeY^DjtT7ByT|0p+q-4K^buI09X>5yVCjK5YMWy*N56!5cNh}D zutg@#0y8Yre|$&?0`cQ@tjWre`$A3!#8c|ymcDOon&143s>62vXSt?JcL2HUCJ5f` zmYofkr?veM1=VV;Og1b2zw(BT^~o81v|QpguUm~SyuV)a)``TG(r(2r+$6zi^z&1a zwm4z&xL|-OiO$FWqdO6-@l@B3uZH~z@Y=v@cl(0{f2hyWNlC(QZKOqgQoxKW6?V0A zQQsD|7lDy#-(fBg*;DL`g;&Dn&f`0>+o#qsAr`}a*ULfwGv*E%`fa+#sR14ZAAWs{ z5<v7@-a}2GcVUpz_rBK*yWP<sXu{BYU-I^s6uv{2>`|N@T1)Ui?Myyu4wu-OJYt2} z%*vv7e@TYyJ2!|~OZBu=@9|6Z;I+G(!yCJ9y4s&(GBrC;Inh1SQMa#_d?FJ~iCm0U z2&5doI25!2|DdG-Yb8K>U_d&IqVIkEC7DPch2Em8VK{qVOzplwXvo2je}uC=om>hy z2FcMwgVzkhW(fEXAH_MjiLC&%@gP=S#}o)`e+SKY>O4@t%VBVR4L3XTxsm|vi|COj zk;Ub`_BLKdI3$HzdY$XZb$kIJ%SDEpv&|s^NyZGkh(f&c&N1jx3Eg;25W?KhVBcmQ zD}yaxD)(gY9x+e!)BL<+fSpBH$2>6OoXUf7POD4Uar0}V)saTl3%li4TyesRD@-Uz ze=6aH#M{f_C-goB-_6NPkg_)G%R`tOdC<d79Qf}dVuoXXbJgi0;s?JO=&nJ5$&+Si z91%1P?uJItz!isAO~1em5T-+$5n?pDFna)SWcnZqr%(_qY()WyY)J=^-=>M^#Zg3v z027Rk-1#UY1wjYoE#Q$YVAw#U5gy}4f7HVGG6LSzRmULh{KhlYgTJxs3o?OwD(sMx zXK8<gaDjjf2|Od-UgDWp#wP$i!m|+v#Ga0ciN!cylq0~@MFjL2r7<F(->fs0A*8<| zO-B8o5IX8MC*-*sB=^QBwlu8qsXq>PJtO?E!-02x3m|*g?;$MgwQkY<1PLjle~1H2 zEQ%te9bn%H)(!3&Av0_NXP0eHqJW4aVkqkp?_Gp7Hg|ou41k^0vW9qji8WHC@f)4) zhu{nfB@nr@nAekL4r;;`-WFnxtzCc1O$-p^=XQmCwIDc5bisPX{p^th5Fdg)Odl%{ zeCh1LzxTq*q}3TUAn-aDjp4{Gf4#!r-u2xQED*zX<O+d@1g@~JmN=r?83h-xBuM9z z9cHNDy+{%dk&_T-9fqx!iAZl#@Q>15lB~iwq<jzzOup~Y@zE*L49z{D9bjTm&LJYy zBg&K@UxB#djTZ@u?5R*CFFG%?2T};J)$W*(Xk&teU&<4LmU_{_s@U`}e}(guqk}v( z^52@seljX2Gh!&cXhHxqvvMfoCo*oJ-)Jd<<2@KU1lZ!viAu>?rtzck>_Ir}^vMS5 zf<7^Rn*`TW9SNn|M6~w}BdbZc#1}EL84pc@YLSa}_E-Hi?Ds*~8(~50*@1pd<gAzs z5BQ_Zz{3Lvlkv)e_U<5ne~HcTW0{#^f}I3g{0SX}C?fVc_GPp9<EkQJoK$WSjKSQ* zsau;F(l1!HTvo8Foss?(_YwEo&7C>m8}<$E!2G$a?-?-v0O|1!9I3AkNPl{bg8l1P zl*TsKy)La>5)Pjr{S@z4y#EpL{$O~~F#JxL97YOqg_P-Tt~!|4e?6b-U>6wGfxqle z5zdI+m|adC1*&3Tyus*9;HxZAmn^~vSZB~ljEs@S1;v^TqT6*aX#B7A1~B%bn}z`H zyOV3=4Py-zRGF-N*l(q5#TnIRk#W3_h{BzP{+kqD0j?31(<P7$WediVipnQCy%FVl z(mO{6CGyfIDB~iqe{XMyCx=Mvuj9A;8mjR!*9~#=I5U{;0Iul3FEsh2sRe6*9@3Lu zFc@?@gyZzRt&P`Mn!Y%F6RI$|xFuLI`r1>KM-fGd3LYFTayxJ%sx6?<3aC4PRSle} z^PlMPY1%Zs_uC7ilBpM205xKULrjd(*Ti-b#Wz0y><054e*kvD{0D$tWIrv!E7?y= z&h=xrJ|hOCUFFZ1VKD)zZp7PkOYkc>b`Hjq?Q*rF*3XWz?{g88%B?I@O)5319^0fE zb`rtZ<AQajLAC1cVin2s;>v{CYts6hI$`V2BJFJow2WC5g{jV{KD_rPY6C|mN#5i# z#tlq@ZXcyBf5rg0B=e>TI1Y9T06~+90UK%%6-h<q%W=gWg%^|HqA{hA6oJ}9e42um zQ4JL`DM(YjG<Hyw0Ut%is6YxO3n&)MGAi&k1Op~&jxbA8wn%q)<DDS<8Z``yGcTz4 z%m911PkIgNY^ka?s*8;-JOXkVeh;n(KwCR)uk?MVf9$=WUwNgMv9XC4rzhjGU#==g zN3YCx!oo_t(0@taEnQMdmR$75SLO?rQnb}anHUPzVnU%*JE44&DvIc_e)QHfB*yd$ zNZ*|-xrmU-*Gj*Qxo!dbi?Iut(d2@}mS7{GeJ^%${0E54*iEbDI3fuKZ%7bN=)pc` z=Vvt(f1eC-O2!3Az9wOhhz1Wr^T^pY9!x=+@1XX<u2KZ=*`&lfUGWfXPEww{ugIJ8 z+9(cREEj<JG&aLCbMOS}aRtck<?P^Xmn5B;t65-=u<woZK7s<zDP(r@y?-2gsZvg| z;3>-^O6G`Ek43bI^K5LyRp+l>&Dq?!qnPFAfB!%eZftBao6(tR5a-XAOTtjx9Er2W z)av}@7%?wJj*bqZHsVK}u-o$Hs}f;7nfK9F#J-7qH`oOqr+}r*{}C?uOY9S!v$EJD zo>qrxgQu(WN0UBs#;ZDi@EX<md;c?&@ap^>Z5|#$Tw|<5oPedyUyef_!VyvDulC?H ze}6pZPcSC)W)iti=2)up2Qu(srZ%fyoj-N{PV+Lv#wK>Zfge0(8YIr2W5Z2#{?z%? z$lu?+{of8wPo~bKh)N1aP+Fe@8rhLeK}JN%)cMoM9|DW5>Gu4LUDWwg=P%|E4h1p} zQRgpjRQVeD%iv?Kv{<yuW_YHNKgpOBf9|EupE`fclFFiZdr@Aq-J!_|v+Np;{DGOK zO!HhshYS9H9HHcWtL)gIL7hK!{-!uA=c}-o;VNd!YUD5O%dgI#I)Cc?O)I;cC}PS^ zBY(`s%F=k$`BUc)srBd{_5LS}O8My?(<Y79-S7MfW!dWd#gfXU<_`_FC~>rCf8;N| zTx<T;yyh?6=K?i<j!Ew7{M{oVHSP1~2Xg*mj{m`H{!IVDGb(D+l0VJ*oQgx+1Am1M z&YG6|rN_romQ$}$mFR4<n0c7f1dl8Jicx$`EB;nTa$fLPjN}^jvxogGERLe+#?@d* z4S>l%aZ|(8xzKH%6E)@)fQnogf9PRgjd)`C5aS_DYaUdhX9e)+bou*PEh}+d2E$8n z*O>ZQ+;znjm+fa#aY;K9buK7bB>Q{?moaVC^VXc(DZ46%e#LBJ4^eZ-iwV&*=T^v( z7etzR-s*Wjfv{^KmA`h~c2c#jp10OpPG^8mJAzTOok9mkJ#SIyGmSb;e{l7@LvOm= zGL={slXx+(Lp^Uc)nTcvb}THeo;S5wdvcz)V9Ik3fO+xG8PDo@Uk$_d`=8NkxzWI& z`sVNhx-U0}-mh=>KD@MhWI7x;=SXHL()HVcFgu#FE7oVzj;RTv+%YDfa+O`T?Cekb zJ{O32m)*cb^}IzRjD>r(f7KE77YUa?4?9VCF62O{=dEpSvmUlTW}DkW2S+_`QRrLT zlBBP&dfw`JPsK|FTPtU4&I;V-EzE3nlGMNSK1UW7SI_$?dft*L&)G{oZ;g3d3#Bqw zRZETUZ6dNf&s(St$w3vFd{@s~G{V&LM&TACf_mOo5y#HbJPqxcf8BGZ&r}*g(wMi# zyfx<iewI@*)nhn4K1&b4(rm|udfw`JtLJ^U9iwKOqwcsyX5^d|EzDcCnf+<Dd08;! z)$@+BG-uR#cL(Th)o6}~-I7C+IlD@$y4SC&JGZ?B0^55+1&F%WeyQ|#)7)ZiDX7KV zt9Gv;0GkcN@@2OIe~MiprXaAnZ@;^+TDUh?Ys^cwua;bc(ymvn*2*5n!r}ibG$s@y zS>Jg6u6J@~euGaw;}ibIZt9!88>Ph>8n;5sm=7Cak30o_>zM_A<y~QG1mX!cfw0<6 zJh5G&_08`gb-i;<(`^UAFt`pe-_|p9ppP&zDUMjS4Ds(>e;JXjg`J{HKh`!(0%9~o zmPXv-X7N--Z*<k4VBS~1*S)1dx5<@3o1UKTaA({>Y4Lx8ai`y-S84WXOzk*q@h`ae zu3rx~8rQ)PlV16KXKuOg@4D?`yDjYFBkcO;PoK{ZtNi=A(UBRyOc$p%ucTkOaA3D^ z&+}|=@^{bne~OcmJ;1(LcpLjJ<<1Ygb<C{?{oZ)k?|Qk5=QeHqLZ&U`wI*-!9cQ_i z&o+58J5GW+=3L8^SW+#yC!|>C=)}-&H@!8ynSGS_OMRz`boyhxEO(~ZWv^fJ<(5-a z-`hVq^e*~CXsY+V*9^Pe(I99-@4PR0`=_<)VJ$*9e=fQ~^Q-sexLW@mUXYr$`yZax zcuHe-kpiY#g+`|b{f~AceD^ciE-?Ol%7bxNJN{#Tif~Ts47?l@>^T0~Foni9Vc7G= zH~rLp8olyJReR%pWR`^(W`h5wOt#SimM~LhO!#EFTo5RHJJ>De+YWZY{M*4UvhSVn zO7^|We+eIU>nVkvlfo8J#HW#E<SQ3a=#a&#&~pbc%RE^Fg)XRC&b3TTB?^7t&c3<f z?d&p51<_QHM{Iu(DfCXSF&dk2YqQ&Ler<fuNzvIV-4VEI+too%l4K^Y;5rJ&(7rmS zJlN?2Kmz65_ilpD*o)u{QrGRya5VM~{y6lme>#^}ue|Z`r{jA0jrX~S0p`0NELb9w z*Su|ZfpWzfXnZ^9G=nZ6l41DoNoN?2yiM=BH$M0SmOwYST|nitH%`H?P7Bd-^U5&B zD2eyGBuWHItsD^X-2|vF<h)9IcMJlMVHL8CGn63U={o4O;(gml_pMUq8@GrJX4{Z) zf8*NG-75RL5{-njfT4Plb0#Sm`+<-w;Z5oG(k|pqP-`+IEV0{1qbk(2QeyRGXG9?3 z&9E~LM{m3{+M(V!f)W7=x55#c5fWC|Yj-Xu!>~oiaWolF|F!sJ-FL#^eM~|RBLg=V zI9|+}WF}#1QA-+~pDT6?XNww}ia)bue<FK^eX;ODn||5O1i3wBcb_t;bH{jYle$vK zq^_t*z0ToZY;S?^@4g#wFX=%+raB7kl44}`P2}Wd3CtgZ(O0Jn<bwR1(qfNziWph& zy%9{tePCoAm}Y)yp0pxnRcyPO)N|+$cKbj`sNfo8_VS$mV9P%u!RmT)?ZF&~e}aK# zq%Z6=5CpMd9w&@#w9V8;BTD71w0rDpzl7-J0*ar#b81(&!f%cL{5#q<Ns<U;^0#*d zy7IHZ9%{_F*@uH&A=!)48<icQ{D1zLY>)?ErgIT(Aa?1Wf07TBZqu|WecvW6wnxk^ z$&9l~W}FGSffIz?qL^_udyCj3f9#8e_wmcZQOun!cK0dUET>RywweFo?DXWsJN@KU z501U_`d<C>`LAz(_kp@_C=^K=$EW)ZtZ77R9IUrH*QyP-em0zClbtQO(*Cw^S|$0I zb3HN~N?5Lz+)V45Sxx)Evh1>olb2oaLs@dw(@%$X>TpTUHFIUx&q?E%f9wj~>=r9< zLuJL==Tr;(2BaWb{a)x1B$JQoMw+ESs{I|Y5i4$46maq~z2~gB#jl?O%GeWT?>1X3 z-aSWLcEPxRkxNmu>*~*+PELUrgK8EXZLoUEtas4O={2g8*H0(2&2`qrTdu-K@`bpv zV8TRovFc*v0dt}1rbIX|e<cauqkenbKxpoQ-!{{;Ty?O^OO9BIpk@U|7gh`JVqcw8 z8tl#Ynq$m@Mm*)lqpyx|3Icub!3Qnmy%W4b(Ux9+cpY@T{(!RKLGm{q((!Aw5@TEt z%tUB~QeC@<!XO{N9(+Y$a_aN`1QD5ba{KCSFSzbBJs60d>3;aefBV!Qhr8Z6-r*(~ z;+9uI?-IAE*7iQ~`={X^6P6i8QJ$MCN|DOH2(rTw{Gkt{h#jKO<M5Ries6|@v6(V+ zfrqy{VV7pnTm@sG5j{#hZ`~rY7l&CVh77GG<3EG`O*m}zZ+fUJaAGqA^X%&d#&Pp) z1WQq1Gy7_Z&EjNef8r4H?O_Gp^pbs@&2I7Fps6he)R&Snj?iLDFG2)o!8sJYe&bm< z4ZItdFc7!iE$vubh~Vr5jf=^saYMaf>{}*~wc=Pd(zM@QU<muB<v?#H8|<s4Ervzu z;X6mYG?K0LN}zV7{F=^M3Dowt%s>6_yXII9zb(6T<6;8gf4zfzg*J}88|k+kt2ego z^vN0jGpV^8+Sj75YjPDJX(<TazeMfMHG<ty{Cd#E){y6Q)9H3e%+XouO|CC+B8^-& z^h$0JOT=U?QcfVUOx!$ZHYeAUE-8nLu-%8G9uI?d8?qb%_kwa(unw6KvE(&(#bG3o zj@8ZRCgJz6e>oZBbRKm3rZk9pzvG!W&*2cuuDt;45xo&^8_<itHhCBW9M|snHWJv^ z6Qt1Ks|<op%SiMvXkK}j!~SHzzEe2*Z+aQr4{!S_c><}m_z@&4dU%Lo9emiDH0fnP zAH2=$<UNunM}dYBJ@pc5D*6IQJNO-GJfLMnQq(n}e^AZbu+eWfJ|+B!*4tP%MgmW# z*F=Kz2oIW!J4s2?f0G_&H)(~*B6^#fK3My86Bl_z|6cRf3r3@Uvx6U6*w^t@_Kl2& zCek8-CHV$8e&&r{_uH?_-kUdXylwW$PO^>*l*L8iuyr$Rm_(w>V8CxZ)28w(yW92) z1mRX`fA6@RNI{tjl3BR9Bo4nMHpu|=4~Hi0WEk{D0Sqn_uZ_lZcEH@>^#J@#>@uc$ zIM^Pyx!}|*Z-lJ{U*mKT7l8f4VUNxq4&L<b+8*RA?$Yb`UYi?Jm0i?)(6ao+MISsv z`Df<Dk_|W*cKXPpxqV4@p~tntb};FV@eBD|e<a7<WZ+ny6W=O(bMxijc!os3AYTXc ziPCqx{x|%SGXH;}7l45oQ3d}VhWOPeT(&I+OMqrEUfd3Fmh9{%@Pogx>$_pi8?Fwq zz|O)8*;h;ac}kU**j1A&#nPnW#HdI<BFQSEOf)078eU8;jmd4~OSKP18>hxpv=VX= ze@sREY#1wHD6^@N%)A0BY-&dnE6nLazDJ9TC)$3T$U^(Sx$E1Lo+Jx^hH>)O&qSag z(S+3xwf`ilz8Ot#PREjXAi1kE4`df|A})ysD3Kyol>F}5770XOn`YM_Kw|5d!`;ds zZc41keh*V3IlTE_Slq41v5(ausRB}4e@M{iBPX*x>|c8&Qf>Y#=pYEBu-D3)W{C~j zA!C+I9f++_^9oj47go;&nSvaESin_hP<je`CP@I|$>nUpZr~hC@K>9lxns9=a>{|d zK76?YFZS8_afl9Ez9+8#;o$efD54+-|0z`$1pv^s;iW@tfnTQKh4l+B<oCBge}rMv z%>vU1gO)-)QYn;CDU<>jqv*ZM25~=^t}~5|vSXOR&40G52nnFFug)nH27dj9AVcI( z5)MyLRC`)+Q%u3=onxeL5;FT~D(c{scfGR&I**D!Du@__-F9M_L?3P#Z#KAqF9|ax z3<n%?CYpOM;uJUPHuIA2fSWPNe-tJcWU0*{38!V*40CKRdqw^|?VQFI&#}8~^&FW8 zd7Gj9;n%=J2q=92(_pmSxbDPR%mz5GG|<=2`tie?0F;{qk-7h&mXsNN!duTKCAC0{ zuXJdTpI-AtjvM4$#q25b7DK0xFyXuTN7JR(Ew@|vo@d4r{`B+t$w93Vf90n9^x<#p zr@zslLi|;)imHprnW#h>*1BVsv|FU?%jp~(kd>Dv^&HlVB#B8R@V-z@8-e-bFoF#~ z_XuL=H*ynMDXCJzL*!Cg?jHUh$L??Z$3mpv-w;rX9OGuhaWu(lU-*}|%dzxJ?tdsm zdeFcFO~?U4#h}5m_WlL9f3NgEuS~@*;hojmdA+gE-(`s#f#y$qBm9CJu~OUkkv3v~ z?_aQjuo0)#I(Fl{vH!~Za!7yI_V9yI{Ri}~6>Lp)ufE65i21SL)~r-KJ<`@x5%u=` z&Ag4eq*Fn(W~ajZSdjEW^O|QZo($=MIr&?wVEbdtv&|$@m3}NnfBVgKR1MWX&*81) zzS**v9+c)xPd^qU{5q-vBK%ak%#sLyKq=B0$D1Du5`G;8J+d~?n&fmc9!$ookT<pC zzYZJcCx>5*RhsPsELHxCf;;m3<^PZ}HpvJ*?}j%?rd5=35<8ob85H#>@dh14T4KD# zn6}hht{pUUZtRjye-7Is($UtvqhAz^y=7P&LDM#h26uNz(4fKHAvgqr!{Y7^i!8wd z1PJaBGz52DG`K@>SlnfC33fKmbKdVe@4xe7=DMnTy1I5}x2LA+zN=T-E3=cwV_$8G z+M!GBM|ts0+EZ+|mA#zk&U04_f_Khy4;H6$$<F2|&hUJVH&1ha#M+4t%Tb6z=M1*< z0U~CR?_Y{G?0_#ytOK8KnVd#$@hwKLh~Y{|CwUX(Zg#bb;w4z*frD)8Oqe#GF07F3 zDtm1~T6OB<xXK!`43E=$W|XpilIPxhmao16%Yq^=f0*%T6Q4B{8XQHe5yhpZ5zu{Y z6sXxNrSnU77%=G{f6!LufyFYXmQ7eHr%Qwjy;}b@@MTQ3b!ZwCg3RQPm81+L{71Qd zcv%%UKsFj6%<>MeOMN(Kl>$0S$Es?_<DifR0EHj8Rnx%5&A^4I=mu7*u`*=_m^BM* zr>$I&2e?!x&x>Twi}c&Fdp@R)c;waug6lO6y0#mFbQ9(s-c_$xCeDlBQ9PTQU7ubq z4>@@18pGqGvJMI!iu+JLoBz0iwZifo#kqx(VjsKm_x1ECFjh>;jt@K*ra2El!aDQv zmXEAARDowAe~|+RNB$0-qzK20`%piJOjuY2THY#wKj!(z2T4IM9`^m2enxd^-c9eH z6`D*1uIkZbfHhyI_g`QV=)V%m%szIJ$<&wsN;q|6VngmyIF}Dh=1kn}9h(2sp!ps# zP+UKT1yrkhB$e8VqR(+4<y`e`jHCKbD4PdPozYb2su4woF`x`Ze~;R-H6P{SDJf6u zL*4(;;O^jba&oC8HpG2LJBlnew4WE4?SA`z<;t7yORP7vqpP88B-gZgpi9@0|BtLI z@^DBO{YwjE4x6yT6{k)UHX(pQr2XFny<$U3QaJAZPL|$Q#nhJJ{s}1|)J0SK4`kNg zOhfk23y+D;q;$}M2_g;_Ho7`TQaUXt`YZ=hXBF{d{|w%wwk*y^X{{IM_WwV_ciJ0= zDatDT&tw73|LILj&qTlhv95+z5MI-+SN>;wYmeB_w-D+b$nwz1w-jIk#cJp(GfaEs zw=sxb8Os09?w5Zz^8XI5{$Hy9cPHrVfA{wMuc6fc{Zy^PVngFFt9oEojl&dY!K`9C zkfN@DS!D#X>c8EVUQU*`d$7BpdM4#ed`(;K@UC7!cS|Z)SNR*K&9_kd?WY7`gS{Y* zKkTOa4+{^uy3>CvKs$j#SJ=POwO0EBQmwqTc@bT=ICc)ZFs9o+8?g9GK$x=$j%g`# zcy7Gcxj9&L9THW)0joSpg6<^J9~AZ@Z~k7Cu1|mhW7J=6kD-oL=|X(Nl4YL2g&33V zpjrtFbHl=EQm?E&9O~!O>Flz!lV6cE{1L6>3;{6+eFHPBAgYLO#S3HONQXpM*c8f~ zu9W<#faX;*i^mANEfxzS1Dl+k2iwkuey#z9=k2HnpK9NV#q)vF;?6AHUX%IJq8{^q z;f7D^ui1Yppr?71K$2%ORv7sSY`u6I_eP4qB4a(R<N1FuW6sSaYj7U4os_!AT^77f zhfq)rR!~keh-W-WB&y~4CxN#iB*5cl(dv=(-DH<y(^sR#S`6_wg{wOa86;0co=mND zXiG%d>Wl9ts$oo=0nJg}J@0ViR!6mXdXw$=zE_J<yjO(S>C!;~tMzn(6l1RSMSE|l za$|cTaH6kNT=EIp^pq$$hWLls%Z}>JQ&!kR2n_uEtFu6V+l;g2AOeDgwa}+A)0rV_ z?+Le8?=7Dm_hsqYf6cjwWM=Y!n*PnyQ?sRYDwD*Si;F4nfvdBy^{z<Z%u_5g3Bfr% z*0x9ptYJUmH*9=C`y^s3hbYWNE%t!?@*x-VL+iH0W=&Ui*`7*kKabLd91>>u6$&Wp z`cQNsK>Wp2J$;<Q@gkt3hl3V>OhWqu6S0|DHg&+YeI(7@#1z8c=HGkg60$r5VE@?f zwsPE-wW(wHIac4!NB>ajcU|m1JU1?#K9O?ck*vI9&222Zjhai_^6%wsS$<b}G3Re* zbkFq<g=ALl_H<a`=KXiKhTm|?BLXyuvzTQE+XzKhnUB&N(ctg<+qpzO=_;JS3jshQ z^yaTc<|l~VI!FFAnSNCI&8vs^f$ZpI6@`~O4}*;+L@j4`>ar#Bs|Q4mSGr^g`YrPL ztV*|c<NiS4*?Yx*`a_I-&M07tVVeVC-!!71#PdVY8*iPMH*an_l3vC~1ppwl?Y&p> z2q)-UQZojAR2h5eMc0;oF2@KxVP22m$;o4;>W=k`JBrEDFE;^J*E^PC#2ZyiAgTaS zF?E-^Y^PE(fxJnsk658DIZd5)hPBls75+VfggVvk$9CC+`|x{xk&quB)@z~di$A}A zXqGw{`nkVewV}}djC0esJU9T_WD2T|J#D?VVRExrz_Ru_VdzqtnUAD`2B;)gM)n=6 zagzG{1<z?^q%Z1wJDoZ&H_MqS4wjIW)AP8U4+deV<$om(9{7GxFj<aA)7(MAg*NKK zO8w0uK0;6vccU0j!}<rA$L-cm>^zwFE%S7R{OS~WXUy=k?Os7EjVcrrIIO65pG*k_ ziQ9jUpG0G~4-l<vWBGBq=JZ0m^nlMlQ~TZ{h2p`F5J<)RL!K>=Jcs&^N`>*5ivsU7 z`V7x^BYQJhF4tfY68^=M4{R5Q4M8o(bJeb=H<n0VByT7FWMZPp{Di$$19Jd2Pu`-K z=dbrsd%^snxeHJ)7rRpsGiB2J6&Ox|kk{DRtsW$H5Fsg+S{NCd&o+i2((ZhOj9W-B zidv2g?`*=Z@AVXGR#;PCLtY@pZHwJhDuA9zulUhArV6oM<iqGjK1!0?D|tZ^nWx>h zGq~Jzu?;@@dZxWK#0;UuHj1VCzIq|@0k<LeGqQ=@AT0AI^ArSH%rgRz>3t3BcjKNT ziYWQc_{PH=A&|6*QFX&O^abl4;Y2vo2<HIV?BxWwk@EQ(Wi4yt7JL6?rtK7YA;-FK z?u2)s&?IVft2(KDxkHSsEgeISa`OJ60P)I)U%l%hu9oBNqD<Y@;r`#HeOPsjNV5Bh zubj=44|-fnNaCrWGY&U*OI0(;8nS&A2%0ZzzrwcqU=AuXgVqXa6aEec5>7_9(DQ8M zpY7kw6~+_ddD#Kt9uN%Bf{%xD@c!jpPFW-j<ZKNCIUC}!z-3P<Hj9u+7BFQYyq7`! zO(XOD_iMB^1_&eo<S`|DyL0a;A<azSsuz}a-)wcqjDQWgN^2&_`%f4+s}&oey%n#Z z^zje(kF{1_2n5pg^lfeCwc`>NuZ*oIUG@`$y2~L=BKEVPj}JxDcf9T9Tok&8t7ui} zX^e~-quPug_~8HrS%>L)d&brGJC;~GTcba<`DMiwIuYJ$Z;(XL?W+j%_X_fV!1*Vq z)rNzztcto_cqPfs;}E*gpQ1nUH)|Dly9j5js<rIOR-z_pZwO?-S9iMzAemQmb3QFK z-@Ny0gu!(!+)<EDOum>P2kDXzYHTbN6*HeJqp5`88We}0%LbcBAO<1(Dh3-YVBfE; zKk6>Qb-}#&sr9RY7gi`nq7%TcQS8aIW&?!ycfmNyl9*8CyDwo^yL8VUcX&u?oXs0; ziIv16QuMgHW(DjTLqg*p8`;AdX|@JQ*F2-P0PjCCKQ?ISQS?xIuIdN!H@I~R%6OQO zhl$X2nC}P2;Jrn(r9)~xD+n>vYE2gcSeY)0P0uG)u31SdOlz#+9f)h#>y7J!zlVUD zO!QcnE|e6XVQtzmulc4m@P*u5dT{A2B7>K<d8DKrVv+vC5J)1j5!S#Lfi+UA&JL5e zmR~wdJTgMS4p_HsejauZs8V~4J*0Z?8Fo5!8c^jF(E4>7$`{~DVCi9NVSW96vD~ye z1k5HAx3YSY&AgcPcQ}rUhVbMR1b($!rAde6*u5?3wqCxJG8ETvp>%r4XQ%P)Lv?!K zdKYT^OD^qPPPcMr?*}tX4QJa}{g_PZ11p@$>RVISLtqH_UMHyPcr)9U6X-yscv?J4 zcxr6YAh5iSPzKKOZsoAY5@~tMuG^qH4UqFEI`!g?K*H-AM~qNj8jS)K(Ifq9)c)6- zRZRcc>iseXXB_z(tr3Mk1!@#e^0Q^pA6*-JTxKd{D4u#HOE@N$Hv}ATDr~C@z;;)u zy@BwJJ<vkl`{I|^V%|nw1lSjM7d8zMT2;QiZTbU|n4C_Rh^!mOxgl0OLB9FUS&S9v zvG+vo<ra0ju-6Sbf7g$jNMGwSJ28syU2aU-5YzYhu7UDbi7}<@%SPlRdf*=Fu+FRP zS1|g`K+?KrqTy=Zrx`P~!Kn8epbbT5=flg)#qzJ<VOjB<-KKz?DvfGsu<+<9NzN{@ z9K<Wtkhfxa41Z4Fx%CaDP_nCaRvXjQOj<sKt@fX(s-Vg4nbOonhsZ(qDq(+r_@l~` zy@2J1d<bG@_c5eq!Kg$7x@>(j@Mws1MD(|8hs$mLo@ubYY~y=^+4#|11>ip?Rz@Z? ztahjR?2C_?bLIMA32Pnbd-astW7RL4+KKurH<!EyP7CFyz((WhrsFwpFwdIn>4vLM z$2(9Yxjx`qyuCl&dk)FBA`DXd7{@SbH;go~pD%xVs}6U-r|~&;mbF{?X@&de^;dXs z@5J8ENeBet8Wy@6uZA32il)c55{S|)8&*=idMye{2tCa#_KmN(t(U<HYIZcCp6BFn zgdk&_>L5v#*maqsqmKHD9fhr>-m$b`KY$)4KYIi4OL*3AMYg{`BXm-n2>my-|AO8| z(CqUcOtsl)QgwD>>|wM1(s@(V>Eww?c2X+KaAm+ZxhA6QI!D-}KFHtfVV?4R{F*Tg zZclI6In%N3$q100SHFd_C(Ko!IInQxqH5bg7b~*{;UZ`vs^nAJ-O9V_LB}iG<M<g( zSqwd{->Y1&aDJ~ya7>afx79kf)*W|wZ0XmW*nd49#ql+|e9@7p)l$Mm*{xiwd$*2n zM<t|-OZ%_Bmjs8g!{_-kS$#o8D*;6cw^M^8erN<$-kCrN+twHZQH9Y2&xx~~(G34{ zEtF*;{DcKYtz>-=8J`gaStGWCycQpbGgB$|*UkqVZvD5-x<oFQpMNwj*h^i+7YJ=V zA0Ov0T)xSH3>`OvFSHNHnwuht%TMz&ct~?{^o}s<5(tz9G?;Ebx|$c}aBTc;<KLVP zE9pX0%}w_xQ)6rViS=>Z%!Ug9lui<^U3k_h4SbAn=uv3>;{a&}<*&4Qreox*IUbL{ zmv1li3@lJ{torEw=E#*IdXsrza_cD-aM5zst#xA_*po{%<R1^Kig>LpFmL#^Mq8k1 zfreAf;H<8PZK%E0lAzSN!gBu-aro>OX>IYgJ;$o8c1}Wfx3R#}?n5<^fJXIA<hjAP z8p(yhTD~S0*#6)KR4c+!w$O$z^ZsqQA*HfRHMIDW_Qs&_?O&8&q*dQrDf8;1#uwpY z#v;OCC#+5#xvY>)dGdh91`~@Q#*pw?0ITEHm;=$tD$SyUn927QgBopFOAslkX1o0F zj)~CQ1Dt@*@1;JUfPSuHyI-6WN>5O{TS9JhC5+ow&9lTY0D12mp3$*GB&8K(6Ru-{ zRRyWjz78<baV+ZS-rqk&8k5>$y3Vd#S7$8NsP-L=P<Dov-dmv*WO5f{cJBO(`To!l z{?0kyV@=s!aIQmVvNpG8E2CMn;cKwN=asfGl}-CfH;w8XLe!=KUHfFOz`}|{ViL4! zbfH(FK|nhtXyUu=@Dq<JIzUSE+@!IuaNwd8xIM+5t?ABY?{(~E<`Z+trRyC4i|coE z^#NR`u|84<dQ{7JF@p1tjU&LWq_K}OxrO?IOp6*fPg9j4P8JK_6guJFpi2*^74J@6 z2jKFHoMQj9kM>c!19wH3iM;!@AV9_9EhZcIv=(&@f`VtVD!WwVriRHlSS@OH?nkcH zlV306)WL}+?`u<5vZgiJD(EpT@QBT+Ozx|aIaHu6G8k#iwUMu`;v9zUSx*mS?yQcf z$xcjcKCC~9oW+m3DTCrCl>*$S%zr;(&*+N06aEBvx%+%Lce)-XGr4}rF=gES7?%@| zy*^e9Du&*zd2^H2to+quh442gLnSv0bAXq7u_P2bt=oF7aXW4=XFRPR^~S|e_O@X$ z=2giL;hW8_d_u3d!-J(&zYf-_3{}0BYPqx%MiBUl+m)}!{!A~GciHEOz8jr@%t#Dx z^VX+*8;TX@kwAHev(-4euTm>0^YM2zP42=2D3HgRpp(n1rmjALFiA?P>lrP?lK_@| zvM^!)4k|v$9*K@>=no4wfgu&6-zdI&F-5AHpE&;ZEH8y#$R3(6g&g;r?sb|u;C#^R z(`9cB(F_;TrE`UVADMlc?0`iL-KZfR91_RXqEmKH*J%nrCiA3)S>1wqcEqz{JJ#qK zi1vuMTTZWN4KjastB#E~CEf?>dZf9Xn8b1Ls`mB`Ld^-sIk$W0tNyYe^H_c-!SGv5 zkOTdyU-$i3b0?QcTR*aCr;`9fek*fk40j537LPL7eCvr(vYu?)`MnanxIo@Wr|?IS zy~(q0U}w_Uf%Ib^KQyC)b%zMv?dsWMP^<{^*{k|NWBYRtDvj@-tsqYk^2i0B#_<j? z+-3BbW#ND{gZ6%6zFw+uGXe8HsJ3~>WbO=7+kb1gZ#${Ol$m{i&IdU&x{O?E^u5uz zxxcsnRY0%26}!UVCTyLR%9CI3(CKNg&05Nu8|Yki<kq>Knq=*011*qn4DY*x)wlXD zVA&g(233Z<*i{1cM7WX)IojZX3ZQ?~uE-_bzmMugjr%7ty`R$~j&*RW{Oy58T*0jX zi_9fhj$L{2C~|)LWSYelg|x}-?-Ca7nrG}y@~P#poy)DWcQ{-BMOc<HuZcKirT{i| zzwmnu`t6Y<Fk@O_M#(OSZJc;g#-wR=O<Ys-T~*L0k*L@<7M>5vA?XP1);u2wSc*ZE zpC~p0hgCOG!i`IOBeuw*ZGus5B~ZyDSh2iaPs$l`o}#>`HklAsb1cycdTwt*aNRJS zmZ9uZOF=GQC&KizDcG1NnEbYL3Ip(mzC*p1ZFK`;*a}eje$6w3u52X^0*m3mQs0j@ z&)a7Ed>evrh_DrGfN~&Rm9%ZauET!6(iJII%WC4F<Jg)h)-IOk1?8hS%zVp`Yn}l4 zAI~6lVHrss-5flt3E$7Z6<vC|9=A4My6kPf0Z-G{|2Us=4Y2Rq4A~rqk9%P}qIuWU zwBF9m>~XBuSXmB(S~044D^J?`bHm+eda)PF=>0Hm=i*god2C1=VN1ysC4t_FB@iI1 z-Q{gZ6)ZsqE_DOyOrjmA@S|Tk`0F0fvS#m;bbIx>n>C(u!}RtD9EiVVA^AQ6+zyPu zFPeWo$Ptyo=R#n;o|S6)U9J^<;7-oLwA19^b4d7?VGy)gd7uHCM}n;fIpci|VP=?+ zT2n<!T$87W>&#jnV_F^&{`;2_hpH4oW5r&Ya4kC5FM&6+jID*He$B`2-v-gXG%g-q zZcjg5k+osc@MEh<%sG@g-*E5FR0Ve`Sr+|XWRicF4AtSn2FE|^+;`jadKtt?P%YHL z(@d&iAA_7bgUFJ8Ad#^#D=K9NN{gIx0nDgchf$b7i<M|n&Z(RB814$R><r^C2}RG( zzbifQa%e~6IPT(=mw5!L)?BH2Nxtrs7Glw;z0Ei8`PP)xZq@v@S7GtRwxQVETJUF; zwk5B`%s5><(wT6Q08L9!^5V0%h|#HQAC(lzju9wXlrH!gN-+k4U=GHk5@*k;fyz$< zpM0MV1(eGWba*G?B>0pVBCY9{<P7p_f{@Vze2g!f3iUc!fyK1}-*zQ5*=XQ-m>u>d zudYrdHEFy7@dG=zktHO<tem!Sc7QV&SL+B%JGgl(6`lDhF4a}J*7e}7=#T5E;$=YL z1Bhv}x#l>fBR&a=y6w}qmySvic$B3swJO0RH7X(@A|KY}H%CT0qlRJPS6X5`>>hO{ zLh$?`za->W`W2uSl?$gnO6Rha(LoUh@AG;nyZY|0e6q?xmb+I!Zu;Nj?7pdh$HRs_ zDqJll41y;4!E9sbETr_8&mSy#-GEO`VW2b3Vt!FbhTRr|RvM!(6Gm#i579n&#e1w0 zJ1@?k_|&*TaX3|DzhHd=GsDD4ga6avE-SPpazfbU9p26jo&r^z_jf|VMq_>S&FLx@ z#|x2hOdY7;8+G{OMJQ)*TCY7kWn=Y(pCg+H6C*!^BP<yaSvfjYF7+yXf&E5d7{q-V z<6PBCE!zG@TiS1^L-^r%WBahR>+1cBuHUSTa^b<OQvc6G4_?7b+Z2)+b~o#|pJ!ap zVM+Nl^Km9$*B$n}e=x-us#As)o#5c!DtD`gKQH5-iCYXZN7AnFEt6>E#Hk?6Y%)!W zE-~Aar9Op?zkhgPjS~Jl$~kRvI0I4&&x|+)cI7R2;Fb0o5;juR5@^s4^FWUhJ=uk` zJlm7tl^mDkf5;pkIWD#==~X^B9mqrX*R~lBYvtJ}SfXUp>0l+7yM0JW`JBQ?HRb8L zLIA5?P%jvK>;^zza0*1g^TH!P1KIu7+c8hLkAB8h({GmP39iHJQW(jX1F3}M;d!I% zS23ofc=Ij2UqW;jVi^F?Ae~Pfu#K%ug{Ay0`h69%@g-{b4NWk2D%vdVAaKqq&(T|j zz2hW5H|QWx8i#m7A!KGGI<>KTAA*n<%dnSkuR}t(aO;>BcEYaMv*KqwQb7u70srwK z_>{6W*GkaO*1Ayrp!Ie*8FY+xO*>Y_H&LFGb=9}|rPS62-Nn)}(fT897B#tO$sqW; zI+pg;Bkgs+a?EB>!A%kmC9G<KHfcA6DjL!K8hv0-lkOWqKRkh_NIW92R)6=jkDb|t zQPfFNl2r{gQfMG|$bz3XznV5*&&O#{l^$acfID?Zh!i%+O%#!g4ia8Pl~5ieSMU@% zx`9O$tUn1b4!a*bZvSwqAENkVDfH)A3cg97-r7<>c*4(<dF1|*$5+L5dVzxaisZ}S zh}^~pNecA%UDrI!F#o1NI4zJqT-80Dd8aFSd@J$;pRwBPZpq1??BPkSUaRKI@hMvD zH)sdKeP48!Cl{P!B|x!<xp<cF<72WRy%u-f7KHIA3Bkd_>ROxG2gF=Ep1NU<=yc^c zhz(WZ$2fxkK2ns3%b}0@*4_oZ);?zSNa@*X$txm4Ck%f+ihs2p8rKmv;wmcl$V|k5 zOR8hF97-Xg^e97cd;7$;uiI0bN0!xQT|Zt>6=G3}%FKhX@(rY@Xg~J0I>e$&E^tlv z<JR04i(O1g0!q)b1s-1~RrkS>m|%;Pw;QC~{zR09hOT4mE+WW-VRoKE+*TT)Ar|Oy zEP|;yDD7f}8|k#-NN^^4?ALi)*6=*+r+29;qo{Ei%@GZj_Ox(ubfNM+wQ?$dqs~61 zIBUL7>*lp1E5m}}w9~qIR-f6i72XS`YKY}&S=%dPy;VFNF0I+X<a=~qTvX?$?;FL1 zVntLk7qUH^x;H70DkizlM2A?Rr>bU{Y90>EzJJaR-(%J*G0n)(9GYgc_Z-w#Ah%2K z1?aJpeuD*+rv+m|bx~5axocEh7avHBBx)+^Q1zNVA+dpEdUHdpga#dRxQF)?1}&?s z5K@IE@ITe4^whB^vzO}gCY^MaMUHBZXDX(~^ogVDMF`oUr;4HL$s4=zrkvPbouiW5 zzJ_KoZ)O0|WEIyU_0YAd2n;j31dy?9Z1w-dvQJcJ3lot6OP&{Z@LJ@&HHP?CG~iU> z8(8X95C}kolK#ittdkuVOKhGW>&J85nwI3z^A**efQYsfF>tn7a&Wwuyq{ndE8uy} zjIbnR`QcQ%*W4{f>Kq6qwcwmaG_~MPzqkEl4!%8yGmwLCND4RK3a=mk+0%evi-VQF z_GJLBz1Hr{Ey6CQ<Sf7%2O;0-eefP!bPHWtJr^p7ePL%<%AiV-M<-7en2caMNh-NA z2FKg;b-(lVuT{Pi2kd$x_$jVB9ry-H?glk7+g?fYpeRSUOpW(B?~)K~*Cwo>&+6MR z8%)Skgp#8Zp{A^gkrVd%ySNJ8vNjoK==ox_!QNA+0$m&=z0<_jq94%N>m0Q+#c3(~ z$EiW&0$IIZ!++O{9NI1Koh(#j+p-7;@1vHKg(~jurEXbAXQ}F2WcqrS!ZsBBT2Yer zM^}bm^6btlJ!M3Ra82Q<Bhqn#dxA`#_<(mzqq@Q7K_?;$ty5${^aR^sX3x<9Z`H9K z)w!v%W$Cd4pwG05*?w#;_q1(`LYL@d@-q{pvx<pV)J2h8u@{IzD1gyM@Myb2c~Y2? zWzZ@lUl&d*elnLbbEF-T_+3W0a9n?eFh_ZQE2oi=DGP-O(Xvo*331c1wAI?`A-gU# zX_b>eK}Bnrnm)xbOUiuO&36qJ<OaXozo(2#75zBBqvl2?K=8D(L}bJ-b=H?!w{8N` z<E+@jrt?@kE%)$7GZY)$o>So-r{5-=QmJ#1dE6w5E3A%M6xAmVv&~?{WO>_^LuVeM zJHhgjj8`i}&+Q+G+bwc@-Ek_1TbuJXinJl!I&s(YTo`uIw_Y5)dW=MXwUx+~lG?9S zb9=_6&nMhAe(&FwL@mzX)|SrRzcPa`4M|`q+)^~F_|H+TKP1T(AUY%rOkbDmv1LmR z6?{Z881A8-5WPx!hs$cG5o#pz6}<df{F_{n#mAioz_Y(Qe@7yY-c?7_-Sn8tqJ)r* zi0);e%j(Arwp2?)RT(u2=-kns_}q?sHW)n;j?nf>)28{F6M0#1tcW2Cy#d5BHL%-v zq&exWnXl@8D<t2Y@QY7TY(*c*)?XKPluE9A*8D9D@3gpvP-bKG!$>&tqcwv)dIQT; ziKL-7HBx$}1IOOQvaa&WMFnYVs-w8xa0ygSbw(m$;d!&N*C~GP&e5Yxq5EsxQU^wJ zF_)^apegJ6z6*I|%wsM*8fbT$w*i`A%S@<)dN=RVX%S`Q{En1f7m?m3=wsCC^H0N5 z5e<R*)T|WrHtmNd;<;7yHkN|{mi+vz5N903Ht?vVK+nZ~)#%?Z&QM~wwI@yZsc(Bi zw%&%=ss`_1Yy&&0)zz3|u~BJFqc|0^q5OObfi`z1Vm<SPPfRCG9U%3dMzFMIn<H$$ zA~ym7*7l9|p4_Vsq=8;-8=STFTENn)ay5U-CeeqH?A>8N6?t4{--PMv;oZFmanlM< z?ALXULc9gy>}j7<jkM%0mZHxWR2x1%{v^jol<-SnBG39n*1@t^qgw;buPq*P{x3pk z29SrP51JAu>~jwo#31Vv0(PX`2VI5cT0WK8AiGu7`q75R4Dd-{cEH`4qDy;Bvy=Ke zyNArFdkr}B@gC9S4_<(NPCmplDeeupd~gdY&;&d)T9%^#-<+6+xatkwzJzbu7p3$L zamJTb;CJI9Lrm#o%zcLbg}4B~K+1JuUskKh>3Jo5JZ0NE(6_NCUtq4$1OIyiB9G&P zXTn{J<+0P?Np2#--R)j2tz*Jntz@W_hIm)<j{t<3?&qc(nTPx=oWJ0Unsx9oYe(h3 ztS^FwAnd(4P2!2%SIqPc6r_|`5ZHw}hJvGG)|uVasm7HTtbVTbdprthj{tqh!%{O{ zeWmRyoY@4Z`E$B@jNU>`6rY!Usc`qY_S%h5mL&J53a&f>l(EuNNK5Ha1cK|Oa8G~_ z{$2&wdd^Ne(%vk!D-Pn-gGYD3Y;l!PcKjuCDV}uPRSu(2rBFUJ9~4M+ZJ<Tso(;XH z)MY%_Oy7_xi)acnFsl5)9`u{&z@v;!Ghh9SIPzau5!!<*o_zIgazWYJ2+6!I)G1vK zgloEOsV|+BA&qL1d185TBSDI7bJ`~yp~<I34*{8zrE(2q=j_?kRc)k27A83|N=rxj zP5!AHE!~z3w;f5E+fV0V7P>r149~`N9SKQwWUWYK3>Cy@?6?cCH_{g5tA_;bfoB~x zw()(?cELqZ+hgmWx}roLB|$``-<J<MQ`8#DHUuHMWBlnz6-9kZY56>Henvl2!AaX` z-M~!n9ajy&kFmn1Ih3jg*qP9211Ff<<#YaV0ABwE5P;u$zdh`K`={H#M+~Tnd`Gyt zA}ShkwD^iEtoHEJ^+se?=aZ{?j~MbD^_x`?Yw1RA7^myIAgmtn<=YKFF=`8n+0PBY z#CIbm*Gbj6;*DGl=Dc@WdpWEvgJO%6?j!h%4SFOcnZ(Dr9P<h)Jz`AT&r;oDJBe=4 z)UNyxe<NNFtkszJR2?2;A{cFo+|%v{Rm|&R%qGjUP&Jk+z9g3;Q`=C{AX0a41dLKp z3$lNKuPo9~No)FI?E1t{-zIL99UGQKRlF{;uK_fZPQfNdm0~F?*?mv{N~~4lPAaQ^ zD4txHSu?15ET<?O<ECuzOhf#I{+H#TG=IG>I4A}4n!q)Z59RrNOmGl|oTS8*zEl@w zW}{mEI%R3pN|xK9v)s?D$?u9%66|ZBxtTQ>p=&SfB6WLbyl!nlym0dDh%K_k!}1z@ zCw?;s+5fa?fIU$3?cNv7PTY+%JY6aJ*o}Q$h;v-Ol}00HDT<RqJTnq{%T%~RxHQcR zHqiodYHcZ`uMMb-Rp}*xuQ=y`Rfr~4_xx{8OD>azYz4UU*O*TtRR63oMrwh=jvHnn z(pRlEWdY&GgjL&wt`Wzp(e%Gpl*w(0UvmS+g&qQ$vJnXRYvz72FqEC@dI!=;$-4qa z83sSkYLi#hD~t`*!R6>D8ZUD=zmqvZn%eNA^?otp0_7q=^h@_2C}JeNv*c*oRl`r_ zeG)6<*Vws+o8Erz#+XsQy8aEiZ{ItlYZ{Bn_XBz$1jwDzgr5)(S!oQN^}WX$jq12s zST0F0jz)KN(h)`0)VerR>|b$cgj@e6is^Bw`IFFhyejPn5Y4mn+ZA<5ueS&GL6yd~ zXz1Ep@24p8W6R$DH9Rt(8TNWSvVGBQ4k|Yf9N$u+rcB2)<j2O|`F=6b)o6Ps_*~LH zp1#*%3)!e|0#iMznbRKp9w^}RM)DJWH8yx<Y)S-PLeJ;g*#qy|xf~VPTzs%bUg!gR zBps|pZ1k}JQ37x7@=(jO>L0}rs~Sdh${qLBCs}`GFHnO$Vz5`f8N=4ze4lp6Cd?DK zl{TdSoHoY~4%$8>(}SvIvAIZE`{@|Re`mcTz_Fl6RrCnI*$cbT6rAe@=G}^GgA1Lx z+LR(>p{r%tfBaf_0?pc|y}BwAHE)`%w*uuvj;u(X(XY(9O`6xAgu(l0158*;<HCn? z$A3nl7)mZlSC~&YxEK3i1F9}P(C<mrvL4i3VMZ&quiDnd+aTKk>Pg)!)~kqJiOGU9 zSmoa!5mXWdPjj4@4ff-+m{!a3!KafGW^h8F*QY)0ZYUXIkRi(klN9zO;`s{4_@?b* z-Axm5&tp@}M&L^HUF8Qz<QjX(9hz5b^c@T2qf_i83@GEac=<Aeu=0S{5%~c`2pzRL z9{&-M!Dmbe1(ol4WVMWGcgNdsE6WFNii&&sXmvxG?Kq)(if*EOPDA`m8-w`um&mPd zUVH6!=N}24sGInG{IR>M6(qz&R()PYSMl3;mi9J*coTy?y_6HWg-c{5CWo5zzrHly z=V<1uXpW1tOHZepqAE+S&bSv=xNxG)=}RW}2B2TLfzX5fPtj$~P0aWrZPVSXOpKHL zi1z#kxYoVjT%jo-?$bpiX+If@Q0(d@n@l-3S4wNO{o_pK^m47=zU5k1!%N4BR(U+w z{c|gPGfgl?IMHN;KNxt;A2tf}(KU}7nbX?qgt9)({%v4hXg_Qi82tswv}$J^yR+po z$vplIQbi7bXmL!A{R>l=+8ba5@|aMTihcYlUf&1t<EBYVJ1$V;Vc%N4IorE=__@D} zXa%=!Yi`o^PKdy)=Q#=g(c5|}pdWay@777W3a&q7tB==(Li9iKt%p6N6s>mB<P+tU z-0Jh-Bjw4A`c?kHr))=I-Y421*$jt;E>%H%qX*h!P%?`k5wbm|ZtUzx!LVk=^!16i z>Fe#VL!|#{Ua%3T-H^Ufow<nQy4pNwwR)WFI{JZSuQc5R6NtXmmm8E81OCvpIL=qM zZdk`oqI{}6n*+P2WAUQ{k7y?Oa_in9V(gK72BRW2_9g~0?0+u*!gZH&bs=5Pg3ba* zqhDpWln|aF<M4lpjvziXM?N+y$bUG$#mkC4zU@#Sx?r_qhyFRiVhPv4ude4JP`%t{ zaW)t&R}2znyEBW}?Hq-ZfcMbz=!UK#1e>&ehC63PbY7tE%4ThoR}5-sM~3TC-K?X` z;2l<v+_h(~p1*{UF>FE6^RsEiK_~gESBOtDznM5Zh|UekH`3s+M(L`}{XNY6?OGec zPS-MiWlH)pKr285*aS)5t&5JuZb81i`exSXYqwQgktzwwKeCAEVNN_oj3Eq#5j75; z0<`iHEF0PvcIx+ePM62qTo=0~pspyZxmDTgwqNb;yD=BoNYEsHq8$?g5l!U21x6pR z6x`890a}ya0`Y%#;~f4iK9>@rx&D_69Cb<=V)Zrr$EFJl42XCQ;so9EodbKk?q5nR z3Eh@BLk@Z#HDx(%W-AE_nC|DF2;F#iI9ApO?e}1Qj;uPKnA|ntw3aN+tl-;Xu+f<G zzMHJ1{AWG%bY^(OGtjd974}E-wli5eun--%f!849`fSWVl~!zg-ao++Tql82)ZCyG z@FCyFX13lE9ACOW=))~|)tBBwckvU;sVD82=Z9Xdx!*Z-eZE{2Ka~~3TuEir4Mcv2 zVl8U!C0~r0MK^jHnc+s;Rd<XLT)TmtmZy~_BcF=A*Uv@I0g@@?W>XI)5Jc}et6^ln z&rc!gz8sOO5#5nzPE8X@IS$)J%iw$>{4l4XR3rL>So|Yn|0Ut57TaXRZY%u3?VM)r zK*b0-%npxlCztAPoon4!4TSZ5{ERo%35T)}E|2>1$=<Fx2;Tnc5#*{@o%v1UoI^4h z`PtJ&&6ATo1e7`@_W4+iXxkpKGXqda^}EGH2d%MX_fo~PS`V?a{?&N>alBlYpaL5c zF5#>LJ$O=m;QhK?O<H~cb8{Ze?re#_L1>oN?y~1(@s!dhak+;A4#7l(j9tYF>|ItH z<~%rF*MKdS!T|3Cfsbyte}jd<^=gx$-T=7Wazd$xb5KF_<?cqgm-NGlBQtWaX0keS zFw8ahr|3V9Z{*~n%*59;-=@(oS%h0zh+Bs4!}Zbu9?}6Kihy587e8zlurKTo*8Aws zwfBPW(HmEkxjMB55XgpnTwgyMn+D96wdpf*u#V2j`!k_mvJClzHGrRSrYX^!4aQ<g znL$IPwCh^P5AVr_m^LsSurIZV;UD;;g98*YJ|}QS0xAiH1U^bvFr>JQQfm{h7{T~o zMZ0|zOo7^e<9KbZ27&t60ygWrHx8YvnoG{VR>3hZHAL9!j{u$%?~kGRONYjt|1ab7 zv>T3;WG(+;?$O<-@->c(t+*?T?HrVO$F7l}E+vlqK)8cA)VxmSuc-Ub?p~@e_Y)zI zd&<V(-2Zvlmy3+ax6l1&mBxCu#(I0$*_$I}^b+`4+X;vY&Z@JqVuXq(W>GfyTShQo zn$iD50n8bVUD~U=y~mAhJ~XmgeyX>izsZU0Q=7V}D7SUz*iNgE)NMbtYX*slZ%TXI z@%lg;+`OO4KD~cdM%fcz|I)hqjc`6GA)GJrhM)M$4S!5G@PMVF2D01>lpeGViY6sY z-8pkYvo(!V$!ssJ$?XNAAG1`D^Z<uRzwB-(M<1<)9?5~Rz*iGioCl2eGcYH#1(My8 z8}B(sI5`Z@XV+h%Hi;WU4-%{|;WGVT>f(WITCGqHCY^uubq>ARO7&Ny?~6FOAR||_ z_h?i5ecSK=;IL55f-Ze<WwGk6)KNsS3+`G)WvfV~RALemD7XIn18jqN>SR5Y8_eNK zZSGa6UiFY`Jg}22;Q!pf=Hr29>r#%|&|Ex4eOdEzW+nbt*y_a02ZRwju!e8H1zRd? z)qjYE-*VS}&q47Q5gQ(_ub!|&kLaA_2Epc@;H%rmSN{ENswnZ!?UG87X{|=+HUWSi z82yVoAo?k>??PMf>GcF`=kr!Ek^e6^NN)9nr?h?|3nQk<^1T;_v}OrpW<KhqB3H;m zkPemJ`E5S@E_c@pNPMq0<DCE=HM)WnaNIKm?oMxPY<!HS*@|?7srq5_WaoEbgHiNi zjPj^QN>&$scHga~BWGD>TDNll)aoX%wjmPU{Fl8!ebDXgQ^Da}yD^f1YTw3ZQ{nh6 zU={0`J-4e5@fPqQ`ouU;+|dr+$Djj%bkZM5hGv?kvoxCt+U7RHqZQM&n`GFu_g;}Z zUOHJZ!mm>94XjdZ5n(A}%15WbK0Fmgo>>`3JUkUva0#Uoa}~fTVzTTOQGgB7Jd7`2 z334I!1cQVXCZ&KJ2JtroUQ~Q>y+@LJTF-;Ee{lJ71~rrBTT0@{(G0pzje;oW%z*kI zz(pxv6T(2pzm6*3%&v?FAMXD8RVoR#=E6$k^&$90LSw-ueUsi2^)pww*GsX518re$ z9;2fSMB@8GSS)0W@9d+KOs7wXRnzf?yq%?ji57zb`}kBGd46RS@fcnlHiR6|sQ4X7 z*6l|8hLCCVEK|S=C}}~S@tJS1PodA)2^_BBPzdr2SY>9xh$qcwS+8eg5h3?m3gZF& z?Y9rXoUrS$Iw8iMKW23(FZ<>xhFTt(#nE{XJC+ESAlKx#{q81J(WqSk>`qv=ptQUb z(W9K5_khPpQYJuBc6WFL*YiD3?NbNq*FRYfg9ezpR846_E6Tuh)WzJG1y*}y;wt2_ zZU_h>?a1l!1>ArNn89u?k~<gczEZ-#IBqn+N?w0F0b$L!s{b0kFM~L4Mvi~HOSS7I zen8RPragF3r;El<S3VvT+*nY`(dt=(&s)!6LhVe(STbzUH|^X(m%bhQ%CM~VMIs@2 zCu^b0>uZZcc2s*>w?BSw_uQLN%RuX|ExRC<rN@(pB$ZWg>4rb_a>HMv8GC&;c9e0` zKjg#iXq4SH(mKJ+1|N5^Z$#f+@b3&`iZ{_zccD@XB7<L(mwGlu&1PX}^Ti%*bQ^ZY z_axVu8x|P&9D;nkwwue@Jx}_v>bVQAifEd#1Rw)@6RI3?nork<_TD>nB2;>eZdaf! ze=|ns9=jjd8~#We$La};YcyDe2&P8jS2Dk_7e~=g;B^Ce@fMIzE8i^or@=3dYMD-A z9*aTXNRTnZ-n7u=4^r6G=(GqjJOt_OaO<EF>!Mmu#_Df?GhHz`7JbStbG)}ks=8E? zcF#pAo>*r)v)!EWyxv9Clj<J(-S&Wp0)%=ph+=McZ)~V?n+JR$?SzvAxX2vxm^dq> zqR=&h^A39!lw95LS~uTZ>lOjj$#-Xa3VmyhS1y#f`{y0al`?8b;{iOLmt5)IjL#pO z`dcmcAfct_L|v=WIGsD^9n*R@p5oWNiIn()`{$yybpZ0}wCi3kc>k4A<vx%VyuWV! zv|vQ?eeY!DM>VR{G@{X!I5cR;wZ?a8!Pt#Q!U!D4jOP#I26db9@`u{W7(E>U+BdPL zfX^yWsk@k#bn4z~V((#EqiTBOqgL3Tz9pBnie$dVbtqT)_uQRUqQA3^I&sgevhwfB zR833uZsL~yfPo>1GcmzLt^_FVPiUia7#KqRx7@|>CUo|lV;<*4SA5E|M1V_FP2L^n z7Vova5KbKmJ%rAmBIaZ%r=I>hP@23$9`;M$2U@ov_?IB~TYI#VZ)Z#A^i$G^SaR~z zr4fDy+O`|2Ld)}{jL(h1F@KWY-Asf+e?DrP^2wl_SRvnf6T|s;>3|X->PWXEWWivg z;u~t2+utwE9zy`ld;AZ6wjzY)K<Ns=A&Ej~<5gd=h9nw1puxiDu(`uE4`YE~`>;q) z%i)5rz1wehA^f<~r3KKK9RftCs5#6BJh0>g7;t`~H2?krBsXYO+yr{7*<c=>W_Z;V zT^hGg$A6yfrXVic1I@VLt!?z)Q1fPRRX29L#3SA`@PI_N#Mz(uS@`!P!J*HhVJ1_2 zq2b32H#d8|k<mXoWbW(U2DD?~itC!VFxa)sDIuMwfa3GdT8@mr_8yYocxX3qnCW~C zZc0MeZf!?r3<Q~0r0W)B`oz-(n7>;U3gZ+yMaAp9POSO~0r`&`TG|ME|DF8-<kwfk zp7Zz#m^ul_arH4Xi&k$nVAUPx;k~ED@-9<oL@xf;;5S_@FUQ9DxjHc)h+kF1_!Gbg zHbb8)7e$^c-;=tb{fRv{o4U*R0~kWSH5%2952AwY=yiIA#&S-cp?SKiAr^VmO26tU z_N20Xq5;ke1|9Xwi$M52);U3dpD}Yu7B~2ME*#!JNk>HVI9V&2Nn$z@zCSu3pRsFQ zNaQ9M-T<iY`#2#MH2|}@n}RT-S{#m%V`HP7vCWwGmXoLltpz}JE`97t58vGH2)%&u zO+W0(EC-$rQPJwmEkA^U?k}hjkxojV!1jC)a#2qe5J@S-dAH|E(l&D6Zp0<&yaRJ| zC~P0?D->q$Q}4gw9=?D!>eSXs!<{Y>Q7BqgVZbNgcoCZOm)3mju~E3a@o+S;>x|1H zQ4uaq*7Q4P`1F1AYaN2Z=0+}gP7^Qi=<CWa(78e62VRsh;^{!$$nL9=+|O3=2yj?6 zYamVy9;i)bV4Bf!qJt1JrQ^P7drFVDA&Vmu97-1Uijx>})5-+mij&CV8JPN{O|gcm zC99BRAU9$8%v2=3?^Qz#i95C1eYkP_4rC$gI^4+7dh1zr(5wL`slh+GO1T?;iVd!k zxDsplal7THUgcv<Pc1Q@Ff$;twXaZ<1HwRgA90D@F^US&roE_|JiXW!GmRdON7q%D z6KQ{qD_Vqa6Y*Eb{OL!-@#TkhGf%Kj>-&TLgEeFePxN&@YN&6_4Vz~NpSeH#k%yt_ zN4kXr;_EJ3liciP<>FWG4eH1y?%k2v{0KRwlB(&`Yzrpy$V7~qsNO1f2)XXW3P`O- z3?8uP>FV;xvH?+}c6Ay2;!lBj_N85@8|>4IZLsLlo-W$yH%I9g;m|tbSLF`4-6QjR zrXfjczD)1nT~A^)j685FX8u~wUNFdd^l`2ynywA;sxHK@V)hGl5K*{8t3t<|?A9q8 z($&ZT51x!wu3TdW>bS!bS@E!aEyy>5C~Eza1@!0==?8EiFI-RzC?2`%)-inIqdek) zN4!-ds%99u`_(jydNq+Tt%Za1u?hf);BaOW;TKo>c}B078^-7;oZ5Uv$mSw|liGY- z(}6W`*}W#Jmz$7!Tq8>I^Ns?y^?!Vnw)v)|X(6m_tNpJ2zfkN^kfBg&Bv2g?vfs~= zX9N}2b0Mn%NMAaExphAz`z!)<9OG{Uxy=2mEFtza;5E!eKyIQRUY(D(mGo@^+e+)N z6w1|h@_Um|`YH{RU-6#(u5#D8rh}A2HucADw0VdRM*L?Zb#6Xd3F^UQ{8T$Df#^fc zX(&4~%k``Ur9X5*S;^nB=*n`ou|8?V`t<UCQ#ovn!&uzT(!&~agZJm4Sa_U=PDC#s zuwSlI4c&!}e|m9TMRJSQl8O0}X~Y6fU?58Q67`c-t9^xvN{AWuQ`#9tzm(dd86WV1 z50E>28LW4^BMu38P&)jN1L)>!@B|3E?6;wCi!mndEd~7uU|ZIT+VYsY-E$|85kvBn z3gC!}*XrK%$6hxi>uiRRm=Jnv4(}llt#h!CAMC(hU)i7)cNI{_%}c<Bo{N$E-VeE- zDY@$fa{2F&pN&d+ZR3w;g>9e1mKP{&bwS7!lre^*k$6lfTIS~z_@d=Q)pYm!GKW%9 zRjCDJ)qmSWZ0gSu?83JQX~$g5Wf5u)J7`l}r};^18OTBxnpWqCw(9U^)^tEHCv`by z3Vg3&f!8*;B_`)NxqGlB>uGoPCG<|v$@o4OwufRzGq*3)7#)fR*H_Z#58cY??PKrl zrEW1SraXrb-xhELsIQc|Xxvk~37~Z7l9Yh#EZsN#Gv4D-(g-LmZc?AL1O2NYfZJFA z8mil-zc=}~put;bRIlU)`<o9lc;0^9aRM9&lhr4Z9nw-Ab$5q^bM5%JILwLmoG0&3 ziscs^3uw|#xX>^0a5+<*WNnK>;#5XV>XHEwm~Y?oo$Q)LO_5rZH{e!{8Y;)T3Ce>G zl?+@Vh*2D0;QBRzl{$zix8m<e1p46=t;OfE*q=7wL{Jusfvn6KB+;L*Do0KofpsHa z;F{2>Ol`0h(N1tt9jVzfa^xVtj^k=O*FH2Up&2l3A{#~Msdn18S`)uhML9<U112lp z2)^xMOh>_puTSdttdA)y%J*5K0oH(KzD>LGlVRPT_EvVA*HmSrRLH=qpv=4FB9@DA zgx@Rub1-9Z9p!|jaty31c?O(6d&*3;$nK^Z`^{=5I~bBYeg39W_UzT#6j1)F->B8d zFwNoE-Ko&hLMpIAe}BcdeH1Gc@wnwpveDfbp3eupg}nTB8xSuW@4&Rx^Z@AI1C{8L zMW|j0a>CgHdKp1B&Djx;FKtZeWJIKcB*NhcnPi)&+yyC!O!m%q(jZ$ORm?%gHO|7P z+e6EBVy@St$5WBXHgbGPRymoqu`Wksr>3=<;Or}!;k9S|QJb-4^anaurT+9H4e97{ ztC|GN)n!6Q?3}zy3y&1hMM}`;FMWFr#un&}l@k0+%)p*Wl^P*BW#e~Rzs@N62YpJg zvt*_Poct%?Mhc`3c-jAqNT$_g@6=GGz`0=@O9?V066ahD^C8qR>3i~K;O~I$y{<w( z7T?ZIvvmD2hn8A@<dFLKjI?EqTI3JSiMNK7+D+}k0&xJ{Lk{{jokI{u?_QO4p&MnU z7G@(Aht0RqX9KGf?i1m3ZVtk<a<<>Qmvgl|TkfAi92Da<RI@(Q0-ep0&_fQCG@r6r zZT3f&YE!mog;A!B8#vV`R*Gjp?dPq0*m>nexH-Z2=YbP%q&-tgB|YavajDF|QpuJ& zp$MQA)W;C2(+bng8odK0g&uI{$g~(ObO}XGH2%s5P=&yd$Wa_veDB}zy5r_xPUoPk zf09zRY9*F&jGWSc=gHx&#v!lEEUh~pJhl5v{*F|Z>Gpe0=)s<_O4vp=u7s}pa3yw* z#{p$ZGg>XPb31XKOB<Xp<ro;(f;!!l>bCUdraPs;P@AQ#(monAfd<zTQRp#On(txg zHzh<#ChV!UBH)ZKY~w>qS?GZxjhZ0O7&#&2IYG0URo~Tk&-owWhevK1=4P49zNIHe znXCZGeqXc5gA57PXB(`!R?{{jDKA5eA8pdC)9goYL?Y+d&qy{$CUb<t;^0|0f+qSW zTkl)vP7z35K@VY~<iyXZMJ>(@s5waZj)Kz7H-ur%A~@2`r?_pcLvgCo2i<Fw;J4UF zrjvA@RYVmI$XU5x(2u3Mn_CafA?1DzZ`|spIr`_+3duey{~k}+I@;Rz<rEWH!WyRF zUyGt3{>}WIrJqmgV6QhoP@PKJXUGDJI_;$NHrlnv6T~Wg(8NRQ8J_aP@XsWX*8xYB z+Fp{`e%sfUBM;UO(5e(x0f{P}uyXPJUz0ynY(s)La57iaeh9%+ZJ;N7@z2r>bbe%+ zT2-UAbsm)Rpuime((acpL|1JT{MP73s8hs!Za?Ve$V2*q&$*3s(VCk5v{n~uybK(i zg1=P0WCz0WP1`%hSe7Sc*H{o0=JZ;d7&?s=M6U3W-|Mf+z^o!y>RldU?W#JPBwu)5 zQWW?Y60s|MZkJV<Nc+=>sa_<VsO4O6mumAU^K*T<#WC6K_=?}inXSO&neD=99KWv* z(%Ie;6`EgQfD;$PnfFI$pX(+Dn*3+`GPw||%>htICH0l<xMt0?vyUYNs(@YM=LtA! zTf<wYXz_U0EaL7(qNC$uCa^|@_CnUo<>>Q$`#@o_%eHZ4k&4(q!_oC+ZTd{h`=h^~ z_s7MEwqA_Pl`ciIH8ROJd%*s15_0Q2X+sLf%oVEEweKrb#o-EJbAA600Hi=$zik&= zJ?7DQ{dd>7=zj?<U0qoBzF8WJ*?ZcCb@RH5Z!hj=<NFzR!(2qS3`jBk5>oxC5#7I5 z%>_G9IWR98Nri_Dks6EdWr*lDl}9amSHYz34?M%F@k-S6`||&`>L0vT<IgBU8-0r6 z7UO7`Iv91n%!h7M!}+Vr6YtS$m&E|@*7w6g8;`j-27eyG>5w{y)Nu+}BXwr2Rg9@$ z1me3OhBXdi*h^qK2`J!O9fphLfa!WY?(&&4YS|skgN4W0$zr(K#giC@y{Djb@A9B@ z?;J|E!7&WrZjKm+hLB#Nfte{P;ZQn<(m9kaT@U7{1j+p|kCwK0i`*ZF(m9l_sgb}= zmoL+Gw|_<HlHv>7I()!wnuF?A2-W>s#TR}eRQE0eRF|jtLIl*EU73Kos0hPcrIWPh zIAL{zpfmI4sug=OPS+%yuDI@F4yJQ3o#aNjfI0`$xk3zFKP;~h!@Crw6TC|S7khRv zU53q<38s7Z1ek8Slmn*QhF|W2=`{RlgM#UZyMK9LIw1y6BU1`XIGE1CbPlFV*MsGv zGcHjF(+SFEnZyloB-8}F|DJ3~<0776X-`C##65dC+wy}&u8R>5_M%FQR|g2*`E-gH zo&=`baWLJ*)7qkNBFA70BB{c!!?-SroQh=|*bM(bF;#~^ael3cy5(ycA)s!%v=F5$ zd4C3!4$X#)@pK464a4cKJ|DKUusJQBE`sUMqeua3QTVC`(+&KuL97|)`i`ffLxFpr z>dh9!#ldviiHTXF@|t=VO~+%&986~o)0r^}2?rG~pI3feK;8NV)NPkiq)q?})&r?S z-fIS}Y=G)uq#L8*Y%&@=+z7mF)elaO0DlTx;(s42`+xY}(7y}bzlf{ZZkO{wby%+s zs?)ZGn*`O_Y2zgCRmeKm$dp184ytodorCJq^<dWhk_%)Wo!9?y5p_@4966}&S>?SZ zP~EPM9z67oT21e(Kj_~K-@llR#xF#5%+o<#!*Oe<?$?T_Gb`p|)HugT6X+E1=zoVx z0A}0e3{agZ_cg)lXyxc@hGBKhU>eNggj5q)SDl+$X8EritXtf8yyUsuS3SPjEL3@| zy+i99TE{6M!f8^;BD?*ec=^2Y>(IJ2M(fHcS|{KIn~v6@Ttt4fu963>t2nf7bMs%f z!QC8zb%$o3LQ?q-trNG0tbFxxKYti>y>kHUi+RGP9rR~Y^8}8avI%GY^o!MmfBJ)a zyJxz(?e}Iu*OO;z=9@j-VKD7X`r}!DG~~~iJ1{8)r}*X4Vg}q^u&sKjW+&l-Q^PsH zuWt7&#?cx9*|lB%tC3tq?x9^^-EV))f$v3<cR};<I6#N9Ik$Q^b&#AjB!Bm7MbBl( z-(lK!&ulg%W&JoNS6PV3l>v4ouv&?N9ZxEa$7{x^dUQen-B}nM85N`p%RYv2bLy9* zR9*bbTy$j|FGo-1%Fl6-#7-&}nQ#ZeaoT1Id|^v;5p~h1x%Fcl{7)hFB}AL}r53uL z<w~tA?8*dpQpCul{g_!Ci+{1<i^%Knw>8G!Dhd83Apm?o#iGrgTV87xJIx+HE<d+j zc?$ei%>%zx9sIVz@Ef?B2YwTrQo%kr_|3s@bNh8|L*n4KE_$x7#{Eh0$YLDK(^C8D z=+sJ!lxucqrJXanOyAN4%l-UdIS0S7y`)*#HRZ}ihvNIxb`ZZ-M1P#%>F{j^qi`0t zo(+E-eye7H-wfHSNyi4;h`0!SGfY#_a1jJ&`dP%`T;A#vLbDek84iYXFdV0VH4G=X zVS;WGFE5#!Me^&AxHU%Nswone!9+4B>zN^O<((`xn>~L5#Z_}aaaV_>_UFrX<B&E@ z7HFI{&jE6q2guDa*nb|A+pC)Q0@4wT!{i($_meO=DuJZbpe=B;Z5b3-&Co!I?Zzs? zaKRrEhD+X1RQT!hWmNa)O&FXw^|q_Ek~a+tcaw4H52?=3OMm#o8~2A^@Vyg^XWp*o z54+yp@A0giYHfQfo_3VX<3L>QprnvNp^@SjTzGU`0kpSco_`@74fIO*$7)I?LntWc zY9QWW0jnB^`B-(0fY{~pi@7A4@reC0(I9YfW*5ofmz7FcRv5d7na{!lRlv9`Ym8k# zU1Q)~xTN?k!v&!i6)EqZUNrvnPFmhUz47NCZ$Fk{7kH_WtJ6laO=E1_wRnzm)i%&o zOPOo$rj<&<ZhuMAk9LP2lW$z!7wYTMchZgYk8zr$A78)b!wsuSmF@AaN0V+ad0+NA z!C){Q`<?#q=6%UKxM&_8H5;lB<MqJreDOY?9JW3}P)h&tf}FC9iS6vv&}A<Caj;)> z<^t)w_Mb=p;=EocQDs{nt%rZicMw*@?#}MDc>=d1%zpt8CrIM`dD5Q+-t0E;s4=ql zCHV2mD}Bdh4qkb)k@rpgJf4hZ)M|x>O$VI`N`Bt-r?X%(t$F@nF!vo@;CQc8YSUVy zi^LxfcDG)6{v^<^ip-V!;jBMUSHB;|kKy&FYTPUs(lzMT$~t{IS2iquf;V0rPvdvJ zQ4eD<Cx89{f6VSD!>RYb!DRG?6Vc99<Q6wphOyfrDmcy^b^&X*Bb&gmJMi~3%P$+8 zO<33jVt^M)%w;GN$Q<%cAvpZL1|6xpA*{C9c)HsjqyFglHkkN>X}dq{&)Qv7H)vPe z=uGhF&#U)0NDD)0AM7_H`Ola@c6^-7tarOO)PK#8L&oNO?jvM^Q#j?UrZ>{NP)x0P z0+&p73so~BYX%vcSF9ecd$*II_x{D-*h+_$WS@`=QwIm;HuGXM$zP<0@Q+Y!P@`U2 z-^mg#`h1ar)alh@Kg2jmQq$&@_ep6>ejz*EmKctN@P~)ZlZ%7)VZBwy^81Z{%tiWx zt$(GGzWJHIe7Y<&DSsmAK?4soAppGBzwvsbNmyfi-uf3vdK7x(q%Y?ry^ytEjMV>3 z(!-AG&`VLYKRG_;Vox9Q(O&AIvfi}+$CX#sVaWms&xIa@&nfiVOWkt*ETISCt2J$R z{8Yj#n;IfLp?#&lbIH2s1Q#RgKhL_F{eRl5JNAdR^}xUHwkQ59Xm|Tx`#=lY*sGAb zG5=u8j3f9t<x+L+<)5hGH9;otFeH-~d)wUBKUMxyn%@M&hm457%*TZC+B-cd6Fvk( zY|UoydSW&_VKe=3QA7oHVh-?+`Dib|dj%a0ufhPRHW(2h5~3F=`*)*m5EDH4JAWs& z*IwNyYM+53OCfc*X&_=l&!->q5c?4Nol8Nw+bPD-u0pi0(4eWK_EGoHDFhyYG73rL zZ}wE(-e@%uZ}c1e$Cl897wm2Tq36|ySMypLI@%3-{b4ZmuKj7hLp-6T1PM2$Z@jC~ zT@Zq*LGrbyPJ284(7O)tVqmw|KYx<h>Q|iN6IQE0+Sp<M0fv`oup15+j1k3O2^Yk% zv%<5kj#{mg^AA_jL39Z9+a5)SvsMod11l)y1Ba8D7DABB$qWuBGqQri$plMqIGI;0 zIDDXlrX92Q;JLxT?l^j3b~QW2c7^mFOM?xC{23ldcYCESchyoSRDj&Y34hW_M|vQg zo(0p1^5Jm_WsYzX91Q*IL3D;ZjgN-)Q)wIu=RK8H*ACC4=_HyCr>6+zNY9^t?&)(V z80q=505ouBu=CqzcK)1AHrVsqor3AkWqF?ta#}~tv$rP)pN`vyCs)*Ot9^EHc;r3e ziSQ#Z3s;0sbH2#t1;*+8wSRX;mV7YkoDt`Y6jjytfx5JE0CsD;=J5h*C1j{c_^n-9 zr*Lt}7x^>|y#Dol4+l0=atsij1P3GrOXwF5RUp-W5qTCmCS4qn!e@9evCU>iF3kBw z>nOry?(U~E0#!<0_kKeD#$mI5hU|#`?Cosg<G=Wdav}(O84U+NXn!oaypa1-_I#9o zp3DvIjPAyRU=~absEhRx*1<m6P0eJQdCL8c1nWQ8->V6JiXa1sjx}Fj8gCvgJdJd9 zNeWuQ<gPyq;YzR>yzRzZQjv#X&~WN^2+o`;Cf)gpKatRMk=}AY8{Odo9Ry3_ryt&} zKk0t+C&BODw_A#DM1S;KpdurOBY<sw7k;h(g?K(j%rJ##PDb}Px5^16FXm(jhWGHx z8=R4mWGWApJ?13n-gkiRjYr=g84%d%{hccF{e}b<lam+n2jBc34`lc6-5=;Z+V8Zx zoql^Z{X5B7^g7yX_4LEh48o^mo=G&oKre$-zwu5mE+p8}1%H~klH7jB?zCu=xgfD? zSi93@LH}sVjoo0eShK;>#Wk^J<CP#~j%(7LX%@lB2x-%wmQQp3@kaZ{b|yONQG2kp z)aW(mA3Oip`Nt|PiC0w-?2~3fm|y4o<L#p`A;9^^&OZ+Kh@0mhCw<^VoBT`p$3pP= zLI*jFEl)xsUVr`(C0+atAz1Bpn@%wH!%RBLarr%VkZGE8kT=&s4*8n{KwfT&fpd_Z zgX|n+=O8-(IV|45cS#OFb^tO(6R1pCvfl}SEZO8=#zB@c1haU@dEjGEAMm2Tj}X!d z0s>c3i{%*qxKPg~ian=<C_2=Wt*(6V@pAJ(op<cKV}IuzJMY+e$Id%;;T3UZcRjcu z_Ks8dShC5#l6Pz@<Dh%Tu1aTQSW@@WB}P#RFtKY|Qp{s<zz{pVjUp|UT))G)%Fb0T z%2lS^n`f95CDu`t>e7i5q(F-_DJm|H>8f;U#AU8Wq6}kHPE>G3`5l{5rIYfq5qAFe z{Gv%2QGedWB_&72+1cfNRVt%-^x@<R;V!1E?X;+lUg0S7p||-04&d9o<8O)6RtMp$ zD0$`l7w5ke<-Z&pp8V6wMc>t{EvDJlLL!W1d2ykA3Ac-EzhE9Mxh$%J7*)GN;)tqt z=Y91D_dyLQPOnkS(Cgm}M@Uogw(zmCy<PK?34feIcBO!r7hu*ea0wpQ8T{4R)vPl; zvM_>OpTBa-WZ_{l@PS=gku_sEJspQ&NggRR<HC3rsn8E6JA=N-20a#$#X`-LOS~|b zTRPDhb7#zpGUkm#D*>M`7oMtl(Uxa1B5VomcB~#q82+d|S9YYKFbFEnIz?5`e@0fl z7JsFps+w{rbUXDa0hyAa!|~(=(D>^gV}ClOZr|yk_td|t-5GrO-K)SI*xBB$!XaQI z_=^?b62uxRaR(%=BUs=Z?m!k^GONvBIF%IPak6ldU7PH2SYS6(ZCBALh-wT*l|(b| z@%c382yC7spz}A!e%><q-?CeWa{-(SP=AyQaMijzXrhmzg^(}16oybZgIf;fGMGn8 zF2L1nH1<@ri@W}eN{(EkR>c?W=xZM8R`|Uh^$3H(pR#e&DTp1{kyYcPawWcp8WjDz z`#bt^Gz`2BiejLO1}-}YhBvcYRZ1hio9BDCeN@001Yd(eTz#Wlp@Os?^^Y2LQGc=) zl_a9tv{XrA65OE5#sJNh;@c|#f<9ceTHsqTl~KZLS;@eXOX8TBsvVa+K?M8>s?Jcw zlSMM12BL9WG!`7>?57&R3CE{i=YBE?hBH)08KXTG{-TN^H0Jo^_#%`9d`PY}z3ulD zhgI!plvDW{pdt=cQc0eyo5%yNmw(eI=F_6}UYe!(cj`Mw6-`Loijj~eM8vK|)K1Ne z@o05I7!S7umJ2%zVRRun0<~gi#Q90k3Ho2Lm}mXFV1ypeoe`=k29p{o2<b<nJ=jkd z2*5v8v6@ymzQzWj=XC~wuc{-BhN>zKRi+Mack4rjqLN45V%XOD3nc=r)_?VOZQDFv z;6p`VgfLtfT?pG+@hXj~{3xX!m8nYC)P$Iq)B#GC+JwKg-S&(LaqF+6bABQ7wuepF zs@XLkC<_D>$ZZ#QE?itPr3t-58Y^-e2ut*S$c0x>k|V;@yGlhWLG^n5V9*U$iYg0M zDrOZltye*{RyDIxptD_RFn@uEg)D+*sIy`T=W8I9`&ZC8qGQpVli}QpVg5o37ehsF z50#D=sBXD*%p4V{Zoam(6k@6yRzfQMpQ%h*R38k^A_@V+9Z1Z`V042f2Cx$0HM(;P zM9J`j1@gqcuuKuP{%RiCSqk*8GgDOHme%@fA#a6i3*0haTjJK$sDC%3%5`LU;bc=c z#MXC@I<|Uae|GzDGr+A!!l!UD6*Q#w!Q)`qRTb<~ixOTD9EDQsz>SBh%jON>nU>%S zG_5&YvOKvJcqYh$Fk0ZSd9($Gn~!q%;W9L{HyNj-h4VJ6t5+a%86L_3s{|32KqyXP zb`5JpxV%h2xNI)Ds(*2uJ$%N7Qz8(Y^pLVR6i((i2zc`#V8&bvXe>SgE}8uYY4hvw zUrKyF^u9ez5n%l`j@9<y+`r4v7o1IVZ8{#yyJ&n217t0P{GDYf<f>$d1kYfZ`=iFK zKO6#nrR9y|-l&5ZdE)eq!f}DchK1mOveFM^T2OOXA;gnAe}CYW;L|7NkiDRDivrg8 z6-UbWaWEQ<ul>#!uZ&TX;BJKIvG_agFX!G?ogZAaE-v|{%nOG(_q&!@Tu0!*PvF=2 z{oOSXIIuz?@<7t2I@^X12dGNVs2dI>Uv=kGC|(G_jy#;36Mw<#6lo2)TTX7FI9z_S zoqRD>46g9cihsM)VDdH4n#ts5>t(%Z#nWv|h@xtTQ3&>nyN*o21Qbwn*4Xe%AfU4! z-t5PiD!>oGD4nOl_c6Z1erX&HM8W#o0BdTVomide5Q(!`nmBP8#v{>TxaN(z$;Hud zxJG-x4na{Pgmy+(d^sJXI{ELA1&mLBFDJj>`4a?tlz+c}S4T(TEY8B*qR{x*JmnW! zxV|fvTX8#NUQh;tD40h}k^ptAADEfMAzk9M{OMFB@H@BZ(aRg9Yj?uVj>OH~@Xr!B zv#0*#)XdM8(?9JHlI8B7&4e!Ih%5|unvU+VDv}kdz>5%~jXQ6mc0MfR1m|Kn@_G%F zW8f=Yy?;kQmOma3`r)D?GfJUQp3=P0LIv#7ACBo*g@UnERe$xD7u^?^xW_39Vsm;F zS4BATW52)E6r3HG*+w$)`_pZ723^Q5V7-m5E^`~5MKiO9&(cX)-$R%8|3MvbA4{nM zIMM6hgbjpkLad@mZg;(TyX#aWxN2T#=)&#wvVXfsyYQ-o(Lio{JsQ6dF$?DEejE+D z*X^!$yu47%%kOICcjkp5Y{Ag-+j5g_2Gk|@LB77^*_~j=7R+9GiU4Z!_4yJ$c%S+U zP0%O^y@n75^~38<@Lx3J#&!Ts!oR2EJ{6;(PTm7J7V!1TuPJ<j&RHtzFEY?m50NoF z6@TNRZi1<B3cn*ZV~8#I_#Ou`_ha%wCc(e&`;?-Eox{hA)5B~1N#1zpv_GHS`Z#Z< z-r{tt?kJcJ|BhRR!C>mK?)~n|ExP^hAx?l$jR<n5r;Hj9saHYUqgxy+g>Q<-|2L+p zIVzS1x1gXLyf;n~Mt65OH3~f>buJh`L4SC?mwm(&O=%|t3egVu0YX8om-+}$58Hc^ z9p*xl+XYIMbL0cb3YTvJm>Xg31IhBGL8j~${7uaZiVc=8uZb_4K|T%S5H84lga+Zr zTt#Z}8;2yGcZe=ey~~TwM@{eI9DWgHp+D*!wVJ0EhYk%4cOKCOdPIt^7AS03`hRBE zR`0u`2GMLYr^X#M{Bf{fbV1b1#_@;t<wdjIIB&JL>|)L<?`jo0m#9K65&q%&IyDr6 zA0>?DW{x>`=Fyr-VWSm(5?hhj$(fP~2bcx2s4!Cmo5Br(1^Lz|CkBoLg{P<<h_CO* z07;NrMlFkWw!TE;%h5OS7yNzmyMF-CMlk-zFr2c6;Z$L!)7toF=M=jOf6bJw!Xss1 z6uWff*?9?85j8kXco)N8*ZveSTW}M|U4=&%ItYm3zZ%^skBxX4e;s0yQE)#YUU2;2 z^~SSfXp^R>{_M%!OW#rb#}ElQ?{-|BHN4iz*~$3_uTej3d^)YSPLu}{7Js|(K3yKx zTdWk$dHwuQ)yQf5t8vP{pD&qnc+xy-w7kZ}*%@Y}rF4=yJB_Q7={nLpD$PSv4(eA& zUL94EkFJ2LqHxb|fAH!(jjOW#Rm;LvKV2P!u2^~_d{vtN4v*}qX6gs^^FKXEP5e~) z57;}!Kb+OC{%nJ;^>(R!dVlrV`*`x<Bc1`X|C_R9|HnM?Odp9AQ{FKSEze(cYqwUd z2t((tx?Qbp?XjU3pIVonTHet)^^6ank-eee*GFFS^x|{2w@e2vddYO;qIXP3&c7n0 z3B82RuDrve)B3;6w14JYf>@TFSSIVsiKWttT+Pfa^F`~5X{1F9i+>HBUs*@zY8#8q zY`UuGJEuZZdN^5qKC<d`sFTa*=76148uOMNU&$Ku!{y0^^0JtlmN=rxkB@k1OrJkD zT4=gb5Y_Z+ZvM!BOFh5^@0AW*WG2&*i>zcia^6U8fcpu7lvQUUb50=VwtcBgIDxFU zi`=y;wBX~(C9r@~z<&^_PDnK2{9b)tS;$&YQ$qTVSO-^F8Nu;%w>=(BXYKHGs9kNB zUhEsbT>Tjh!Pmf04)zn|C|juf@o_TKqDMh8=QG9Zo6$H8WKHkVLfof$0*CL|V>MNt zo~qJioTnqM>5Ym7u%lkbFaD;gbALJ~lnyZf?9*@5^%(zZ9e;{v3=9uWdKYMcYblng zQSab5Zqsggfb-d|Yy*LfB5JPFIejD|ffv?yzntJe9VgZ}Z~rtTl#?^e4vtPpl@R{) zpQr7skH@WM`{*2$=O1&C{+;FNfF<dhpZUwDjMbz(rD879pQ>UqS5!+Rm`uH+p?^Kl z7S~g0eth|%-hW2<k2W1VgXr4lTvV@Emil;xR3{<;<d4#bL-iD$8gQ0BmG(&+fLP6t z%E?7@v46n2E16THigDKaff3ReotnsXwcd16L{<lYWD6%=$pI4c)R<wmh_7L@R`0^O zrmUwI%WcZ4vG?G)IcqNR*hL-}RoF4ypghA?Q{7%kOMjVM=opI=r2R<RYU*C?LA)=) z4{A1bcw9O}*_}~bkLnxJ5D`m^FDU9_I_ON2Xbl8$)DeKAe@EA#keD{@kW{i@^zmSK z>y-*?R#zk!+(V_fK4k-|IFB$umu{l;kBaYz<3eyF+!aB>D&1JcEQfI<_;z+bLA>-6 ztNgIY7JsJwqUavHh_L<SyA*Z_;HW_VOq!E>7;M6FJ4<Dg4fZ%hg6w-$-H|NstKbQW zusJ>Y=h3Nm_3`8weWYSgia8&AZ))Lv@M+Hd*t{rToxi44#Ft%yfE?^cV9}Bh3+I0r zH5=y%3lcs+HgXnKNJypvX3zYohKHq=PuHEv1b-Rw)Dm^rGlfV{H*9HOd^pW$vYEw# zr3d?;u8x{*lJ}GIOW<{F!D?{YGI?Ka1xCx{r^R$+PaYz2s=6;ygW}4UqcG9Qo1$lw zKbU&G$>@&4eBpasYFXtuF(XEAoNCz9dwpv!+R*z-(OWC7@N{}d@@*$m>svk1hThAR zZ+|;_FSizh-LsfSYkE1SK6$cyGe?=bD)DAPbU(NjXV<XbEErX7Avu6O<E1sKvnS7Z zt}?$rPzM7l=kLmEU8E7@Y-gYypjd1Tw0UlCuz7ofIR@Kr4wihd<~9dzb5ImGN!4|! zbXvP=)XLiKhS@^clZst`f?hyao#i>d-G5faJX$j>>=o#+nBM$N2ttL`5TZu1RF@q< zU|d`HiMSuTX+mzLW9p4dI?=bHd}XUv5$YiEy(_zC`PmZwaHJ|npqxU#_rs7_*J@Iq zU-0Dp3v>Z`ktLRAj=+B%URCW$T&-1v<sgt4Q1tT@?UhitVozc0a?PdUH4l>s@qgAY zP2sXVg`uF$+Z&0(Ve+q87YSl-R=ASQFpplWo>wBnC3^~&YC=sniNc{G{>3OPEOWk3 zWy_ojE(ynAf(&+^speHjpR0B%uC6|p+wu0rENBwpcWXkSe@U8^zA)cIV3k<`td+U| zflE0Fyo1zoD{?7yVKrm@2`rc_Nq=a!Yh^oMn6$++B<W9478Fl>j2vcr=b~J#mF*m4 zQWnpU?n`$qh`#o&MHzLZ1-mJzR?-!}B7G0f>h}9P)DbTWibfzW_IJN1b?x_dsQWGS zFO)n9?CpM0>e{Q`cQxzcTfZo6?bYr&x6@A1%q7}1vm^=5*{)?r)!uVd0)H!X%xK$I zZBxvMEhN0$5FLRn0@ux>C6EVkHzc?-uj;)#Zk+z94s6e>9(p`eKY}nu=l3@l)I$hQ z6drtewd;MGps7JvmiXxK&!{vX+QU=8Z#WATi_tNf8pglzPE||Kfy&UMZZin~<#!7w zsBV*o!E1kta{=l(P0#i6qkn1?pU%g_p#4ET91}kK%hS;}#1~W7Q1p+U1;6uUk~^w} z#G#T)9F`kFWM*(NoA^W=DqI{YD-{Ry7E+O6&rlr7xx`_)0ZuCshcXw3@=C=4y{Mk6 zIFxdU!*b)VRw521E)J!YibLrci31CgiXmT>8y?4qiBTxrbhC@t$A5@XMhX7m_D+hV zru(SSYx7{?k<8+xc@yjfhzgmL!RG_U>c@uOIpuwPO?9Ed%B@#%eu?^?%JZ1MuL$2) zh3_lKoo#$-9wk4gcTxFCCoNP&X`o7TSn8BTuq8Q67SU_T92dE^d68?3x%N?OOD;{| zqSjp0T2WDJq!*uILVxY<&hGVM`^JvD#R-~;V-pk}p*FCT&>E-H;xY#+o%S2$(V_*Q zD*a=~rA52kyt%aTRZ%QG%&4VZbeh#mJ$~r!GxYz7_UTF)g~`v@O^2zpE6=edNr@dI zQNan`M=poR%zu8az&sZ7VBvAH2@AVAG2mf_ZH7WEx^KiB4u2}I)(x)jZ_;XO$;Z|E z6G`PWS7$9MI9F`yU|R00-bC=_X}xJ*@@Vhf-tmFijhBvtEXz!-qOKaP8L!^y1k)+7 zNU8mlb+~FV1b*iTeEZ%EKo&ya(yv2cr}$AqbADTxn3**$(bZ^PTp<yzdGg@{+Tk@W z&W}$%d}^w`G=B`#2%R<6x29!4Lzp7~TVR1XVD##}16RUp*(JObTP<;h<@*B;XK*+} zQ8>e&N9bK-A>r9}jBGz20_a&FbT+B8?ZXw_7V_`-?lJ46$Ki&^@ok{rZ<H+OT?e=R z*FGBJb%QZl=T51u?<KVmJ3RPMEmP0k8oJ@7-@M0}&3|C@4JY=M4+p>F0G+Dj#%Jtb z`@VOnj{Y%w`1>ob0qX-iA*|W;%Im)g-n>%iLRce=FpLr42Ua93>b#rW!t=XboZwF} z!Jh<Pl-BpgYmFkzf+~nLw(E_?(e}1?cR!usl~MqM`u{S>LOm?u9Z47C_{Z?_5%8fP z7=_FDH-CPA7(KG*Qxe-f+R&*-MvLPizqbNQwA*QP!_nuxigXC+7Eva|T>!;=qkhZD zfVsCu)50!+F(E31KZtPQYa|WwK7uKTlZc;n{%ME}5%D4t`wwsT|ET88HBbjRld7JI zYT+EyDDKJ}S5YfbcIAyf=?-W+g<@-|m^>i|kbmJGuuBT^dCb=ERl=rbTfl5W_65u) zE!HV)@T03;TexgewhgQ3?mPER2PcjbXEO61AeoW1$bK>*j4F~VPH8;HlO31BlhtCu zpM(8;?%lFC%g()9=#a2lVy-fp+R$@t&wL{1-qCT9bMMF(b?)7?KfHmn`oNofzV4lD zYghP!XKs;m@BF*q{R`*bIrlD~d$;tn5a-@G_s*er7a???wxr4q0x<XXvx)|C?wxb* zC}?Z#+`C6?jdSl*!<_oT=@I_8#QzW*p0q?DkofH4@YCthm6r<q0v~^^GY{<)&ReQx zY6G9<rfPuU+v`#H2R+9va9{dw@_BYQphsfo#i}poV9@Rl`?EHc)oWLhcAfKIul}ew zIpJ?cu|1w^*g5S?`eSMz$-(NJ*^EgT4hsoIKpFdFA6Q0ZpQMf{Jlc}k!)F*+W)9IT zzF}v*dDgx>J#9CRKY)Mm>{Bknmn{oCSQ35}?K(jMRQ*5o&@8gozqz0IMT>eu<FH#N z|Co>FmPIn2H_d00(V$4h!pX}89K?6j9y)3-+*C6&k*obL(<hGFj@oGc9yN+WWiGDh z%=xiQ`hGpVjk+IkPis=+@yC36+p;9<Go&_lV_ri=5iOySH6nksm><YK<)gM`^~GmM zZIEEOeqci5&=2Gv^U=IySMl<B)4XU~$;k^pkbiX4F5C~~<aN{r<aMwQlEnJN$@bFy zKu7KEqhlp^tH4Z_Ozqh5i!@36F`wSH?2+<Jt>n`Dz>^E+2BshK(b}?N|1+fZ$wjQR zmjedI6b^kr_9=fK!Iz7BuR@ii1TWf3GU7s!uuqQEj?{EY**e6(Qy7LHB{LW%7YOL2 zdS$+dBlQN5S{(pWg&t_?<nLU1S}g6e?nl9t$i?yF@C06q!*FpH-nagS)@@Mn0ar(@ z7NT{M_#GBkqgG8=w!xwv1Dmz_V4Eag%{tg7nOP5$d-;F5NDaES;?wN7Unp@;WAA~5 z&-2%D*vQhZ1DoZ3&P8}HgvDF}U&d~)q2_yrl_0ylQrE1Wg#Cs%LC^M0Bf>vZ=6{&k zui6xMM#CA34op=d8>`D0)gI`f=D^``=@2yvP{$yg7uAVGjGu=Vyr_~e^}7A3j~)tW zid;vnfH;4HAKwSP{t!{b{h_B!5*1ZY7opKnK}b5Y#1K{c0C$;;?r(0@-IP93rHtbX zuDlFnC@5(mNI}DK<~%iR%*=jno!A}BgN4V*CM)dfie+Ioz-3q)lz1*h8S2hud7r}M z)D=wSuA?xzlk*d_v3^jXItk07u*1aOzx*Q#2>pK>#ID_LPY0dJzDNJ|{&-v8|DDZp z?#X;Lvcw5m+qwc8d+ptmB?q56_r$p;#YDXykmhWouF+!U&Va`yx6KmTN^(oX9!7|! zl6;cGN&jo0On&s{|6Q$>{s&G2HFH9tA96j&nxM)MHCKv14o0J~*Xs|Wl2HBW427l0 zpDBMPNI2v}<I;)^=n*OS2;71*QuQq3{A?Lvjv~y)iN<9%Ms#ld$qnj<-`|Z3rN1iI z-Z+PcV4?0SXj~)^Nnk;>2PT;5r0095UUbj5dZwN)-C!{zVj}$ayG3hacz<_|iWFEd z9Tf-I`O!hCtYo<ig8&8Bu!c~AEx?d3lc0a+`NJ;#*}F!yEfnm6AO5XByPe&lphE}k z%&;@S7sD<(m0btD5xn>54}5nM)mSU0?iMrbv8OE>Yp!sZr)piG0XzrS%}nHC!tP-n zEId%puVhhz?DC*Omc!(EFZ<KwVZC+a9Urt0PyYFsE3M9u?R{xXbI$YzJJX847V3X> zHR0*bn_g)09N3o;>?5D@SSd#fF)#1gdJ((UPDDlU6uC)Zo??YScB2uJoCtt6U;6;) z$YJim`d|BKN6_tm?N8w-!<xsg!JDWM=Rs)V;m!?j$jGa5oC*jG;Xm@hRn600e-c(c zz5X$#zNbL%;78y#LpXsmIPUN=##Dc{6^_Hu!;QkOsH)9r!9D}@SK>4X<k4#UGmC5O zG-PBkyM>Wm((`0wFuOeS8O)oWd2iw1U#OajitgZ~^A!?E%$*B{=iq|kN&Iu?E5vbN zhH#1&Rn_pWN0V+ad0)ovX)u_M{SNdfidY|9G!KuO4OO4#dO&LU^T}cBBm95L(to_5 zxUwb{d&UOr{fpUSW{nyB<9;ycW-K_trn$<Vk5%?0U*X_iq$1nL7tO}eV*Skw^p<7k zddq4EWvhn~E-twWu_ZZB2HhK>w)ccwhX_uqk;RB9^N&yUQ}4Z3Qmw9op*r|`<qb!u zg&w&Bs@Zw^;lW(ALdR_UI~;!#9wXwAj}`YOp9SUM(Hfmvwqq5ovELx@LIanWRbR|! zHdwf}#%DG>=Chb1I`1)HDI7Ih=rN0EBIhzVmtm~T)nObu=Uj%{_u5)x_TcqvzLK5G zFxMfAIVEz@`nkQ*@p04+{OY!k?iyWBo~dz#-K*$w_hj56?P!57lxlzGt-NLvm@Em# zpF`D)M+=M9d~J<>?AqyJoqFpBr*z7+gDBo8JiH6H{;>7*-7Wd7u<GhdMDwKWTwYP5 z*qe!e7lRULkpfI17*6kT>VWPi;kn;5n0)Pb!1(Jva0ZM4`Y<6uh>j;zxx#gJ6}ZYU z2d6S?ZXV3p%m!y*GaG+x?I;``Iak3u_z>>#{>J)iICR0G3$ec>DOKkxJV>zPrj40z z+2A`@Ax!Bv>v_qs5Zn-MLzwY5kCvp`xeALsLS}HSPa`t$nO)w>Rmi|*c4=!@q4Dvk z@u%_!uUhriCsTqFdDY>M76HKNBp0%<c_9m&yNlKpS6N|pQn`QBFfRdaXV^5CokYhH zvEL%uR(A+NwVQ$>i(Vh>L!YWDD-`)>mRZR<uPvw$n-CSZNMQo^Q-veYp+cA+^oouP z!aq4p>?(^hqm^otG<-nN5S-fV3eU-4n|%SzFJn(W8(jwvi8zn^H;>LP{&}R#bQCt= z@PCK@JN!S60Z4z0qqfYL3*k2PzsjcmxrPjw_i>st$K=&KT9WSAWV?s~(M=|ktIF-z zy9#DrXdn(V=-ikmYDr@=8*IL`#%4A;W^=}X0ajy#+}OAnqs1nkPjlP&&D+N7{LQhE z7xHSfwZXKS+sM0({9N(AIbD|o6%@UZziKwFju2>VA>@DCmNA4?vo@C&gD{%!aM{u^ zkJhNiMqdSkpc9?akM1WO)c2sw0Hgxk1j7KGlEOp;>QkWd6S2YnUZW;*YKvJ$Kp>?i zbTK6^7>s0EFD%%{X=u0QXAg)Rkdv#6Ccl>1Sh6|gs%1WJ^?4=q9#4XQ-v`6aj|X`l z2_L+v;?{o?f+iTfaMkwCMRV&fSpIs$GIMvUW?6n2;nVbwnWF-*YlccRXNkSfV|S1i zf}+9qt?yAgjwFOuNw}($qrj{%a+W`PZpo%QRxg^BTvXq#Rkrz4q-#Q;U}fo4f9wvA zQN8-TvSO;|Bl%9PvSUT^t)-Lvu{%6Q@>LVOoJ)VkcWaehD}sjw?Ut!o9=pS11TU{x z!Y_}SC$x{V*5-RPDZx?DE0L~UCea_gg-CUED&co#s8XU%CBpLhtn3+`O(b7N84+CE z>=e7c`%d=I$vK6z0pea9yM{gZ02ij(%i>wGyYSadEr{?)Wy+O>k~dD$*ud^U4ogVn zj97m}Iq9k*lo^AcnYJ^?TpEGRa`?pN;S(BrEp~2kVT9%OHgkm$7Vf55u%JtJT$JpH z7=EJ?mi9xg%$QbYte8zR#Ui*mV~Y#E8S0D`!+^NPmeo>NNujZFrDOF_7%u@LnqS2r zjx<U)Cc|?nH8%5q6kThKS@*=BmMpg5yjp+z)61?k2A<m%8v_{>>IzT#vCdWVAwi3Z zjV07Yr3i~7KR(U5)En$l=Pn?!WmbX$kl>E|+>zf;I`Sh|?s*m)GlMOXpRW*j<D!$? zhz%|_#_dz$HAwxmi}D(lU2Kd_6c#g>;cv`mD5BUHC#v%mjGd^GXyad~2@w|yqj-Nx z8Y{-%q;=_h1ss03Vq^Qyt8&P5jU2X(k}xh+$yK;Obum_4jF<S23WD|Shd6FSvl*&J zj(Q<f4NL_M#RbU*D0Gg<A`~%2oRO+G8qHKSMw1#Ols}}#s5qqSAgNI1y}a{(ptx8c z?TYx<asQ#~(P*Yhk5Lh_-&H*`xORW$Yw>r_ebUGE-pYIop?H`UJ;po${-TS|_?GNl zET}fZ>FL}H=UyCz#SyqzEEZ>mk~;Uo)iTY3syr|E!WAY<+Xp31!NS61UNg80R4)}( zcg%lUm9pz#fc7cNtyRX=+UmRqvjXKCt=!Io@zR<<$u5nzk54nQArlL0m2rQ1I`6@G z56*i?dtbS<=3gbP`MG%y<{hO72rjlE%)d{gAk<qwp{%L%9u74}S?~u0gh?1}<fX=W z<`0<Vq%iNnl`nI3PBZrFU<xKJIJ8)n*|Y?A5Q-D=^}?pP5C}~N>4&mO!mle|wuJI! z@!rY0d>I<InwN$k<91zMg=>Fv$zbcpd~MByu+i}bbfe3cnMqQ}S%LY|8nu~uFR*-B zEUOI{u$Ug`Xv+<S%u8Vr8yAEi6#8L`AhFgiV9|9vo1x(eH!mzP>3N+JJl7xu^FB_a zDPMa==Rq?fY_y9(h&oj;!^*AU>P`^^E^{lyp3%r;O(fW0^QASBV55IyFD_$xF)MXO zgv(Ltj8AiU51X0y5R1iPxn;^Jb|Wmy;kZq|+w}jWya!Tc)jXwH*0>QGz48i+u@H%K zl^a@XT&2Cr0z-)uxAWABtDusW+2hba5~k;=Se%rGA<Z#kC7K7s?hsbeG8!Mv4PsN| zl5yScgd|=C;_^${1-*Z=TO}f$i{41YwJf96qvU8?f>-&grYH&D*|Ar8><;-Tjs@^U zit9dZ;;AMszT{@`S7g_)PJr(9l$;BMEW*+6C^<LZK%+W)4G5oYJ)y7|r6-gVI=RSE zO(>n@b^x2V1K`}vQ%h*itb6#9VY<ip|IYvaN&Y{bZa=eHLUw<JQ#xkPO*0;BsiomU z(VPEwwN_eO!DcWTjlEufFhlwhdLX-6LP@{eG`=uXT|ki)jmvDD_!VmjZ3%ULxu3@f zTi)81eC5T<;uokTlp6QMlP(Jen^Ty%pw^!B(n|?#ZKHsc(dp1w&fX#Ni3_eI6w}u3 zT%OHx-t^{r)46}k2nnmxxznyRz;B3zdtRsV&$5hAS!*1*5DhB_wjRU=ml0ytDRCG| zf=VjNVOVk%p^W@Bx(nG-^`h3Jl;3A)KgvjIk*3FHIXA(%3ENS2UwrB=tx4x5I5*+> zxe3oTc+W20UZ_N)Z8{k=;iC5*M(;7JwleoZV4HS!&5VD)5m?ZUuGwJW+L}nP;VBN0 z&9PWeKZsG&c@}-uMnJQFzhqtj6N<&6%+!_hEL`!REJu^i3xIfT>Hn4<g>i*y)`P9k z^gPcZ{XZ!ScpD75s2D&;dco|Cu>gP{{`krpPewNr|4x-O#HM!Y`NOUk45#-K>W}J2 z4OD~4*M5Hotx&J~Gt?(segPq-*;eN~7&%mo?_j*N#{4I^4hzc%F={&J!8s3M=|LtG zM(Nc2V5XX!^WdBZ=R8FFUA8U+cR<^vq){d2Lv3-*8CR%gKiHEv=OGMy#kuSzI1gq~ z(U|`P=fPDEa@B)e^&rZ^$kKm=X<1iy@K>li7<qrm*2RM=H6bxd@CWP)bO^o%Kc#1q zYQDB+K-lOAxZdd6L1u~+veh?VS|c{I)&<rMV*5F_$#<K4x5<y=D4e1G6$~}yy*$_0 z1M?29n9aTmJ=pxv1GD@{K80=M0r|GxNy|H^H~uulG+v!Hnr#|m;Z-DaTs-6E#WOPI z+UI`|ms~%@16PW0ElLrpl)*ob{$<48CF5P*u9>y9wz*{6Z4{&EneQMV1-m=D*X9Yr z_FtT!84&hJpKk+nI-K-pf!FnCzUp!4_lHvzBcO1BFToG*t3S98s8?d?JMM7sO8pwn zLQKId2pcBejk;)vI7LK(e?17gZ@fA>98Q0N8>AWsld0DYdi^2d3J|P7YQ^&rb)a1X zzugbf77_oZJL98&gJ;3bCZqeCTXj>VkUpI&I~HFWH^OcFZrIEb4-o%=KW6t6yv+Xw zlhGSaNV~EzY!ja-#Q3y9RC1g{>;l$#m)V4d-GRTSIZK8O&L%Kyf@0lgB{0aBiCKSt z3V!kXhV*^gsvn#l;Q;Xx|I<EvWEBj@)7`eJGSlu4`?EHQVY|{Uz1SCiSiPS?)l=~X z2m8%Pn7woVE=xAjaWb=x0!G1f%4wAHMqB;qoXk~^le@HjjO`w)Nl`=IKWTXzA5B*q z>7yM6)6S$nraq~Bp53xa)KJ~Kodkcq_b>j&R`-kj-`FRJPhH87-rs|-vE*t8`%&0J zX}Q@HpD*~Ur`(ht>U)lZ2lHzCr1T@d`%?*j*f>dQGlBB_V=mH{D?)OaChF-Cxn=p7 z=4bx$%OO2zp!fadw|pwSKOCKv+ReB?Cn&Cek&oupwWs-q{>_nB@|tmJL$QAxZp-!< zGf^>$(DQ_kKj)+SmYo;)3}rp0d(c}{mMlNvPycassVN!#i=3oiYHONRsF{D<!*K;= z5V^ZV;$I!&$S9U_GOhe`KGJWmz0?yCmP__e^=6BcUHhDq?B+v9Y0LQxl?@SLxn#d= z)Gs;Nwa@v;zO(kWm58uB?S6lJ`JrCoG}ph#NA%sbS235T5y?y5#r<r2KT`n9WHcz! znIuw|=V_mQk&pI!n?w8Z5@}C1b*EBpx0;`hU|0Fad~|QDy?$?8eu{*?=>s6}&IlHq z1_PjkMM{1B@`!i7_)YtqkM@<d_a^IS2X*f<05o$OO#H#}^u5An!jqTJ4+9l{=x?mC zna1!GYg|_t^kaEn!FN|jt=7r;hb!s4Jv_~@J#i0btsV<cdh%Ig;mJ%3EIgT$SqPFD zS+VeBf+ZH7%qtcPM=3Z8!id>>@Z3=E$v1AqGefT~;J&3FU2tW`y7Qg+Q|%xvC9p6- zKD*J5t=TP}Po{q;<n8Bo?%wTxmAY19E>6%7(9#2sN6+2E^KWnN<o)otbhzN;z1yGK z9lg`&=+OP~Bln+s;2sJ}dgLyEG5q9>9a%rKBlm2w!k*vm5Kup7FYh-L>lzp5t>(pP z7<th=`fzg9I%=9+5`Oe&;gj%b&MDcvKtP?p_Fl=74}_gp@^%qVm<Mow(4S?9L}3$t zDX#<=UcJ$>GXHDbNpL>O!F%nMYF^|>yz<JmD4dVeBWLu(!G6@*PJouV`DW2P<!Vi^ z_uM6B6R5Qve_XQ<%_ayznZol(SC?G9sH}7tQrYc)?N3J&?|zE##@Psj{@MpTI=cl# zI=Y{9f+*$$KivdFV0Z|B%%mSD!N2eO6U>Rf?M4H8^h+@8{CGlqBO^t`A-km0)pQ@+ zHS<*9(yo1$_o^7>T?L&HDsOfCX~zfiLm8Ox*Rxw;%va=gI`)@E+sjGEu3_yjW5MD7 z1)1TCl--2CZMr~(Cjv92>ualyT_1#46uzZ<$}A#P55}_ag7t}i&JW%^KX{(Qi@YwT zTH|6`%MH_Wp0M+Ti;2oU0CeyRdBR6<vnE4C!$(1<Xp_exQDLyDw(}Bw<Ev)l>IjV{ zEHuhi%`R9#C~GanjtNdQx6xd^86RCU&usLSiU;6+@4Uy0AYhdhQX`t5QKVk<h1!%H z@o)H@b9+v@W>0*7CrMj#DloUh+IK21(QPtcT4M=&^f(B3Ves|ieiMbjH0*k(DbmOD zoY%CWUQ^0o3l$eTP0Nk3cTUqnv%}Cp2Op}Q1l$5J%l1n<O@q*D3VOi=m{btOpFK<G zX~)uevJ6IEzVj3UiV<o!?>!-(GGc*pqP$Y+d(8{0g}m{9N@ciI_2wCMHlt4fc@Gbp z^vNrK$GF55ju;nmRk>X1YWe*wwoW3XkzdP<1F<>Fhk^y9Sy=0P0?@3vSnT<a`;+NR z;eCVw_wYY6sW}w9@wl$pOVy%R7zxn_4F4FVMxuHIx5m)>(#g(!YRdDB9iL=RAvrT# z%GgD$_Y?|$kKGmMS_B>oChQ4#uf_!S67lY0ah997z0eZ|Enr!FqT66>-Uefy!+CZX zOAY>aI}EqOaImZ@qGGm7n%!XbKFN=cU|I4-kt+TC_ZQoi`-`gCsriZEY`AlXe9<`W zz)KhsqqE7z0>Es#ufT_NTfyBmJAjr+!mqetIE=!7MaXAO2+vkRK^q0;r|Fh7by)@L zSe(mRHR}aU{@HVKkuvWa*<f2|H6e9|q70(Ds?}Jq@K&{X&RN|&XLXLjIegXS*4}Ww zs`FKg^;HGeh8quN=+`%zR#lW?=DDN;;J{j!7Frt~I|w#ZAauDVjOQ2;V~ky!6HBHW z4Z5^{E0`yAd3A)&5vQ^KTLBiI97irE8v^=G?FAs-*b7{RVa(*Q#zW#*Wt3)(k05BL z@c78&e1i57j}MIwFA7D<jp8VR(n}9fm+C1BE4yNQGO?=IXHHhTogj1PH3ur$qDW2= zClR|y4phBb<&U54I=H%IcQ6kY9tRvVD`QQ61+uFv75J3>iB^ovVt=B|bNiFc+n>xa zIM4pXE-ulsNS)iCxc$lI?oXEKHmlN$h-yyo^AO^V!aRPh?TOjiN*<nh>!aCbwoy`a zE+c6#KBoxj?4wV@*FXg{H>lhKHA{#~Hc+Nz@@3RPfjba(&Juf;Uva+@hDK35Qv{HI z&xc1TeuVp#a7V(AE`E`d3!jTu>dbQgl!=jV8Fa%>F4S^hut>y|kO}-L?1Y>-!<x&I z?g#`oguf!Y$TQm!_@xX=hdsWcvh{|byx(fp8%Lfh6JjV)rq#~1*d=K5+%94Bb_sI~ z&aq2aZcSykOK`h{Vs{DLQZ2NX>wAcQ5qhD{S5?7E%iN7U%z>1#b!-|Ow*E*7Mdqnm zmw(x|TG-o`j__I`>zYwd{AkHg7wAU|yYV8B^=(UFooEnk#iKn7myd9ZA_o2FZ4WH` zWqqP^m^aU1p6755kJ&N@&Ee{>;bu>brL!LQgF!cAM@KfzWx+m{1^X+aj=Y+G#V_K? z*}2EdPv6`OSI!IbMCa<m6&l_m_*>kgV=nb-3jCSjPVq#>J`r?PuDH3t-$O4lYcoX# z^6E}R;-O290p};XN)DtO75=hhsIZ&x_mzw=4Ojc@N~x4-W5up-(Oc_LcRlTtW$CV` z6P>%hneIC0a1M8Uxjobz!0iBk?xFy0=dOq4nB$0L<;tt`>5T$jpPReB+z^D7xqH8+ zyKc=q+qx|)<Dan}H+Nri$EA?Fo;neUyDm8fYvZmX8YojhkjY(N+>TXr*Qt_D+HE!I zK=<;Gx_66UyZ3*)8+F_5_H@vh?0fWY?~k|j{onaC=c;d>tFH4mhoioK+@`zEQFo4d zQI2|kQLhQkA3tJcke>h$+KuM+Y~i(~U}_d7L9L~gSMH%P3P`F#skdsCTA9M9cj%8o z%r>WTVzebC!C#WuJ8O(hd-N1A;}mC?=kJ*g7M{g?X^r6Q(pC+;MO&@)<F-K7w0X{2 zZ=SWDV{i_0z1%+S&Rjcxb6u3VK0K?pp-$VCzhF{+p{{agbuUUPqk3)ivxB-`pkaxH zFg{%!pyVoOUd}`FN=-P0<Yt(;GD3!lFxqT~r4vr`wKc2Fo&@jBX<k}6)q!*5rFylN zA+w&nt5Bu65UQK6ossJ0)lxldoROF6JGC9N7b(zDDqAcJw-k1N^Y%odJIV?B*Zo;q zKYPXU4$414-gj$4Ged!t5?&|_H<MCQGv?8m3BO{IN7;)fsCSf|v2230C4_rxbqSej zvXy!}>Z_t3%(7}yzr5m7xa||99R+-{bU9)~l&aTAL^l($7=fQB`Ksmp@=5Z(RV$m_ z2#J)CcY&HeLD^h?U7nx`+e+O>-0~@+zFjK|F^B^BAnMPH-Yb^xM*aLL`h2HWwsT@s z{|GC$M_><!+L-DxkCv<{-hR~rj$1xOz;|n9JKqJ7ea}e3iQp@i@RLg8DH6U{E1S0@ zk7;nT6iPF!eHMYCl9Yo2tCn)y@+ngOu2z0$r6fp=FO%qh^7S7h`ido;Do{K@)GMW0 z#gc|Zb-O9T=t8u)s=%>ge}(S(1WB)yYZYPn3l59Y=K>XlDZ&i|nm=*_N!AtNS1jxw zE>AA<BVH8%jh0BGy{y~OojiYGF-deM8m!hmkJ=ODg}f@&TE!A-l&MmTIaQk7yq+Yo zC+!JVyE8g}Ydk^TaY}Eu5e*x?nF&)2<sZ9)MEa|<#tH<U9mZ>?rAaJ;bOOp%D!Yh% zEJCl>>2$h_T~vKzSfE|Fb+#ur*|u$)Q%&Y%Os*%}wr$sBYqIT{Z1d~=&iQlxu50i8 z=eeHyy0z9Ka{2bPun;yTT+-NN+T|zV=>krEp3++=C{f}97^D=$@Msb$R(DjyVC5(v zcLtDNZa4aTnCo7ZcK{wUPjkGM?$GxVH#Vl9$iNofi)-&ImS#zmS;ml-7|U0MhTeZD z&dhYUD#?^6<I*-we$y`Y(c*ER!A<V&^_^UW0BR12jZA#lA;=o5ck+sE*8TjebQ@$e zi{>;=7+Xu83Fdz@?h2?aTHaXc<WSs(3gM6E5+)_fxO(Qm_Sm9$|FQl1J*{SP@%@8| z4xleDZ}EZkanpbA_1=#1pqdKg{obJxCc0C3ZNdhxbp4y{4kejDscxyX`V_B506UlG zOdjBYrzi!*yL%BOBf1YED8rb_ftblEu-b0<k6PN(eLVSew6*=izG3v}<4|Kmjk-|r z-&piu%21R&UbieVSNkCNfZ%q_$~y!jaQh##G;qMtEbChkHZOI4{N8sXtXdb9`Y+4a zej`7_fCgBEj9jh9AFv24nnsH`{`zlS!7su_Fb7|Z(hC>L^N(6Vs|1v0+Vj~6@4cmp zN9Iz@@Uabr8>Yz}nrl~#I|wy(wua~y_+r)D?=}Y|P6Ch0t^>a$PKTE->e71wwp91e z!XlfFxN4SJNm`9Z32u7E4=9q~t63{1S4N*L`<Gi(4UnI+RsL@K&r8e?X}q%^daH^* zUhpTTBpkz#?|+_GP3Z7t4M7jDN#@n&Ey?zOJ9RXzDvdpQ4jienD|i?n^s#jH;LK(H zOih@V{e7(={A;?$%AZW^Lhths5QzNqk8bi<olNZ0ZN<8u%x`l>pIh3-Rp<tx2)8EY zBO6pVjH<OjiYA9bE&5|j+B6Tcw76t0=mnuHa!H>cNpLv-`$t^NP;#&IRP0briqvku z*?T4b1LX(4C8C>1_k?#s!jV-?Ss215IVyz`9^6EsfV%W48-rl32RG#cu%u@>rTUZC zmOA+)30kdYzwl+1_S(JNs>EVYrSrx2WWh_Vg>TU(_?LI~$ttmSo!XX%(0x^=+7?%5 zb*B=sn&z}WOBwURoSmbJH|yOCEK^FC;L&G|44c_dlhl-M+G0V&veQ1H6B@ue&nu<k zez3EpK6UAQh>|a|pB?%E#9r)hK1<~k@fd;eem@h`#7FMyxS8}7W(>JldQ3RBuHirS z$rykO@lHy(N!OMW9==~mr8)HwD!hI`H~7@RCq}RSm2c*B-VY26D0YuZzfMd)guIu1 zwHZ440dxhY9F#X+V7&_}5%a4oWuZJ+(p%t^+}h-j2zYJJle`0b(;s7JOR}KQ^H0AS zxk^Cf(bE3y{woRmwH`4qz0{O>9X(nDU)^iZY}EIRBE)D?4kt9xx&UkCvKD7*kAFWh zR+zO5?t?~525pM50~&c<Hy$bhtjG)VE2sH78*{#ZtG|MW#zFH}KHhKHe`7wuur4m% z43|jb-E-3<3DtmU5p0*87}PQpw_(a*tf1Ayxj7f;*LJH}nd>d?=h_chuq;Om=5WDX zQqws^sE?S3bBk%nx_d@P6|Zw|!dby^I+^w3Z*e73pe4Sbvo8?Kl)yjI$7q-A+GxB= zDg)o}8T9bk;XraPfoERIxTVb!Loo*Q#28pvd#@W;1rng9v)t?p3HU;eJpV&#I$g}f z7Bvo3TMC#BTX5o9Zc*J`6UcDPWP<uUw9f<cOFR2ZI};LCGstFPo0`U86^mT<_LSS* zo!9x=UY2dMGV$4E_ua9(CWif9US5fiVbuz|B@=C%J|ki`o~AMr7u7a-JUl<|krnYR z%K?K_)9F_4-@NJLtCXT*Yee9=8*0pv%qxi9hx-Gor%w$ZjSXaa&H)DN1VF#^EE8DE zVb`eiZPU_S2vH;_fj2zG#!O4z<INo!^IXzagf4U~(YXwCI>j3)VG;78UO@|mRNpbO zU9uk;%@~><+DBZ%fK|;{5*Rna*Irq8BCus!qliMU1r1h0=!oWi-gLKf4cl8oBpD}0 z;p8?iG~pX@t8u%FKDiU}FnE2vpiJwiJpT1T{nau7?^=7Met3$683=qNFkqK!2j9y= zH&c&o>oY27qLSp&bTrdKmo4L$VWys!TPszdzEgF0lcszmR>3HlTnW90r56tt5K3@N zef{Vq$F1v$oRI1$2*D|#uN)e%YbG+tp7q@DDze9Egy*<W-cn7#fXOH2`BCz9R?pKs zu?C$i0_%@d=y)lOy`p*1=oa^vm;eFwOxn%!7EL(1v{^WEs&kjZs$m)kiswa!E*YZ^ zCWue4pG-=NAPVXiM@@-S84(ijLL%NH!SS^Z<j4i~+f;0EUK10ptn9q|pAeGYGGaGQ zdzIBeap#1)zqu7rqQ^<Cx05k)k@FjloT(~ERCW$dOoraXV+&KRQ<L79<|Hi%BGd4a z(#RS?Wcimwj-<qDG*=Ejez)<5!~dI~ULM5aFIO)Ur;?{)LizO_OY(t2f1lF;{pm5H zzQ8IRO%p2T)r7j#R|-PTMZpwGQqJ%uOrG;mqw%jOhG*4nJ~9$zBp<Bc$%5y!wZA_k z;nsx1rhe^WJZt0n$zKE~TDM`awZAv?VxBj>s<g8i^4Xdn(AYRT4QC@Y7P1^%Yv<@_ zM%tK6ZXVu3Nlj?v*#~ruj;2LT8zg5^Z#EZth1$TsQc#+67!}x#rtO}Ow~TgV8GgMu z{vct|P|I{W#l}WWY9!kKbt^qe$-Mb151K8E45df>x@E;7lCx4@?53K)Lc+m*mf=<T z<1>@OG1KNp1BA}EtM~DiD^-PL>p+qMv4v2^9q!HbVzV*;nzFGU**!KDYcS4>{bh_7 zFRz=9yB^|izFVwT(v@l#dwu(Z16*PAe_+5Dh@J5DO0;#Lx%FtA(K!r`Q@O1mz8ASc zBrraj5H+on=MaOm@?InCT#sgC@|WM&oQ_DePw?<7yN7-?_t>@={O^`AC=S{OGo>Vl z2w?Zap-_vrPfI8`@au)Rs4Jj~OQjM&Pc02!#sK+qWH`vx>e6tcgxozy!&s@Y2;$)? zCR0q$^WfHZ<O{)m<pd3fQYbSpxN&7r(M(?ZWsC8QokA2WIY7m-IQO|}7eo1u`oeDb zm(MaLZd!J-<oY!;QQy;B@AGt^Ju(zPr{ty?W>vcpYw#x(l;5XBo`3TG@>qR8B!bro zzZdvIIKL2q=1Sl+fzFzG5t2PF$4hzdk$a{7A(`jDGotJ_drIpTI=h!n^`=fX5j+C= z&6Z)MpFNEOTmSaQ4%3qpX=NMfQ11!97J*;AbbowSf-46V>Hf$!3@ZgbduspZ81C1x z!PTVR)L)~jsNAb%wTjPNO8TC7XvxiCYhN<8j<@q3X4LMZQniZQVVw`fhSAGGi^}q& zvTZruW0Gh0jPz`hXCkN_i5-QrD<zo&dY<9}UqD<(p&_eO)>~G@G~QOn%Dph)X<%_& zRRmHzF|^c#47?2A2%OBgQ~sl@N_aUQ1r~HDvHk%agG=4-en8fLY9&lWAI0^bA(x0V z%XjY)CeIzqc0-WH`d`%Ws82+8oK>4Z6laQ8g7>uosN-%*rw_N&%e{1r^&)jaF8-VU zt51TT*zKWzgT#;?A~{ieHT@+|0{pK&Nf%>^%%>muo8%$V-th2n5gNt!LS)bArEP2% zZ5^jqL9M0&XEXd5!KHV)tb)JdjxFPkapM;6*lYY6tf^3}mpLEXn|z9w8`)ixhQ8@I z@7I-rxQ;CQck@UOI8O5LlBB;@Q`_#AuJy_q8%%!lJP+wJAEe(YZ2{MOCxm-!S^3B9 z9X=BwA%XMrM=~az$~?h(D)m0=$WT8SGjba&p`E;QR6HE0&A&|^Mh9Rj#1=J;|LC)I z_`P;H;cu(KZO$5z?8IZKUN3-uKdoxU(|)L{_(gY+Qc>G8=vJHKkT`Zz(BaU#J;RE| ztfuFWxE>|HuKpV4KLfjn(?nr<_^^ITe0Cj*#t`C=|4AYYe$}R<S%Oy*#`F0k3pYcw zU*pI8;x7%B(5Wi5%aHV?n{Ei?u99Zf0zI$ie!^PL_h{(6yF|Y3>q*Im+{2$cI9<=* zbZ-_3H@8{Jy}#|&nI+8lRwGLU{T^3Fe<J9P2@W9KY*{nl0zQe}sT~g%QcjZi$}=%K zZu~E^4ER(jt&ai^?=6^T`d?TZ&2c=I-2M?-B+p6KVCp{U#pUUUxd#Niiw(t()lrF> zm?SsrwJy(}K}fF6y{R#aPUNHpoSgHkb8+sT8aeRdmg8DD)pOw0k#q>KjShgz)+n=` zBgM~LBKdP>1H!XJ?aGQ0HM$Yu_zoQV9LcZ&>ZJ$w?~U(OTUi@7RwZ&M8g@-)!;R6; zC;pp1iYbUwzFnS`xkjt|Yl1aZBrP>A%H+DZOTPd3&{Hk{VvADclOpTrI8@B#hM3w( zzGS6uaICQ?d8IYl1=m#YcnQyS2}|`JneA?E^tRH71IJO&1``(8zWf28W!&1b{a>jM z9d1zyM&~ZP7%npb{LfIMB?}^s{NkoZ*GF&`q$N-^di%VvtyAF?(H%Wxi7ZC!lJuyZ zmxQajT5RbeV`s_^sVn}Y^{HAOynvZR1O&+K?QE^7UWon+KEZ6+l>6ge{fY}yV=^xU zGeMCcz}q#(w%RSJZtIdJir^_r=hBR&jj+KLuJ}`$F~Yoet}Faf$W@*YIk_+7O2u_q zdb(3m&_tY&Z{pMf<uJ$<s?NTNdIN)*HR}rUOUESU9dDhC<d}_($+`ETbV)$+`7XAL z>fpB%Z-D7BGH*|9N$bpeCE;VwhhlyI8Oa6_Kp?jM!qIo2=gKrn`hv_W$OrJ?|9*Lz zhSWE2ImV-gmoF4Q7<t;%@;SlKkMXFX-OS*$>-hPA_<PX1)-1akt_;y3L(SoJh%n=K zQZDS@h1F_z|J?O*{=X;|y{T|hq`JC}l>);8qu9>k*9!4(VS<@2U%Xq<R?<cnqV?Vl z0Q&}EB~WXJ)GLONOnn){)hAc(`YwqR(u#OV3!e-U<<o$r@ZP*tHwJ;In&ms9eg%B@ zwe~Okm*V#B4gz5_TjIE1gYn4N!&dJKnt5x#1F`|Z)E($&`rQM9U^*NE+77js{gZ<& zw{S6_TTL_i=1=K5HN?#2M;3waLr*vj@Z^cMU1F)!$OxqfLQl4~BsiocYv%B6Kd(c* zq4KpgXrtsA(_aj}APD-a?XZ9A|J~gFH!cD(izt)FYB!Gm;iGXl0?a+>07c<A-X%6# zdHDcsiq3ILKPrSxGMDO()R8z0#%KdtrB*Jws%VybMAi|WW2X`0_%9O5?YV_-Kpi11 z3)bfs&7~?$<zx-^41&VwQ29qHQKTNmr?k4ada_<sw7&^aS9udc;kOhb@W%#a>%!*+ z)oeI1#>@FJ?SvBN;2yP}%qb}Zq=M!%0T`55o?i~G_k&fRlbAIh0fy}uwr8YHQW`;( z204*L9fhMuleBS`wB7!Q{vvR}z|Rf#2=8eD?uPvnAscz#maADPrn04dI7g6OSe<)` zun$E;YuK~rPX>RMKU%f$YJY#A5Od_M_Y0bh?l}&aeQCx{B@DV7E)D5bqvkx{zG81H zZoiLS3!ma)#tFWOJfC1v!g!_giuG`ZK9?HVAfq~e9CAz6uBGY}bF?`@0DF0*)za~M z(5}%SQkR{9(-bH~NT?oJ5BAPW9}HonluXd>tZ7Ib#0fhFdyjOForgQKT}Hu3NtByb zH40I*zOhuxhdim?@V+yWD54-+m*3!47A^Kb*(?_cjZ0)x<(trKeVB!aKfm3vL$~=U z&IquHHRN{^pR*X0ms6xZ0(mQAUe%cdALM6hUFNxw83zn?+O%uLZR$FY)8mBFBBSs7 zjnZ%GVR`ajT68@!^1^?xK)x;58Q>Xqn{owB-<|Ld%D&uyZLXNK(bX=6<hcBcS<AA- z18I0}65}~rvE6dzMLTwm$O@0y_?9LqYd!OD*@!aF;~{^MGD%NY1XLLKb_t-ufu|F1 z_mYZz5V*lHiK+4Tkdc_Zi5??nje@B|2H4rtmFV7$aXcUWtkHf@(^1&Y+XS5+Nq=Mr zOe;R&aF%wVrdwe64J&@xFs(lvv%izD_O&zy)!K(qZJ;r(4()8$k)Q_y6=naZ8T?Ov zI$xQ2#qmrG^y#1!9tiYh;@<0vBdb&?PufZ|w;<4?VKfzi88;#dOWoK_sbLIT@$)Bv zjq}9o^PJg?&?*Iyfx+|G36!kOi93;YyZsuSp%h&qq(JF?Zw~c_ppjn)LlN<ZSy%Ib zz|{xM(o2gD-$fRpNGauNd)H%JF9Uh4D1Fu0n@e)6B2m&e0PKQVt%mgs8@GAB$n=#> zGeq>2i#Um%BOF4{joTy=A?A#(g%`(c9~k*iOk83W&>s*2J(|GmW5|)A2<1&FV%QTa z%UddHwyM~gduDH<egiK^qSyrPx)~3Y7Koq9zqc9GI5eRbTuN#5ULg`VL{6~sW$;af zQi`%BYS1WVKyO>T{%{69c9M*oEejsUlc%&agIrhw0#n1~i&YH>rxmed&|3AYHM7bc z#PfVUxd)eZi1njK;Pg2LpIeQztt?9UH|ta^XVp;eUF$+tZQV{rPfk}{?4RA-mHrH| zwai&y41441BiRDCMM3%r?vTne1{2by(kqXiDYUu=$YhD^?9<=;+IEP~{sXeI^V#E+ zuV<S1FlZS|Z$yi*fZy%4TNe>MtXE}};#SCXY;KjIF|NacphIk)anEYgZa(}2s?-sN zZZHv2E22irCCV$+k;2(`!?dojuGjM*-j~8c0XhTq5(W0B!Yj&E218G(pdN>Vk})`= zB<uHjpu>H{v2T?xw>~R8QHig2$u+kgJSjq^PfBTI?Ya>0J7n!mZ4_G!jyUz&-rwfl zllC7-6gXXU-;EtBn(Z6S1eT9($&8eHksvII9W$C8lpr^|FTt((cWyS;Uzgt|z_{$M z=21wbK$V#XJ5qcJ(JijCugq3k%6%)2FBQ4?0JEvmhQFVi5=Y!#(pD0n22}7FlETMo zo-lr_0U&5TCc~9Kk8g0E#D(JH^p)4WrO3Y@hZq+5QrbyCkcc}H<Y1FgLLhqwmw>!A zHg<s@*ePCwoC`B`)J3jUTd!TLRX|WOCh5d%7&7;3eb*mo=0Z2dmFvnUwZXDSeo%ec z1?Jo&WR->KMhNouqp;_rcBA)Nd)W|vw3mD?Tq09le8T>Xch8QiiS^j>fB)wZF5mLd zRfl~{$WVMd8O3PuUmx~3jEB5Z@)nWpp&l<b`7LBNUikvDdk<{tb$<0W+Kg+;^Oo;2 zu*se8vIz6UKD*_%1OBlC+G@ugev_mHARJd@k6EOH#+%@~<W@u~Co1^YX+o&Le4O^W z*0&NpKr$-q1(%W?)GgoP@$umTg%w91cjdLz@u@w|H1VjuroFPIkh0G5b{-;NxR`}; z%SH-K{JRCRV2V6P9`L!~XpJzAN03SAguJG%X@6hA{D(xzW$t;Kd7j$9?R9<tEPA<g zHQzSDKQ?t&fezVlfY17MxZfG2`2GVek$U_$e&OOHI@ys9G5PU877{gUI^i6fYl&)| zOtI{4WyEn|)V19D3$`UYrNqqaYU7)W;^J}bj%Cow&txs@Zf_QSfH|h0l(_js&DzSX zFVP7)2x2v&*wuZ00GK@a7e#sr?7rRU59Y4rMZ~(T#joh&*|kR=SZZM5o~G7B1tl*n z6ssjXU`qzOqHFt)O-;0p&FAliII(8kcDheKf@A)>?hBG)^g$S4tB+vMW62FMq^9Oy zie3P54nk3WcD4*caX@33$K2xx{8Bkej&$Yd`X%rukq%h-w^{jvYk46E*h#-O=r<p6 z1<tdSeMCN^Wpsj`!=*=I;YjnuKx4ohJ5%Nyrpw%U*9aDvU=mPhYYgkueCFAB&Wi~> zJ`%@fdz;5m^w193I2sPvZkC!Ncug;pxGoI^X#7K-OtDn!v>Rw`mCt{VBc%*z=wma$ z{21f_F<s=d?HI87B&crz09OF3UMnd(^hP<X{W`}$d;C6is(r1W7FWe5M3C-}1(nbU z_m%Tdv}7rBFa-4c#fdUff_EzF?EKldDvn$;g6`;U3`<>6XW9>@LdeLXj2q03mqSut zs!G@}$Jj(Vbaf(f74q?Dqo_7gT;CT`Dh~FJJQE3s>VbuW>Q_#HQ>#yCUzrT4>6R)N zviF;+HQ1|Yl3Ex{<2G-3d{-FcA;Ni?)HK!RK~2SNu?qZCg**1=Z#R!{>tca1j~#`z z1Fln?z9f1^o>}5&@i6X5CnSZo5R^iHMtK(;s{y?vzEJ~+W&Wqv^dv024)vA4Mv%)0 z`GKy|uOMSu;^ibj_m=+=c2>BBMZ6QTpL`5$LG|;X<?q|3Dqj}L@4A)aM&r(gx_+f} zJvz8mv${qtA@-i3|KqCTQXe%x`+%}VfuV-o82>>3_oo<l0H|SvbopSf&FbzBF7b-} z1Z>zNis35XV4FWCm6ZbQ%&M(F*z~daTZ{IsK#s@fFu=>@t<wvb^)&WPawB(t3hHs+ zVBi|^A;lMzb)Em4(^ivBpef0fI>XbYFTXtr@|#%i{d22sqx{6CoVDF(&twyP_1;@n zj*(~NIzxv0C)z$IpXAI98CT>4vE|RNI8IUbL}gUzK$w2c@Nl`Vyrr-l#Kc^coYKwU zbB#MbLty2CK?d5nRHWK*dauH>aW7o}l*Uw7=0VI7-v#}%fqw3`+D!AU4%cE+to#A; zo&KyHLKws4XMFCWdfnY0|Aumlzt4k3l6b2H6$Ntz60{F4d%Nc}WTuhYAF#&T;F6}x z>hi7(IwS2uGbRxBuIjsj5Rm-j7QB(`f^9_g)_^E?c;_ao6E#t_P<EGLdXs0@&wsHj z(Hv)~l6Yhv^YDM@7h=(uJ8e1<1*(DKd^uJ+1N1+eDqIq6!(px+;mW10zIyPDq%Vtv z`3l{uL(^}y3X<cMSH31lbK8tRAu1?78lyz1eO3~a!5paUB((VZv@0G4-irfu)L5*e zQ-R5{<x30miI)Z0j&>1-e8eKuOqHR2Yn@Gl!5|%Fc2)7DXXS&N+O<KflVD*Ch`vr* z>Z=VjIB-MC@N`~>>w`~33R3MA<pn1Mut)W4q9Q4^jo&U*xDlbv*?)`1G!iX}mL7J; z>E+Dm{HhlcH>j+<FEQb67D;hL;?0nYh5%pJ!3(L5c|sY)vODg`gaN|{rAdg?&}i`m z1#V-9=KSXfOz^jO_)!>xzWVR}D$r<P<dzV;LAiH+&hTM>>VPx~xgeS}Hs`%5(#yTk zkQh5fMuA~(Ppt|JM<(t&$WHI&N~UhsN=Hzi1Vu?K)sO9rfzNrLkiyb20Ye$AC?I%B zsG(YEhElAS<hF;~w59c*ecIiZr!a|HUverQ)YnfGSROLJbZ}^IV*{zP=K0e}>r2S$ zjDLRDm?_CcfdK8tsnU?_-H8;0cJGits=4cY6r~GO(xHO&cR5o`8R{W=zp_9syC@B$ zViCgBomZ@enI}j|3$|UBz#;tKU-Pe09b&d~VVD&AfTrmtEf#HZB82shI{skyMl-SH zBg`x(+DLMUQs1uJ$H?*&6ra3bmwBp)Z-G$UkST<p7?@{g&-g+djK{1pMtS^mD8u?! zADx>9Y!XdXexKlJJ8;AXn)%H{pT~LB(K0w8IjFnxPXTQzd2cl`EdS<6f`Pyvd&DDw z6{?5aZR@d&qLOuO-@vcVeseqgxPrBTrts?<5U6<SoQoZGmNyk=7ff#0oYXXQmY*(? zZn?4PWBj9(o{UHhd$0-NfObVAoo%$GX2Vv{|GP29ok(K_YgrG8H^9?3YzN{gW}eU1 zC1z8?VjEJJ%&_oQ<5!=!G8Rz&JEhzXFFCIhC$d`EFByMO040Q?Uxn6JkO+l2a}4<9 zbE6k*N?o_{uo+MK$~(Q}$VG~t`B(aCwHrJcqiy`tErKO^^K&T&HB;QqcQIhzUZqn7 z%=LK*gquH6G^)*v#?p!)Yf(os1kyOoca>6`wlio}0(8ZN2tz%c4IXGTSf_uqY|dR2 zjf1~OOyDku!~JVO;)udp^ft~?B0N{Pkxds2H=E-vf%Y@-Y*^%JI|O|`W`@0}M?_5! zeL#w~>pw=}`4Pdp-#>frD$-o~TE!TJqE+HkwJ!(u+rr;sn0fAMf{|f(C-0nwM-bS) zWAwCQH|FP;#tkNLV?fp0WV8D>^~JgbA6_9L@)+DL;n~)PZU!s#`sAU`z-tEUrI=cD zq5GrN&_$j~&r7tb&E?DHVVCeyl`{1+s&-lXstt6*Mk0d488lLm#NDQn%_?LYWVa*_ zuCDACeOQr_+j>pdXc?3>L}#=gQAa}b%Hnq!Z3s_6Cxh6%*nspEoDU~51x1N5Xb%dD zY*Fg*auAI^em<$_{8kl{n}of)uP9!S*4j$#AB@C23?=2>($XM{(f9AN%B=xp;Hx}x z8-!OZ;)lK0==$VSO@|9<Az=UZ4k}mLybjc1ZfnG#`+mW2Q_RHZbW<wGdC5gerz#CC z*o!AFX+qYKY66dfNqI`NA42S0{OW^M)88-}e#K!`6Qo9K?T9ENs70PRY6xtQ7RMU# zF4U47Elx5cHN_Np5%oNw@PV-s%E*9?ifSkJ`!9U-BuJA(V}-61&x3JiQ#pMEGkko2 zev(EH2@gMW^FRv~yIGSD8bh9iSp9Ip@#NzOG-eI-s{JzL;N;*Pw7#+}QY*WF#A%+j zj@M>Eh*|JurR8f*$BPL<`t4R}#>{~fgE3B~uRC5l<W^Z^b<hWePw?8%4`qHHU6fO+ zKId#(e#jmbo6VCLG*4mJn)OPROB4T(%Zh4B$O(S8-{aRGG!718*%;(8`cx0br2b;Z zIIq>MvTmTdpm@U`O2it&qObm)TXx)XiN!*php33ujrc@zIyRZvsexjaQ!QGnZ72E} z-P2xKQfDyQqc1gpjJZ%(qz_w5+Wzq}uL8f<Z(Y{CtpuN(mDu^0qcIL_0EcZ~Sz9d^ z3SBRu&(7*Mjq)C{9+II7*@|Nm9&y;CRtqLf<QpKrxJB%i`8TPWq3n*!_Y!=;U0YRU z0u-CN!7}18JTF33J6eOVqjUxOSQu-uIis`I0+sovWDx_@w@?FpXGKv@vJ*$SB?(G2 zLgMJ18#-)|p~GL+g^7)~#ibH{SS%$I<FShc?_Fb0P-r#g@~aQO!d2sVHXKCRhh!73 zl1%_)47+d<s_d=C)}|EF;#8g?SROe8=@kJ-Wvz|A7t;B;apy2v8tYi2f&L^c20R0- zVZ>h{;SCvOkEUEN!6rQ0SMzjtt{~>B--&1YR6zFvKn(jpYI%evt5K~G+#)2V%flsq zeoLGoxL^HOx*WS{aq<ph2GK&CJ@fdX5IO|#gWT2%rs6)h6730EI7rwGlrk#)6i=B# zEL@Q99PW#tETGQCr%0JZR^zO9>){M)+iN>2TW%)|M9xlTDT(;0C>h|n(9LI?`4Buw z*-xt26jJz&De}zKVO(sbzXvTq8h~Iz&P#Qc?M%oIpNu3FlFt`T{8x(Pm}kFBC`ti@ znmiPjv!a!ig2oA?H~Ypqxc3Ee9M#^%ZqGMY#TfIIb8Zlda4KH%;-?B;L4c5JLqDj? z96*dve{jm7IWRNYLLtip?gjU9^5F?rJ<&J@S!m=WcJZ`n;POQ>NcK9=-4cp^R&QNT zH!Z6QqeEJ4ST413&(W+x{X#V?6UPJ=TX{Tcb@u1gV)XFQ%8>SF+Twi8K7Q6|-`hHG z5Ne71<<hKWwKr6v0Im{U{J}U9<m>+&&cstdDyw^e2jYKLNi_P1@{jg?8+gL|7*OOi zI5|R<r<K@qVcJho2qT77S->3EJRFq67%fAQfR8aDpjY-{$PA=Ix*w4*Fz5x)#8W4? zWlI->L1$A(vY%8N#g(ecd$XC&#h<-)9;xC>zN3)POavK=l!qHxj6{}3JQ+Ik{Qzlm zuqNYz&Ysj&$@wFaMPorjc@_{<g!)3^|48Jpv}xLSuQ-`kvJ3u{*z1(3IWx(%Q+(xj zL<A`hs_4*FMFKsNr`cVn1bz>2!=~q}qW?A`kdO^(hm0pT;$MBB>L$A(PCVDFU~o~8 z8X6*VHKb!FU`UMGtz3F~kj$8*ShM)0RSdwUt7vH?xi*FWwA-5=(UK7*;H6j>(Y|>U z-Ib|}s9ek0bsKmg>d-D%pLmhcrjId0l}ZTIfyv~ENiK!m2uUf)6f6R^gdkj4&hPDS zypaJ!?K>%QC;^sIF69t=*VTX-3$Jq>m#ZOAb#)YB;eSC7awxqyy|E?XkL%2u9w(ae z3h#yApcs_SSlkugS%jL;7`%it-Z42^>vdMvs+?Ivc{135lM?)?z+fI@%pr{nRY7bM z*OL<Z!6@GQZ(a;tt#Uw#&zG&4#<6=S@4ZurkKpS(vivx014k@P-~{!Oa~<42e>)w{ z0k7YN-l_DW%<W#Sa#xplJ1%HlrJBMEkm8Ux33oYT*NSh)IbGBc2Al8{HbJG=v>Aw$ z1w1V06MK+6a2W`OckyLDLPg)>B>f#pg|tL)K`IORP(dOat4e^0o5b$zIq4`Nfjg&? z;)~U6W8$J2y?8+>9=+V3>;dfq*wle)uo@Drt&P;Jxk_r&uHm&BK~`JJS;$Fa!nO9R zP+sBHr&)}gG@k{<9LroJFmY(Vokaut58X?59=YBwyxjTmh@}f}59HZov%r79@`ti- zBvQ;8ZggKd3<&{0WMSgIE7N&CH;v^&omKrhQ0lSvDt?Veis_1eX$%0>mDj;-YNw3N z?y=UzS`tE1ffqvZ7FK5^V*A){i?OCcBk8-cY^_1DlL-eKx-DRZ(bNA7we>nzd{u=o zR>?*S-rG?VhvaeZ*@RV^Zgj$PzEl<!&%6F&D#4<vpbG%J+1zyGr6N8_<HeHEqj4$z zOW=k0<($3kpbawB@{E3`{*wCF+G5mTD;TJfcNVCi3s|9!#XX1FTf^<ajF{I{6pCI= zt+50QSPq0|5OuXs^Qa!_LtPD!;p0ag{ui%kCKVb;`HF6x)HkqvWE^rZ7zKey0Pgmi zE`IA!(=uSpf=XM=^^hk@7`oB%wB1Nq<^7ExWb=DU5&X6@4Qd!u9Afvq1HZ^ahm|f; z452C_Do$){F9?PTl_GT_vq>+iA;{LY<z>iwng>MIBH=5i*xc2H^E;9)@?cD@-$jd$ ztIO%mG4%VV`VY|>zV#lq^b6L)#y=^}tKTRVz43q@tuyvG%4CZX^Iq|PX^T>h8y6#P zsrL!FA})0Be{Erhgp`0Uw_3yT0`lJ)l+h@ccD<6{vo>N$lwo5~l8*{_Zcaq_4<G zPen>J$%gHAMWhNj<`J|NONaB39!M;%la^vmYQ<89<d3jxMbXN#eV0E){Jsw$4~BCz zPR0OOg*5SbZioKF80)a<^U>#??4a8iPg<K_Hag}))J`bZX&SImRDOf0+31DSj<B}t zKN|LvwvV&e|LXnlu67G;>@^3sj%`{?uSJta)TtW$Vgn$36+TtHtYCi#4&++*L#e2p zw3YS_@XM{Bjb%8JZfrh)ht1BiD6$`TpPztNc=XD0t#@>9n|<9%9Vtj}s%zaf2*H#m z$3uxlA?8t2EF1l3>ib<cWy4E6J&o{!6!v~Pm$|ub`N_ptWhLchYr&D+Op$!amxyaG zi#-!4sTd<%ZOmuWANA+Qzq!muf_TvFT7Ceit3bf8vlb;zurwmyW@KC<H6kT_$xom< zqd-)ATe-#2GqT;K7VWn4oqB9XJ-Yfv;bA5nWs|&efIK}8g!2N-R(R2<0I^9QCo{IF zgM3Aa6M`C69NZgiXH4Ehs~pT_+SG2H1uF4+3hbz^mLs<KN~<poH7Ou?DFmzV)xSVI z+f{#`G3_Oqif)fi<hvAeq~CeOR6Afc#N10Tq`6{YPa9tpC2Gm(NxO{~*d%W!_Ch5g z*NTDCj0sBU*Gc`cpB9vrJ#%2l(bq~ku^=Wu9a<CM)4paDngP*?3I-(%6A9~q9wCnT zKt+n!-cYibVM@?RXu($KkwoT3Zk{Zli8dWs6B2z5g{Q;ba#S_oO{&i?*air0D;=1C z==-k8&l+Cm|Amo+AmUCN+(j|Q#@#b2=pMODhnF_u0hNc~bcMJ2=UE(zDZ}F2j~_-T z=_CA+NGOD(F=hYL?_e&$H9&0GQe6WR(|ONCh7+<1#}`el%dVSv_L>3h97GKbXXLct zgqxa2y0rXB7WV@39s^5{*A38fzgB@_WXeF_BF5l#R^t}?Tfa`Vi*Qi>Bf_-Wl>7si zPV^0OB4e6!lIGV%faGz+g~MBkF3+D3EBZ%!>P6>KTcfmkg6j4^@_YjVTArls?4|a9 z!kbEd>I6-3Qa-yZVQzq$k^cOt^DK9{sy(Zx9X~+sVadJdcvnq-x%vXkR97irQRGuJ z6*@4mcI_N3t*X&I!<i{7#dSyoCiM!m*++!Vw3{58f&0|qOx0o$qrGL;cyw7x5%r*g z7lnlfkPF`yFngF4^{+}}yPA2h$2pYUART~%?%J<9=R#2Y&XXJAt)T3eMt<1!^{1;b z)Jh(5!nVd`p$>`ta+?5Hz<SD+x}mF4c;m`2n7&+KtD0G{dQ42VJmr5%Uh47+DLo0{ zR9*RbHx5DTmtv^KkHm85hPR)I5k<YMpxzL=LZ=L1&alR^RESEBGfRr(#K#1|M3R9g z_=!9d_r#rHh@hnq^Wy0L_^pU0j^;Z?UVD(esb7H8uXS2HqIL>Y%O{>ro9ydsl?|fT z>IrD?K(N67NLflXeDiCd`nD4LvWf&fO^WkCxnJT$4If8$mZ*@oX@;cWcqb@2l&Cd? z^Im{_gCL^A*W0!eWB|d8jb0xS4Hh&2vH1wjQC=iKHS<kYz!Ov6;If~YrE&7-g0D&X z5@@m-^vIEp1n4r5B$paNb@1yJ&Q}w}rx6V0C(ofK5%-!;%`acOW|n)fgh~6d4emDk zpwJoBk5<7xf*S$VIebn8lI>wEL<nJe)`J72wzc9O^Yp3M{OylW1ZSEjW2c?`Z9?6L zXq+`MD)tiXg6cl_G1(Q#sSqur(*_pjw2F2<=He?!)<1-RBSP_r*B@{rL~{fQwLD-S zYkwexGr}$0yY@!r;Z}nvT#JVnj}hEjoFBF^9yt@kD`{BYtT@C#7NJ)KEk(#MI_(!h zkURQAf%0;ZPUY$@^D^E!$|$ecHjRzf4kqdzzP(oOXpCMq(@H0W4i28kOl77~9!z5| z?vTdHd~z^wBnja|L<6zN4%aDPkjsp;xI)~=8q6&I?`}GTZKACUe_fr`-u1SvUD4!w zZI&)m_eRo$50S+`GrPS|)Kl|uKWJaJ`pytgBt9m%k9#w#+pX`Ul9@uqtCkAeLe%y$ zhcUyz))>Yfd?S*a(d8IZ=rq>8LTlb9b>*P%+<Gv8bC`KxA8txOe5hM7PR2YSS=f3@ z9u%8)^pWA|)qa^H%i1a7^H=@s*ZntD{xe;b<sZ2YIO}CFa%BZ!w9|VEF}wiV1>4|> z6p_pi4^9vVcF<K#O{8GQNOUF>!YadPvhOQ_61PjFjE91{crmA2g_g!)s7zsS`xX}+ zc8D*)q&}t!oyt*~nAx0qkikA&k?NVfIr!X0c0R~rthKNu)?7CbwGY2`83%vGM_u-N zLHt9#VMRC3LMoio8`YY${cm9}u?1S(20{o`7PACQ)4DS!3`wCHu@K0+O~zK4PQC7n zf~}vGR$49-$a=JkXr5VMr7gO^!v*JRYEeEAyd1Q#nH%(`ptCF`HG@3@eim6nr60hN zBU1^MKtjt3OOeab_`NQATPd1=S|ZgMmBU))q+Tn5tknQsH}0W$GCi~5LhK%)9Utzu zWI5&Xvq$LX1#uOVJo$kfJs&cx&-XBbeC$eTVpvp>zgbs2z3nZa9ksptWa@rOP0n7x z)%A9xNQZU>L<*(f@!h-N;iLKsKNtJa9O3l%568amsR;Dj83(NhVWiOywnnV<QbGr8 z&z-KfX>DCJInELpw5-bL;OtZ#qnw5y@<`rIhzT8XPosgn!hjLCsPLqCS0CdqUEjnL z%NtH2fAU{@%wbpBunK?csBZstBv5GqH!TcMqLhv*dED)Fx~5o0AaBM%B*{nO%-Fj* zVb%4LkIKLB@1zB=C143*`|#vzMAQ6Ji^TBo3s!?k$u^i<9*?2C8MBA6aN(H-1u+NZ zRgUT-HCU%y70v3IFN48NY7TfSg84$|*Qk}NxI$OB|LCo|cTF%x*&3eI;&04=iJ{Bt zRU3gh+7{XJbs4mj8Jms$>?NB{+t-5MIz(3*fhXab^2^T|-qiA!5VjbSkV{pIvF~FH zfzogr{a?{JC3Gg!&^0`qkn|U$?<#VRQZas+<wyqW0wcF<GD8|jk-@C;nY?9M<WCvc zq3AT}De8ojz9rt?$$J!x0OckCoICMSFpfW)b*5|#h9Vz5keWWT348P7mPkK@Fm-k3 zU)151>BNJ+0)$W7L7qw})Kj+FAqm}5v_@>9uNK8Gd=)b5)m`J1NVMQkY3Z2FP(!ai zY+pYU1u8iOR$BM-$odYnf8JnZ@wY|zS@M(I3GamHeYRZS-R9T{g(4<E&=t-(QC$b8 zP%-4kL^uuR*k3iqS~dX;tizVyHG2<%r+r=!A=bzt{HZXdT52a)qEVNgx$eZyBT{0# zo*0~-Bc{iBV!SFQnMnJ^#<-)TV<hgS8&S#xo%<JEE@zn#@G)5)f<mU=rRO%E>dayG zwvjqjf09ex<?byp>;I?%<u<HK{EqDUGn9wLhpyT(t(E%**ZD3kntH=NTyU{+bQ4&5 zq-Fe@Pd^1LF`Kf-NOOO#)=GD->9W7}nbfQ^mN^QFAF#Z1q7Bk1Mzmga`dr?>5>{<} zn=3J@bN@0WOIP^mI)7;%+J#lppS@7p8R6}`!-8s+W3O(o*IkUC@+u3C3RFVDSc%mw z8=pM429faCFRCrYO34)+l#1*ll{?x(o>HjHCgKN6FfI|1$DG8*KgJl*mk^4mJLf_g z@jpQ@W0`s|@lV7t@`YwA$YM*P)ktcaaGo^%D~U8P*471MF-I8`Beip@o5+Y}u#yaE z$~vS8Sx)ox`LcHC;J|zy2G}C3={O_i$=KTGBwDXr8mr}0vSmlqC}VhC1L{ZPwa2KK z@bk@WNMw{Uu$h<DVPgBFx8OMJc2fSpM1s)sQ*Zi{t@7~bs9@MW6SK$5j{1)I56D_g zTV+#|(_$RofFRnQkLOBbzgo!moGBVm7M|=Bld%no*g(4K$t{<R0^}!=e`Vpp4!oC7 z$IY4TGvbVbxrLv{;CwW<N4M|k>A1cBu57v~SZC;UQq@Oy($2Sb0_cb~%s;)x)4sS% zQLJ075;LvC)5~e+b#)Y6=026M)$JMVTXZ;93TZ;vF{Z(h>u7}*+3~`IGLxW$@Cw-* z>y7$zkSo-ipKkW|z^}(*vc!@8QK~>zy;X|9KbvHYXB5N~j<6B2#ya43s5h8-!$zoJ ze>tbt6aNh21`@#Ujz)?t=TXxU%x_#x+Q{}cJI`e@MGY9*?~dzMbXqb{y&h(H<dAKE zm1=m&qBZbkG9OdhV`=q4%5M$*WMb87ttdUTfy7fx`E%CW52X7v+Oy898ZcfBWG-8w z_fQz_)KK&Goi|rOvy#y%4XiBSCc(#f7p%92!^fRL-P}8QR<H=;RE_Aq9{FofkTph# zpK`1~@B<27*gd!ghN27e+Cdp1a6joTc(Ur79G9~pC8Ywae6P?_TLkhj`aV~z&d#CK z79YsN9oB^ifd#l9zvjlpk!a*zq+`EPXXb{H(4e<4^gZ#hcp9vZ5wF^_r4mhRu42qd zMArHt%F9*UhgCqolkt{K_tfI231D6s5l_WOJ6>N?crn1aw2WF)>r=SH$+K>Wy4;Ct zXPLv~qsr;Mhgo~J;HzX-y5rB%@R?7!o}Xp1V42Hz0<GsvipD9w76=apYWPo;hcE@M z8+5Ng_fzs5I~PuL*k3V9ib(3SHXXv>eKhorlcDKeR)2E^JMli-2Wcz%Bwd7%9VhV_ z+fsHvKqv@MA_-P!yd4vMmOHW~^-Fm7qw_2KO&z+?D9#)vqJTV5(&>VY`+WaL0cyb9 zAOiw{4~#Q_+8!k>v|)jo_Pn8mg0!HpyNTdeppudF_=u>lD@i~t6V}-iGrfj2!ud^k zYb^WEf#UW8;@R_DsaG{pf@GZ!Moh=T^!wTg6o*o%p!cs~AX>77-3*t8Lf~I6krw!< zFhW0w-K8Mppnr%39=azf3DK!BkQn7*4A!;kDLoVtK@PaCs})G9+;NGE4+K=qDx;>J z7LX+K)A)z!Qqc4vI=_~}5Mo?w<&*T>#L&tQ(X%XkMgKGyot8+6>~PyDRnuBhNcsM3 zYVdD%#3^b@;G{q+HGUD-z*jFkL3H~=8T2incErC!VVtzdY#~Y>lOTV4j4I+^BI+2i ziptnHf+}M6H)FZch};MNWMN{3Q~insEZK2$Z6Uw;fWQ&Jw-DD{AOo+!^kdu-s?3b~ zN6?+ZydxX;k2zP(b3gA0&aFpKm3l2X^$q_!f<E4hNkIU)M~EqX1A(pV1mUiSp&SnZ zVr{k0=>-9tx-FXoAQ&Lm@ehz`m`1l<6pv-!e8fcZFhCwgMAG(TLUNRa|A|?$Nk6S^ zPGY5XNiWw}OiDNs^14Kl3g_D1D|YH+L}Ca^pB{KUuujGoK!paM#11Pv$bu{!H)(i{ z^7<u}HwbJ`LVX%%sZQ?<e(#r%Zn@7dzp%y;_?4M#`H&@d`>;*k1Z{ptZw#lA&v@W~ zdJ@J7>8W}Z!vR^q)VBoplEV&tUZ;+3)!dYB+(}06F2s%N5?KEK<2M@WFvI=vXGNR( zGiO`I_d9uK&Tvg#XXR<CXa>{*0wI)0Fxy*j#7lt%K8f|Gnc-aiFz#d(aBKi}(7)Gd z093h*v~5YBY|1LgqBNEt>^@DIhT4Wu%vju5CR(t>Hn~Er4K{{+AdbC$pG1~z@5<yK zp&5t>atX8&ormDdB!)_SZ$+<<_C(m`EBTUS9-InWvbDyYU~w`l36Vr2dqr>eug^^q zu|PqU3K-`np$)3HpzPE|Zv}wkuiEFtqYO)WMvHDNvz4e=O(aiN9V>i|9rXi95cR3; z?#2YkFMXZ8op$%f6~seGbJOv^rm6golLMX7qbK6^c1@8GKAlCSA8@Z4IxgI0LGFL9 zYtZuZnQKw|Zm}R1So}dYVaONtR%DhuI&F2rJ|rBCS(;A$9^%JbR1E=3w4+HDjI0ed z($4yn`{BfU=mtuf!@W{ft16iL)92=D2cXkh6iiLJL_w+__M(rr?QI-+1%q2;^wmM5 zGkfA95Nz52)Rhzk#8tn*Ir(2IzGN@!b-OuRG5+sX3zFUtBpE7}(4M=+?VI?>r^d*# zY(+u&mpxlXp$g7hgcAUKWMq%7uVPTy|L4)A^Ok;)1+)PigV_kNUeFSi)lgFMmSTC~ zOrc!|qckbEZA5AhsOnan&ZKe!Bko$X#82lH37vMXlbix!V$ZsxQ_6xXzVsYImMTX5 zXyv%<@>C^s37bDG<^BwX{9@ZUzunK5Q})%J?wwYi2#9}xE`<V0QCo_KvU#@clKh%7 zunF=@v&Lj{XML*e2kW7QHG<|ef27dSI7Ix3RGx;En{##w;XH|unZ^340*~<WL*Q3` z$2yb3O~z2RqR<*XXyZ()R#>Z_EBzp4GKis7r21a?UH8=@iVzdh>zAo7GIuGubv}$R zQBIB_=V_6fQ$ITZZk6CVHz|ZGNLxV2?zAY&{4b*_J!7Ht!(;S8wN+sGTOxLe6J;z3 z964(!duEo<JZ8d2T{$Tv6oz8m7n!O|U8eyM6ZQ>1uq0icOw84MZslbGgJEiBSetNo zWa)#9U{%oivw|CquL6E2-l1sm>?P8o+#VQW@iT67%;pr}7uyuQ1LqeTc&mk|8~M&A zts!HWu~Nj=GCo(8E5%>iy30RbKJ)>K<8@d9GE?2BqwJ!I_1&-rhRWUhYX$f#bvnT; z!0M`UXkX|EPu~M2;hmnZ`)Z>wpY;{Yo=Zvc3JNtWE-f`bCbGoOMVE(-UI5!Uyp*aG zKR{j*{;~p8_eZs}{=$b=(`~l*ByP|7*BEJVqbviMc|Jyjd=v#6wp@Xb%y19L4>8M$ z(zJEVPE3(T&2L42Mvb$xIqXL_$s#&f_<y-E;8FYaFQ>ranepOEymO#A1e-YFNAAd) zyu=v>5OB2-L>2s9PfX?Kb;x<=jw|WM^&O!@U6|d{{}X({1QMJx`SAIH%s^^GoMI z#I6HMl+N9zwG4ZR_w?mY)uq)&S8_6;hPiiwre1lwidzhjfwC-H=tv&il8vj%fyLAS zqqQV05lFdMM-w3MD-qzwQjUG7l$Tek0{u~E7)^IWP5ir`eLMn@#RdyIp6@IyD`R}Y zx(3K6Pt~cpl4OY%PwBtD_d2F@@9!!b<51_+wMjqfIF{lXEG+1ZYwM!0K)6;KMD~fj zEmc$jQQM{e*PrzS6?y8f7)#+HlsmuVr<y^~kzw?v--Gt4hxpWsaciK|V-H2OZUP6L zGV^5Avl-UxU_a6#t%r_StC>I&==Br=J_7i&jR$BQz4zK(s!DPXNVXtXdkr2s8Y#Bg zYVZF!t|oyB_!D)WcCLWs>T#)4gLAJBxl*&+d{g0ch8^5D7G5F>QG}e$n1C55hYs#^ zH$0)i=whsey|XdSbfwS3az;WvVao`&r>Q16n`mny9iTJa%nh62*RLdw=4y=HT?lL* zzUf0&gN}-<OTRCQ^Bo~iKNfp$ATzdC8O-ySd|2+mbM>cIFpQ*T>pHjo{-^yB7fS{~ z#i?x@wMX!i!kcrq%Z0t9hM#7)m|qQ%!Vpc>=~fYIsh5ERLR?4t+V^!Xp>hm>R2B`G z+rxU;#=uW2$O*+_e&F-ZS$Yx$QAk110>{Gw6#^NK5Zn-&O^Lk14G9r;Q`psLx=u<F z&;q9c_?L(!b`E0ap*0dme~+IN{U8PTWk(#zauPF_amQ)xVV|yBf>LC5+5Q!Jmi<~* z4uAwcP-^Cq%HK*L5O)w_SgD~99%rq&4?-*j`!_BX?4WnhndbMwB2?9FFygI8*`&LD zauQChu;O$EBkEYas8uNXXeONe&be+P4pA0>71q>a2VS94{3k)_h$b~uV@~04ub+PI z1_>L-3#RgNe-#~<d``)PZ=b8k?$iaysIE+UkhTm#U4DZs?C)Hj8mtqQ)%8j>TGkbX z0ap}0se%fr<HA^mW|#fN@MNaI5xhO5l5Y$R6#n{c=12m?*3qui^f|K9C@qoD(sjfD z&Gdw4YzOo)v`6gM+Dka1tPsn1|L)qGUX?{r_yId9A9P0aibO*oUFZQmqM6EzxgHbZ zL8>ofy>SAirRy}|aDbj&cI<5Z=*}Zr20}u8hJ9Xo^kOGfVDOh<o#{Pc=Ui=TqiS+9 z(A77HZ1}1Uliv~N-Pqhabn(g}74-nDFqmj;(?QAyCO4cc;ttsFrvCc#y=^l1!^>~L zmIDW;s@t960oxop@1d+A_#<PU$Jxiv3^V(_p2_>kN97yy??h?j^qGrs(Oc*~*9Cl~ zUHuwOd$hKL0$7N&pQqf38VU)8L0UaHGMZR;>FQO>gGPS51Fy#5xuW1lxJi0Ib|fzm zOHfl?Otm}nQ4_wsqeDLQ-@nkwCDgi5%(Ul){6@j%*O%QW0s<5f*nC)a01k5STLx62 znWxuv^R~}s)!F5x29x2x4IfUCjoX+VQ?e;{sNhCRUMa$6#n^e2sb`evE*|;qO6=Fj z{PhWegZ=!7?-d+L9qG&6W-_~gDJ$MgDTZr^@e}&N{{xLca=#PZt`Gr_$p6V;&gA2l zh+tW4$dzNRjNFrqGYWetzWwvZ&nJ5gIpzM_XmY|!Y~vG-u_8Np67K?)L&o{Qw?e)# z0zw3`LXP)|a;p~-e<BmX1EFh7<nVHiMuS!t#{0H0ptEB$F_f)x7<M`-0e3L_!)<3y z@C$Ao*#C`%K0<Ef7+Hbj38h#hct??FMlg;OExG0a`S5bomc$fI&d(!6{z!X2cNxIU zFNY}Ju+Bz0PF!mo*J(kNV!&VM?`-hx;obW!07ITeUuKFj)K)n92`Qc{{7$@QNvdXT zbv^x;&szf_1hTVKkeBpZ10{dD7FnU~=B^3x1BcSb^MR$q5+<i(*CZ6DYSZ`1OLL}8 z6azRN6;Eh<)x-*B<4_9{r9tw)*OFMmmuXmCk}`}4oq<Vr4k39^fTG*!AmbTwff}Jf zyg(#unR;G36GSgiY~ot5QhPb|yVt==Az9arC4`bv#FM$IreH)#2C;vNv#PdOO$}UO zznTkNA>N<FU@q`jC{D>3v%#-Uw|5Tr0Qf%P|KHc%{Tc=cbBW&mVxmo=^Qwcgmd){c z+VbgXg19AAG^J3xW=8Z*4N}^?iY7K;O42P}MH`}&1;_JAq++LuxW6loehj+>PCFNN zpfw*@hDu-Kc!EybXH<W9j00v+w9G^_GHm`D4ae!v2%<*-C8B5hqp(HlvAOBPZ(+B` zh?y`&7bab-+6jB3!m^15fe8P8QrZNX0dNA)2Ckn7x&SZ*KbSDcbNs+VLjf*`I4=V{ zgPub_u--u~ef%6p9mMcmq`#ulClmwJ9srM|!2a6A_Hvu=bUc5?P^i<KF@A3tb0iut zQQVCb<A{VIf}0RZZs$H}OcCQZ?dA=QovKRSukto^`|5?0DP9I4U`aAc^67*RNeAqq zu<H=Ud8luwRFm@%;snWw05WjQmI(kG_7Hr_-8Rf`srbx!A6!Z~Af0g1gQAue#-V6W z!-%L%!zwMp&kKJ~3z3Opy2#Uum?r>{R=!vFjXru&q!ztQy%xZyiMPn#YBhkJEBM#O zzv=II9lZ9u*@if?IYgFIR4_f=DS$S&l)x^9WnL>XMH#M)Ryf8!T4NaYKEc}X61oF4 z1q#doH9;}1Xp;o-K_(@YkvQIu4=}a~&Y~Elhl1yO-&=o>Q!J5^x{H?~r42zYpy6Jx zJHnsf#XxSs>I-?c+!PMM=DAJJh@IwSh}<Vs#Gybm`Gh-3_uA1r3+t|Z{U1QP)4};U z8A?ddrXlhJ9C%ZC6TgQ+D3!Bm<mvO#3)pH9J<s_)X4~547@CurUP46VON5cKFAo`H zw7=jADqVjQeK#p>qd|ur0Pa~0PVivFrKaLOy-}zHC%+}iYxOaU9D}eLC2{?9pxNd< zXYo(r`&TVHos?7a#<5tPR!&Y6`wG`6Z(|>=o#8ZI-`M70eK<p%v#kIz_estW!od^o zvfPvVpFY~>?0`235;O-xw~Dt_lpeBwt+tcMm5_g5k)QA%Z~|F+^7*49h};L@CRlrl z0y4vO2@Tqr`L=_5_5!CWjgiD%9ZpHiRRp&bBq&>VSU6*u9xhtU=PWN|mE!4$A4hRp z7&?(p^^(PTVVIeCV;lta%_Xg4jlsHcaMJv;%av^3!i<$x+>;M~Mqf1y<L-!nYV_S? zk`jLc7+($2H>4FPyB3$ph-}%EoIv@q5IVutAa1{yvtSrSJ;2nC>-}D>xz=B#^ukBO zrO*dpTEx~HD44qg3};g42hoLVz;r21nYJmrIauT~-E64QWP#3Aq6?E+W&p`-ikeYF z3R1CvvrVzOIZKqAc!HhE+Uv}K=Ed5(dANT~K_{8fj#w*UN33}};_})NLEJKS#DbIH z&imHe5xpJpM+Zlr4{9lnXkJi}3*d{cn;8gqzT!nxaAvg=J)SGy1ks$O$^4mBC@D^o z1RPL6sNAZ!O{TooVEXr0ahA-F&;``_Aj}y-y(i_9;tOKzFKUdm3Bdg|iBRqNa`1nR z9&RcIJWGl3jM7q(FqRml5IwMKbk8sb5=0JRf=$ToL+ehz$bTn#?&u$hr_@-c@H%8` zpiDzHP%t9T=^k`At}4an^TU*Q>%#synvJRtPz0cW8*<q#@rkgj=tTxJ-4jaYKci>} zw3tL$Nqz#~kSWRfH}IOVS&V}70r7tgc!l_Z3SJ}H1S;zZ^eOAqQp=vf`~>#y2cKcH zf~%avpTS~WEQe!ws1M&|NW$VC93s$L3SMhDcvWsvNe!`pGkC4dCWFKy?gj*6@QmCc zW(WXVz#cOK*m?;73!i=X?$=lFtHY5+j`rXTiewbOMYKiK>y3tC3*7d$66}8*9XIxl zcaIK_j^Cd3LR4=0a?m(^5A^32zqyZpDkgsOI6ChV|I8S|DQ0dPjonU;!iAGR?F+?{ zI?X@{Yr6tc^k(IcIOc*5GsbX=&oS4}{9-uMqht@rD8Bv9{`%fNh`;l$^5;G>RyQge zO3FZCU-M}mz<L1tqXDc*mcM_xvLA1GUx?d#!TEe^ZdgJYt^o;(B&7;LG6r-ti2!93 zfdTccH2yRxIAE-nE91e6F;;T;6`T>nsMQ7NGcXc2eD`v4sa4D3yPx2ufwttTf_D;* z?{?g3<|U}mcw+!>M($^!Dcthwwt)z4rTTXwtd-4afVuq$K70dvkS2dWB6mBb_~17H z$CNmK+&=u)3--t>W2$*ja35NfMPx0O<vO~ft>F3bA?hg*TB2$MH%ootkL#6r``)+? zSL|5$YT@aS3g2m$#G2;OyNywqAx(7hO`bK3dQ4>=v$`kbS0y&3f14n|IdLg3nEDSA zb&I)D{$cK1D8<qo+(>_@^EPf?^)6$#i0m2yiQT$&q4c_QrLCHR9uy&5(<?#UlcV;# z6w8YU|5y0`zXkBDkhF-}2*fc(0gVjIc#h-+#Y%8vq!2QL{k#-B0bdoniHv+4e>gmD zByky;Fl1-I2y%43x==mWg=W}Rp-DLFLP?c<$*H;eE0Ldgh<$&sB(xY@d%adwWKDt4 zVv10Q3P^TFb!e@GIs}c=t3#69LQ8q_>d*}41OX2-bw~-zFYJ<6hkWYh4^Q1B^o`o8 zs5y!FBb1niX$5fjkyblVjQ{fX5Qk9-dMVr-V)!Y=c;Wv@=}7gN@RG_qld{6Oc4@(J zamkpFE3(#8l@xyy!v!S)7WxswE)carote{sujqT!nxrva(66Wlpv)bl$5Yd?7eKBQ z=|XRm)GbU300Mp@CUb(?;4~9~7I+Y{1nCW@p8XPmWb|x`7UXC&)P23AkkT$fMq2o$ zBxn`Bf@A?+kpU0`+vFomJevJISA)-pID>9Pb#W-Px%Gdw-~lR)TQ#nHtmR=8h^#T@ zh7!3l6KjUwS=GKLYm!fhm>p@Y6j=_(4F#g2(j*tdEb`Jnavm__qwhoH#F{eooeru8 zW2G~qe&QtxgHCjnWY(>+rcGQ(z9VL7VsUezn4Qzw8-}M%dKXF^=DsZy=olFv)>Nqu zdM={y2d00NCoe`GC{pdYsP_<F4+u0IDia$^$Ak;`rzP=FTY3;<?av&0X=1EpvrtM2 z9&=i7ULPcY+bs@0{c&pQa3*IEeK(RdF43nnIb*Olz-flgr!80&=6O^E;7#qeYdP#! zz=z~Uv4At|Uu;fbbz>H}E#6-U;{sTl5%I5=K>UC3T6@G_z_PhN<H;j_B_u(f9)TKC z#J{QN+J#;6h~FdrACCAle}4|LN>mzIY{3>cH;8?-2BwOhiv(T+7!ZDE2%EMSN;;S$ zV4MST*lQ8PvMhdtUH}-*Gff<67I4AgKLRype?aU7{Tdi$C5;9KN}z5(h??%fW5ItG z&!B%tu4F%R>S6X!?uJD1AM~5c=yDKWC*ME?3dqwlMAZ;Xr*jvzDMHzRJ~C?}avUZ~ z@}(ywP~dxsq3=+Y^2sm_EMiMH!6;M{Hx|r$m@9?goMOW^-UTf_zLx4KwBWKgcK*`5 z9kaj}u@5t|V`ewbc!Bj&5I*ycO>biAN=tuqJ=Rc>0zhp(I0Y5~8HqoGSutUtcJ!@_ zUNOkAm}1p4VvaDrMj~5+i-DLq5s~wnO0g#J`;%c!lj?J~1zS*e;T3IMdDCt}xwov$ zm{ZEC`|)RoO%dR%F2dV{BZiDIGqA79z01d@u-|<h#j}JR<p7l0uodFP?4BFJ%H)66 zv-7@!aovm}u!-20*Laid7j&C}8Q3~!?&}jutT|3n<@b=Bj$CZY(%k(gSqoCZg)0s@ z)FEAHU@yM*r@h4n3nU3;#-W@z0Z)$iia`P}I#5^v3}LCmy2fR@U>Ku+Bc@`!`%lc0 z+gI8)mR+2N?BC_0IT00grHZaQ3SEB`D!O}fmGzZ3BD&v`@JXC>k@85OossZqoON1Y z?&SDSJ1-!xnyaQ!DY*2RG*-ro%*YCVN~+C=6{3+_m<U|^HT%YEX`yxI1-Cf`lgyp$ z$xXWK575NGha~i9_n&(VMY#MY$Ep|jfoe5C5)3%AIyf~AJYg0rfMyfAe;0p2ahCT^ zj*|mUKjde8?|%ySu^VB9O)E9(t!G9(&AuQ{l~};psJC!m{@TVywOZJnj2jB16(1oi zdk}gi?6+W@nbE|zQNqLrA?8hdg)3o+Onh!PRA%B+?COPG$`O{2arQCJKRU*lAmXs? zrWq{OvZv@A@){F5hkbP6z7T(-6^-B%3OK|;V{p|E7NZY*YS{GwMj@F-FlV!wA*~zw zU$C9bPA$z&rhw0xiJKsBN!{|8|5Iaj%+F&jn1A8qZo3}kg<GFoDeeaCmGj)7WJpQ& zg(&%rA9kuiX18Pg0Ja=wLf@0p$>F|1>hdQX^ql>Oo54TgjJHOPw10m9gH9okI@8)T zxn7@}L1&lUTPJAk5$rt>;n~}j=6V=|;?!GMtpf$oKmPL*a)E01xbKAyoLT}la!Y`s zhDZn{7I3x%P<W40KvQZu;t|r8WoHjDxL(6Q))|GHU(B$X0SX%29cF;t_j}Fbz5V08 zllRTjgAaSh6_@JYmf0NMG_ZX2d#q$GQORf9e(|X$Rr^uUhR1H%s+I4T8EOL_f0MGM zO%Ca;G~wKc$4z$RH@|DPD|yEVhJl`8=P-?rXS!j;1C-U3*RhW-TrXnn)K>_f0L%nb z<mQ+vg`+kzMeJ@-?C>SZF?WYOL?pxcjJnC}8bsLebIv?YDl29KS5U<7E0x*lbk8TI zr{D+?{rgA9!Kb}r{OiN^$K5@2e<(TpzxTih;tL9ttlo=~wYsxgnDafQz{nwh#0%nm zU)x73)TCrj>jX!FqT-Dcz3!y`oCzus&rQUV!9VSSk-I0BDhK}-i2r6Ir}%<9Zf48% zBpbfa?9=A|{_fxcN~d_3x12DK*ug4LG>tZO0BtMIY(>mzm#8w_W4k*me`g9vh`Q#5 zR5SafBPRTu4LPZNIJaE*&tGmV?+EDZj(rXO+3$1p_*vgvyZx(g(~vD7u%u;u+U7ec zJoCT5@19UuIwWSHUc)YzK>W{NP0mAWA{IJEKN#ezAsm|erU2KW;Fu}>oh%vr@9(l> zbh;K0VdX7nob{;)fBMu2ZNu{iB2z9clP4m%@OXZ3o`L(Fb1G94_<%~>q(GA}Dl*J^ z5o*++)+4?O)g~rGY7;_n-4t;qs;3}yjpKReg*B5Rga|rzvkO)oo4&Yd#tH*UR3s3n zeQk}U4w7~cQx$};&$U;)*`{nzBk7kRYy&ZWAE?$!76(Loo7!oUY*mvv`aI3urnt}^ zbx(;&YpW(&TbkSfvQ*dmqdC`W8aHu}dxQRYvp*PGzfL1h4adWcKBmx){ULKA5m%#h zqY@p8oAsKE6I|37%bO@A<#Iuyk4K+C-@hhEEk<-MT3b`QPl(RL;Q5rir5%2eksl|2 zEoq&D@IFdp$Z~Cs={||-lRIUUhFRN(3&CL%*{-C9N~T!AZ#jy(6;GSAW+$A+fYeTb z{*l|1fhz4xE`Zu6H>k}Q;{%d=O7|pnA=kbY6+p^3F~6kty-5EHU`3_!x?-0#1v-oG z!1>Fv#R{-{M(w+KLa3^Fkd_xYj?PPeDCCw^ypF!SI=YqIWn40fy-~R&z1r^MHh)mu zCc{UY9%#Lk)tmf{qw+qZ$6vsp*4d_;@~2KH+&JP1IkMp1r8le8ZlekdHArQB8!8v& zc3g!>`bmp46}#)D$vv!!PvglV!azzH*U7JEnse|W3j0v4;Q<2Hq`pn55}ccVSKcNL zqqG{*{IMrz_o6;!zsa@%C!VNnx+eRWGM;ugs6oz+H%>3L@x~o--cTGsE0Yjynrm?> zqcrciJ&DO6LN&UejB}~1sX{yjDh1oaVGoVbD9J0;+fgSA?G)u_x#<o9M5TTO%e|K4 zOXaSbGrpAHlY#sKRmFST2P<!X1e(rv*2M!pbVsdn__B>q(B|+eZeR7AIFt^Ua%!f> zk;{i8Z;-eQc2t7xJa%;L;UQcxKAdL(;XK6cL&|h%BhkgR`)0)vF)G$}<y0sjLf#+( z`PoO`h7*NdkxJf3z{o9ncL)WH;ONQxK?C&TgvGIuWfIV)p`jL4|3_PYq)!u6Lrkml z01Mt6CMqJ{(z0_OR%THH&|rzVHu4nvR%8HlS$9W?uN_@9=!Ikl2MQx-b}fYywB;Rm zVKkwV>}d{Gnk!CQ74Ts}J8cl2Lg3IcyK&6f;Um;l9b5wZ7Y7k{!0m<_?_JbPrH&J9 zqRw>zjF$(HJV0r&D0+#1KdADTS(;oERQR2StXC=%sz6DFRJrjm;?44Ms#y_DD=W#( zFAYoSI|YWyp{R28Nn!~Q=WOb%YTO{1M?64&Y>ru#x0#7`#Nv&N*vt^m4aZo#L$5gY z6&8|D_<tE_M0`+dIUrCo!2{3b1D+{mOW@5N`|Vdy&4kSNwn}e*tNcN>%DipUWx1-{ zi;fvrW6b51A<mLEUPBvSVzg7u+YezExGuNB62+>1>EH5VlN>>w#v)ADitNGj%_Za6 zo=KLd5GF_J{)!6P&#C)VzWMa~7i6#uO{1>-g-o@&)D-qSD-vk>o<)6xq|eqk>)BM? z%QLF4{Ut88&U%)Apl6i-g$1pb^t!2hN7ax7+vK3e;z2Coil;q@xj$Ap27HiEca5nu z+dam+`rwZ#HZAW+^w4Z*NFdmdn+Ig?qj8~`7NHRw>YJu*;LI=20k5IJ-j6@0Tf6-L z{-m!WC@?lBj<}TT&EE}Vg;c7oZPk>R1BsKxj3ki5{uE_@j)FQANogOg%E=2*`C0WD z%<yf9hB3DcOiGK=_GHx0x5>mla$*vCSC}pZXe>M#CtVAmHif}%FAC$8L4U;vVl2fK zqPV={RGThZiRYuHX+K~hkm{Ab5ISvBkw6sk<>ts-P8WYhyKIDTLMub8nQ1?eMfP1p zJ=DnMQmPn#gt0Ap8Pf9jt=Q=R?QhUWp}1=n%=D`;cvg8vvU*Hac=H#Y<1$x2dur zwkZkhoMR9nZ#Xk%E<Q^zCd$VZigD#SnZjfyn#|5T8o*UX&xJ8_7h~Q#GPM;5lhT)2 z`8RIIy>Doplft2-xkf1lE(%e|ND_*{I7Z!F;-tlYFf<=Z{EuPtd9k+?L~ce3A=IV> zMU(FrS1V_2W2;t39KVxgO+7+|2^BV1Y@-Ux-u47dqM{-E6!=U+-XVWry`1_R+pUNR z&mst7b02f{Q$%#J6|~?U{Ri2pP!g2`&NC+{RgO-i()`I8x0~(1Moqr<nXd8?If;}F zB+vFerhSG&Aj&|~K$a$l+=zPH6K)|YBRMplG>1KdP`E1!^v#C-Ml#_r?bG`t=p2s2 zW^^4jS(4eQrqTKJmY0Ka11NvBGW)P*s*>~*7S=NMVQ51OH4?Y@0rq6q0`<gqoThhh zk_#l6_>O2;-oUo2i5O`fz7lESh%Z4UQo{<8ckaCC(k#>+H+9gPh`ovU2bqX7&|Vvt z=rHu!H6~$}t3s$03aXda*PWvamxLIN(&OmlV|o)9Q!n=rhif-!%6UG~9m1@@?S7u` zo_u`4`X-eU2iP;=jATss+)uQBST8zJIG)7OJ+5-`ei>y(U%SKRpyifI_-0Fq^DIc| zyq9Qm0~~*&;h0*6RG*z8kQe`3AyRxiI!z5QL=WJxJQtJ<Mk2)+W*yqh;ApoIgzf)_ zWhpy1K<e-?Na9cl_;E057b@0&IB^#?_}xY`%^ampPV_TZyMsL`4Iaspkda}$6?{aH zOU4*;W(Z0cN1k!>?0N`3bh@Cbl`W<Bkp{M*{Mvt?pfH9qdM-y+Gs20)YV1qvf-*{B z)T7;54cInReVOWK$?p-%ibkrWJX6<Rq-}Qx@D*|XwwXWTVnB_(ozK7Bw+xU#rUp~w z_*}sWa<{~6XMDani@X&Nuv1!jo0$%^Se!$M8`0V1Tt4OwJkAa9xD$i}CeJ5%T)upv z8<KyzWx_X<@O?#|d2H^n`H#lt%<*ufQ(tUXgZi2?M3>Sz*LaoVq1fu>l_4;#eYA=K zsfkn8wa!59q&!R*9Pe#6vho6=c_QbUaj#Bx;zhDEsOd_V24`gZnZ#dkbZJd^m5vXC z6?6yVI73+Z4hc#C@Zmq$HApUfSa<uaYmR?YrlJON>*nJ}K?{L#+?x!WD48Kw&k3V! zb#-ma&Gon0i4^Rnklro3aKpa1M*FGYoTP!&UBNk-pW19%8@~O&(&(C0i^x<rxt;SS zR`^}6ekZXIK~Qz079w-iDt$VXcY7(_1v4Ynk~o+9P>qT_8H(NGa0w8agNGc1D}aAM z9xSnfGYAK%=pXyu%d;gOVjrx$5jpKQW)Q7neR3AUK@C5(e3A`|SKpy1_ugr+x4Rqc z9UmVZ|N82Ebt<l!vL^BO=I+tQ)8nH<RPz3<d9=TeX%3)WU&Mp><pc4zQM&}F-&GW8 zdAtM;@u1#=`X2=9Iryykx!)SZFjaqXx6&r1v9SPk5Aj-TR-l`e*FIXq3KRlEaZ=SR z+Kz(xIHsB-^*GvZBKHZsL(h|Lz%-OBv1H&ajxmvgEwn*Lak9}pK!Xq$hGx6-q1e-{ zu{aaO1Yaxkk|X9uErD(Ht0jYc+I67-EN954s7CTO5-%(vm|ay6gY8Qf4w-)#O{gu| zV~T#2PqKl%+Ph!FpdVgFZ-3Eu(PKJm5oAeRL_h3TD!5~J-hJt|#}{(na|$anke;EW z>`L|&k2sE~(kADwS|3zk4l!@u-70jJvA&j;Uy%k;Xos}0ZMg^2V>*xNJf{0q5ij{U zvD@L)!)QIaMTwHHJrhDG;x&Jd=^j7DBx1AEOOEiE4%fc**c1+#Kxy_PSvX{3^!+g1 z?$Plv>zap0zm+%CDXVx(-Evy$RC1Rw)hXt759@rnE>f6IF1je%)1FnI5jD%^uFptO z`N#I*LE~<zF04k2HO<-YjKU2g-^Kdog&RhUK7l$3?|Ic!&<f#Z&uo8{><2P8Je=UI za7Y2K2)o=K!P-OlvlLK^zu^C>L4Oc*CNXSuaXxKiOneCE%qOQERM_qZ(qB<JXNU!` z$`#CnaI(|;WC5YD{{5@^Z}Pg+U6^>C5E1a(!O7{~aqztIy<WA{1u9X%z<9NekN0*@ z_ZrQegVW~6&mXLNsqKIKrenP>$8ueZWP>D*7BQ08X|lAE)irVEj;{M{RN$i8zKMZ} zVkt*3==P!&8pk|>@XJ%o>vSm>8^qk?KV5ZEr3>`DfCU$2TsLd<TkhLP0WKncoKhiM zDCyNs(p|5*h}k5P^Y~#eY;n|WR!%sb9+`P$UB*MtyWhY+c+Y<k?Clgkvc9^8LUTDw zi_glUO?oKPhp1!2>Aet1;#et;sDBThNpT?jX))qU@c*2NS*@=iD;Ig0{C4L9dOW@o z4s3d5UgP=e6?#Xso?c(UFVpe~Yi0vzrG6CA_hO#;bQ}XDD<|I%GPIvn>#M;I4!|ZK zfI^x&y(70n!t{SMah?emeGgj*p}wYKDEO8nC^k$!?*h5iQGfK3$|e_X`rV_$e^vf% zLU6Lfhr?B;2T}a>OIjUg6ErqEWtK8jIiy{yQ1J*vYaiH`HtQfd_pWtnw9ai`o)s>D z@W5iRcqu%D>5BGC_&wGk;>L12@OEHXj7z`B{4_O=Jq~}^BlE}frOi)fZL!0r1Jos; z_h6>AZ7caNz$!LE@_wMuU4d$5B`J%|o+o{<+7TQc@aRJtO9AB;*&@^ovgQ?DJNN46 zc60^HNkgSr(%Fu_$fyas<Sbl?;??O0C);f#2L#E@ZB{GK(Pn=BsBEXBL0w(PYhTN$ zeceLv68e8vL#Y|*^)Ih~Judxgitm474Xi&E<dEM+z%02QWl_SQMZH|89tM1PyB;<_ zG&02x7CfTKwt3+ZdxT3N-d11+i4Srkzw$2baAeX)0MUS2tWYxy15QThH99Gz1kUK@ zKRMk#Jt=Pw217Qdm+)|8IpK(%)+Lb0zMba_$4P%!rM!dRJNSQ)gP%kjS^g4*_!vR( z4*XtS-%|8s1#?q@QTr2=3Cd`B)rf;+A6?LV#pvS*CSG)}CL@o?<Pi3=42@73Mwo+O z1#H2<hw;4-HZ-b}M=iWLA~P6Ki3F}NiA?^?w3QqE=4S(5fBoVf*hB0<M*S3kIMRR9 zF$I5b7ORJNJv%uyd$fJD8}TRol^bR#(Eg#wemms6AK3+bOT*cwaZP6Z((W&L?8JH{ ztY5Qmf_Q>mW+<;S10#r~voj875Dv0@(j5qs;KHaCPtF|7T-h9tA(szB3gS9kqG?p< zzR&bII&SP8!#8tu{Pqk!u&=?FgU0E5FiL;r@4pa4Jmw^c^Zwgk#)BdMrUBmp!jRt< zE(PH^_ual0(Cv$Ay>LQu)M_=U7^D=Mc6)%h)oYHR!L7ckUHBK+L%fT9w1yeDDHq)r zAGlZ7DR3bBM~!n7|2s*`i(d`mukg*mBgbycVLbSMaM7|y6)CO3^N#~^otna1;O>9m z`N`hytHxd<Agf1ET{%O&E%;DR5gITtUPw~_mz=%y0<`HbsWdo+4kSg)sf5DWWYpvX zL4MX6{Fv3?`TMZf3GfGVQp_{6St$i+JYEFuOnMw_Z{`W)=Zi40*P}93)eYn1WjFBu z1RL!0rq@ZU6d>D+o<x{9>vqQ3=~REp3JVO;`3zssnb3Oa8zqn8&<I)#4<}p>SL@M7 zRGh-@P|+#+?3eh7tCEhQ_#6ISLD8q+Q^@6wDpmOxe9Bf}*;9NYh86yBIq8kNL)e*U z&*A)~H5<{lE`WaGN+-{HRW2{t-OURrST7?16}@5@A(y@L6xFxv`7)Y~!A*ZpQ_O)9 zaEVW`udSUT?C)NmEqg?5{_;`q+?*jkPRt3}_GBFTmKYR=0t9SyM^g*Py>lZ;5egbg z<Um-tljTN;1>AwKeD9p*QW+M@+XpM}G6PY>(nJb6@~>`i;vFEEYL3LMZ!xO35J?=M z@YGBXh?fr#-ypF&EUs3SBPf5*h>%6zmg;S(KggC!R~DCG>UNsN7@AzNOJOa!))5oW zP;*7BZb!NE!Nh-XV^N^Tc8q95f{_PD0Hv)6KgCJIhhW%+nU$|~LUtUzIA(PBg@Wt| z90C<)?Mo?;4drP&D{<68Yp09gIZs7_+P7778zXeeSUba|BdT?ZCzF3+_TUKcagf$V z&EI6ue>LcIfNzf)j4&~D)cud?gxW<IXV!-2;HcR+*x&ouJURH^y}U8}d<?Dy7SU{A z3Xg1Vxk<u~LUu)PDx;N`v5(du-#wUQe?N)oyq!acIVwMnIiN8h(6mjQo#;XbM=$Z8 zP!Ku57_IY0-Sd9fqi%m1Bpryy`M)|HggNv{IpWUI65^G{u~XM>ie}ZTRMJ>rLU%Mf zgIE&l%Wt(yNHKT@h7+SdU0ml!Kj{L8v&U7J<zw#vx9Bz4geu$Vo>v@zt(fIO?ec-z z0(r{-TDxYZDefN7dO-W50WFypM?ILtn%#aA_mmW&cF8T#rz3y1RcucRY*9wbD^0wM zeY6H!8kEtJ+bK=U1hNK_VV8R&?H}wPAw3J78vBS=qZ}OSYisg}XiyZkE&@)B;qBuB z`V=)_en)*vsjV)$F2qQ~IqwfR;|vC_d<ub8wvDY)<^5=j(~jtR<Ci-jq97H8jjUns zD!isnYYFVWPr84E*s~O~ntqLNg}a;l7h4(14h`m`-hf1GYnB}EhRZBFNzLY{S!1ca ztZmig%jCyTIUsII!;{-f)3>$MPA=Aj{^WM@Y!IRuVsCi%wK@K7XE8;pt~qL^j*U7N zxs!X}ky{46EZCv14-N-|;Tbue(I}W|__4rF`X7?x;go-j4@T&oMHk^W65XQ!UD^7h zOUmYr`D);uL@u6LD~SN31WO~QA)v`~N9}kMksW<+Q3n_{b3o6H(w9mWq9%*ka{Ov+ ztDmn)LXbapBmcT%J?@%9P(^?#qZMAXkJfJZxsd-jwRX{s|1@b2#ke`5@&ssx>U8u` zjNM+JSyO*!HajgliL?pI=(&);k!F^%b&{OjKx}dEI^exvJ0;~t|263XnDNmL$s#!J zwkEwW4jR96;y54M6eXR}R+1-;fLj#~b#hT3@0?Iu9uhS$T8=N!OdKh<$z#}~Ss21k z#{<o~poe#ro-^m;PVb4-ie{@<D4v+(31x?Cb=QB2?j;JkFOuk7^OSAHm)+52I0iyD zDJGp>c%FA<*g?sKVD}<weT`zKB4QAtPKUxca1r2kXnnnw6G84I!dD)kdt=4+UrpGp zabL()?<7*avQ={few&EQrhn{@QAG2aGF)Muq}{ZAk|v^$`0EpkKWDpBlVX_o8^5Ek zMbv)@5x-&nx)oHuuOXI(#PFL6T1KD85w2PiYZOb96z|{=1Fj`dIJfvs^C~v2z273O z|8Jyi5v9MFk{x_~o6wr)UtZAR&H_hZ;{6B*1~QZo9nRGrj>A5}fAAU%6;OYG^}uw9 z@mnB?KKSzLBiB@*up2WyAOV5s82an;{{MeeU;OuT40;i);7$60`EL%t!OKHu#IKOp zPYL^j;NuFsBX}?Jf@F`%<J#$+vRAr?ERj~$+&r4wm=)`mWC^i^vyB;zzxbcK`BXf` zK3I9BgiB{I6^JLmC`djhSc)kJfNTV*xtXsGq0d(=d#`5K=eEXbDFZY<!nf}&2(N#< ze(jU3Mk|=&ZpIeoha}LrTJ?GIKG67<nCX*8Q>QEi)g(T>0h)p$l0r}30PW_3@CIl& z(RSXY=gAwOu^q;#c5emE8=&X4lfnY&4ba{IogL9TtsUL~orGI@1N7Zp0=xm*8=&vz z61$CZJ~0K}0KM~@N%H-huugabv^Rf1Q=rof+1+G!Wqn3i!g2$&V%<`#y+5A;x?0Wv zT`OgPMy!%IKrgQWS_wsyXHAIs)Bx=m0OBK{A?)l*kgs%c{Pu5R6XxA0BQ=<L12k1x z@doJ9QM=v%&44XEPu>8XS+~3adY@Utu5oKoK?{k?_XcPm0c`@{eFU_V|G|GpK-=;_ z3u<59O4b{oy#ZRNa|)N(uS0KuPMpnlby(OMd<3+QfTnnCQQ3Q55zvCmQhmnT2fTd% zb@1BWK5*J#&Gs1oJ8QXK%X#wl0dF5b5oqZjwgVz>AE3BS``*k4EY2lG)@MlDGc^?O z@8}?V^yK&U0dF6mgg7pb=`(+%xxs<F+kA%fJ+PwBkoFnUk3U1YmIbJ3m%9v_5t+q5 zUw~SzT!31=RDc?~DEI)i0t{WM3~8lFhCFM+{E!8xxz*0_0cvFJ@vWG|CKT)vK0wW; z{wQZ~hPoT##5R11PLbE^4bbz-MfC<~Z-Dj&=rl<_zuOB)F^_3^^cH_eZ-Dj&Xlh8~ zGo%GjR}QleQ1bz5cXP=iMZV9F_8HQ?MCYqqYj#T#5!T~8L%N<DpcU(uRLI2=Kc4}* z?qYzZW?S8UciiN<pDul(re=CW^z!wJx<TUnNas40Ja@vhl^LUzf(8nD^TudzjQ+v> zqSBIhsZg}5o(kK)acqAVRoB{RD(B;k+uK-27p(i@$eyC~HgHQE4CBFh99~{>mvXut z0=^x%$76tk{$J3uJ_-)0u?KY-*gyFopW}Ahi|3~yHo5h(6bY(twj5iubkNm7Q3w<= zoXr42#Fy3DT5bCK(c(9>Vww{j1Z0{L`#JJbM7cZ)Vi{-Twcvls=tKnj@hK2Nd;#Dm zYSCxx5B}s9ocF4UUff)ly696gJ>Xh)z-9L(s&UbO?!T^7HmY?uN#+5U2V5R-T};W6 z+&5&uF|<QyA6>X#1T64?Yi1YSPXxHC8Q{`H`OglxoN53TVUtT8z^R!Ya7_uxB?z^= zLE;h?uDYTNDyn~@2V5R-dBCLuuBshFX^2Z1?jhGy{Fl3ptEI>_i9Q6FtyiC}jVn)Y z(S*7DXkj6GY&I?sVU`0SzF<Zhms3_t@m6)oY?+$rA=k1)u5uYJb)`+Ghg=ZCfB%I5 z)-iRJouwT8{(e%9e!m;_+QG;0a)#z)A}3_0J03~*PwsyimeddvK7^yMP80qJdlR>J zdVD<qAGK3axiUQDl3DH#;a2>KAXgsHr3v$&9dbFxamDRs`>#>6)j4;K;}SDH<XTS1 zRUmN*E7zKLEBdy}Ppa*5!ttYC_$@Nwt#;HY?-^mwSac*;eckDGl;N74>o^>D2c!Z_ zA`VxHqp*J+G=4t`csqi|;o)j<deI#P_`fmYzB+N(!i;_}=mcTV>J3_7gVuGc7oqUc zkjmBf$5ESNzi9A?T5Jd7L2woY;c(dN;!pUA{**nZ+YcrPof*-Bwe^kh#b`A+!15Rr z2Uml*J$h*#Jn8jT%#+69Fn!~AaLIqY3`b*($LoIvSKVHZ9yn@+z4TS^^8Lx>8Ac2! zJqpjE_c)L6a(I_^)C;fE6$df@&V0W43xe08)!<V+_||RXLomJ*PI}{H2Hv~!eXYW~ zcR3i1&6l=lU;71x=ic#d1x7`RS8E1kM=)x&;u`O^<{0g!h<@eZ*hg!OTG<M!TTH$y zjw*l52=_{`1BjO~R>r{K2sCw}@rKPoFf;jVa*krAjD^hMH{?E>j4YAyvntAB!_zp$ zo6m4M(WFo)AwE)b77yk^TlQxtGwig`6g&H9jdBN_tSDMxCzyjtu2f%=@aTmoW6Tto znWC7>B)tc@goTrAipdKSG|s&18lsVGEtY?Ibf#YW{ZXKnczv?-W@o51=y$s36OQWb z6BXwZ*O>3JYh0t4=@pP=S3ne@C(oS_dTAobn&OU9B%gP)dpG+JcC#05MV7VpyIWy= zuYh<3#48|>VvDU1?iCPh@sy;jDwnEPKpY&a1iqPjiwZ~{-m4)Rc^?P_|MMvz&T)Uf zxf<ab=PPD<1!UP3kaB&$*L={4_keg0h#tA?n#-=ZG&Jj^GQ42$5_SXc0r4J?sf#Sn z>hm6uximT}SUA|m?_}Zd9*}9LZ0gfmqz5DqcGnP%S3uw<@4{{69QB^75w211Vy0I> zrsVdLBpMb-TqXodslTEaYrF@<dq97t?0N;PEJE@d(Wn)7hZNc(FYx(Bws;T7^lk4$ zuzU#C)Ctur@Al9fO;xs!E}VX$DSHoy_kd84Y!YV4L3N8%K=Ra&H3iXl1td{GoO3{~ z;<7m)Vy0I>mQw*Kkhp{bvhG8$ya(h-c|a15H(}t9PXT%DR_jC=uHm|A4~TyWuz!Fg zko-YUB@1M(T5o~rd_IRg`akE9yAnFmbc@2aE-0nr<})N`<fRQHKoy$Q5;-&qJ27iY z$1Oyize16`VIikOLnxA7k2s`i76C6_pK?0#EniSlN50LjNgZOQ*C>`<qbQfuvF>B4 zyhh<QiuuJ<DL05_C{~7hjpBdadF2u}-rJ9M6O75_?}nv6MTU5eguF&!XAdP%!0s*5 zM23B|CNV^k_)R9BAxZoeqApUS$dfcQgyJ=dF!511XKCbmfoqnAnCUf&W!EUmWofJ{ zE=5K5`5-ADBsCR+QMk$;AV{ikFFrmW#Tz#tg)+RbslsCFeH2;2qq~19RY^?eHHzc6 zvN)?7D4jLn=@#%gRdmYxC}tqXPpwhpSr-~Yc_JFcECT+XJ__fwi(D^oO}h{?y+*O@ z8b!Hg0~_|sMT^w+8im&=yhhO`r;eg?D>sM+50v3vqwqcosS@R05QXg&F)m@3P)zNk zHKy|#g%c?rZ5^qCE#`lbM?K|f6dFR2tSun<V=E*zi+~reUnc6wJ&z(P(?{dV%<`JV z)ZM=%3EUZZOXN;$_(&%o>GY%`ojTplpb3^Qn>>NO1a=Um=>?mejuVQgR@__@+IS7r zN#BHNb}<>D^TgFf)DP0l`LoF=p?Nt#3kgIdjHvg-AgJ7<biIE7p{ZFpeOk9~9%tVs zdEJXes#VuM1Fs)Ze~6iyL!|rjXWrf<t)w$$sBcHq9U>i%{p3V~KZCh9hnRkZ6Uk}_ z*izizigHu!bhP%n+Hq43r@}?{(b~~zh}J99N9P6!_yqkaPEU0;IHn)THJ0{w=>*4N z|0@pIWH=neXhwf?j}s=qB-Lh3uJx=jgmzWSoe@ho!)ee8|JWHql2<&#K3I97ndF>U zoB;Ly!TwQ_OAz(LGxYr_rW1fhK|36$F+Vo>_Ud$d=Wq|kzfbu8_qBJwhCv_AMBo0B z@3C_R0pn?emWS_teT5~_*OZ<SoS}bE6u+$ot*F-<4a0v{w}1Y&670+gVF0e~S4{2R z<Fq%%Y<*G1%<Xm?eUl2Ef)o=){<JS$*v;&;mW&~ua(X?SrCU(`h-36}8V7ny)#8cH z=gqrBj9?1;2TSG_w^`Z_V#CMz%!%7Yh>LFljAHZi=JaV0=kYXp(oKVc6fSu@z2J5S z9#2!{Q{{gq&I`nPJWabaa}#+&lbEpcPF(9bo>s1EdBY1h`ZMBb_ZWIc#?JBcW|qg( z%WR)k3<vVGS@86xUBTCk2R_QBaEr*z^>`YwxndK3UOZiOv`;JJiz<1JQM`S6D$Yt1 zR*GnohgM2Nt35&^g2&SyPgDQ#h1;j|0CMfBmi&MGsPXhHa!!0e3H!8r1UVyP=jd@W z%j4-~#?$4Z#y5SfOmCm|@gBFufjh^0DEEdII9^r8Yf#SPX^*EV3AcORfBVaLF#JVT z@rAZ9?cGU3N~}tR#;HEu<Kg2y^6+Wxs`hv~i}!GfpQa#sgo+?=@LT1VcUc6*^Ix}v zi#UJky!{)3<(f_WxfQ=dz*Z{`etot5?uDG@5%uyR>LPthgivoPexyQZOl}_YCTjKm z64qHC^0B~>kDTSvUZoj_Xt3pk3*I<JP`h^EZMhk!mEp7CX^I~-<usBg!ld8KVp?FO zg*$@F$@gd!{Ipf*%`Hs4$1%1}yod1ps$zdQR|M`;Y^rPHNhNeVMf$}<%^N%a@bTh9 ze(Kh`#jP;=jo|R=%OH-1y%23$d3#=s!=WglbQ@vkTRAd+zi*W+=0(FQ^U^6696~;e zq@S|T-`H@z?vgO_faHJK_;InpE&=3nn%5_mTAwIaeEzlD_2%^nuTM-FNDIhUC{=%p z3VF#zn%5`1K5;GJ4yDSOvgZtj0&c7;@%~&YMtXfBiVe=ms&+cS&Z$&XuTLD!Qp8j9 znb<Gyf<zW>nyEVUQ;a-wA^M{6B2^R@rcdN$=rye3^@&~=8lY4Be1405a|xiA)4V>h z)cQoZ5c=0X<j05nJgJbMT%<iteWHKu=ATf8YnVXB`;dL=h6Sr}c<B8TUY|HEMz~AJ zB@m_?9(5}iX@{L&pSYrW8B<6k4*;-J<Y(3=@*DyUt4QV+27c$9+y(n5W|8#b_4AUE z;w0VXpK!__$ZyeaE(rv3n%5_$PH|p%=E+vLDblw@ywhu6GScf4UZ1$-hIfCBcT%nm z4H78By*_b`(tI#_rnULLApx?szClHO&Z+(-d=W-#KrlUO{g7_u{S!Oy7Kul_KH*yA z^ikKKe<J>gJcmNVDqf#p|AbTi1UUvb)*Lb?%mlAPET0aMQnrNl@J6|Al;B+--Q=U2 zesFYCZ!j31g{`kLY$Y$$CvSfeX?Ar?kx)Vfl~bXdA?;nPZ?35ehsoy#<7hNS0pryG zwPZ~3<(C2QT)R7>Ld<f_dk68H_1s*WC><}KpHoBGFJ*OQ^dfhc>Kw=4P_Cb}kIrN~ ziKF22D7r`0aKUtG=uS9pj)v`KI3Awcw@G1?+Lqf!xjB{>xvk*Kc+!6lMy;^Vd>e#g z#GMVUf>v+P`WlRe5w`qnGB%+x7v1v<M1Vs-49^jyVVT>R^m_KWJrvK*=QbuWj!4iS z#Ft?Y^z65gOVtmk?ZQi=j8av2<ok@q!5Ko~poZFNl+Rkx(n9OA?r7XJ$J2?{^)01< zh;k_0jDCxx^`0pNPpW@=w?B^JK6ZZR;N%oaejgNN0)+i`(7K3PUtt314bHnQ(;kD( z156Q^35Xc)4}N>U8k|I9l5~P#;V7tpSHJ`#WZbivKt5s+ze-kL4G#JNmwhKQ1Mwh_ zBiT99I3Vl|@UW}y_yVaS=S`|jn%*(<l>^Kh5Hzi*8O5;^d#rzLxgBoDoUBFi$0^x> zu(3E8p#E8ROks4~A_Wv;vVoqE4@{_(Nyuz87zgLwZ^Q_lus4c=VK^GC24ASJ$_>Ur znh*PIXn7cyD47r91pOay%(3Hi)cb8(Y5<{w=MuL@-xF@Vj4lW9bwEs7cnKC0afC~u zAB4eWcXSz!TNg<IXg7!#z!@Z^oS0%`Yu!Fxkr`S8IA!=^nIdATO#DDczZbQPMQ|97 zi7LSu9Sc$kLR2JMVpNbTph2(=i9o+kW{rXnq#nm%mkpBx8h;8dDg1df4*TPDf#7+C z(#4{X=HlXrLeRVMJH6$Lpn{u;?yycA{Us)#p-L71=<|>w8GJh4ImzeL{=dzYYVmjK zQ}^;$2*Tb~cs&X(APz_9nPFJoSWlzxt!Rk*lukz!#`xONMYqFZ6nU-u__X;DUVj34 zh%ZFXAH23fK!1{x?hPQ#>1(!nUvb{#$~iPtFwf@JrhUA^q*}zQGF-u_%F8H=Yf$}w zWsYe`GaU57xH|^Zb%Mt6_6LJrz;(oQU{V8wAm&J_8D@i6;*lUqCcW+$yGmfyNJFOl z?%_WJ!dzh-cfUo1x<a#NJNiZeu;E1%g0b;Rzn|cm#DA-F`>k#}qJzN~ApQO<OkU`0 zfWf$L2e`7YlMtt<)4@^2;@~j0-kZ;*2Pa~czae=Zj_pO*>jW#U>sAjCY#0x|by*IF z0Zob80DLU{>B0QwR9A<VY8xomi|{B2?)l2wu-9w0|7u<i<7V7$;s^}wOsDb1mK*%4 zKB7)pV1K~p?Whw@dJt)6kOQpxFwtUS4%!SzMdZUn{tfydb2X8G{|K%IF?uT{NU1$( zfp5cBYZ8a8>!6$Pxgjy87gnRiu<0!BSA*vOX?%sVNCF|c{eNw4RsPN5`{?)?aUE{) z3*ZI1l+A$Z`qpd9h}9RQG2jqQAQ1U8Zhr$K&3^$T3NHcp;QAKZ9~<IDS6@gDy0-wu zjR+75pe$FtQ+U4FQX(N0X;^zie0jncfVeIq0Y$g^_zDo7crq0NV4?E?r0T`xX!Y3{ zIN40fe;xcSs6IObKUn@y3qJKCh&C+Q0b@bL9MjqjI8lPV>nJ#l!)~7-;)nzs_?7NW z^nbj9gpGsWCXkXQJvkbO-5!v}8AD0DCNFcC$f^8Y3O$|f%@D0|+$-N>@r`SdhEAvD z$Q!DRQ)DR0KqybpuGi^6+|abndes_SUXqyTh0ymef^qkfs0iwjCP(`gT!yW9u$UNK z=1;o3@VrT%z$};-UheS)L=42-fTb7&XMdaZPw)_%GUz%CL@9!JaLFux8M2mykYc0m zl98en_8@2(0h3xZxjX|ylC|W=d(#D1vR>;Xtx(Rcrsx=oyaJDDO!H`qdQWp{!k#7z z)6f})2NHJ%$S1NdwL}1(wEr3<C*Ooi%)JSvpdvrPjf$IFH3d~GRP02Li;N=auYa)H z%+WLC{LM;X99|}IE{H-lhwv}SI7L^}WpWACjAl&wEF?>2KB;`)Sm(d|s|uO^`rq?~ z#jwht{8ayI#q>KZ++cTtkJ{_4nlmhR=LCh<lv~C~49AP6!EH$a!4e<<put)=wH77I z6|74n=db!n{1Wg6|EZE{L_{@=Gk;zMg1xk<h26Y29_S5m`?(#|H(NDlc<4?aMWQS8 zv5(de00_}&9M?#5#lP$93OoEnznbqkXkE6OJ=lQyt!t+(u2;6|_Ak<|Cq_Y!=}v<% zBttyVF-)o{vckW?^!QzdsKyuZU;?jA7<77r;e2-=UW8_Ec;@EdMe0Fa5q}NJHH7xn zkBhq@MvQUNm{dsj$Q&xEL&7NQzrO_HeA)Pr8n+Jy0Gm5xkYT+(^Kae9w<Yo-2#aj2 zWksvBkP&&r#q4rPAq#GOT*1Mc#kwcHBhwGbrW_A?LBTm|1iISXn744<HCPMgec#f! zaD0~_;lr?k)4h^*Gk)Xd1b_6wT0U6o2M22*y9stHcdc^GHD0S@KTrkXk>`9CMEBjA zjdg7eq_V%;XzJ|<zD!u70G`-A0|aHX3Rd<)_M@<F@C@d1ij+u#Bg|~*pTQScJ7Lx) z8zq^zk{@Ainy%smgJg&N>x3HOs1?COgI^`d>M4$cKWI0(ybdT-qkn_4n52!uff^6a z<M8qlI*Tzwq#-iT%_9#D8V**1wHL+#Ko0SK_i}QX+bwM6Rqhc=L!Oy3yz|D<Enbft zF}sx=wCtc+P=<&jnk)C@25w>=^V96S!=}yquns>k=bZY3aZVfVJqk2yw!0lgFi5Z} zw!d0;wUpOg4drexq<=22i=Qa?5Ps*Anc9jwiJ^kZy^+<K3{i163C-#urUA$P>Y@vi zG?|~^-(Wuo8Ki_gnl>~Gzlm7Tz@i1?6#W4UAdmv0iWtopGt&palS02R4sQxmh}uTM z$={)i*qj!}TqJQGslCfs#Z1f~{KAMV!z0`9;=m_^00wyw??i1;A>$XWEA}<Xh3~u` zs%u+SH(*9uzx@%)eWhr&3KOf#Ydn+4_|%1k02;#qiKfF*3!m9@mPB!h>%!byd}*NC z@)nognFAMp%(3@zFpjox6A?$zy>)U8S57?WBXB2ZU-!dHgba=h2XMFm#jteYs!qK< z;I0v3cjs$}AdW~Z!smGJGOV$_RdtKeBCEs#Swmf3V~y<6_>E5YLvRK;(`dVMukWK~ z4$8rcu1hh;##VL1jn-taa+_fvtq2abmRo1s&K{|M3;iM3gTp+r;d5sX`Q7)vOpuk) zguv^bHRF+609<u*tLhd4hbRt5u26VL;R^d`jUyT+ABFFH!eP7%!SmFTiFl$UE<9?# zNJV;w+}{+4n8tl4K^42<kTWv&5B86OA!5~_v*30B#Gs&Y#AvX$os81N6{~>}6!}we zl|1i%zQ`X)PV{!C%RvFn2~sjBk`uSo^Dagu(1VM>P!uI1iAjHJ!PUc8P7Y!y0CYmm z0Rf{ZCewf$+;21#;URqh9Wth4WitBkdrD-QJQ~j)MsasQ7^sK+No?zBcu96g;?iv) z+hH}xKafM>@gXdm@zB((Y*e&Qf1IuWzYn8-{s;pS%?|t5LR29K;Xxl201powPR8?f zQ{Bt8%q~uOEORKP;3Q!2M|2d7V|j(>{veKJxm9iwOqjWic``TlE67&L3NgE!v0uKp zoyOu3_QA^gR6DKLc4JRLeu?F8nEC7Sfp?gMePwhVy|Sg5V`gS%ro_yQi7{qoJ7&Ah z%rR5UF~-cy%*;$NGuv~%d+&R1W`4~4>2uU-m8z;&Ye}lo-cO;@239-LK0m5%&7Iq- zfhYD_Xvg)RwSYC&{w3Ya<myX%`v>gGOYdX(@T(%Y3L|vk-q?N%=6ugB_v$<uwZm0c z+H0Rt0@0Y&fX>TN&pg?J<OX>cfv~w%$A}tTluXnJA^fb25net4j=+M|Oov`b?*U+r z2zHt#L4kYj^-~UN+8C*@&1nPkQiqD<D!j%Vp726B6PUT}qQ`rV_Mk#kkX2yEWXX`G zmvuO~m%==gzpw$L>ba$nuA?&Ebd92sl5Xek|GrN+(zEZu7H5{wCc@yy&@zR{m&Y$w z^-Arc1oO`xZ32-ws!e%?fyMpKEmb0<yQ_kk#Lco=bMAnKNNZeCw=r3tcJxqvz&a6x zM(@NN@D}UgoxCJ^@%A^$HJs!5+>i^si)=Y+dJ$8gWJiY>th&nvR#-mu4J=H??UxKF zF#V2`FN6k(uCdQ?E-YWA&V#|O_wy+UQy|5)YvGAlhKVFW6;2OEqgkTFQ+;1$K2}o0 zktZqIhfe3BPd)M+sa$g*@oT2AG-&Te#fKdS;5DCSuVK$FRZ{QE@5F!Y4yN#zjVO-1 z`lKupd-D}OFcYK4vYUJmrtgq2N+djnIw`3lyh}F|d;I-(U+0hof+LzVDy-AUX)=`N z5~Xy?JYtCG44<TB`OvC}q#LoiKb{G^ygk1x`p%k@wjmd95bOeGr+feltyrq9*EgCB zAkYX-{kaR1g%#t$uq~=1G)1h*F5XY;>AeM8@V5|j5{`^6jDBWzl;tGz-<KDbrwrDr zY^3sz9Q)A_^%*krA>Ezm5)+t3`UH=7jZ7QN>$I6HdlhY>&ka@^=_6iFDk-Py@MCGw z@<I$R_}OkKaMVkYah#T9G71IMv+ZlcK*<+B=Yg0%2l<f&X70Vudl6auLXpc?GEr*m z%+339J5Q`$UGXcC8_xOmwdiV2liw*KhkOfZC8;OAIiz2q+9;+|(-}zSBJvk+u`N3I ziI;NjjxuPfto<=`GHEK@aBvEkWo``)J36ucdiB0>e|)&xzO_4T>2Uot`#XPk7HA)^ za_GZ?;Qr=pzQ~xFedu%TA5R=3ZvB{114p6z-K(|LWpfJ4cBGLK+zj)9E!}|l)xvwY z_x1{nZiS=+Dt~xt&9y{6|9g?6<x^qiaFdeDhXz_H?H~;yxLmhvoO*el>CAV4EcVya zXMJ!GFR!^aYcR9a$PW9~?!nJxXCN##uW{^;ZMiQB8bh5`+0M^Z+{9T1@YHW(>jTQu ztU6?cGJ-u5^&>BBnkg)ox!-(WCId+WgDvS{rZ>bf**@8Luvl4z*aCX^VLFFih<%fW z{tiD|WQe6IYOIAQN0LsMP&Xj9nNR@~SKrmo{F#4Y$~P3Rd(i0fxH}xy!+@)0jou%4 z4#QrEL5;#WX_-Cx8xYl<9gQfC?KjL4n;Q^U_x7SWf<fG;OsFV}>|acs?fo5kdp02a zNe_Qp`xhTz!%3vY^At>N0?3F#OjrxU5Gu}Lr1e^)sJDORq%d!fsc4CEQCq~3f{cCK z?foyY;r?|Yf!sDGU1<bdR^~CJ5jXN+HACB>dvr*P2uu*M%b#(>1R&vq^=1c!A92G5 zQ6W`E8Bx>OZ`5>1ZKxcx7*X%Mv`OQ5K*k9LLg&Si`Wz}G3<&ck%U}OT{C{^8Rzgaf zo29~XSv1)r{PAf*{7MVgl6OL^FY6H(jsy~jH%otm(!#x4gUH4F`&7b&`a|x0)CL<) zT`pfRX66U!%oE5Tds?`Bxubo;v?XfL844R5T{@&~&^u_~NYRts<b?HF_)&F})5$_u zAgl2o*-@ckAL{sRMuZdjdF8ZW$OXP&hiTRrN0zt7FOiI#Jtk=Se_Kl)B}d(FSc3(G z*Nz)xO%bfXIaxxEtW4E08?7J7{t7eBO#H-D49kzxZbB*^QPBs$g9$bO{)ta^HwNzU zJj!7{imsgr3|k1v06D^=yjF=3#~eAFIKEnFp7*C96KA<aVd+D!vzNoEj&+;tw2$vH z1lKD(0Tv(ch{r2~>OG(4T{hm?iIIROo==G>h8JH|{+#_c^v&P;KoIne2@7kk{HlnM z^9z(rwBB5ZT=tD&>5#Rr4?RYc>Mzh#Lm*4nWqPX0*6LNu99#9if0Qdvh2b2z1fnYQ zZ~;xEFSO{ZP{eXl>wWwEcyNvn+Q6?SIAgxHwoD1!L;onI>k?aj7NBtB!%5)0-hO_> zcGIcSCo<%FBy;ysy*+*Bi*(&Z8<em{_qBwD)H6f=+@L0e0r-mB)9Z)0P0GZF(tqqb zTOY4`Rxi2NQyU9X^}%?^dhjVL7N*VCe<`Z@uK&`oWU;5Esy%}<hG+B|jS!Ufyrn~$ z;}-DX<F(oN8DOHW7~@WQ|3yS{@$$~qxGG!B9284LZIMU6es#R`(r??m*wKEU6>724 ztkK^yBM_Gb72QaZaUq}tELOD%&h)swVpweMJ8xo~HM^X9@o!ph3WeV5F+a2y6-2t9 zt-f>&M_>4Ce%zg?7q@E)$=9x1rF3xX|KpT10@Muv4WO7S<W#5p(f>SjQ}AH6kZJ8$ znlt9DWblpbokr|2-J;lYv(ak5;il;yMc$mPHa-3}hU^3SXRCA<tvoi3yJpse54tt& z{fw2CEWe8MNbSSN&TXj(`c4-0JAJ*Mxu6Wnh8Zt#N2?2$T0y5gt~o*I%q!R07&%j4 zv;nnJ1|5-nM_oi^2AGdOY9t<<Q2L)rb-K4{-VuFo@H*qMpS}7oZirFxn>?`ZJGpm@ zF{JVlo1fQLx|3Jm>FQp|THB)!`fs<xi1tuWzDPJ;;jHE3@%cC|9lPixt}Y&b`g61i z*>|4NeKe%I-okb>z%oTqErk19FC)I2?Fevw*bAyTJJM;yiawBEam5VXEylZ(L3GKp zwjGUDwJXwjX`bR#emT-noVI+ZSrw8xyuqatG6n_j{C%P6DJ9`<mj{|#eiSS>a3hl` zyg9TDh!iFIaHc)rwM8warx-@*qSDZ7l<C+JY#uN1sMS)?=O2=ve*s>-YZl`+PXOxC zpc_Tfe8vY2F@fv#e)RMA&WR%L2VGTJEox!|P?CPM&n6-xOR}QL(0Ptf3~YN2>+)%d zwgLSnABYl@ZIl6jwA_L=rFBmm-tB!&>Z2!mr2+ksSt%s-pw~hJG9M*hVpO#nJ`yh9 z6jdI_Aqq3WtP!QlkKx_Uk%_PAFHr2_k^r14sZ7X-{5gDxcEzo*#`%MK1r0lFp6}lO zNFVXs{b&^@l07}@_s6QI9YzHvv6(+xRO<n}a)%wmP0lxk0YbvJ<y&5#p52k*dJP0O zItXk~Q-4^o6@sg8Mh(YP1Yy!Uw{>r1F9Uh$;zbP;c?;cTh%v4)Xy0LQ0_X_-Nfs2| zIrSF&1*@2y>bNEWFS2#2_3gl7Y~$jeeae+r{kS|g{a-&83D$jyFezouF?AbblT$)@ zmF}m5nXvK=XS?<V;r{fT^TMexQ68MM%tlM?8i3AgcS}6H?wGQEd31Ns*7@}1LFK@N zp%|u3LFA-mK5(3^*?(ZM7qCOrtg=r1@}BNuNQ|@)c+u_t@Jkq?{nB&dzSNGu^!X_X ze1Nv>sg+tbF-yBiq@XmlzwSXLFOF-4$N&=Vn?!&^8-~b?4rA`Bwq&EH0{ZzET=^<g z=u=ikVjh-V1{(bejmEmqsuK`Klk`}Phy%W)oG;rg4Q-OaJ@8gaMgaTA9Skj*E1!j0 z(UXUp`0u~;=P`ygpT3|4KO28@aa1(OvzMYsq`~($c~gAwM(<sU+~rM2D)Dr4s<9I= zv@t+}$uf8&9+b<GaeOfk({7!nB8jBRMB@sJ08TS0o`nA$*}AhDpMZi+kC^{W`ePe+ z5~)NFV(UilfoT(9PF|MOWM1+a9CxT(54>iS0Y9g%W7s4ke<C>{W6Y$xN=(AyTXTx| z7l2hjtq4+Ww+?C4zk*uWd&jG?Rn9JJO9C68`NdbWYxS3&@xA#hGCN?)9!2eAnU>a< zhI%f>9oUJxBp0AL%QVg4uhCCyjuA@Cn}qtVbI~^da{IW}Q0umL@FYzZniTLYVQH@0 zBj1B(+a*-p=XFOM3HXm*K$dmIGi2K0cLePjwzpnI*S33X<aci)nB6TsyZ1vDF>Zwf z+SQ=T@220erh6R}M}l?dRn08iRlM@k1F*Sf-Yzca{2`9m*Ez(5m5%{{hKQD8mNT&< zTKWOVkME*_px)b(Zzk9a38NbEuWgl~BsK(ncuMs}g#8fyeSg)K${ljG?UEkm-G0R& zqXg#1iWonT@`4i1-@LC+7+#+{eSN-rJy_%3Za)Aoh@xi5F|O&jvz*3++7BD4C*yge zq1%k&uG}%2uG~qprP2Zl@ASPy^Y*cOlCFRjRpVD)OrSKOJvu+u>wY~xK^H8{%BM^_ zZoqA0zbRu~p3hEG>&H{iJb8y7bJ%?j3A9N9o#&77adt00%a$sK-kjvw+x7(AwHDgA zRfhA=hmksOuK_fYg4=dhk==j)29IN53<TDSs^`A_d4IjSgu6F7P2Z0(>kqglBs>QW zY+^cprd%ju_x<RI<k1a|?Tl>Czm^i9mkOL{f?r%)Ua!$!v&NFriEtVR9k$l|DmiL> zIx!tACl<9~7u~u^{;2i`;@PE1ZR;oy`HKyOde#`jp6xNp&S(`5Qd~wCb<#Z8Fxns| z`t)Pi=K$+qQ9ZBA9%W3oN359Pl1&tV`f|u+W}jej3LdQ|HX9inr$G197vzCyO@{!t zCIn+f548KqYWZFFmq1-1=Z7jCGm|#KuQ-_G8s2Dq$-Pfvot(r1?!N}7M@gSIB0`Mq zz+6H?-HLIb+iiG5xbl?716CGO422wP72OF|oEtunV85!Y5$oQ?BW(4VIUFnlgA7q> z4rOcb*jNR{RcRoa5;GZAPDeQ4v+|aPP~pk?Y4TisIysxX&$1>pv3A@oV}LVurr5^G zd{>X<);i^hU(=l#cL)JGmN^~IW$<p52R9y9^H5Qi$w<Qj5yxlk`+2O8Dh!32xfLmE zN|-#P%kiQoIoXw*fb~C%nn&dv8da<FpWY|`J}`YrzG^IH654v#0l57yef8))ta{nJ zjAuK9pf6dP#Qx2q((o`SlLB1^$p~nX#)ln$Q*2}Ry{Vc{*H!d%;meeuP4y2>aAAa7 z<3Kp;ZmL2d5s=f@#|n)X=a+08BcFaK=EB=`IlqU=92cW{x{}X4q#dXlC57@z<>{#* zYkx!-G(>XL_1d+jsA8dk%kBP+)N7YP<q66{4!Ch=#fz|yko?F=>GpJAO#zNEj<n^7 zMQR|HzZ>WyfM~Y6ph~{KzPo26-F}{-A&}|~%l3@19`Oe2LEfN<g7va&a4})V)vn?@ z;uI=+#f_SxKQ<ckhi8HUB_QPtH)XWYzl%IneJda;47A{>g!yJy7%T&R26X-)3d*0( zneRujo%-P&51?&M)z!lp$N-&SgRw%6rc+ua&}C(1-9?Y%CoXH=lg4Wu5gCNiF2u<D zGfzJXGQqwHm`NX_!Ip1$*a~s2gsU^F?fWG5*Hb)E^;3$S0+`NAGKBY1WMDY28u@Hl zM67Nud{9DxJl&3uXiK{-TbZ7CQNr4nT#B%c;7dXgFD~y6mqjTjP(WPmw?d(#%FCXz zZn|G$;-hPX@2^N6KM8>Wnq*ee6{%0CQqFGynz@7Z`HH;9(O0l<3^ThEXuQdXlydv_ z6C|DZm)M`h+K}%DgD#)~?H`pQUI9rKFh5II=no>P$64BR9&AYT9A7Q-MX^jOo_F2V z(w61)MO`eT;4CbddLVY;@g}S%Usxk13Efat#vn01)_|avqbTSH1%E~+$DY~K46XyZ zEke<l^pFHA))fadw;58uI2Zd31X@hyxt3L4!SvfU1PG&`2J>yPvGhKNMqz`LU{sO9 zHh}#(_ed%4FTv)mH~&E&<Z<bQV4RXJ7>Y8fgp<n1{6$A$GEn$}!%f=H@7=W8->VQ$ z{j>>AHPfr}8Yr>O9^vCeG@~KBhz-FB6E=L>7dV<D`c>zS>YNm=pm>v6v=%ea6@CnT z&VLENp4o54Q~0wv6m%i@4smG<YoWQ>BqyBk=jLn12<S{7`1yVqi;f24te$;ReUg?n zySSs%Yu+V21fcceAxcWb?Om;y86C`FQSuPE1DbEV6(@PJUAe#>9;LU10OE!i=ECq% zgcznnbx1Vz+w{w!!j3PU-USo^mlRK6#=A`?Ip=N;2t7p<t>wwyfgLwE;q=lZg8eGJ z`LN3Z)8&GY)cYr6Ey?dTWD#42NAdf3EN<f2bLbyUNI;%GjRZ9{l}Ysc@+Hy;rGqTy zr^4~H-=CF?)@Y^nf+_toB+2aL>4w>-a%6sc_=n&fG3cxK{?3~3+rk@Skeo#I%vlh` zi{Z{lS*MJ`)BjziWt}&ccejWV3r@=$-YXw7E118GpQ0vf%I-`cB{UO-2rcIG<q+|Q zawCR(nj-+p50OaSi#7OIFV7n7KOEzcauI0qTe-VW>C7TLC+1Ob7-6}1mwOGOKUvM` zN?cm#OE@NiYdXiJK0Ba)aWeTGEx085TxjUGAd@~+zy#N!tV*DDGZ<e@;CucvT90{; zx~8Uag!@bQua$vL$J2MY_it7wZVQ;T68?@idpdxWyz8rkZ<}6>DM|CqpScBN6o@|h zpD;m*BHU(Fp-Y<MmJ6x%rxi9jv7Sm!CuLNPzPS#Mu=YONvgsI$JPk^X(2F;*|J;Q* zgthg7Ty#{{V*!zf+qG|bt~}T1R?g*n%s_-T5}OtL4M}vnS$PS3^BKOsHRfyh{$0{= z6L@@sLXh4bJ!ra0IIg~;O4FZZ%I2Om-u+E(a8P&Eig}m0S^a7(6Z0Ju;1EVKc_mgD z=pA-PyFPf_ifum_-rh)|-X6<D4qHzFXl3FH<3DKHk7Rqs`x65G=rYh9q3lantDvUy z@dKW!bQI8feTYSncHja;m!SzR-|!_!f!|_{-?~i{aRTFn)!h1Szssr`S^kNPLig5U z+>z#t$!PsO7`nqq*-6)YC+Ey^D$kEeQRr!MVH=QBep*tY<f~?PLS$@A^7~Vmyl`SQ z91Zl>n4PsyiOcp)Z_KPG9WxR+Xa2VXXD;GqHWU+BZQetGm)FXd|8c224vYLF7f7sH zc8MzW3hIFoK=l?yH8Vvc|FWtN%4QWxTsxsbVVrIM!|YP2a?ZDTqCMR1dp*UrezGF^ zfd2#Rru|O}=#f|~&?77^m5Y1&l}W27e}D3z7kITdOttH$c(v<0Ri;SlSAwc}_Vn#R z5)0!O2@uy$6q*+^s2)5m_kg<t(TC^ywUfpDd+n0+3eyTfBkwoQT(39D^Sg`$uXfJs z=W+6f7W%sZE56M;Gh53&uF^#2E^fHQURh5K`j~mA_rK#SGjOMWMY#q`=LpNFxCWnR zNI^x7!BTaiGAa#FF$`4v0jdQRe+)if51j_n$7+-aYRb_Av3L9N%ZT&DWvX7~$#cZN ze3x9Tuu7QKeadC;s<l;pbajZ++Lhm`>F)-uWZoQYJxuOJv4%?3Nz16PhU)*-meg7` zusmsw^q23gGkvW!;{5;I=yPrW3IDJ1Vv3~MB6g|BLII2xLy5>Amgq6y50iRexy+{; zP1Qi}XR?trT&-dlt)KWN6$LQO)ttPo4a%QtsJVu;Wj;9>|I;_uQ2HFHH5J!T^#9d2 zuOoFW&VS2hZU~$a6R$GMXa}`t<{I(@wRg5OarMc?H_@|-yGy{|JD3?deFi`RTjoel zsJNsH^{+9a@I`1wpgk8)Ko+IUk$z|SH1UT8q@diV8hwz0?I0$Q{}FKVZw>zQ>?Wha zn)LrBKI8wYjUCemS(but(p~`bWcF`UxJm$#KOoOFf*ex`a)j<r{JnoH^|Ca%Ujb>x z1#;5=zuGNX$_%9Be>FD$FWLWU`~R*?0sfDsZvSrj1Jo_ZdbfYH`bTgI{@y?Sa|3Dh z4ASa9)dX@BwTmE+|B?IT1hQ%Q8-7}Q2kNKm0u@W&r@1v-PNn<e0n_b6)p-bgp*O+J zpD?n&Gy+(D1+2q7zuiwAm}FyNHv_Tqs?P#17ph)*C5(yffUZ=Un6(hz=AYyFn8Fj~ z+?XF5a>peN)}G&e25mzW?-i9$zP!8svs^g%@8v@8=+POAHz-&kuZ2;5*h#Hm^Byu_ zK5phbXWf4>wcddRJNM@}IQ8!GbL-Stp6Va-uiUe_po_T~^$=h-wo=QGv9$!c@N8!5 z35;>d)H2gm+<0DSQ<Muml)&Eyv^w{HwPU%<`VJ2w(kQw+)YgjcRp7b~m=j#z;9EnS zfN>Ov*whTv{5Oc^S@fo6Kvw?lz{V9bj{E16V2h5+{T#<<VkUhC0G9jl$3n!!oT=TS z!;3G7od^hAUYQNI6tEa%?xdv2!*n(333X7n5OzHb66)D73E6PjL8HN0pTIrKx=VvD z4NJQ@aG`ig|5$tJy#K6<>(gSM;1es}zq`C56bXN(Sa^0T`!}dZGlIrp^qj#?SjrZ! z1nHjlG|!sn$6B@nNz0bC3z0X)wW`?U$iskd;T4eZVR!K9X5hz~8^&4Z&yMZ0d4twP z#3|Hz0emSoUy>g!K5>K^4o|WOyR=&pJ+;K2?bgnq=L(?2dYs!}ve8kf4{=^OJM7>N zOc9MdUfq_sqb0A_Ze<>0uP6t;zS2a%-W+2yGq)Dp{bt^#><oiRpLpvr-^IBKYW0vn z%8pLSYJh|T5RK5ob}c{w$F>K<7Ytd^k7T2`zXG!ntWD6FLuSFqw*C8@C`!qd74GpJ z+=MK#xu_m84^z;MRkx3Qr8+X{VFc^D7<CZ7Wp}NgA0JDej*mt+uGX*hu05)o*Rzfa zraW&Zrd<gud_V+>FtUmvDrKw3d1<`Jd_0zC_tUiiBOJ>t^ClE$Q<}TILlWmZcc-Y_ zRU|W5FKdLroOau0MAP@j&%%C7s^opgA8&Ps;-R3tunBBWk#}W&!plFu(mFVUkak7v zgKR5pasdG}ld0o26DV#3`p3`QA1Iuj$P+zisJaC0nR&br#fb2b4div9vCUV@!^6kh zqg$VWcQ>wLqv{YsOX;kbL9lYq$HDW^{GT@QPpCgvw!PnjxGn7V%I)XR_EORlxA1s6 zGv0E>4!@~nWK4>6z0xk7VC>lS(zYh}-{nM*kl(;Q1ygxBoV5w83%pOQz0fX>ENkgQ z88t36tT9%_m>%FXxBqtJ;l-Oh&z8J0ZJf*kf{4w26Ihg;Gp2AiESwdn;4W7e4Uji# zJFvr3JXcQ>Q89k<=<W<7vky3=G%c+MVZa8MDiB74PWXQNwTBS>v(Hm8p`>L)aR185 zO_n4eFl+K<e4rbCIXs;t2H&p7%-LG4L&Db^6QA$Em^nf6mz2s>|N69i6!^FuAZYLc zK-i(Z%Yn^Ihk>Pgk-JUB^Q5k2E@5(vOE)tVTJ}Pea@y+KH;21^djm04%E+14k@JEw zvx6l1EWh_YZrXCKR@gZs1u7{LzJ^#p{#2kIC_1_+=Y7(W{K_WfYh#H{<n!h2_G#`8 z8@$+^f&@6!x96Ra^an$*t()iidwN9$2qM6&m8M)V#1Br9zMZ9BviZtE#3`v1;s^N* zalPuIIzbY$HRBapULD_TZyzd6)Cqez^MD~;_<L^(g#V7x3<Cwj<9kk@XmJ%i+lN_k zZ)eX2nIB+}E6M5;z=!$bFD@E3dp4+<2usFQ>a~X|v8)rT`9@M2?K|B4KopYzHwO_^ zwR`D183)NYp6Tpfj<95HzeHrdgMCD_xXa?$y8_?14r<CuKrtNc@PMeyFk_fqON8+x zroG55cHemp3v~Ia208D74r)8J3d4w6lg!PF{!gC-lG<r}?`!P7P5H;r(~A?Pu-)#s z-S7C_8V}rqp^c=X+pH^F0k-wPM!*qM7@^`ZQ`q5KV<#^>?Z4!@rCW-L>1_mrAw|!^ zNOW%mLu{2?VT-3&7}n%ev!-B|uLTr;W1`%`;chCdC&jk;QmciE##j|?qWcw*T<He8 z4|JFMmI)*9=s@%qTl}sAwL9pG)Xrj-+s#D$CAPS|$Xu1pHg!7&Ffrc-?FjhTZ-Q}> z1U}C8leT0ffBb59vsI*&nF;QEs0>pxHeR*0|G@>{;?!a?prBzx5e_pq?>sP2g;_vZ zj%oouZwkDfpn2Q~Z<|OaD8qTIDpmxMvouKswF+G|35YlpfVokVbu8w%?&^z8Tf&D> zP+1QXBR$rQiT0@i=1B2u;-|%Gh+$e`M7E7aNNmvfLyUbSJ)-KkQc+~+P5q-?sIh86 zq;TL|Rj4QIUw!#Lgg%FWb_r<rQL^*BU`l2snw#zNFZ=jj2Te8isEH8C?G329jv(L6 zx>0$wZQ``T#zal9jlvdFo1GGYQI9c$;$#$$@G&j{b;@7>eDL(^gs~YmxqM&DZ3cf$ z^7IhD@MeXeS?hcc?v84ztt51K)^Ku(aT}j0Ij$IG!31vJ9d^H<_yHL^3L50(oCu0> zm=KbfixE<M7n43#2;8Zx6vJa8y0{GlYl?puEsHU#BM=_e;mhF{7HVb^X!Od87SG8c zFAmj42u}vsw`GQh$%_{>P;oFyd-xv+!qKZ9s+%dACR=a^hH1}W*XyQ+6&uDNkv|8S zGlvfCqrRne?W1xKN;vZML8pizMHZpb(1=sU8gjXC+Yu{h-4W4-eoMiXf+AOKf_`rZ z8)-Bi9vh?+MZLYJCg1s`SDaQx$M!iOH8=!r#vC6Yud|xM{yv86UA?{`il0}x$q1L{ z!F&=>Dw$><Mamw~B>rhO3Yj8|0l&xM#V66BY#1fYy@;$wRVUqguAvXkNYf87Renuz zKdD~L5TAU-jAu<L7)@LfwK*3-k;5D+9!<PGfOH@Hh03KlS5}s0iBMm8@aSMVk=_+b zB+3#93x%?~N=NNe)r$0*Aq(SLj$F+SEM_)F-q|1z^Y^VK4HH)Z|72wf#U^o$4>8$< zOO7MPiQ0G?#Z3`*j3!=da^skt8fcL^vZmM%3cA=)vD-V<vqG!nliI1yWe&*mmShf$ zS&lvC{0fk0!X!@-tz(w&u^bbkjMgF}WCKMng_-nWe5LDF78*+Ck@{BL`5zhosFykE zK7-ENf4kuPu)1xn82IfAO>1%Quru=s_uHC|!BtvOt#Fk1ICzhjaRT|h9w$;D*}!-a z-%D+KwA)<R(Qi6Y;~Z2rVz%~J`|(Da5R$?wmq**NUar}I5C(`E+zH9=f^6T%+J@JG z9sM;$b0f!#@CIDZ?~;)AG2eNenTuFf<-9A!m-!2?tDhi5wF_5?BHlC+9@xKb)9cvr z*k}E$5zFjB@A*uO2{#!`?z%GlduX*XyZxs6L1Sz!xG^`p%*2|<KC-aJ*^0~2aKlcs z3Hc37=juS<htRFInT+9gVq2~Le5YwAU_*9VdqUSpiDqM1Fg?L~GJ{3jmS1bE$QYbO z6FX&gIZy!mYb^1YG3_hjFMcC7EM%8$OsmI!mm@<q(~S^;ns%NJwH<1tV;zZBy`^7c z0+d(xHl94rB#9asOl`}M*nU~GcTMFU8fPrcLyl3hP3`W;xvi$d39U&V+ZX5z0LI1k z5#2#|9|IpvAjCFc;Y_ejVv`M)8}?@{v5-Oq57~TUZA<jEDB?J<Y&GOV<j32l9-AXc z+k<`L@?0PNXk8^%!3cpv+%tZF`uAD90QJ02SjWp2YfLvaVv>=F&(0zrZ3xfKaU&vs zSsMi<kX7qtbFLI)e8}s5mhp}p0TPzXXe~V{m)6m$L_I=OeXZok4M{AaB^3;B-YLE6 z(HS>Zz3Ru5Qik;Vk2}y25N$+AYW7M>3&?7w_Izz+IwS=n2z)2~#SV}$D&HldN;$Kr zB<p)WKanP(sHP;KaFHNnQ6K8Dq#LWpsPIV^c8R?Ng8r%DU}&R>s6KB2xLme{MaDl8 ztYRqWj?wcSz5S=qZ!E^`a;Lo$wYezG(@dq&QpfV?!tGCJH3rl`F68ZSM{W<m{ZT-2 zuRU0d7YUmKY4?ZX@<+idzIR*9b7JSCYylgGpqC7I0K4!qEV3G({5~s}jjJLPvCZE& zNO7d!<qspXUk+aWxEa&{s!dPXl4rD=AX|~u03|_D^8pqPUmyTN<e=-uG`Pf5o8B<G zzOwGau~b%Z+#yx_;?}xdk)fB)%7KdH*ZIYjWx)>PVG$I@cf_6M0iwkw=RqayWQDyE zi>o=9NrjKUoD(nOqxzdQ2I?Xgl9=s0!Of^#d*RU4GGauU@X5fYZWMJ~CWpU#v4B0f zT5eJ8p&6yvlPT4_T`%+GX^ssI<)EN5?I#=6qDcnWcdi>d<J^c6dP0@tVe>eHBJmG> z%nhQqLRD97+c1;JsY@g$|17uuPSRW{%$#!`d=Kv<lwkit2U2e+NJBzmckM73NjJfG z$Qw1AUHg;$>ja<`CJ3?Uis>6e_lSqLc9=cs^RvbIM%3rr54|Fz=-=Pl(;Q;@#x2-z zHid8A+tEX@J1SbvW+@7oBpZLc>pSY$TKIC1>d0hcjIKEu`0jpHTr&=zhKe&Mu2?35 zdI|n1eEfc8DfoVOtRkGfZh`DYeS|#@X*)Dx8OMq~8DI{Gag8IuQp>Y8YR2|K)Q|Rx z_kfK~x7F0A{x)reI?FTVXD}3mJ5zI0S^!?ozGunhHmu#-Uk?tukYyiipz>q(Oq>Hn zzHW!CVs=uqgr*zTE?$qWSLvi3G<zHZ2Wg7SGPDQ=zC9>68hxS%_Cms<4K>N6#@mV^ zq$hHg%EmzMV6RaUX;vR_Pwmu89IznyQ{YM>{V%~+D~E$mg2iKOUO044s3y^|2S(>I zpGO%?Dv;ehNuK9Ak|=sfm|xlzXFs5PQ5z}j1v3L<3z?M-xJ;HsA?fg3dTY-OZZ5AK zn_jWfY;{~COb3~pRa*!JxTOwMQLIeAY-Sop$QJ?RuY_hnl^>TFuL|t;;dhFSi<S2r zR$!%HQIiD1Bw$w;&n-z49c-{0$(~k7qRWj!!veROQH_M$_l=~Kt(vl+Syjde$#Y0- zeofhy*XqRZX#3?#QyyB_fqVMI1(bFu`?Rz}{}L=_yY`lvwr1fqPqJ&AJ`PvRUj_4e zp9b+gu|qYGLkbh<4uhS&L)67baDdefx-JCbiH+eti$vubMAoJ45(Jx_^?>rN$J29~ zT53>@CxgG4v$eNEid*vIx!2IoTd-?KtzB6lgHO3X7mFfjMf$!IL4<M1GUQ6ZZX-45 zhF9+!K1~T`UuH+RX2fWL#%tzhh`w<;l~JH;9oEzobZ7^)hZ%0F9bDrV{Zbmmudo%{ zo9pn1pyDdxDeLqk$u=ZMdxbwo8yz~dd#6EDPp&QxdpO^ECpo8!vuZs9v+~R(B>j$c zY5mShvhhYd`w=l2@Z<A^Wd3p{d+%VeWGjv<qAfHU7M74Z?3nQrc-B6|;JWW#y-ou} zVC8omq@`c8JoD9B6Y9n?su8g!g}6@IW4FX`-k&5}gtJ`cU#9(;+{{*m%^UQbBj9>t z6cE_w52n*4MDmyEW?Dpc_lfKeg9?`Ev=vY!W8`Xdr?)trBzkN4B|`AC{C$kYX|rEW zUxU6e3JAGl)!sN)2L2jic(LX?h)w}8xT%gJ&fkt)!KDgrlhVPZz8>iPHCfCgA%7fj z5<idfsG}C(e1_#0iXN4%pYK8(5tN!*g;?wo)L+dr-l*I!seRfA${c?v+B*%KW{N}T zh@8GX=sZZ6Ak)nu8Y7m(SUxnkdYkrQ@SBFt4+)G{l5SFA%B7rz>`Wzc4+;WkJfOPp zyB=gQ-Bm6uNMdQA?A%r??`P@2CIr*bn<yO_&4m5pcJwg(XM>7)aWzG~o3nmUg*Bs* z(Mv`qp`whqI*%qKnq=!fDfD~iY!!yX-I6lkv1fOvf4NglxT#$E+*67w@Zp#?6hXz^ z56;#cw%up3V>*^!qF22;-o6Z^WFpDZey*h|Scp-h%@EL5@fImoHp$+9Ar~?-rocod zsv|V&K!>0c$tPuiM{lIj4{LeRJTMTLMR1L=3D-hi9*IbA2pWPXOP8|O2udD(tUF!0 zL%sG}HG5BI(GOa*rR`cfTKvQ8mBr9nP+*iR@8^@KPRZvQ3WfJjKIjF=AJ7(hET8(5 zvaD1{PBQZ{ihgA<Iwa?olyO=olc9fi=a5f@)P|w_zTWh6vU#W;_I6wQ1jW0OH=TmJ zkUR+J`y(u^e*M)=Ixjl(cXiYUrjD@W?Bj`fcAjb?iO#|sWTY#S&HTE(+x@6=V&HLa zei=HSnCIvuD%E>JzQHQ+s6Xs{+tuKa(+PB-4maHVWTZr0lDV(at=s>8c5t1dG6?}Z zjLCfmjZS7p8R^slx-0!r?~*M7KW}FsEjC{6d0oLP6W<sw+S8JWZE0rA%~MoXW)^sD z6An97N<ZMMx2+Z5I7Cbg8}$70r_ObKj?|f%(^E*0yaC*4dme!0B{-nJ+s+%U_Vq51 zS=`^NI*7YmkKp=d^Kgcv8rn2<YZB^%MEo+8gQaS5!91F!5lqgW`*~jI;rd2-6Tz*@ zuiV&CsHGL4;TR1SkqD>N;KDg9);L;CHt}eNZDG2Igl+HW@1NzFz8lyuAcH;u{uV2V zGyz|l#ing}pn*$+3#r(+Z6??Q3Y$UgC6)(PoX8(qOa01Aaafs~%aUalOdEkUdVUv6 zM1iQ)VBqViQr3TH_~mc+PvqDW?Kv~#WV>q0m2n8iHkm8=^QdW@c~KFdMD9jMO!YEj z*Pq(&rIyb+bW-L+#C7IN;nBZAo|67bQm)aQuQoM`HwUn3f~U~)oz;^ne=0-PNcM*| zBwSCTvtkB+@58i`9y>7A5~c3c05>hZt?d<eKj^!zLg~U2q}zGH@S|Yk$5DaZfo_Ib zUX0$(qTvUl4Uq8hCX9tCWt?5~vWHN%{VoY{A#qZ<(yy_|=RxqQE|^$H{4uL4qqWJL zXp8QYcnR!%G7Qfg&1^fuU<7Ne!e=_(3chSNGDP3;pSSud3LE1xhC*5=VH4MPLWt(} z+qGKwdyTtnIY$XrT#GJwMW<&w_A49&N<C#+PH?Ax5kkxjb_2&#tXkZMxR@N37HkFM z7gw*9Cq=Wj$6&#+8H&AXnjh*t^u%D~{Z^hq<lF%1#yD@02zdR(*65hx7E7y*>^C08 z39aeOAt$G9Nf>iEXB>zCDbt_xFx=X3v?6<o5TmarF6{5jzR0d<SC>!(bJ4$xK3J?U z5M|f8AmCj>sH_|5QDLwH(aDgdAwIds=LmdTe4(|)gc77rMVYGmjMV-GPPlGgTUzHY zWT68f-s4>3=k4I~HyhmLTcU7qR5DLiZ>VscqH?LMZVL*2seCHqgm%`KQkP-=#J{@p zNo?8Z?jGo>(H^tGsCyK}GKn5MlRrijvVH2kO6>o-z`wKU7gf;i!M!~*5T9SjYu9I1 z$h#)~>4GmKkpAZLgLD%s(IQyd<d5vfxZx@$lJX~Z?ekz@5aMa_CM9?TQUVsQ<`7Qu zUNdES1d;^kv^%8O_==E4HH;f>`ibwPDOPF9$!IGQuH%8=(P;s^BSOoM1&j&zaRxOD zSKsUDlp7+UClXGg8ST>4jeGKx+pLkT_0#qsF0_nfMsz-%AkQ!-$K@UIqBF+@km&G+ z%gIz$k%R02PCu2$(%LIj_tb!Ur!Cs<$$^8w+4vi%4K8j){MyZ)ZaTtjebD$hv`aM3 zF>PAYl8c&ct6#n0K?kfY0?EBXaCyFAZKzN6#WP{rqziFXUS~%u!3FP9yR`M^#hz(b z*>g8bNi>aP2m1!$mPQmf%XoeGJ?Hom>5z?5s($|uuu4_zF2rw5NbYA$Tc(56F<>lt zW$DyF5pS1yS0p$KOxw<#0e3_CzNm1hhl}QB7I@_uq`r1m$tfukq&^)O3lkMxYdPMf zC$hW58gnr7hBPJ5K*txZ=lQAu3?r}kwPn^E?<WacHqT#rpELX5bp?|8w2by5<0}h2 zIjVnG>(He{d1s|%HJ>&4MtQfJ?3H0sd>6k3qP6H>LcNm~L^_abNWXU#8}G>ly4;8V zO$uNM54<EDoUT!UqWB)=&329Tk}ov#X1HhlGL$kvGfI5Uah(M5G^3#AYRGY$1m!dA zEj45b1qXx0{&U{agqTvTGlyJSwGh6RC})E6by!KAO~3#w3Y-<DD_hNzchRwV1RBx+ zV8H_u2m@nXpJgM+c!TUhUI6bxt_3rgnhXT5YKQI$vtz=Q;08B<vMUOwLw>{qGX{yM za{rtP`Rgq6gAJ^~2TXo<(QMEJ7fcS)Z@;hB7>w}~7>sZV8W^M^F3t=4Amvh4k6@F9 z3pLnj+&`V;f<%;iCW}`YgPl@A0tTUMV3JVVysn!<V5bg5V5hW*U@&^$@W5u{vV4sE z&_26fk@pbm41rNx$8fRrg9||#Ao;O@VOPS!bVbFJ%-@MpC`&k!b7?~g?@af_m~}k- z#pU#=uKf2pKO1{W1`aTV>AnnU(q8eko~mkd3mXyt%%}j}NvKe^91M(;extQ(KWhJL zftU34r_Y8wBdhvVHlm+xKG+gkpaD_<8{7aXZlFPEwDTovsSxM9i!*drj1g)STwir# zD?qw5%}=`Ih>d!hDpEz&TcbpZYte|X+K(<Sg03ox8YL}ahKlt3<wK2Y^)!s-+}(x8 z<HM}F^o_dF^R(>)799{>&p<KDAMCf_@8;leCMEcpeR+mn9WR;&RvLz<NXi15Ol&MU z8SX7V9$-4fxxnBennde!9-X=Vnct-jKB<jE7p|&e<<-<Vcp5c558MbWQ>n~!ca8F_ z5N`it5?)EwR<@u@!oJI}oVRsGim!0C!=OeS3;FGB@z~@w%O7BY=}6xB`B)cy0xXO3 zC{~w~{1P8c6m-ac9#zA!nc<VUeocM5OIZFs$aX0KwaM$fWV$ul2E!>A2Fi7qi@diW zk68roP^<y_?#IIZA{S}!0RJfKPn=K7Q||qpQ%T>d)%+fZTEL>1yvKV&YQvqDc^!80 zh(awzq&cbi2?7wboOWhjdonLWe0LgDa=n;qCs}81%gw3OT{)bXw1)nn+uOCMVVxfh z+!H^Nvr3<0=MX9E!b`;b;TD)aQtw*)rF5?BvZ~;C?$JxYlLsO|hwf#F-DYiL`Gf6= zjh>oJ5~sI>6k6fetKR=Y#aphHf~o~Vx4*L{n5)F#zy~yG{qU`l<cjAOUZ@dNRc_K= zF1c~vG{;C_e4b=J%mgnsyWpf4i*f{UamgPq2|(~#Gsh*ZbI!{>>JQ@Hzb$U&35n{S zvJ>IWv0nHr1T#g_FO;HaBr!sI1=Cl06NKT<slrz;9a&^jTMytRgTM71Rmkg0&grw~ zxuTO<sRQb<G-v?@_XR0?!~9_@me}H-(mB}jitx65MCa-jbix%Cf{HDxWxdm=iyp-z z(YOfttcWQ@TX0n%Avh_lqKcWsBFyF@ubJFnRh;{tma;Y@5ibn+U(O+|GIc#V_!s>n zWPDgT5dRd-kD2IJ#Mn+-S-#x1vnFA&Vvji_C<D<OdaB7YLfX_B&{X#*MnU87o^lIC zKl^R?k@1y{E-6|Qng%H?WbRT0qxsC>G)`#MZMn=Ao(59!vLYoaa0kY0zUf4zv6*o{ zviD~A5=t0B!BXrM*5s=U>@tKk)jlRT438In&jvk@)@U4lL1O0G0%LZ7$!=g)vj}c% z2CU?*QH(Jv&>+^?N~ET(dypEN@hTdQ**JdaJAX!V7)r%6HhT$7gZA@x70)C>-6DVe zVphwJp$7S_b~HWB+!D3M7=lb73XT0B$2KtV022vSOXLw%ON%p-O9fTWp4({<+!e*8 zw#MSat@2vr_OHSeg`e#@zs<K8I5!Z$E3lK-^&7hQxfJmucB^O@mTh8ym%!X>Wh*4} zEKNBb209x~*(kHZW8R(zG?DD-jQ_SkTNI$oF72xR;EKRDA=&r*5?$*r@@eAs();FZ zc+)e<stlaWhv5C8+Wo$jfjUm${#}9cGY#StOz0a@-5JxAnbdxmA2<2DHU`GeS)geG zFAa3$Sm${sz`ZOMd5;sEJcaT&E_3#C<H8v`f>yl(x4>hsunt0wf<VUvvo*}h44ADj zAEfs|jguCNdn&jjBqkU9^kb8P*YkWvupNWiv{9pU?<NM1t2|QFV*nY}rvy%*!;$8f z#5N9^<1~Uw$Zx0>h*X6;iz#L9E?@z5L^I=yVAIcBm>RBD))1&=Pox@=E(t8`Nvq#{ z;E5Q*)ljKp111g4aJiY$0XB+AHE53S*?~5SM-^K`3`|3sV-PjnSFC|H_?~r2Hr!{I zLQc1wJy;Q2VsRBF_b4R=jApfL8MY;Q!3SRK8MbgxLQd1$6<@UT(!r+DO@X*^T4vd% zgSS}pKO{%goETwNr4pnW_i?9PD1kPdLkADcSqDq4iG~eWtgAFQHJ``92w2xd{i4%s z_-y}{fj8uV8$`+#%lM7MlW_xS22mCFp)u7Z#9d(nMJijg1qxrHk8Psr*s?%BX!8+r z742K9){1PRE5!!DWPHO8z%Q*shd2Xs*c%0UH#0?9?^1?>SSgay1o5>M;dEabO$(yq zxg}S2?u57ImR!hA#{|<M0&y)@KNl#hn&4UXW{`13``D2ASk_lLe8u6X60;)|v$IaB z8&x*>q$&|T)}V^i<``dJ0cKA{aT%f}O|f-`*Lap!whhYEnf6Bk@{wlFm)>^3op|nH z5TzvxyLl$4KAWen;sx3xb+A1)^e^;ej8ZZ6ZxNt<?83pA=AX;_g8W^F{OB47?G4Gt z@mQ2A`y%cvR~^BMeEIMMj~Oe-j26C@o@!L(-_1j4Z#6`1<nEXcY3EY)6j<FpNyTNH zw362=kd9WW^9jBJgnHPHlQJ9Jl<g(vZ7#WXiQJ^!c8taoOf+=;oIdf-H)cDTX6yJN zO{7!yWxR8+2_IvnA)t&1*P(uI#%5jVPG7mSg3rS{;Z=O9P(QaK?5e)GXYt@m2h0#b zn&ikM{;svgz+zRQ5+$omu4aa2EBRA!u`5_&nj-(EsqZRqu>LqMVgt+8Cn^5K0%_!| z%B3cPO11~W3AZ564E({`(94KRaJyp^r2rXw4{tonUqNLzh}IoFw7byPhfA<%z3XXv zJZ}4iRXG8&4>v=zQbEi-?=AM4S*;*}eITT4%mmFICQ1R39@ltPx^rs80nU9@msTDF zHLWIWyO9-eOQf^W@n1oXR@PDCLeTpgfU35HIkRweup!FL$-QmUB|+(K<JZMRN66`` zcYN!&Js?vMRm(Q9V3w&+Mm@l*<2m^2n)|2j)A+VcC}=zoe}0LnCHfOsOJv%;9D{4_ zRk=vMN|X7iP6s-@TQ!{o?;2LO0n+)kATr#Mdte#BHJ7uu8<sHF&C`m$+1RzgKR_ol zPjslavYNJ#ZK88%sIg!?Z(oM$(K1N$ZnEGu{o$lR4}ZcYfZG?m>djPcBUY+X2YOAz zwv)rQ>x%n3jiY5TU0oUST%26eyoN2SN}W=x2=(x3?IrUW$}+lwK9SdaRD5S*1jxHt z6p#Q+if$)Uh&)9)fs4WHXd}}PR*fN@N}7{ypM;V^unoHGOva_(q)k45cFoL9`~<=t z-Yvc;<0~RmHJd|x8I|eR61lyjJQhV6*m#h#kB*5sGDNp;+2BK+5G1-;&Q9nXh0}eG zBRzqs=7Tz?72Tpm+A<||7!1bD?hHS2twRRD+%lp41kAwfV!?T*?6AQU5go`w1HgGx z>4Jfd_;59%tA10k81Sas1y_N06i5gBX{KO2$#WM?5J=T*;H7>B5tb1YGc?3+v?h=j z(3%qAGUX*X$sB&OK@2iBRa9-oM8oNdlJRhWHLm4j)*J;A7xqYPc!x0$DN78kz5~>0 z?AK;dIAKUQ%)4fZ*aNh2Zq>n@YLXkWrcw;$8MtlOCAvEFD{t|jb;Y$SA%(s8Wvn?@ zz#rH0C>^uCGk2oBGfKp3nC7-jqf)J8pS+I3p*hJ0GI_Soq|CE@eRDjL8@L|EduOFT z=BKBaf6Mo=^xYdu4$=;WHu2meP6419E6<O;CgSU&b}d)Vu=qyIp$y4m&_FM_p-OJg z{&_m6jjv|JSD7Qqy4@DqQ-Dp_ez<-kYDs5G4BQ3xARFRid`e7Y$00Zj92Ott*#5ft zWo1Bpbz&DRo0U@@pg@K1Il{PvBhxGD?0&7mU}Z~3t@7a9r(t`u)mV9Q1!9(XV0*Iq zND2KRw`A%<N!Nz?N!Ki~QO^@anm!kG`n%RnZHArNkL09_>Cs31dl6~?%mD+Vv|?8$ zmF`U>zuy+h)O9`?RMt}kD!en=71ieQcwL0~^AJyxtan>DJ^a`1qZ(N6_!5yf_OJB2 z4JnZ5ty1%K;AQ%(hajR8H^>+@Mx@F9%bUU~bS<P9Qcoe+HmA0ms}=`b3VM)0jxeuQ zgpl}lGQ5ND$c{5dX0kv`*R5vBrfuD21-fDUZp}b^)F6g_lsU3E9ZLvq^e)EjJ17Ww zvBLsJ4}CWm)<c!(uLIqaD_JB*nu+l{3j*fE*wIW8L_&)bWXwB(D;{?;B)D7>+~<&a zz)N|qhBWx8W2~Ifzc-Wj=;Qr;+~~Pjwej!H$<0I=oP6LP$T!!Unv2W<2SR^11Lx55 zDwfQqS+1=nBQi+BuR-lU`5e<tmnjr8=j>p3&*PfpF)*BWQZWV{2zwoo2B94?vA>#x zhT+EO00FzAl;9aPr#q%l%v2C=(qs-b(n7OaQEcO8U`<BdU|pu=;5buT%4M@uP%(Dh zU3Z(v1YdAM;2n~|AvRi!+u#SUE%sT#W6*dc*Xo#Y2q?O+5Ycudq2Jt-&PjG)8tS>T z7|mwcHuAk6RE;mZ8MEKcBf?*{+5wE6BncWsU<c;6Kva{Z^2`@9J6CfzL+rm~@eqH) z!~c<o>uB5;jmBfqC5(5*s7n&EhU?Ti$(1{8Z}!h#7ua(MSQ0!(xjIB%$w?0ybhC)8 z6<jlu^F~pr{D<=)*C@Zi1c8NYy&f&U<PekGbR(Ffn1WL7-&Ku9c>3Vq!CMWJR!G1z zqeC9ut_}N{e_8u-6;9KN4#X~kVL6;~PZ0%LhN+oODXRL83B4noWQ0*>G~C?-p}Dg~ z%4a-t2D9#Ezx@&33>eZgj0s7H)GK<?7rmfbG_8&FRM4neKb-XoHe>B+hybOZN_cm; zp+GZ?xhw|T`3CwM!BGIB+xzlg2!23My-dfCxI4V)iwc1?7!!qn!>VBVh=?qGUAGT* z-H7wQW+o^?!du~<NFg~v`Cp*Dx3%)s;M|(0ExVprIfyk!S5s`lAcC*;mygud7;4x4 z*4T+k*K0GK+QhB0fxH3%@`_`1qi(ed`FPzoPGP&@{*9H7^)heNcK$8EQl(5yO0e=C z#@Z3<XkdOlb?qQ92C0SZ=-@X6Hp0ijLD6ySD&0-y-Vz0)Q#5gVSUR@9T|cf1#f~o$ zPL9B-E`Ld~j*)BF)JY(ixECjyf$FgHwaHXPBD6~&j_H&_WcCBR3x|@}CwUPI5vUfl zX3*3C*Tr}$df1lQ+ByW7E|xGS&YI`uIJ$=vZfu$ADmtY_y;(_iw{1@oxD@x|*9mKB zb<n`j8ZR<U;W|tTc`S;(h@))8KyVBUnniUqPFU4MZA?IOl%qYxhOqmJzon^TXN%yj zGqo}&?X-Js8`a3R;ks_6*ElY<f}1AHhV^qTbq`xzZS?P~J+eK((C<!wcD<_=4OZsU zy()XMWecly$xVvEzEK1&^Aglpm=i(1in?PfrTvJT+i&t&J=!^rH?x(FGCP(`6z}I+ z2qJ$yeMm}qW{&8xT}TESo7HF3{OV)T$O%FIGTRvFngJDD&sNRumZ&FdYfFS-O$68( z%rqicqp|3LwO3i-+{28K{cke*4*`v0f)VaL1Us^dIDQ$nRa`6cLHG``T{ZNXSC<(` z$eCo_ZYIAKE8s}^f3fwJL2-0Z*C_7puEE_CELd<SKyY_=3pDN;EVu;;1b26L_rcxW z;hX1uzkBbW`)6vZ&s5Fn?mAo6UVH6TPo%TFeBPqi-#)bo0(j6LE}Ug`gJ*K;ig=n1 zT$8pV<TGf}+n4^AVNKq|nhrb1b~>dXcMM4T?_+VAM`Td;@Fx)VVOkJ;hgU$K|0)Q| zUIL!2EmGN)6w)3>u{a_E(O%xsB#;<;P2cyf0m5-sk(9teF6Z#Ka2YqnM-;2;4wS!t zK;uokt4XZCris8ZmV6deKpV%P9TQ2TIQ4ak@(>FIh_waWzVRtJ|GY5vFm|0S8v*ib z#ZgK)I>)m)$cMm}t0lC*rU3YYboELV$RxQz;Jb?T>`qYN00ZIg6eJ|Efwm~R5nGe% zAQj!wzR1!qJiRW`O4yQ2hS|Ix96-Okj9t`9a~ynRU-qm^-S*ksV_CtqsI?G>eRe%i z>_%A9wBl%gcD+u`H*|v+vhy25k#=9I-h#ZloQ%86Cy9bWQqEPo3|pX)8hSrPcewoJ zFX-k(A##8B%F3t*q`WahbBg-C<B!YI+xM@qtY>)npy+lh0Vk@IKg&a&+_A?A9)n|i z10VvQRjhk=91{nL$NwI!v4hl!p~Ik7RinuO=$^m_`pmo=6fWp60P4bfzln)v6xfa$ z9pf|aAdKTH$ID8|0|=T6aI9$lo?4?wI+rrsYIEfvDff(nlmcE-LZ?f<;OVej-L5qv z{G8Q54RRp9DA|;b9)RiK*s@~^ZZx5OOi`XwFmfPuIA`fgCiD`2lS_@~n|k=wW3n6L zqS&0s;K8{^<v_&Pr+_P8?Vlx(w7c$5F|_Iw-G<rykxlI|2%Jzj&}qf<iG2QY@I5H$ zKH@F~s#CI9-e}^e+N*PFI3UwyWQdsB;i%kBxX}NX?G1?9p>HAh4XUkxP;_ekv+{~! zQS9M=fgx;g=i0EVOO||PtGWutV!1old0B3u1p9e|*O~$qH|<)?YN|b~hXBW>{V)w^ zJMyQ>!;F{+5E#YShD*`;9Xw_4gpRSUe6b8s>gTWFVx+3XaxR=z1>u@lkGV0q%9leg z@t?(qLlK;bbY?xN^FJS!7z!UPldxN5T7Q|=C39bYVX)D^^VuL5Vww*0QyRy|sM+H! z;{6%QQl~yOk%yIvB~w`Nn*Zl%G*{=DouVw7556T!0>~xCeK0xj_BgUvWc<bK{ZW#9 zG|XSJYK1q4daon7&V5p&R6=Z|aK+ifalCOr7b3Rx_#?`CTTd5T?R3+zf?=X;W=%4Q z+gtd0K-}p__>W`6l-Zj0R)4+5V*;9BfxR}9S}h~}<Y4RtUV*(|#ozmXV^@|@I!w&< z8eLVZmcTwLUcnIze8lp%@xMh@Y6|EGb1}>II-=`}F(o=;UVL1K4Qt&vU``lXk?`97 zj)SN(qu5$*z8UK%*yUn8sduioo#G>XnD?EL(?iMNelEC@@l=Ko+Yhpob+mdQO5@zt z5OR?<3zIgXHC~|VYxd-mLvfCn{{G3Xjc?C`78u_ir?fBT*p}>jeMF|}vmW$LGnZOZ zTohT84M7RlK{UsrwqL1PqwZ6%<`WM+s$Nf^qPCB2dRr(M#zXk}&*!Gw_V%~V6bZDv zJGO7Z{5ms!*420|(ZLUjC(kqi&2_uP9zArYS>26Ob_{BJ?(GFVdGaggVOBG^)tNxM zFaT!hzLeg6U*7G^5p@d!eqSMSW%lh!9}8b;BfkPpg?LuA+rNPZ-<_++PLvCFnH0Lj zM$a%7YJ7Z3UX;oK7JE8ov?V0s7AGcl#z|Fqyo(sx;Rn<w{FrSkYmihlxltF)ney&g z?Sw&5OS@sI&R+~@2Z_VDgK0Eqhe0cOHo*HM{uoY!*K+M{t^KuJ^KSzY#&+WtKpth$ z*=h{cmiFM#<C6F*5!N#ZcC-s@DjnQcostY?O+9RkjZ2B?eme(RdArDcvvL>J{sleR zwTfQQV%6>CE)9-9i9B0xJ6N)PB&|+t^ScWAyL7ZS&%z-<`naPCI;#;zc0?ECfRf}z z6dxYW<vu|`V{pEGnIqp^pDUsVG7-aLlg^N*PKh51=3aT#piGfFh||Qud@&?26oC~B z?PxmRaE2H$Rt2gdyTkmA<Nn_5&x}pD<05nYS<?eCb$fCqfe&>)(58&(UT^cKacn80 z7VTbREU-O5vwJC{VdOsI*8G4A2whr5tZuh7Ha?B1{vUhRYOUwS-8RaAtw>Sri{XRA zk-n5(W#TXBd1E=!svCD()Q=b4ZbWry9YE)*-epyE-h&(O$;RDop2|b*XocBi0u|t+ zKF4RbKttuYKV6mz1>1!S4KeZb4d;_lxkhvFvkifNPz6Ghtd3})Ss8%wd2YlNF`$5$ z(b1_f{>Hp`^$#?cd7DuOet~^-OH4c31Lu5;hAo;KlVr*N9@Q|F#_z=N-XGyt8oKaq zOlG3%6dHze3Q?E$h;Fk~-KJkT=+E87K6!&*&|HiPpX54$o5>uiHlrigtnF1voC|n5 zHn(A_5g-2~x#$$|TW0BQK$#YuBD1b)6%n$vopC#Z&~`ZNbkim*a9wu$pj6<A*>#CU z&a07P1E-!N<k?<xop!kmC-R507T;&_lI*m3rLB_a`sJi%8C=eSab}qo-37ZR_9RA& z6t{P0cPsCMuV7;nR-bJAFDCr{fz*a++TO?6{YVt=A1Vwm{v!j9>I{1!#h8%t1(#zj ze9c}#KDwS`Vc>_3w@ZeSM9QwQv-{tHhT5pu8jdxJ&At1=RXc;y1S&$SRlyl|SqeLO z$5lz{kePsh%@p00^0$rl5%}pc=xDq<Ha7{~RlC2`c1wR;b8H&Z&br;@xo(zz4~Eio z3G(h&d-bdXSaD|*0}J7YejC;GL2gy`z06B1lqNP8ci$g<dltYRSDQ8yqj@#DJV5C6 zfH)0*9@9W~V1Yo##P)3dX32k#GW1I^kMk3+e9JEtpb<%Ztx`vMznyGEo7#%2r@<+> zoZjYaCsSfdt`Y4As>^aiU==A5CU2$~l2@)D(qC<WnlXqQW=TX2!LW?wfic<4hKy`& zIQ~!VnaeP5=vr5?-m!Nf{I@Ha7K`O|mDNIQHLKqf1M$S-_Nzf_XeL832+t3s&H}pd z&H^J)&7>T=4TJH-mVD5=?y^l3D9s$Zv}*J%4+X0sd^iBd?m+x%S=bsC0)4ToWaz48 z6N?l8qQJM2OXJZ_n!i(!CjM`Ob)<yx4ZFs6kaHR@;$bTTvyi(vp$f8)mN#F2s5%bW z?jYGMb8aU}AB@@dwy}mT6PTNTg__t`RbXmW0^U1%ZKY1SYzMUr8%#o%?+MJoAwa-# zxT)Iz{*XmDi)H~DQq^QNM6EtXjvKI%fuA5+H(j9DP<Lm<1xww=;u)n7(FuTSee!b1 zMZ;oGwCpifHad{Au`!0)Vu%e8a;=B&VEd$~Qgk1P1YKhkPa4S|FLn}K{n8J8pJ9*{ z_o>=Rfmd?zPtM>c-i*Uxh7{8BOws&;p+lkqn^p0}SQFQn@C&Elz-RbVZD4WwdzB#A z?R|7#@gJ_p;JKn=xi-^g;L%>Ffh;3$ZAcE`-_R8!M!7lq<*$EbDWv)Ki(1<TUakzV z-Jv3Rlzv2~s<8J}c5ZL^c@`z}oT`_Whc=~6gn~}nso_ALf`BW0!SMP^V2Qrlag3q@ zrmOt`E6J;$^@0yMWY}ICph8<6v2KSrakxS|%>#KFJFpGPP-ufS9d#4d<<6+#_dIWo zM(HYq*yIl7g?7xCBu?hJQGg(+U2cHt$|8Vh+8y~H5U@+#Mp6Ee`1or}a3>^Z<Dbj; z^#Qk40>I?8+--e8RMMEf_i^>m{`!9sY3qwQ@vrIOugX^dAi$A2f|gJ&FH!X^oNYXg zf|WuN+n`S{JyJK3ph}wgqnpj{0P^Ti?LR-8stA^+5rMeGm+)93emyfKeLdqwes>>v zTqUbr6=~I4vXemKt0v@lr)6p;ESD*F^wVc5*;hXRbJuWE&x2C`VY_O%)&0(3>sk@P z*KN9))W8R({^n|z8{Q%)QjwzKKgg|0MoVRkm($8evk@mJ&wu86zlXl$Td^!IzX;zK zj{;8!UdoVMZMYGv{+ITelJe><V(1|6w~BP{ZrumMvTk5FEZ-U5s@gn8L_^7T*-*y+ zFOF6Ig5`7K^i_3lCJohBV|F~PFjFg%rfZFFzXPy~dvPZMhp)Gt7fY)QX9G5US#kZf zU<z!2$om^H#RS9Qb#6SQj{w9=_ZlCH3B=u5+h#9K`$ZgsKbC92#le(esC~)~{CxY9 zA+NV?bP+a5ghedFHba1eMQE5ZJ=Q&S`R^>G(nv-Vhv;?|+_iaHezCLb>_d(@Q@-g@ zcYsTmX&jf0+HTeGl;u$7DCw-vY%r4sCyW#t9AOrRfQxLF<TniAQN<1_&+6d{pO4ix z{l+L#vV5;XA1BiItl?IIAuU}}(y1*YFqX~6MRY!=$ysxba_Vo{(#F?o_FK;uX|;`F z>x@Qt(P_6gJ_e$z3DDr(=b<v>!oxz>0V%qFP#M_9=vjhkmV1coRES~06RH=>AYC33 z$2ir0XJV_aG#L`Bt^A&82uk9;(XY+gS-W>DoA0!*?5-7K#iOz}f@gGUbo)J-GDa+6 zTSovH&d$SFI(Uz|ZZ!C4D`j=c;{C>+g(GkCzpQ7$jh}R!gsLtzBGwr1?0<lw{sE2| zu)sPGRa1W%!kGu=AIZ<DVDuazfRZP4jzBePu{Z7(FplOR#|M*sJcwCrV}7-hht8>5 z$g}@xKvE?hZxgj2lxLBg`-cg>U3KbAvK~#@oh|Cc5%^dJiE$1pYsBQ0F@NnPdG63T z)^xo?QO0H~;iP>NoAKcSQ=o~G8+B&;N;rqGMWc@SXR$<-lPYaZDnb}`IGDkUy%RAV zY(8GVP{Pgd6eVN*J3qN}0!y1$W(Jlw0%hj<%I%YToeyIE{?U--O5{(h3AE(pJb1<E zqQWXWgxD2)ZiV}WiRdES+FUCUbVF{1+Mbu-BFtU%kvN3NTJ_URVnA}1K*5ANX1Z=s zMA?UpwHVn+$RaTP6X$OsU&hN@OxT<wd}miN|6+pckBU}xib>tZ6@}>#M%K^P6C@j4 z@o>sW11`VQ7p9$u)L@9|`zCRvnTY(k%ePmsdjC;eu~En4-SX2zEMkPZ8bRW2=O<iR zg%{(>&v92Y49syC6#*Q-dGRUh|0+N7sm+#>ze24OU^cjT|GG5nThf8XmQ?;x(ivoo zQiORW7z#N>dJiklQ~_O-T;p%0j1}Kq*A6=y9vJ6|OUVYVnPN6CfM49De>XSWEP;H~ zBDnp}{(wE<ua$O^twz6fU0AIU@a${#<$%k>uDed|Vl}Y44v79;7^fKeyHM!&z(4Mq zK<x@c?O}u>nj~c%*rL3FJpbOUfjrS2C>dqsM6ry-kO^fb1l9s~wDZg}QBl>gF%+I? zDR%Mkmgw~5#Nk19It6{Wd40|jjYv@*s)|9!F0w%DLN}56Am&^mk_nEIqP%Y}eaf{0 z-l(GWjMYIl#z3Sf4BR}ycb&){o~1y!lE3EgORh$rh<D&rtfOf~xcP@ajFe+U>%X58 z8?({5zw7Q-u#{+c;8*zHvxxaH?El?cro-vx`u+HcLD4N&&<U~rOvDBr&QgIbZ{g0I z+Jgi~+DB3ixgNJ_PFZS-#xUkwwrg+pcfkM&&wdGq6bs<o(+I6)A6wv#(QWlzBJQn4 zD0miaAz&7*w#zCErI@AuZk6scYF$Piv1PKzRyaoV7I)|@fnwB_8O!rVjh*@Dn60|@ zpxHXAT$ExvOhuET75B|Laq7&JLO*SYl04oV`ZH`{8ttF_fn~)UeC6KzS{g;Qa)Czn zL@u*%2Ihc<D8mC4fyD_}SUku|La_6|aAk|%a76`={Vcq@P6%!mY}dQEsaDgwxvN4x zep2Vv#y)Nrt?Yui0e+QBHwk=Xk#dJa8UL{R_Mz+t)_y`Rz_o1lWC0B={^ycqS>w4< zt`|~`$PV;}Ogz@OE!XOG4|B#J671uG+Sr@uyFihXk(I7u@T~i1J9xP+er`@Sce|W# zQCV%i3+n+~9{SGv6DlO6m}uI5(<%YK|F+Ch9-%M_%$z!6RPKNYYl}rZ`LYt_E3pfR zaj~_*QiCL9XHK3lE8|#Xm|iZPcDZ_)&2VEph83b?JQU2xym*#(V18c;R1C=d7%fbS z1RzrZ3FMF@Tt$ihmc219hLHyepPYAXP0OLh#qL~&J5E(ah;Drgwa$}(C>Xc74L0S& zMx7udBdx?H%Q8ibqd?mmfm<<eQ2Ks~Ll1r3-ZKKn6DVIA!Qc-6MR5Bvytlwp2%`*s zm9_0Kx`?I>y6?Mfk?{pGu1@`0e_4>$5};#h)LEJj=gwYZ0owX2MC>6HdE6)lxrlDJ zsX(4dptaPbUqYRo%JYBg=hlEoF=<mWqc~)Yz~F56LDR#;Ob9n>hFmZwYKGO4ovqC; zt*`wx;&r?<+n=z`W<<gy7UQ92Pk9SJWo9MRrAGhBl8`3C9o?~n5!ED&uLD+fZd$s_ zAcVRFe|u&Xa2MgN3vLr;{)7ILWez!`NGQE(k_{H7hn~!p+!fg@47m4!n<0=kp<scV zVMfP}kxN1v`SnWv-?deI3KmApQuTc!Zo4SUm9kQ+OX%r3HR|+G8zPt=>Dt((VwMnv zdagY5Ec90X=@-)6cBiPhz!WP!x3q-RH7xaK8~B5N>?|<}+^m+l>W1sOZd0=aGSq=y zP%SH8K8=$oSY0I`$_VH|%Lp)=ip-#OyR*|M1cl#+|DvtWfR&;CZ40(OyZ#B>k~0J{ zVC%D&&&8@Tu1YbNp1@tubp561u9<qR+gpnNhm>@>MoL&h9SfBeP#KxpFzo9?!*W(t zh4QBv8=`|f9!|(5+ims8uk-;5PunG*NQK&c<)%RZ;xFN|*5G;y$zhc%xD+{;c;cBc z-nRRBBk@LvVRo!5ou|qi4woJ>v2pTPhg0qhwssB;nWI56_<;>tC+MeAZQeprJ)|x) zlTL;rUhUCiv)xNM@IM*%!2g$V7s79JLdNR+7jBXBYjyGbs6wf*Dw!4zu=y|XzK}81 zu`!P9hJjlo&^2*n(MR8J&$$j5E8vmq-du4H9^G6?^Wxp-^6<Ul-`+mbk;q~|{+P{w z{vP_UUh3HAR<@3V*;I5D#wqn&ZVXnwHUb726Y8CnX|?4rQl==^G>jkjL(Zajb{RyC zDd4=2D9#CV0=^D(250><z*VrjIi|OAF%UCiJh++C0xPPfLO5+@P9o?=d08XroOrr8 zP*!B)!8C<xU;gm7$^008%E|m#Zm7YX*uVLDmj4BA@%5W7Glu0DYtb?oLvcUs+XRR( zK^Fo|aCaCrK{ox&n?$=oTunt^I$vNaFqhvw3G;@8U>1*qGyX;}#Ci~dZ#kyB{=X9y zrtK<;AKT4iT)X_okx=*LYEv8Ga#OwAlPGaM1qB6{0JC4SWQ*b2Bpz@z2K_8)CxTAH z>>z}+{sx`9Z|T3H%EF!eWMy6k=*<O`z`@@*vGCl<eAkPyH6N!ZDzJ>sudl9_5p-IH ziZlmVTz}b?9q~2vTanu@#-&DxLE3gA2z=CQO?gQhSjb;QFDoI%TNIs=)xzNZ44A<v zL@nGSic%{sf-53q#=*Imv4yln1RRDiF_?9Q5K_pD`Z`=E<VPQlxI7g={(XK~2}fWk zY7Ix-EUzXv5%wEZUnrQ^(Ep~IwYKC&NdoSglHHmAIt%|zhKUf9JM)5&(lR2c4nkCI zhh&%^1%mqn8td!H&mEk}9KTAeLAW_ixj>30ccMkbUMvbLW1N4J%yq|UYK#IBm$DLh zV`6GwA`1z`<c!uZ;Ob2PjC7ZzftCD+IQBYKIFxc7w%f1uFZC^BhE@L4bteQeI@h)L z5HdQdRlbz<iCB>E7^`4Efl~BF!LD%6t+twLhqq|7>>OKcX&AI!LdB68J`=u`Bv8rU zjN4c29?$up__`OH)7Jc%IT_jbTr)Ri!0gZQ$?K_NN7Uf7gy#kT2ig%MLH6Lpq3aCR zBuyR(gWocXIpil9vY>@|Lb{H@=`h;PbC_lj(1t93M6xDsfmQ9y(um4l7x3D}#`>;a zW}!9@?jG7`>xh@jCybk7qeg|wo;ai>e6117lVB$NXLlQI+Mn0`O<6acCzLf}k>GH` zK=m=<J#gy8;j;x$&ScrJbQ)n@ct3d2>Fe6Xx>-uHu2DXlYGS)qe@Wy%e?O%jm27iS zgo`9m7p#d=BXe3*opB$u#@&2y_hI>pe34r%G^hbHt~t0M)f)0KrbMC9cQt(#^8~e> zJcLYt^Kk^q2(PT2?!gxK*ouVwl+j!;tjl~Zls8n6(|!SLN8N(@ZZ&W^y0J?g<_+G; z$Sh`IZ?Op#=(dgcZ~H7++ai3p?n5sm%mhPkUt6btZjJ97AFjvJf-rsxQ=UaTTIgTy zZjxO#77rV9hXQ+vTbd7OAXqZq4B_?lvM@$uH{ZXfKRj(bF$4!lNAPvmdXa+xe{wt} zu1C&~lX0NvqKe{d?VGJzFDi$t(2xR}4Z?cY%AGWy)fD#S1NWp6_viIsQGEJ&UsdcP z(y|WN=blL(Px2O~K-mDHiMW?I<=~quP)75P7r;%(^6bocALUEm-g!N_`taq`6;#8~ zu@>F$rEM&v^sNi~l&2>zS2MHE!Pv{qPegWf;g!G>cOW%i8I$)0`I-J`<w!0R&rZ-S z%O}737Cv(S`&~T$%32-c=>~1g2d<0zw+tXR`F;PC?w0twN`*gM3Nx%s$lJ@S1l%{+ zRz|v%j-g_v*ZBxS4DtlLj=!3mgMlrXJ5ggy7usjZk4Gm+9r_pCm8Eaqg_;3-57_TK ziHATLJTb2C0rvZK2By%Eh`;<N1V4cm?8ezNg9D3Y=pmI`Wqiyi&@jC>m5u(Y**1gu zmlNR6VR!8DrJR0^Lw3%N6&o;4>r*N-G@A03Gi9V0M(ctjn0-s}7@hd;SYUJzmk$1} zsx=BS(7YTedbW&nFxZ<++vh)mIpA6ZfJ`@@m-_bD=x|Ao#0LkwS*fKGK#5%-K~2pq zC-g0?_42uQI(2#~<Bn1zVKwFny<x=CUuO$Pmd)>d!~b_MP9j1dPKfK$LZ!fmN!o^2 z;z1<B*Rdj)(Nb>#ORqxDG1(u~Nq*-C@OyS*Pdf&+GN9{lGkBWHmTxeDOG9I+NYV=Q zmxl+@Gk#a8K9A6Z!0Gwpym3KdT-ti5#cT-HUufKKLf!AID!nlX?Te5D(@H##Kto`P zW%m^s0{@yYKc&1no)9YW-PTfblhZ*#K#y-;An+&VNnkoln0`!?sypvjF#iRq2yqrM zIT>91{l1TD{d1X^txz7t%Ha{#)o1o4R7qHI!7HA;K*!5}#8dz53l?qk{SH;7riG^* zd<f1A>U}K<;seEaQ<<KiNddnS&~ZgTS8b*FNwiWR)dxQ3Cn${H?8i7LuP2p{41Oqo z&hkt5BEc98McdE+KN`e;0551GdcE7?>aW&?FU-E!R*oSDphUcq|2q3$KwBgTE1Iev z@Flo2VmU(;3{<$BMKfGMJ=2Q|FpB_jhoCrne8U-VJX@a2bd!Db{%NC|`e@6}$Wnut zV|*ByTP5GE`dWJBD-oVt*v#FHn9!E8_viH0EZNcNZm-+p*+X(4yfdYwNAC2`Hr*ql z#mxflL|OI~xetv3*s~2Xm!iAXij~vNkL6nJ!WWAfddvSx0Vj2baNKM}VGN+wQQ^|; zG~<+9U2(Cp-KA#BMAco<Pi_X0^WZeI1jWIYCqBflK~MV&%%E90PwaAxxDvJV!u9a& zCws*w+C>+`rgg#fo~syVI{$xlza=ljUZeb-V~;-uB|d*<$5onSJ1t=H9U;Lp8R(5U zPUE&rXX*8b2~jAy|0Dky1Evo^a0+^Qp97=e+jb?bm8z4M0<OPFP@j9dOVFDUrH3vf z=Fs9X$tAJLf1;E`=7qk?bv|}djpy53;#a9*UF%d;Blz;oKp1Z2`uupYN8?zoPYx#Y z@BHhvo!dP(&ZC}pMFEK{8*|=Fc};=!M}bb|C!v6e&sp@c**pB+N+~=*Oru!MH8Al* z*me0BymT7P^HY|8kJSo}%xl42huY0!yr-a5&Oqy-*nNXA>RBNHZd3h>3Cu9>H1W-s zy6LTp$xe8fCIDjj&&I{%V9;_}{0GwT-UrR?z^>V8%vmMh{s|vqsHl$gVT@gh>^Qi> zX>uC#m)c0zBt`^TBMtBg9hlnx_9S;2GpsxCAZKxjMWnh*c#0x1MPLS@AHyeExc<kf zzXrmD^CeWTy4}71G{18Qca?r4LyyC~e+kz_Q~sy#IW@&%q!Pn4=E1%aLm?MNt8p-- zr$WVV4oppt{-y=(s;GWgo3ynKXSJxA>cq+QX$^u$GvbLZ^G+c8t)}gjb2t?wYAm3I zC<o0rHA2mni&2x@=RV9~P*m?y&eKU`b?6hHp{w69*fFP__~e!~((jO}NjhwbuW2V^ zjb3}2$(4M)X7$t3J%Gh>q$5g>`p}J0mHa5pp(}jmol-Wbs66(mL8U3!m*C#$`r4@U zZr?z|{<&J8iwP*2#S*j{6|0s258%a5I4~wtXl9u_=@PjrpsQp?+Sa6(p~*#?afOpf zguk@8x~yW(N3IIyR4W{RL2iO6dq8=e$2?m~=gyMEJbZs-8kD8grwt*SDt8$27JSSL z4K~!>5=t@u0UDwTIeY52oqAZ#4O4@eWV`tznIYH2&Ijl~M8$j|zG;j0QaD*k``n!x z)iHn{-yj%+DJpm9X;{A<zQuIrC55+G#xRwKmW*(qJgtE%1W~4s=k~Q(VF51CImG2* z3sZHAGGd&Zjaux>`~FLTKu#3Z@3(^9UMvDXLER^WN1NSEh~O6$)7QU3p;e89(E!mn zC2>rrqaeT*z9>_`=jvePtG2i^i%zZF^IaLAvE)64KYK8>dV1q)LFEab_^EvwytS5F z!njbzOWT-l<6?z-?n`q2rw#56|Dn7Acj#t#FgvRGLM+0#`ge2UQ?DhY*Vs0kci~SB zcfSrt3*?Un$G)Fv#(qpOZ@T)$1J(f7+@+ij7ZTtbVqa-d7KECL%8s1O|H2Vhq)xGC zyAbfJ?)iqBh*H<!@<IZYkwuYi=b~n9drc>Ny8N=Z@^Sg!qJb%NHn^?%T*~9&>97=f zq{ha<={qkqG0x3X7qg=EXAb_<d8p*`xqR^WaEDu1jZx#<O@$mhWkd*XY%i{Q`;xC> z_0~Y=P?EODF8zF-v=ChSr!C*1iEomle;@d8*m^uTU$PG)d$04n1TlMY5c9BmBc_{6 zO7Kt3^6HXhU&YX-k)RaXlN01~F<!sCuh<~8IYl@m(O(2{ie^y39AaSo3Ds#wadNSK ziG(>v)*4==_`!3e;O&2}U$gM0%yX%#roIN0rUz7E>=wb%@FP9_3yQttr%#qMpT-Pq zD2o-N=SVf1y7DM-j5H~yP}pQk^=j~VZ^%6R)~@ocjUVB!b_MEd;kFO9D$hnn`bpmR z93{6;a~@(UUjmy2vON9Hx-EOjl`eAsIO{?jmQSVlrx#A9bfpU&Pk}%$t+Q3Na8q)? zAhVj7fj054AJG4`h6HzvJrv!}XMtEdMic|5R8SkRmec+|j60Y;9<rX#mqOqpzvw5p zzsi}GS>Y4m3VSC~&PmUQmZpT~N-dqivCgTHj!3pP8nfT85#lWoF^y`d!;S_?h&?u! zjQn&!R(C@w_3nrq^Nt*_laxX5>|Fw+VT4pY;j`xt$rh#xYGrn(IW!Gtxx@5@&AuXG zxkPKr|H$N#Tx4Hq0xYRjD`1hZvzeWBtSYwchA2`<HPqp*&c9#TyZ;0g_J8u|!sl95 zM?wn-rCGw4aUBewUUH<gm=V;j#j$i1ulK_n*J{sS5oP))J6~^6+6hrMmtX~a5!qPd z`V1&*1>E#(-i!!KKZkH)qN!aAFVT1b(0@#}#YS!YF<yeMEI4w6$KkM;NyIhnY2Ia9 zk;s^)Mk!Ges6`$t{z>t_@SF~nzo$n*S(BI3z5X@ppPoUB=ybnOqy>G>JcjrTiF*z? zrxGvbr!7yOJ4VmF*qnp(+oA@@;eWLg%n}9-O(0jzQQCU>zaLFoG}CX@teol9UzKkQ z1^n0>ObI{hYGYBB3nfqh8w&3FJzZg;H88ieed7%q*^&uzmh8`&1UbFi=L@s~^nF>< zZ?CO0u*{Kc*$W_w8;!XN*MHoOmWUF`r}6Y$NMfW87?x~>fepxSo1H)utSd<zAK70h zaNQa+?%g1d%S=l?toP8PnF=gFDs6~?(RF!~V^)iGfj>nU9rtlA0RWB`IRNHFBUm^E zHpf`SLPP7!q&UPOsmVGrr7B|mZ@3qsG9y=95jPz1;uz`BY*#g3v(B27b)wkU>(-w# zZzL~Y@kAErj-{A$PN@Rz-;BbP?C@^cqgd%gnIh+13jWF4TmFMIiag~T%6VRONR@$t z;Q(IL+*x#!Sz!?{Z$ln31Xq+x1EUDGm%fHmXJZZ562dAA4^$s1=ExVzntnKP#edHl zFS8&o-q}(BO0vTG1O!2K)7&14qZ5C<j0`jUD<G13IWMV|akgU)U(|$?p0~xw$bGGY zQU%h-LIlH!Cj9w0F{fbX<6wbSw*%zx8(<BL-TvLemhkVQ1M5D#@}@tNi$kj~o4B!O zG3Uab{7}XBKY$+8atPrIr5e#E*!?OTGuSC>94Dxg>Gg5L9oSapk<Skc&+6SF@P6zU zM$PoWlfACfvGgI>Ju1y-7^CK4s=8CodP#qHhKvj*x~>%D))$849%AkfOSYl=0zP(} zxvQ(UJo@fzD=gC;&vS?2WpbCCrXBaP2I6<TiWg>Gj`wW$Q=Q7*^$;m<VjLOvcH`Nw zK6qZ3^{e$a=x_Jx#RjdXcj%`CtNpXi(-YnFvhdLFT*OE{Oq7x)wShkgz<LFLa;Ho6 z1PHt#1|>xl2#2Cs9<^GK4$jbV0jCqt7=-S-_XSi4+K<vM^{i516HYdrdtw3pN9xBQ z?4MYE{+%Y~Bza(QPJ&k#_LSR-K$?KD!uoEdO43`LSDtZJ2BJ){FP7Yof-_p!38bvZ zg_UU@eCcw!<6m_W7+hVvpfFI-KLi1~mRWeE`~}sVtwG@xUWggK%XS)PFtLQ*({XE_ z&)-h&LqR{kWkOOZwfzq|3TUmSY`VQ9LjMNJyCO=vP`%Y&-CJSL?Xl3X&#hJeC{K(d zHF>n9ltS%AvphuDfR$d&!JVi+yF^b<w+q)PFRcVgp<IW3J*-6$uOcD!JJWh-iHOXh zBtC{Xz0J++MN@-9`N`4-Fi&~zy<Yx&uK7ywSW@*$*jA&=VqBIy`W0p@{j&V_tH>?p z`&RzUTLHRr`7QFB#zW%c((5HA2(se6af}8$^Jq6j7)vAC#gycjdE<7oNtY2zJoViB z2P1iAZl301`@NeIH>(+)?g!~KANQ+U|Dvs)uj~WMPJoO7Ct2DpK)RDKYJh2uO@gZD z`mKGs^mUZ^g>(^1UM{TItggdTyHpR;flF>w&`K|ll)=g<FK?8o%;~R~CW7IPI?dM| z7VZ@I$@%rPvbM16wlyC3e_H=oble}qL84#lGBig<#q70r!zBUTt-`#wpNpn>XUTov zW4;dTD?K|@!~ec)2et!b%)uKmr2+3l(iweC>396?0^#_V?07jN13@}ZF^y+0$#Nu? z$0b{6yeTLGDiC&lmg5%<0}%6}p7Lt&ZTJs(P34%Z!6&B=Cw_Rbib-UKdt`?R6mt6y zUCC@~rr4jsBzi6A`kaci&3E0&c<QeOHp0U_5BtTIUDmHa5}707IKH6-W;@=ebMg0_ zQX{iAMJcWSw^X?ctqt$1xbZ5Ed(+vGM8BR<n=|F`bvU;8QmGQ{BVAM`7*cBfjptYs z-1^pj{A4tRuvF7BLDzbXhGqLH!C7zOib)1DT&WBDPQnK+=^!AfsVQ4J37csQEjCsH z7g4_BPP1&|%@cHTOPosK^=d;qoui$N@FTM01`&ArQN6+(SNL1zmL+#9HY5j^D+4|a z|FQaHM3+qJHcwvKfDF-ps(yn@rrlBt%M?CU03L<}NbMM|i`GHWxv-FwR&%wbGAO-E zq5C#-3_-CRgk5<Ef#_vD#ICbTTTs?;(IXn?`gMGIB_yh%&T%W>MpY^BFtUzS*EOIJ z8DB585?NC{vFPH(-m_SdcjB0tM6e)+-)5}JC{#hXTlQ`&XIEe;+6qjfow>wXB=dsA zjr(T{4BY<d`L*XR4^HXUD{pVq`#F^7=%P)&Yt$Oh5401?soKNJjs(}C8SZ#D79hrd z@o&qM|0Qq}+!8^;l3TMTy&uBZ5$1Ei7&&PB3?pG6bEmv7c_q|C+;g_I|4W7Wi8>O6 zWJ=K-v~2|^zspmGNLhr;=?=LX2HJ9*3$%v>niz8f)Ww&ggwR~O&0p9HGnfwDl15Yq zD_TsBG8s5SOxNm&03Pl9mufFGy;QMyq%YK;HR>-V!Rcb`Dfz7CVx<-CmKi=J)qE|4 z;0k+S_j|aLMKAxBZhlg;#NxVS@kX2NLq<K>riX8jwc&F9Q})P6?p2{_Mv`E<C;ko4 z&S3G0K9o~KWc}686&YownbCal++DpK?UIDTOgbR|w+e<e@cCo&vuFP0g3?2PbNWvA zKj6jl;9jcnt=pKF^_BR~H90gFxA^qF+1V1!50*RmSRwvXXbLZEA@=ZTb1$_oYx_P9 z(gJAZq!xN-6m+l|5mPGEjedeQ(?X{JY5guuu)PDBd|T8PGu>It7B|^wpJhHZ8|gh= zw{I7W<~LN8@@S%)?!hguuRf0Zm*|e~FK->X-WJx7NM3z6QSPKKW-kY+EDTE^j@3&_ zcmea%-+Qn)!&v`nxK%eMCIW>RR_4<>@8+gCw;sW>fCyqxk)4^r;CgSMq?|IK?dMYR z#4Jkwbr1}#JT1T*^+46x7_%PcS=;=bTbVikM6BRybd{_7DY6-(#>HJ8bX|u0c)aa| zB*e9^3?d9hnL|?_Iaf6wlgozxKAd2}2=H}tz*#)u$x6Zk*NG>vb+k9;QJIlmPtT97 zAeLntm{)z{X$&Hj;e&mdQW`K-w;sE|MGFG=soqU=P}_^Hf;OOpCW|_hTKx_RQJ;wQ zL7m|6=mf!Eb;uq0?oP46ZtC{+^=SpQ2l<MBSlY5A`&%UI3KLNz2>(j%ljLV*X{`6! zmSBRcjQl7$xe<-o(M6kd*}~&wkEQ4eQXjn_J?eY|W0jYuGJ!%H3k5)r&A_4Z<bPI? z?^{@tBCt&6>`yc1vS!?j6#k#ZA@#-U^`uJK(}6y7205%{(lvB<>zDIa45|9nx82+1 z`8qfCtR2qjP=9SrYxMOrv%BsLPcHiX+edEb3J>K(BVqSW&mo!+qPhh5j8i6tjfW?e z*ZvesAx%IZ)uOMNwZ=vHCuPBQ97W=~Y>c&deT#9TpDJTN{(|ODN(9Rq2H`e-hkmJ6 zrmYX<))S-UYGnDn^A;}t4~~p7iR?+r<W-QUn=Du>={PClWFmaEsgEo-mJCNDV%xXm z5>-#uu5od%RfGj0<1M*O@WQRgw)A^P`{1#No;A?(K$(x-+}**vC*FQ}r%ryJaOA#Z zQHstcRXTZ)tA5nsr&2AIq3`~HvDe-8fJbvU04JmMFw*@igf)+;ti5Ac;0aln1)~1B zyv}Fu#CZ6Oydy{m=dQ*lUE-Q`pBFjWV}?7`9yy+i@kyUJ4fbBR%WAm=0yMGYZ;2+d z8U|##L+xa7P6X`w*qNd&wL-BqJNa_M=kiD^x^#IKN4row={HY6KBbI~TWw>*Q62L) zobvm&3g{aigmq~dI?MS=KQ&557}%3rHIK>8q{glu7D<%KDm&g{5)BQVZlgqnw+Sw~ zQFrQdB+Bxw_ND)@>J#IQEBcIlEWiXTYXimocdoMf*{zCM^8D+z1va%Q^jM`{w~SfO zmNoT?S^swtInDLiIYWHd?RbY^fuH4HvD)?Zom-@I^Yx32T<Nh`aHi?6yYNO~S8N}} znlZAVk6%HAw>US3+sUf`bX{#2U`y^v2!0$bGQ(&g7^mgxe&KV?cAnTY(E_k1Dp%G} zU54ilYPeI6Zm6!jhHslkcx~@<K`N-n6)z#tm~{Lj(sj~mQ58n4x9?7Q3C?F;Q^c%- zJ%X0|q6hs!DKFb-MWf{8q#K`f*d+*P*mBn<laH=BU3|Z2k!33@NT)n}uf4o&zNV6H z3KR7HY64Q44Ks+y^y+Mb>;tQSIlnH{?(f+mu9G<@QvZyWw~zBe+Z6x0oBA6byE4(f zC$}}jWnV4Hct(g~*L4UgQv727sDgZ6E!uCrLkV-9HyW#_>DRU-BSQmY7N7E9<xDl3 zwY#&ovp$c&3C`eHP5c@!Bi#rOm=hSlmlNe!vsIswa7v~ruPfD6%nWS4JeUX5;X~r< z$N78hgi$q*<{6oqt1cQuRY>aqEG=DOw9c$~$V;N-F0kq--dX!$=u^8j?rvV7SI2dg ztYQq;EdiTSF7-)&FA6CUtzbtw92u`AcJCLE==Tg(@9{d2s4ETrQR26Pi1vE#qB-jb z9$OH(;lF_D(6*}3uK>|h)|hc<aTEb2!e;NTmA|v=^yU<-=574Y@xZjnN|f}FDDE;c z$wvCA2I(An=hgW-8}+jMs?RNLZ?pf{Y=bS<k4is!NFEDr2Jz0($HWKNs~gW}+@{`s z^mzjcmmevMh>HJ!cTVSTI_eMsWptfwxI9(DU5B@2SE6+19ssDd(XU)s-FGm=RsFEB z+<vnc$%TH3D}AjSx0^axfTYLkQCA5Mp!1%}R>Q^n$%M3F9hR(J?&L{NmhHcafLK*S zvI#{LW^?xnQKQVp#AVeRx`CO!b&wCg2)V$i&UO|=+0BHk`O|4oY06Ty{d${BTQ1+C z%sv0o%mPy^9~d6T{Q6Z0>VY<1hawHZ?a3Rxk{I>J^TL$BE4_hCNA|G}BkTbXBVv2e zCl$YhzkDu8^vBohZz#pAFh=#utJ4SkuMm8F!Vah;@wO}la#zU6TvG2J_?WUZ-KlOC zz11ki6b4_iX>A-mFwY^~WkiL`Y*F=T4rg4E3H0LqAc2CYUk^Cko_p4dc&sh-dR?+D zFQ=fR%|5SPtfE-Re5RQJh-ugq4iQ&95YO-0W{(WCl;w6gS7}pjtsCSd%{;wouf}Q2 zqyKuZD(;{no?`l7UH_Geavo;AvJ&*x#TZW|drdmJU;HuYI?uZOw%o2pb{pk<VX4!5 zo>h9w0_cSIqT4-gNJ(Be*0R}-ceNrDdsq2L<@?qo-3<>+iY>L9Jj717mMy3synQ8T zpOqEj^nPfWYQ=2`U2nBGC$)B_65Wm}dv+_E#sy~>CBFZ3^?9`qb06|&?8{6*_dL1P z_4hnU0YL!=?Z}X*s;oYxSRSZ;IxXL!QGnJo0IhTMp$UFX^Zn!Sp}*I2c;|bT$KcL8 zSR3xvtXjiTn1pv%@7?o7s{im&=x{6XzT|Vu%r^Lgw!W57F9A<3nzgDAtKj7Nn>H0u z>~_fuGc-j~-o|N!S<U6Dd25?p&=2z>OZ@}Tug00?!$zHQzq40p1hTgjnakK(70C^N zm*_eW0R}<B9CAJOJlotJv|db2`10m?Rk>_xuSGWW>szx~8XLITZ=i6IM%nmk8xgI? z!b<7KN`xp+WIZR(qvQ*sTt?xW&)hTWam!ZB#Lw(d^No|$Mw{}lJr#G(#OT0ygM-dO z1W43sv4X#SU?bm|(fSv}w*v;9M)W9P&2iI;(gEWwMPWZh{hNpKK1JZTcThsKuLH(M zy23tet87Ip%S{^#EgqX^(4%IG`#FdLk4=u)zw($c{L`9}r@g}(v8fd~FIbXzRT8Ju z$SUmIpeixA(|om&h1lt=Bzf&O`BIyhz2U1Iua=Jc`I4v>UuBPi4_CY)J$Rf3yhNuN z_M`dqg`F);b=OV9FX^VLgxrlEbIjIW8p~z9og4%~=t-CaiHJzMTJ1ar!Qa~9^EC#$ zY825==cXsq?yYLN;s);jWrv;D6rx}6paq-p<4Y#I?Qq7#1U$8mH9RUK)4RqaxSS74 zvL)L=&J*Lv<*pvy`PX7sdt&4OI_2r{8korf(xoH*6)VDfw8pwpl^H3{*m_o?%@Gwc zLWtlqplY9w%*?<y?e?T<Uur#b`z9067;BmX?+MDFTV$UUz{E=wz%RZkyqtNH=?LPX z3~LO@n_r=3o4RgO@vo_&{bN95*vx49)~2jfg7k%Cd_AK&wS)N&2`LT`d>h59yhov~ zvePst+FwbC@xY9Jm@0=0{l1u?aKnJ=Z>z71`^)l6l!;i9cFtc3e=j`4Mx6g;*0`#{ zt?PZk&iO7Aevfj@i}LA4K{-i$eb9B{lZE1X_xCOS%{d;n3?cFU<M0BSFX0KxO4q7W z>ZgUwskBj<VfZJ7mcVhjHU(ElYK;*sqYuMr;cjva?a`w0F1?qF)V^=;ctJQ?;nGZQ zDrJd!l8tIkOozx5O`0b8&pRM>B;-TnW@)fEg!u*g^_vF$uQ!4ogF&@JOIHCRc-VZ& z8`z{YBKezqmhXj#CyOf@-u~Iy!HNC~pMTAl)0|9dV4Eu%$pY$gD@WWMO&%5=WUiWk z=a@QVX`s&;)=!uy)J_E7AOr?Xe){!5ys^NUvX?&p&2k&C*d*(nKTbMA;pN5bA9W5d z@1_7G+$vMJNX*ZV!u~T_(}8K8WH^RF>M%6Rf&|xI`|C&^oJn6nAdx#3`c2r7u8vr| zhbW&CN#09yWWa+v0G+n?NVCMpT_DTL_?=WZ5qAzQr~7KqxN2vgS_ymkerlQaj0fa0 z#qux9PMN=iw9^m8bJHs@+X$RAOqJ`%*P`dI2I0(*FtG`&ES`B6E@B+a`?WtUGCF8y z(XO`6IugFj`kX2;y=k9T*0e#NniCh5)rx0X-Voep0Kz>ohSytdw>uN_`<5KzS=c&! z+e<4^^jIBKybME{%p-0V++s=ikWJ&M)9j9r_T8@=P4V>XB)){>-I{PNWY2IHeS7Ma zDtPJ}13}_^&50WN^N+V>ze4RBO9Q+G(w~x*9Xh^_@VZVdz`i07a6+;<LRJWP62{dG zFn&hE1|TN`h{`rwCh^aEMwc!8-<X_<5U!YUe<$*4l7{IBpfadON4Kcx&At5j&fX$) zO*rP7W#*9g%vO?*gbOlKsH()sGyW;oRO9Efn^Rkf!P$e-7n#kRPy2s6#zk(q|LYis zv@KAcYw@1{%f~OgylKwtv86QbeL?-041@rT)C&pyIg}7SA8<rGv*xITZ~sF8bS>UG z<gB=DE>#b>#V!5#rDOW>TB>IA>0)C@g#1T?W8=Tr%^&{(`y=X2f!m-Fbp#A<l(r{H z{WW2QS1yVO3<y>Vp+E@BOO?iI=D07(e*IlHzW$rC8|P7h%y(!9Vu?pR<bQzDSaG4d zp{U2F?l(039)#n#ojCl5+N0TZA?AuB<Bs3WaW#&wY!|`UYsx}SPv&e(lN7GSc`8H5 z(%JZy>`o4L`ng*RWN^$`lEv^b)&XICtZ=OaOwoiZ{LB6Rwp|85KU11sbRn9~P=wcT ze_vq~=4mXRr$nAD+8a-XKOTUy#cXIP;KdCo@%y4&3&YjHwWGM>zBZ`cBf*6I4*eD9 z$0b00;>Y%;d&xI9c#&JV9aKE=C0BeztjQ^eAm<;<xV*Ws-&fzjs9eOe^|53-$+=~! z?-4LbJsD#;n<V0TEFTa%ozZX*Mr+ZgF8G$H)EQ~g-%T3gbDrbFkBNbsUwTMw^}9ZE z3C8^Yc9iFcPgTRM<-c2`2I@s(gofjB)<4zAT``BCXNb5n$g?7(U~um4`(`_fAb5m0 zGJq8^wZ>V&7NDG1{i`^TQB29O5AFS}_9LJenkdtlKX-b8;M<u=!F^a@eoMRT#Yz$* zO}pzy93IK;BUO?7DLG&UWwTgkx7{4wFdojX!o>z@+*m*M#jFPgoA%n6BFr$;lq~&# znP=XBSsF7Pqdy~Q5Ld~CJO$wcLj?Q!;=Nh?5gvl}75xoV<flPLl8++AXdo$u7}SsL z`u&YHH~1Zug2Z6&N;19~rkDhc1fUN#=J1ZMf;qlogqwoK3k6C-|5AggTh?!>8^_xN ziMJ@rO+4iCRG?L3PS|P#qjhR(TZN*f+!w=+`hA6bJ_C6NLBVm<E~Z@#4<dX`fG24d zBcA@zH7E}ul!_p-q&K$WGwf2L_)t%obuuIBa0M3qm`dTmK(C)b17d^-@eSQOVbI)A zQ)q{&UD*&!9$@7gFw*#4P`Mz#-YTFY{%Ik}0n<BTO8671DE7Q{LLhRKwzdeyBPSf? zJ$mreT?S+*Ma?gIf>a{}&VGnA&wb+&;|0)9c4DFZISNE1Y&Yw5xT!f7+NV4k=vq_I z_}_k!=mAV8bNKv|n7qEwoboR@!ZJvOC>Jz&*Ap3@Q-G&zAT;C-R#k*Um+$HGjwzMY zXGwFH5qk`ODv?%U!U`x|%81mm!4czePBv2nrSE+HWuID|@6`RYzWt+YB~kvwJTz(L zUdjKcpD<aNX6zcHRx{SXdZy7*pOTGjz85U}P@ngmOdj5#di2I<|7~*C63$vD8?y15 z4P<)hiwXoIAQGFaeH@m$T=IRUNlrIYycb=r>EXTH-UIfJ{MSF<hMLcXWePH7=X$vu zBJ$MNK}-fk!U_u>0#H#btRbIL6>h8fu8==%vHkLjk;YA`;P01b{LGAxex=h_7KLHq z6yjfkI->|%mR;4$I7ItV;CwYC`wmZ>`cX=ES_Qmj$=`f5=?XUd>NHq$Ow^hB@9OJn zjG|g-(SpY2A9=GjEFEP-Sl+)u$#F|GG!zI>gZ|S_sJM$p2RQ4!?@e$&i)ZYJ!U%=> z+cv{BolT*5mSA?ir87=pt9pp)Oa!B@CSrU$+?;fpjG9yDno>vUI3<6~|GP-VjLJZf z0oeHu;>1goK#1~Y!e=DGBQFyTl>d$_X+l`fjG79$yWP>Y`pe9ZRD;>9Nk;38$<MjO ziuP}zSdGL#$gEA`redsR>4VdCs6|Sj$E+F=e_^Ez<Vwgt)oe$~j}c`2r8{A-^xk~* z-Bp#eMY_ci!`3M~g3Tn=J5KL-tlkVQ8W13=x4QPC&9PwRahr`MkHokZo=gIb*)QaA z3BV@hR2us1<j;PJSVK6=wdp*bGJ3)BLj68_*VY!Qu$Y%fhdzuXNl$W3I5U-*Sv&7` zSf#wI$zCNlxz!n{r0?@BtMsytT~Wz;5b27~S`TXw{gkPy@)FuNM6Xa~mGrv!FTfY7 z8}hcJvXeCu`<zGoLp+cV^LcmA9HVqrjOjM^F>2rxYuhZ`$A;`vOJjXdf-)Ce2G%|M zQm^G>yTMhRboPYhsxf*H(|VCpO3MQ^{SRIoSIE{vQg-XJW<>75(WyMn#(hp#r-Wr+ zCh@R`mU2q`;EQl*cGJ7WMnmqUpTJ4s-lxG{{t(lU$0TY}<AfifXrqaGNbU?vh2dWy zbVFlDrxG^TB%=m?JK0|AMdL};QVtzS$>U~>G@Pmmnq*UQ*(>C+ufFMuAl>Xv7eRZw z*rbC0wx0%iDgw@9M{7Aoii|qU44KO@9$7+*?liDKD0Wh0CipJ%qdnmD0$|UrVHJlb z^^bAatwpqqU9Cr^J&$oZ@AI*tg^58^2p{3<H#_Y4D#!%Zbq*MvGCMs=6?+;Q$T+}V z5F)bes4w#2<{#vA-5N>w=0Ol?NFVs0A)07HS?5B5yI37Ua%hUn`BFLt|I6@Mf<%>S zH4=6Eeu5w2+m1AHdqJ`dVAR30ryZ=A?~BXN(1fCXcxjedU!9{xyaj%?jg~g3URWu6 z{sbY0INR_X9D5oXBdSjOd+w(%-DVYk`ncwQcsDmqqDkwHU-_kQ{`^MIVty}0-=(my zRiG+gVl!rlw8!&O*dJXa<MJ!ss#N^{0JT6$zxl&CR8oXK>`$KTPpxY24TpcTe(Bp_ z{2AZNj>e0h5l-z)f`+3p%Nk<0GUMA;(k1*=%|u@Gl~z5$UerY?YVq@M>djS~@O$?Q zl)aqFCK$!vN@D)#0eNnED<ZZ0f?w87FUa@C{%RB-P(BKd8qqzh4=!(S)iNN&okx@~ zP|Lvl08KaOYk(+%@`Wj*1?PXvF9RxwN=nVE426{9i#UAPzwiNTH^UL8bAlIryhGt7 zgLWtm9yoaTLBWGOtKYFQN_I7424=@jGahKEAx2d2)&E^87yk3C<+n8Hb8n$MEEotK zw5E^Zb~IjiGuh^w%P4UfB}vjQLPSUS{nTEsd@3vR;2aQjk+GkL<~V<hr#$Ku%VPh_ zD8<G-@}wz)jU|j+P-{<m<5iRn4xx6IaaL(6N8cgviE}QZ6w21_TpwM<-t_)@)0rzM zu{(X$sW&>l&Al1B&_3f+v)=m%Q>F0FH`00TNK|NaH+lbNF<sH}4;2q$M&Je*fzqek z$qGu2)<86lNU?##E`)z)>p|>s1tnyiB8Q<sY)M$2$k<vt3>z+><fPLt`UbJPkS-f> zb^RnHQVaSYx{BQdb`uT*)?j#KPpwHR60-~3xOh1iFVCxgy>t_}c+zY-M%4|V$^4@` zpNre43AC@8PM~Kow3?s9E#<_(Z&5l12oBIWIRAtWbfbwpd-H#<l<5dT*DDFxO{NQf zkZMr}=X3rrIG+3Y!Tm1g3)$r!f4sP#O`q=W#m#iOm@j7Tqj(%meu<MI)f1O*hGXu` zr+dh-ZOy|V>KkdN+sOXo?`d`)(O}}<$xuW(-y)%O5|So67tb4iqeRA6RGJY@isY$` zA|ZAzxNcIK(@B4>o1}8)318bTCDA-uvmR)Lq9Z*nav%?-&{nrSZ^FE(;^~0=1M^@4 zJ4rDc(5-E8io~i(NSf?Tus7kCVMLQ6c`l>9ioFT;CfJ*J&K)uD@meg3SCQSCGmd6& zV)Z$pG^sFC_s*NhN|H#2OmM-ZIJ*_$3|ugY3nuwRE~tNPm+LP+%l+45r>M*_U6@%* zH9|~}-GL?ox7oU0Qn74zR!6iQz!F971mS$(a1@O;-<z7(K{)!XTvG7)vua7f=Ugl) zW3eQ&b~Hy4fdxj-aA_Guj*$7*n&Q!|b1asGf=xys7=d5}A|!sW)9@OchFvC0n4?L} zf*{wyJX(LVAZRpKN{VAiWLS|k+}`PiS#!C#73N!OlS2FUVe9L3fP{3lMYAWWmD(S_ z|Mt-VNathm>~~j8+z0Rdn{KPt>B}(|jzx40b1?UhgNc-DpZ8qg_LWsm)L7iV*9_oJ zA`vRf@sGy8k|RK5k)1=!P7qK)<JSBH0*W|3I=+7~UqGM{<OP}mLEquiy$96F*=XU3 zp}TMusnQ)y=89*jV2aP)_n^cKlrbrMgC|tqs=xYIJ<g^J&u3^pOozb0oC|N_-i*EB zJ5d2f<;=T->}YQ`7enuMGy%FLfEjXI5$?<jo*di*{`NEh8YcWJpA5gccknLoFrSFI zxc7h5mHq2WWyiu>!-L>4uBx*F9MO67OyQ2dy5HsRi>DcUr~mb4(|1^&nHieVz8Lx( z<X(prg$%cK21vTOgPwrDXCgy0-Mm3}R~r1J<_)C!gfpN8YQxX<<@;N&Qf)QB)o8>2 zv|q6A@i;&352W7vqseH|m$R|&^b2p!h(CX9-`$WqmpTLM1an$kg4cw<uUXC#s|~KS zIQfXKNzKZPuIPP#w0o_NCyN`<P_n*z#9t@h9)A^aKl5(izxjt+UH&46Y)14OOrMIH zLv{F<5O!QSIbc^5Hk%0Y=_<txKri)8*0)O2t{&~u=GAtswn_YSyZtPBKqUBjt%-lC zr~ZV0%_MrKNaV#4_Rg~MP3IH-^2zfdi|9cHLTyW7z5#yZy7K<exG3~HVPR63>-q=T zWL|plGXF5Tb8kiq(Ey7-o50R=QK^Z7==_~j=qqjB^YfXn1i!3^@b_$bI53Cu8cGno zNcC(7=RTze?{;&FX#Lr3Ynzb%K}LU7Y_x~aHnyP_xX$iO{Q2Y#e+2=Fj(6+Lya}W{ z`K36?+IQKPM7IvuTDSzH9mc<B)5GD5UlLLX+YtVBrPIU0*M83^eDjIr3)+vQ5Vj%w zcCFIJ!q<M!Cj6rpZ<M7FL=l_d={Qec=)C=*QovHzKgcHb;}^f-NGX8tbM=3;czjxH zZnKw<ah9bYe~?Z3C;K6NXXDbBR`#gq^n0D_23T7BYc|Q(Ui@BvR4$esF>@jJ+mFBA ziqWmC!I<ZsQ)xGFyO5u>-?K@+_~Kp7%0;yz+TLvR2+@~2-rSy}fF1v|(Fb|t&zYo7 zqObYj3_lLyNdc%bhKiv;P2qnrQ2A0ZR5+nm*k8BN>oqSwbg47@5G;+5>7RcOK)rv! zsZ77$pN|K#Ga>&K5<~q3fvVdF($CKeKA$ss-Lyb@bfpE59$k}q5=2*|1=6DnEP?ds zx_p83s+u&xF&Va($q?z^=O+rD-e|bOwUfAZQeL%_{GG4AduR8<+rod)YVDC1=%;V- zQPRq@d;bhwES=uh&kOa0)BE9QZg+eyM+XP@tB>t>;?UloFY&QGvC+MZWBYVdCh^#w zI!M&d>YcNi(qQfCve&t4`6P}`<3qFCYjjMW2|hlwAQa-u*f-ffPNA;fs`YvPh7Xn5 zIbr7{FXse+yh^QS<pqCm7sig{_M|Lej?T}6PJjgDjO{tP$SIWx2S5W7VxLQP0yOKB zxPTyh67NIZopJ%SGTXzTA>h*-sFP3!Q~nN&Oi7!ZPoHFYOrOXCf4cJ~fb9Y4Q~q)0 z{m;{A2G@k24ugTW@-J}6{Cy45BocO@A-nwA?KEpVHtUqo(y@O%gKJxg5?v4KqJje5 z`M?F``*UE1zn&dw2|170aEA^wX^gSx&^@equdv|Y|A;w**Bp8X{<dbw0}TdcQuo(Z z9l8Vrk;DnpU1yYHqcglL++zJgc8vGWF<#bi2G@AA+&^}W*)?X@7~Iq9nb{y|1_OCM zK1a`mG?_0!MRI@t6UbGG;WkJ78l1JF92#uO><l-0e795UHd^>rW~+!UIVjsP2#6Bj z6uFG>p|R6|UdB9{5_Z=cLqd|D!4&wtp+_7#xXSHS&hR_n*8D2EzI!ZtXoo{W9~g82 z`!bBWki@^geY@`$flqVQxpo;=ft@yy_o17K$PuHqek^}ebQfz!%rQ@SO~dH^_^X;{ z8yalBwWeS+nxZ9RZW=Tbz;ECSd$m&*Q(dED$)r<MrHb%6cFFeCC5siD!6Vyj765x> z?2)lY_9{HGBTJ9WlKO1+v9!$nZFywQw{M9)S(F-95X!|0ow-8trG8C4aQvKP*RRsK zkOfxcVCiC>ulD%r^^P3;-0#SaX5)LAy(pxV&4bwlR*qdv^gh5OGQPj&*P-!S!${9Z zv-u)Fh!lN=9fr8gJ~p+$p_459x0~wQAjGXX^igO<`EYpNqxi%+v{D%NF`=M5FmBN1 z371hQ1Q~z-;;dy2X9=`6ns~v176)1!XuXO+>(DaLDw*9R4H!i(A~72K5{aWVqm4$} zLf`3wC7W0&eW;Wxuf*uAlK$<+i9h=hD`BB#KC#fPd+b&d78@@G<dJ4@t4ka7scjwV z%oRLHe_AWZVc|||*ReOff8O+xf-^YNn=RDB&NP2J)A>5nmQIyr-PROV1UX^EXl&II zN0SboEI(8TXd*w1PvX<sEx%`(7?1HSBp165KbHE(UQGn6uavp-qh+3f!^43LBJqT$ zJ{-tUIR;d~O4aZrHnifd9{b=$pE;D#T&pOOq1+`bqBSF#5`O(?&(d^;o?sqKybfs0 zyf=SqJ0iNf6hitsY-IeR)wHvSU$pBue%ZhHWl6zV;ukyis%7m}j$b%_+28nOlfJa7 z;8}o-!?1d-;_V2IWX{7Tc4kXV!Pw>~NweE1rc%;}qI$a`eU52@Ox-Z)K+8+u!x^Xr z*wZ^b5MY6IR<@h$Aft8T?kE!qe~HWyjpl!B#SsnRKoKECma~}+Ml^0A#m0yN8nrQ| zE~%~uG|pMCQ>itCDvo2Q&!-iSwuo}H>p05UzbI!(!5N~Q%@!5sD2Jn*e4`v}uVM%b zn-pYp_oEPwN-uqu>?=&UzF0bT)*MNVUFuzSsdG_rkYj-FmAIHTpBi##^*)FD>`{Mf zhCTAADPxVdKje~T@u&&x00&Lz3CRjWgAXl_2&4>>yM^eUG^&!L_x`Z(n)M6WZQeh( zd0E35{ASD4NIo5q75VDo>u9*5ne+L`8xK=<M3tRNYkmp%>S!@H??n2x6<u)Q&b1!1 z@rw}`&}~gMR298b_kMJ{fO>fJl^PksimuGPj=O#37G{0COxB0pu?stN=?Yhhmjx>X zHGft*?QzREOQ$`)ke&AZblS0oGdS&=Z6?TIH-p`If!&P3?lK0OYtHGeIqmzwYj~Yb zyS46KV}Ty&sm6{(<g`=H0pTKN0M|F{v;)N`70YPZX;0gmm~`4@am%>%aO%pZ_%e3b z_s?P1^_#(6-)skJcGuZm&&yrUKGk1r8h>$PqMA0!=0AR)b!ZX{h3Oq3E1(~hOS1R6 ztc)&yJ%C^2uNZ@}qo*L7g=HNXZ)&T^%Xn|_SloQ;rPCq#{Z-{8i=OtRz1CXPTVQP3 zb!@Ts&tfkrID^S967_iSxhgs~;A3$<7GKKGSlalaGyA-c#p@T9eyx4oFa8Y%<$v{b zScI<)M!9lPt^DX}6xZEqL21Crq5*Iyus305aG;%r$VDOcC9_SY4nNKJ)+RD~6Zqb{ zqXED{U=3u_K&hM}`v!e)qL^JS8Zh5`l?I%hSOb2o=&TwzDj(Uc0Rn2yMFm8GYf1-1 zZRlOtm_R9OEQ{kZ(Fl}~G6g#l!+!}C;y4GbIrC^Mo$SU!fpQ^h7z?LRwrnnTK#<F| zVkt<_mIi~kJEa&|EM+&0HoVCiYr}EMX<C3Gxl)CIC_R`bJY~4eqgQDG@Qq#AqPp$1 zmcv2WG5ai(SYbJsWkp>;jLv17f-k=#4ivn}I;-KZ><}q0#O4pHfe<Wl0e>+%H#O|W zYN%B%*D(%9Wyj8sQjJZlJg-ga0lxi?EC+a#b(X_%*|Bq-6zO!Q^spQ2p;@f0vmQ>$ zjyay9HhXCuh#5}oOV;iZaevBGg9LVDO~9M1vnEc<&Z*T5mnLG9x}fjBN*BAaE@X+0 zH5Nv(P%c`ERw~2p5@K{N7JplnDA|oTLcYox>!RqCi^Lv6>^LhD6sT>DcmSb_6%U|R zhSJAwER7HC=2dnmun1_ZB^+zNGVIi$Y?65~QR<K~*oo*a=yj157A(TqyCpQcX>BO0 zVW$lxgq=`F_oBAO(g5y*U99c5O*9KDpRH=u6R5=jLilmYK<p3gh<`ewe^AHHc&|n` zvF2_%x3`1AV3_QQp@*0U6K~{rgTldq`2m_r&;t|@)%Iqi$9r$)j)U{O+H|r=(YYQ< zj(u!)Mfc;?b*nC_4N+}XF8>H?k2z<ptJ)u;*%Q@DE&3D{SLn8CoxU7nK_7{(Vd}{K zQAdz+?P(*0g54I$w0{w%jr<_mh}`q~e>DD;yt|`Q4Cm02=6MVMnV&#}H^)cEH|7hl z!9lM?UZ7bUbit>4Zz8_VMhj02-GwU#U}xOXWUlJXj;Djq;<NW%d~wH5o|xW>!Z&zA z^{x7=f7Rn`y6^@Ipxg>c6&0ho@Dzu1_)b*DV<;(nH<~ZJ*?(LNz1z_QYG;flLT)R< zoq55NgL}Z=o+gXY82*({hF{$~co%rsZ2EL}uO6y4r0Yv%$HH5~gWxglP`=>e9$p~) zgK)=R-S6`E#nTMF)Bk$2X+CV#XkQF{6>_h`ib7W2+E+<8chD1(=M5U1Zr-2^lm<Vk zc>~Sa!x_*5wSVE~`fOm0v8w&@5&0gE^W*+-IO~rlqeWlN#lF)oyg4&|ynR<gR&r5H z-6#*{(tYsoca6D9Sml!F7F=0@Z|BWZl(a$De3FHA%ums_jQA<N?~it`)$!)uB5gq% z^lJGs_bTEZijTj4^A8Zxpg&m5#&6DkihhQ2&lQmVl7Dd^&wu|uhsgBE7NfItKRTbx zdeO9BjjFd7zNu*38~{?gbG_cFiNN)TwkrNkiXUr7w~zMyNZP%x)BenzeC`i!??B-A z*NigXsLQtvnS%_36p1v2x1+nKnX8OjXjAf({>OHw5BCMZ2R~($x!t+W4$gD<`r^+g z4}1*ie}6!n8=3Gt#oda;9sHD0+#4msZ)1wX*WZG;KZTRq{~?>OEeT#bIJZA-L)P_9 z<)Z)S&fEvNp@7@;)6ypyrT^l0b14SVmV)tA5E5?VLNmncno(WY&NJ~l8O8tNH+E9| z+Obc*;x9fEe=(!@U;IW-ieEd{%UArRXW}nq6Mw(usr(LZ{iOJ{bG&@TfACEF2N}h` zzk9#-&2kaH0xgvN4aFaSl2QEovG+?cjNI|)fBh&*iAcA^d3yND4mU1B#}ogWQ49NF z0zf3iw*vkxK|{R(fKVHWuOe|jSAhRXHXWp?h#4Up)w5l-10emk;sRjr4J+X0X*OS+ z^nYi=ud{G3LLX&Rfwp7DNT-HD##q;`szAv>oWSIFhrjv97hyb*`z|yb&1EHxe*Mo- z3-Kozm9QTcq3#Pt)c@SvCgjS4ga`Mre*-{XD1Yt4j4F8X=Ai41;k3>_-jf26w%`T| z?TaT_nbDmK<+Dn$3H;~Wmq(<G!Biss%71j=&$8)<$X2EJRXey(rPB`x?df5%B@NA{ zU!Q3RKFg?~7jH?@X$S<gS@m4id%@X(T06n78I`ghmIY+65tH(G|GhtW81@&V2XFec z0M|+#PY)g!urBC7X4A!?228$0SF8Q;UvP}`@Ze5{LW)d)%!K?!aWs6EiTenQ41Z6Y zH_xv&qOy9c)gM6Ec_VkO@aK#QdHIW@)e=e=8$8OC`_IrDyWjiw)A10bu78kG^e^7s zl3S7zi&_BkHz}TtOnJ$fkQPCnK14rfll#$&--80q#MqlfvKF}>IfN3HhYius8KwQ= zT_TgTb3I`QB`nVtqMtKL`^9hPCVy$?dY}+WSRN%rKWCKoi{HUb($*I2y5|SAkBxq( zao%ZkKlXdgi$<p)cW}d}*)&jj`Fr_u0p$rtNJ;nl|4+hn;g1<5txb!U=(+#DKgEU* zXhI41yZ6)CqTieVDFevm{f=V6t@vw3mAv@P{$eyAY+5Np&wK1YeuWc*Nq+z-EPawu z_%D9%uY+L3<MgXH8&1DYB2O~U-3J`@esKVMkN-n9NmpO|9^acmqQ_`*w^9D560wX; z{U0*Q`o-_^YD=kmH&1sPnbE`BkwnTsnIP%-myFVW@f&#kyeUyIIk#cjsZ^*u_YY|@ z8#Tyezq;&o{(tt~wYhC1Nq-yt{{9L?Pi$D;v1EdhEn7Y6IJ&m=u57t!^>}vY%wa$z zC}B+!Y=E?^`Ss_?EL;*)rNp90-5S~*w?q~SKxI}|=99ORV`!4UwoeWZzZ{%q`i%X{ z`KY0l<9|ACV6280CJCAsboyaXf&(>4yxm{-PL5An+kX+U6!#H~et%yi**j^qc&i+r z{Ca(xDBsSxPoBKg5=4oUB+Ktymi+qtld}4m2vfhGk2?ELUN0r;Ookv!{2e7C5M`3d zv~(PdC(DWL%FmfEr#q)#u5TYaQG5EU`KWXF<aJrOcaYD#lw+UPJMAPB@^2Nt&$-}F zUZJH0r`+?`ydq0kZ-4UgCY|p|+vK)m)u%q0Ywdl<dcBV@Ugh(}6&Ab`Mvz2V;{Q?z z0H<;rP%IKMvG(O3b1wUnKc9_{-Ikxqow?Y_p7x_o=r!(8@SxBHi+tg7<rhJQCw~w> z3o<<YJe%i1h9`dxKNK10DCTq<j&I4%=JJF2&Iqmc+|$82h<_Zk3aDm)3I@fgyxap~ zDYSU{86eMy7SBRVJ(GR$EcDn1p+(YdcmGJUvNvqY6(7uZUOfHO1Cmi3qPzdw7p3^k z^3RUN)8$#nt7k};XCb?uAzhw@QU2g`xlE?cm-2)8&X+gOLXMfF+lM@0N;+hQV)?<G zgn1T%>^TzVSy)K2XGoZ*pI$<$@*^NjDD0O@{$oB7_V!tbuwK-QGpZOb-Gdo1h8Fqx zau>op3la7V3A6d+qZCMlJx9Vk3pM$15Qa2ks>7c4zeARZzveq-HlKwsGsl;LHUuSq zynmjB7X66mLuop1DGAy9GT*85{>f`<YHpOb(tNqd#06gFH~D(MnRC6Lyp+0Oz1J7I z-lO0=M3uUfD%2If&$a5!<#t-Tk2H|aSPYLAqX<-4Bx?shqV_0>Vl*teYC~@tA^RAs zZu@vn0z7%)zw?hH?{l_9MH*%gnNsF|M4%O0a4@ND^%qN&CvR~)L!vzWjvmjEC{O;> zeqf>?ZpC({WFOCWrufhIOkw~0vX7Ld(B%0ZDNmLrPd|Y{6<$x5CeQakSr$$DBdS_X z1=py5m-uVG(_`n!pB~4b(f_hp^uKH@r2}TU&Qx|y{59vQKl$@vYSsNEuR0Zf&@5Md zzD`}ur(XbRYSo)dUUe#@S+4qg4Z51UPhQ4Pt@`>>R=wzAj5RNQpG&&@c{&=`UBX}Q zS{hzgk`K$C-%qtm_y_+NobcY!r=!!Oo#Uhb**QHr`SiEf`1t?)4gVaU?EROA0r@AQ zS$wgNe)^@ARn_uV{+6Yw{{_W=Alhw&Ep(D!Jo?w8_Tev!Ywo{${NZ7vF<<xi;>sO7 z{*2w@i)&`)i{gq|yT=z7IChUOu3K#P_}yOwacvt%Ys!8vo#K;A>B=Yz!)gzeb&7A; zJ(pUq_|;W;7gLqEB1TVlc8?FdvpDI7$+qux!hSy;1sznT+irNfC$0T|gO;fPcGeF% z-@LC!`==ka{YK+IaBltWwB?~rYji%`{%IVK_>+C_GU|>mwte{?dyPZLCFb?RC`76I zPw2cWAI$#x;<8<IBeGv0rJuOqBb-J~7yLcwPu!mA%SF^1qd$^<WrZr@;TFy3<fCO? zPvagsk)-1@`>RWu6lFY@COHHXfA%ca(UpZ1bMJJ<s4?U9(N~9F9bUpT9Itr|ZyQP* zel;zv5QatV<Eieyk8e(cZ{f8ss2xe%GZT29;&Hf@o0xRjV3Ll#v(Ovk(jm7lDaOXb z{*@Pi5fU%x_q}+0f!{z7F6x+i7ZeS47UHT=5}%{v^dRgmqWH@0F9p$ye_FJtLu6gO z%3c(!=Wn@DvQoYOLFq2)uk+h`T#7fNv!Op!ggsHFH|w+4Wexz1a%Uz{_dEtaM8k1x z#+%79k``p+ifY5j;0zal_?;`%icGy1AK|YYOa@-mMZvXBKkj@(>$DIa(ojtH!dtOW zYaF+KtT$h-W$bo(5tUWif2jQ$9N$;ObV*pUj@O+eR5jGR0h&6ZkxtJW#>pT6?~PFS z4OJb63uZ8m_dJ`VZ5HiLEVjPo#xx!K><=JXNC(9`o8v6!7Oz2~WxdJd$wxFusQ7Oy zpZP!V8A+q$d)SrlG-qP~vEB2&ona62U&y)3EvX3lw_9$;xnn@Pe{zZ_4`Uy#N&1#~ zUG5!4vO1TrYY0!s<tiy76YRS_$QBPnkPZTwq&BvDxpR~1Omq?i<6<miI7)k?M^#Kc zSto-@KNyn$=N&yxf?hA`c-W|CwAHCOBK5Pv{MoD!2?4e~Mt964;g7I08Ha1$UO!H0 z=Tb}$?g?2FCKxvGe}ep4nl_*ZU*!f_Bjl^}$5-a@@&jlTL|u*_4uj5xcb>$PkvX=Q z8N&2udKf$l<j<@84yMX*HUM%CH!(d=ldwBM-(P-yJk4spGWne$Suh(!3_UXmH+q3| z&HE6Y(;;V;H08(P3EOe6{Rze5`Bt#8Fl>y&F&cJ&j;FZMe`FlxO`m_JLt9MJ4f8>? znwK$@nAHw0@@V~s9UQS~8h0Z6(FLu>7x|)vcplo5^k|U4`ORrd@-wZ*z160-=55Lk zsM+ha-E;SyN!@kZbP%-9gOSt5^w+mG?H4GLZ@uRjn5~@j%HC8a*EZ+Z3+OjQw-MGA zm6VC~lVF$ze^Afjp_h*7I0ScxgAo`S%!$GH6V2eR%$b=Tr?0#ev;|{hd(d>?|1cTS z2DD7q^Ke9BmSH?x<%!q4Q{ISy?d~gQ(9FCGuq1C;(irp5ua`6n-L4z<f=PdT<6GqW z-NZjx9?MKsJ~_Yqn#W7@;xn&L7Cc3DTEU1x_XRxwf3#JzD)>DK@fBLHF8UmgQnV>- zpIwcxne6PQ@Pogx<ye(+!xfga9|xN1Y9Fof=LzZP;LS8d<y8p4ZkWPo#hOn>6mDPy zB-qBR%$j!y4mGv#NxRSV$rzkA_A)&(8w$w?t|EqJ&dNE8scNL=1q|0URyyapQc~&e z0t%1Nf5x7iiv!+l`ETUYl*)!8qTW3*4j^Imoez87AB*CGd|G!dD6f?3z924`!1}Np zf+bZoN$_^de=DD-yh7mvfJF*urQr+q&Ak{=u%9&=53m5a!ecggx4OaQ0Pj2;l4*r= z?Ti#MX+6@Z1CbpKN6<>~OXngOo`-BL;^?EXB0Ulzq>$tiV8K%xv?4o$@dSJ*MRf8) zH%sCH^dp?7{wo}p(LDqhPkmoWd^cv?Yta8O3|Jtu|4-u<v{mw<m|)$X1+)?u_&xl{ zXSXx1xWYbId7fD`CNVdo6B&wegMYCC)qW7Jj?+tQU-V-)x&EzLy&dwhmpDEIK?R10 z3uZc(em(?9f6A<21ExWrAj_0Y9GqF}3${pE#SQr+b2LcTw|s@z6`8(!_~zh{HI8*x zE!0j7O$#M0*UEev^(r<gEiY4Nl@9f4i5gJwfWSWT2#_m)tylwa(qO2T+oO2IIA!-| zudzKD7JqsSO`iY?ZPBzod%rGY{wwuPpqwTt^wQQ+e{kvmI^G}{wL57Wk8WQabv56) z;tiV}jR4g{zGMiuC$f)T1aZHFeY8@_U?Z#<;yIYs697O!JyA*mq@%^mzi6zH%NaN@ zh<Xk`C9C(%H>BTpy>;K?{;9x@y<Om^Ti$zvi=})Uno>-K-Q5j=v7r;4(e?2qSqEgc zRHn%he>o!*7#PO2J#yx+c}G2iUd6ckE6ej1@K(H-TtVRs0pJ>U0ca2!Z{2<xhcz2p z{(JisiU9Em(6F<C#$s+FM4w+{GxkRDyEEeS+JKUo@kVqcx#F*~uhP3DkoGHbT?r-s zV-kU%jIl}sPxeoVh6IJBMrzzPEshW40-b$cf1iN*+vZ)LV_uSL%MoJTsMj-w7J$<x zzp*p8-}JYd3MVM6)+A1IV>M;8R4s*KVjr!snafdi3du3X5o34`eh}LH8NV6N)qwn_ zgsZ-SGaG{q)ZY&hs4(AyBnpOTPy=mcjDnyD^&`CnS7ctE43~flD`#uI1t4A2B*J~t ze-Tg*Fg7z~=3yiLMrc4vjyQ@IA;=x#HU=KkgSUb(VUn>aV>CJP;br{o(fqWHNF=6H zVM}iChgknYd95Cj3YREEY`)!Uy6HfCNIp@<ay}+~w__5*iFo(nj!A!s$7IfCh!7dJ z&%o^VlTTx`&8?=Jdy<c`$I56?&--}re-W(-k3N1rK4|Yiy0ky;e5U1x2aq(OHSY_Y z)}cC#9YJR3tK#5c@$rSdc<@|iN){Agk~Ak+cc^1f<ftW`T>Qm}kPV_M&Z>c&z63cn z+P>Rry5)p1p8sf%r~4)@9Ss|Xw6LNpMywlY1vo5Gyb>Q*kU2?LF~@xP_)GwYf4Ix- ztR@D(^BW2vQc*m_6<7#kPT=@PlfQ|HZo>htwaixW@(-U|aCf0iU~5*WJiDSs$)6ue zUXXSkfZR=3YKWww_}2h=4UofcE5)kYDPprRE=EZk01qJYVY>tSKk5X1cdXnsNnmpp zZ>xA*#WyRDw_8V7&Ji*CQyI2xe<HLw<dZBqhyw4ANeDX>+TZykfFU>z0fj+lL*OWd zmgOs!<LCu$z62r#KicTljgVny@&IG-73)zEHS0Zu5ZZA74bE~S(WW;2U8vLvhC~>% zN{GfVak)UoIfnlpY4roHi*_;@w)^4meC!s6-F)L}xUUnsy;N%5bcfpse<tD}3CI@l z)D!`aj>BCbJ}{`NTdqPg+JKC)Ad`WTGIESL3*64*F3ULX$~}YcLDZ+9n>#qDgyNZL z2&M9^ocdBaiOZdpZ)`^Tks_tE+~fupH?a@ao~YBnezf19LSAtLl8wKyY|IjC=25(l zofYrwidyl0eu{TN+IbZ3f2Gz?sTJ>9@m{#%-G35_x1u)6gG8v(_R*CiM2yxIZ-|1& zp?EWq9=YPJczHAuX`13)sPrflZ=%)XSG;G?6~z`YzFxZ_c00i;O7Ja;ce&-3p?FJm z)D5!`Drcr3lzO*v=u6HQmp?we8`OorWCo!r-h$|KXTAHYE8cA`f2g(Y=cje&l$}HA zUT#_LTIsHp?u9Gemrnrewx0)DsG@!J?YaSncjwV`1>*g6X1#m<6J4k`MWoZ^oisN} zK(?=g23D)^vvz@-+NS0=-%b}7ovonO<5Jn|1RmVMjB-vdHvS8{-mH<>-Hx))e>;s$ zYK4Kq3Dq`nx5m>nf2S#hgyfT!_xYfO|9sr}w0Gd0d^-N`#o*mWMJUv6?bv@ZYPXN= zX%`n2(g~#-8|}_IH|5c^NAMvIDAok^x@QhHnYyyp!k?cOevQQQsN+funDh_{(Od_g zP>ssEBBfY_De+h2nl7y(C7k3+O*eU%2&R2AOJ2VizixMGe`+d#A4s*<&KzQPWq4&7 z#qcp|4z7A1DSIIrP}wk(j9FCjpturBBJe0y*IP+PVQ0&G`^KY64G1JfByw{VM;B*u zrI{m~zUT!h6_~`?GEPx2@Zl0RO|mVbiQHCkeao$8$a=41*Ss{c2Fh@S$(pXQDdX1R z-U?p(r6Dhue|%&TbAgR4*_NoA{|chzRL|+W`37Xh5dS@Xc~B~j;&j|brUPoA^(dXm zJ=_S{b8csm4~jYlu*{-`ioHh`nVk!?-HLr$5)y>D3=l|U>V9@&5c@o@^h!%rP&SJ> z>c%txB~?($Y<QkkfyvACoki#8*sn0UQEd-J2+0JXe-tE`eyB5=g~)_IP$PCN=Z?IP zD8;?}<IATO%K-e=+RuO6KR!lYQ-oAtgvz|}^Zada(k&U@6?q{y?%X*2lrmEq4hmDq zZ77s(XS|+^xXd8%#XaQv+Qq#XywQ9&i^LaKuqggaIzQ#niUO_`Rx}H(MyFSo6D?=v zG#p^^e+0jvFn*Kto}GIr?2VEsbrJiM*7je-LM&R9nqrRI`B})sytvYGn+Dc6evRWV z9>-6bsCD7Q?Hi7!gFnMbA~us&a6}$%w-2958OaR?lh9AGuUHFImZKc$iV5)v5$ajL zqSar#ugBhBz1`#2P5fsJL`(nXugF$3TEm`+e~3rIG?fg-4BJ_G(mqPTq44e+CZx1| z)EXaqCfHdjJA;7hwL-tiiZ7Sdn8^yl=eV3omvB5Unt3Dtv4|t3ccCF9;&7iYr=;0X za%EPSld4s4IaVs%xyG-QKOL5X>ZhU_9}KPRfuOV@^}CD81|6z9%&K`ly+^iIdIz*r ze^9pYX_S@sO)YmPZhgPyR!?(1tf&;FE~B77ip8aHt730y+`7XJ52mX4a$TWMC!aks zWe>g_93SkR=BjVrfPt$GQmMp(FjIy1C37LtA4RYc)!pTS0N@m~^&mrd&vbMsD(B@Y z@JD-vBS{xGo!3V7al03|HE;3Z-Ebowe_2cNsc)sWR+LjA_Zw#e_;BYd)tW+A0vL}- zc_jn`C7iRy7`d&>ghC+(blV{F=rS6jGXw8^y53X_$%&QuNLYi;t53;yUIe9*AZ^1f zC8sTyQdt|yrBODIvenjms_m%(7R?zfCBb}6ck6cC+WE4AcW1~V>r!DwrP#i-f1fXF z*l?!51z*Wd<RB{tZ!&Sdg(JvzN^j55tj&fuA#?*pfml31qW?QgV%pYOA$fcP(sOkq zmLgorUN}W_{-|tbztP;t3YJyO*#%2<;9JBoj`-I7RO0a0o!EHehMXZytQ(%Oz+AaP zlJE2DJVWxlfHpH;*dS!Hz$a|`fBjyo&5he=+2FK>04N-*Bd{dUfGABExXA(*1==k% z%H~*CnLm}4Z-QR7_g@FzhofIVygokpnm3pcZFNZflnB^bu2+&Sx8<3V7ueL9GRKvC zO#T*43|(N1|9(LQAEB&f71*b)rtz#s<|4qb%pLal6jD=qXZ;ehnj!E*e@x?yS@n<! zyWHfijg4^Q&AYBWx}m}l;yw7-wE<TZzqu1|g|5AG0#|tS8n~(`7bURvWQ!43fh#+A zYB6sGaHRx*SJtYm=~#QbYmfKq(|x%JQ}S>59&g5M+I@qbIB6S8#;+8(L9v4DqbsW^ z?2}V+cQfE(AS*yI=<dUHe-z9IT%QjO5#Zw9BTeD+hyOMeMteaA_{|FajiOoTfHm~O zAFu|{HX$CNAXgtv@Ulh<VkYXl^0SAEmYh@$<-?#)_=OsOp~Nx!*bD$=F3Sj#<4}D3 zbwkQcM;{?lZ^$DH|NHB8&md{5zR`2&&+OMGeg{JKm9R1cm3`%Uf11aJ*fclK%l|CL zq)ocb%fyMRmW?whmEjb&Qa~(?uX44O&Ckr&jz&G#*em-0X6LZep8#Ss7eM)>9)9Bq zx=5i{8W@t8l0351?~EV}?vln;mrecL^L~7W(z(J}yT)ZeN+`7@KvpmW@qzuz*6%B( zh9!(}?=@+`FM-SYf94XyIG>YnH5dTk;^*4`?KNs%djA`Z3FD$SNC*%`DLQ(k0s{-q zfP8u=;c9w4F4G*oOqxlhec`R(2?c7qAy-tC($3JzA@69yxguTHS<VO$!E;nWH0QyB z<-lev1noRm!K+Ei-IWa)>mPqb3+4>+FPZS#S0&n?8B|7ue`RpLVZ}^7zEa`-^dg?1 zb@LS9U+KT-ium*6Z-c?X?^Lk*YwPIrfKG?j!OniZz~&5CGi`Q2g!_f~$L${@J9jDq z&VTRPD2wXwxa|Npynr)It?!^o-SIy@Z&4Im869JyVgx9F;1yu_Xp)JZLS^6CWy+#a z&~1<0gi*7(f7Ntb*-Zj+-7Zl^E9_w(tq}qsDqcJ5A`Re`T4t`M!FR&e34M80V5|hc zokK3s$&tC*3UNf=@id?p9BCLK2ub)1zPAr1B9~)<$`EQxwVTNwL)EeN(Sahvau$Z! z2(_~Cv_b80^#(UlwN^n)m4k&hq9gU^*ow*>G#;qzT*FcY0xe6-9uzOISJq-lY26Wu z3RIAlaqIN+E3ZlZfF=!MMVAMWf|7l`@si7J)Aj5~E>D%*uZ&qRgHO|MdpqbCz(uul z6_}mHtpDz^$M~4bV|jFM3^!Ujzn6(j1S$djm$Xa-F)}XljqQrE7`)kRG}qsSGnm=p zB3@q`Xj?(ooIu<4xj|b9*BZ2~93{^Vv{lYexy!_9mlsV09RawPHBAIFf568dtR1A@ z3Tthgw-k`!$G@Frz0;u+7}buDhQ%lWLar260HQM-;iyf=AUg$~)ExIpn2;hL9b@dq zE#!W1Hd$$h=TyqoxU9qPG+BzQ62F0*BM_1h@s~@aOqTY9SgYjRF?a@D7!?n^vNaH5 zVb`>j@yb3`hy=96I=i-)e~!`=)uZ#u!$p=5)_g=w89CC)Sm|(xT;=6BvLqt1ywyt$ z037}pQL8S%jND$Da6~j}9ugj$)%3vTqnKF)OPb!(XtP9JpJ{INGYJq9X*TB_!YGu@ zII^x(<pdK~QWT^@3x#qNOk=-=a)G;XWu0E5^|(c*Z$)hKQkRA}e*|N`H3^0(45@0) zro8FhP2GI&%@Ko4UGNkcrmV2<9_py<Ze{-w7-}cFMiq`Uq@Z%7Go}}r-vn^R;U0B` zg<B`}z769`Wa0s$r=F=Vy$k$mHWo*i_d|pg1J~*RP&=bG?qeQ7yDA^W@$c=p*Zzds z4&{wf?<yP<3Wos5f53Y|payl~G;PLs*b5-$SF|~^Ze-M5XosWGrv{Q?O)bpK<i%); zfX9JLt_5ytmJhgOG#>M!Ph@Y>HxFLcL#N5k(zrk2e(re#wlxC&jaQUUX>yKXWYmQR zit>yBF@{ML1LH&VCf%~y9g+h&^9SIIXi$t5V_z=>PX48le_iS_dnxx<A`#W6rOz(A zWg+uU^~KttPt)}Ur|xeNJbYBNIvPSYr;|<x#~)|{+;V~sIUh5D&kg?@7Ad>94q>d^ z{t_!;#tp+uJR2df_$X6^=&Fc>K6|%Wf}3b`R4reyh)uiB?VRqT*I*?=tW<oJ4bAQc zw;fIW9QobBe}C+ur^b;4H_HzfD?|tJS=4v)ERuJ@?L0G)oASZS)l=lHec`kt);?Mj zoc=bP2=v;o8Ra$VVIC7PB*B8Ni`%sBW|(b&e3`o+W$d0r4~%%!4u^8;a-B3TTX#kK z`Jk~cn5OP4qm@UpkJgD{Qe9YY3sUq=7$c{Sl?Ymne@_1!SzRP<C{%`yf)M#nkl4It zB*p2t$_2f5c4eXxUT47xL`hy(nuz72xgH0Ixfz{HpW-nBdQUItNT5g>$V;d;lzhdf zLo`71aOj?64m?0(@X2Tt<1yikVg@p7)XX;RqLZyi4$l=<)kKRs#hg|)ol$ZWHAG_$ zciq!ff6?JcYdFV`;SA?c15)!_A{K#N=k#|GZAG2k*}ZPUtW3(E2?8*5PNQ@3yo215 z(wR$7r7$ZG#2>j?0$IVwAzBNu0+cB$JkBs^rUelXj)>O~Mng?|@vyn;2s#Ik7hL#I zPbWpsouu06;;K!K+BW(@`t*--U??J_`F^YEf7YeioVJdvJI{fx+(Hv?lOeIl!qycl z*=cv96uu$nsDSmx*1BCbYg_xqj0^1|<rWI1?W48TBn1g?PpOf7Bw!VUpWf>s4qyT` zmDHvKPN2MD)Pi-^ejJa^0>^Ib@XxI)OsI%xb9yUG_z)zyD}zsY`AVnfdg1ic{3?>A zf5bHNIz49qs>NO8mbPnYVsLY_`EIk>oq^fKMSLjOoSqf$l#|nQV{WG>6p7mDS=p1% z&grS>igK3;RI_k;DwkE(s&og{PS4uu`Oujv=mp;#w*l3`f@3Z9c3CbN-?iF`eRgGk z*pG+>;T}+nI1h#GVCbb@6Ll(h8CYeie}3oZ-^u5{lTpCRE6lB4n6w#Glr!7UP_gc) zPGBFetfXRbD5ICX?z>d@66rQ5(LydVUIYNPltu%@fe;PGTjd+xeXSASh`j#cx3;7A z7=1eBgOsFE7H@q9)k#oNi7rzP8f9|LL^zp7Zw6Ewg)?0U8ZX<ar|4ea`F76fe=%EZ zk;6@5kS2Ct(ZYFI&GG_yhx@<tZFL+Yho<ul#ysr!%oW{@df;n<jOaoti1)_?4oph! zLZoO+V1vKPx#xiXxxx^|66b#H6>^|`k0UZ?0NIm$!&nA6DhSZWyqE*2TBiWQ=mV-W zLNHi@8bfFg4<;f%1`Q7w^#_VYe;C<Srys7KU1c{u3(_e4nQS8PUrZ0jg(%4T0z(Q| zNZPpwyA#x%VUGj`;IkZQGtZ7^HnkWTC1ai~-L8e(k6jF5KRV|(!Mg!&E9Rz`Fu2Qi zycVrgv4}A}TDE}fVZ1Og-@PEhyP6lvXp}EcK3iUj5YuId4#<hc!XDr-e}b|Az}pS1 z$e4UKbl(*$+j?_1`wOp^BGtk}(nmt5B9^5Sq#<Gm*y)^?u+Nu?NRh(zMUF3khSTf= zk5^eQD0=|BIi{rv;Grv6!sPqmy9o0oV}MEkGU@U2RYOb)IHcc4RT)a-GCYQ4L0T9f zOL*GJFdFkCRM+}LbWUq(f9_86cW`@%euPeRFCzpAd3gGM^l!#CUwOEJZ8Y6yNZExe z<nc23hnF!*W3AHi<QxtDTyU*^AvKC<m;s$0cKM`;khhLycsG>OKoNh+Xysw-qcw51 zLlhy}Ux1EqA2Mpmd7)sI>`y+<yI_y0Dr0=QkOz<Wcr7m;MPh@mf1v$@A~x*Y%#yrb zc~MrrrbHmg71|+=<R>8Vzu<$M=)t6DL+V_;AW=~a#WOD$UcsFpN!`f)ZcD+Y6*~Iw z?6i3ZSmk4-UL3tT?Y>o(^5mJy@)HPSMT`s!R1gG(bwORCL_B;khUg*@KFsqpX|RVX z+uPp9R>Nx$nD(*de|x_9r0MzQ6AQe6Y&*ocf%&$70*?KYYQiYnm1MQkhHY+axxv3w zAUAwOs92SJW+U>6rBUz@+tkpMk6y@B|LrQycAURVL(mn+8f`;_aC~L8am)LEUu>Rz zY*8{w^3Tn!#=l;Hp58tdoBzVM<Zqx;&Ng(PS!55>7o#POf9BRcEy6+0TPl-^e55Y% z_Ur&{A7<FU{VvL0S9ZGcO!m>5%-$o_iYvq+m2ezEJA-HjWegj<HflwYJecK`U6Z>c zLo2>2ZiU1`7+acj1LI&aIvoEk^g@>HMgywcmekUa%5NjAjwht!g#&C>qL<}E=1IR4 z$#NDVbfy~lf5Fh?N@T7e4l~+nF&dnT)N|t++bf<5>JTCUrxzl>6)RW}U5NAxp-y$p zQ>kZ-SqS6(H76N)*GPgLA(*AZDlt#VQm>GWmBlD&fcs)mM^wZUau!bG>+_fu24zVJ z6oj2+{>2}L<ExQl)W+Mbrd!Bc=KXmz+p451_!ZPJe+isbNn4>PsXavi6SD??LbPQz zkZ(4(xRYvWLL$CRCuPOsce}_#%BGvDlDUE88<cl>0FlQE2$j1<;md6ET_aK}*H2hr z&@ol`-YM2EQ*jzUcHWZ)!>dTtLwH9f2YG&E;k`I(ka?5^`HC-Dt%dmmqZh|o*IS{X zFJH;1e-UPv>XYOGh-3iAw^?RPL(clfe7>2E1+Zl@el5&I3<j&6H1|UG(5u}+5=^;< zN>ABF=_ymdczLXeY3B8m-OhI6CicPF6WNiZ%1JBcR$XM3bufGT<}L&z(9Mdvy2#2a zYZuuwZC@ryJV*O7$5NWLi%hjI>%2hp+z^Yfe;=kuqZtu4EXC~Im6=`yz`9M1ft-6J zy>;}DZ7S{nMT=4NBzc|)6v95ba-4|KdjyxW7oge_3E`g{?MWs8A2}ot;LU+4MTOY1 z?1OZSHf0nPO2fKW!(b3~O8nN83CYcQ0LO^5hv%mU(=e;OWpW4DW*JTT0G&OGbiD#P zf1O!+jKIS<*_YW(q$`njLhwHox446XAy%T$Y5_X5<4)I^3;c#7_=dj4l7$~soBc`+ zv9gSiyzNyIarGIZ?TZtnk?zG56+8}KfRsheKVpsr68e-JHAG-!YnW6Xt{#%PmM9{b z$xa9^8}xassZmzB{J31xyNiV}5MMXbf5u;TZD73)+eSY1t(;KN^~%wTL?E!pI=v<o z#veHyHIogw!|phSZOQt7M*h@DhOGMG()Q?-^4l&|lhO#7A@NL1<7@<=1N96dTin6A z+?9|9F&OljL1>E$3V|HK?lx{Lq@Z4FOeP;<7K7m6V+$E?=dRX3c3Eu-JX2Gkf1vL? zcEFxw!ctNxnoey8)ONswhsq5cGdGazZa-c%=gn*_0)%Qh!|8VGXzi^31kbwh!mWCL z5Bf-=1%3xWv5(Upcp|rK;`0V#pjiNWP`*m{9elLKm0!6^GNvG{@goY)<xrrM19#WT z!a-8;*_M(;(SdN}JEp%AmDB`ve~q*XBPb7LAH4`lmFo^l{Z1KM@bw5MdY<*giCy!P zW=bb%XGcY;mnq_3u7{YzSuZ0R(T{wCk`?43Bkp1!tUQu^>s3f3rY<(hD-TPtp2u(L zd*Eh-mX>pVoy=TWt7XqvEz3zfhi2xO7guX$wPyCvn%TfD7H;`^*?Vmhf62o{XlB~@ z%5fsb3*D?xu%=WqQnUEfsRRX}!z$pscZ8!cNQ!pydD#{Kr;OO<I2$6|5W9mo5+H9f z%o^i>+>Q_*GD;|(hZ4YNQu+MlseHGe++y+WN#k>a;yA#>`WkoOfn4h-79Khu=%0H3 ze808%FOH@oE+7bZnhQ9re+pcZYbeAqH*&=I0__~B%kO;5QCbjk5!~#7DSeBTPIC|z zdgm<rQcUv*_0EmPOu|CEM9u{q5t$_p<Yc|R*f%SlTmtJIa%e6SS2R#uTC1MVRQ0UT zcplwT5t`CqlakymH7mK4>|UCK%Awr&K~_HfSTED$^6IJ_Zh_ZNe|L6|54^KD>4wR+ z?{&g{KOF@fcuTh%-tI|j|Dd&Za(vR-KI<c~%KLh>fBFIZ()f>`NYk7#`dm21>#D(j z+x=x}(E+9?APGcC_FI{2-4z<N&#r6?Wg97sZXd1jH-|T7X?G^5nwJ0xIREBCgj@qC z&ri#g&@76O<G{=2e?jOIF{q?=<SJ+u(@h5#e7PA6$tp^o7O=~WCHkhZ1Of;Ax9s~j z#i#&kaYi1d`4IGb-Yzl$zjAsP-EPf0;822SNG<3o3ih=LgwB1}6uFH)(<c4_b5kHI z708M^r0yaXJ3^uuv}mI;AzYK4(kK%-j3>xT;^CxLbz=&Ee=tvKT42yq4!y$(lGdi5 zsnI~{$!a#be6J0prXWk@`WNqzs$%^D_gLY#&nk1_f$3p*;k=zs`x&PD(%ZpPm>ffd zmm&_H)j_KCl_20;a+{kHCd4z83@UfjX;a_?+Ag<$PzP^0^{v|kE_3Aqh4bN%wTo*F znDyUQUiS7Ue|kGh=@PLD)<7CsXDZhV(jY!2i>IL0#~jKJl$---7%OM>ja=Kpr<;e{ zWbqYSV1~Rkdd9e>ks;T{jCvG>jIb!|)~gYI?;|}kPsycti4551i2neEg$>692rA~r zi#_j`Z4a(!6ay^UKCgP?qfbYt4GP+6HoO6nA(3243cc<4bDusZ#>G9CQC$Qb0q>V@ zT?9gZT=Bbe7$0e!i+Ciby_JwuWzg;yP#J|tk01MO6d9+CR!(>OXpOTDv$A1SNU}IV zvXa3F_b(He=+vXCzc|o}#p2`q4ncQQMk|tOkyUN1&C-Hb&I9@OVjgD;-p77lZd8wt zBgg&{6{3ij;3I$+1JIq&Smw3jv$ApR{oh=Fjrj7)+J=9oHoPS9JSM!G0Ic0dwfpFy z-A7QuJ7F8izc5syb|rh@)+4{+Xve$NZL*);m2gpEY1l_s4izzar(>EnBInr@xX4cV zycD#zLg|83U<GwjVyX}3p}Nj6rQQ}~OXLZLyn1Z(Q~ESkFh-=0@d**8#Wsw62Iz!; z@)D0~OGlk(Ox0tMGM#!Shlk$o)d)|t=AFQym0U(C+B1Ck+#>A*kA?y*2w>^K^rkYX z9Lqv}ubqD!6%WGaCH^t>AQoMa8p5*O{ll)kNsUCT`_rx6^_BWYKnW~sqmh=_4Ofx- zf$%VDZByZpq6N(!;W&nnS-F*d%CTgB*;xZ8BcTzMi*xqkxeLnjOpHK*0SMRVhG&y= zhI@Xd%m7l3pqDC*8d#44y3>!rm-sImzr;ygYTfuc@n@D>OEt4ij~!F93AhiHD#FcH z!DOqw{*|Qrooo&&5zTMnUV`rl*YiP<Z*ONrd!CCJ!;)Zvptk)TYzVQ_2!En~mAy>b zeWVZd(Nq9gNs?%{iuX;&%$-M+xN~2to1h~9oU8lJvFjHQB|G&Vz)-%g<?a5_Fu8|r zjO#aDs|G!$l0i#Z+$n>aO2?DjffZbW3ySd~k8&}MJGjv6DACE1gT)=}?5;eHeREXw zKQT2QhWh}~KrX*o0r~yk3KdD-(j00xBZmY~u?qD38?~tcp(~zHxwwWDo++d-Mdf)= z1tnfwZX0p(mrq~>8y~aSM^{c2vGcxgS!WX$Kr29%8aXJCy8}@LH7X@6zL$w$1T->z z3#w%VLlM&f#lW?wmxRl6Y@%`>x;IT>yjVOR8Jg60dvP`i`^4!5O7>7-A$ib?MVIej z1TO(@mmgsST06%Q)@d?8nRt0*L7;H_*g_@)x0z$kFefPASjA@*p7h?`z>?Faob8Dh z#J2f9L5+N@U8VZ)onX$&!I!jQ1U!E+U6GyQ`EM1ICK6@pAWP?lj35K%MvQQ64H!Ll zz=(-F2VUgZwW7w0YP{&N;6<z#xfy%Qg^M1GMnui%x#a^^Xi8W2*4^}>o2J^xwIPW| zO>62m;!z9H!mppHv!;Ftps2{e$k8TdF2w~Ubs90plEJ2@z+GSo7j63{?gD=daUJd4 zteSya-di2)eC*6+k8IZLr=`PGPl3I_6ANOm)B|Q=;jby2VatO&I|a-=6IOa%+&*eR zokg78>`}S!&x3f~B%6Q(EQk+1pam8-RH0ZOP9T*Z7b5YduviW>yUy4ZK-NPP3gEBL zXs+^q5B3lCC}XMf3Z+o4X&-+`-NZxYxsx21nDzc3CsQy%k4&UMb}oYC9O0`3N1LvJ z2v|(x34)I$?wI%4gmY*FeFxyN^^R*td3nA{e@c|*qijF&av?>PFvIHYDPM;iTzfmz zAX7d}b{D$Lybq~c%S5GTh_w-Fi2o<T{%+c&*jbC(q&_@rD|>c!&Y6FUQ@UQ>w3(-M z7j(|6x3cki&$^YdgtFmXlJ%N>LVLO5Be*rHwz<C5R1`BMpoejM$4jIIb?YPDaDxh1 zDkPGNqv@s{?XV3Ow)5dCweEbM&IeOuVUC^Od;&Y4vzi~*N&fV=zprdf1yX3D$D3j& z-njpjyJ*+UApq=mSh{}%n0-4gOacHgvq}I2CCn}XuGy9TdMyIxQRbh42yhEwgQwK7 zRDwC79Wy|b0?>+Fy73lE7Xq{INUIR|eaHrzcN%+x;2TuPu{Yt5$UcO5nqI_{KFmTw zeX^J&MFgzhO#}cfef$PMtp@DF<>wa7BLW2|4xkK~0CZ49OlW_eSGncHMDs;VEVZ&b zCf94SRHT4-kJ%z8t|K|~$4(^i<=n9oxVDa+c<!+iOyqfDC)`x?I(DLtop>y<6JYtr zm1^S_-)bLSIdTO4B#)e_82Ploo3J7kd&Hh?10c8(h>W0LU5A?vM4r0EVw{80c6ZZK z#0p&te_;M_GgN<;9R6S@x#p;R>xNIv#Ub`|o9i3`Cy}b=-wTT@$v4G3(ro)~Y_jw~ z23JN|KBuIp=t!w8Gc%0utq@~O$qTRflBPiwQR}z9|MD60neP_pht4J16&eWYT3phZ z&lbjL>Y3#iiCr=z&qpO9li4+Wb~W$W13Asm{(U5NnWKNqEtKy*eCY1{a}NvzXzhA9 z$_)&3&yK8X3<Lyj>6w9sffQR$qBTOHx);ao92_(^3r*?Gx(KpH1>e9y_v{C`#z7nR z#zAh$rO(CncY|uJGm$m;_XZ}~&^4cB1jSurqBjd-B7u`ufn(gU1deAAMPj0Pfn#Y{ zmQf*6dlG*)u@9EQQ4H?A<sa)c6&qe$BiX2udz|MwaKhabVVp7Jll{|q`nPA7)kyI( zMT!gh&I1xF(VKE33B{oXiEEJfp+RC4Uij8P>$-N_9b1L8hPpK!YdkB-2g=s4>#o|f z*hg267qRW~n1Fx!TaACAi_p=~M2Dj|#zlmJNlAY=K#aQwLGU8=xH}WV1E}^g;>S-u zdEH6E0qk5>mp~d?LMqsFAgV2)b0a=YJ!+d2h#9?=EYzHiu$S2lI|1576t_T!dDLP; zI082wrLc6-SCj+TsTt`SRffWCNg2udPEoCATuE0Pf7$)n3_$GS^Z*KGABI0T+#wrm znw5WYxhQ(>R~!vNT`OrU!CCZ=sV9vP3e=$9KV9?w+2qwjPaC@D5Cw$MMTfqkTjs^5 zv(+*sh|9&2taf)Ko1Pg3K@(>tezT=u1qxfPy9szVwA4Pg#>Mk+FIcPKUen&Iq)5%a zH?S`+7Po{VR>NjrJpcOh2;p~I3NE1Vuit;Mi%PW<k9^P4Fp#>w5Ufg+i^jo54$C_% zMiTh*NCLPjlyH4zDP1cAyU7CdZ;}gBEd4#LB^3y#64taOoP{dd(>dbt>#lgbeQ_3} z9sm<X-F^s0Y8@7$usxrs%~d)Iiv{sekDWR_p<5!U4LJSGAH^Y=qVPVtA!ar78Izaf zX9N*gT%Cm83-Q?t8q*DXT$hm)h#pF`u^NHP^M;HxO`1+{<`dpO8IGeq_od)_aJxm) zJ<dWrS`ud33-zs_6aO-RnqX==7P>>S2A5LNY%FgAkVQq(Mc;W{mrZB{AVS`Lk_PAD z;>2fO$$maYh2u!USCmcPab;9Ry-)<GI=!~-=j2s$_H%*yKIyVTUn4^8|Mq3E6pFg2 zC|>Lxt6<aim#=699to{%-@{qGcTaAY)@TGJLmSJDCzR33#<h>u_+eR!Pjeo{hrCyc zZlY`#Xg+_2)m)#8H{ZG@ac%Ny+8IdWXJz!GX3q7wnDhNs(+xOUfA`GRmp*9(7fnC* zQ(KA%aTohw?U6do4f{(}1gMyv{XYaW<sHoA{frT*w&x&?u`}ql$I&3f35!im%5u9i z8apHo=#$ibq37opx{2Czc!}O9;#=;QqG<#%8mkI_#k{M!<oJ6<K*{r42q^pL%GoVO zFMU~;?P&xX2>yXOYu#_!@|O^51XF+UBt@qg(*>tQDWQk4I~5>iHyok2DAIIB1iHp! zcoUg^gly0a)2nnG4!C|-_1>8u6%BR!lWypt3F#^vp?oc>d7*<Uiiz=GC{)(}Mp|Dq z{2nG_FC2I1xfx3}n>`+)(Jq?jQkD#DQb>SyFZvO7!A;x#Vg&$kYA@=6QPY2M-gwVS zY>hc6@?D8ERFny_j_kKkE>K1<L=@z$b8`%;6BCS`bw@7wlr(k2HO!0qID)DSDS&Rm zs~NB&eqnCC1PV^*SU4D=0keGfJ9(R?c|%ToyNr433TmRsrz7DMOh+M{KEEbt4DnD2 z5RQ=Za7a=BRN^J)&6zm|jPHMfcaSD}K%m^&fnA$#yz658pU@@#mG$Fpm>Xkv8qNTP z+e~3^5RNZmc29JTheJM1_Mm9hy_OX+<jp^dAq(<I4`QJYN56i^UF8rT(GXnun(=aC zPxx*+)WR!TLl_2g8v~l%UW7Bf|GnQ1hp)Zjqok{RbdD9nP{6FXJ4Am_e&fY5X+_9{ z33QI(xZUX|ZVU?)-VKL=1JjXVmnmuX`eBf~rdpJy{3A;moPxH+$=D1WhDww;8-wJP z=Wm(cDqI7S1vrz2or@$M#^|lny&49CsFQV$$B_kZ7<1JHq3PK{hf{Z<B_v4@L4fjM z)fp#!I!uOc#C_+Ar*D5eD&pqtT8&P^Bu?Ui_xa+=+rxsO@JlC&*NBEBtICfcYG`o2 z{enK+qN&;XnRpRn&qFwRjY9;=317L`eG0{dT5<RLrg5|z1yKgnEqZ}bQu{p~KoRi0 zZMcfbJIy-jD^~ILkB`0JoaAlhqn3|*=d+uHFUe)78SS{&Mne;6IsL6C`2A#TC?Z!m z!*B8=Xs4I)Yy==GkJ4YU=-z2|o<f$IwU6L?G|>aw#p!>YJRVswr)+jm2m}lT#21*D z1iF_yZ3G`Jb1^#5O(<poLoOp?zyNRJ6-PacpkzX!jp&`1EOx^iRbfv4yQfNFb1|TO zCzpq91Uf1AApIbZuOh3Hg1Q*)U>kyisyY%0$;G2QI&N`4;Z$oQs4hBl@HQ3Km+EZ< zD*>LD7H$M7f02V9b1X8zWjEnM6(X%`p@lIyuCp@xL$5Tjmu}9|_SaXq*uMu9SRsh= zME23z0#C#D#VQndaFLHDqRiQb>%<A%Ii~h5N3pYir<@>-&WG^+Oj2Y1x6j2IWA7m9 zK6l&D?_}Shj|@z-;H+On?86I`gT>UJCf{hr%riGFe{TpcRuoejV835+7rNmLLX|9z z^-`x+c9W!qn7~=5_Lm!_<u~lxwZa|Z`LO^nrlII&w*4HsGKIRa+pc{P_qz@XbM?Yn zYkq!O^E8F$QJs}8*0t&kXW)PQM2d2YFfxeZ?VrZ+h(Fnf`0S1^wksa#y~g3;UIQ2G z{&E<Fe<-K^DHw#eABEzwT_hFTFObv_7kmuTZ%!9*ri}fHssJut<;z8cysIwN$eP&S zW=ixGSMG;tCy7R5xEbXg-OduBkCIE2wK|igKSxl@a-*;u^JnCm+^#Qw`u)qnzP#k_ z%V-kC=UB!k9Ck&>c@_c#+e3!_z}rIZGSY}hm%MKTCjp|D<ZlEzf4K7NF={%zVc3q7 z+4fuOG$9H!;2Zj$A>clqeb|A9$d}QZnNkhSRSt+k+UF`i6R#Oh7I@9IH~F`{p7`3l zFs7zB6BKLcLysgyly1*$qxgE!Kq_6FCqc>u(vsv(DK=L{S_{Fl^jJ5$L7_d4eRM_2 ziiclD7F8x0+!u2Be<etjI4^m4iN$%NMPF#Exo5%v;qRiC=N(M!8)VyM4=y+48RrJo zkH#yr$&H-`)<<C!8t<EU!eSiCks@un{JxpR8@|dz@3OpNuC2g1(E&se%2Y(X9@3&A zC<ZZ-iM;?aV$0*akKG9(94LNq!&_~>n)>XWw_3^QbvrDCfB8~XFiRs&Q5q!~#4OH6 z+;VL=aE1M>u5g8Tev*r&1Y{{m+%bnOXF^lLbKk*?E3T<6xaViV3F6LSyeT!`HKeij zd(?i9hxU7nQ22s_|D05`hNG*)4$^7+!me>GMn601A@Y8L*4}4SGKqt0P~^;nKe9sn zEgX&WzY%ede`rgD+78p8Lx!_C@`LX|)Gu`D2&gY+?FId`GHqsCAQfSl6+(f{0EGc+ zM}SIauo9rw@Qq0sS-~GXG!R+_5$BZ$1%{Lu`hzVJa{uG+B<vyJ?;`(*3Z+oIP&bBR zlB@hzCJ>nWis$1ohQgv2tnBwk2}i9#IZC2XflZ`7e<IXEcpU89Ct(&be$x(oXzb)G zS;ETGtlMX=C?n!w5H6Nwu4J!HiXvHueYA5K0l$Y~hq^W;4<V+I{0txi$9J*m>W%t{ z)aAw<=2I$Fb3O!@Qo=|tnDk-7Ar6cqJ}5NQFe2*Mu(69+^~&9#6K8Gf=|RjLpqe&* z_=m<)9KEPgiymgZ>A~%ZrzoFl%>x4F{qya==zBa49+$Op1VICDU@VvUas(cK3XTpW zBCNfXd&|x85NuweeMaoGCnH22p?(ept;svwO1gKB4qV{A_W2)xcBk?AIUp3?BAGW3 zay!aOb>eeCMY){K&5@P#B~ToM+H=(_vurR~Pm7(IA3{Xr0YXmM-G_8DT3>Jl6}kz3 znEbai?$HgvJ!{?<+!!&exwy}NPZTQI$xn%zUPD|(zQYB}dJ62n?AVE-Y?1elb?mfC zbDE4-SVVam`{)}tEFgnCA<RH^z#h&}3QexU-8UDlY_WgabmF(;ky`~^A9aZA@29OD z@+Rb4lpFW~HW&*>-d;Fzz~-bQy=c5Z`xtDF)j|Rmid#mLE=xW-?0PtVNH4H$@_<Pk z#=(?GTtk3MMMN@=!_pVi^l_5ndd~Smjw$YsU~*Ku1*D1Ws#oR+mcz`2*Tz8-U!T%C z)?n59M_<}s_qep}HUO)<0H3`13wo<jkVGkIqTvsdS4wX^zKruHq!}pJ7HgFfj7^ye zlsyZn;$6l`_vM0xK^pddp@;Td4{*QfT8@#D0Ur&YLg9x*z|tFCuk-{M&ZO`Uq6<TV z5hX3tG8IPy%XFrjO)b1@PN+c23OJ*H3N?sAN=h+-GgRnr&Jxxp?qDaW_Ba)JTS%&k z<Q8-9mGL$CY3x-@4I{DDsyzAfHRpf_(Jd_a<!`S)GzsI_**!jg@XoON!ekp@blC5w zqo9Mmx!v$~Pg?s2t-X`ulh*cGKS1*P*Q5Q@55U&k{N0E6X&8TZD?E?jhe~F2d5bG| zjF__%rE(sWcl%1dcc&{*2GCB(s*PXXqQyCJGy1&6>nz&mT`+|GbtTsd-MR6PL&W^Q zZM>~G0SfNb&gB|s9<1TPhlU5w<7Tcsv@fX81+<FD4c);>uXqV7@?`h$&A}l@>-fF! z@f`F<f}G_E{RP;Q6)4Ff3@8*-E>+Scgsu}$e}0)13H?P8=Ccr1JK2;JP>^7KQD>w} zYTF-^5G9`n@prnH`E>*$e;?#OvvlzE=gbpo04FHE1R+qeA>$6LiI;TudK^fV>E#Qo zH;<>Tte+zqMCEwh@F4d>02RHVl--KR*XOV3D&mmv5g+me22TOBNPYs}5JF`A4!mZd zh}1ieiEqFw#1BBY0rOI&@D~$+M#C`*cynAbj0hUW@io*`ZxGPFe_lh^j#cl!!f<52 z&0LQFIK+Q(b{9qw+FWt?mddPhph}{M37nPL=4_%!+~Rh<Kn$LdNyHU4g|^a`c1DGE zeGY{dKKfdrtsGgUe){AUT6+LSRUI!+=t7}Yk_RfQR9;pqw6#L}&<d@|pTE4kT~Ld& zdC8f58*aLVGF($Ef4-W?(yX|E0VP)frW!1g<EY?F7=@h(+MUrCp&vwp$v`WO#hL@@ zl}3jpR};L8a9nqjPP?o(g*F>8yb-0Jgf8J0UpEaz*eVsf69KD?mO-B#hVa1~{RS!V z=z^A2`NKz0gI`dZe5-r>z3&~6$CGP!yx>4RbR`Q350=$Af1-5DTWKAmd;%#|RD9ri zX)k>Frt#7|PX?#bos6nXD+To?os76t4>YcI8Bp5|zegy?wP3K-RP9O}%VaG*Wm4ft z?fBTxTzVP{x2W1<QT>EGro_PXUlRwoApYeEbH_nuaWTKjFP6fe%<?m_SjJaUd@aE3 zgc@<<_GN#Nf4D_zHxXd$*6Is&+nuX)H5DyGYWYG~H}xt~8h;%|6ugTV|JV56UpzQi zNX~>^1l*WPfksvq97xf>6cdq!1`iMxVS;bSX~o_mBS*&{k6Zg${6!%&*(Z=f-e#QS zLiRg|6JbT<hSYj#NX@XsVghGFDl6A7xwbT+rM4(;e_|gji7o~=-);Dc6siziTw=4O zDr)eIw$#QPwiN8v+Ln@Z7nuE%x20wPC4{&tY$+v%zp_fTEmbFeK6v6MY4|ACiXxVX zQ9{jXfQL}HA8FAP#gib95wRJS$eGF!B8H!4HM+^L$!(@!K=q=@AmU8Dyn?wnZA@4e z#dcA#e-#tw1uFz_e~QQr1b$Gv=R7DZ{1J90c^nvQII1ZqGY>g56K&95LI<XB8G53u z4q{eN5Q;3~HYX@HPFEsU12;mBAwA*Ly<Z{NjP6Ylg&eVlLb3x2JB<)^(!o1rfvs>n zWE1d=tUR%boV|pJS+hUqn)f@R(qMd1c^wLWf9`y%xRd5qW;L#StW{<dy;)<-w@UEK zOspCFU~~SCteNS!#MTsj4lfV|tD<rxcj&J2($6IinDOC{5i)8`-TPh-<%q$jXGHzX z<rH|m@G?uoTjP?r#D(ODGSOgQ@hlye*f}rZVR+i)f}wO`=_o^;kJLECrYnBnzKGP1 ze>n!8TqXP>d_%4hK7tDc0uAxbCVrN-Nu|UdidDx6=Kh`IGff<}Y(Gi~#bZt?7G*}@ z!%Mu+e?K*KJ+nQCMjqK519U#k_83aOzypV@#ty=K7r9l0=9}yP8>RlQC?Ap=#RSg! z|8f%u{kOBoZSnj<7z6z=p)wV%?H1L4fA7OVyLE8bI{5OTeR}lqpw)0mAhcXvn*+}; zmC#D&Ig$!@6cwg63|u4RM5UIxA`HsnEIK+a%Y5J_hDn3!#wX)8XCJkHXudyr!U)Y8 zH6YyBex|a$;s(m>%H!BaYr0n}%gj4vO^E_(T9j;v^e#Hkw@9@ZAfqed66Me@e~@}* z6k#OxOe4WOa1~*~=!p~$d(k;+hjZQ!6#m1LmiPIfh5vlq`LuU{Y6r&)YX-)-g@%&; zohXS;zJ4V{!4CWh(twn%q24pVm*NHl5L?kjM}newixa(WrT&5mDm9+#8cU)4X$Oql z3u3Cdl)q&Lh0jJ#@dme?%rhu|f2ZyL`ON|Lr5n;EwVL-l)jUJnd33vq)p4t2)DBTa z6-?Zs92!5!HAg>A!f|y~4!3Ncd&z#Nb-UK~U&JCTUboAt{ZWb{XKnxc4@viucjd7m z4&A3)GQ!`^Ge4n$WreZxV!~PM%m4hg_XYY{R*h<p!>#}QM^;cAwdT-De=SSXrqT;g z01<I(k_)pbz5n^GQkOQH3pi6_n*k{l9!6A5-qH@k%Nqhn%Oib@0O4^Px91y|06kYU zFZ|TpQZn~Fla2}is?U9KjDDF%wvZ?Meu7&N6;$!R-pW$GW8DZhHPkIA%RaZJP#$F& z<5MwASm)+zUTlgmKo!8OfAj;}P=uIL<UP@f#@rzmKx|9FF}SDGj)sk9e`_tFsn=7I z#+CC+O#)X$3=~1@3usjMNMRfW07z?_2mfSXwgHw`a@P)dH2PG4fg9EOnbnr}ss&m6 zH^X$n<ra7&Q=_N$FdkXoPK&Z?VtcsJ#{}otZ?Yf~amc$HmC6Due_75AEap!qpFy}k zCU7Zqvk;wtWa6>6G9^f9>0TCe#TLrSYEY6yYv*HuvG2*Y!?|DY@ySRb*IoGmc3abp zAFy9_bx_;n8+PhyTuNEp^kd~ZZR5JI#FuL<!N=kg<%uaPmJE;5j#rEcDJ{kIbK3FC zwB+FvZlFDuol7gs1R&l68<&uM1Qr3Rm#2LMBmvx)%zXqI8991{Y128|bh9Vb3o1vC zxWf*@I;bjRz7CiEeFQ}lK<1v`bFc<=F}CZ2n$BsG3f!)jR(=FJe~v7<f?~>f^-ZbC zm5`7;7sXBNgOw)&O=sKk;szh1v~x#&vx^-2_UJO{UJl#X#SS{=)Rnbf`TX?CYb2gW zmsFzp<em|tt=1)LUGjl+N#gbq>MbVS7{bu8>dO=MD527dh(SfQK$9<6mJ8gcIxL^_ zmEkOA5mBvI4dfy&f4ihfr1B12GQ0<W!u44{lNLe=3zT&&vYYd0BLJ*WTk<q#(>MrF zch)y(!4WG$DpgqnRifQ(jCYRyAyj*@>ko?H)a((dZiqM1rq`^Ck69Kzt%S$B1l4{o zk%K{9sYHG}Q;xhsh9+xScPFYDoSG^>(=(X-RV2iBsaY0ff7_#{9L4nKCdJgYB-c|n z%qvzQ@T|DmE7OS1+=l!kl-Nqc(bQ!^QSy}R!Sz+aT4l;d8R&l(?pt^SoY3=V$%K+t zD!t0tDrqjJaaMZKFZFgF6gRLBR-R@i4iuB`7o5k82mat#d3n!UuvKEIX2(IrH5b&H z?eo)YbIQ)4ThQ*hnfA3pTPw5=rO+Zb)|40;%$In$?6`L|PF@}vLTS;)Yf8&hwIQqm zryi2da;-{B_Fa~)3b#H-!RPT5TwZ4?iIlTq8DS-TCxFI6)iRMJxIz_t%F;vhmuP?l zTMf^naiO^kMdiWW1#6m@(0~LkEiTG6iq@e#lYO+tpWNu;g-<nEyATayuDphNVkGcr zc?#;6%Vgp=O*%ET1*VrPfdn6aaXq?gpv4U3HIwC*KM?o8pvQruXpc*qaV9p(3nL5_ zMjhhiOonw4op?cA)=~T*Ld5`XuiBx9q2fsVRP5fM24zF^!a-*;>Pi8RM?eieNLKUo z<pZ-A&I42hFmbKy+8sO|;-KRNXT~hW=NydrW~=E|?b<}d*_lW4cPXQP@0T%?Zqomb zvLCsg!~B?V!|H;wX64MPbOaxgw4<hJfIz?^#e56pRNig)$MEm{^DPPa3`WbHyiz<F z%lfoM&xht~+dqxt(NC&kFF1Khe3%wpuHb6(?N(DMQK3jnv=O2o`3j{RSFUl3vA*dJ znw<5$?Yy^oUICNQFA|`C+>py0#fTzBIXC31QoV<Y5B6t5xFV{f4V)~Gz2?d-c^$~c z^;_g^i54T8Dg;fs?d~6_Hc#pR>l5|`S7ZVC*4-+lQ+C~Q$$HZrZXzqIcqrKC{Yt{( zcxmL_M)^=ElXNE*ONACksA!auJ+bJvh-AqSp@VVI4zI#COF}n)LciP6>LzM?(GYr> z8+fi@U)sTj09Xz0;7XheZadkf-r^!fvj9|SCpS9<n5^Mia`TF-=K+&pIxQ7Z?(hxl zn000IiuX9p&-Fa{3Cl7Uu-tV8mXY96*Ilga|7TFco;Q_S>QY{Gao5d=tbt`!{}idG zb#0ZU)K<wbf#$e>&1q>)+Q2)<VB49zkiz6plm>Z@-8#B*T8PnK!afSWn*a#|5OZN> zAOcyn!i#7`?G-V|TiN^a=_O+od;fJnU`FY){(YFmFaR^@p>6gg2^XxLr{AJcJMOqi zqGdP6b+fRvj7yC+j63D0chTDF8(LF)Fs#w~2LZ{{0EkF`@#*9=4<jK+i25CsX|Pi` zKSi8iHNBI)ee^Z`-$^<~@qWa`ju8ow1<C@IYO<w<4#&~IIcFIP-rjyYPnxBMN%Z#t z;vhHzAuFv1`e*sqrsdJ6xTxa4cs(A;@_?|~XICR|qSKar+I@UA@Gjef1nOX2OgnRc zfwVen9%G_^qS3PX9A-IcOp@hI1x;~T6azWDDz8tu5Yqm^?(e_ewFHt-QjJzos_KfS zAVr+G+F4a!YTMMAwzYVA4szZP&nD-DMZ2ozT!}S8(zH`(GZhu)i)t19`Ne*eEa4;r z3pHKIG^kiIb#3$+VB%U$zppYmTqHf|8VGOb#P%D1&f2<tdS!bku)aLWg!*kCttx~R zn_9FwG1_<0AF6e*vtN{A$cl;YqXasq&-DVTP;T0sR<0w}Fpusi)-&rWwdQ?-tJ4G} zum0k-j#vFG`j!78jUoy0G3lSi#{{T2t6k3JALPO<#^I7z#;1Dta`w^}qAaw(;n*j4 z)3r~3u3I*w(kWh}yi*6UBDSY+bLZ^@P}L*v7&d^~4<Aiw!^!1bG_isoa`sz^g#ZNK z&Cd&ynj9VWyC^%=v6hB$C0p+>Bh_Y%$hwJYROia<-#eJsLKiJnhf)_;)B(A%#0<{5 zI7mf5)`hIN<i$<wgOw*DLcN_qu!{LfP8$6$cxIK{{{;og4^F*<y*=-s)jDbY?ezyA z0N!-%3w+<+JNb0lIypvF^IzL1hljYA@P!0c0qd7Xh6Ff&v=q9Zc3dc$mb31s*hum; z5)bU8_1UMcY%(!5X>HjWQxxsYTHjP*GrL|^D|fGLs*0qt=t%iB>W(k$q*ktYvOO&I z9cX`lx$T75&4F8Ow^o*|25xKM_Q9K4J}Ko+L6Nl0Xx+M%b4P65nN)?U;#MnnC0?jV zwg;z}RNd@<^pYcL<qjcS)@@TsQ55Q$oxqh%CPptvx!XHwwb=SRKKXTi=uVlX#_pac zcBhitrV(pL%0CX_%y`?_Q;>K?3fACV4c<Kzcqc{W-*=9W_HP&OLMvJ>SZ6;xDo2fc z7VDE&jv6ug3v~sLJxVpcyg+7o01teDU$Sq=9QN>kkavO+g~cK`b0-A?M7g;Xc8hQD z|1`lc_Ii^9$X!xiNIjs@A{>6&@^TIfr3p>`Z+>G=cgA?Yhw#GFQ{~ZRgbM!C{}(0S z(b1TBqda({j=r28w7iwZk9B`Boiz*~(rO*-ogVDBcaKinpML*nT~TfQHyz80IaU>0 zCNpGz0k?>y#7YwaOK5CXe<Qsbc9R(48;GnnEI~1sDS+O7xJu*rLxgFb;<{c$x!xf0 zCVzDqp_2;ec>!b>jk-6R^eLL#nBLhU3m8)a7w#ek*JRyt|8OEXk013B1VZ7tMFC|B zpD}mj>j-tmP0yq6;2#W2fx}aH$(ywel)Ec`*;>3-5scDDH9Z6rm{;ouNJ7U<NjO5y zf83J-MfgSu0uJy$PWSZJS1I|HL2KL<t-+CtjG`h#=2D(x<=rZ`2mh=0W)<&|muJ{8 z3qUgs!;sz=*O^zx4n)p$_Wra&FZ}g2Zx<V2lQ%#m4W6EnTSnpXJTadM7ybwk?|ycF zh0I5Kjx2aK$X@RP<>A61x~-#7bmhF?J30Pm<6kC1r`UYhT<dfriqw8Zvtw_9#%86= zRE8?Yv}z5?TSi>>k$q}252AQ)o2N<h-1O!}VFbu6OqPg;!cCa26TBvPIf1l75lOim zcsj5w#^rBh{+b%co(Qaw`7(cK^Ou=_TdeSDjJgN(9L%(~WhK7`SkFdCUJq}IYGx)W zkIkBAy~4WG(gI;bcM3}vRtJH5mB%i<{UyGxXmnB$6jM6m>&pzQuuIO$!6+V`q)xWn zMskoK$@hdj5N;`PN2~h#CuLn7fp&GC+8BGD#@G!6pTiv6SBg{C=2+b-{{H}f(fV=` zq0npB)NGX^uD~y+gyrGkUIQlU;#<W}@%^9LARA7_L6ip*)R)qzdJvloy5h|?*&V_( z#94gf8CvKjns{53ZeovuSxCgEyJ|8SBFHGF1~Z5=$`qA!jJ#DcTAXvTa=-4E)1A{V z^Fzg8&Gz&ZwQBM_RTDd{=g>-j4(-fe*;fLvszKr!Bz_=}m_!@Ffti)uLd3iSzx!{t z6ysUZzo~7N{SL|n%4m81iH&3*UD5Ew=vD~qcWBBih>E-dR4eons{IIz2+$y<Yym0i z2LRS6zY3KeP57plQiTO1TcHHQxWv0kByf??@SArp@1TZ=H7MwxtEY~C9I)w_xHk(J zA|B69Pz|QGk9H&fWVm__odWgmkMK9^pLZktl>TWu?+VpZJHzDG6Z6dhi_O9d;tqDX zp*+qEtRSW?_BlL7h{c{q-K4clJIUnC0sktlsTIoSr%(#wI-IC^80lf1BXrW*KWM>w zbJE&AgO}`^_w{K1^aB`wrSTs>k-j)%nb<o2|DN*y!%nA7<tcBM)8c?{I_eK@m0azo zz+%(~?p#I($49)gaoDm4)woaD*GsA;bivCw`3CPDe0J>U93}DpQspl4l&-`7*l2hw zpJMW_nmS(i3_R|wd^y;Ay??Op5hCLGt7oX-1z+naLI@_tTWP9)@sd-R9)p(t6_qHb z(<>{cPQ_HuCTSbaY7`WLL$!(f`QFNhpx^WG1-x<i!rZpFDyJijH_rmkC*2X)oVf|P z1j8Z-!hdW0!R*ietM``O<Mc#%y#pkS(alIx9*&c^-^crKraRTgLKFp>!O=_fCKObW zKU8)r4&b24aC6ds)&b?7d_ol~EYK<{A<&z@!e3NctA(y^$#)EB`1IxHfNLf-{K|K< zva6nDY0;{S23EcqO#0(!1T2cy9?oK(cb~fx=e~FHp!t<sNcLYq%09|zv05RgEwF2i zlh?QGnKv2$;bz1sprO>n#16O5ttsUkN0Sw+BbrZ@P~#$hTivi1fHHYJ1??_VTy5W! zCg$zHiw0jfXnJ58pb!^jpZ>k9Z_NyLo%gqM)|cz<M$W2!X{cCg*UI@W7g0>$u3gLL zF8M`T*<yP8VC7k6AgGv{R-L5AYhL<!G;6Aal61Z&sOL_=u!DX*b!Dw(KR+$|8j0O? zZQob+qTDQhLZH=XZH?AG5L!#;6c>hydhK|4yVRCk>!@hYPzy%PzDz|MhxLR*ExQSV zAW17dI>9;83Gr8g2t)Q3j!@t<YZnYHk%K13tSNq_*gn!CpkWq$mnnV@^>cfxN!UZ< zv<q*A)c{n`-S*K$jWk_W;0qm>`vv391pOYJKoyODffTVaTRurVd>!|C(3Ma2SzE=> zH2Syc4;vwRwCFB$bkg2GIz0H){&Ms`2h@Ti7*N>TVgTI=i)aX&JlO>mH@Dm*VNW5u zA~==N%EQ=4YkK1ynB?#<3njgoLx?#V562wnWR3XNE^&5dR~?<a!mo(i<+x^a1T-D~ zs6z*TNeAL_{;h{DgNWaSp+#Y}ci=Li)lD6{xmv3prIN;C8h5pJ2C*dOzg<F#!80(N z82$O;s@(dS5W?PbtsMLL!+*D77WX2T%CY4|wMzT^R9Z>hd9+!(eWscIwKiL8vk$G! z5=@!)qfXe4hHV^Dw~K9|v@ADI%?<0akJfa5mVN5(R05u+p#uSm1Qm1tr^BPe6QqQp z`{WQ&ag_H%9i2=L6AcQ3&V|RxIJ|s7!M4Poq=iC_jS!>I*ur6jj$S-AZy}681;Dsy zv=?&*9J&I8Tb$}dXB$7<7a|HUG)8NK{$+4QE#xwt`!1@4pkJ=AnjVz!v%5PHmRqHN z%B~k^(5rp4#sXBXvi0|aN@k`T%4k_hYS~78D(70u=2p|b{S>9%&0?^x&Ldt+(~EYl zm0T_e|KwKkEDq4$uRl8b)*k<`vzW9J1Yd7Bnx($7HVOwTqm_rTkJk40!4DYr!=Uf& z(%XB-aXdOBS2m)=sGcATY<77VNqZK5UIgDMfGqXUF>aU+C~Y|5^MIERNq=Snmgre0 zM$-zJk~)iaG~@-O7Q>my4u5p0KMi9XFml8Ep|Uxtjbt%1KN=(Ucd!%%@pADl$e*~8 z|BYjj@TQ$angXnheqi#qa(s)9`6o|%SjFv>GI7wz)oJUaLcG0bv_{R%UPmE+=mYHj z{XCnMp-E1pMO{NQ)#bHdkdjgx{+L8iz<8Gj+>tlxO!}yQxc?g`s}~+h0$t8%DaoHk z${oD<e&c6uI<@6}ZtZ@d#z7=-%ndN7xgA=M`!p5A4gCL8Ln*Zv9o3N+oITfq_dPu@ zl1|&5e&R&>=DKUW`8mqXE4;FQkj>Ba)fh1zMCl+HLsd7)x4nLFUUrPwMZE`a?;`Ac z0|cDW5{E<Q+m##+YUlC8hK7iJ2zx!mk;p~8v+CIWqYVrj$A_G?bRR40vfkKgy6J(N zhy$k|`)!o1>ew3~>z!@Chjw6}@Y`qTKPTK%6J)^s`@gLLf)#-WTVCUT#|FZ5NI<`D zc-`<k34y_ql%p`6tcD1O1aUco$~ML)?aRb;v))E!fxnRPMb_I_Q?P_ja}%|*^7SR{ z^&%DpaPKELGmsdCSadEp(F%r$FT!K65`hv3Y!>E2j1L2O{NC5spSb7*h4YxpJ#-YL zQYQ56%J8rL%l}-#pqJi%DxPHMncq3A2M?d1t^=x)yz;1KK<s^5g{K71MIMpjR%H}D zJyY>WcaSI&aV?<I5^h$oF3G2224}b#Ez0=kb~Y7vu@6=rDPz(ZfCF&{AcW+80%%ML z2QrDD50MfO@EVq-e|@^Mdwc-Y>ofi*J0q09qeF~Ec~Ol;*I4wIW|9Oj9iIUCeyXu( zEQj&0-Pt&+vFJsuqyQspEV{;`i!GP4k_0OOS(o3E1Vl}muYaCKZRMV`uaMkm@}M-0 zJAB8mkUB0J+p|=0<>Cqe_mGR?e?kJ&?KBaW?V?n^{Q}A6;)0Js`pxMA&Ihtzp}b0s zMO!PsNrH%7mvEB=BLR<>l#>LH8@^*T5pN%@q55@=jsiq0OSIHjbd5z%shrwwmp+sP zH8Z`iuGKMRYLv+L>D6e4U{(Q@Q{Snvrw5!??T+$B`ypE5L?f!-A}znU&xdIW+ft9@ zrkAFa1SEe>vPZ8Awtw%~6J=fNr=jeR_ij&P9bH*a@$9FlyM-!ZiHCNA=jb3Z;5I09 zG<bY8aI*&wmH)n?4PNLSQ;|=qIC%KwqrA`D(k3TB31rSi0{{J%W4YEIDm>Z&9xcJ| zO!2lU!m!!Z3e(+<7Qdn_^MdFgYJpXyevyr)NpgSr&SDnl2!JJ*MI##6cTa@|;tk-D zMIE|=`@x>visMcN(W+}(D_ccYWJTVU8qJ?58Li41Qlj2^>g3ASx|<ZMm91LYs+BE0 z%GG{cXrQ%ybmefVm95=hYGrHtFG9(vO8r9F(j<AUY{`Ssm>O#J+3MQX%2usx6_F8D z){uWZHM_E<*nkyHwv5H7m91LYs+BEW+4AlBlcsGc!)tYGDg?}3-RdZEO{yM3kzMzn z0k*Y1!#fM5?Xh87pusHrLA*hYZIw~HCEC==A->tl&j^oQo!oL`a?2vrEu}F>t!_a8 z{|A?tYjJPR8H&yw9%e=7>S9Z1E%B#xBr1RBMXhehtd9F|CH^VZEk%|~6;miHwYp{N ztwd)k+Mjm+NZXy>xg)w&URcL%J<qr;N#Qx-wzST8wYpWSThx#1@zu7>7(VTz-?52h zb%J%59l5ps#_4gC;TpcxqNaU$k4f}xOv0dx29sY95RB#U_K%Ot29nfYv6loLZu5VK z-j4zLfX3(`-MK<PP+U1e$>4A}Mz2@IdeLC+KuH}M&q6O4jrtM3!e8`NaUV2+o*-6+ zyGJ(Pyd7Vp)DzN+F(~ma<D`owl6>PyzrSkkG!91j6DRS2za0cAb*IMTn)r3xFzp0L zIyR4j=8$<m$nO}2`_OYxt4W%=8}@&Lt9(YR0exm(-+Y5$wQ$Y*oW$QFG`kHjUi9Ad zun^pRle$w4;uPI$=}kM_46#yK_RjHb6-LGFI<o`^myQI#;TqqDln6WTDZ*SCEwwWN z0NO`ujEXj(F=%(mA%q3hUJG8Zaxd6ne8Ch&UG+&w)G`;JP0n*I$n1PWYt(;wwe+bx zozX%TZ<hxpR*1&;urH~yNMuPUMFkWha9Wf79?BJVLTDD9eY8flqaL>Ud0Xh5X0@4p zsc9=(xI%LDgHE;&XQn8wWs=^3T*6ck4+y2WaHnh9jHH&B<<S`&_OqixEb;gR?iPZ1 z30+;Qi(FeCwO_sBSHG*}q4<Ad6scGH)oZ`{1N+r0x&fz3*w1Zw+6Wb+wmfRf<HtsW z^9pLq!v(9dZnKJ3B(_;?c}$5(ExD<-JpMMTp(vE@s#0899*Q<2&jK+^ZF$^SH?_LR zwdGM;9t*WR6t`q;dDK3S+UEhMk88HN;>6G(NoBaE(A7SV+UGIlyDxveB(={2J<dp% znpKq*mXa0euYDeA`)T<+6m6!qJm#&ERRY_!<xyK63$;AzDp@D3{ezY%tbPVJ@;C46 z(f;WNobPpTdmU|6TOJ(lQaJVNXscV(w5>{P9c@K1ax=%~gjqCQ9c?vh#}5tK_;W>D zDcVeJdCY5hTqbkod6a(_)_ERNSW%hw`=smhN(#I9&i`@vuF-hwzft^?wa=rrJRYad zBV%}j#XY`gt9Nd7OqAiX`aDb={XHalls9@RwucN3x-%4BVY=Fiuvif#gYeGGa(HY> z8@XjxS<@w@ZCt;HWQ$vk$Y+$cQCW#u(>87(>f-Y@vL}>z8=8N1@<c3&S%kX?{-V5% za>bXFxKXaMqZ6aNur?)XQ$o0l^Xk)Y6o+GNO4O!AZA#1$KBXKV8pfy$uT6<NCyh&; zu{I@I+p<QI8?rr95=N;5)ux1Gz0zD!j#N7>G<jhkt%(bf0sb^i2}L`pO^KlPO3YG$ zqx2Wl#XfH>_9=gj#d*9EiU(1Vdvy+YodZ6V+*mor?xkxWduSi4SK_^!S3((HSyX`l zOlM+P6u9M-pDJ%udnIbGMD3M$aw*+U)09xOlP6+I%p%-tuf(lo)J66GR^2zC0S$EV zrPL|k|Bj}_TRY65Ny^%ks7;C5l<1E2Mor4`p`nb*@Y;WrsJ#-82HUQ^5)k@RQcpV| zA8mE0CuvG(+DUCn%<q*5=jexV$rsguP0uv2DWmQjo`|=K+pzXT)Im*;GpMN-_2M?< z*}Sd@^cQe5nKyeqCr!?8xH%)V@w1o^rfJ0_MF)w?i*V@Wi}Q~*!{zNkj8+f`WlpJw zMC>*0P=$Y9_(Spt_xx_r(A>_xO!BN3llZ=C%K#*F+3%nTD`m9uJoeEV5oS`U^Y!^h z)~Cbt{jlW>bVF+NkdMcDa=ySnyoL99n7)O5L6s$HqBTd;euY8;zt_{+=V}VLoerA$ zZ6B>sZC|udnch0rNWeSjA7c0TYhH`~q|O|9pO$}~*9wN;u)!vyQJkQu%pG=^0Hqli z<Ws$<w5pxdl2&2{=Sr&}6@G4~uDFMNu<}4Ni8(PjWeX6uW+?;VFgQc|o@HeX)JnAy zeOHDBeWj=vJIO1%zr5mUCm!EHsa70lm1Qbx`PG<wjmb};Q<Vek9>RNawV+n2(R^!K zGsu4zr&g-r0<|k#i&4*NrFy?236=ArR;p>0X6$t*G)kLNt(??~4qGeLg(Jn}3B5ku z**(^}HyhB|H4QgYyMkZ-_WDDU2u*kJ&d_2rOtyWm6ZZS*DCk7P^X-PWyP&d)b+Aib z0j$*C_rtW4L?ddWDz*N(6U55xC#NgT4!M8l1WuHv-)<@=k0K;%rMhyI$i)@<<Y5%{ zyWXc@FhhojxNH}laP35t)DRbZ4AO5-7yO;tpxUocUghz1Y|iz@$E#HPj!3mKzGAJ2 ztt;c^Yo+>zm?K5BNlq8bY9Fl;p;oGEr5eq|QRDQ!kZSFumh3Fl^2euC&m!i;3)Fu| zwW7q8x?~+e-dJJ;`TR)rW?de0K^CM?c59`238@m(F$c9$T_e?(CQo15oVTjUHBwE% zQ+b5R?H0CJ&^r9%0mDDEle$)_VcZ~8$Lj{;xnim*t{#^np76T^R4uNmqpF`@RCR^C zbA(lIDt;y9sH(y08mxZc2#}KFgYSP~`|;JC@3=wL%5V)Nl}I%mCZ_O8cBEiIsNDB* zOT2i4$&WDg{<L*o%n?ky$8on#iYY?+eLE<w1sOlN_PI8O^e!V?EO~AzS9dh8>f+<c zt2g;mH_@#wg;{Szi~Fy<BpjjTW7f@jsyo?Dgk5Y6`ttd{7eVEkp0X&bV#$9o<+Din zDWLw^dh=1YjJR^K-nC(2L263xz@I3xTrh>x5tm27ymLF}YQv&7ENa8TB7Dm13*`*a zaAIY6ZCG3(FAfSzo*4HXuw3)aTMDK>r{q)Q%$XiY-zl<-5j*;f-8k7d{b(58A$?9D z)i<cXPExlvESOkXf7}~ZwKjh&{-(r0l!C^7a%+NPsviB6c}!`jkJ_-%^px7Lh|+QG zu}Ef&ITmsGbya$!#>gEcj=Vb?7Ih?09SKAs%96iCP}AcJuP-_Nae6GeZXOF|xTYV} z9*f$rxT%b(UE8eeZgG0pM{B${Q%b=#pjEGaQyUg1vosxB4Dp`2VWEHMDb-_(Kz|^A zyT3Rm7cALhF^iP1Jr=VjcMJ2NRoAFrPKH6LW_@?U&I*r3U172|ENa8zhV0MvS<0>n zWlcFlH1$9kt|@o5VNn|vwP7*b{%IVKep0>1VmsQcmpT-RW9o~5zQ^yeQ1q1Au(-R& zg0{%p4TmTwb3vV1@%(=>D{{)tkyP>Cj#6nczIE)AdU^?5zfM+OVzP2Sjz?!fM=5<I zPZpt1xYnol?Pj@>YNYHT?OCjIYo^0-_PX9UOvfnDw&npTHiesCd5tZv8>LjlS<X3k zHL+alI_oPH$(1)k)p>dUGHOFvFJ*RR^fFhs6{cbG9hLqmL8X5;8BdbX`#oK7#8fbD zr=xB=7>~~F%cLx8bIWa^6cqOXmeu#Ry<{@<(oQgB#`S_Rmbc&cI{mow%}Yli*8FTT zHt81qFijCHj_|hNJoFm2i_?C5X}|a)I=?6{-k&7cB;GJi20<UU9CoPIo<}_!UYTGz zDk+b|pmgk=Ayj`3VRQ>_;BW@ju5C7`6Sdd3*6rgJ`YEclGJ2Vt=)jbbr_jS_h(Men zR(|*B%PE2shaf2vAQ*PN&PCYyhB%#md>(a7Zw(G_WQrj8iiq*y=+_Tx-j{GpvQ7{z zNWmAE5jZG?4auR8@)mLOI-7mXI~sai*pk8|i3xceNzZ>&<A9(a<7S-slZ(Lolv!-- zB=ZJmv9!Y^v6EX71{+&$+uJdDYnl9UN-?ZhSYz{?MPssCxxWf1#AE|KAt0DgIg?<> zFdlp7(RX5mUeHfNZxp2In)j8OvRq>vMDB5FAx)3qSjzf?JVEmaY;&wQZS`T7rivkT za9`rq@JD~ft%Gn7Cs!UZY2_hUOe7(Wg`pRCgD4#Y<IaT#+QrEdID@2=6H~n1dSf52 zNCB;NoHBg5OcAnF_CPS&`u(tDBAQ3Rn5g27uSQ`mL5PYJ3msPE3TWW%KqAoR*;T0* zfYjq87^Z^=^FZjtLx_?|JW2akUOYs`n32uhHLrj9;;aD;3M0~SFdXM|cq<LcE(-&? z9A`%ufaLqX(SyF^YoLJ9Y1B)?Kg2cY$>QVZ#!C_V?0s(Sekm_&{(qaRe)Ti;-UsD_ zctQU%xJtbX$i)<uMh)+qF!1n4Cmi8qrEL-h30^zBh<YqfkqOJU&s!Mb4JHtbVOMg| zoz;Iwv-8@W{x|G3IdiEPDnf2^YtuenVNfk1RvE5nsmjABlWU6l5z8CX$z~MygCrV* z;d<VFYv-fUT-Hcm?~p7qS`b7WNi@S{5J@}|%vDIPTgI-Ds%j)56MOIYzdTa7f+UHM zUJZ#Fm^r)Q_Xvz1T!aBQ8jtkb7aWmzlxSPniMk<e3O@Yk^Rpm(ptBf*an{B-uCKB@ z?XcIwHpS#%Dh6ZC>(Y%gk;?Co-49##BIx(L)y`F?5A|!5#NQ*9!GTAYgk5NREcxli z{N(-U96LYux<-xbhq#xAqXZ{^Ph%ggF{!@|hMPcMFr5rRkRhKCW6(lC6ow@8utb^2 z!-2a3jf&4(qeWxsqTxR`w;KOqVSCbgL41NU_X4^d9ie7`|7Po*8L{(eUkq7$={2@j zx?wMv^dV5sAb;5U;wP#+NJ>u<55a4G_AoztmvMs5P?@UMopi8yf=*|Dk_4SAFUmIC z&96o0&D1+(PwenYm6u+7Dd~G>@rkpr%__2-^;XgOeoG0BRJg49h`90#pZ-K{Fkg?) z^YJB=cjC%i1b`*aW60EtLAr)>8#bc3s{Gvhi|4;MBh%CJP1F0_4<XtxX%B1)5!0gC z_o=|q!Byy;CP6eL^)MxW0SCULqY~Y+AYl{l*9oMgDTow~gQyQJ<BZivC>}h`aVDqA zhpCkEzB5a7#z}wv=8W%MD>?Lf9Y^j^Wt<|lP%A>YgC@DAUFbo#3xSos?!<!u37vib zTmQlvM+2fFs7IyoY2Ca*&`ILu6dGX;rNayNn+!>j1^XgHZ+roN5d*0ivrL4{>ay(# z9%2lHjze!8jJzywFNp`t^n-x&SWHkSBrpLKouCgH$J#HMM3cc87?PlphtC8QT*)@A zle|LVyQcl@(tHo#F^y@oOOzWZOlcpjG2~1EyVG2nv8Tz<yghgq>Ph#HG~4+m)pOz9 zD+LwZ{p-!mt)`-XRV(LCFMM2N6rsD7)n<;K!NEQ&g|T^gx0iw_AdAv`NWc^wO@r(Z zY8tDUY*|Q_0y=5@c>9LG`KJ$={_bCkRf~bhphng3Tf;O;t(;)DsvpgFTTN%R*qsv; zUQ;%-kr<8#%|7=tg%Xx20{$u&c?bNMSv*7)Mz6pktSHleq5+;<_1HkCitzM35GXni zE{EniDID*t5A;Vk{p5_d)93wG(^)}ur;lBPYEg9d(W=1R24Hj+^~2Z4pZE7$71Nf^ zU$|{xSq$Uhs`|ZLvO5!@Gw8Pa0Evd3D>?72q*!lkt=r#RJH}XBdQ4{@gl5+L8nA1w zf#JVkdT7>{sHOxU2aYTB$9`<Pm(QjII6Am`zLb(B_f~FT-^~I7iuWkYNP?Y{xL=;# zD@_YZptgGB)qLX`+y!Sq-QumXk;{5mPquHeVFjlXmqDil92$K?RfI=bW=4s_k%yTG zHnWe`)LQnA^Bd!6mv^TGAAej;=EzqdqyYB`)g(|X`x5}wbWJEgm_6K#G0r6Hgz)L$ zqpU`6uF=7Rw3nS@M-<r6Onr4&-%!u!aCdixv*GRxcXxMp7%s&b!x`@G?(V~FKiu8j z9WL*EuYCWUwmC^oPTJ>bnxu(HuUwtMmc88e4FxXZ7dbq{?<gf&%*}ipUbW4~b{%Y% z{GV>Ce}*i>3||!Y8P&-t3?}(HZr1;WcM-Q;rI7_=?Wc-up>{MWp3{DH0*r}o7EU|* z4G;A+!yGi7C!af=<Z+ONe#_XnlhfPD<|cKY|E{cV7e4u2piC^8sgEO=`@sCz%gZW} z><wLaS>i#sH4CXnsf0Z|CvghV^tJ~@=VW+NBfv8Ko0KlVa3&DDm**=2zM&!0>=A;j ze+t5{Z^mqGH636+jJE+!aexY)$Rc}_JU4|fg+f?AYh6h!;a66!G&ts9YCI>)7Bd__ z5)UVAqP-uEc-J0Ff@XrUnCMRgI$dNK2|Hn@zzcl>0w#fmC#kDflm!c3Hg6}Yy@`*- zXB#so<nH=v`iLj=p%Cuo$LSxfjr7PyOxgdQ%;Z`2c|W_?WAte(z5q@mFgja^ub46Y z^ckW9N<Zy=TLW*X!^2>2uKrW)$^T3Ltm79LT*Vw2NI>bF1l+yw*PY%em?&YK(eQ!C zxu@pNMA8NJKe5LFbS;rgeUqr|>BmI32VY0%)(y*68HKBSbL4M4xcU=+2_2eWo9!E@ z4oA=6Uo6EOnY!#dw*m9};mq@gUSdMb-%tiz-op=FtVxk{(HxNaKj%NK&sc82Wy~ER zO=LHWT!V(Mz%k@Az<~Bb1%yobfcibKIuZ$IMK2Yw#faSu_Q^UWkX<Bi$fWrY`WF$z zGkTpoetK+2p{_xvLlr})BEmCEsKUG)z-3WYY2HNU&|Lh^2TUowwAN!+&)4(^j3Ce8 zl(}fOF^XrUgOyT`g<$CjY%cfW-sS^l!eTO%!*hT9gKLEgP7(=77jNx-5*I;JWC_j_ zso^oFnhwiWu`XJwbh=PV5oJF5;ai~)T6X|O`xh@<q&WySoW0sd2svjcqQ-Vm&PA|+ z`JceY+fS_L7l4Ma2sc*CO|u($8<pD@<-SDm(y{+v!E*ik<jbb~q@~;MQ9G?RV@!Uy zwny@1_(7~r>7U&=8V$PNI7i_IR(k$Wo>yBoDXae~Kj?qk3tF+Fvp4#z-W0QO(vc3< zg*LO{|5)8l`c7UT&{*5v#qIz8m!19m<2Akw%4zMUEu9w0jO1HjP3XJL;Rjgm2PwA= zYqts;mQ3$TVbd2O3tq7SDBV~`s*Bo|WUg{ZA{d_FTIWoT%4FSgNHM_6Jl}-O)i99F zpzp&CeU)4Ee4e%|aX}H8$spPAnhqk^{ENFN6BajJyQ1}z)M3YM;J*?Qv<3`s2Tpa` zA_l<G3qzWWubr&mU&p<wbLo}-^tavA+Z0%aq=XK7%{4SkyB`Ywx+l&ePI0}?7c3g2 zGtfO?NTm+Zmy8QGoLvEFtexM_EGy!u?is%1zas26(v53;7gQ^Rn4ejhNmbZ45U<U} zrPP9`{EeGgz4Xx7WW=+BILz8R)GM;Gjm?U0_;`|ZdTc69=6L2sPBf(w5C(HGD+<x* zQLuAgI@}|x9qGGEqcyT52n@J225tb3Auj6OXsIw}hKeaw1XG}8|1DF8MTm3j;yS;$ zL}~g!RTCsSDK&NUrOd?CDI`FUMuq$j))*5x8>4)g`H<?qhiN7}7=|RvP$$BVKdRV+ z@J9t+Bk*yzX-eXB%Kot^`r<m9@F4gblj!RxF2PK5&70`0w6~8X?}hcIxB}qi&F}Xh zA~r8rd?d{i<_ECzrOAwX@VOyAe*6v59=1VrNt8nAyRwrr@Lb&HS(emB$04#*r+Z_( z;MPW47Ne%|(FV`Z+?!5ShUmGZDJ1A2uMT7UZ5S5b2{E{3n^sZoB8)e!CzU}rzv6AN zJVJ0+FnqauSL<^7`cLk1_c?xXarK;gU|>{1&W*HRa|IwtPwxzooTJ)5%YuH=(`nd} zlfj0m8zrsNMeT4n663nvoTN3W>XUrBR`^*;-t@V#&LRK!E%0k-XhcJCp+Y>Q#&MY+ zBd1G-1Xm;TisqaL6>WA*SQlS@X1ZXx)zuQFxs+<%2<NUOg*`;!zP@Yv(0a48nRL?= zv92VG11$jQtelKrVa+$llx$P{v){N`9+4DYvXCdhRP9J!;$c*<b|rKVE^LjS*cIq4 zOFU~Jzr87~e7xPQxoLGJW2olIdwY6*E}P@F>cY}E&iaVH-k3|J&j?+Q-rGvK9o(Aa zAQUwNkuP@1N5|~>kqe0uLQyGYNeM}E1$jC46agHbUr3W3S6;TuVJ-f6G|McoJq@YW zBsq*O;k(m62*R*Mw)n7+`Q!BXR&!l`)_H$EsQ%1MeRcPMTCCXhF4|Jx-KQb{J}@}6 zO<hhd_NaVKUvEc{^^PDzcU>vxr+|$AYb4@~@s`eeS}|Xi;|zrH411G9xo#2T)4_rG zZwEm6;K4{$OIe?f)g$>HrHYeMHOS=aA6IIex>NN-N(9+t()?V&j&YdLq9ez2uSm<% z{(Ggb3%!?fYrZ}&hsI1ASM}g+z!Ahu81OqkW=P|}rL=drGCU<v)zhw8=-jkvs$`R+ zrkB;%@R=zY8xskq2&H(1y0}J{#8;Bup$I^M>CQ*H#?4}y2^$(CNkw)kLXjm=?t9KV zgxDH0d_j0RmnB&@=)Zp~ec$T*zFGIj{W5)|()3KExRl#B&1U@eYus=OGkBKQ;`QSR zX6%Lh8cPS?42&J=_TXNJkfBAcxTTko!?8laGjfb<I|97rK+!rT;n!r|W#h9TWlW&v zKA;gLj)O9}EL8JFk!y)oJ-y~04BYnH8;lK!GU`3<K-hmamn=y_V<6rPq68FW(>?(( zd~BcSY~}56%0?s(r9!FJBy>B}%%8jLS%#SxxST-f^2{xWSQh$G6_>xGWkse2i9^y) zZ*m4<Lqeuazk4|mQRrsk1r1DxG1>Us1Ul$baPM66k|=oG1YVofM2sxMI2!(5>+m18 zx;_`zIulXO+!3(#SPiSlmKpG^={OwzRC+)DbeQSDO6I10#=X$rVs(%-^2?>V<08yN zqo{qLH|uSD4N4)^WT-Sr-O&w#Mm(B8s>7w|lVa6hPPXIz<1|7TUw~#|H<B1WAExDH zqcdIB%+%s2d(o~x2JOKpk%kp6f$2^+b&N7rDav_+0G!>Y&3;cg`x`W$b4sJgP{Cb& z4NL;<hit}$FpiRvPOK1$>C}J`_2>|v<Z=?fLzgR)d-pOM)L7;V#zXM08^ZgJr5p1p zZ@J00db>;r2H^tnd*Bnqp)h{S@VHtFaQH6X_;t@7vAnRTdL%XcJ-8sOs2W+i5!dQg zC$f*@cfhlDKjU-gOR$*YZPK)JCaO8GyQgArj=gxH?jKlH_gMcboc-<mWS>3}BvyAY zk;Un~ZVr(h*reLwR>o&G$skHFeyaH&DY9aGxdF)X)kz?}ST#NMm^5AB)U*EJEnx3{ z3`VG}sOF$EI*Ze6)CA>ACSLW5>x1w<suv(|<42<njJ4m1NSaeJe2p{&n__y%<DTsP ziX`lpTvNw-!DHdvWzDXj?X-BGp1blw)s@B0o4pw-e3t#KM_HzbCdif<rkfu({0men z?_H-+_a=}cF&F(x!=`{9nWh+mAgO4hCS;b!x-h+PFf&6zDD^T)A{u)UT2;#Z`*2Sk z&x+AV&W(jrJ$(#SmeBHo5@z{3yOXA!{I>c)ok}yLJ=k|o!SV^5ozqHWx64${Y|n#z zW~Yo`J3=;>i9+^v=tO;;MsBmw9fv4Bjr)!}+y|f^t{~~;KJTc;{40w@<D>e+{@U?; zTl0X~xd_=6rQ6~3av#h}L174=j9azYc^MXDT^Ll&f|^KI7a@9%k<JRILvDg!5NxoF zEd2J8hfe>6v5$*2t2^>!b^3(59-B@WPTqy@gLiD6ADuwhx{eYrF3b+6RKV*7DJ|2k z+Z`Z0Ov(VBs)0ND(1{AG1pDMS1o46G%p+pHMJfkJw=JRS-c$T+wJq1qdH$&5evGtf zcOJQs&U5E}fA;K;i=yz)<Yl4f&mIoUQttD1UK0Ro71Jn4pP;mqx#hMwON98Eb(+!3 z)RO!`@&zlL1hzE;=U9m6uM=t^KinFAq#6)!W~Vy*TS1WYd3`pjqpBDrt`imd+@<%i z%)FyExv|`J_(%@;AXv>6I}Vag;j8iv3kq#ePI6IPxg{k;rdOiScAL#b%qJ-~O5gGZ z6&fP7xwEYuN3R}LI!N#nS!`6bLqp{CXBpN3U9YPGR@=3<$t6&&yu<Nh=LgF3c)+^$ zH2EoiQ7?nv!>civz4R^Ee&4`Kinr9t;dK6=yJId~i5WxKr@fhc?$=Zq)m~2wo<cr~ zMdwwNi;v&|;}4GwZayACG|pa3^OFE_uXRUU`Bmca&Y(1|vO`JezmxLa_Pr{2s(%#7 zNch4<gTPS=eJXagBF-8p<`%x@&H_U!kZL9<;rs>E3Gd&=bj}B^M`adBf;z#l1Lt}e zn<8?)3QE4S0`SZV<LwZ8$SE>5-f&*I^}9AAIZ=n}H-lttC@c}QKJ>d;^z<i-q-sZ< zdEDe@SM=r$IC+%cIiY@;!B*=ZqW$GTQ=?fAFNtp*3fK5H9d`K7<=+3s<{tRbnZW-= z9%cn%`E}w>Au}6;w^tRxUsP4KC}2Fjj9Xct6*e0k#h{_2KqDY}d?!U%$`+-D|8n=< zU+_FMj_39QDQLa<90j}zO{Ci>Tmfd^S4c<dx_;Wu#cEq`ypUGr%VGqz`?L2#OfZ6Q zZRzqE%sX4;z*V=xKqlb*pbdyxBwJ*9N&O}hh!MhRX6%tli2Cj&=q*CZG6IeLmhs5% z?=1+n@anz~5rVO@9ri2w18IL~`b~@Z=iLj7WBzNNA(%ASGm14B*|Pf{*I=!V8<B9e z+}$G{oBtOZ<X}@R_wuAKxBU36JAR-Qo$kezD4z54nr-{Yw)~^cxelNNf|*)7DaAd9 zqI&L>gY{-NKl0x0_)2xds=iS$U3!`uk(6zP=5VYWGF<(|?^r@#3*s%nvsAGR7TWj) z#|tC1W*9U)?lJ1sQ;){qw;UNJAM!Cy4if`$i}(2;A=vS{-jGf|WAgh>Mpu8N5pB?z z4RJ0;Z<y{u{B(fZ>j&c2N+BAfwxvQkpvLCf?;+-;@3ODjE&m|+j;3?Av;;&8!v8hj z6FJ#*pM_QPu260vaN&QDSjZFubL1zX<1JPfRSF9otEwb2FeDd>afhQvTbEA4DIR== z(xt_p5C=a6=e*uZAmFfeHTZ%LiHYpD+fSMDq4JTC;<3_gxebim&qZ~>M8Xt9Q`dJJ za^{4NJQGk5t$n;WtS-$yi?CJIw=3L2*Ba8=pjJ~ofx#&Sv2d1hmSGH1&24>b03ds; z%^y#kPR$}4@4!dg`7)#1dB@wk;=;T36qyN4Gb}-?nB=KQ3*JBSQLW%HUTojsR;DWf z`OK7i?dH7VDF81xst-E%X6yO*RaaN<_x@F?r8_cj_KKtC$rpn_jFpEf+@P-QO-@Cb zOg$pkTv<*A6C)OeS+jnp8$<~aYMu0$#(X{FW%LNjMjwp7OFp{RAo8Gyi$aEVr>Q6F zo8xQKr}*eol;YY8Q~@kzT_c-j2r0MMRG*Hfp&SQNPT-dx(|s^z=kd@+;hh%3n0Q^# zj0{+<iT1_j?!v-k#x!<&N3)XA^^x{Y9=wuce&#FQs{CStph1=a*iNWA>*>GI^f*o} zy8HbK<cpE?X6pRO#`OqyTO5-0h$qSW(iqeKR9oIcy*7W+j=FE0TQ{j)Nth^Xl!NGe zGGe^$2D}~9To`vX!ukohGJ*-{w!gdzKBCzR{5XYa$t0WW7U3##-8A4i=j39@d<ipz zZq|mrf*3GE^?V^iLmIQr$0Ixy_A7)f11IY<n^Q}9R79OL`D251l&eg0uItfFP>P){ z8>BOR9Mj?Vm(K!y)qBRzQ81JjRsHSV$Du!`3Q*c09<O_GTAp(H2nu&Z97&EZ8nG8G zL1S8YXa<XfL1l+_dU`aHN;Y4kaz!@gBUtNk^bg33-VwQ~P9Pb@xK23^nO+MrJkAY! zlX;E%*L<4T_Ct3I_ib*)w8iy&b6cFpaR7-1LHt~S`>;q~;3q|K!a?#CXNugGJa)%8 z7myOIi|g&kDIGWZ8%A>@YV~}A?sQ#7s$mY*MpM7`C^cK23pUu@pWd`VGet&41|gCJ zY(uN$A_JLT>5mM;4}m3qtMD{k)eNFDhe?#jbls?;jZT4gdADeAuNT`dYd@+bybG(k z&otM=m)JQ|_epa!D5Nz&M3uXs^jX8<JAgBjCVrvl#M;M3N;Y)b4kXw9u=mEL*=U3^ zY+>)^%itNm3b#u0y_{kE?*i_cz5_=Z(w4IpPyUR}PbMXY0vednfLjrMLC<e=6b!Py zDIcAWU)NEpIU|0wxbPv)Vtr3d0TaNO$9I7LE8Z7!fo^aZG}LzecY`K(1hUE;DIdtb zIw>TW9=Ln?R+@cqe?D-=mLj)pC&S;1@R99<=RsT{SUsZ<dm{Gk<!Cb#5<s6Q?WpH6 zFViIKk<NMKU64&k64Ha7A1~#PDCGyHDCq<4ict~h^e6P#brzz%H^(~_Sr$$BvZh^f z4dX1pmM<73P0Y_L<@#f{D2f>L00M;Tn$ICTx;gnvC`?~syahuDLWQQn44$Vo{^`9( zZSU!K;aBt=X~e21ch006UKTN||JHjA>uk_%WOZ5Y&UQ;wJ)Y0plsE_@9?<>5p}aYY znPwf;OU%6Ts}N+Xp@dJcy)~=-bSGc7mAgST{l2cM2a(mbGYxfv7(Lr3X%B=kwRQf3 zgl0pOr$Ouvv_CF(L%-J!o^EEUG4dK7>V14DGT{a@+Mf`#CpAP0Egl{lJ??c7gE<~1 zfDhdUR(nD;3ddAb)U_l3l#=-G9~^vt!hCY_b=aH?{TH-BmU$sfZ~B<AtMrs!&iJ8T zLiLQZS{!_j=CrrT`$}W*U;tc06+~(^!oTv}ZD#k4B8jzNeKS$qc+KcBts}_Rd5I=U zU9WS^4&RcM#dWc=_R$|XIcDORTXX$s$Dbi*y1eKS5Nw3eESn)wakMsWKPUDukcBuK zb+~J8r;MpCj;rSUL*}mqN8YwjiTVUDs#71muBNZ_+(75;Nl_5t7bbvOCd&WJcZlv^ zUPVBs1qb-9@tvOCy|tezJ3MG;IVP}UA6`6Q)|(=o((m~?(^{qPp9n+&v;tr6%QIy1 z@05lwqvby2NGy?<yHyY}O@dkeYVd-$=c`wh#T{ac&!$Vgm&IM+s;`GB>J;8g9RbVV zg<8;m7)JN9;esItyv+ihNCYGmf$J^R1i__=r!s6`k?1tP_pcKzhKkfQVs|qVGq}m1 z=e^;j%0VIQ8V7KGx&3mogKW_VKhIwuP<SSJc!!lK=}_2=4tH%l_FkIc)}vNkNZ9-2 z_<BSk@Rkz-uRM#mz+zbZU{60O<j5`nztoYB+6l;Hgxanw0X_hJU-4IkpZIzA*x_U7 z#5`SRqyJ(w77ESf*OX_h4?5Ey;79Q|ljq&AMWF@y0whl-`_?)n<7+gh(?|kI1^l4F zHTE)eC(%rmU}E;P(bG8LE61QQksftc2#{IoCEr|SxM$CiTIxY#R~>L<8&^c>g6OVU zeBV7oUUD1W{F8zD@!*`Kk}}y};F*z49<TfpJ4jdz(?cac!7798xk<n7P^_Lszlxq# z4tLFj3}+7t3U+-Z-P5RXB=(iG%j*lUsY}ED9C!?lf{>z$g}nTC-+t?>PJJu68Q#X3 ztM{54eMk9A<lzg`{kAS3XzyymKH)&}9^m&f^dg9o3-j6I!QR^LcXf5pl|3y&bGypp zo%NHcu#BPC*<a0YZB8?cU)9&hIebdLI&k&;h-D^YNKBhe%^VWh`83~^C4FE&P-f0a z9v775fCe*jBg~{)wsCEPt7Oh<lci+7XT`Fk9S+oy0VsDYLUKHL5EL7Xz|S_!O`Osi z9MTe;b10zLl(Ax#&o5Bl5u`79{<oqACoL>fgbio5n$p{-aBQ0VUKk^K^(Oq1OV6Jw z@HDUvBj6WJ!C8K5q=#=Z`5aUXH^QX6!WCU?cTzgkN_rhR+Zz~RvQUpvOE7iXhn3)Q zr2qX8tMI#a9WRHOjpkvrL<Z52@<Zmu?D0bUS}nlr4Vgtm${0Y(9KLl6`yzI7IwsZZ z>vyfDRp&Y6?;7!uYl>qxPRx>cJ$pahK2@=zwyfm2GKRFeNPA5(>N+|(c>2w=xP<>b z&I^(Q)g`7!FyS;VYDrTuW>c&Zsm^Ztf?pnH;*81i>r2RlxrGTwdPhWbSm)-7G;iV3 zF)`q-ePG!}0nl<QaG3L2HGy>CzS{vUy8;XEBL<_5-Gz}JQF_8HU*HdDzRiWBFIRt& z8i9paee5PLO4$_hd`Ti8;T$))E>Yun|GT92@O)aQ^XHNLqj1)^-p13+2MLG3GQc)5 z83eLXtgvo+sP4O{2&dc_256Vor`BQF8-ZpwVjV4Sk&erb&J)U&mnEHqqWk$ti0?KI zzfMw`-SbVo1-*Cv&8};cMvpFgBQ!s#x8A!o6fy`p`Uo7reBxq{e8LHuAKXUn=k@JW zmUY_86t%oBHt*Lz*C1&1yHGuX>xKM%)~mO=-cGsVO}^kD_gj7GalQYnQ=<y#4DUIf zl8iRi?rxO&6r{WV?5)bw+hO<l%^vF{Jm^iPcy;U9UXK7iz0TlRT|*6GwHLWa<^0j7 zxhoE#r5+t+rkA)#1=MeATL4uxgjHo5Y=SdGdt}VP;S9GB#IHWTxj6Jw`Z*<PLbt!s zNL4Gyg!*&Zl!L<s$sj!W2ry*)yfhO4lv+mM-PO0vc@`&rO1GuzEbp%1);m)A6$QP? z78hi<Hm2IN+*f|n>95T{O8Cjv{J-gowT80HI}3`RUd>jE*mVy?)S@nV?%7T#`Q?t^ z4{rp#N~#BDDohmW^+7YM^Xlz#OKd0KxyS!6WPO+&=;(@{8UHWM!~tU(N7YtZt<DmD zH2;K`l!A+dcVm>6vii2wd~LB7<B^pB5K`|H{6Cm3jkEhuW|<Rtr`^S8?cXFb5=gX| zd#g2t{&!JbJM@Yn#R+_Z{~$qlr$v1tRdHZ*n9a;i)t2FJApxgW9MWEC?F6l%oS(~Z z)D$n#99f}*Rc5z-6T_+2;OMLU-;i^=0-|-z@ZzPOEc5(=;@0L*b#O#}BiLG;u}!#T zd-$(9{?F`_J-I7wRH8>3(to*MG>kMen{P?;O+eqX5m53^xTm*<+H927u@eISm8t#n z?r3aV*&8Xilou3xw#3=AR5g`a2Rr<Kz~lTUJ^iVbec~+}O5>cp3dclcV#fa@`JWgu zp8_p>7Py#q{4YgJgT_h<r5R<`KK4CZ^XHBwK1KM{Be2?+wXZIvaAV23aQP{*&f?7K zw<9ZJhkg92iIx9P&iS9mrMNYUf8y*@g|+`xA$#xV{kHpDAo-RphSM2oL;wGwn7fcK zTz)1@rmcK3WH_?o_|J6J?2Ij^&06)s+Kzd%FByb~bvKaw+p(tjgez-Ddfi@FvN`S1 zi#|F%_Rte{#EewzvXVsD>*N~*Q3;!XRL<?`fpV07dF9UvK|SGY7ZV<m$)vl3xUWZl z+^#66eD8GY&4j?!68B1dK}Q*Sezx5o81LYoYD#Ww>^7hVO{-O_tDzSP-<gY8_o|h% z(Ejz?pjhDJyJ=H}M#=n6v1}0A*G6aBna>x>=fD#JBcz^hIoePWX!beiH`2~T&*n%u z7jDh*Y=k=o3SqX~JH_YAU7YD3!<gEreBhNU9sOJH=>2OlB%;HvR%GmiA^Wm^+k?jM zz=cR{kK!yxGCS~3t=tpD)5X(mO|*)rH>`RE+*K?+L0Y-ld_a<K`4&@lwTpbX`CRH? z%FY_3ZR=C*@lLZ$jZ}CHU0DkL$6;pZ_Cxh-I7awY?pl5zf~d@KD^&Zvxe%+XrC%$+ zH2;u%+5Md~P<Q30T&0HMM45zF$F=K+@3r7Q2N`r*y<I9pqb7qrTjFz&_QrAIFuXRP zlBb~fG;^W$E|`j?5}FpROQKvdhI!7K2(9RI<2UNWb7QJJw;Z!?Rr#d-=B?`xe}JT# zs`M4ddjrMn+vB^A6BnU52g&Oi!K2BcGwfM;6NURR+#PBnmmf4<OsKH=&j7M>`VMHH zQMQz|^-=|ul_<8GI+(tlEsJInYVQzWO0H673;AL#`})C>BZTn=SZDKyAOInM%^@tX zoRUGW>B|#l!r`->Ca-X5!6D!{#JJ>~pk}z@`5TJp)pp$4M@!x~hmIIizJu_Or<JQC zbb5hAMP=YAR+Ja$5$~lXr)TNdv9>L=)em*=1myPlh#xHXWX2P)sL{<>{~iLyTLMA^ zyy>(EO(giW7Rd{1C*{@Dm3t?=Woq?o%52x^7sQM50}9RFI3`x3999Y)S%Q~et9fOe z!H$A@?Ni3dwxU70C!lrzj&p||%N7Mt^$_5^{)?C7G&GzuL>HXgef36F{@#EId^$Oa z=-*TJ3C2$IKRS2S(k_REx@DmD?$3RHs`XuZ>bP_%;(!$sV(ya7cGRyAdR#>P?=p0l z?2j-o?^_5ItJs|OutmBg)Xiza*<Kr4LM%ff?Q7fsy+H3r7gn@SMv@Kl`B?*ag1<ih zhqI5<T<FwuRo16nGP=u}UHw%eLobHoo;|dqAqJN93eG%9_S=moI5f~rEk8J_3?u(S z#kvx5`h)QuhHvn!!kq1%m%1|Ie1y_ZeO=pw@rP^E{h4M3@fbVsioxb!aU9`Bq0_u0 zC-JUHDd&}uWH0RJeNt#;VSqtNZ^t`!Njwlh^zULHG)o*HUZ)+idoeNa5{W~0hm$yX zhSECCb;t0!r`EA5ISX*SA<HC?OLWkM^PZx#@^P=MMVAG@I2?sLy4^lCtnk^85NBrp zWUNYaLzq18qN>zdR!CY9oS;`Yv)Biz3i=}RZ}nq?<feZtkVpu%rIrA3-(%^%_QWWx zKA6HOkNvZ~0@J$<Zn32FEx;}Z`8G5=G>=0d3^;T)D1?I&WdW)sskdws_uYrKx2%n* zFA#f~pE3G&$c%L}n`IxAH<{}9>WVNW%2!tzg@W>_%#$gi75=}aXEvbB!pcsQCEj46 zAGgSNKdsR-OX#^Vd+FlcYVz!+g`B7hfT)6AL_lpUH%)PrINe+(bM@$EW48~dr=h$+ zqe?J48|Z2}2e2#*Vi39-bnfZe%@Y4m*}o~un)h$nk_9>~qt#^&2|c?nO-jX?f)X5@ zAa|JFB?BnJ*)Ewxr)VH3uEx^a5q$kW{W%;VR8U?XtKeFBN7`vftC`{gKgGS$!Z*I^ zyZ&Semlsh=4-}^J?E&p5>PAWJi2o7q`!ht2@5zE^1vI!=-ZJ6rON9!ANRj^$*Sy4D zXw54gx1?jXG6hLO!D$!L|1G%6-aa&;!|kPwNo5l#i4ppa^GCeK(?qbgTf1niAWmxM z=zgRW-H64Cj&48Qpdy8h#mWb`4d^3Iz#II}v`U;fHFXpusD}cwwk?V*N?scOv$&=` zJUkA-e`!{LmH-px_{8b^LkUz;#%#5!2}-$jz>~{g!=v~k?ui#vt)C-49Zec1Tz_1S zBa5HPrc70}MjPQ4Ado)3%q3p+DGgOrCKcUFAr_5|B>ou{;@6Y{bHoUI6gG;OiC5d! z+yUoc<9KVEQc>C4)(Y!{W(%h=RvXiKOCI33m<ppD|G)yZesItIJg#!MY>--+{o9xL zdU0@TK9u<uogP7<0yZUfj=juRS&$~2CEZu6gtsqEg)d;|HVc&B;udh!iEg(s-*-?M zLAN6WdDhSIlInln=^^kn@ZVx7qo{Z#ZkSQicE)CWJfCS)OfUDWpxi08M><`$8A<>m zPTHC{@|`##@*r{Os3PCU>jgYE>y|O~LzCTjRUKB#gxdRGb^-sHP7jIrJPaD}D4R|{ z2IruK<HMEIUggvZi|yd`w4?tP*NPI_$q<Xb>9!z{;em>B`bhc`<prHmNX-8opZz?y zT9;7v**#dU-2Ax0(o~FmPbuvmkPCf_#>2KznWO6o9fgRG$F}@<@}Gn87%Y7!VR76J z+i{sn^b9OaDb&qePWXTmKDWHdRnVi_Ahvb{SCkyw4;C?UDE7;uV734oH*c?Ie;{yO zK#gtN@N(j#p<I<ycqd7TbJQA<h!dY@nbrs7AGNZNChz%xNQB4pUJB@5Mk?6Ugy!z} z7>k(Fq&53hzA0L%b7FIr;qtuq(Ho#jI$7hw>SdY#^noiiI*o+t60cMe-7aFaV#VA0 zJsS>t<!GI3=t^Er@Kr-Ao)jXc!64$f=PLoXDS4PJT|yh-(rJag&o|e6NL}uq6gh(t zfzflcL@{RK&fbOjWrM)9lS!@#sM{<dS~LY>J04y{A~YJ2sNQDEJW}%M&ps%DcVxPj z>(k)1Wq<3DmQd%h&j2|kVuXf7<LBv`sjxbm?hX%M<)lSrF{<8#Sa&JD^>!F2>5pn3 z5@Nj%$B_rHWx+U8I7iu>b&DQ&@F99_l*!=C@Vq#K?6R|c&H&=TG7zx<#~ln)=&B}S z>-3D7KLr|cKmf%m+|z*rx*&E3P5`8TaTn)lgpB*{mdTMUXUUR63I33W56z$n-*R<w zGYwDT>Jq0zb%LRpyP+Q+Gl3htt?#4V_}|7(Xsqrm=w=mn%-@HT7}AD}&nh47)P0u6 zQ4aaM%&<krBtW&;#>@Fb2Wq#k6_)7gbn>Ites0A2oYm<?+ILjB1>6dyFIC(0zFJl? z<$CM(qP*TO$WDVY4Y&5y;<((ibTtUl5(4YSx?v(0`aizdI*5sAvp1~$s^YxQbXOO_ z7r(XES<V&QOkQqV7J937vA5?qMa?P9@2WRwCj3{INe>LM)uNzYm@>$*I}ag{TYX5x zBlSiE<lVERM6c$yq<KhbK4?#%z4SQ|K1!&)lO5X33mxsXQMzDhHFD{)m#<XLUrp=h zQz@w1HonM_JMi=&87?Fstn0Z?R!#Ej^{EdA!SYv*M|B0fq5#Q02Y<(SpD|sGwi7ct z^`n@y8-U)ZtnBqul_UwBs+q92_gMsyICHkY4yc@OpoEgvh;R8bAMr8YNqPj%WiFj| zvKY2@(EFT0uV2q+H{pKWGftWq!jJU{*c&<e52C-cXq@f{&r9>yf~}ALGpuD*UK*M+ z1?OF~9*LsMD@{BwtH@22D{(=bcvH^Q4P&wlZvy;!qTUM!%^BU_JC^Lhj2JZtefhe+ z&HqY!3QB@%rvm60HMHkblV!BVJle=U9FaO#d)qq=9-P%a1FgEcEx9)~3=Dk!daF2F z+hth{JKM`>s$Cj=57PS`h!ai1VIVb$X>*)pJzc!I5Ix<`@6UJKWW80OhyPuId&mq& z188C+*?o`CN=0$j@QD3xQoql@P<z|OhJzzvV;AJLHCVo{*lfB8`SW}d4fEWWGISQ1 zh?7P`wdxBEiEMx(%VjG=4*EO;iLd3xQ_%291mn45kyT~g4Y8Y7gqQJv?hHPvlYRdc zj!p8?kzS`;YNo!Mmm2Axq`z}S4UV;5slePAlXLVh@7AdkU5)M%8QZO84KFa5_Mv|L z%QKDFRr7N1RjbToE|8q{wbuE5)}FbZ?mlXAi$M;ve?A>PKFRj=*YgaS+*XtCd;@PF z9`3U&J-0S$x8!5%qz9*P!?%H8rik)}HzX~ueULUn9*ElH!Tc~p*w1AvCO|c23-DX0 z<V?cp2&A14UDgv6&d$|t^fh+(wYS-*iX&u&%gsq`O)RsT`5PNyP_$0!po%u0H$oS$ zalG5eJ$DO}ckgx((MILvhvfd}czZo8eR^``8OO;DN{q<!je)VPJqn&PN7qDWfHEL% zE8a@T!<^igYBt0|Sg3NhUHet?6*%&)Gj$Ex++{o|ZLIH(5)>5CRUJ}h5j3`6T7#n^ z?y_~xe3jf+V;M6&_@G?rItp`shU@h2co+EW*i!1~HW2k$0-ljue!H}-!S<{ghJ-J@ zXKmuNH=0gXK=XTq_trvEpfmH_1D(TmP!m!2H7GzNVbH?DQjRrQp959(15o(1Hqw_w zd(1=xgW0j$aAKe;$NNQ$q?GI^=o035G&Tb+9hFu~3WvZ>IV?1q+E3ek+apd~$09lh zLPa9K93PDh_1v8>R?0|zrCqo=5!{>FAw{o8jrHpw830rPM-g0V2*Hw?xiLAx;;xHD zJ<^011iv%()BvXwMtR{wAjXLl)IApcD=6L!7~TK=u3TvGqkiuEXG|L<FGL_0Dlbm$ zRIjC8_oO5NuZ<QrEpa_Y^oOr<6HJc)<t(-+imek$!GbJmR7CZb`_>1h&uAeXBx_Fu zvsdJuUDVp(w;i<E2;AR?cRT8_y|Hy31Y*^eTqMXVXMfZs8swL$fy@WM&)J{Yrws78 z_{|J?%=1WZXEY3_iGD%Jj(1_lus+t-0=d4@xT1-B!MyHG){#sX$6?}AXgD>w#gtsM z8r3g}$d3cgCDwaJPa0~tdb4R^=;OEB{;AHC&)5@sA4zcJ2k|>1`2|iN($@`KzO?f> zi^T{!e6JPso7pn}o5*EFsU^mPYi<@4Boj+qkUT@F<@VkiC^el}>a*9Bi6=g5t&?b^ zN}UF;(|E|9?9TE{mQTk<LzS=bx8cwGz^k6_%)dfImllI(<uK;X0&EJ4G}@9nS>?8W zBsE%y;12kzZ`6+6Dy@I|$-`2`41M$Iv#KmAfTL%;&C4qT#8s=W%Y8@}yLd*6vYupY zHogjWRJ^%<%f};Dn!!{cZ!oP>8W2Y#5VOW2<#4m^!m}uD%FnSH6#sWo!u~5W%hHPD zH+J>I_8cJ|!yt1hUV4dRoSWF+F?=!EVD#@nQQ42s*vu0Lj$7Bck=U3Veydr6UHm2C z`Q_?21y~YlKpS3Lti;}lR{c#lBU358pDzOwGnyAB*-vLrkDq?VjY(Wd&x~XBR^{%@ zg6S+QL|Z7qyzjKHoBIa2d<!^Lu^K&Zwd0Ve0XnbR{sS=5cisZ82tV2g5^bci9(Qo+ zgCs1!LhA`N&+zn5jS~47Z&hEUcT-U|)bYOQ7OJ6a0P3PD)1cw~H!<*H_U%Gfa*q46 z=)H+ll@clgJ97Q1BDCsCt;_kYwMFM&ZoTu|#~OKT%=F`hrLBx%#_Tk>olG~kucW!R z@gB5=YZ?ngyv6SB*)1MYk(F7VEqs52lh|CJJM)kz?f8u&NcW>vR+@D--4-MZ8shKC zu{p}#k`?A4VF30o0_pC)dEi$b+aV>zDq}{4b{=}x(7e1&!t`O|ueYbgPRqebGmmRs zCdnE@LFB0IPLc^!gSoA@`H_873#e+31>QccOICVIdRC<i_i&36NOZKhdikN_Hv>ED zMYl;lZl3c2p&cy(f=7$oVXnisq7}LWJk)p9HnZ`gM*y!(wN3yX#QDx@%Z@{0gAGi= z-=Tw#!71(Yw}2Ok3V6{7Y5o)Z#={Xyj)eDY!hHTCxt(eQuV14@uRrbG@leTF=jl6p z?rcZ(nbKO0@ePk>Ucst{%i#&`@da(3aM$W4N^q*P_tyfoT|Pg$PCu(_us5B$y3!-K zBb;ixc>~?{?h_393gJy;>WFzi3+c<*C?4CBv!1W<Yg7%i^r4de37`24dVHnCKY&ZS z1_eb(uE&f_L+KyEm^dBCv44%x`@@i-XWo}4G1G8Xb{izxhP~Q%sCR|SQY~9@NnE>W zgH8L*;2w41$q_8H&-LWk<m7UD`}8_r=mLeZZ2_=IUelf+i<i(3S9?z{z8>mK&u6<S z5npBIo@@+ZsW3C4U%{|i+8jr?w>haFJRs=GXT94PIMBZ`n_K8R6MJ`8Sbb`Cceo-p zZ??);+w7Qcj9R<$nX;eiydd6f!^Wv6$vZ>p{H!X%g?eQ`bhl8><rd~b`c5GKYJZ4i z5CJ?oRPS_0wi_*mu;x^?8GeYrUS;3kr9M{lG5oOdFlBdpZ#7wCoO<|$^U&kdJ$vxH zht4nqR2@^ib=a?s>Lgk8M6cn&ZPBQ1i~XG-<UI6Y<=ju>CcJCwtVxRTdkS+rj^3<Z zyV_{{2+7@mW>q6>wy73<`%;x3{c`Ex6$0$WtT88a@pah#Ue6Rn8{tEXf<U`}%i)DP zS7LvZsq|(=*vsAv`$6fxjB|+t23!?kO;m@vPF-m08(;tG8eo9a4i5)m-TSN#c}{J} z=ad>m)H91#c+&4WtZBP%$F%!_dP%A=9BFf6j0$DH6(Eq6fqggTqiXn86InE)h781= zS{y!}9?;+3a6Eg$ymh31=#CV@e9gH1GgCNtowcV#okROt^mLP*bF+htBKGqjT+z=3 z4RMyy0?-eC;dMatX!~A$Lr7?#+nAfZf|?~+lARf(;yI%D9@#B#Wkdc%`dZOp^(*e2 zD=ENe(_{1%Omqc<E!iWJX!{5s@dq%2-z+drwKO?;`1t8ML`We$Ffcvg@pcXz5L{^9 z8^!%twS-L}&0bb?dqI*7x~+ahNIH+=;(_y<y`J<~cQTF+Vx~aE8*~wpelVnJ(SpUr zi<Xi{=R^$3LK#Bx{hC})zGSc<rCIDpID=sPv<pN;-B>sHN{!d3U%bp#nE)K*=8?AV zr3*JbBdJ|j79%{al&`34$w%B`OvLXAMC|IFiP$i#Ms%b&vhyN_vA?FF9Hdf_wx#Fe z@nwA{R9p~vS`vM%(HZ4j9q*fOQ(I(Ci-`JyxT~emXh#e$@q@DriBO>Waw}qE&S%Fh zB9`NS>674-A_S8itg`DWF91oWEmn6n-G`wv^ZxR9(D2k_=P;z@t|LwqvZ$QB4Ht4K zg#T_r`yG`VM@iPq<odgQ$-u4$!eG>1?l)UJ>5m0HXkUL-%2C5Pzd4_W-NQCxP8s5L z-RJ6T_AVtk?n&YCTQJ9BVf*xsW*esn$FM9aJ+7;45Zog~|5QDL6$XsA9pV*`RtZY_ zLI|v#{R^=U$9Y_uALSKdcG^!)Tna<*XjrGq=fS!TZS*5c%<_bx(?C;;e@lOjF+B{V zFo@HGmLvn<^zqMR6&o~|9w0TeiJ((jigh-``B?U{?7KhQeR+4X!i9<!Ef8ghL@1$T zGAlq%E1qH|3SqSt7(if3Ib!_cjSz%}3r1bk`&Q#5w0Bt*2zOukv{e&oLVYmH$=!Iy z&dS|deGvpwJutZ(Tx9L4h@OBR%=+?WKLK%e*n5Ag7SDU1;X^xg)K6(~W~7!nK<{!t zrezD-Sm7)!B4BA#aSCszEV(yplal3rc087_N?=7Z^eMK-9xyV;QCZQVdTW>|s=57B znC$}n$J~dUO2>6telRPNp9IT$pH2c!NJ7XRb5?nC=_fhPtz|Vu@}G|ks}kdXB^yLf z2xeE{dRZ&XMC>#FERXLy>`9&}FSzcSKa+yi|2$TbBm6os#1C(HC_6$^k5#5n`z<!q z%XSf~E6npsl?Y@?3w&d|F$Y)ftWb_=6G0jMc4Zl;`?r<g?i@XH5P~aFtzZmEh!3U? zv|JSZNI<pEtS6GEnE$(hp*V|3Uaz8$%N0z;%1?HZWBg~vEqDb_wv)9pi%<jqj_P3P zx2prjGD)1oB2GcE4T6?>Bgj$JSiV4PbkS#J^wQbL>l;8^XanMnKWn<sPF6jqixA41 zU!oQg-iw<?{m(y4vDzpW8tf)`@!DmMHYu9<Nz`FQx;Qiyo1#^a3Fa70l9H_eiTcsg zCH<>e8J*n;-7mD?8+{8$$C4m5n3}*gkL<dRjDezL6%)+wwP(Ux(&MIGIoXcgPYBN_ zxr^?R#uor`*%ot3&;b)Nd7Cb8#rfHH%yh-J$N_2NEWHQyAL^4O8sPT(Gmq+OqxL@e z+ETb*ApUkfv~ki7zVY0Bjo%qr?8Ngz+0^;$?)Tj)k$g}bX{BbUIYJG0gQaWkyxbA> z3o>}DRzfh)F754CX#JU~J#XYwPLHww^+%@M;Jzq8xcD^+w&n^95}&(^^77wUZ!^Bz z_umf9qnkRY^sHTT^Jj8>%}^9alIU^UI%5=Skq&sDtj&CEVr4B6Y}SR%2c*Ra|5YW@ z{e|7PP)SmcOc~u-@e*?5g~yg=Y2kl1w7?$9#2RYKEDq+_oL2%9)DnGBaJqH6`ev6Z zK#L26vgg-ewoU{gEXJ-pY2&quk>u|-(~_#!$rkn{l8{FiJo6(zTjzA*k#fv!msCGu zH+dfNVO_4(!E}A@@{#3oP7)cy0J;m&2j{lU6}oGg#b_O#mUCAOe4`TaQMu=6?~4J_ zEEZJPikUy-?xe|Pxl_0tc$cWTC|{gxj|M>C$8G#Cjdq9=(FgC7+r&YTXLa@9nOUd3 zxh+P-;tu$RxY1_2Vtl~GA20(kSiHw7l1nvNtZ@4XDc1uVdZ;e=M;!<Q6?*i?x<1Zk zg7C!U4h3ZaW_948m>;F^N%<=B44jQl-Dq>HM}wUEKZ}@i&}^ZlYcyH&`LnA5j~uv< z)?>k*u!`v`5s+Og+?SO87#xHgyy{iZ$G^chGFf`u!vZb>80c3hHW50#O;Ojk!c9Ln z;E!qSZSu)AIe-zupkG*|0@s|ToUz~2yDGU)1Ep=6!0)8*?h^BbxEwXx<6ji#g}jW9 zd)WKg<7P8W%Il}+(vo>U2LYfAWZ?S!#yqMgm{86zrjx(=q%EUVCHyo`v!EIw+Dd5i zFrsuG-Rb6D3!kqm-in`ZlrTn<taKje<{qI`)g;R_Iw#M7`fEa&?QEAO`G-`>k6db7 z!(~>aUM#WJb~D9uhWu3|@z&|jQwB7;kT0x}&QfeaEPk2K>otrFYd~Cchk{}wxgh)m zmZL)sJdXY2L|Gq>J6FAT@qMP?ua2%98*7V%!#%FErMV~FGzQ+WO-gI`3HpL14A_7) z85;=n#3s0TM?BGOm&3cOQ)A_bg|0B2)<7Br_%r5GUmoRjVpCHv=O8Y}%D{#zL3qY+ z-E=1nT`4HJ!#(b?Xdvj{FwsNAKAnlwsfqJ!!%l&4lg^2-I+3US-NQM!lo{Qqrs@t+ zq!?ZV3-({lTW<%)G1_6jktfeM_=PpX2z1T;R>ObbS$KJEJt1OwDmW}5pIx<XXB^d) z=-M=(BftapW1&-+0($Nm)FCV`4ue*gYEWb~3p_)TaJ-b1w1HrOlFt?rMC_Pc20tQ6 zql|PoQ4FO61~oDB!H^b6$%ZvC%~j_hI%jxJvUG_*;}MuC#jWObO%9xWa8ZR#wmHQs zoe{NcP$~Lk*p%8NIuMp<tmPJ^_YJ*yEODB|JIaMvf50^s>)ZQBqGV00S>k9D%YT78 z?Suy`04_Vi`hfT9NTl+W*OTLvs=u<DuC#cmO|bGGLPPk%LGGTUKeM!>1oPLc@7E1R z6{3`<b7s7A{jBkl1mdW({1`%KttQk4l6wwoBWqLkw-8CwChl}RKsc&BL`%O0__UW7 zKIIRWjJJ*uzjblJi=1qCl!0+E63Ffzi`y*`0g@&jG9ayO$8lF8juR`F^KLEo%RX<a z*c{#bXFILz9Qw1UPTxLV>QlsGbBn*Ih2g%lx@q_XPO;%!C-OAit+3Fsx$7AJ#}1=I zSM+lH3_W2t2Hi8Y;2c{VY|bK)-?>n>Q($OO)ybOT{GzkKvay2UbURszps4f4i!1zv zx(@K7f>wXzmNl{CP}W_HqAt11nsREEz<25hmuOQP`=KU%#n6()O&Jz<he_Ltu3^K_ z!Y%_(;Z#vM9<YcCw@n!!Je;J$a7bfWn!3j6y@F{{ke?eV%DmFiI%mRSj#oOJ$<<7l z{hk2#7gV5s{NC*P8t|l@ij3_WnZzrb>j9iRLKJD6zJkq9FziK|Q3(q9{)rZ^(*j-} z+M)J!cu}QJwZJhL)xmP@BE$d04AWe(6%JHd(>h&qqoXUhNM@L$D!|nWn*Y$XMlt%~ zbMerNTrB&I-sTBJ+aZzzOpHo|ZMWPB*$?`An1C@4A{2%|%L)E;2-Pot@co_L{1edR ziSiA@9fm9|S@;zvJArYtU)cI+xtcDcQok!Eyzb7uOG!F27SMs8@g3GPQyXsh`=6%T zQ*|8-XRNdO<TY%mkuaRnZutj8ywyq@3&bbma&{Glb;IGY*kp*Y{hQv71q~Ctn$Ps= zlv;LwY-ZLUMRfGuOLp5?-{(Gq$wvUgD$5L5^|&NJjsb$;XDV@(=#BSUT%@<-bFo}T z&$Z%asWgQcSY@fUMVYE>Ye{)S^*k^382pRN80zR8PXo(`TvRQ_(p~2WLyM=bu~|8C z=~G!~s+ozX`Nv3wJ|3~2n2VG4JE0|q>7o)slU@?DNM;JsLY)wTEv_+#e;|-z*zebw zjFZ&;b1R{yT#@0F<ZQ=<jx!peID_Xq5@@rv&c%7R21R-2^DphpQ%f=5y-H3_KZ@OZ zirII_Ycn;0H{3_csxKrM7uGlIKXwrp6k^k~-sg#+E|p13_{X}0)ERuSwCHXRBIpkb zZlKdz*{L?=K+QimV%FLs@#p}Vf*2fA97ryTq;FK4<`L=JMUGlMe7dFZqE>mlpGou> zW`$Nu-<Y>k{UdG<Zz{QDs+@J<6chX32p0=BF;yXVwiG;vOez?>3jXfZR(3ou#!R6; zE9No^KeSU*_45C6tTL^{6HT6}4)L*epWM>^W$DR3^?|%+jJuJnZha4Y+U$GnxZw#i zGS~9-)SHk2{XxY1Mi6F`JZ*Hpdoo#I2}MmKd)S-WrC?X($x_6!NXfb_Clbg7#WxF5 ze^Yc3dIpj!5c=w}wVc^<h;Q12_oz8jVY!pdbK!_>s&-x%VL3<Q1tkC2B)oQ)Lu&z% z=#XE2zGBc?lvQ-d9aR8&^niM<=OeThZ4Y|1N8?PyfMQ5)lTA@fBnXI$c5Vm<Vsl75 z=(6wt5v$7=N(c$FWTwmY5)=|JSJ38y#ZK3TOTOW=bP8$<9SQI&H!#jN26IWk#=xvP z8%st<Jm6X}z*%=vv}fiUdVotoY>4C(ZcxtJ`ed{z;-s*nkuxCi-*D*Q{Q>Cja_I$c zWN%oHvXNj*7E+jl`5%h!LhK{FxIx{7i08Yw899y~ZySz?Ra;|uxE=b?LH*Bvadmgv z(}R1%*WjioY^5lrFGH6K@}jNrf>@y~C_Vh>M8}D(L3ncV(rrDsKJ?5jAe7{uO;vtA zDowcPWDRS;co7EfNUWa^o=(){%oDX8$`B16RoQGH$*bAdIr_!5)VsA&!4kygLTTVm zC@dh1X|TtF^)OidyXQjB0Ihp|E94PgFv4wDJnPcscXNXEcghy_IgUB9QVxXn<Y?0y zMQ@L9I&kfCJl0Z;I41+D1mPL=B_wR0RW%lVR0<sfuQ_!D{5;<?73~VZ4a6J29(Ly~ zpP9GA{loO?|3dbf9>9SqmsOoOLE2aZO3E$YhB3&d>@5>jXEB>$o|Mnil_Jbe*88!1 zc;b9%Q{ECW=o^^E7}<bl#R`HZzdD5Y-tOWOYmz=Ji43Dqa$02}nNDV%0%ywwnpZSc zDp;cdf*N{lj0-Bceba**;IeV2C@?@&fe2O;3DudA4a_e87((mo2++B6#5ZB*5j1Ge zR(-{nZNeK8iCZ8+MaM?TNpT$d`n26$=mkVb2eEEU(M7$A4LgVybGPvIXQGY{B%7jt zQES!RrxvtFd*><|_BV^PDPSyIA7)$}=Zum7LZ4ds!Cnl$3?oOLo)JE1Kyfvy#WAvX z>{t5?tdMku07=zA!MQq?f9Qu@MO=V=<iOAx(|Ft?)Gl0b`WBqMuUL(fnuK5E?+&u7 zM<0F+Y6XGBexUw%#Ze99bl<pG^CT?tSe<3ofW%vz7L;wtWMk#vc!|Npf#MetIN|^- zp3l{Q8*@}V`*D|Dh(`~@35WHrhmt$nVKMw3ZXP9dwzLu7U0!dM`qj}RD?Db0|5{)~ zO=9xDsQSk6xWca8290gowyid{(>N2`oY=O_291-(wrx9U+!$y2e(yQgcmC}^vuF06 zy`N{@>&B8$xHJn#a=u1K@-uF;9SIi*+FE1FChpD94X?+YEFq?<<TQyk{`St9+CJ(X zlO{?bB;L_#^}WKR8ocIeofm&#@6)uJpv4@BAa5Eh$bdMdt9|-Q9bt`E-tDuS^}FcL z3yvW<PQkv5wag#LcE%+geM@Dz%iWIN+bV-J5rw3;*NQw*N+>TdetwkSlP40-vS|%J zp>=IN?#)Yx^{=Za7U}$>DP{Dl(2EWP+MKbdgQZ42jc}n&BMR~0hNDKTF*zktpq}V} zA){@DFI~iDH+8$mc2sU3XHuQ~vj}#Q!^B`)>J)DJYB^%N912{;8EUtV^p`eO36972 z)-(aGPzjioOppn8fPI)XgalkNgQoL!r!d}6Cj`_pd_+%CF-y^w?TaJeV5NnndY@M) z(yTFzDL<r{NK$m>nu?ZH&MO^5lb;o^;;+V30&H~1pYp$lBMJo#ocA9nQhF4s$_RlK ztq;R}vpzkAB%bXE-?sqq0%@wANg{0bm#9ipzju%puo44I$^4K5NN_0Fzvto`WljcI z@L5-DTO%IBx``}AhmJ~4v>sSOped*00NB0@z0|&Fe7MF}8Nvk}0P&dNv-q!py8-t2 zJLD#MMf#eDelD-?64bONJ_HZ1s?5P}6+Q9uCfImr4O<=+ss^Qs)g*Ou1-fE;gM7FY z3d7%|B2HWJc`u`BZ-N5%zsktL#$r+`Y>OH1S>jNlavbqG>izseqw!)zuVhnI2Z=%7 zs)vD5wjB>4ulvlcQ403$aHpIAI24m=$y&UP-Ye2-oH!7jx&z1d4Evw<5o_0rm>u0W z73TP%6osTK$12MNIR-h71V!VP(_v2}amKK{p)$RVDNEMgpAPF}Yi$5p?8<^cyhD1K zDEG0MJ;KsKe7UFsciEXe#yhXU-cqCDg^voRiN*nU_trN!MDP*@egJTlbv#ya*KjZu zYrTSMg5t%<LL(Y$+lyS{UkC5>&&VSwYw|+PXg(4!Vre+4p#f5KXRxI)F@&8ub%vUL zk=*2vvwy74$%%+Qj+D@+6ao=Ud|a4jlXv6p)B6gj8c@HG4zEPpjRs9tlf=f}sqzK; zUA{?c?d?@1t#OQ;2S{&Kov5Lsaz4nXogD3AYHGx0VI@dRWr8_d=*&j+VEub)6@?Mc zmc>#TXIh2dHXJL4i2o*qAuAFqhBymTo~TMPDz=aa?kgGae5-xC6SCEvZ&>UD&cPzt z*iFe!EIeB8?tQLbW?h?pN6C<$lwYXu0#j-wx;78Bj|=(I3h0Kb!QZg<oB8spqmHNj zOsHwilX`y{L(rS(&8tPDCqU|Hlg4eS)<oH-Qy0qMimhwQ&<F9~p|#HIM6t2Y<de?q zFbFBdAFmp0>`t)9vP`~6A><8CF}Q6>_~g(CvHLLYJ9YK32c2?up%gMAhgYnA3rj`J zDMH6|geU}B)9_NwpK}&1*JaPg>UYyi{*rI{?lqZtzR}mugq4{FfV;V7Xf9l)<1L}= z)UQgKJ*Q}tg!*;bLvVNfB09<$*vQ42i)xm7?X?is&rA$mgi5d=;t>0veL3@hf1sR~ zmN*C?9-<`@BP3FPnt<H;x>w~(*~dfThqj0-4Af%1Fzh0baEyI+o%4q?FjtnO=y(`T z(&kXCNt$EkonaS0Ke_bpOC&gZHj_MMvBzFG0dZek*r7`Z)yvR2S4T6MhJckqu?6=@ ziRBa#YeX)rkR@-ke9mC;U8QNAlL-098D)|c#0U8gzRk)-t9D%p&gzWYrX+F~Lz1FD zz{&9C`lC$F<*MIXN|Ua_Q+R_jFK=*jd3%gslU@;Gm5HR)XLKr9adm#-HflJ+t0`F! zjP4taHWkekYnxMXXpq-&4kt|kN&%g3djidUOEQ%95!Kk|rUhb7Hb2f4F8$mrZF11J z>Gq|~Z!Fb*_P((f$LkO^j-EqWS>TfcfrcH~8ebf2Ym6Y5B~{S(6cbSRqv+n1ti*O! z%5&eU<7xQq1JVfmGH1DpY;im3koeyFAe6F<TsiSkivAExJKUd^Ety;$T4a$}GsD<g zGb&-&cGCwnlTtGk*~YBep{-G|5$$ILzo%i?qJ#N10>+jVq}HRGd7oTBoh!=*plj(z z7E9oXT_1isxA;|)gLlH`Cc68_pH1Bf)Ny)$>!s(v*}i?2<QfQfF_dRr&^I>5sO-Y8 zM(Ho(yAm&sbo5f|=K;+rYDu#XnFY3(`-51vl_(Ss7VBy;66;kXeqN2$6!)nX-gOG0 z&A$MsFkuE!)KP&yf^92Btp=N8fShd2IewPkf#D)eKgA`QVntnRx}@q9CeEybn+&{m ztoa*)_d^8;pa;nJekw^BL9DmQru`Db?Tx37E@~EWVi_G$+d5><nfi^8OVD6x9>@Dv znn6RmWV%0_?~yS>RhYBPFU{EsJjrO-rJr&I&wyd1;=BnhyA25*!PyG23}}N=mfERH zW;ep6EN@-v^T$+SGCPykRfsrzHK>Kz6b%G~^ip_TouwlasP`6R492owZg^bb0<V!Y zKWs|ve7PE$6kEpzm`*L$f;JkcBD2y$tRQ9T<~kE0Bk07U7`-*XBraAPD)2^gwKZ~( z>tx(=J!V!$exA#WSr6wT0$uAZNN7VR`vR&|8P3%-tD!0|y}6dO`f}9?0|m?Ai+21M zUR+6_#u@wpwG)OQLh3=h;@0wSmtx&}tIN0x-fL{m>agK+M6Xk4YJgd!wb83ZLvKA2 zWK^J88G=^mW@>N!(S|LWR&zs%Rm2ysESQ=iPF18i90qnRKyCIkFif3|6l&ZWHnqLe z0%lf_y><hBXqE%VNzRI8Z*o3>kGBr?DuE2+0-r_KZg2Bs7f=(-SSdo+Y@?miztUi) z%9+U6P~%xqqzACmsWU6-YBC*<a%F4I4!dwj4ZiSr`#w*rqhYcD$B1v8K70H9YeJV* z9wSti!kSb?o;YR_5Dz;@YyQ+J1NFEV3OdnG*t|>S*uBaafay#*H1zlQ?rwVdNm(|{ z3dG>2OQI)B5lB^f`j*k5l(4$fSBD#6I$x5o+4xzlTpZM982<2>vFEW_A~8~4(PM_l zGI}>&sZw5$51bsh3^qsG5>i>Qp>8!^iQe>{R5}!yBcTul#$1!t6I(?+I+4#=IH|;k z8{Mh)9}S3i|CMUGymvczMJaBC$1U{dZ23T|j>9%Lmv*Dss5`_hH>v(reT6>W)jWCF z%xq+bTB3QHuo4eQIaT^<_G7}YT*8mQ)?~8Kwj771SxqP8q_%9X)QTbnFnBljV@%+@ z;>XY6J#Beype$Xd7Ijo}g6gR&3`I`TRqYi93`NWw0{}A>GI2<qs%yTaoWl!KyXs5I z5zfq~Ke?)GQEZRTLU8XaVP6Dv#$ZrD_@#j3!*NP$_ubIm#m`EZ$(uoSd~o5$u-;B3 zVSeZ`JDiF<dRCr9<i2U0Ha-V0aRvMD)ZLBi{OK~sz*Jt<)3VaJzu7YAN(c>&uBCH; zo6cs^g5_?19Pv>(j37h0n)kYLYdbS#x=kAKl3lY58Ed2`DrL(%rG=h~2pRNV+yAU8 zrE_v6#~c*SQJbfyj)-e8m!LpoQ1}O#Fpfz>3rE9w<5U}UTG+Q^>L*9p>XWsuRh7a< zuwbC9*aUPT$5z)&F?-q)9!d&;t}|AxjhH%jth`aJzwXVm<n}l$zcCSmEm@zPraEzU zRm>8zy`M-X>t}*eX35+*x7_}NjI9b~eq&~Rs*+co0#u1IPHei&yb+Jn30@iZ#rQ%H ziZGr6?)qfEoF#(v=t1`}wV}3U&!DrJQ8CcW$s}b9rNA(Mu2Y#<2>gryx+sDFGOEFG z`|7tci!bHe-YIsxl1^%z@PHDjhD;H%(sv!&{OL8#)pPT~dT4s8YRJXbjX)JVUlXJk z8}#z{!#Reup-O-yaoFM9ZTJvo(y9Zf<*OVoN3_yvgmdrnJ!~G^X`d%iwCz_C+dGiQ zP0C>CT;UUodh%3rj+!7#T&^=0dNi!meo))V-;MH3snrC`8}lNM3<nuFmef?or!N~d zUWKqXgszP|ipb(Z8Z}GN`dA8L<T68MD%OlP%D5m6!jSAJ^XL@R;3yV*SGVWPQ?HD3 z#@&8RGqwMfLMnx23KjIe4-Qp@WC!lR1@(UE_O?qkn)9bihe!X_pvyilG8rk3%pGX? z2UW87UIUi}QHK_4zI8i5HgCx|nra%PZxZ}Db1TM-_OIm7Q^bcT*I(>F8d4LefT1KH zY76%&spECKs3i=tgqlYvy$ix)w<=W#nkf03LI>I{gbde<s8mbUGaM3?vA$go#Kj zBKupW)f!uVf5UR(TX~Y~FD?#`Kxi^TnbQp-klXeRGu@?l&RiD_HvK;BQzY#Iq|L8m zC5Ea@rhL)I0PJ|>3l9O_%&8VK_E&Cu(7bsm-L7EAFD5-@z~z+d4K!TlrHBO9x%(RF z1Av4JB{-2^RDxsss$HNVu)J&uiJdXDkd<+19019KY9n5bfI@kU+$Ds56G>5@$VZ<l znFM`ohV8*>C5@{?{xS<a^Zs1Bh*}F5+n+!zxpNq{wcs8GOIr>=w2@`~<v5`XHMJ!~ z$}!(jvoTN*UQ=S_pU%86UxC{%b`<tpFGZJHqmfOaltgg!M{@crkl!GiMqUmIWH6<x zjpsEe)*5+R5Id@l##U{GL-vBy<4(Y~&i)08cV+62YRSMRK1m&+x-mZ4M3V-0Z`^Hh zQ8m$@=t%c;w3AJTWjR#HkX7ZvzuWtJFnCtVeYeNCGw7)Fr(*qXz?CHgG<QYIcJ{%3 z*iL=636~%ZVmNjTh_4w)(6GY8-Cs)_toRz!Ow^Q+OfoD(XeS*Ds$vd!;{5B795Y-U zvWLtxhW&?HCty~rP+6im**Ky}Z(H$0VRN8Ze85=I{I97zZZSoZ)Cu!PZw508wZW4V z57Q?J8npkZ3NyD$c=@2d4ey-bj1#~spgg@vjI8sjQKbX1xJtPQ=&V_4Y1Fo8AyV9N z=<He4Lbn(pQvRqxAf({Zv8w^f5gH8ba8AlxJ>j+KSgWvD?;3~!p!5~i*94w>l@x4r z?0-NvT)LzLVg3!ThOK|`*Ya5!1Hk#y-c>cL;I^aPs?4c(GFO&jzkBHS#qCtM<Otfw zL7(Q|7T^caWG~QVM4rZ#9lla|H-}w`2{swio*pb&JM!&bMB6N&5ppcb)i^(!xiDYC zFEf7Z(O0W4DTTmqYH`?Lv)r9Jv$q-)RN~+9bD{%>w<5jQv|{nY00m5U*4!i}T4)%4 z)4G6T0#_#1JRVGxIXwA}E0vY8^tTjmdr3A-GSK&Tj(P)Iy-)@O<7ceGZ>>C=+HlqZ zG26k@Po7-KZ?b>nBH}OP&UnHl%D<McJb7U8^U6?t$I1=Ck4@x+)WV>=N);V#k51&q zp%njjC?SHoH-}hcDmChipiJ(b{qc)<cKEYOaVi*W;KkUEbZo&3E_oxQ70w@xEqOy0 z$Wgc0dUBi%HbX>Bf7Zw2IT^^v50{_PGg_tS=apSG$E~w>0K%IJ3YL~bNyPj(^?ReI zy5I8!5Q`|12LzNAVr!4~baCSA`BWOk#)j}@<DCSe;K&Z|@tv|w@eRWF^#urq`^eD< zRL;PT#wJpD6>eXBu&J{N*=b9&37sv0ldn?8n9PavS4VmoJvz+c^wt8kG@4uV{V4&v z&e^86vGyt(QQ*`Z7Ms%h6jzyP^mC>xMFc;e?zfQKKFcZpd7rFL{>5b&!MU2QasU6$ zr)lDCqW?OdBJIOB5jMBDZ~o(YqDE-~eo)am$pS%~m_yRbKTfQ?+tfwQptOF&^}mv5 zQ>23GKLp_eM9<3M-4UK9VwgMNhuoELE?V|yIUuu>$dNpVoazkJuQ~JIvCim;OBeLe z+mSZEV5kODK25`UG*0=03o+h@X6CYv3puV(s2uC}JqF+ZaLS<Y@6Fx?!))U&FgHds zzwjLD%&~MVg3L|7SODHW9tGL&bSe~`_`u@_t=@<3pw+t%(zWCVU9p7r*~GpMuJ!0o zQDT+FpQln9Txn`t^w%2Tk`48|Etqtx-g`~;tnNAcy(HswmPM42{~9nBjSn@_@rORo zu&+&eM^(@Y8nn;(rfmnsl0g)}D`KP(f_>Bbl?VfGnh`cX8x0Om76u<_5x@-dH)Ukb zytis^Wa%Wd$gNWX_-FcqIN}ngiyvBaKh%y(zM1Hkp!U_J69ZNzikBm$*G~m{%($ir z#|C#DCQg{B7d<faSh<^yM##7O^fJ%Vu_H<z*%;)NS#5Nye{HPNViXbqJG)tdc|+)k zN`F+}`@J=z!JG)+QYo#yHA7{)O_(}+z05&to!9XXGPjt}tGmIZzf)E2fKF#2;)!-& z&So%Y%l&6XOWjH`rcK2@&SE_sG6gLDZs8ZhT=?We{6{H*dQ<HS1aR5McUl4z4s;B} z=gQq0j{|6v1dAE^Gt5%p1SM?)9}|sehW7Q_eX@3mQDgB0sDK^I9tmL|5qJk<f5f?+ z>hDZoiOpp?gYNq!TbhXhA`AMv-~*yb4<M55xskEq%Mf_zbHsK!7!IN*ZoieD@XRwq zm=gWn*BTw}wKxDNot}NWkEMF=)w8FN$&Fx33Vhy3L*b-01a5)5f0(EKr<j;q4r|5r zF9~{f!Zlae(lk0^5YG>u`002A>#XuZ`OS>$N@`IHfRKjEgkSW-04OB<>0^5sE-XfZ z7&`7)75g7Ok3AkpBd;WOjXL%BapCvJ)}cd&h%PfMleJPUiJskpOwS?IMGJ%1l12z3 zn&3ESl?A3XHN<RGfEe&F%YUb4*PA*HIxJ>aP=@Pf)zepPC4ja!Z1q{>>#4(?bPmAV zd~o1BWI^K`E<9$*{1U^9Kzdo;k&gPc4}uFrE}&I}tNPRi4K{pR010|4nVhqKNd58) ziAMf3@t1+Xl~%>#K7s7EqD!81veD!6Wp$t{C={`qFa@p#($X)%3$SN}>lQVGyRMOu zVNPygSKblhR=%o4Ej9UjiW|OKwCJdVrN@L4Zc6#?#&gKZgeiu^L4&Wf$5zb^)+*IJ z<Qn$|wvbf~>IJ95N&|DpWP+0|GJDPjHX-WZqdzZkaa1UD<Q@6~cQL6O6HP!o2jQDD z(Dc}tRh1WfxZgj6+(E!a9IJW@q1e2Dnq=ZPJyioK^zIG`!9T*p6U}(n)c`Mzk@;Cy z81^)V(6XJsv~8)y+t+Q?cEO26euu@zvw)f-+qdCQ3GAX{NUx#)_9nuH(pQk=-!*%a ztdzv7P5Mt&&_JQc8ZAWe?m|>Rqm&Mi8x}P5#}c5-6VAe;!+!WBHh=@d5^C<*hoqUj z!-!-ZlzJ@YhETkW@x9VNOB(}8gFi1jH8iKY*p28j9`AdJ8xca#tJ*=fAsuTv6nP5g z;miDI?e(*zW1|d(Ciu7R@4I(xyB4lr%p;0G`#BGv(0~Wy-TH6^1Tp`@o%kH^IQd)U zW6R#`5FT!vLU*GIC-xW=PX8gOUD(_qaTu+{@y)#%^_WE$&>Y<xN4P8YAlP6A7zYq5 zLL^%Zpp?+x5E~d%#Dpd_m<8JGHcQ&Lk+0SdK}OXddf_%BaM3rIA!gd4={DbeS849} zR%HjeM247EwtwdUT_OM(xYeDigEo;$TqZ~#Ra~$)1DXK{G_7;~IXvDtW$ABDIoODN zZBwoh5#~8nz%3KHrS$t)2IT~sHeCO337t8GO3;PqL@d<%l5aCYc&f3N7wD!Ru@(?7 zsdQkdMy~qDO5OM^+R8M6+u|*|TZurnh}%a5DTXK~B~&v2{U@3e(86`VA|x+{Gj->9 zF9TvWZ69Zn%Vt#|iKZdC-$FpHFk%KGSXz$;hnB6t@jUMbtf##}4aczoUyd_vl)!(? zj&2X8dbv->FU|h1h&R(Qq0upS6^Ldn+aiW{_rFpuUgc6V7_!pPsK&X)TccaO7M%pi z$1#@s#%J)74#j}uWM04`D{b;X$x){w1np4HywUnlIqnFC^*<-L+En1HAhIoqm}>mr zS&r`lYALQiLMDuzEyqHP7H@Ta{s*?xkdcosLP5H<{Yi4ZI61(s4i{D5US<gI5U2}Y zXM8#$)s-)oef^F{p{J23>fLHn9=hJu=o7Ka=J5x(<!(=7Q)F9i<3zc08<ouc%g<@8 z$BYwXbnC!iV%8YlabjC!Z<Y&NOE({{j62Jg$y!7$!qnwjVre)`fLrld6dDf<F+EId zj@aj`e41{>8E~C)S+&&ZaoKetg}#gvvN6a*)+GdE{j-lhjuJNF9_H9_Y{lf!NS(Vp zY;6hbVn%&k*%ERL$ygbtslHJ)B$(hAf1Whc@(0<5{x7#bRntc2RdJB(FSdT08uPNs z%j4Sr{XIIX@_s4F2gPq`t`rm@%b0GiA7J4fo6yV3c8NqY9KsHMghU2y>$jIb86%~T z1-lGw|2=%ECM)(g+FF%zUtyzXB?8bkbO^9k^(QY&A`4!Q5}^y!B@9|9Z})biBAN%3 zPReCDn;55j2+bdrl}<*)`JKn~NOu!H3$>p8Xstll;xnzc^6lW^mvv$_I<9Ff@|Y^; zl}dI@-+9vcttO0u3#LlgkodA%1Q2hsYD;jPpj2*<q16QEY>4GO7GH7gdjJv<t!Z0E zV(%mc3h20#$tq|{$`4mjB@tTbSD_Uh2?RS}Nd`$xdhRkPG~`jCNF-zeX@5G$HuQI) zLtFZ>{A5SNFvn!ueGtfwMP;jDyUAe+$(*emG2V4r$mWhm$nGuAXxx@n#FCn1usM43 zUzaZC0IjC(BjwK(%6A&EnLyZ?kUu5z-zM?M7$P%U#`BMCr6!?Y>K>^I0HBTc8SF7U zpA#=(8e36nvbe%ak6%!*rdOEQmj~nsH_&S%xA)A;xBBLXkx9R^C4$Mcah;_e0y5$N z9u@xZ14*3iXE{0DF+26<;k{LXXwSVk-6obO%a%E=icH9HQ;OI7K(=2Q3p!X4J8>Hb zjDgA@E*lW#97RV6(CCb&Wo<vqyocV3sh9x55>twM-9ttJ&aJekPN^Ky;*;+@$ZDaP zym)u2k|qk$4QTLdNdR%qj@o4XGOYzYl?6RbRymn?02b%*E5<{1>ZR)1QjE-c5`Z(~ z;`^O(N;Jpt`Sltk&}PaVTsqdfNC@)Ke|~7+ki&_T_;j`P>T!c6y({tYrT|7JAtM=j z#-=7d;3#-INCTIr0er;#PbIH3yI(E%q|8h7IHN@-uf(0@SdWIK4vQ^dZK9Dk#}f49 z81^+jS6JS;fu6mk5ZhAuvPnEj2AM1nIdVi;I*BirRp1VkojGz3MwO~1|M#qEuVmw+ z4_x%VV&CpfmeA(qg-qg())Wg?7EYdBa)X}1=;^njM_)S3Bi0Ay;@{jLOP~HB+exyP zw6Y5~J+TR~8kl`1LVA{md(l#f2hrf(-LoqGcsZ^sXPV3xKq{Lsui@5<!IyG3f6qD@ zEKc&A8ld({bQ$8P0Cf6%O6jHzHz|Wn{SwY98%7K^Zf6xLeb_465(o+p-@v7&$Yn{O zTBvh)g2qw?Fp-l?ie^^7k#?Y(I!Eb%XE3q<0JKfGJU>}&!2#Od7F;~3i^eh5`?d~B z=gnf^uu8_;%}#Ea<_{4|$3bGSlX|L>=?S_Yz}uX8j?(d!&!zi@??dA{02G&oRL|af z!?x@j<GuT_4Y4%=dinFp!tt{<?)gK{_O%VyWFV2d6a#7Ax8zi1_GZeX`eio!pSy&~ z%6COY0M0s@e83Xhu^awFwx453Bkat7-=@TZBZ-x)1OT6vltRG1i(e(oOg2yohy@8z z2q<?>c*en@+NvVsgTUrm9?@dMVvlP#!XeI<K11MMBD2rb>xMOmsEQ2DQzv|8y@~lE zE{GnfAf%pX5nC>r`A|ZQrfN|-BUg|i#vamzhYS>Z;%~*Q9<0*L;2}*Dr<cceU~?m; zRo}5wD1e0uwXKjZo52%gkSTZsjwxBd81(gKalX|_qjJJQmh~>Fp>;eHg1XUEr8EDN z$Z)R|2va&!9ki`X&JqHELkvUB!d4dl@zorzlMBPEj39(d%>)h+y?Me?j5E+2bO)3y zumps8Lo|{`W5qygIGNP}M%z-(#yg}4-M%uYpHyiFlbX)&Ls{<toRHq7GvVHTEMmw; zd&}M~efnPnEUreLAhV}}J`B0FYnO3I6=;{dK7Q&GLH(FO%`w~Izmw6NOyIsVKboG% z%MfM<>I;8#q}`bZ`gKx#RB?(bf4tQo07G3GC-OLJ+oazzzH>#FB}NFNE88^!j6Wvc zGNQi%t4|3sgddCAgRJy$V(SttODiN1Ao`<wEg;YsJr~RK|Fxw#DE6m24GH9f3Oqu# zOFDv6@1XZkkm{zGk+T!>2{E}%xU$PlV^z$a9_@IcY|Ay|xt%_GoSq@h=@7(Wp(bTc zY~CvYj}c`BF=#sSRQyn#T9hU;6$9L|B;SG1n<JK12nEE+VnsN^+LkED{fS$4L;#m| zM-q%+5SyxU0%B8l*^gLV>g6Uwwpbi2T>Fd%3qZHR;pB-+R1_)4Pdr11<z+SvTLwv& z5LJHDk>{4Yq8q8R;tJ_&<D4m$dc$<nzs*ke&)jT#%P-zBPtQJ8M=MBusxkBuAbp(x zjud+|0B4dV)9C)C_r#qyno0?03!Li*Lbd2XAd6R}2Dp@cuirR}PJ<*Dj1;J9M@`(1 zFE8ap@3#n@MJS3BV#52MMWe6aXbwZb4Cmd2<%KPLz`Ru?bXkpR;%SPF(~8l=_TX_d zfQA1@3=2JrWpq=(ekNeC5J>#vYboMCC`mN|X{2BtrB)6QhM0zb^_$6oeB{~AB<}eB z$0<NJ&!T9hVV(A(_a@i3@o11v8zu(B0>H{);gCK3$P+4M4^v0&?<j-g<CELFqjeTt z>ZySjf1;<2W3igA%F61*LkOZ$6Sd=dvQy=@1sO9145BUw`VH=ZAl!K_gi?(QW*@$k zjUi8j5X}>Dfk4VuSRU3@1j3$IevfEJoBy!qIZ1k~%HZG20RJc4=it5CDGhz(Ef}_3 zd0VrI_zY5E@=%*C_Mg0&2hjn%5WDG9iUjkXm8oE+a0W)uKE7bL3aI?ZogZH*vc3Zf zzZ8k+y_ys_cLNLGR%rX<2#<!d@aH_?y;=~2sR;0ohVw(8j_niEL0om(GwYqn(eN$Q z&jv*Xj)BM<fgsCb5%6CX6LG{5#2{QrQb-k^JUGdKab39_^=8O`w(G&^)#g0Zo3gWm zO-oKyMRn0EK!xOI9v6gNY0AT+ybIt+k2Pae3?fcO1(KiP&KuI?%<0HWWixe=nRR3^ z;8<Lj6GQV0AxVJ}Ji<J%{)-(o^u+s=gg#Kjzmj7UJ=Z?zWjFm|OV7a^C#uSKU@>5V z=o@{dBg#I6Oy<xkxb?)yPClv~yE;};)(q-O2WS5ZfI}!<pM@^%kqcO+D!oljQr!VR z0%G))Bbw*&(Dw?_)Ue<n)KaJA5Lji5|AQ?yn+1I-N`{jaOfmuIW;8532UTQ45MLz* z%9=8DNDg~PZo9r=>gb<H?#Z(9gr2DrT4E_+wJn1jO^o0zI|We$NjhjM&oh^WIMfgQ z6cX&J${f%N7tmDS{+FH=_)pOKY-d1UZ;~HTz&L#L2Rwz!jT|JI+RjF+tBp)oAApao zYxX@X%4R7FpQ|KjdZ61&u0CMf1Hn%tUW8pHSI7#HLJ^N1@12zm;(p9?5dIw0tbyL* zFr|?D23?|&+8P%*C^{8SKp)JR2WAUCa6rx#KsJVY@8BI$TLe^TUmZ+kSr`qJrATIr zViXnM)icNc7UiKt7G2z|eVV>(%zJ|tQ9G6iZTYaE$u$%yLQEdg_Y>SF`nS}OchXfA zM~~#(#javPc&k#TU_NN1HHfqg#W^$GX&rigYJ4lol#B%=cn%jWeS;cCh{Yvf=jjTh z5Vb%xdcIa24+Ea_@=a<!pUY)Uv(fpr(|v?@apaN`iz*ZSaiwM6@*!+a4Zf&TZ| zV+o?nzcDAgK_*j!(8thg4-ds8LQ*XM`k<u7%Ss|l#t3{S!L;I6rL73RDj0}|tn;}3 zB)G<`J9nj+sAaU&OAPXU9o_pL4IIHgk`WTXfqJX}TJa>@MSvm!BS7W$a^6Q+-3_0S zi3Zv+Ji&wE%)OQ%mj>=CL){HXn1?^y@V9BCm6cG3j5?DQ)=x<;I^I$P&!0)|?RWaX zsY-T5kZO6BvEk0p*?V<sU7gfW%sg{Wkcmgrlj_<LPCqN8g1$WK4rQCs{W~v0UCMFb z<ADvZOL-lY-4M_-;hzD<4)H+?(z7aVY<rr38TXd~dSbG{&|%<omGGzhIo&jq!R%9v zdPtlMZ)%~qxupecsEwot`@bETxXMuXBj7lr$p7@erj82J7SI#efjsQ0^++G=XBHL6 zrrTwM5|B5BrI*b2g)tWAj7A(Q@`>eDmYx8@B8g@v&`<h%sHs#rm1-w~#5LLr5g3^O zBWeqk?7WvRudb#P+v?Dy3Yh<{>w8_@5SmKW8A>tF{wjgA*76~$KFrV;3olSYsT+og z;ZRR>04@YOls-E`M~abQq}c9XU_WbZ=vAcg&L1`$k1W<}DA@pzDCT=WT;p!qE07wG zar%mm9SVRjp|ay6lun2?*3Jzw_hSD$lK}^mhLfN-0tm6SNDcL@Ra;yAjiB7D3}^2R z+})Vy^F!LxtHeg&zA!=N2Q{q_vI!1NG16n%Srr3_7vMF~e0WNF&i+=@)YgS&fXAun z?N&al8o%wTwN>>YSF#MY;sgVU_5J%#d(+Py!eMOdeh$?dGfn8F|7LMEI_k3?3Tbg> zk~5^WVYcPLUh>daX6jH4g}-9K-ZErD=+q5`M`zVZhj$L;^?YshJw`V5nGO_fOptn! z3=StDL*4%a|N9lRA!T?%GHv8~=x<wXu7EtKEQVMad5R1yAbqRoWC^eW$_yC)-fMn< zoT&*97t<rrkcF6SM<u+qUml?7YvMxf4jX|8We?VUgtio(5z<0&4}0_;<w0m3=F!$n zTTq7I5NqA+qnzi^60O)eF0>2v8Vo8geZ=;rxN?a{ewtOISchoQCxgoB%Rp`f4)2MQ zrcLk^K%T>CMq3W%1W<_dHTVMEE-(9*m6RC0W|ei<?h+jhi*^e%?^>NKQDC>p(nw{7 znxO?m4p{k!O{OxRp<kxl!1jV2vxsFjG)Nl-!|h<2I+Pj($4&xLxP+BBA7EFhP4ieD zVIx+99-04rW!?<6z%SQz>f>>4!Fxhbi^yEI8izpUqyIP1WOMAVZ@U}$fyNr(k*DZk zS#0$4#5d2afn?(Ba^xQ!=1sZ(I-GDkA5KYUW~o6WCMb?!r3Qv<)x}m=QCsoV+*MOb z-}HcFveqE7MWB6>^s;hDPd|D+g7^TN<G}t$8UM{NO8K$9dD%cp!XV#jN`ea!z+Ebr z%Sq{@sUO&t#7qj(dwRN2dfkxKyOQh}7)0G~&KKUC6{N*nMdS}F_+Z|j;B%BBcp#G= zCY8|AX9#l9XA1BzTemSKYGn2LCY*dTs4)qvR>%f;9(;>;(-z?ZY!kwHY=BCiB;g=V zF*@SW)jUlvkHh__O0I)+%W(>-|0mA}QfA4Z4dV)1pPudTyk1RUhBI!FtC1&XlzwH` zf8paFn6JqBI`5GlqmrCI_vCZxGIcoR_aWKb*g#D(>-y&Gt1I%d`*bWNUYhW<5L&-( zPx3|cMRS9sq~+;+`uI5S*G*BXpZ7oee};K+c-R@z9YDk~7-XWJG7M0%gKYqcoK$=j z^TtIeRl;y9MfGI_3xbBY6BxFpd4c@bxO0odaX8tP@{J$5j;!BtH`8LdpqdDD?1q37 zs$N{M%*aL?e<f14@GQW_Oqnb4QkOk7l5_pXv+4#{`-d@!@!`TZ7r{&fW_)FbpR$Dw zj6zGA(#x!0B@!hbU`U^j1Twk$UI@e(nDjR64un17{=og*zp{n>bzuUF`*Sy^vvJvD ziu8~kmiCj(1hyI<XNwUm6x7Ev^yoB(CH05b<B6d(ouIHP?EeXFsOj817yWj`8kl_v zBIbC>yS})=eBmZF{3W45S<)3>!%+cJZ6Ef~fJ~YD2)-=#-xvMafO3rDo<$mv5P+fI zz2g%&1U<aS;dq`C(eE>zxP1hHzI$F=1YH^O!Q2|ap95spL(}#F{$GUcD<sc39te#| ztUYLmA10#nGI6`dGgt$vYUg9}&q4Y9;22*zyAp7%tA3HNZ`Gt24z1TNv#~E>g&-Oa z)w|1X&<ZZaGTbg|0RN-80ZdGIOc~F`AInCuoARDUtEyRxxK#B|r0-8Nw^9$Z$)zhx zoT|sJ!DZB;F|V<kh;s^DrQ<fFKkeTvK5sP5ZTd3q9IMEN$43c-kW>Yydi;W912G@u z`)k&qfFm7ur$=-J-<`(e6~d?`18o7fcqp;0*^b&bGie~23Qe}kQWb}YM#Yw(&@>SO zU;nFLawp_l_IOx#oAQ+cN09F9%{PC?#i*&u2-T;kr+U`UlOUf}EfZJ<4UBdahN&#u zqa_}i&gMYnk*SVx<t40sV<etlFlwHifkLyMj;9FE8#l(|LC6{}q7EEz1&o#C)GsE4 z_n2RY6mWrt2rgLxbw}d%Xe?ZLKjN1b49dJw#@rs$VV(;)(INH=fc!#FjBr%ltSkV- z>}lw`7exg=gc>#q(cdfx(YQF>7KT+4F%LZ}N{G|^P(yfj1sZwjVorT3k)VIc@T~@t z1ti)7+s8N;yN*c5neU}`y2oYTfksbdLNTGqK>_X`?Siv{a1Pl%2<K29XZ#b5a&VQ> z`=a3CTQdX<!Es=R44rZ(FQkjBGT6l8;O8f~HzY_g`pCs*5U}$CoF)#QtOWFaDGjbG zD8$0rg|vK;&|j9b)I;Q53O7)8(qk5nCG9{;GC_>6L*u0iq~5IvuC53s;GP6v9x~Sf z7t%*rlT4_FL;1bd`Z8Nk>KIT<A{N7S23Sz_WcEz3G_s;DBTDLE)xMB8{WE!$mw7YB z5%8+99>rH$nZ*(*OW%iM<7%YGpP`>m<$7imYN-i4+4jLLs}BUmEu$>m4G{v-r|5B4 z*<mHJ_rx(Gc>GDAL-|-&B?~<B7^;8{mW<csSJ2_a+g?RF?h97|YfoaKBvV0O#{*BY z#6*Xc;>o{mPnum%xLe)j*BoJFJ2LFAm<O9^@?!F+ICLG<Mm|=kcl?bWRH1-b7dam{ zc8^>!J^3rIOx(<A&_okyn=D#~LJ*={HS~oGb`Y(={#uT$K&uZH#JCvn;|>DZBF!+e zro+_NY#8>;P_F_NBDB)}XxymB>O?o2K<gcb-E?`2qsofm4&vpgIF|`TKWdrC=HTjD z*+e{x<0wi__?cR#h}6*$`BO&zs7fkW5uk&hNbqK%6DKmo=4g(m{39B}D3+&fncv4o zC+>>34mm_+Kx$MMkED2Lrz!w!hBX>A7#&an*uk6ar-_A#YG>81zx_tr#LLaXj=`>3 zChP4eJ<e3JzlCL^-r$&q<^JoH`zfdha~#J@yfI3vwfs$j!qAG+bZk6%xwW9iT!=^B zGi?;Q90HkblYkQ+=l*C4H)yL2sxyY*9;>z%h4u&ktSxF1a%XqKy;U&K4))aczrmcP zay)im-c4Q;-p5lp-2RVKuC>1T+nu4S^Th(RQeDwLc`(mo!P{22!JISYZN(F%e|*PT z^+|GQoKDUq9Ko?s25CXBx%JIozEO@ljfE{6?g7zE52<KV3UQzh{Q*_chLj`r283Z& zBtB@e!eyR!Uyc{h7{O`Ifhz-Y9ww2qb-xSdDsZ*-*T?P<I(vL39Cxy(l3%1ZkO!mF zPypwp6UHy<Qq3=!dAN*te;Q^x@=rB4|C3~XAx{c3DpZ4|qHLqKPBWgnXU89HSI^B= zcee>n7{D5i2nK$;qsnsEfy#L&((m>NMUU3IxGd(fyM#qx@gLiY)9;s>9?b<|$t;A{ zO;D^wH#4GnMnvWV@T1B~rm!N@X$>L^2Y6q|N$*36CPpjV&&MIvo*Lw(itl#c&YrgS zs>oxJ;y&L$rWKJc4D!y1x7v*_VmpGi*N%s)YZy#SG)i4piZ?`(*sk=vo$EEo1^5MA zXSPO<D6*1(ilz!<oWEYLl0JLsPv!(S>Y6B_uk)VK!*T1{8@x?w{JAkWLPp?{m2dt| z;YYnz5POONM3de04_-{nULuTUK!bJyV4pS#rvx%^emy`4?VdETec9JZvP9`60@19j za4)&n{T%ztx{ffRCT-jyg;$7gtSc!WS|h$vxP@y#_ML6O{s?j3=a^zD)d^z<yw3_K zu5(DEY^^}Z;HNKxFNY6`Pjr^)Wf1oFPtn$X@5iz?!A$vG{`Wj?#I3!D#s<k7rtF%i z3R<xm*VdvLh${I6V-sj!@Q>W1f@nBweD3nVv)*4;8+ym&I|OZrz?xo|=LTm`zzaD1 z=HG1KA68iRc65+X?XshSKY5h%okrpC)P*!@G3fK{sWfcD2*P0JM4Y~^bAVDy>8U+y z{q3Hk(sSiXv6F>kc@`_UV@k7|cvE{ZK6_aYEKcrT2NZ5Yb|X3EVIt^DIciXS8X|Zi z_#17<%T1L*cMNH8a{-^=?SVh@8if-59ZDOB3rYe%;zAhv^K0;N+?tb&t9EJYnKz(B z`t~mz(A607K=Idmyh468KF#t}NXDZ!W5&}wuxU4Ia%pu@xy5sZH~91s`OUhbRCrCt za=xW&kGVUuG;UqwyE0g-p+hd$wY|Bjrmf#8pZ|7YACv#gL@RuD;t!Dl?h3%Yup2gz zCvN4dd0CkU)`-ElYXDIOKXKXgFZj3Ko-8lJ+TY@{Z;G$V=8%oTxv01QLc3M4|FW77 z__zxUT_~+PPDJfhYk%ndB>(>UJJa*V1;1gH;<B+=u}R?Vu~5+EVCv<^igSnE_UGke zrzLg=;ct$N&)#Nsg&qP&s~B@(db@mJMz9T40*C4mhQ@EvV_Oivg3V9%Zt`2fA-A)W zBFp@5PW(yc+|h7%s<lTS$*&WPH`a&X>9Fcpj@UxWc=U8S=q?FC%OG>%G@C!>-Am<5 zUGdlSUlrB4TrU74L!pvFpCxi8+_VOFxQMp63$10I`t+9f3h>41pFE+T4Yn4*3@|fy z2t2+YLOQfNYuGvb2%09j_+k?2Ggq_@(VF-uUmJrG0*6!(;@woL#Qj^D!A+rH4?CYT z7B%R;hWH2;;MhN$CZ^cn?l9gBBujKr<ib!dM~bv4^5ry)$Jw4S@C(t_3Qopa3ks=0 zl`GLhr!-_@1(8&$;?S9MMv5H*s{$$2rq0yiQ{oo#PfItw8||Jvyy6hUvJowd{ZNU~ zAATa8-C5ah?I^Mm%$B3$fdnqS?E(%G)A&gJ5$g~?Rz>9rel%rLSMx;wotz1Q==)_p zIYk{WwD1ATfAm_ITP*;^8~g3G;ws?2YULUBryFD>7bwB^p>N`gdaHvt@Q;g?<<}P! z34VYG@od)}w2I2N<pr;=i&b95!$CfX(~Ym7yxtAl0Tya{3;B$M#3E$mT+3ne@w>9M z$$1^;(F(V1<jt&tWoDl$Mp<g;HDqG*UxP+&0{XZ6HsC@#LSn<c)^6E9Y)0}QHHRd2 z#W^>RT!eal$Dd?3GJOc}17CdBY;&6qQQaT+etMD-u_#?fWQK$UR@Oz-dnWRC0{_@1 zW9Bu~$J`#*3yHS#t<&Ud^3GIIHYzhSq-nVIUVha47U24vDMNj{r+F}Q$4~R%F)I{d zu;1ODfN}^gs)AGEmlTx}J?QRnJj4I{>92=|o5igG749&A?<sEb0R;OO(Mn^No2V09 zgzRK8|G@FKun{~I3TAij+ZRv52fG1tcUN(HG&iuWqzEj}dJz`Izxq#NYY06@dBQGp zeHtXq)wd5&yvxMnZ1?@y=hzF5dY?gWO;-HZpq7}0Nb(l&4S^HfC5>!x1aDh~0_2|U z1pyZ&qqAKd^8(<lBmBxwXBS<h%@7ept0ZhfO_MvDqDl64LNa9%BHL=Upur>DEb~Pg zhd7~H&!MALb<jK@(<x=B<mTP|+i`avy-b#mMndA0G>t|(13~CJ23hX!c3<)Bb1|2x zm^i16jqKdy-iBWfj(V<0WKZ4NcWb%dNe({YJPmf#t#W~*RyMh|HJ(_HS8OUlONk2t zy46Bilk8m6D+29&dF=Es(bqFw)Atwq#!Qx6(>fYxkkWC<JD`cIxPnE^fiYauN52v< zx{oym)ab?M4i1U97P;2c=)cW1YyKoKSB;V9<XT)&qsK8cj6>$T;XOS=<}1;T&$1fO zxGt1ys#gQX^!HI#>JdSto87a`Akc1%Ui#|pzB53<vueXegqa~=HLtJORgT#uja_gi z&m_&Z(G(DB2awqy%bb*C`s#~J8||91)Y|-zLqun*l2i{JEe#Gy!hX>S!v^Z>Hx&c) z^?t}c`*>APKj&X+wI~SXHi^brv|Xl!8PDt+V+a9pIfVUt=Z^xYf0k7%z!Q@z!DX@8 zjH#K85pYg-X=$Igr$(SLh_9o8J>PVND;$GZxpOntO9V*Wz%R`zRhui=C~mareUy`o zPfcB&oy_&OtpPIhb(7=pT9C-hxKCN+>J3d)?cas-kN1Cds3rc$$Db*(XXyKk5Buh6 zT{;U)X1E+<)Hr(gNqW3<31=W)u&|Y;KC;@kz0sfY_Eh(NcAU5J7Z%HY$z6m8Ww{8q z=CqhHben__WuiQ>Ae1`Be_dHXmSFFaq&7hnbs3l;l;1h)g!n|!j3+9na=3He3ne|k z1CqNnx8ScUebH2s0{<YxO#gBknQZ&HK&J}aeCU>e$p1b~3NLcmEeY`@5laLuoDP;- zb0u$h(PMeL<A=f3Hd+_I<Enq#SkEwuiq3K{qys#)_(>oC@GTgEo-0G1+wn{J*XK3{ zsOO)DFawOX9Z^Q;>ra;pe%rBu5#gnmJOt0E8%bE)@tcS1x=jUPC)<4}2y_`KQcyU+ z=<%KDy7kW4^=5v;^&@>phQP(<X8stgEPhMdzxJXJ(|z*%`#54eP#~i-?yxcy!VNST zQRyDC^JiybMS$tvDF5dJD3+zpVuxixnel|SJsO|?6%Z`S_@XN@j{a>?;#3CkHFze< z)E=a(J|2(GO%T2f)P_X;N@9)n#`FjH<Ca)`N8#@m#i%ZIuSA!RK%Q?NZ5b_43%cvC z>qoZ|CQA`Z6p`p9^p>v*^*Ev|j+iwNONBf-IQk{|5%V}kPu?G5@OgTB(2TY_)xP{j zA>tgkvpsHPKS0B8GV_`o2t<Ocb|^ZAtiddYP48d3bY2&=Fd#6cP`pH$zM+%?i!^4* zOay1}UlE<VQ4y`NNkdK=WrjTI^>ybQ5jy=-F)UV#pKn^|1v_+s+DKO~euO{=A;NG# zQ#QhpIr^lP9~WoqJYaI@L6iWES9>I^ml0BF3RJmYrV{u>k>ht;pCX;SE%Mdr_L9c2 zeH@es8ZH|QG(-@w2xpxIxUm4kFU^juM_QpO`@a|}9slCl-yMj#JP=9y5tw#YrMXAP zCfyAGj6l8B%FJ%FUr6U{-0}9P7oG`s+Ss`(avz#&Ju<30G*fr^GxPA2aQ10nflLPd zhZ&RYtUBX@`iyEuLPK#>y;J2W@xX7EsFCl)gV+xA1?F1B_q>&mzOlT(=FBC_lS6o_ zY!Y09RPnw&2j<Ft7yG*tqBA}Cvjn0;$307=`FE5Oy*!pp^J=YQ3)T@rmi`G{I!RU% z@`#j8spKJi?AvqDT&*1N6d`3%hQZZFPaNv#nh4+7d#Fnr9N4CqkqTrM6N3rt!R>;^ z#n<Pjx9!x}Fx7SH4(*@7-j=0`Uf>r3Jn`5e+?gUf`zQI}UYoU~1v{9C_T4uZ0|I^G zfF`(lI|o5i*wvSsXjVSoB)}hyPd#a50$@GS?G*#_GUrnHd)(E=%?dtM(2rb=pcfm+ zcjcs>sT|2(7_l%h@SJuLp4+?v;vIu9QI_8cr<mF4=P@LcUJt`SnU~$$^3C6ELvdq- ze8xYoboS<RJ#F(#5tTDNa6zi@&EMHEc3%?vECcw&lp;c{>VM~4AUnqK*taxv2Rp_s z+z{_w`d8d^k|lciuGF6e+sbw<)b7gy8bvo5NJ$tM;g^|;qd#fMzRToR3EO`?7z?z! z?(#Najrhb>QR*`Q2KouV24&*xG(A$>Ks2U(^b@nOMm)lKDJ+e^^JoVo@V`IE@Cf_$ zbjJl9Ot*H*UQrC^=^Q_a%9<-~qUEg+s;8GhEZT$e&|7-(xLmz&<Bd*03BT<wS4!1g z=*RaeKkh$U+&jB%*X5qPKjFImq#=!DttrfN3}K0ATnv;0O<tRF0R1d53RN6X8$1n3 zZtDi0Lf_7YrAZlQ6LiZ|1FB=hR50QW1O>JP-Sa;XpF0EeSP!laA%x`4<J^yfa(-Ji zaG|`zV6kthtd`z$$X^dQ<7A7ad>nPU1hKt)z3-ZTdpnW9!sl_a!K?zahJZHC@|fQL zJ6Nr9@%5z-sOMeV=gGySA)pvLT<lupbuT=o-bx361(2J@YgQ22zGVi=lx*$~H+T=I zl6iAAsHU*_*zk3mpY~^;2KMU}jBOCd$+6fB<xZI=$=WBj5=XdubXhjYEcSc-RqAGG zRWRf<e5n<H$CINECCXUmHllsr4XjCGdJ*ZE*FAUy_6#nVf|bSU25gj_c|09?bx@ec z-AL@zTiyDTlV%HE5?yY~9iOqzmCofXrpVk0++p({1P7g06Yfs;L|=qKf3}CMUUVP0 zin~X{e@=(d7UsE0njn#2cM6ZNqPgrVuP@XI6VKoVf+@CYnbUD@oq<ajZFN2EG+<Ss zhG^>mBgkpJL;UJ-Urg+TSaG%PxK^X*uM4+P-?t>4NxXjKBfw?qM{t@-?Z44L49fc4 zK<vTOYQkGLklC)fh@GQpCyx}CpOkm4^WD631{}M6+tTH4IbAdA1VFP`L9$$b`$dCL zKA@CYi5Y5iUxH2-l9DRDR&ZiOmDQ(y7`aypytWU7tNw@}uNh8*PtWS!ir%G!##Z4; zoHFBMjf^dAG``yMgYiS9!h<Kiwr^zLXGu9Wh7Rnr7uC*m#}q=Vs%h$*W+r^V`pEG5 zJ_dJ;f<%uv;oO}*Em0hHE;eSp3(RC1?JBL&rHQwdb_W-|xn3Us!I}+x5YKzOu48Kh z{^%vgpIUK=fuN^F(bLjhYYW)6fE&?km|dp_2INZx9nC43cpOD4zanHTg`B%=+{Ln6 z$u1N;X$^ROuHdpZr`F61rgAV{+S`Q*DmJtcv_Q+dQ*3Ol;#v*ZFr#8d^H-g$u`ZH7 z;QX9(2$kRUU--=%Jc_cx#_V?l$$nW2wA!{>oH={0E!fd~BNO;poL4X|1_-w0*+d3! zpt?}M#pdx1?&fh0B^HRT+*j|Ix)#d@Ma;}XFMQ;X@qNyu3CN}nL{@aoV^x>p`+6&F zSK>yN>TGUTcKc38K1_Xkze})iqrIs<BYzc}wAb_jl({Ed(eDRp6XT{$ybQGhO<&Mi zvmDf2xG;t%WAC#3E?V?t(o+|mbfnHJvs0Zk57y<ZGR&*#o5{@>88kRL>ob3Nx(tGG z>8syYZHVpP&>WvVOe{JfGaer{gVnlC%3He$uwCU-zq=_GGC<9fk>I^MeU&kJeQu7C zH2%dQxA?@W2@KvghD^Wt;n>Rn<OKbWxkSg&#>6+U9crp#&-3UbJzi4P;Vut_Wc0b4 zNP#99>t4%tWL*(xK)8ouxLe=7wqU1rx_j>cRk8pobqqbj{?q1dPkR>gZgJ(C*iZe# z!-S*VmhQ9yUE2(5`g#J_;k<Vne(g%k#YV%0xHCPJ#qbYC*nX?GR8#^W{9nvg$plw; z<-g(3Z{P3Z*Sw_Zt=|fbX@vr_OPCF$!B6j)v`_VkIt_(8^Dj!Cwog_@`uc2oQ0KdH z&dVqVCqoDy!Ey0pQg07<xOHCTId?5!2EJxX`OX^je0@2~<q@8;9~~S03NVk24W+|- zni`Jf7O;(h(r<`LI2Nt}a^8ofa)YOe3%BkN|Ke8pM!d?SV|~K!Fq#94N!OqSHH-7s zq)6!87JJ>ZyE~im1?vBF^_4+!H_y6D2n62%Nq}I%Aq2M|S=<R8G`I&1y66ulc+drc z1b4UK&H_PRAUG@#EI7MBV9~?-zxUQX=S<ann6BxkXS%0)s(Pln-yu&8@{Q{^_vd>x zU|#$hKk62<gAGII;SlpOa5KfC>+DN6h5>)`=s4Ymtu&zPeNq03xzgdS0xr{I>hhtT zvX0%NFAYQli44n7Su#L@pp<98fw*S$lfN%cgx}8VnNoq!=uZX`KT1@O6rauv;jic6 zr9R~>(|r?)p9ruX40`Q3Y`r<|4T=%On^gjTo9ZiK1yc$PH*@n$ZMG(w3jO@(JBU|w zIyBO+07mI0s>Zr6mr=1d)l{RXF!#z%s2HTPo_`*_bd^(WAz}rrrJ9LOBGGfxhHu@v zy`{?vkiBGe#IHFdt_2GvG<J`9?)XzVX`<1XRQ|&=(q)vp$HanPwo~?NHdT_xyMvY# z*KXTRj%i=GDBQtKMg^ScywmN|`tYZ{gQnxX^Ec$(XCIH2bK?o}7n=}?>4lAL%ZvzF z>3J1wy3(2@UDg3UjQ+2OkVVrs^9Ntn?b^Z!6ml!0%t!((8$nF%29ZhuViBtaejept zxI~@!)N3sJ!edBMZM_6JlXwek1D>#l1^H%-&7YdNtu?m!S0Bci4xSPigv4`{XDR=z zLHRC-u(dVha$PmOPh(tDOjq<>L0S6{%1;$;{@4dcBo4r!Z?Fh~)o$=mR6~AnyhNsO zn*nsrD`yaKw%FEcGWxp9Li-N-h{TlPYigWP=1evdIv>&}Lq8I6{$3nWr03+nM43qR z#o9_GRZe84SScdtSrxO?ckAUVbcL1UJZ9qoQ-8)Bnc$f$t#I~NVtbL|QqkD4&6kO} zy3#y*1F9VaDH_Cp^+sF_S2aLXMPs~9u;xv}(ZSFH!df}INiNa-T}KOs6+U(Vj|%$D zX*{YYNqcU^MUQQir;Iu{dvm5Z7RP(H2ooB`UW1Qesh}y9+y)Z$MRN7@?CRc6!;Z^D z9iHz|Z{{p(G^Ksb6y)v^n>_19aCni!50kEjXR|8I4;_IH>+haC1ZEyT!?PepYtsWO zR1~DCuYf_lzDZ0=EgaW<O;E>8<fG-1v{QS^rxZ2lmkyeHFv*4viC%8tGZ+^|_wjVQ z?Q?-8Y9Hw`Vf;F<JN(?@YZQUJ(5RF2bLm~F*<k6MEGj$Bbc7@K?B*IVB`Z@7MmnFs zOyM(WNq-=#*FEa8S;169U;IcaFSf*~wzp~lpVIO|01-K#==hb2l?4eei^_F3>#ZuB zO{r{{J}1o^VLwWVbx=Tj@b#9Sp&;tV_AVcqtxWVfk3^}?nJ6RJDHp!Bv;Rd^{UUs9 z$xIriS!>92JVLsaRn?W+t}Ccn(OwFW48HWV?gIuD3uHZYuXPO@Q^1`p;nYN2WyVmQ z*@=MZ8rolkiUcakDo&+WUgU!7??3%RdOvFtc)ojNhEaSH*ls3F2_Px7vAj7joAsY6 z_5OyxFY$oM=T<p@E`|rHnqP#P=xbdbKmF^(q}0-7Fmrj-TP<dEnX*<>-*nQ4jYk&H zumHR?O|Y9eo-6mX(Oq<CmOEPYsAkggz^7aLd(zmdTfLa|-mZEjLu1aVF{h{NiB#(R zo0>u6a=Sj)dVwbO%ituX)PCo9>vGd3K~wsW-vujw(<6b{mA?rmy(_#Ip7suAe80sZ zZU=-~6QZ<{q5PLlwGqFZqIXwf9~<>2M*0EefAMDL6Adn+dP#*F>K#9ni>BIEna<1l zfL6_%8+{Ownt2%2&jm>vIGAK?1W4<?Esd(wJb4`9VgI6|Qsc>_m;^~=u;*h(<^73} zgKa@R@IgY4o2oSJ7^Wp9n=Os4p#eZ+DWrQK_C3338qrTi8cw<pWTmsWe~1wta%=~n zgI^#{B^XQ{4FfuNi|qyu6C~MHXWH0ZDH{JunEOO*@;|F>NBHnIsUMK!sZ~@m&5jjy z3BD2O%b0};3QG<-r>ZX+R5h~voSBzGx>i;(&5be7%qov;E|hU~C{>NHnsdzUC2f6j z$c47(%qH%ijzMJiKj@2AY#DgheT)S-p1soDMk=o=WPET{3niM6gDG_q*2x`EXS4AW z0(BE22OL+u;hbA~Jr#B@vAC6<;KA0$JGc|y7j)!gr82jDILC>P^it)K2KPw_pfmq; zxlh=K@A$Uv@aEONdsLi=@8l($I!aZQUm_n({@~bmZErV(4fxg<MfIK2mJ0y)I?k+$ zXxF+T|Ihf+C@_NhqqRNvw!cG#X>cyPG>T0GLj5&fh@bZn%0InO3=r$Y3BDpOug*g~ ze+~-Z@84p_!^-^d0d#i}HCn7lnn$}~Y!mp>K9}}Zd#yQ?Ao~wKWowc%qGtB|Gg??L zCsE>y8Tj|7%u5(JM?#B2T?mj95Ad}mo@AAiv|+N_K;h~7$<PfI&t@QQc)Y&zN%*<K zDdta$JH-7~KmFlp8&-D2M^D?1;q2^<m~hIzU1+in1pmb7M9Vs#uvud3-o3;8=yb!I z&ptOBqa-9WV_1-e((I6Zo*b5E+GL#ebXgLtf}1aSz4;i;zhc}x0|A6-hZuE7gLApR zsRRp5r9sG_26EPLi+?|BmVZJ_X#B%0y8X|qGJO;ViFl)#vm=#atw9ErVEhxMBxyTW zR_uGhI0%0N&X{@ljwlbWVPIYAPA#lnN%z`9V$xrF^R4*1?MllAF$G8$=(07LT=e_v zt~2LBlcMD2rLI$F7G)sl>nvo8LCh)hpvTw7$54Lr^UWqK3i|FF5Z3njumGuL^j2n_ z^rL0h9WQlsrzM5t;ZlxgDE=Yly_)PzM8Rs?-BDZ~Rx*LO#pMh7#%2czeX`V77#WBF zso1sW=3?n<8GgGgkEXl^aMC51BG%(dZnlm@tCp5m$#Q7da3g^s19O^o`8d=yWqX!B zr=<;>jL;|t&8?;Y?XQqwD^Af$2YN}^fSw=SLuT%u7eYhk!w3#O7_%{qytxc|>+<9{ z({wpFAu@)`#QC3<5{bR?gnf4@qr}jtGw{o|=M+6Phf!KF^JF6xG|!d%#3JdwY=gt0 zH<upwlHKSI6FBhwmH*Yb`JJ$EAoOq!xyt`N%9q$(g?tHV&YQpS>{0H99V(RE-TETX zIFUK$Lu+_7iH^YPBkSiVE>j&gUp2EZ-KxL+y;dnGYQ0#Ou23(<4H@>}(3T01Mr^xH zU`g;6#j7%1{*_ao6Q}8jEfR#)qr^lt9+n()++)^56<pvLCpz^+mHv+n*70a-QK(nq z`^>tO4O;&jF9U<)w|!1i{gh@UBnXO1|76$0+reWgC8%z1+mz4T{uy>x`|C=t!t)~& zhYrJBdnM=v4x(1(wIw5DpE}1YLwemkKFoK|y={!B?aw>6D}V2?CGiM>*~6R=Z6Ykg ze7+#YH!Hjeme-z0t+G$OrkC5U5cIdJ&zNmox74?O_uigozwgzRF&{qzVS{i$@@lb4 z4uy>h%di}NEDFHUc}b4s?&E!wtU1-9=tpHuUbgcpEK8-=QOeaFjEB@uABUPx$EoYP zG6}yoz3f^YJs5XoJ9N+~byFnTjL@#4iL<uZafHR|%<a#lw<4a+oSSQm!A>1LAWP$A z#wjIBq8`2lYpofM0hIwJ6U~iEcYM^0P(p3g%X`tVpX~sZT2gJG+H|PIyU=~uuzRvq zWT+ZJNm{RsEt(?WWa$LNLyJ|tX7w1N7<&ip3xdkuoqwt|JuOi5PE(P$@s6Q*NskqB zR`f0)-I5n_m_!mcHeErm@Nt85ZrfINk{MeqylI1QzP$&&upIAoM(mhPT~seNNZ=un z6ILE!@doHwJJC$c+56$*h!7uElHbvxDx{Ks0KxSOWXwT=KKn77y2WX=_l#?FPuxP~ zX(zXD``ll^(-<GVkGzQ)mo?6$S)n#^YZ5W67!UA|N55teJu7-@Kj?(DDYD?yG3aC$ zlXac^OCcZ^$+oGjACN<e*WZ~!+ntkE5ZMxZ1H9O#B`HZ;Rh{1^f>1D-Q*DVE>*kQ^ z2TaDmT*+*c7+Lbb1tITlSTX#m#2w%o!&mZG-g19cil^(|!2ek67N}hLmj=k9H_>R3 zMFzKjwAuv!n08PZb<@fQ3NgH)5-R|k$zeE)k*`0K?cim@9@b7)dy(5;h~$=BOUm=h z0s^SSOt0zMXLG{s^ICHVrxFUlR#R-Tsb{+vEVoHLdM86-iW<Pn3L57GCVgja;e<^s z0gfVzb}Z+yC`)xqi^)4}+>({_R4NVbNZ!uKr=ne^Q&PWd<bh<uIf-5^a^Vdu2XTtO zGX6fc_s_QRi${MLXT*n9WU;8qO+P$X0SWLWhWklEI-A1+)_$ZWw)<HG><o7bdc7&W zjr|MAm}dGNd&>sjLrOg!`dbi#E28pb5h9Cm1m-Aayzd{1AYWG}+5^lFHathag2Ghk z)m+Ed*!lx!X*VyJ6Co|bV11FSG{e#l#K<-@#-6nJVKg$^_e{;L^mK@B?)wzr6!2%q zJ0l7e?SH>(<)U~M@6sN3U!bRftvN7~M7+CksRmIQnU-p}{{sIeF=Bol;>hH$I{8PW zjTpjlZW9URGttJ?hY(2iC*5sh;>1sX+aW<zeo^ftfwQm4`YTOEeC(_R->~iSHT?~x z@7W++^G^RWh#!!_2z)xk6@DoA$F()_t0GR_GUkqLhQTOKDH+^I#5J6wRVM#r?fpBc z;>bj`^FL3~*GQ}xP71ugD(}A)v1d2gkUJl!8CN4a_!X_tgMc}*)dEq<rKnbRTv|o; zWbNWSXqRwkwb|zB1a%XiaW`1z+QmO|(D$4o3f0otu=V6*5#n@@jo<>V<4egVp&e4) zmiT0F=hxS2xwjlN$Bk-ii}KYHp0_N4s~14pYVDiVeP`Z)FXv418!APlj<gDrzkTSa zkM@&Pe+Fr*@fnzWhqlk(aX+FHw`?cXFpaelYk!6uysL#G5MKtrA>}p}?h>xFAWwYP zQYA*AY&o>SJLst7>T7`F5;XJ=ivw_}Tql0c=i^CviwWJcd4<t>=aOix**T^fd*>c9 z$ucIVdh6j8r~3X<^MYZQV9`$U*`{aAQN~<CI!oq56-+%F;Wk;_(+_7OR7V2Y>z*`0 z9fw*~D#`46%6fs<HuS<<BhO-O{MG5$yPnchY<^R){|Q5SR+j)ACVCXi#j)vzS3}V1 zyE=c{!e6Lg?&{1f8;X@34_2_`xN(1rvp%T>?#aK4SX;Q8&qDfHwfq4Wp>pS1vugj* zXD%j!*o)X9^`m;o)P<=0vD0fv6ioA{Sz~iYuXd(J@J^UfY`Xnx3$*52_3s#vABD}& zYuSVJ&`<UzQUD8i+F@GLjXmz`{VX<@Y=zr%#9xd{%)<%x2t9vW8@w!WO`wf$YfVdd z^+h}1)(Cjr5#qHO0#ime)u6>*9G~WV^~-tC*|!OX^V)w2b0(uKo;&3nCjF-){aIW! zT`?D1IZy8-ZV$(G3+@EPMK8a0E$FbmIGz;exH1PI>sbPG*>qyneg>BkeuQFw!LeRz z)8dS)8~1eR2F=A`7Rj5SD=_#M0R4W);Cu(u@lli%%@y4U`zwF;q|MdkhU3e{AKKn; zDSfIC*!g-&$iA~AI`-DF>+vc3x$=NrKJcvbY!RSeoIc8IIuIs4uN8ez*k+DZe%B#f z3b?G*1s3iss_&yOgG!H2OtGwE3{nVK@zZNiPvDkl)%%ufvHMoziE5MC{RQ(=i0-EY z;Yjc97!6FWfs~hq5E5s0=Qr6Lq!BQV7WkADkz&UDI36r`IlWIh<QDm<-K_eb-r63r z!q8@RtP2XoM4xQ59eS3O`&T8TGX?)QVCJ<5<<%JH&o(~V52@hS`|&C}+h@UWJ*;g? zteUJ|NM`!cF;;<V-WdaQVxj(rv18NB!Y6N@u6AcZ#Mi^9CcACP-`I=`NA(8nV6J<y zZpwqXpfBRpCd;Ang5*R++REy9#@sDi!zFK6SP(`fJuXmk2=3ZSx-AbweH_Iuz$>|q zY$micG+OFhKbVwMtz&ymlDsBfrP7w>{L|qORM=pCl$M|>79|MNsV$Awe?v8vEW+#M z5XVC#8F@Oz!;nD7vG{S3qVYhD+bm`*AGOEHhw4220FMb>*h@=L@rXwa3-a+EB-9X_ zPECw!(bAD((C{S$5RDpbeYcPUP+kIv1PVn$GCqcSJ!{4#TKiy|nLr~EF@?1cY4vwu zI?MH+xS<XdF%Z?}*J9vD+w@mzl=lH?2`6GJW;&5~!`Bl9Bqi8`L6ZTd){KiZT$O6o zqgQ$FR?{gKhTvDs?!G7UsfJQdR(Tk{U2feP@`ERIzfzQ*vh)ZWyDS_4$4x;Lb|S*5 z_q)7o>p|>rGR9|i?ZwG}O3y1XCkl5JrQ4NGF*GeNn_VZ7sixdIHM12J&8-O^+r|mA z$>;XK)6n#UqMXRVe8{U`JzgqG*DKRvTRSOn{@n)DNmKpKi#%*S)Q?7$eIN?snD-JT zJ)YC@Jq7M*VVKLW$o6!BK||XICuQ(u(CyC7b2sGbL<>_M^6on6%m$+JCL7H?dFg)} zay7q``}H$^md|8R!5!UxTC$e6KPyqQ8H_pR0eUa&ddX`v|<Pip-MHFDy@T=+BQ znNIfcctOGMp7cBmO_Z_eBo7<i4pv&-mElg{XU|Tl%Q!{cCOmF{`ud~EN8j+1Of`CB z>I8ALP?o%X0Or++SG(s4{q*Efa^pEMk~)b*kOp&9>Xv%TQ!G3!p`CPRTo-4Rhpq4L zaayt-m&~311O6oBVaM66gXaVKY6CoM$Nq(bDME2AfBaZD;=JwHoeTUBq@jKmNt~T$ z$C81%im5l7X+ZMW$V=SV>y5*-WX>d1_Qo26xn{WB-_N`c6{8gwc-SJtI1U>h*$1BT z^Ws3^SjI;?!XmI=18ol4c25*~MNzUkCh&q^Ha)Fx2H$>LLSQh@jF;|X=8cK7CjI9a z7KB179u#m?x|}4kDr?Kf)<^SzT01cTIipBAs{y`SXddOzA7%19P~R0-VDe3AkGvpl zwb0Cn!5tll+f}%&@1h(}ird9`4&oe!P){d}GE~I5UbcW%vPCBz5-8;N$!zY#KiK>G zN_xVm41aOIeVIV@St}nyOF*HYtI`s%$@dDqnXKmrcMrwnUDt2<de66A{&uvN8*yd< z5J86C9HhmaFo5sLY~;dq7r&l)tKmyeTeimS&ht=zQ=$Ip9_l`j!h1A26u0~1{@N1D zZPTbo^^2ViE$5HXGEh=HyL^M+IJg$&uhIxgiWElGUb#OYr7PZK89jJbW_>Sf#$VlW zyF<QcSubnLx1G=}Qc9C{)Wda*vH@5F+V~jg0x(r%uBl6PZb{G|!fwwq6&@BVbM2)9 z7aw<TzesuM<@9;9_dy471eJk1XJl^J1%=4&rdQSOdE+q-I<wT%!~unI**D$j6e7`X zD-nbnm7k0X{GTBR_w;8i=NYxFu5y-D@vF{<%1kp{N$}@o*B~NysLQ4=KxX&t#Ay#8 z1Hvg0V`pvoIRRBg@htb6O7~}UH@=U_Zdo}igCd1I%B{Oea^Z(jKo6xj+QFlKIpb7y z_xRg+`NE?C@g;}3)2%{-iBwplk7px97tXrTQU==rsMoqCX#b&kjflw6&e^D(*qfWP z_we;AV!BnN-thIBz`X*lf1>krny>EdZiH4#`pZIP_PsuY9LH*htuH>BNY*i=-tW54 z(^OYtj~zl|eX3l=jNQGU<|%CC*WJ6aZqoo~k5})_jOo0qva#XXKjoSMn_|eGy6|9P zW|lAL#13x2lUUR`XLUY^$7J7N8t0=H%PvcmI`ro1O@`es=cs{>){s9=V>OWcSrwk~ zAkn_7_vb1`vrC`6-Olrjb<3mo4#pPp5ZYwr9)-kkXg&>hW}BZzrG46$PfcRgf=dfN zhK^?H_M)rSp2rhvcU5tU@!#{;GXI$5$lJFI$uCUG8m{o*WfhgUyckte)2-e+x9&6u zj;{$WS+<UI#_0hb7bo<fl~1ngBB?ZHtUGJyRX$SWt_GCX^<+hMdvtW@b2VO^yaU|M z*w@IFd|hf<t*A}LW=|LJaTL@%^U_~neEr@F8q%26g+86A5^^Znkw2@7dmTXgdv87; z*FIV+pu>(ZY^p?)abI;N`u(kEWSXHgJ@PhH0lwiWPQwTASQu^>xy6WkNbmQh)oLQi z?*k(nYnmyVh40hF>#SzcuAR5Zm3ZNdWKQ>I<a%jh<f{YG?G+yF4d7bef^u-ZJi*F6 z)iLpPTOG|_D|Sz5_9&U#+rW>v=Ddix*S93C<iD<s7{0=noA8Rs{m82Q%P*g{=^)J( zb&zy7;$lEeG=Tw=z2Sf&y;#~qVi>b2y^g+fI?ssxzJ`c>>C1?=Xab^Un}}!(-!t|1 zy7q;X(e(?z53G5X%>COJOqIP`^g05qLqzbxO!v4ioeQI1|9#bZ`p=dQOvkk$0{Vv^ z&qwp_qyNPp`1EA{-tL9CgdySK`7~S{qPc(N+PMHobxA=T%3iyv3uqe|Fbd#bTH2Cj zp7a%df45iPD!3<s`FN@(!`{OK9|t9*?)mb)NAu>VD+&REol`=c`6vW&O3w_}yJzmY z^)%rx+2dCM<hml-)dOqYVBeVbO4LIb_`o%0ISSjuqlaoq6XZC%-=k6oPiB{+WJ%>t z)ct{r2JO?>432=Djs@12=}vaj)x3?Z>PxW3*T9v&QN{kM)85ts<+<>U{<*PDbr%Su zsdOy`|3-YeJB{<kZ3VAPyxd~2q#81@=6ulBb@{sm$zzkD!V<1J&Fihj!N_tlKWTT^ zubPGh$gMATH{eqV+%KJ*O<i}XD`Px*hKctK1p2>00a%m_79Z}v;Q?)I<L6AUK^ZJA z-G7HoF5dhUI3UmpDF}r3F#X?#@z4u`9JfFq7dIgjJ10ALPTT*3`2V8}z^OvD^KTGH zJ`@K;^dGMO$5#bYk;S5Z{4Y4nQ5NeZh9d0C1Hs^5!qb^&a92Z2&_eNR5aIveikrvy zZ_?Zy9sak#|AaNbAdpcc2t@EdSlMCsvRJhIJTh1yP7pVbhn3HJH9<8U7f%5PHG4^C hbEuT8mAR~pu9CZzrJ9DcK0B|Lf|awDBfImv{{m@>Xs7@H diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c index ec1c7fcf..524285f7 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c @@ -2501,9 +2501,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2511,7 +2511,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2530,9 +2530,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2540,7 +2540,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2559,9 +2559,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2569,7 +2569,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2588,9 +2588,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2598,7 +2598,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2617,9 +2617,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2627,7 +2627,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2646,9 +2646,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2656,7 +2656,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2675,9 +2675,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2685,7 +2685,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2704,9 +2704,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2714,7 +2714,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2733,9 +2733,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2743,7 +2743,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2762,9 +2762,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2772,7 +2772,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2791,9 +2791,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2801,7 +2801,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2820,9 +2820,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2830,7 +2830,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2843,15 +2843,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2859,7 +2859,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2872,15 +2872,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2888,7 +2888,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2901,15 +2901,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2917,7 +2917,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2930,15 +2930,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2946,7 +2946,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2965,9 +2965,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2975,7 +2975,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2994,9 +2994,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3004,7 +3004,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3023,9 +3023,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3033,7 +3033,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3052,9 +3052,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3062,7 +3062,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3081,9 +3081,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3091,7 +3091,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3110,9 +3110,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3120,7 +3120,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3133,15 +3133,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3149,7 +3149,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3162,15 +3162,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3178,7 +3178,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3197,9 +3197,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3207,7 +3207,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3226,9 +3226,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3236,7 +3236,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3255,9 +3255,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3265,7 +3265,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3284,9 +3284,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3294,7 +3294,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3313,9 +3313,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3323,7 +3323,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3342,9 +3342,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3352,16 +3352,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3369,16 +3369,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3386,7 +3386,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3405,9 +3405,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3415,7 +3415,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -3434,9 +3434,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3444,7 +3444,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3463,9 +3463,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3473,7 +3473,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3492,9 +3492,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3502,7 +3502,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3521,9 +3521,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3531,7 +3531,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3550,9 +3550,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3560,7 +3560,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -6747,9 +6747,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6757,7 +6757,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6776,9 +6776,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6786,7 +6786,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6805,9 +6805,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6815,7 +6815,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6834,9 +6834,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6844,7 +6844,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6863,9 +6863,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6873,7 +6873,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6892,9 +6892,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6902,7 +6902,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6921,9 +6921,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6931,7 +6931,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6950,9 +6950,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6960,7 +6960,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6979,9 +6979,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6989,7 +6989,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7008,9 +7008,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7018,7 +7018,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7037,9 +7037,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7047,7 +7047,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7066,9 +7066,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7076,7 +7076,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7089,15 +7089,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7105,7 +7105,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7118,15 +7118,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7134,7 +7134,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7147,15 +7147,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7163,7 +7163,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7176,15 +7176,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7192,7 +7192,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7211,9 +7211,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7221,7 +7221,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7240,9 +7240,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7250,7 +7250,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7269,9 +7269,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7279,7 +7279,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7298,9 +7298,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7308,7 +7308,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7327,9 +7327,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7337,7 +7337,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7356,9 +7356,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7366,7 +7366,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7379,15 +7379,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7395,7 +7395,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7408,15 +7408,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7424,7 +7424,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7443,9 +7443,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7453,7 +7453,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7472,9 +7472,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7482,7 +7482,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7501,9 +7501,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7511,7 +7511,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7530,9 +7530,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7540,7 +7540,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7559,9 +7559,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7569,7 +7569,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7588,9 +7588,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7598,16 +7598,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7615,16 +7615,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7632,7 +7632,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7651,9 +7651,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7661,7 +7661,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7680,9 +7680,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7690,7 +7690,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7709,9 +7709,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7719,7 +7719,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7738,9 +7738,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7748,7 +7748,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7767,9 +7767,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7777,7 +7777,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7796,9 +7796,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7806,7 +7806,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -10924,9 +10924,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10934,7 +10934,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10953,9 +10953,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10963,7 +10963,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10982,9 +10982,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10992,7 +10992,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11011,9 +11011,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11021,7 +11021,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11040,9 +11040,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11050,7 +11050,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11069,9 +11069,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11079,7 +11079,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11098,9 +11098,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11108,7 +11108,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11127,9 +11127,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11137,7 +11137,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11156,9 +11156,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11166,7 +11166,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11185,9 +11185,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11195,7 +11195,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11214,9 +11214,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11224,7 +11224,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11243,9 +11243,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11253,7 +11253,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11266,15 +11266,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11282,7 +11282,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11295,15 +11295,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11311,7 +11311,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11324,15 +11324,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11340,7 +11340,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11353,15 +11353,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11369,7 +11369,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11388,9 +11388,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11398,7 +11398,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11417,9 +11417,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11427,7 +11427,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11446,9 +11446,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11456,7 +11456,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11475,9 +11475,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11485,7 +11485,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11504,9 +11504,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11514,7 +11514,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11533,9 +11533,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11543,7 +11543,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11556,15 +11556,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11572,7 +11572,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11585,15 +11585,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11601,7 +11601,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11620,9 +11620,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11630,7 +11630,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11649,9 +11649,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11659,7 +11659,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11678,9 +11678,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11688,7 +11688,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11707,9 +11707,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11717,7 +11717,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11736,9 +11736,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11746,7 +11746,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11765,9 +11765,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11775,16 +11775,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11792,16 +11792,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11809,7 +11809,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11828,9 +11828,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11838,7 +11838,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11857,9 +11857,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11867,7 +11867,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11886,9 +11886,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11896,7 +11896,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11915,9 +11915,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11925,7 +11925,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11944,9 +11944,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11954,7 +11954,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11973,9 +11973,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11983,7 +11983,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html index ab3fbf7f..c75eb71d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html @@ -527,7 +527,7 @@ Enet 0 tx_clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -550,7 +550,7 @@ Enet 0 txd[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -573,7 +573,7 @@ Enet 0 txd[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -596,7 +596,7 @@ Enet 0 txd[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -619,7 +619,7 @@ Enet 0 txd[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -642,7 +642,7 @@ Enet 0 tx_ctl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -665,7 +665,7 @@ Enet 0 rx_clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -688,7 +688,7 @@ Enet 0 rxd[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -711,7 +711,7 @@ Enet 0 rxd[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -734,7 +734,7 @@ Enet 0 rxd[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -757,7 +757,7 @@ Enet 0 rxd[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -780,7 +780,7 @@ Enet 0 rx_ctl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -797,13 +797,13 @@ in <B>MIO 28</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 1 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[28] +scl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -820,13 +820,13 @@ inout <B>MIO 29</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 1 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[29] +sda </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -843,13 +843,13 @@ inout <B>MIO 30</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -I2C 0 +GPIO </TD> <TD width=10% BGCOLOR=#FBF5EF> -scl +gpio[30] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -866,13 +866,13 @@ inout <B>MIO 31</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -I2C 0 +GPIO </TD> <TD width=10% BGCOLOR=#FBF5EF> -sda +gpio[31] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -895,7 +895,7 @@ GPIO gpio[32] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -918,7 +918,7 @@ GPIO gpio[33] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -941,7 +941,7 @@ GPIO gpio[34] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -964,7 +964,7 @@ GPIO gpio[35] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -987,7 +987,7 @@ GPIO gpio[36] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1010,7 +1010,7 @@ GPIO gpio[37] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1027,13 +1027,13 @@ inout <B>MIO 38</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 0 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[38] +scl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1050,13 +1050,13 @@ inout <B>MIO 39</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 0 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[39] +sda </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1079,7 +1079,7 @@ SD 0 clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1102,7 +1102,7 @@ SD 0 cmd </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1125,7 +1125,7 @@ SD 0 data[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1148,7 +1148,7 @@ SD 0 data[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1171,7 +1171,7 @@ SD 0 data[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1194,7 +1194,7 @@ SD 0 data[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1217,7 +1217,7 @@ SD 0 cd </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1240,7 +1240,7 @@ SD 0 wp </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1263,7 +1263,7 @@ UART 1 tx </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1286,7 +1286,7 @@ UART 1 rx </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1309,7 +1309,7 @@ GPIO gpio[50] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1332,7 +1332,7 @@ GPIO gpio[51] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1355,7 +1355,7 @@ Enet 0 mdc </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1378,7 +1378,7 @@ Enet 0 mdio </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -33340,10 +33340,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33403,7 +33403,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 16 Control</B> @@ -33607,10 +33607,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33670,7 +33670,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 17 Control</B> @@ -33874,10 +33874,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33937,7 +33937,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 18 Control</B> @@ -34141,10 +34141,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34204,7 +34204,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 19 Control</B> @@ -34408,10 +34408,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34471,7 +34471,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 20 Control</B> @@ -34675,10 +34675,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34738,7 +34738,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 21 Control</B> @@ -34942,10 +34942,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35005,7 +35005,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 22 Control</B> @@ -35209,10 +35209,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35272,7 +35272,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 23 Control</B> @@ -35476,10 +35476,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35539,7 +35539,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 24 Control</B> @@ -35743,10 +35743,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35806,7 +35806,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 25 Control</B> @@ -36010,10 +36010,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36073,7 +36073,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 26 Control</B> @@ -36277,10 +36277,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36340,7 +36340,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 27 Control</B> @@ -36504,10 +36504,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 28 (bank 0), Input/Output 001: CAN 1 Tx, Output 010: I2C 1 Serial Clock, Input/Output 011: reserved 100: SDIO 0 Clock, Input/Output 101: SPI 0 Serial Clock, Input/Output 110: TTC 1 Wave, Output 111: UART 1 TxD, Output</B> @@ -36544,10 +36544,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36607,7 +36607,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 28 Control</B> @@ -36771,10 +36771,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 29 (bank 0), Input/Output 001: CAN 1 Rx, Input 010: I2C 1 Serial Data, Input/Output 011: reserved 100: SDIO 0 Command, Input/Output 101: SPI 0 MISO, Input/Output 110: TTC 1 Clock, Input 111: UART 1 RxD, Input</B> @@ -36811,10 +36811,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36874,7 +36874,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 29 Control</B> @@ -37038,10 +37038,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 30 (bank 0), Input/Output 001: CAN 0 Rx, Input 010: I2C 0 Serial Clock, Input/Ouput 011: reserved 100: SDIO 0 IO Bit 0, Input/Output 101: SPI 0 Slave Select 0, Input/Output 110: TTC 0 Wave, Output 111: UART 0 RxD, Input</B> @@ -37078,10 +37078,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37141,7 +37141,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 30 Control</B> @@ -37305,10 +37305,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 31 (bank 0), Input/Output 001: CAN 0 Tx, Output 010: I2C 0 Serial Data, Input/Output 011: reserved 100: SDIO 0 IO Bit 1, Input/Output 101: SPI 0 Slave Select 1, Output 110: TTC 0 Clock, Input 111: UART 0 TxD, Output</B> @@ -37345,10 +37345,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37408,7 +37408,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 31 Control</B> @@ -37612,10 +37612,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37675,7 +37675,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 32 Control</B> @@ -37879,10 +37879,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37942,7 +37942,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 33 Control</B> @@ -38146,10 +38146,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38209,7 +38209,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 34 Control</B> @@ -38413,10 +38413,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38476,7 +38476,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 35 Control</B> @@ -38680,10 +38680,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38743,7 +38743,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 36 Control</B> @@ -38947,10 +38947,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39010,7 +39010,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 37 Control</B> @@ -39174,10 +39174,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 38 (bank 1), Input/Output 001: CAN 0 Rx, Input 010: I2C 0 Serial Clock, Input/Ouput 011: SWDT Clock, Input 100: SDIO 1 IO Bit 2, Input/Output 101: SPI 1 Slave Select 1, Output 110: reserved 111: UART 0 RxD, Input</B> @@ -39214,10 +39214,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39277,7 +39277,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 38 Control</B> @@ -39441,10 +39441,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 39 (bank 1), Input/Output 001: CAN 0 Tx, Output 010: I2C 0 Serial Data, Input/Output 011: SWDT Reset, Output 100: SDIO 1 IO Bit 3, Input/Output 101: SPI 1 Slave Select 2, Output 110: reserved 111: UART 0 TxD, Output</B> @@ -39481,10 +39481,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39544,7 +39544,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 39 Control</B> @@ -39748,10 +39748,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39811,7 +39811,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 40 Control</B> @@ -40015,10 +40015,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40078,7 +40078,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 41 Control</B> @@ -40282,10 +40282,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40345,7 +40345,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 42 Control</B> @@ -40549,10 +40549,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40612,7 +40612,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 43 Control</B> @@ -40816,10 +40816,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40879,7 +40879,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 44 Control</B> @@ -41083,10 +41083,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41146,7 +41146,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 45 Control</B> @@ -41270,10 +41270,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41333,7 +41333,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 46 Control</B> @@ -41457,10 +41457,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41520,7 +41520,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 47 Control</B> @@ -41724,10 +41724,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41787,7 +41787,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 48 Control</B> @@ -41991,10 +41991,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42054,7 +42054,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 49 Control</B> @@ -42258,10 +42258,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42321,7 +42321,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 50 Control</B> @@ -42525,10 +42525,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42588,7 +42588,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 51 Control</B> @@ -42792,10 +42792,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42855,7 +42855,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 52 Control</B> @@ -43059,10 +43059,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -43122,7 +43122,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 53 Control</B> @@ -79435,10 +79435,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -79498,7 +79498,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 16 Control</B> @@ -79702,10 +79702,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -79765,7 +79765,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 17 Control</B> @@ -79969,10 +79969,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80032,7 +80032,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 18 Control</B> @@ -80236,10 +80236,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80299,7 +80299,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 19 Control</B> @@ -80503,10 +80503,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80566,7 +80566,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 20 Control</B> @@ -80770,10 +80770,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80833,7 +80833,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 21 Control</B> @@ -81037,10 +81037,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81100,7 +81100,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 22 Control</B> @@ -81304,10 +81304,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81367,7 +81367,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 23 Control</B> @@ -81571,10 +81571,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81634,7 +81634,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 24 Control</B> @@ -81838,10 +81838,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81901,7 +81901,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 25 Control</B> @@ -82105,10 +82105,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82168,7 +82168,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 26 Control</B> @@ -82372,10 +82372,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82435,7 +82435,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 27 Control</B> @@ -82599,10 +82599,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 28 (bank 0) 001: CAN 1 Tx 010: I2C 1 Serial Clock 011: reserved 100: SDIO 0 Clock 101: SPI 0 Serial Clock 110: TTC 1 Wave Out 111: UART 1 TxD</B> @@ -82639,10 +82639,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82702,7 +82702,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 28 Control</B> @@ -82866,10 +82866,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 29 (bank 0) 001: CAN 1 Rx 010: I2C 1 Serial Data 011: reserved 100: SDIO 0 Command 101: SPI 0 MISO 110: TTC 1 Clock Input 111: UART 1 RxD</B> @@ -82906,10 +82906,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82969,7 +82969,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 29 Control</B> @@ -83133,10 +83133,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 30 (bank 0) 001: CAN 0 Rx 010: I2C 0 Serial Clock 011: reserved 100: SDIO 0 IO Bit 0 101: SPI 0 Slave Select 0 110: TTC 0 Wave Out 111: UART 0 RxD</B> @@ -83173,10 +83173,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83236,7 +83236,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 30 Control</B> @@ -83400,10 +83400,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 31 (bank 0) 001: CAN 0 Tx 010: I2C 0 Serial Data 011: reserved 100: SDIO 0 IO Bit 1 101: SPI 0 Slave Select 1 110: TTC 0 Clock Intput 111: UART 0 TxD</B> @@ -83440,10 +83440,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83503,7 +83503,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 31 Control</B> @@ -83707,10 +83707,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83770,7 +83770,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 32 Control</B> @@ -83974,10 +83974,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84037,7 +84037,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 33 Control</B> @@ -84241,10 +84241,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84304,7 +84304,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 34 Control</B> @@ -84508,10 +84508,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84571,7 +84571,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 35 Control</B> @@ -84775,10 +84775,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84838,7 +84838,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 36 Control</B> @@ -85042,10 +85042,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85105,7 +85105,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 37 Control</B> @@ -85269,10 +85269,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 38 (bank 1) 001: CAN 0 Rx 010: I2C 0 Serial Clock 011: SWDT Clock In 100: SDIO 1 IO Bit 2 101: SPI 1 Slave Select 1 110: reserved 111: UART 0 RxD</B> @@ -85309,10 +85309,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85372,7 +85372,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 38 Control</B> @@ -85536,10 +85536,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 39 (bank 1) 001: CAN 0 Tx 010: I2C 0 Serial Data 011: SWDT Reset Out 100: SDIO 1 IO Bit 3 101: SPI 1 Slave Select 2 110: reserved 111: UART 0 TxD</B> @@ -85576,10 +85576,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85639,7 +85639,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 39 Control</B> @@ -85843,10 +85843,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85906,7 +85906,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 40 Control</B> @@ -86110,10 +86110,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86173,7 +86173,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 41 Control</B> @@ -86377,10 +86377,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86440,7 +86440,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 42 Control</B> @@ -86644,10 +86644,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86707,7 +86707,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 43 Control</B> @@ -86911,10 +86911,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86974,7 +86974,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 44 Control</B> @@ -87178,10 +87178,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87241,7 +87241,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 45 Control</B> @@ -87365,10 +87365,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87428,7 +87428,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 46 Control</B> @@ -87552,10 +87552,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87615,7 +87615,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 47 Control</B> @@ -87819,10 +87819,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87882,7 +87882,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 48 Control</B> @@ -88086,10 +88086,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88149,7 +88149,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 49 Control</B> @@ -88353,10 +88353,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88416,7 +88416,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 50 Control</B> @@ -88620,10 +88620,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88683,7 +88683,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 51 Control</B> @@ -88887,10 +88887,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88950,7 +88950,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 52 Control</B> @@ -89154,10 +89154,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -89217,7 +89217,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 53 Control</B> @@ -124891,10 +124891,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -124954,7 +124954,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 16</B> @@ -125158,10 +125158,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125221,7 +125221,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 17</B> @@ -125425,10 +125425,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125488,7 +125488,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 18</B> @@ -125692,10 +125692,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125755,7 +125755,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 19</B> @@ -125959,10 +125959,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126022,7 +126022,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 20</B> @@ -126226,10 +126226,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126289,7 +126289,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 21</B> @@ -126493,10 +126493,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126556,7 +126556,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 22</B> @@ -126760,10 +126760,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126823,7 +126823,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 23</B> @@ -127027,10 +127027,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127090,7 +127090,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 24</B> @@ -127294,10 +127294,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127357,7 +127357,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 25</B> @@ -127561,10 +127561,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127624,7 +127624,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 26</B> @@ -127828,10 +127828,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127891,7 +127891,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 27</B> @@ -128055,10 +128055,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[28]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[28]- (GPIO bank 0) 1= can1, Output, can1_phy_tx- (Can TX signal) 2= i2c1, Input, i2c1_scl_input- (SCL signal) 2= i2c1, Output, i2c1_scl_out- (SCL signal) 3= Not Used 4= sd0, Input, sd0_clk_in- (SDSDIO clock) 4= sd0, Output, sd0_clk_out- (SDSDIO clock) 5= spi0, Input, spi0_sclk_in- (SPI Clock) 5= spi0, Output, spi0_sclk_out- (SPI Clock) 6= ttc1, Output, ttc1_wave_out- (TTC waveform clock) 7= ua1, Output, ua1_txd- (UART transmitter serial output)</B> @@ -128095,10 +128095,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128158,7 +128158,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 28</B> @@ -128322,10 +128322,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[29]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[29]- (GPIO bank 0) 1= can1, Input, can1_phy_rx- (Can RX signal) 2= i2c1, Input, i2c1_sda_input- (SDA signal) 2= i2c1, Output, i2c1_sda_out- (SDA signal) 3= Not Used 4= sd0, Input, sd0_cmd_in- (Command Indicator) 4= sd0, Output, sd0_cmd_out- (Command Indicator) 5= spi0, Input, spi0_mi- (MISO signal) 5= spi0, Output, spi0_so- (MISO signal) 6= ttc1, Input, ttc1_clk_in- (TTC input clock) 7= ua1, Input, ua1_rxd- (UART receiver serial input)</B> @@ -128362,10 +128362,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128425,7 +128425,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 29</B> @@ -128589,10 +128589,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[30]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[30]- (GPIO bank 0) 1= can0, Input, can0_phy_rx- (Can RX signal) 2= i2c0, Input, i2c0_scl_input- (SCL signal) 2= i2c0, Output, i2c0_scl_out- (SCL signal) 3= Not Used 4= sd0, Input, sd0_data_in[0]- (4-bit Data bus) 4= sd0, Output, sd0_data_out[0]- (4-bit Data bus) 5= spi0, Input, spi0_n_ss_in- (SPI Master Selects) 5= spi0, Output, spi0_n_ss_out[0]- (SPI Master Selects) 6= ttc0, Output, ttc0_wave_out- (TTC waveform clock) 7= ua0, Input, ua0_rxd- (UART receiver serial input)</B> @@ -128629,10 +128629,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128692,7 +128692,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 30</B> @@ -128856,10 +128856,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[31]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[31]- (GPIO bank 0) 1= can0, Output, can0_phy_tx- (Can TX signal) 2= i2c0, Input, i2c0_sda_input- (SDA signal) 2= i2c0, Output, i2c0_sda_out- (SDA signal) 3= Not Used 4= sd0, Input, sd0_data_in[1]- (4-bit Data bus) 4= sd0, Output, sd0_data_out[1]- (4-bit Data bus) 5= spi0, Output, spi0_n_ss_out[1]- (SPI Master Selects) 6= ttc0, Input, ttc0_clk_in- (TTC input clock) 7= ua0, Output, ua0_txd- (UART transmitter serial output)</B> @@ -128896,10 +128896,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128959,7 +128959,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 31</B> @@ -129163,10 +129163,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129226,7 +129226,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 32</B> @@ -129430,10 +129430,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129493,7 +129493,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 33</B> @@ -129697,10 +129697,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129760,7 +129760,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 34</B> @@ -129964,10 +129964,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130027,7 +130027,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 35</B> @@ -130231,10 +130231,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130294,7 +130294,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 36</B> @@ -130498,10 +130498,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130561,7 +130561,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 37</B> @@ -130725,10 +130725,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio1, Input, gpio_1_pin_in[6]- (GPIO bank 1) 0= gpio1, Output, gpio_1_pin_out[6]- (GPIO bank 1) 1= can0, Input, can0_phy_rx- (Can RX signal) 2= i2c0, Input, i2c0_scl_input- (SCL signal) 2= i2c0, Output, i2c0_scl_out- (SCL signal) 3= wdt, Input, wdt_clk_in- (Watch Dog Timer Input clock) 4= sd1, Input, sd1_data_in[2]- (4-bit Data bus) 4= sd1, Output, sd1_data_out[2]- (4-bit Data bus) 5= spi1, Output, spi1_n_ss_out[1]- (SPI Master Selects) 6= Not Used 7= ua0, Input, ua0_rxd- (UART receiver serial input)</B> @@ -130765,10 +130765,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130828,7 +130828,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 38</B> @@ -130992,10 +130992,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio1, Input, gpio_1_pin_in[7]- (GPIO bank 1) 0= gpio1, Output, gpio_1_pin_out[7]- (GPIO bank 1) 1= can0, Output, can0_phy_tx- (Can TX signal) 2= i2c0, Input, i2c0_sda_input- (SDA signal) 2= i2c0, Output, i2c0_sda_out- (SDA signal) 3= wdt, Output, wdt_rst_out- (Watch Dog Timer Output clock) 4= sd1, Input, sd1_data_in[3]- (4-bit Data bus) 4= sd1, Output, sd1_data_out[3]- (4-bit Data bus) 5= spi1, Output, spi1_n_ss_out[2]- (SPI Master Selects) 6= Not Used 7= ua0, Output, ua0_txd- (UART transmitter serial output)</B> @@ -131032,10 +131032,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131095,7 +131095,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 39</B> @@ -131299,10 +131299,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131362,7 +131362,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 40</B> @@ -131566,10 +131566,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131629,7 +131629,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 41</B> @@ -131833,10 +131833,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131896,7 +131896,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 42</B> @@ -132100,10 +132100,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132163,7 +132163,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 43</B> @@ -132367,10 +132367,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132430,7 +132430,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 44</B> @@ -132634,10 +132634,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132697,7 +132697,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 45</B> @@ -132821,10 +132821,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132884,7 +132884,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 46</B> @@ -133008,10 +133008,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133071,7 +133071,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 47</B> @@ -133275,10 +133275,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133338,7 +133338,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 48</B> @@ -133542,10 +133542,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133605,7 +133605,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 49</B> @@ -133809,10 +133809,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133872,7 +133872,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 50</B> @@ -134076,10 +134076,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134139,7 +134139,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 51</B> @@ -134343,10 +134343,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134406,7 +134406,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 52</B> @@ -134610,10 +134610,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134673,7 +134673,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 53</B> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl index 512a90df..2545960a 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl @@ -158,44 +158,44 @@ proc ps7_mio_init_data_3_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } @@ -391,44 +391,44 @@ proc ps7_mio_init_data_2_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } @@ -622,44 +622,44 @@ proc ps7_mio_init_data_1_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c index 72f4ce75..0dddd89b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c @@ -2492,9 +2492,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2502,7 +2502,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2521,9 +2521,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2531,7 +2531,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2550,9 +2550,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2560,7 +2560,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2579,9 +2579,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2589,7 +2589,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2608,9 +2608,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2618,7 +2618,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2637,9 +2637,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2647,7 +2647,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2666,9 +2666,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2676,7 +2676,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2695,9 +2695,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2705,7 +2705,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2724,9 +2724,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2734,7 +2734,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2753,9 +2753,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2763,7 +2763,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2782,9 +2782,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2792,7 +2792,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2811,9 +2811,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2821,7 +2821,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2834,15 +2834,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2850,7 +2850,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2863,15 +2863,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2879,7 +2879,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2892,15 +2892,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2908,7 +2908,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2921,15 +2921,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2937,7 +2937,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2956,9 +2956,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2966,7 +2966,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2985,9 +2985,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2995,7 +2995,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3014,9 +3014,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3024,7 +3024,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3043,9 +3043,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3053,7 +3053,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3072,9 +3072,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3082,7 +3082,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3101,9 +3101,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3111,7 +3111,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3124,15 +3124,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3140,7 +3140,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3153,15 +3153,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3169,7 +3169,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3188,9 +3188,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3198,7 +3198,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3217,9 +3217,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3227,7 +3227,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3246,9 +3246,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3256,7 +3256,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3275,9 +3275,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3285,7 +3285,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3304,9 +3304,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3314,7 +3314,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3333,9 +3333,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3343,16 +3343,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3360,16 +3360,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3377,7 +3377,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3396,9 +3396,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3406,7 +3406,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -3425,9 +3425,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3435,7 +3435,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3454,9 +3454,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3464,7 +3464,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3483,9 +3483,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3493,7 +3493,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3512,9 +3512,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3522,7 +3522,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3541,9 +3541,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3551,7 +3551,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -6738,9 +6738,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6748,7 +6748,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6767,9 +6767,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6777,7 +6777,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6796,9 +6796,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6806,7 +6806,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6825,9 +6825,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6835,7 +6835,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6854,9 +6854,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6864,7 +6864,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6883,9 +6883,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6893,7 +6893,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6912,9 +6912,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6922,7 +6922,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6941,9 +6941,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6951,7 +6951,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6970,9 +6970,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6980,7 +6980,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6999,9 +6999,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7009,7 +7009,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7028,9 +7028,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7038,7 +7038,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7057,9 +7057,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7067,7 +7067,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7080,15 +7080,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7096,7 +7096,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7109,15 +7109,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7125,7 +7125,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7138,15 +7138,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7154,7 +7154,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7167,15 +7167,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7183,7 +7183,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7202,9 +7202,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7212,7 +7212,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7231,9 +7231,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7241,7 +7241,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7260,9 +7260,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7270,7 +7270,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7289,9 +7289,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7299,7 +7299,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7318,9 +7318,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7328,7 +7328,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7347,9 +7347,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7357,7 +7357,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7370,15 +7370,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7386,7 +7386,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7399,15 +7399,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7415,7 +7415,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7434,9 +7434,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7444,7 +7444,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7463,9 +7463,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7473,7 +7473,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7492,9 +7492,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7502,7 +7502,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7521,9 +7521,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7531,7 +7531,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7550,9 +7550,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7560,7 +7560,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7579,9 +7579,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7589,16 +7589,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7606,16 +7606,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7623,7 +7623,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7642,9 +7642,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7652,7 +7652,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7671,9 +7671,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7681,7 +7681,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7700,9 +7700,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7710,7 +7710,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7729,9 +7729,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7739,7 +7739,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7758,9 +7758,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7768,7 +7768,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7787,9 +7787,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7797,7 +7797,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -10915,9 +10915,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10925,7 +10925,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10944,9 +10944,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10954,7 +10954,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10973,9 +10973,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10983,7 +10983,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11002,9 +11002,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11012,7 +11012,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11031,9 +11031,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11041,7 +11041,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11060,9 +11060,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11070,7 +11070,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11089,9 +11089,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11099,7 +11099,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11118,9 +11118,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11128,7 +11128,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11147,9 +11147,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11157,7 +11157,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11176,9 +11176,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11186,7 +11186,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11205,9 +11205,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11215,7 +11215,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11234,9 +11234,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11244,7 +11244,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11257,15 +11257,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11273,7 +11273,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11286,15 +11286,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11302,7 +11302,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11315,15 +11315,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11331,7 +11331,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11344,15 +11344,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11360,7 +11360,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11379,9 +11379,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11389,7 +11389,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11408,9 +11408,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11418,7 +11418,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11437,9 +11437,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11447,7 +11447,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11466,9 +11466,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11476,7 +11476,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11495,9 +11495,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11505,7 +11505,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11524,9 +11524,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11534,7 +11534,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11547,15 +11547,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11563,7 +11563,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11576,15 +11576,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11592,7 +11592,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11611,9 +11611,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11621,7 +11621,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11640,9 +11640,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11650,7 +11650,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11669,9 +11669,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11679,7 +11679,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11698,9 +11698,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11708,7 +11708,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11727,9 +11727,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11737,7 +11737,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11756,9 +11756,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11766,16 +11766,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11783,16 +11783,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11800,7 +11800,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11819,9 +11819,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11829,7 +11829,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11848,9 +11848,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11858,7 +11858,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11877,9 +11877,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11887,7 +11887,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11906,9 +11906,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11916,7 +11916,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11935,9 +11935,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11945,7 +11945,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11964,9 +11964,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11974,7 +11974,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml index 82fefa0e..b09a9a6d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml @@ -107,14 +107,14 @@ <PARAMETER NAME="PCW_GPIO_PERIPHERAL_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_GRP_INT_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_GRP_INT_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 30 .. 31" /> + <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 38 .. 39" /> <PARAMETER NAME="PCW_I2C0_PERIPHERAL_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_I2C0_RESET_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_RESET_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="" /> + <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C1_GRP_INT_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="0" /> + <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="MIO 28 .. 29" /> + <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_I2C1_RESET_ENABLE" VALUE="" /> <PARAMETER NAME="PCW_I2C1_RESET_IO" VALUE="" /> <PARAMETER NAME="PCW_I2C_RESET_ENABLE" VALUE="1" /> @@ -152,19 +152,19 @@ <PARAMETER NAME="PCW_MIO_15_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_15_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_16_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_16_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_16_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_17_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_17_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_17_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_18_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_18_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_18_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_19_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_19_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_19_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_1_DIRECTION" VALUE="out" /> @@ -172,43 +172,43 @@ <PARAMETER NAME="PCW_MIO_1_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_1_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_20_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_20_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_20_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_21_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_21_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_21_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_22_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_22_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_22_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_23_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_23_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_23_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_24_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_24_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_24_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_25_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_25_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_25_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_26_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_26_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_26_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_27_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_27_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_27_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_28_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_28_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_28_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_29_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_29_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_29_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_2_DIRECTION" VALUE="inout" /> @@ -216,43 +216,43 @@ <PARAMETER NAME="PCW_MIO_2_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_2_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_30_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_30_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_30_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_31_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_31_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_31_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_32_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_32_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_32_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_33_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_33_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_33_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_34_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_34_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_34_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_35_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_35_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_35_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_36_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_36_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_36_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_37_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_37_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_37_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_38_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_38_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_38_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_39_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_39_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_39_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_3_DIRECTION" VALUE="inout" /> @@ -260,43 +260,43 @@ <PARAMETER NAME="PCW_MIO_3_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_3_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_40_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_40_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_40_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_41_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_41_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_41_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_42_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_42_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_42_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_43_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_43_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_43_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_44_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_44_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_44_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_45_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_45_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_45_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_46_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_46_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_46_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_47_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_47_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_47_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_48_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_48_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_48_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_49_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_49_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_49_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_4_DIRECTION" VALUE="inout" /> @@ -304,19 +304,19 @@ <PARAMETER NAME="PCW_MIO_4_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_4_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_50_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_50_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_50_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_51_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_51_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_51_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_52_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_52_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_52_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_53_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_53_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_53_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_5_DIRECTION" VALUE="inout" /> @@ -400,7 +400,7 @@ <PARAMETER NAME="PCW_PJTAG_PJTAG_IO" VALUE="" /> <PARAMETER NAME="PCW_PLL_BYPASSMODE_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_PRESET_BANK0_VOLTAGE" VALUE="LVCMOS 3.3V" /> - <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_QSPI_GRP_FBCLK_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_QSPI_GRP_FBCLK_IO" VALUE="MIO 8" /> <PARAMETER NAME="PCW_QSPI_GRP_IO1_ENABLE" VALUE="1" /> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp index 0c69e57d59e10b833fc38e9024a57e61e356d251..b95d350eec91719e3cc841602562050184b5dc5e 100644 GIT binary patch delta 206100 zcmZs@WmFtdw=GI=cMq1}PUG(G?ykYzr9ud90fJj_cXzkouEA;CT>_7M=bZcQ8Snks zT{Ua2x#rqZJ$hG-?!85+xJUV@EC&UR4FLfG4?(OKFZT&Q7XB6&0wOK%0|ZmDa^?qW zz{tYH#m<|_%iL@{)83U(Y9XX+UrT;okdzCP4Qlv~D;4jfToQ})RjWR21Vp3GsPu>S znuL|N*RKqp3|of;v^K^#6J^^!j_Rknp0!Q7WwWt;9QSRSO<$dMdlfkHu<5WouAjEe z<e1Fsf+HPV%(Dv3cIb>kbsWlj{Nkfc3jDQl^LKr=U{57w3OL!w>+$ngvYT%U9p7=t zYkbKAb6uY|<(<Cyv!tV1hSsH5&Gvj*h(rTONhUfc!FkLbTVFU|xp{~-99~IBNex~W zmc6cO?strcZe=^-XB~MTIEln(`JRG;`UZ^NTvIMDbcp`QhvpsD4La}N7_fh204g7= zxw6K<lSx2i;f6y|!fWz$-J30Qud<_i#O|}>O~8dauYvgO>;3E9sGR@^chNTRX^ZN~ z*ZVQc-FE*}vg5wWhq1M7*x5}Wi{TCQ<l)PfDP)`3z|5Sh^Cpz{Y@{glcdJfur`h2l z7l0S~&Ko$l+ot+ppmI}nxsCP!+)Wm95D<yWl&D892m-H<`#nu<8%`|EkD}eb(dF+y z&EZHBON<X>V>0gH3bb{FG}Yy;Z(8Q`TLb*5lS!py(i&mnoyYJ2TG;ba*RKyg9&e;Z zzAuxV&({c}SYx%%){RC)Qlw8tgNqxaxz~&LBc#yBCHTjI`>)sbPB&TrEu;U#MU9X8 zUye`Ton^wBwX=qTROX1^ICSII**<d~9URM}WqSY@EpL}`wvUg_Tj+!hr?*wWgPWsN z-qw&c&@2g;Cqqo)PvCBUV=-svPWoK|Mdw~*X>RrX5G6UV*u$UgfvBRQ%l2sO)?~>o zb;>`$`=KwH3+nGkZ$FUSMfL_l&{Kwu1b9eqVLbyM+%JQ7(oL|FW#I}`X`gN@)d}^1 zGymqlOXmaA&#`jVFs3)2ZN76KNjYtcs3W`9hr0ga{o$jqE71v<^>TT3Uw^*$>TDJ< zu#!(c2!p9)#LG@v{NnuAQU&0Rc&a;3=qWlJ-vu9^WKS<He*+xu4#CH_7of?!$D--v zVxSE=BL;8r?dkc$@6GQOd`Z93O$}>@+QrQ#7MOj<<WvsHf<d99t8c7eJ8Lncfh6)9 z4JQPQ0CY}$(cFbC@3{_c!7@_Mqd&z$1d>HuL9c#(Y|G8e&yR2>MRqkyou-1M-^VqP z-h)74xr65HK&<Sv$&Cefr_Rfc`|*oE<zIWxg16h)svQj7V>Qd?j~jEmfOOZvP3hfJ zdmGJmfcD?*NdvFH0-SXZKbMaNx!jdLI^qF*0DZsdLd&JXt>SSh25l7%+j6v{jZs#X zVPoFo&9OB6AcHqnx%+xq6L0j2#PJJ*&${l5F1++Oq^CgwKlEpSnK?<Qg4}$tRr(PR zsam2GXeZuiuj=m-F!vv0ftEb_d3)1Y%WzQ{RX(IWR<l-{C8`09o74hf!lHR*F+5!h zVImB!ShZoOnA0}Jhh%nFCGXg&Vp(+2ARokRPSJ?Qx7pYugT>M=O&|o3u`LD@GRN}a zskEbS-K=(Cjw%5dq8i2pOtSi$HMZ&&F?+@0F_ca$>6kn}+X-JT`*?*d%Z?F*j3{5Q zLddF>i@pP*RdL-7te7Nbpeh$RFGP0=C+4D6QpI7qUWq}^W6%3%U|n$c83PLap-8Qh zbef)mr6S7j-FoTT6|oq?7=78?ws8dEl*L}p*D(!JcYqsT@SyrP1oZ1+eji=Cs8Whr z%MrR6!pmr>7&2w?E3120547b`z8Lbb-K|P!-)sAup#Ks;qlF*bEtT1?os%5CoLGhr zO6u+}H_ca8+LQS8$e9hrYW3&$qj}=Bh2$p|)uIgM*-?u3F3k8(7rKy$As~;@?t{qa z;hO-L0QVy`MS|dN$xN3l68Is?KyM2Qfqm1gy`<X=*-aBg>q!J1i{`}pvg<@vzMWXP z_db^O^I;%LhDJ?_d&r0+NY>kSr3;MXp%kPxFW6c^xl5iW=H%Os-TJQjnqO2@1tNlV zQSg}<&>v+y<Vo!A&xp^$s$FqnJggEDq5uT=WMa40l;4@58K8^?=YLG1cP0oYBVr`` zCgVq9NMybvWkXweHp=t8PIj%@F}`Bw?!?p2EVn@tHgZ&*^PT~QQQBjHVrZtMhMXf2 zs$w{Un*)*I7k7i$pkp|JTCrPv#l+o3a)Hq3EsBsb5}xGlk#e71^>5!;)PUFw`OPv# zpWW|cCQUvJvw5KcUw;z63`HzsP%F%^Eo+0S;&NmrD4p2Ks6dIPPULC{?*SExY3~6R zO&mG~*6|!4`XfGJzO%2F@h5DXZQ@-K*2YR@6bp(`>)1y|px2M`WfX_?J@gV16RC8V zh09Z@oM$KmgkrYlLdpQDcQ@nZX}jtXh#<NDt!-!>e@oXNk@_F)Q~U{sW*babT3Fuy zUv|q;s+<n9gg(wgX#&;dR-0)fxmZGm+@__bf(xBQa7uxUBGrW^wSuWAw^wb&h=!68 z;xqrI7a$X$u-*BU9Bf#bwyO`xRc8~gMoZVvpy0w9*u-lckHwn&XJNN=pC#Cy#%YeC zi^_Sm7Yl6ImS#ud>1hSU-wf?wIZsifsvf43wrdXQ=srV1ZO+v8o<PVPvCVvQ>7RaO z1oc>lKF3JQ|3&UMmr%&_LI+rrJI?X6CpITF|MDT6Y|z@^Q}!6(JZDN?Zent$D#Vhg zM;x(;#gdpq8o5vB!F_UFau=w4^2A)~x>nyTQuN6;zzH`cqZEsMuhm>r;JsFhlme;u zS~aKzTtvauZ`!`n`!{-yEJp9Wc}@|5K=3|Nl@a3agIT2`&<y;?QlV;8k)7phEGaG~ zYwVw~m#rPQfZkSf%z>0BHSp|uusA3!KJGCi(mPoX{65zccr4!My2iE#xa#g2Yaue8 z#?m5#HQNK8BI5C9)Y!iMSlb$Fwi-gl80|IBr!wp&HlV>at2!oD9K^iy^YMmAP-U#x z++1+qbRF1BdVF7I=8CEB%Pg@u^RcYs@cXR>#l<|;BU^Ts2XzEN;{R6>v+3MPt8NtG z*ibqmO<Cq3)#Y=#GRW$m;JaRmENjko{A0!sjqu}Cp^c?g69Gts45^Xq$D3VFm3AEp z@@pQvYo?~LeRW8HdUeciG$>2}T_?3U_31dB?}SEB1-X#BKu~~80UPQQLCIuGig!za zU=gNvs@{>SxF)qj(W{LowPn$IxGC!Z<*k_yZff@<+R)tnrYU&u=M#xKB)zMwKbp8E z%|E6uwI$qGxGBQ`?d@evwkbLV`7I9~8*|g#dv<zPK+ZpAm~P;7&gk^K|AI&hl(_x# z>0wO?gb*M1lo6SltaoHa$Nl_4HJiLv*7=#!v!Ms8FK8{-P!Mw`NN50GTJp%1$<olF zWU>TW6P)x!6sHlPN4$S|R00X&ANeziEuD5|Nb7e+oel}|nDcf5UAxHnD^gb1mdEg- zv5Eu&2Qw=BBE$0UtFx>KvHv2<n^}#FN@1H#W*Iw7=l?7q5&x7D8F|e)?6CJ4xExHi z;dhFb=g@}3QT1G>ge3C{Y9k)%*vnXu{dGa($87eADsVe*_HRtEmlHzyIGwU0WfdMH z>*n_{CpL?VW+mjwfh}?A0D>vkIl!%}it%#Ov=U4O^=^xUn!rg^Q_S-Brt@S9aePsP z3I;X76x+NyC^zmC)cZYjTmd#i*4S3@U99}q*w3DwR}deI5{@gmBI~dHvJz86axvJ9 z$d<HpWbVZ7g$(m<1Raoda?gn~eJXKgQ3M7i9>!GAf;y<hWD#P>GzJV%d_XQp`Q*GJ z3I1LlQ&0Qre(PQerW)%;9VEM*3*ob#Mn}bcg1D(YHkN_iodfLw&WBJOmL0ATSe=(c zURw4pP5JCB<Kr`vMi;_$A~RW&0`O1@gko~1sLi;FYO<`@b=4a_RFl8!Dg4vheCPd_ zUe|y0fd3Lal4yfmh=o~F@hQcpcaDP)*pSDWCGtRUVgOqzVs0u!3c0pC?|m&d98>Q; z68}o9UlehPi3c+^+pi9qGtqi4#o^r;asfWGvkC;9`G8p=BuREDQYtj=@q5xADRh>6 z|0!bpzl+F)Kpl=4l85031gRld5f~OEK0F|+fVTgS6e?vk8y<|4uqNLM4omuNKo=ea zKWZf+(SiiT!;LcNzy$9-rzMyI0`>i?p@`2!ao9#G9dg?praQrSU^hGx@}eilbiJ<< zB4%K0Oy&cTtg)3M;^y~nE6$96TK`1Oa#!3i`P}N-0-3dj=QowS_VBb$O>I9{Nj5L@ z;#XHEp3a7b#!y1;qD1ImMOa1UN}x&hv2}k_v$Xx0a@gsx=MVLQR0A<#1gL+TIkmDp zfupHz$(CwxT|2dZ`$_++TczCjc5_C_G==;)h~}atd+4q`;KL<!h-oxK5#2ApD=2LI zKa+X7=YSjYuY&IB&_B&-Nm`_prZ&{1qJfJQ!Hw%SoW2W~N9TM?Tn6pwI-1B5_)v_( zs{n}dD>lCItJ7>n8>=565#!{_?wx9Z#ubYFVET^wm$GK)3}ZM%6{hGrp}eEbX78v% zYOQJEC%!cz1;hB|zVS~mXDNJZ9SBDLn?M=u8q^s~)0?isH`)tnOt*H}p2r}4k$tBD z9DBnQ$RY}nA^JE|)h(-kP@=;-)btJ=WB4ISRQ@vK9&iito#H9%X7p^*Ex#Nzv#{Vc z+9a|=tL?SnLX!*>0wb_xX427HqOtakjUbjS^5etAq)?B!=@ug!QEib}0*ad2!QdX6 zi(Y<{LUND=H~J=t<vXVEKbR}2#Xz~RWeP|K0}5(A3X*vY%aV6ZIpqAC6B-*eeI@kH ze_`!AP<#)6-jhVo_i*QRBe@0>Y@E}#KG*GxO-Io8@{_@Mq|nbiP?2^{e3HuN(RFrD zfy?j40ItOe&sQ~JzzGCj<O>hFJfqmGu<=bRS$0l|B(wrNGTxa5|Cs2m5`^amClyjg zUp4hPz#nLx`t{=m(|LVum+^k#XvKI7Bl3f^@-I7M%40VOtz$IxdEQAE|44d#wG#oh z`JlAUmJJeX)c*q8_-Yja+kAjWHxP#)6x++RQhEm^tK;?+X$rA?m^;54%T*$69ZmD@ z&&zp`rm@7}Cz!1F0^I1UYR@|X(t1dG*8fr})bmdCZ+h|lg|m2d4)re1A2&$ba&8Hr z)ovewCTLjt^-cc6xqo>6Z==ZXMh`U&EjFV60)k%#63s|{KuV3_Shpr>Eqiixq3JsB z{VVyO1BX40yFb0>ftvbIU1<L=wBGd&8H@a1rtWbA(Ehin4$sSXQ`&LP(t*_A2=?{g ztG?u2aQ|1id~Y51z?Ew~Wcs53*?+3@qIWz$eIfY*qiIg0x*6{Md0gZ_BcrIPFEB^| z6LLTTUi7;9Rl6S$Kw6s{0V0(Q{P;e@m?-bo#?Hkw)hWS!|CvdH?&2E8e5odb=D`hD z&n$@TEJ`VtN45Kk|H5oo-ox<DLcEEcWwe33SnA5*)_aA?x7+UcWCJi9gfBYc+T^Ed zYLpP_<9V(@9JP^A203leukMqD+}79cCB%crlRs39X(h$(^5T;<g#xq(sabgMhgG$f z_4qoelRNP?a1G>XSoq<D2ALs?ho8=)V@`S~t8y8#P6BD1+E13&=e;MN6DEiHwtfN| zZ6mzrO=jiW^uNkga}YCFl*SID^)(iPvWZ<Mw1meCL~*puvr=Z;T1(463h{w6lnkT( zGJuIRj8joTT|xPb#Tq(FouKsqyQe}wxpDyz4Cf)C)yh}h#D?9~ov~%a;#uqzNL#mP zHhZCp-?~?)&R{!<`7c>1kaGVpK(OLB;eUe`{{sqC{9d{IFQV!HVEh%o)84T`yC;X2 za&A}oK+4v^)J9%)i;9OkZr4W9W0n}&YVk$xS%s|9a*PcUs|n37#DgH1qys{$_8M~A zzNVqnIvm|l=l4k7A%ZHVTBesMVOOA8lpchp7)Z|>O32Jo%lQ(eY{u<R9$;C_Q`C*0 zDHgqmuR|IjS<IuKbDIKJ)J^yfB@^gS{})7=`rnX}q4}Q&f*i2ZfTl%;nsIbx4)_P; z@uN&Wk6w-h!4UStwr++}l}*)Tn{}(=^4Z<We&+rUC1ajJ0Nq!Gmhkd8b3udLC)W&f zX<d<G$G+2{$XDb8Op+;50b0_p3D%(*IN41*zMi!>i_;tEZQ8NZW)GK_+-cjUiQF9u z3`0K9nntbjpQNnS9s$6mb%iQ3J2-54-9E(KdvD?x&!n1oNQo<HX0hV>9>=eXzDQ2C z&FukKLE(N45Dz`4yK(!db036?CvDTZGfsIMQyJA3JLfi;;FXMeKQ?@boW{7Lh{j<+ zF1S+A_ZPvYHI4TCqNFvg(j>R<<G4+0YdBL%L$3AjHsgheG?}`davY96T@_TzieiE_ zZj|?r^n-6Y^hY6tl<z0p;bm=Z?-chS%h`86pjBv#H>Nwy!YxZUrt55~O+WLFhK#7Z zM<~jd!dq5+!GA&RDpXOt|E(;trG@nSFpaA9ostYYzOB_fa+n6IvAGyCa%h<PFG(8J zIBcC}J4?CwL!!7#YvDg<+Xb}e@0Sem4=#KeZrtAap3Db_$PQ5(c)yAOHg)9(f_s-% zUDfphq<625S@|!&-VeO8>^zj#reW$diP9?#SZoKb&F^F4JVi<lV-({474?z*<Cv<m z-B%>bf-m^&7-OQ-?A<W+iYG@LDHgfb!!5>|;jKM#-*hMlVVjK?!dgG=f7AK=?^rV| zxy^v&)~VHV-C+c{MLk#GBGS-5HSBDP7G_$X2cP!GpO(7f!mjFJ>G2^qCRB_gya^fz zY=IT-%tpOvzFX-v)zbGGCq=30{S3NHT5P0&Q>)Hct~6n}T`xH$gjHkes->k&X^Tc$ z<v<2op3X?(k9C~crmOZY(|cLV^*eFutu%6n*6GdHe1-*fR;tRwdKmnZVOt)A=2aBD z<0J^8zVPb&>^T7cIm>_rIO{UbT<$%B1;>ph7C5W7sFOwyc_mv}ma-hrn7*^C-A*_y ze^cp0nyo|zh@&&k&27uBvY7Fu931W8*RNrA2DB_YjZ1^Hs03e2MR|;7e*%uZBVGT% ztMgzUptEOpLypae1?F|MVyDNq)0or3Fm)l8>y!Nmew7i+M^<X^3z8)tc+T%H<VqCC zu*<2@RB;TCB_ldz5sX8TVV}ZQH}Ji#?{Wnaf9$i1<w%dQW?YQKKo0}Cy<Aw3j?@H$ z7E4NSzWu)o@kp*=eb%^LEFayuJMQqn=%D~x=~N8_Y+by*jtfgl;_i$c+twI?fo`QS z3;jsJyG%KSBjYDjOG<C6mT&JT=VMwd85r|TmZZp5z4yVO{y*y!8PPpz^rkFYHD(gX zmJ$KB@2x_BwZ2O0CyQJHmK1)w^q`Zt;BQt1D<sAvu_!Q;F_j-ljYi^@*z~4}JOxaY zO#kk^efZxS=C9$HH#G^;c;o;qjYe7opi=@b4n1>Xj=>VnVM=btTC3FMhJHI`*V}gW z{OIjvL}00OS_4h3&L%&S$DV|9Xf2qw4EOVIF%1=wU1cg&ASG3Oz)x&z!6)`Q%t=q< z9}J9KkRm?f$vbH#G-?v9z$jk$d7n^$pg|X8S&s$k6X7rD{!rL<c4z<sC+apd{E20{ zdnP=vH0l<$E<%*LW+$trr-;31^CoGO?x7s$ST%Vqs4C<*_qDftQKgbqIdK>3QfuQc z)F7>6+c|)D?Z3$CE-fT5MNSYnfrO%~&hG7D_>(wn$9*eWMjJ88TRH0yUA<*uqSmY8 z+i*VunGD~*e{^MjyaY^fkiWH2T)?6ixO*q9^0$>|fk9^;{8?YrG_n;PW!oWEOwij_ zwTHhWjJ0CC=FZlmwcggXxJWRt^5x^Se&_;49(y5u;`|+HvK#%;JKzDOa;F~?Bs`d& z=|@Q8?eX%)L3HJkV*W8~YA*@7&nJ)=ArLfjWO6VJ3LbXPLKTE=qu;=OZoW8jvNRd6 z$U<4WFC+J$1YUP!C(btBYObdbxc>LhoxBBon+f^=@znSMg8JXH4w?id0vJGB-Cz~= zX(b#Uo(2=~vuuR)P}tXE_%P|@Z_=LoG_uKGzt2f~ddBRM{mB$V{sbk40&)&fRbM~% z?YbU%M627^nQT3O4sW<$ISI7gy{)iV=9VDQ@B7>C?Y=*8=XKxkWN`f24W9^s5@uws zZ~Wu!?@+SCs<uXSrmIQL#ia*e?h9A6CJo!BJ=__(!9PlcNr*Eer>`!$3yeN4G;wTD zmP)+r@h5Z*_Z^>hWnuBrGr9hZRHO?G=-0g8wNg%HJo2qR|Edq`L~9C9Q>~NFDo{2J zM>{y|cn7OuQJhOQyfst71L4$%6G<4d$PRICFM|qEgMxk{>jVp77R>-SD$`3gjjC8M zU@Jdb8Ylm*{>UKw(HtR?u+gdqV=z+U+ceVBUMV4cJj{vaMK24iAT*Q2WaC$ylNN?i zkcNP;y3`1~mu(C5N%IP{LYPb%6calNiilFl2Q3qF3q3W)tOsLx9OyQLCRJBq4wXQz zblI<{!<EL9Fdvd!PEJYzh6$72cG{m!M(|(l=CwaypVZivp~O>=V^ffO9J*ww_mNA6 z>{ZAK%%H@}w|j$I>1g!&eM4K)CVHh=c@CY`{>(k-s{Og07|SoFz)BDu=BH8;W61fa z;xN!+6OMmoa9%1}FFYv1SP4;vY*hN0;}=3iD+P^c9Q9<p`ZB!&;Nsxdq2u$5yUPnD zIIw4$QB3a_CPlaBhgHoOj|Dcnqz1FSv9Aj5C`loq1=u*jp$JZd_?&uscK9Jo{iK$I zu_(1=!Sr_&Zl07nO9zEA`3h7DR43|DO|#hsZ9$|6%u6nlPkwcr<<>;gs<$E^ySfwT z<~af-Jp7!#su^kN0a~dqHc%xu%@vik&7NYanlw6Mm}L<t_v_Qi-PIPA2(oYM7zfH3 zjI>RhYRuJeAqB^t(1h4lk)wN)bFRfV18m4AfhTl`q4?=eM=*|#s_<lec*U}TjC+09 zm&OqfENtlAFFxPU(6eF~zUTydTKr(RxbMbH+f@CUWs1)83y`)K_jVE|g>Y-<XSK6{ zsU2wKO<_F7g=FCA)$eQ6E$6-Qc~T#guZHG8Zc>Mt?$5OOhJbukpvZxI_5MqMfEf$F zTrQIgd7&E%i6E~M*>6K>qPGZr>hrV9&HY4Wam8MF#lY=Y?d{^rKAi3*x=PQb%-Q9# z6IMFdIwje{70A^&MW=q6z@d}P43Lx>ZL>zIL?}D>^hI<)_3Wtur>xY1zO{6(0cA{E z3yWb)BOVJ|rP}H0`CBIO-5=Ib&kxXo@*FjkW5a<S6cZSpY$<7b+;=Nz8T4^>>Z3R` zAEVs+18Tk^O`vq1Gw~)`hE&1ljoE`2W2$ZUL;^~91^|j*0#w7@RRZ30<HLpSZ17x5 z4G!QP*wBUXGt#<5X557eJ!+PXB$UZyJk+b_pT`yjH%XZ!M^`6|*95&ShGMK6sT|z) z*Zk_UxqGUGZD%WB?-JI5VUCx>mGZfvVU;9C1-J;yi)F<|(8HN1g~jr0;AeuU#x#56 ztWre!TVT3PxjrNG$bPliD8o4%A&fxPTz9}-+fsMHA|-&51`)RaCvl3mx@Im;eUoLF zbxL=NmMeW~n|`n*{!?^;kwqLVtOKW;tm({4AT1X8%|{p|ko<@4knp%Rpf#>|4*{Jx z$r0)fg)F>A$7VU`OHI^A-3<s+{NMsyz!;s*3c!<1fp~<<Hg<U1w#%Bxgla<>zfJN+ z=C~=H>ps`L(qq-ZOqM34en!>u>>xjsJ<{Xc%{>h3?uSx9oFZ$$JFZdYH4UWj5=P=| zvfF7Oo+6p%Q`Y3}UFX<kg)-2)l{o<RMx8BEs>+3CX38O(0LzJ<4r|k?sx50x>8Oce z0G)Ei5@~h~7kr^LJDxIh4@OZ@^`xP>ykWH6S-!%PP=AEeD>Z%0x^g-rwkk7i$r3DS zb^i4AnD!>dSA$_Su#e)qxvkeS_h?)Z|ElyA!Q_gVoH7r4S-*o>foWYGgA&=*xZ9&< zB@WgyH9w*5)MHL_W%SbY*DoW~kTRpO!0G|JNH$Scl|ZBN4e1vf!cs!rV?wjcrjN|u zJq?h|0%QgQ1Q-m8xP1nNvb6OX*k3H8o-gKEXM_yZIk0r?>7{~hc4v)n{ipb+g{8N3 zuj9YQp{g58@CwbV&j-pPqK~k{VIWSe2+91&KnocQ=BN-Mmb2@`3nk#b5Rl;01|&S+ zH!?;Sun#`B)ubY2LS;1@K_x8|kXr|aacGlU_e;+19Rzv>&b-#&K)~S3-LRIZTmNy= z?bONnG|c)9Yr_*FdM{fmw9*y@<r*cH8?_AahnSI7ncp6K6TwKop*so_!T2&^4}RRx zz8=2zSh~FMLSZiSFpssm&)i<E7ckoegH~QSaQV?$bJ|(gp0)$wOB;r+Z67Wnk5G7J zT6Thd+eC3S{>W2OV_>EVlUfVws?2qiRiY1AtKyzf5ol+3;i?)b>#VHZR9+~b*_9p- zxFul5WQMO=5B|C`H1K_e@1Z^@MU1FWdAfi$2l|W~XPvpIHnAdEr9G*_3Rq0Y&Pozw z&&La3=$VlnhorqO%YjgMd>TtgV_YRH<>cUazw3r@V2`J`%MU0i7*HL7p|=7b=3sA8 zwvaL^En?3;t2a7n7WC=o2We(}Vm}~hdJxNHRn$uoGTg*a+KO?#I;@Jwph5@=BN*5n zky(#44<Li!`{`a^3ooa44<K`tER|~=t)bwYHJ6iN7;z3$bT=He23Pvh)EoY3m+tVn zu$1(0*x0q9$LntF@Ik%b<#8~mAgb5lcx%3S8v8cRlHJ2ST|MJmBjF_lsk1zvIctWz zBl5|hLd2rp&sl@~{4*^vyR3W1Zac<EdFe?5ZNq{=GTZQ=#Vdvv2na%^BUdjyrV0&} z?yUT6n7LgG_nKRO^FyxKnxkQH4|li?TJ`3Kd8rKiH`&Nf@!Jc0*{jFv>!F_zM0RFS z!tzIMCnCvMi|c=MRHjp`Pxx`A`JuTR7$Hn`S29|zMTU|WBWHb!!dwqB#G8*>B7T%= zv$`A4V<A000A-aOE(2zsRln~nIJ4|{mYr19!mu#kqKsW%uK$vdiO47=z3+uMX@(E| z0DG>UM{FE2e)=$#U$N{Zh94;}vecc4<Z;fiVI^fkZUoYgymK=vWb#Nad@Y)o-kzPE zGveQP1;6Bavl5>U=nE15HCg2wJE>j;8yB_>G?{vgbGp$VO#xSLGd%Tm%w0Afw&LEH zBo24H&Au!Hj;GsCo@RI4?Q>mjckwUQ9*U7e4l6?A%R$?h`+B@$xRMvc(1md#5N4h1 zDY<ZIF!g%0*?k84f|^M17Y006U<<IR-_=ZXXF2AXq$AcqXco@g)!1?x&GfEgp%+Sj zR8MLNGY(zsH((dnUePX{?boK5!iKhDVv_AB40<p`Rma}by}_pcs0?~mw}x+w``>}i z>5K^C&3@7<CMx+^Gu9%pJNiR7)CDi7+oJaG37rTR$8+%(yJkddiP-i&b&q2ONy0Ep z=0h2MyfB@?=Lx8ho8>L#r;|(=KLyN!gY~!$OT~YjrvMiIO7rRY95CKTu0?yd#M(IQ z*Q`4pdO&A{fsF4{7lG8~$SnadC^wx9TpQZ%Yr<op?)VH@yUfA+_%%Ptm+-0N=!S(P z+Jw>LpDmbR{V;~@gvufKt^Xp9xncMy3hLj<s2`#C0T*#h$OL<a$?PQ485KJOU42SS zOqSke1J1emHZ1*~j^>vE2nAm1#C3$VQ58UO1&kvw$`mGtT;Z4Vl9zU!g$eiVJWRmx zrt7C`UWiJB#)$;^C+C=ptYf8&>(-FCO4x=aK6dV5BQQ67sUPt#;wRX=@$1#RW@O>1 zOG{r{d@1w+E@D5`s$|{<7Q2r%w=-y=X&a6Gz!}M-z1+ze#-Na4$r#D!i5pJWE4e>9 zSk{CaKgnKU1nVWSP5byo3UH&iAy+arG7GmVp+EIwgkYNn@r$JLK{I{k-;iou`N5XM zWp}?bwBw5_Zv72!Bu0vu{qKe_?|mLA>%s1m<Hw95-)?gu^Qk`XEOYtRmT-GTw8=P- zHLxGB?8&Wpa1$``>Y3?NTR$fj4X2~K{lV2ER@&~lCW?4Jwj4wM?<-p3O2fb~a=xh( zFUI*xKPTqh>p`gOpB0qVUtFmoMIK{)W%o~A^_e%|sR^8@9&)xX^Y0s$1+S3pZ)(>< z$XicpVJ0)9^EVSp_DH7Zlk2v+TTO{>HbC~OT7;MD0r*uP>)C`Omvp7URxW=0{VDX~ zIL@u1oL!#zd93%B#gadwoK@8*vP0rO#yxG?1a~_YN#{L!R#o}5XhOc(y&hRYJTnU) zXQ#cHz%OPSRwmu++UQaE0uy3wXB~yN0S4jB%k7(4tThU~t5-v5m;p-724-@<k%4XJ zj9Hw6@lglkVq17e?gX2DJ8eB1I0Pd%9JBUrfsp>}hv8#siofI1nE__}g?M0iWeh1# z_tG$OH-X*!z>{`5g>+g(&66w_o`n-v0yP9$PT{-Y8`|$o7K7?ii<XC~+F==HO5(z( z-GbTQW)S)Ivv<nWTG2~Nm(i81eE`mQQ2AaC?xyuC?!G<7+)tN#OEr}vo0QaEB5H=V z)nXksdx~}uj4|Sj{V#*%p#ihSQE{bO(A=8A{k)1;l4*<q#bms+CGM*68O+;9TcIPl zkLeQEqXjc028%@y>PIPiZ`r6=5T$T$rYs#i&H|cWuSA|6Za-%HOn++7+yv?*)-`-w z|02xob)Q>4Y>rok1pZJymeR^l8c<UU@zU<f5ABTC-a|O$f*QvrBlY8>?)}_;|Jy(_ z`O9uafC?S9oh^=O<HC5|)$$m}_a2)SxAxRhV)EVJ)?b$VkAAQH`Sbv_OM7_53GFUw zjq&IC1mqs0C%lD{rX1LYpl$(Zjq@{f350|->W(QW#2O~2TPyq`)s^vKb#SI7A581@ zR$QeMWo!f`4M^jxk*4}IK6?z+?-a!sfi$M>3I8yb57&UEcO#Phjc%CJGijTK<lAYX zE17UBm6UXOzXp1i4yG@xuaBIxVJ@s4?*t?}7=QWeucUzF*q4f#smcVPxDYy=lcXdQ zjnU(S6HPVwMu?$IkM~KKS$$CG?`=JPg)jLG2i<Un8f6mf+A*_6aD4Qx7web4M4VfU zw>iB3ayV*z2xog(RK2HUhyZ1A`qO5AXDBR(gBw;5M-NQj<<M*osxsPT_2!h8H{?vX zObmbV#$01l*@|5pEZPPd7C8gF1pEgBy?XppZ<*4F7Kl~><N;5x$nY^H?{&UZRvG1o zFZ0mbb^B1-<&C87*fYdVmZ8+!l#(`@*R+SI{@yNh9{%1IKC%L}0+5%N*iZ~=YGpwM zIVL@pmM0lKmc-Q$RF{QRSf3iXmp|mFkknUWz_6sb&P%UQyKe$m<3vO11~pusjXAIm zgjZa%iL@8RkjUQ^K05YzR5?cd_)smo!}5y+(PB4R3}e*TLrPxc^o@Q7vJTW6Btakn z0fHIl_GlYpRc!wNHIZ}oh5fhmrJptvUT93XAohx$LBifyiHJC2%g70Nr96q|@PJ9J z91$1Fv)2X-S@SDECHKqAtl{=C5-Hvvb6{mUxnD@4O{j}{&v`aaA%){+K`fW>^k8&5 z*>r9GT*mt}FjqWbbra6s#w7PRr)c&29|yr^DfH8T%MCGAL9XM~?=AN(KO%t$XRbd` zj-l(AJmo6^NYibyv5kxAnp;TmR@jT;cvzimsU>*rOaWy8)RD#0i_+`rXS^3iN%;pv zHKjtA;KS6AI+6M)yhfpAjn;-{w8ssm`Gg0On;Vm_oCJp}T-QaQKf~P$iEct?RMC8V zKmeT6kc>Ahqoi!Yo;4PW=Fhb|%{9u;6z;rv)^aAesIg0ig!YbL#9nC?^Fbq9M3v-* z;lXU1@5f$1jdyx~9p^^bU;WYf%h>LtNhW<_yoTXlO2NJEYfBgM+g0a*U9_&u*0es= zpm{%3LSV%hQ)pz~<Vg?0Vd77QTxG$5EBc?w@zObm3-zxF3$$xDckYxHIWMAk{#fmT zG&&wA&$db(yzyDF@`rDW@D7xFA%exGY%;Od0Vc|TqJkl}+EacfLc#)CX<WXPK-Ujw zDSz{Cz|HTTtcK^?4H<mctAler@Akfwv6E}rG_9-S^CLK$yBRL?-_RYhCgt%OPBPC* zVR{<1s!Z0?`-$R}yS{7l)PoqesjS&|;bNcT9cUvW>knsqhh^pVLVUzMJDPuT;#7<) z(#5L+ZgMp;`O)j-y5M-}-;8KFC34o&d_S1#LdyvhPg^E8MUV3upqx#K#6QRP9(*cu zqGfze$^`?Gn=_Hpdp_c}SZ_L7yOZ!Ga<Jo+In%kO_8{k3`$?^O$5pW5w$@FKIp!@& z1cv>W$QB1*rKLrS*3-{IIyZNIF0JEk66wAGnnu?YKl+sbsVY@=CgERQkzKvU&@L*F zJ=EU>vQ(ug+9quCf>)mDf{aYwy{ZwCu@u!C-JI}7kz^f_g%drG;w4m^^Qu(kv<cqA zb8ktxL)yi!J{F`1Dy{)x&YjnxL|7cZePWp`mgh?B-0zh7J&fgkVIL(HdTI)!N|N~k zj2&6Y^7nf`)irfsqUTO@AK$+Jn30aIOvJmKxFVY+8^mZeWX()g!@Pg*$$VQ@(L>@k zLo(HEfw?6M<q;X>7An(fho{ykf*4b$pWcD8iu%cMqWR)td*U9ym@LG9V=FQ?z4szi zc+U-Y4FesIyMg3~xOkMr+47O%w@G+F-v<qi!<0zmP0Aovh&(q(U@Ph6bEim`1L9S7 zy66{))o4sdN2?Qu_&*9Y(KFp2!{QQ1^a`uyQo6Xf`LHc&e^e@HCeh!+W<Q7iiq`d^ zja+kL=@S(rbEyp&b(_igVogedY`IjWyrT@ws1<M<>4VEd0B3Bn^~_AF|915Ns0pd* z61KJPZmf|FUYpI#kWqE_)~e|8*0k^596Q5@MhYxm840Gn?Olex3O5PqW^*sCA5;x} zB4S$j849D9kZ<^C=P=PgAs?At)dIG={K3d2F~4G8t}dhhvmj;4?}wZamSGlVszXnQ zqF82s&Yjg;DEz=pj>qidFS3qrfc{>5^GE<yA&FS#XStdXQf!2nc;@i39+f{KygEsy zS>CdEE^%2ii+^Eaq)@YZdaaD`I9rFESitJL>gGsy4Nrpj{`Il}tL%*vvAT0R*?dwG zlkppzF@K-ZuOq<--m14B)ReO3nr%`0r_u-3|8Vf3Z;=MSc3k_SwM|qJ0-`ED2~d_* z6ca>Yj}1cleI&awJi9VL+rpc-Radr<!XFP;p>ZvttPnBv=m0h(cI#>>_FVI|Q}+Ng z|FhJ=r)jT*WBC_f_*i|bn`w{=Yvo;40JZ-a!BFRFaDWCVwSVSmSMwRhxdAJJPL!16 z$FX0b32Wp$Kf1HLy7LhnP!rTbs(G;?2FFTbcdRuZXxZOLf6AV)dVtsecU1JQwI72I z-vPrtAW4K>zA$w0!RWhF^tyo8;9c8LAXY5@i2F-pI`;Be^7pci<r}g$HtBvV%6O`8 zewN!9nKWpg&s;(Xq^`3|Ujc}BOGP<k*#!P>vOh!1I(pB0nQqDen}QYQ__9ptIov1d zc)!8SkPQaSQLBP!=J;nTXr_^U(tcD)&o4ay67iT<b%l`zTpFlHW5qs1Vb0?yIrzkL zq%m>}SA@K^WV257o{Qu4o=iWF5pHrR;GTObHe`cc)2&TL)<M6kF)tPZ1@19hBxYYq zvKXI6hb2kcE|4C8Sl^M^gQ?fbmn}9RaZREiR_RUto>8$gCmi+VSB?ZM9aQJ_ujVH| z^Z1MQJIU2Zoc=L3PBVY84JIfG#+*1^v?6oK&ImEa&oW;>d$XBhF+TO&Ck3(eFZx~a zhq*)!>0s9GRu5de@Q-<Z36Re3xAdO&^Jv7W$mEX@-@7vaE+lkOhNpuskuz3Q-Kwkg z8etulm+bxe%f4lOdsy{dxVgJ>Z<YK?gpAo&8&6$V%J<usFX!N98uiO^*<Pw!=q^{G zW900}DsilP)#pCUNQPDaZ<HBE<qB@a2gQ2?J^qb;TNacUz0zND6r~Zcl+uMTusqWl zGjpBm;WKl+fg6NYj`dB{Nybz8NA;0TPc@f@{=$(CJc;Zhb-?EV`_yBV9{R{*l{(*L zb(8=6hes0you(C}waWq_<l$(WWy9CeGOdn)$f_5nlhf)jPt^}iS7kbp!GT#+m8!FP zlDJB|d>V$7LYz&+;Z17vZgiH-1q|FC9-M!XUhLLffH}3mqOairS5G~-P9I}=rUI^g zCdWp&>&lD9Fnf5}JPqcx_!QkyN}^4&$o6D=5)ZOFVQRbaX+jvPVY9?=yFnCAc?8HK zy}jsZfKxuyxDdZ;FcbZUHiu=Lpc35bzVP#*+fX&w?E<8JEaX0l5bk<jlo%c4@-de+ z;e<z5KqX&Zn){>lmD&=oxEmjZ#!tNC^+(h|Q6g>n4Wu8Q(MEY3bq~6QPX>uig_d7a zQ)`Q&sT)>uzgF+#*!##BZ^6J~A?kdoiEo2){_eK-aGLi+M;GVBLj4lcT{Nd;aj`f( z*5@HMX!KShZt7Udpl)OgQCv7ePNtr00OrsY67Zg#$%6FG<7Q*-SYl0JFc<7H{`)x@ z`4R8=1>?`FS!T?`WGPM8+||Z?qpw`!9Uk9H*x6>y#F|<-@55x{!}-pZ@-FI%Z+YE? z`ZoQG6{XPBn<(s$`Q2!)FHPOPKd5sEoykyE1{p+C+S_!F;2d;lc!I(wH$YW>kSP9; z=YVk5ciSmWi$|rA$?o0BlmgB31pT)Ngy}G(zPR!%c1O2Tf(|iF>-F|h%NvA}Ps1&F zil0~D-POp<aPoRM3Z1<5%vHw^8st1;m3@PS;gilwqy+8OK5KX8DblWRpIN%5&L>;` z&QF`<qX;x!u1Ry0>Mu}ybV}A|VQtBPVFL14)S>9}(r{fDLzB~|j^N>c*&!J~LO70( zM6)EBeMlMjjsYINLTSL5ll%>j-|^FwJ&NQ2)LTgnp{zzLxyL!I;Y0wGTpp$Ix_q}s z%n;02Uz3Z-?2k!iyg>RHS2mHIvHW_r-o6ZL6F^5T5W3NhMI?Zw%_bhKb683+tPHe4 zM4T|I5QNLxD#khsgHh2ExO`>sweiPp&A>K|jSJuAS$Ml`lj@oln3m(0R985SU#z^_ zD(J{d>&=Ocmyv##VYu$u{j?FpfD#_F6bVgymKmq>=34a)63c|KL5h#=>pNK13ZsKV zL1k};Q2ol*4z<T=EQ<@l$5#}%RS1j>!3gX2(k{GIwzCV!M2iZr__*#3!UXI~?@NzB zsyoPFSu*4Tja__I^05Wxj5<o=F3&=3V%|2<V_=x&acVG_e8L^C<7K|S6!|)yj+q{( zj*f%t)K5g+;W=F>?gDZW4W{x;4s85t7-QkPN|f$Yi@O-=5iDlmlRF|Q4KTpME~kld zS!7C?J2Q<NM|C=y5+RImed?A1&thBk(at{!Ww3qm1gCgId=O+eoD+>u`#g|7<A^t? z^!@2LQ$eW~v&y*W^f8~oo*^r5Nad>Vn*oDCPyL8Y)$`X$71qQ&sgibn4B5p#Ep82u zZlQVdDI``VckRWE+Io`qP2d<ImtQCVmQ^{PEEz`es-L+>e(%@(ixJ$H4HT5vjaLWE zIz?xHzn*6PBoMaXRcjBm<LIJs-)GW+yj}brXa`i)S)1YE)RRNKwAqd7>L^c~#Qlr> zT+@tI!t~UxKBH~pT?h&dcWymSG=pYk*mNV3C6~k<22gQ@EPgVv65vjgqPKC>_X7#v zEF<)Q#VmSX(_Yyfrr<l$<cDt6wu;j#E5X_{l~ebxl||zo>}1I5Q9u61EF;G!Id9CI z-_HA)B~`PJ+#xF?yrKFl$ZGXApa?Yh-SX8JAwC*D>N4#<CqTTvKZdNtNK9xLdt*`( zQAL9BQ|Kgp?ZnYg=N{k_XHS{ozgw(7LM)%1(XTk3)0GFe7|DHMmY0-reF9SoNjR*m zk|J2D^K0eSbaUEv@nyV}XN`b2(b_YE6f%?JR#rAN;yUAhIc(lT%3QSLXc9F1K+iz0 zNm7{!%F+|y_z_6LQTZV%OLQqokp)5!YCt)xK;A9~aVoBy-vKBy9W%=z*j#{qf7@=~ zt)3gw{uxYJqb<1pK{6`u>;4p&0&*u(*JuPD3ciyJb{x81n1VPN!;F|fPeZ%UZPE1i z>%69_Fb@oW7YzS{f&K48lYu_O7g>rwOWXI-auXJZR5DOft1}HBHjtg@Y6tMJy#rAr zK_U(*U*Tp-K9d9U3*eBXA%*tNj6{s1UJgKs5P&vD<Dyl|g`9-2FrRy|sjcf|lVz=? zDYjhZVo+gMHZiSBYUm&fvH5uK7h2x6Xyc@sMCQ}+Da0>nN5`^boKVy3W!J!}^-BVQ zA-+r^Ld7~Qto1n4m`vk?&o^3^P!<sO=<I<pM>Z<9{0~5R|I4g@-9mbWh|$bhaz;wm z4?PRyaLjT(+WtnFfX>4L&WRQx-D#ioz41)n^E~JZX823lda^95`mV59<e!POindw^ z*xKGwJ%^pTi8byGw_XQ)qdi`$-aAA+3wj+*3)Ua^8k=W?^4Go$fz*upyI2tDI_mfr zm2x_!Fctw2QV*r!mZ0+1Z-?po$X%u+I;+p-JMmfUQ$>{N^aF`geDb7iboXRCV;yGK zu=NGJNXTY%d>p?_Y)Z){Do~SRvrtsh32}coAo9@*B1uE7wMLruW14b&#~-D0cW{TV zZ)VCiaW}}C8`;LY?*8qt)${rK5=J=my7>3u7E~eNKJ0cUB7HgF1xAz6_MQ2yxX*{X zm|dEYwC<r7x_-lB6#$SqdS}+`nxgY6?YTi+!zs-CK5?yj%Fxq@up%AS(^q2bq&e2M zbm%eCmE<Y^CIlH^hFe2uxn!?i4w2;@6oba+R{JUR=b=Y{4(G{>>Ym$umF1Vt6Mc!1 z0o4;gO_jRrcmZw<sw^bmwaSBUkm0<cW#ba6torYIW4ht-vb}HBA)F@L$iAUFkJW@A zjorFk?E$Ckr1RRD8<V@Z1X3nRqps;v$Jb-Kv+*1(`NcQSeZ0jIjeeUEha#s+o|z<$ z9U>#G5k~yA)*w@prO?u%qTsbqSNNJ9PNY~M5b5OOZj#^+ygZgT-+=z2NYRm_XY|~J zZw2P<vR{ZD>|<qvx<u1!FFl0ee(;EmPE~w^kRHvjU6PzphlDeBHv^Lh7wD)uw3z)W zgb~;|fH(^(QggoU-#+tAM6Q%>Ch)0*QfhnXUGcFG!TtU-!Bf##tYl6#J`J;fq-E^` z5L+79GQra}LC`r(n7jO&#L#yV$GW6Z1c}QhuOLjf{)3tE=werB4p&hyi$y#6p}IPZ zn+A`=5o@^lh+rM5B|=+R0up9up52}pL#In-C9eyPw2N%^G37+dl<mv>9zL8mcu7yw zVi<$YJmVC^i)E8Aq#SEQ*&8fX%r|5Y)TC+2oe|cLRVJ%>>`YQGR~0Z>Z@EVYQJrX# zVw5O00ENPr0=c+wu;B=nv#Zd9vup*sR81eDdlR$_GY37~!VFU5KhFp*PvX!R3m*<U zVoII%g;diVBK?fQmQ*NL`i7Dl%jZEtt+s`;lySZ!{@_4nn_Po>10B~Jpua~B@Syey zgIB+~aTS%tN`3eH^qE!5Gu~_EC?F)%9qq*pzq!h<7H&py$blXTemvVTDE3X6Gt>vm zBAtJ;JDSIFQ0=+-CcxEGJG^y;&0oK%YQ{Qd=qMDpLVZ9m>?)mCfA)&qoh5`TXv(wC z`h_y1G^Ckhupd7!fj+Gyfi2ArSO}tsqhTs((z-(Z7S>ggWv-Sl+MafT+TNUjf;nX} z-k7pVUL%!+b9%&CXTb<$ZPEMlCF!G~oNHvQ<NWI`WJKcUYlp?x8x}B$j{Yj+c_{CF zR>tYnW550qGrot>2<_VezYLS(F?M=~Di^<y|FCd@IoWEhu<Lqt4nRW#RDGA3v`LpH zW>uy^ASr<gc%$H1T&1|kFi$n#AGXSPQpU>qh$(EkP|1|D^stnm7n&GjP3M7D&X2sN z)wu%2CKl%L^D5fPLwJx@Qt6E67QFo<gSbhggXftmIb)8Yn2O)l7=Oc+9Or&@b@QrP z;iK0kRO%~~(4}6BRR&KkpzCOO^majZlFB))69lK?Yy8J#v-9efB*kCSTx$6~(C6dL z)SK9{`?bV+Di)E7QIixw5FD%T)CbSdj=ATp14G2Fj`rtGx!m1X(npH`T%r2=lfG_$ ziw!2PUxNYXU9@kjzLm?7)a%Z56T=5@TW7FmQO|*0p5c0r{}KWHB+Y81MSj!)^sbJ? zI=<s?2A7KVxx0S{-}L913)YEYNwnWkAN2g!(hhiX;|H+Zn`U?P(JsYrH}q>W>#o_H zZc*ZPuBfJ56zwbeHSD3Y5r1wGhLqck=sHdz4aqYG(X!|b`1dj3>oGOa;Zn@FisT;e zEZ`#LKIld7e!~Tpq{(_!!e1~icLyXCD@H*56h6)>uK7`jsQ8w&%t)S2M9^f1y)0Eo z3mA8WTcRvZV*)e2Ry-4kKU|`&-?$m>(Zaj8j2ZjKq7ZVe9yPovhIbSqXYv&gvsK6# z>>XNs=OrC0>XD4=S8~4_o*6P*;IUjes1UyKdCprpbYKHxr1kJ{5M*lfyM6IQ2aXqy zi{gv|o!G0d5YVk>Fe`}YaF+BS&RGfTsY<0g!>>^^&zlL~N*JuTSn5Em>elHi2D!A5 z5oPuxowcYf++pk$+N-^KjZ&+<L-EGOI3b1(Umd@E{@#ng$2Fu$%7Vkpw)-a{iCWP^ zCT1&~4!03-%CeAcl+E9bRdm1`;46q#T?(+-`g=uI#!<*^0W?uPBB<jZ87Z6?I+-mw z{+&O>F+d|g^5x(;oHPIVZR)80?RR<Iw(N7hM*QZrFzH1?;$8K;Z>v<tEUjdkoTiaF zIn`()g+wW({~U|sLx<S;(1D1)ecfk!s}Q@xJkmV?F&CZ^PM(Xz$fb!1akDp4)fFo@ zui&&K3m!#<3UNw}3kOI$dyUR)H~^0uuyntnI~hHCp+#lF|E;g^bD(=Q|JeRFR5ehc z=-Haaw|ji?lr$?Oz)#*yYyVN<@@Z)(`}_}TbIBu=pHm&}jdQo7`N`~*Qmj-xy?KOQ zCUgOie>0m1DuA#Cm*j}^n>&XkuI<|0ewrj6x+Nk7+!bq^LA;qpB;258s~<oCkB<Hj zBVrOXEy=k@rV=KI?>a0r7NP%qzD;P?p-n<dcHf?mk+MdJGS7YK0?@{$A1J?;iL#4F zKe=<=e!IAoR;*WVy`zS$mFe#lz4+F9mJL9tJKBvUCM{f1gFhDQ6L$f>K?K}l$M63F zu#cXX<i~!wlzHArN_?tX?zCIf3L%=lKNqib*Znax&{sD1eWC58{%b=2H9;FcNt=)a zemPuLjkeVEA56eu#N^+q@v)_Z`3`fO3e_^gU=9p^-JkxZn0_8xmC1WeoDEbbA4yVe zPRnf$Ri)UTPkobWx(lD^A`cMvdlV_p;$>^Yii7vMu?t1#Xa9`Bh~MFIgjg}ww2P2B zW$t>pGg^u*_1i0EMVH7ZRX|`>Vk%WMfrk2tp>Kaw#I!Y%%#jp_VJF;hb^5Mw%KUeD z=h($#sq7_cQ9~Vf40-1{)+F#6^MJ8s-ljyvK;JA^PJ)Zk!RqVX_PjGZwd-4DE5sh+ z*DmU&wbPScbZZzZRJU%~R-*Iq8LRwS)p*vcQ!WiZO`E4W6B}bBqA@8V*7E!O{{ve< zq`!afS+t?^d@DgB#iMZCJp1(QYl6;i)QJHi&*(hANRS9dFgoD~i+$qD-w{6|e~$bh zre71Z?nK<*DS#u6@+egIep7$;;u3=gsV05>5?ZoI#mN0u8SPP9vEwU2ZtY6cUkZeu z8M%KMCKN2Ab;6;mL8oV#2s)Lh6ZeTDe@Fa`nBFJu8@a#TiP$Z$O|&Xe*A5fDkB*j? zD!nBwHPyfXnGDpl@xIw!Iv{kvf57#vA-+^Ge|78p^~3?8mj$k04e{R%Q64SfKW=Gv z`up0&-udg9`-I@%5kDhl_lai(u3vT{oQnC6TkH^>hef#c&tHF1;41YzF!hNl?$3P( zflDC*M>|AcZk~Qh<u^lf*FiYmw{C^t^AGUdOpNZP+aCEse0#j-@FCu}e|3c*RNz5} zsP^V*ajK|2u<kH$U-^0u{8@u~8^q=QUD>rF#lBrjX3sqy@B4LyV5iX?{eGkS;LFX# z&>zWgK{YE<tPU@pQW5`j-_6k6*&F|?e<0tV;J)(Z9(d<qJ3FW7K$#u4O?xwOzpp== z{-yFiYG>~{9H>km@9QxWf1|#ge%Ec=u79Af_cI+XPe2a?1@IUg$YeL~rLO46&vazA zC%lT2ta<`+`9UQA9q}VVYM=0?cOaA5iFh&7k+?&|92(K}1SHGgpqRFzlXr0PY^LK& zdxBt`<olh@eo6O(I2kCAw%gWjk*@zss~~%^c`tE)A-jP;7xII^e=Q{CU>#g|ATFHV zK{07XC+^^6SFgh0P~2Cn0?;on_0k&`Cr;+DdoX~!`a<dkbW&pfBUlcMwO`3wH<YaF z9(%Hm?u(Aj#P}pBap{=Q_=oJ`dqm>j5kDdXcL<IYon&g&A0ISk?mgN5?BP!xs{M&* z=Yxi|B-x~JO!)rvf8LYLC0cLO$1%$7w}S80(>f9yn0Wh_Yl*}tx80JvR}WusV^1v7 zduG=2Wh#A@-3mLM2XkYQ#GjL!2dQqBy;ia;HRwa+YeEKsD2#BEBG!Rg-BQ_P1lfym z_QYDf9^FzyC9%PyL<L_<4c~p?NhV5OPWqVZe$ycOahP`df6dTb_bDuFK<-9!f_UZj z>;Unrd*)E|hpT@my6<KlitfArN#V+!WctxGuXW<G^8@SqW$E>ll2Q$JCmgcf`Ffi3 z09(!c1Y`yNdYoXB!TZpG?w&ysgQEs#`p@PLY#5&kk2wN!GxoU%J8g2PIeiGWXZFKR zZ+i#LZ@NS%f4NL}jEC6^6Ce6!sT%HNF44DU$M?(!GvOa*AA7qk-OGgwCTJ#rl3zdE zj2(jQnf<V_ZSSD@P4{2wjW|5U$?S!(&)H_F3T}EX(G#%K#y)?+5=Reo_*;3L89fBs zGe-`={+Gf=x2M~tP0r-Nf^ciWt&(7S+~JACX{xy&e;hQG+|+-VuZLiJ=I9~V|5Di3 z?di7p{i<nKZ;xs<`}{9v)d<%6*38S;=Xx`1HMa{F<Ra`clw2rW!_Dlx$u9WtFmPW< zvj-A1vsP{^Ps1*cZG#{FP^NG}=$o@V%u;{4=Z$@iG_!u?K6x<C>nHdZOuptv(v+R? zZ`u$#f2`sGW#u0Dv&MeIzbSuh5a%8X*Lb_v=r0T02fuR5{#-pS$jK~ql$?PJa`vbD z7wq!R__Nak^?&9&1l(7CJq+AejvfZ?UxdTJedX_`{TJo2-;_Ixz=61ZBx~$L9IkN! zCSS4V(rmAF=X7k3f9{Zfll}MRem~W}DE~SPfBbJgiF=!G_o^MZkT{oMd(~?)2e1Eu zlXC*L{?;tq*oUUsq?+4@3qk<nf|84dkGq+Xo9u!Thk^S_x;>Dn*`#t?c?QNcwheyx zLz%$^VQ5Bpn3eu?$&G!EHJg0pzHl%u{3mz>W?l0mX~xd@H*JU>R`Gzcau57jW540w zf0VyAh;vVckH6h(^p^$hgI~E-f36-E<YZPlO3uOsIrq~&0^`~le|CDH{?B}efcwg? zhk^Ub(Zj&~i*OjYul)VA|Drtgn{sCnI1qO;sT%u`hL4|sSy$}2G}~+4IUU>MpF8B= zWdFUn-%s@~$|Hw?|IH_HZ}aV5wF4Itf9DcxuNotB@cJJ(IYwNtu%R*EXvr;_ZyOl2 zF?b`}4*Lz>F(5h2k&0Ql6S81g;u<!t$+-m!p8Nbp%aU(v%sgmr1Y{_oX2RcNY?!b- z-W58eki)BIsfB0NZLDQsrE0HWWaawR60u~7T3@v0_nBX{w&KD}*_S#v1{XlYf3UXP z7}!7?90UWUl;jmF?U*@RJ&S_wtu%|$)e&$tx(Q>EGel8~vbJVRP^0p&4u469KyI;Q z0h{I_tHF{b4W~wOtv6Rzj7dZaE)6|57V|Dpo*0MU^SnT_xn^DJJv0-A9T*>9J1t8B z<flMEfG8xiWV{WH-O9l7MW83Gf7jMGmPSUJN%=4&o<_{4wy=u!TkAFsX5n_gQh~Av z{SnDdtT0+K73;nVKw#)FYXMY|s*x91R)SkvT3mw{xp+s)BJ|da(gE0+8Dv86kbvb} zFk}m`G%hU_NebL5+(H!s(&AykXj?0JU$dFQY7zi>><}iV3{C}tgHfj3f51H7;AJps zX|uEspoS?aLLnCmK?Pt67A4fm1{(AjK$KZCVmmo8)EpNuU%Va_d?5?n3j*?B2;SV( zr*w>95EU|YY%>ZA0ZvSxZvMoG(DY`}v*)f3*05KAHV~@$AR@>cYLIf1ju>`2jZ9Jr zAbgJHa^!$z={3kXmv`aMf067r1342nPs)sZap6ov;3by|2L2om#hXUH)NZ@F0KS5G zYe65<^T)Ws6$|4C8?%r*YEWd;brVM`0e)3m`66`R8_RrNwZskQP?f|!XWNp+?4ArN z)e+d!4CUd+EGoD+g=;$qSWHGrI<_KVJBh-*apM@DmhGe%pMlnse;~J<hvDo{a_VMQ zC?kQEI~T+)M>sse4GgA7F0+(3rlc5umW#Lpe#xu)ar{J8EA!{;PYiuS2V$nyE}PnU z74hR{{sS6~^HQdEp9U$}3q+gdStr&mn{Cf%3m_o_F%xScux$V*o+{$69i-GS^tCbc zWi1f>HZNsn*EvWjf3!dQnGu&iv54PgN9@0yV>jdS4!~Lf;oDA)E6dt4Uj=y5UyvCI zu8{3{nWC48r<duiml??3TTiAxPNuJkm!Ex7N#%W7dId*n1xI>?Z1+oseaV#GB3i9z zR;a|dqYtoQBI`(wi3`A_S5*!9ST))9Fj_Ss(yM5$OgYsne?N;jmux0jrQFIz`eJ*& zKOT-xnF)?>Q}o+otD|+{03uysA#^gGd)UJIMwN2rUDDL%6DejDE=4cLcV>+zuELxG zi(YCn%SW7(g*oAwRn+dxKA+=gKEYsCF|`9&>;VJ#_*T5b>~ktPgY1x!3%;*I&Z$m0 z^%RX-Ft6Vsf3*+ap0&U#v482b3|yB#<Qrk^>~}S+`#%hw2)WeLe)8qZ0=t**K8u_* z7!>*#p-?e2#B}}Y;84IQeD~+${Xu6g!UyXc&2mL2Rtzy)e0^Kbr8{`}2Rc+b9Ev6M z19>WXvsY)k)2T>Q0r~m?$yw(+Gx}4Z41Tqm3@;K%e}kZtkBVGYXF09eUzF=_&=Xgc zvbZM8o?b3PEszoGl@*VY<u%9w*`Ft<&Y#Q>m|5-V=`AgvAiIs6&A2U>p^oNZ0q5Fk zHuM<Er&KhYOM=viwIs_$>A%lrVaXd#5lcgEKEGNL+~1qmS)0MKnpY5Of<C!g@9fNO zjUZ&Ge+?a6bXjd6_DPd2QGMT=oJar3=vkF8wW|BNDvDdZAvld{V6~<=SWo^oW6_xx z8Mm-2p}=yCF-&4fas-V1zG?cU(i5Ov6byP+^5A_iRR(f%LGMLIcM3Ljc?ob;4rTQ! ziM~6z_lvQ9(^(lkh&u5sryEn_%WA!f#d?dyf0lx?oLEl(2h;>6AE*bT-tt~DccmVR zx@C2%pTfh$)uT{IBn(_G0GA^(&XBz3!-(8GJ~&5&^S_n}9630F2NRoTNVb*<RB%O3 ztAf7RIXJ;r&lLC2{g{}5T^=Dfg>g`OnSh@OV*}2@SKV<@v33sBLvutks#Hi8FVhUk ze@}J}(YVBus-Q$Jk_A=JEnHYp4_$qkfD|qb3x9u^KpQU7GDFh5HE%^*?nW)~ZK%g| z%2O%!XXVEF=p}Y@(VJ0cHP!G$3UjDco3dv+eck6T2Bk`%dzaXgu_XWpL<VUI7Klt3 zCdH;=+naeb(WJa>m06Q|vaOS`ug;5pf0A2E$f%`(x}So&&)I1?Y6+8r*{CB&t`M{= zc)7u>9#*gN&b@FjxtyekjiYg?*6qpIv`rNl2JmO!k;QhUx^V@O5J1|gq4J8=3}Ox4 z*^&eK2DY{N2CL9a5A0de)DH=x;L0o(%#*;O+XSJ66_<b|94bnd@Jj^t2sm--e}+2S zI2BhDwvqr*v)jd~ad**LB3}<WN(xE7n~~Oj)=&{OpSgjHExIS5Hdl`#CLzll$9KF^ zym!{aF8BG2gsw#vT))^daM2BB;0BvKHEwg&3CC8f2NB^wFPOpUT3pONii>%Pi{WEo zyNe6^@h;y^EL{8NyL#C)8dvYff7{6f+n-oi)n?+V0lh%#&WoxKeG_DSW6f!uUMgLr zM?zDn06t^O2gTa(U4)HanLs20gR$)zSFlL=YM{Vngc|-y%v{ANkpJ?G?K3s~Y9JrU zx-Y~Di|7TS%M-EIjGF*T&kbLQ2MVGgqT(AD0Exz;g=7+EY;RBxiylP?e-L`X{6etk z$l|3(n^=BA1QotWHTKyxjH?p@aOnzGND4PlV1-x_fEPC~t{&xUAIl{X879{-$lHrl zEgo2hv(;FE6Hp-NC;}|`Zha)-K59XFo4ttLx`fp|F%ASd5g?dZE-%pd;i?fY(6r$O zq6HcesKdmn)!4|8A_4lvf6SR14tJnn%JZn6_kzgx*m8FfHB3YX;1U5==-oQi*>cRY zN3Nt6SWhZ~S5YjEmpA}3Z#S;o1JE?J+*)SqM|rUVJVQVtS$_pk-Z^(V<izpIXP|=1 zG=zZYMH(`I`eMv!$4hu(%F!|f>1DR0%*0a;llPS5FuV`25mUese~wM_NjX1`G__K3 z69`SHE3Ms(o<R=~t6841q(+dzM}h!D{J|)TTs?V@V6b4VWsQ+N|D~}DCkCTlnlbZ0 zyF^3_Nh!uu%|F<+5o9oJ#<2veV9|8oGng&O4Z>b_#w5qE2@Qe_0XArt*2B`xMmHen zvr~dY17{+EQi5)Tf5+4+n8d@_^(g#VD}{%-YxH20w$-h8n-DcgQS4UbVn6h(Xf=V4 z8!s<4{QLdEzITN=?@hE$jW_#v@$%k<e@`sTiGeoz`0(=bz`utS=4d>%oCN|bv#=!h zZ}w4myU~#oSaDsn;<{|bb+?(65ZQ39`U+m{6>qyDDU*jWf8$=Y)mNAZwQ{wWGtD!E zu}m05Q0?*~;ZomH`Ib)^eCo`_ADqEtDXOfDH7R~v{ybK;4pN1GEi0xz5HEcy$4KoX zf6b@Y6L3FSPQzkO;~o5^uyVzHWx<|qb)Nz_-ls9Q!m4+LRf0V{o!)bkq4UEOUTzGR zafcVf<tuV`f9TU+nk!d?6gr7O&ysj+Fpb;Jzbov%dr|9+vfdRpJ*HzOX`0v4^Uhc2 z1?}KHiYK9p4TY+2?%=?O)rjwf`95`A>QW}v(c^A4)dUQLqK#!Yx%x2uup&$6lNp*_ zo*F%^iK<L;vCVpZwZsk18yM0gg**;{qhlGzsR#W|f6Lt%^*FBL_ekzWJ+Zr`h`O>L zb$XpA=X_V7aoN|mdbCI3<ULQ0D>D!nrwM<}BRq0&k{(QCo+jLyM<|HQ!GU`|PNA|t zNmrwsQFMRQ^F+8<mb$%+e9y@v^h*zP!%1TGXqCbA^?8I+xVdSX@QcOO6QX65ZjSsH zmu<h)f6cv<Q)OZqDqFFeoE;KluLP4WR@%=OTTPP;q)9IH4)evkfC=4<MX8nj-ZBuH zi%_fh-IBY}PwZ|Y;!#K~zbZ$Qk+9P)tWcJERMCBVJ?Vj`4^E8B!oTRzI^ob_(4?aK zzAsBXy+1KVFYPY?*Ef8!@V5m}t1ygh%Iq!Rf0G_<G_K&JYWAL^=za?qTqIkWH>!<u zN@S_~^9b82dPvF9w%4Xs@B8%}V^LL3=4xL5V57!AR0*8p_RstTH^Rc@g~_w-lV`mo z&-!tN%jD-Tr7t*TI83f1#fHR6bR7+B`JW;4j?ULu5zG0xJ!k1_fV|Co%>r(C#chW- zf8A9g9^Bh)U~8e>!=7)~Vwl#yGTRlUS0XQPkw}S)L<?2CHj7@kUF!DSosjNZIA4V) zb^}2}<Tz33dvu*3@GZ6>yfhOjQoF!H+5Ca3$cY7`aP8{dMVcF0^72an)oL{6!x_R- z<L8VlOcZAm?8X-6Ar@*VJ3SXtY;)vSf4=+^%@2)Sa@t|f!NwiJwOV5tGi-~TD>~~+ zo0m`&4us7TptoVIkTKU4)^!4hOO8*e-ho<O$H3D<i_)9d?41Pu0>vV$5~dv%b!=Je zU51Z=;;gD*(<+NMZRtus4<7*~6jUiq8!XD&GL(7`A2mwgtm2&(UzD(=DfJxwe`_JW zr^;^HVo}wW$^M<{v4t49s;wEB#Ur+~_8(P`P(=q<xz1=V64>6h|D<}9Du%QQG9$7m zVtYN@TlFtkG_0y<#>QB~mN`5y@>ox-R#o1#g0X?^O=Z`}BRz2mRjboF#*c03l|3Sl z2FKG?X-+>jR<Na3_KEx}H~~^+e=}`jtZK`s{EqS%EJn1-s5NF3weo0P-1fNgTgnTh zv91-)(^1B+Y)_L5;=3GMxmHNcfAK;))mOijE#pgQN^)`hw;IzJV}fET<xlW)q%m7x zYi5Rx&lHm?yTi|DMcIAjo`xGs6ki>5gP)a)FZn7n-E1sVOr-1$KP{KQfBThvdd*m< zm}1by@LWOMz}NZdZsRw_#3=3|LX4B)5#VF+IiLunGGf|lwAox`UD5`dB}aKtU7toE z2yypzX2Dc>?gc1S{mAK!rq4##1$+?gb=dbCc?8%;7@s<z>qeBDm1gOsCG2ZQrs{=n zBV-#3tbpSPL$6?AjL=k%e=w?CAHU95(~-Iw!lrt3!#70j7=k3b=^9pLicYwccf7_A z8?w<wV03$ccuDCF;0ToeGK&j}t}(-9{cPXb#}WUH7U?o$$y!fpEX$Of2<AzjfZvG6 z2KE}5bX?>k_S|yHHDI8ARh>~2N~><6$@=^fP}5O!A|q&?e8V6Ff6KYFb%)X$mC%^+ z0mZ(gaNkjB3LxUBRvYsX<N_J@s8eGAkxmq7VASdsAl9$X@;l1i$M9@Cy$<GI23-RK z#w#wFdI86l>OeO@yc6IS6r_&otMy+SGoRHiYy?c^xT7vK0zaV6c!^#E99wRn2A0`7 z`i=N);QL`I$DRE^e|{U99|0l)<8=$wD1aYUVBGoR!X5CvrG$FNzcwO1V^1vsUY1^4 zBDw><w3P6z{OE>C2$py0)LqrTHqLxjBi!iF1UjM88?ksB?=J(1Ha>@_9o=Ai4SJ6< zY{U|8yuZ@oixO^R@j-pgR(s%RHHCFTUH1Z#U@CKp(Dr9rf1&{IVC_^@%hOwn_M4QY zZ!xD;9|2F}$1PM=P4g~NZ*uU3m!I(cVpK^pb9M37CJ$d|`AOfXj7p6ehDEN;yL^%5 z$0fh8RC>;wTNF-W8~e7RV13r%WaJZ&OF~=1=*-Gv>yr*gBOg<_YPCT|X;-AUPB|P; z7o&2SYjYjle_Rn<*Vz2aK4=&p6oagQ&6F*ku*FA_lwEZU8$Q9AU{#qnLt#u|%WLmH zd=eC0P?->C=-TpV=mIQ(v%;wT>e$hhlZDsHD4B&b-k<Bx8#`@_NB%{wO3;=#+*kDs zSTN%)4eo}O!ric5xEnTsw2E$8#8}++y0WM0U&t6(e-(PhX7MjuW)vm=DMwHw9`HW+ zUCW!(fUugyzHnNLqXJ?4>wTyzpr$A<PEiTcB;-fwciik*;c3cK_ZfkwBS=j=8(5rR z&3?AWTF`G>&}SN`n%9-;beJq?Ov%_1c!F{w8qSM0YfeoF4o)p;Tm&(&pO57I*n%c# z0M%Kue@)mk=G`)_XG<Up%6Z>_ybe2SW=aDeTZ$nVxn@BS$?MjFKGKlUVl6#kPrb@y zTF;t5ACwc&fTV`eo0(pZ3~BIvU?173XbpcUP;dqcdJ^Gftx%gXT2xZlI8mol8y@aP zH+GkX0K~$6HIny3i-Fg=)^j78VNXQ8HJpH%f9;Ctu)4&B>Z-!VYtVDCYgJ~hOGVxn zu_CjU)pl&EXIjwV4NpD72^zooSU+cGd%OOiuXb_pmA#=*H860K3L)SnS8awJyIb{< z&7ZsPVoRgu!)xVkhyujmn7!e#YGA+ufg4h@^l0Q3E{{{VRO2@f>$}W3cc7k{N;PJp ze~U${1Xz`F-)qCZh$U-7LuNKs(}!$y=b+z;8Xvf^_{|fw7}UeMg;4k#s_(6VwGCrM zAd}CO6JD<*-c*+<zY(ksuIF%vag3X>U57xzkJc&FhTp8S@#MZ>TKReTrfCpc0;}op z8;OS#u`eYYC-h%S&`f+%mpC~Q`?~pRf1S?PaF@E<P2nqbk88s1>ug-P@jgnsaL;@U z2<5i%BWeiWth@a!JookrN`j2*TtuZS8sE(Dx&R3AdJ*3qAHA4huDfo;LyYp0I09ta zqFTS&C--%vog`dZV0_Wa{MMkbK*Az&N#QLpcBDdJ*(hD^G8Di%Opeg<q7y_Ne;GHT z!QhX(6JVbUoRc4UmcF1_Eo$O0@&IEtWp@$lvB802Q@OM-ufJjFhRml64#4M*Qf@x> zea?jyrp1`U1qvhNE}C9;lZ>YNN;?f2^<VKdzP)M3<$<~26AyN7XdU@VI+HObwt{c0 zV<Wk^sq}5*R4L}BJ(_*7KmXeZe*t&!#MbRdI}jqlm{Emf1N?1?qEv1y6`z2r5&7!U zQpsHy{`yJp7g)_rv%>2`mn7<XmQM`cUt?RhbH3w<+v81>oI(;uYr!8f?qEp^FQXZh zKVk5gA7C#)T7i{4Gp>v5n*{cOj^{b!L0`S6!J}3);4!OdtwoAWs&x)De|fnG-Phv5 zSfWZ$tNZ91^V5T$44q&%p_><+w(bgCh6~}pv+EEVQ(d`JNXJhO7xaBw(m^w3xT0Nn zj{hX(Q(tG^j`2~673)G8{v+`Fk{<>-0!A%YUKcX)pD+}XbXMx<7?oWyx4y`K%<yr` z2c_1W(RZd2#})=i`b1yZe_=ikm>E=aHaMO~obu`sv?m(ZTrASAM)vuJgC<JxOgZY; ze8b5aAHNT$`Yesl?fO~z0(Y0@h-Wy}YmiqsUgK@waNTTt(Pm_}k!Z8+)QkHPGTbEB zVDaQMA9H-TiT$KSd~VkjU5AiOqy{dZuhY2&xjCU6tU+ShT~~DOfB#|Q-{YBn|37d% z<eXEI#7HU~9Ab$LTjj7M_Uh%;OLD4|C8xz4nlWdJhJ?f{hP`_Cih7aroF*!aZAH!- zVM}bXG7R6R&+m7;egFCXaa|tQ{kmU|>$)D#=i_lbuG^kB-_B(F>4a5%e5(R^HH(uA z``-I@FQk9=z@y@;e_;e^$ggL)T9DA*x7v_Xv%pfmn;R;IK`-^k7i)x>$U!2W<!V4c z<$s^2he6tsi%0uDrWXJH(?EYdySV0ptMS}z1l{{(e|F8~I<0S?Z@Vgg8_#*J3UQtt zKNxlrY-ku3;%xk=I4P`E8It!bR}oTQuIosbnEUMD>M-}sf6?{W%a1uVB*?F9w?xQ; z?3F5<={Y)Vt~Pa*8O*lDct%@JC|8}YPwQoX*#BV=4bTbsD#xhQS4?mANsN1g`GiuH zeN@^hrY&0mgKV&wP^8<frnbG<XkX>F3J@5$$gT;FE709FUoi!S*qF4asFcIwV;EAL z5+IS0wLP%pf2xwu%&_BB0S{dfc<7h2;;k75975QSB=`#i4a7A=$6$@d3A(cN6+N_I zt~@#rdwBd&$?p1cLz*%7grHgYmnar{P{$dWHdTsh=QF?@387H~+*W%DF_5sq4Clav zHVu}xQ$fx`$r@vZB8MzIyaIaU6ZE@KxOb(nqM$=fe;8KNKX|HdRT_KL>zR+VW|=!p znrDHQz#5B>QI+Y)>a?jBmhEfY$Nt)6%ML?czd#<Vh%25{&?DD(Snw9-z!&+ad!n!u zNGq%PveIRK>Hsr_4Ho){&QMig4tz_J=K3zLG??6QrAo&;E)D(nx?D>(OaV#B>R@qa z1b?mXf3``1$s;R~9l2bVz<zy?O#)0Yi!#tL!(9<bu1nhFx5@!0Z@U5Sl|XL&AaE`# ztB^z6?RZ53)q}H7r{>~*DNiSrm)MWfMBZKVA6F&2)WKW&)8Se@Cm{H}-S7)I<ryp6 zc@;mg?sSb7B7lqhB#P}qnGv3hk}{XG)JPw#e?DEphjqix<78%zM~Qv9;SMdjGsho_ zVcl@M7L%FdrD9Gdo*;7QzT&^;c9Hs6B;9@G(VE*O@5iDC-B+sC+|0H#rsoeJoaT}J zqT%#{+d6khQv)K)blLx#jwY&4mp#D0+pTkY3p9Q70RMKk-svsd>GxU)t?x+F`2tnb ze{QQ!f8%8!)hW?ex|gqZk*?4w`aa3`pSHxMEz73&oF@GDmE=U{>+AMgT|(jgA%<De z`(}<;Z+d(ihfU{Xl6SA7@&b3K``*#H(*!T_3OpxPm^nVXIWqfPdb&Uh(a0arABL&6 zby>OIUl0Fev9C+}G=W9j@(MGSSzgbafB8&-*L$(fw?JmBl)eub45zB%JqeWb`Mki( z>1{`Q{_a}&`0nJ2w<Dn@y-k0(MYT=8$E$0l_Z_&ax_4P~*>d)v&h+rNYnjm!jrpj1 zQV<gZpFgVPE4x<BA&v$<7psg9PhKPpr)%a1K25iL>SsBv7WP_wIVemAVRLBsf3n*4 z6#_3^c6RjCG^uwPw0yBKHA937`xjyJ=)TG{{KI{{<-6VL23yq6y8u&^>|W-V>z-?o zo4J{eKlM&x+CdBXNM!k^;US;Q<=g3aV+$$3vPgo+Ju`-58lWeZ1}UQo!tDjVm`fsg zqCzW@k_sC7C(i09QO5N=C56Vrf4s?ZRf*y4L5D#NiZdhG<(Okum+8C?r*Fmj#aHM~ zoeyV=4S(c>|L=|Qe9%p2x;(8kjIO1evoeN03@K1HEMMuA=i&t6kStkL_5xM_4=YiP zJ2tPu5r!G>bu}C|<J5*J?Q=C4M&4}mib-+njqtR}^IA#K>wOR=N+`}vf5Sgn*%lZy z4()=MXLRB}StyHC8u!a@n*bxHz8yR+e&q#E!RG{43kJd__HSLQTI+;-!<vcfy#iB7 zpCayw)Em2%^vX|ljPX7R&NeBu<W(tzmcHsJ;=#=-7XwR*{zniU>ke$!<~<k4H0^IG zsZy#YFSN^Yn*`UJbX!uZe^ja~wcB5DQw5ir)LIfl_Lx$N+I!1k2?dv2Bu#UmyC+TG z$+lekt`wc~`G5fS@)Vo;r*nHud0c#ybN?>>6R5=GiFXn$cHbo${`YwoIsmdntN3<J z#XV=n+RKB$Lm!0@Xd1I$mE5yuEWCUO3^W8{Lc242ZBIeYjA^kOf1y!82`f(Fe|oFY zYS7~*s?)LKc!rH9hkty#NB4c~%(>{=VpI|$wZ-Ow`I!Vl_T{JN5EoOQ-OSgo{6IT* zSy9R?cu!YX)aQR%ww1fiXq8s`v&YbXmAI$gb8HdioM3N8{d26_(~;9dOZH=ysfG#5 zCZh;G=fpz{b;gtxf0pwz5FvX2H_N?|Y6=3$EvJw*)nHM=G8&5DQ!e_<$T&!{!kTgY z5T@zlQ9{X2wg}S(+&8W?Q3XW3ff;6Gctz<@(<8?i8JKz<s$4XSp-8aQp?-*%U}UHb z>)1ZTd|~7|xa-(*!bceD)&)A$K|JF@z?%eek{;a}dTqLxf1IR4heAc1Omfm;*B#_3 zS?Z)K6goJaOx8@yvWA|Xc}A8xOMqe}f2Wg^pvu<JuQS=?q;twpsMWW4a+20>Yv_lY zBtYSBDD?QPEOOG(NNZ^Ntpc*)jVM6Rtu(UK={jrd6~7{K(wRCaG}|wOEM;@n8Y=M1 zB}-j63x)o1e>;gRb#cfVn;1nTYjTI7SWetyvZm188e59bBOB7(p;&WV3Lq3%147}B z0LAM2JtP};YwjR90HO(ne!Y>f!aTGafgCLe7bb^$Adp4{_l4ik0SKgIL6}hTZzlxu zc^+EWdH+7b6uUnh=(>V1oz%E5ykM6}mins<L>*M0f8{=GxQIY@NrP<FG|@tPFTf7g z3f9=S<ZQAbNfB5ZIi9Quo5Tn^|A<4FcC~Q0DbH{OpY)Fl+>{a<g3rZIi(KhyFM^L; zFOU0iQHP-X=Hn8#y(oyF{Ndv?xBXckLHXIoZ`^YSdl(XDwLqZsCmjrllRS{^P2KNY zhcs0Le=^=MOnB?g1%&Bc{|L;RY)IdS_BISbIdvH@h<*ZDD!K&q`MmY^?av)H1Logv z6t#iBA1X#@{Yv~n%Y?S`?mOB#Z5$!{yx2ZP_UXbszk+PPPVhm$1%bHJ_l2FeZXsm< zIdUI!+6j2F@F!5{4FkZWZ*#4&VPn7^WJqMge|8$k)~uwHq0T!2vRy9u#8ChB5=1?h z-OV@;3IKuP|C(eZywK30s(LXPiZAkt3uN$6Y`DD!LiW<t2+WJWED*A8SEDd5LX{Av z&|!@57S<SHS~(mE2q1*)=1>G60PpgP;V9wZI4PjZ5`&2kF+j+!o{hxZK&vBUXU|4p zf7<VX5wfh-S?;;<dJyQq;5eh`{N#P%v7BoN*@x5x?)&Ba1fSiZJg$S_?;T;0oATo< z!ABGV>>@Lg;KRPl2kfa#@X6$Ix$Ih3g3lZ751`8g=;D6oreuW>d{lW$+>|G}fKT#x zT<HQIg0kzvB0z=_lpifDaL={I5tP3zfAG17Xc7cvbKC&qd@3J!3gVqbt6+qOe;q=Y z`lv)=Uc6UD$WACl2rsOhMwp&ciNYM3mP5!MCSU;JIKmW{6^Y4iKY%bLWC5H-D}*VV z5QVux+l??4xnhJD1V<32s>n#6CiD;Px%8I=pFz?bH)V@QP~P>7!)=c*1a?s}f6I0F zK0@$mDVgCqEH)B+u94<HNUv^aErFbpFC3UsbY1qG>k$O3!*HpsvZM-lB4tpo(~Z|G zIJ2&7<L!90eV*$n*t34jCbv~$psbo!!wVGXte><gXq6lwo6=Tz&Op+0xFx%F7l|@J z%ix9!Zmk=&B*UakE0DBauA3lifBi^HB21#XJd5^<>nFIkejJwoldLXPp#}2n1km+E zEhVsBp)Xcx+Pql7F|27z;iOb(X%x+q=Phu+8n!&1lnABN)2z9{0$1#*mW)YBex)TX zhU+5m!5Xw=P441ThG_-d2mz1+;<A0D^ni>ojdxX`hCPaV>LZ~?E}-@Bf9?QT;0fFl zA4%UzO`5*&u$3BB)%Bujd^2+exU|?bSlenYogYYuVb*ZMfQ;0}R=w)nK>R5t3%KE& zY1nJ4QFR%a@M<uZ^FQH{hJ&_hRj$bRz`+^LbD>qke%t+3E?K~Jh|GZsk2jdu?yW*( z#V@dE92p={*0<dkVy}{*f6Yqb90Stm6Sk^LcKBVE<f*i&vZ<u0O4;^)-j=``1Gmaw zQfzZxP4FC~a`G{z4H^^bOU|qDo~#MZS&U_a@q|VQA}V2wMdT=B3>yq4)Ryd{;;mVW z921OngWkk`*K_p=q>XD{?c0N|*(i*&D6~mh{4`E8F1Pn#isB%@e_fh4ht^*YZaBTU zU+27Kk`%_xN@-%ZtFvWl62`y^G@<H>w2TK2{^Dd}Y`>N+bQW@<X#b|O#VJ+NsEWYO z5$-{Bd9hPU4eJYA2XocR&|8}B;GP=J%46GL9IQ;eCFqC(Ao=d?SmXVN-sdf2;#iQH z!Kz^I#W*z_@s>1kf6z>GX1!;dW3Dt9dG97v`mql{51L#+bU5%Xip=UC9}*`kx8oUV z0)wX0;%t-Ml<6>q&X+vNIYQ97i<LZ4PnkRwpUYfh-^Tb@L5Q-ynT<E~h>FUT@Xixl zojDsrBB+iV^u`61VvE^tWk%F;J@HFsNpAbt(_b=Ti&(9#f5)x6w_Sac@ujBiZOnO& ztPmnXts99AVr8}-!jz_7AUmRXxq^uG-MBQEvM)KSqn<|=7_ZCWa$$RX<-VvbJhp){ zTizo0b3xM#Yl|YR(4_B)E|vD*Qv|;xXpUiR;2a~Y>M_@)lHdM|;Qwtj&#+eOjS-sA z6m+RcZ^{sSf8~F_F|4;w0<6}Px>P^Dan|Hx_b=qA(W@(5Z_F24Y?_x+*5u~jEOJ!c zm!Dkkz<0LTg3F*R!jq~6MziPl2SV4U^|sjIi>~W2R_FJY2vSuTQ=MtNP_}_^Sa4<i zl<2_bQC#tsB<wt1nN$u>vNW8N4;4W+<%^3UdNiMPf7lN)zr&iF#U={V1SabyEk&(< zO9#tCi>xJio^v7a^~%QP^i~OeX?0P~oX{dXO*K|S_q=Zcf?2@1B0L&>CRR<?&NsdX zFyDP4B-$o+|D-d?Eb0N1((i3AJQ2M&c5lgLQaoj_hx1Zs7JV>wUx}+;0%|agQz+Dr z){9jwe{s-@N3k|I5FsqugsNGB;3v$pnmN)!lW2Xax{p0SUXwM(*%Y3QmZxg?TnbGn zU=(p?g~y_$scJq>q4DmF1<n^CG+K$e-^aN+L1pk2Cqj5K+LpR^@?3SiC9{{~A~dh* zXE}3>g?pn<Q4dTynkLq>6gf%2jq-Aw&s-4>e_QgAGT*s97~Z!~iYgkAw(Sj?7hbNR zGQezKE>BP`JR`Eio)lZ#z7H}K-d<TPGb~(vTyob3ZY!~sq#ODhEVQa2mG(T}++*AQ z1I1<d!|k%nH?*+jBLjm5eQ~L}%A~Ti4hpYSKoY8o_ShbPIjbZN0N3ahp{zi9Jyvvh ze^VFtP<NMa<p#~28z;EhWGc>HlJX_T(1v+F0;MKHT<(&@R9Q2vo);njHJ!p0EJ@-o zMy0~btUI!}1c7an6pj?4g1=m!1TP)yBmuYo0q&4s9&<{h*mP8UbV73L5#aZidpdnt z{PwBAeoiQ_p2O$Xv-v!KfeOY=glLi%f2&U%h&yMQh_BS{L~>>3YAz33lH8g~){2=C z925o=Zc`RmG^6I-tCPijE||h7g%`l#y<hr4YZ#00dRy-=<4#C-v#5`(yvse(O=Y~w zbMB`%4x6;uj6YuvLu)q~jpv(4)svlRH!&xyPI&Kibu5T)X1(X=V$4L|O~=O*e@!Io z%l+7YW6u02C9}IQM~ah`pRWTEpr0ov0yUl1an?+1W=eBHFcNNAjL_%UOnT^dvvno6 zB*xlhCC7OSivz@-&o?k34X25Ugcsq@M>FXXvfLl&Y`P4B>A^V;Rn&1orTTF%qt!+e zGMHMNTNs%JE8^tmWDK-nH*vp-e-kCanGx4;hIr7#j$&?cn#lazj!|%vVsR>EDr12{ zt=wOcOG7rg90L<=4j}I}VFqtjO34ztFvBm@i|AUlN>P=@4T_+9g!f)?a^uO%_GmY# z{&=SAjeDW%jam;KxBVRdVGQ(ruviamFxcF;WWOzK`GWL}K@j?Z4?l^!f9>ePROwGY zyN(1iA8*kz<H>kSgOUc5wvLDc+Zt8Q+mgd%eCh&Ah5cKIM9Z!ttSmRBiqp1q>8B!K z#mMhCk<!Z*j`+`cTIeNWsH5gi1-e93(aeTUWnO*?R3&7%1^GJ{*>~6a<x2l?8=&Iu zRR7ol)m_+56TTza19}qwf1EGMc>*XaET^Y|orx-_9H<`sWJIgh%blw91W?^H1S<Wb zKs9?L0_Jt`I}Tiyi)XF)cad;ysRN%@14T~(0l6b&?g+hrkd13QYasfz@lMOuaH^U^ z{<(P&b{G(7JHmOr#4MI9$4@8$K1i4hb*yJ>^l{H(tF@F6d^0kKe>>q{dx+YbxxYN> zxmu!78T>taGQ<(Y9P9hX4*N>W77=Pjp6B-a3w5X;agp4|{>g`^9hqC?;i4-ELMZrp zwojm=8Z)pj9gcmcrE#&^oGi`D^WUdWl`KJ;rfClLvQvbAg2S?XfMiCK77k>EURZsb zBA;CYW%ET>vV}I_f5ayxfsTJO!~4>mv2|J=P6LS)=FaaYd!lV@K_%yqNrp@}j+1a7 z_+D1Yo~%;C4nN*QffZKLrdU@c>qSqY8eVt}d@@^CN`;J|*>SH3{=gowd8R9&QkF(@ z<K7ftu*YqZbR`GMWofJn5xb|WVW2`$GZJC6<=CczZx>4lf6g_*#c}@-B5}8Q$3I-H zBM`iT7ykKZQAeos3S2neYg|WY#oguo)AzKFFm(CO!g2cNI>M504Ei1X_%-=FYZQ9v z4DmG?!5V}9?@ZEbvI{L3l=8fDi1AV@81xPb2>%)cgJz+hh8Wf4V33A&_YmVhzhIDq zHGPQj&N~<se=*iS#Auia233qr3^AH*gFs)#SVN3fY7j_zd~Ar(5gP=u7#|*DbZrKK z?u<_jF?yteKzTMDLyS-S5$L0}SWko{{>H*T^9gl?RNdPP>Aa3QLb>j(1-XUNIzo%C z|3dl?P95QM$=wCHrT#j?4_N3zI$yDluzQ=uRGTgee*!_`z7DC)B7#5xai53OxIICj z!npAvwFSc<(8oCDklGR@2(%VAI;6HN8w@&u|1hK`L<NC7wo_gkEVb1}9NBWOBMfej z4&`i2eT9~7dwL?)@u&sRF3n&nnEm8+)E->u0&#aiFqOuB^g2q{>)kIxa$w!$OZ3E$ z^?{JDe^?aF#giZ1aA$$2S|3ccn<l)DauwfL0BJ-8Q(4n?p8R&vhaqe5(g?PvJJyr` zP4soh+6MOa7d;CZOf~oh_T=wd8y~X17+3p?{^W7p<o&RrA?qvj5p3Egz5L0>|A1+( zM}8g6DXV)u;Q}4OB5r_yA^!$0fRcX&QET3`f4+{=Is4|9YgcMrq-wzJz^F&vb(4)> zyN0X}7L8&lekf0Ve31VFF((vQ!MCxxNv9EDI-@CI1-;ii`5$n@L)I3aU$L?%XHWi* zpgRj7l6MeQU1;gd-`{_4!Ml7Uh}w*yzK$Z&|N9m4d8BT#0@^dw>^?t=br1CM<VW_S ze-^yognq?F1^IjOpZDKdn5bn1QF|ksUPn#Q-~6f?FRYt<y-`pRwG4YFT$*e%rY@`& zRzyi{`|-Rx2aKsPRtc}8Gz@Ehh0NI3O{R#yGMjTr*RaE3a^d;UtFrSaM{GV0S(g}& zVGV`*Jo(ur_ZEmOz{-E2{`=*+pinoNf8fm+vaUG=Y%lT-VBo4-3!urX!PJ%J=dYuZ zHEVylE?=mdJnsV-vR82o+w&m#byS&b5Y^81?gDWkr*4v=3p~6fM%|=@p5Fp-*&&GP z*ZR-vDCY2+U&<S|>Lwrf0tWl({1r=ybMoY~O#$|1MKE=yE%P;T*Qe1@{TIcre~D6` z#zwEasC-S785$W)U<dpYdF&c0peONHex&)=8v$uakMkp8UvCB=lG`r4bGvZR+4^|- zyV{xyP-knC^7>kJI~ix|Gq-DN!;}015NVh5Be5TX0%X%a=SQXrJV(tAXH*c6bYC02 zdF$T_qG7kkXwI#c3XsvUYop7zf66LA=EponuaGGfAS<lL=v%-4DnKwSYk$>=EQMi$ zeUZ`PK4zM-1O1}b)Gg?q2k&Ms`pzXELM3O$m`$isM;pua!uRT<YBST$(_N|~&+!I| zlRs!3o4I{?_-J+HpIYrYm|LqVdCTC+jU0FD|5|#A=jY~BwA}rgfXG?Xf4kAQ)-!H@ zcqaI=tbKK4`dU0>pigPPxpEKY^v`>g!i2uHvGHvEnefZ9m#QQGE$l3&%*iNg)%!t> zu<us-56y}1gov`2Z7Rc`xLb4g_|w(HX^%2j%s?j8(O8sRxNcFA&24cO?@!(rx~*N| z%7^iNhi4KmTOI?Jrqf-Ve>Qh)ua@cUrXcJ)@)z`+I3NU?bKkzw?Ww!<F~SYH-kgl4 zmhbH*KkT~&&PC^DbJCmInV(M1%_m6z)w=x*aBe<CdRt3d_Hb_2B-PY{mmfGcE9<?h zB@4`)o27l<)T#?C^CQ<bhnUK(Qy!zl)fi`M{??5E_X$1Y#b+D2e-#tx>g%Jt-_I+& zgY>SAmi}(40GWke8(sTRSwS=k^%#x#Syur%%)d6;zg$(}eE?ot8#9f_kF;$;1=M$v zj2F$Lr<hCedY+?S`0CEhtFiY23R(w@7Q0s)E4)`*-_$lw7UV}VHa;;!9{75W8uK-s ztvSK(YSkwl0Kxmee_Gbq%pZ%7>c^N>(J@>#?!CXCPfexK`gu16*1|um@^vNKoK;di z2b0+OVR5@cj?T-nKLJA3g*MrG;C^|Pw}rN7((@uNDGtBbXt&@^qK%t0y^5CPhf8DH zBX~<_+a~St)Fp*sN^`psmyWh;QXfxWlC!L|Zg=7SM!PkEf5tOI6x$qT+}Ee_m*dc$ zT6|g56F_hT1WExQTtA54i}yc>KiOtCgX9jJn;90d7@}WW#}AtJ>bcC!uKV5nH?>Xf z@s}0NinpU%x6Vu|<L@5~&4nvIt{))fb$k9WJwNk$6-8b)Tt-orDL?05EE@{v%a<v? z=F651SLZ9=f1VJn41Tp2KL`kdZsE?HHQm-ebJiN~)U>ylR3+Eu<Q}g$ILk@IKpIrN z_nTZUNHDmI3ld8-?b9h=KDC*1W>OM=VrP*DNs)!1_XBMHT<Qfa#G}|P*wV`8htPQz zf#UvbyL}vOFt+!niW%JEr`vjM5l=ntH{RUxP}tgmf8XEXAH;usK+dvUE5;hcdi{@+ z`g#N&+Y)nrjIGbG#g^!CCOtzvnqr<hxxO0nj!Yq~eY{~x-1mE}R?)u!X>C;bLY+pu zs{({dj&iMZRJk4JH%4werS)Fj$3GS?ZD`H7_np=HAMp+xCH`*&X7|qehi0a)`PjXG zBBM8-e=KMCH!FSd*w=bXoH&wyA)+q1CX<8^zfvV?aCBxw@a<uJ=tjqI=1jm==mkuE z(n==jym(BN*w2=np{Pyil-2C_x-H%}?RfzcndqEJvd1kr6S1Z%q3bn`63)N9MMb*5 zzh9Ov(1It-hbS_%<f~4{?OrD8kMg!<X42HAe>BQKn{7A6s?*JOwc*<?-71G$4ehOk zQ@6ysreo}C)whGYSM^)r_SW2~J2=y6*$cI9TWOj6^WqKF#q{kQWb>0BPs8OtKn|37 z3;1ib<c}th`uJiy{n5u8t;pumANk?RA0RqqAW!)30K+`ny2xuD9U|p#dh!hA@u~G% ze}i5hh;KqMS%1`F!<rNsK4Lg;mK|<nZ+#QbXStr<m=()}5z_8jF6iM#9sFMvub!hn zR9$r4BqOZ{gK}5&KR~p~CMxki16H3)^?5we?eVRSZ1CtRc8Okksk(S_vz-FEA2)xt z@xZ`q{+B9<VquNiz^1-^Gh(|>boiU$f6sfXMCr`Xb6Y&s#XXxD$mU<)^1}~Bhork( zulJ!`58Fh6NX@!1^|FZ<$n{$7KXf7Z#Mxx*JHJ7jHSg%9>#!&g?ySA_InvL7`ckE^ zCF$M=#a`BX`}3w!=Bqg2!=3XNYMq4vq)71fWAnjpiVZ)$n_=jzd4KTjpRei&f1{_} zHROR|i}|%)^tBrhi<Z$V0d-=NZ`IS`6hDYw+FecN1Z=TR<6dCejJJ5h)o#mBe{=+s zg=~)WsEa%g>lDBIuzkk9xk7g(VAYiLnpmQDA1+o38v^4MN;b5-NBc@REW)joZ^e7V zT5q^sVkKOyl72RF8aMhjDB(3Ie|%--ol94E+a88%w<092A(=PCXPMkp3|?$$P8lG^ z&%@*x%Ig`T@##6y>=*jIk>Evk^P>S!*8JZ;1ER$5aUo_lt8UeifxY^}!-A;Gi(jhR zUo{rrzve1UitO<1oZa_647PmFvSBDJM4{Jd=?me$RJk%@oc~3+E3iSFe{(I)gA|Dl z{V<ETwdU8jBx}~XiTfwAWublQi)Qa--j}LqYDEabCds|oV1AarF4tS!d&jk#zgcW@ z$N&6tKw9HkU8Hqf(!fNPI94B;(F>Z>bHE|e>2cx2<(F?<OcC@v)y1-=Zps8*;lmoT zWp2OEV*1&~5M*}S(*aP*f9%uM0NG6$Ty<GCI2Dp6@bOmqViLdDD2}@pr%)ZK@WWs# zN)^ffvcypHnu@tLcg!UGOkAh~i7xX8sm<~rWXAWq(N{v-D|o)v(wC*A*x8u<)4Y~Z zYV&FQ@ByQ?OeE@bbZ&1}$Il+>=h8Q?i|4z-a6XH-z9L&$`XxiJe<rFG@2~m26^Fry z5&G}~-!2_Ib(Ht!ak1O`uoj=i)UUp+@WUnDuR!mH!`8rW#2fmf=N}Xxn`N-Ui%&!) zIL+>`ClB7Ki(O4n$MVBX?VFAG!hnK~Z*`GZalWm-eh??>Xu#I#0<dE5+HrepGrl0e zz4LZm<W2Fp)*3&^e@&mo+xYa}j;ir(xAe$B*mssms#EadsMQ~IsT+{SmeCX2hYFJH zGPY@|i(Fh6#ao3IwD{BNBHj1~#HnTU*Y+-;m$)609vKV!!7_R16tt)Sxkh)t0eMIr zeUFC&z17>U>5-|hZ>*|E4=ZG7;jd!etxR7dMHc8Bo_Ea7e`UR@k^M3A^U7gSXxd$O zUJ`7P&VBn%d-e~=RO0M6!S^4#V;Xgyp4jr#AAPVMk8FmF)<st9bc^JS46O@Rw0~L( z8joj*E$wQpf5nj^@m}`=>w7twSzTfO-G?0S6<c1Y4g3WoMW%b*3Pkj&WoC7T*ZgeE zSRP-{AMM9he<PbOn&dB7eF&ZT;+mU_8+8dkVtWwc@odE~zb2>GD{<CWk>UDvEOzv9 zSdJICGu$)go!{(jQsk_TL)Lj$|2dnku>JQ7o!^RI0kJEl2-$pn?#Y5%bjYdd$kyU% z)=~+X_x8hsuImeG`8Cgay;5hl50rr#>ep+n{aqXPf2YpZ3Gd1Fzl1IBg{JDJ6{mS^ z`5B?)3m#UhZuROzSGup0B3U@Mst!&gANy`*4nvC603nd)TrD7uHz2(YeR<cmDpZM^ zeo4sYu(^VT*yxbp>d2*H4r{4=hWGHp%ac9QMkq)@qe5@nfiiIRzpDXJ19@S=Y6Gul zzbL-|f5(o_VkB+lAy>aO#LxcAHh1@1)4YJ$|1<(vP}7Afzv)H`>ZlVYAK6^tT5f27 zIyAM7x<<cbvho~axc`4O3%F0>g*lw}KlE=a50NN^Q7)@6{p=cMh};h&-sCkPQGHe% zRw1$O!*3b*w?JK4cv(-;$^qf-@T#PeyL7+7e_VEt@OHRvQi)_tgE&B--hJiXMtvGL zC}H>+c%r!ZhO$r9cC#umL2xqMza4LeIwpQva;J5kS<}BJfhDYkI1i+3SI!smYlJu} z`GU4xu9r=dNgrYTxca=<ePR{r?l@Odiz!duow*nj>Nw7@!o6L-L7X?Yact%lyF9Zx zf0f3yKwrSVUTgg*jzAyo9;WI4+}yYI7^2Pb<+=DT-Nu4-K8cM5dYPdqxd2H(w!h$3 zC0EDLG-F8puI-OvqTs^TV?z7NU9XcX0|g_lY-w{hC7Yb?*;Cs`4i<EYbMI`VQ3e}~ z=1G@km}LCH$GwGHJo7OXo1|8yfu~hp1xCDNrGJ6^rD1`NM<oPBANcGNu3X%DhfZSE z_d|Tv2@p%yDU10pvl}T8q{*)uNRR6jcs_`?F^4<0`4*yi34e=DW|E$VzY(H#phn32 zW&BP01E%lu@KT}soX&~IEd18DpHvN3$C)$PaG+_sb^0JBLhN+6xOLgE^8WK~e$Gn! zoPUnhW7es-qOFm>7W?g6{zuF37U0Rj1JgXDy&snX*MH0!+}eG{Rdb!>EPGd^$6Mb6 z&vhM`6WgrZ4{X{F<#G4G^GxUhUuL6L+~JAmoE~PWR@@QqLQWd<q1LZ85;In7%MNNn zYjZBR1K!Z{l?pLk-99$)l;c~GWG>FYxPPSL^IbpA#T+GNjIlQ#+#Q$U54g;njmDcm z3kze@T|@lyN~!*TYmK7(pOn)2FPn$;)BC>LQO?xpGG6xWfn)B0)eROc!DpBOeSMEH z*TEUekMotb{LfrJD=K2%9bt!FK%J@C!ypviNvGfTcPaxH73Oe_{Og>cuL@J1(0~2? zDdjaqS}|N5|NM(kN}=r&`W=6l^6;XOeF3!rg5ej8$_`(mW04Hr;$ZMW@zovBy_9i~ z=38iT!(X9nM|$WOxCifW@U@v(<8E!Ypn$Ri5*!ux;60$sKAZ!hP^*f27aX`B<mb;S z%Xp^sh+F30ZQS&%ur$LY#Gg>+{C}*F%zffNcf9FoA>@%su>W*f?=!6??tlJgotuga zH=me<`a71LdZuO0do*XW%ljh^NjX)-|BTT9=kE3X(pPTp4hElKeCfY@38Ms_QTJx` z?RFS82ivE7|2JuDZDL-NW#zVBacDk0bL4c*1+z9nq4A@tK>r+tFIsApFn>tfbN^yy z()+$|CuT19?J4f74)tf3Yd_PnvWuweW_Zy<D?2E{2(y~_PhSs!$23;73q9U&yZ!41 z{ve)MXmv+vG|zw0qQQQC4+_=8MpQO5`w(502=1ujX5;yzeVO;dO8Wbz9qLclyv9Zh zr4!7nbS>_ktfm_=oLf=)C4Y=pHIHU%FvlKUH&@n!G*Y3S4#-AjLc`3Lk&pQv(4xEE zqumW;{)LGAH=i<NRNFMFz^PYn*_cgR(jtDpd9?F6r(*;C$lnRer@N}t7XH08yYmgs zJ2dg;zdAD?Rof0$)jZC-V*{CX?~IsxYn~aSwypmcD*Kf23?RY&LVp>aI_h^)b=%wv zb@CN0TA`~=ZrG#u(x<O`y5K6qT78#3h?KhEio+v)k9rTNbitK|3;Q2^v{LPY%MW|d zAAP!})&*A{)*5*9A$o5YKW*U$%FKLj_i_ves(!sT)A_uZj3#OrU+S(pv~{zAp1uFX zjHhawc2!NqmwdC+>3>u5!-cFwb61(=F%C52dOOqO^F0r!Vu*_~s^|4h-oK4sjEOGw z&ns$v{QVB|d*Wn1{Zmmta|By+9h$+v?2K9kBJICzEMuZl^z+Ob3k%18=m&nh#T?_H zN})8tR4H`jO)xLBE58D)k#-%LbU(cUtZn!orh6tipPpRY&VST;LQ4DI_i;P<d*-Kb zV`9JqLIwErKpn<^&Lf}xwV1{%EUxi{(!$R>qvA|%@G=KOuS4C07o1VqJ~w!M%oSte zeQZeu_)2IUCiS~lKHZDRVvfAlOa1=uu&ohMshL<&bGsf``H!>t^#4taGDqq>f$c>( zJEP`9fR#`1uYW_;{r{~1$F%wJGN&etiSjLt72xEyU|t_5)B{S620Nn;hTh}t`Q~Co zENppO0nWGl4|8lKHJ^^2Y-bh@G<!e|u(8glOVudep6}kqL=S341-L4z4s-0cYd(E& zlEEw-v%Ur;$HX|J-uL<OE<bBDCeDVvtf;wb@)lD!@P9s^F4^>fIdb030~&aDuQN*B zC5ZRq#R*_%XHqJ_IjNpdyO#q@Ef;D&y|!?iS$H<r1IqIM(-}3orv|fsFfX6p)Y!@N zuq^O|dd}!)(Fdak$zU^G0XH*a!xmaI4a=gxXc#7gk5&Otdao^Xg=3yYM>l*XgSGYx zxtS@_Hh)m?%_~{-bJx1aV5RP8jAMs|E)jx$hd{}B%`ysixnnWiZL)RrAMRht;MM&< zxk2rXHqaP>tS&LQfr3C8E^!zmnawenx^E%6#K(=V5U7}?X~syoH2{5g)dijd8G#yG zVlxV<Q>$E~=|x+p-vjF`I$>;x46dlpS)llLhkrFH2l~ILaPBnb{fSm=sx4g1Hu3WZ zSN3-D8MA$tKVTk!6_0vL=$>;=-eBdi<1lv`OueO-?A%jgSYOyam<X$L!|?{(=l*r! z|L<pAfxISxd=}j+cAO0M(_7*8jUfP&tg2<vb>e`%<c2PD`{s)PX#H3geY%xF26rQ{ z7=Ib|3k2$arsvzFG%0?ZTf%06a+7omF+@I;?AhVT8}hHa+St7t0iN7XC>x_S@|695 zJ2x5p7$mYYF(@mk329ekR0@kR!M4FfiI9!HU;0!{R^L7!8J=CYF3w-0a=u~oth6RH zT`#RB(YRaaz1UsF&*D^m5AtbeHzk4?Qh%I3u&TwWx_i<F?b!ChKdkg^_qd)@Nh9@A zPYd8-S$o8nmxu}5`7!s{l(1?galv?!)J9|9eSvx-kmcmZJYawBE0m*@8FrlDeMBo@ z6?9yYDY3#cU!9R@;e%lI58-7iZCge9rGeC%!Ekn;&`ad5<tH_N2L}^h?3V0MxqpMp zDID=xq9$sd#+%tZ_K`$Z6m+_A?+9*VAvO<vB#`Cqo$B1Hf@rLT4Z%k$t75owg%{yp ziN)I5JfGZ^Rc6^~z;hAEVZk=Vlaear`c6Auus{`SZS!nWVt^dgnZ)%LoWok$6iiAD zl&(J4V(?-GMp(E_E^ODp3zbe5&wow;SwD@-he?u3vpOj}Kf#H0E8G*9#5@_<+19)H zM4;P^q&4%v0+V&4mRy)@+j){D>HP1Wh8w@rgbv{?m8OL=7O^9rRfHOD@Im)}k;3|O zEyeS`mTeiWH+|r-tRR}uxCTiMErKSu`u!#ez1<84^*SNEtiJh-Rus%v7=OF6Rt7&y zGUWx|h50g9263(2fxfN6t?|!rp{BpD3qIiY7omHlNm<+34g9)x%{HaYqQ_v}I^3qL z&9cX1T{gV90)J-1XWg)dU!nIbIB#nt=#|j4(g#R!A~J+k2?NgjODiKNvC4{8`Sux- z^m^&Y91r1NMA0h>E{VGZ3x5>)&eb@=El3vYp(`F_QsIo^W)`G%aG-xVJ??ET{=U}> z_0Bk`n$0DwLWcqGkLcaO9kc-L)<aaMo2D}lftT!n&IQAiA}8dL24$j7l}ilgdaX*8 zQyR^JyAK@!J?iz?RocD6jdt}e+^f)4%Cdshna9PT9iX;e3G`hB6n}8%>|I$CXH;VE zU?GQz+262_sA1xSN-7#0;q1jIH&_wXLoUxJYBL%+sTkb`38H2daz1H+5!xQX6Qiv* zkNqGkw$E@A1=pHXZBkA4WK|ll?_hTMT$1G+#T;pQMo83TFa#H%G9~t!35HAujy%RJ z+QwVQ)u|xfZSW{(4}a!_m96(dS42Sqg>i@T5(9~TBqSsmvNYHkf6mihYE|#1l)Y*% z#atD={QO(s`{x)9Szec>=Nzm8e=@2Ycl@1gkW;9ws0rw_c)7@N1_<~|!7AH_-r0(& z02l=TQviTB0DyM@;0^%3JAgg_Gy;Gg0Fayj;3NP%1b`j@SbwdkOXD+w*;&A3@ba;a zs0w--{~}Rk+av;p^Zbqz<$qoigaRvUy99uTBVghh0FY{u6{}CEZ{;jW8$O-PLrd}k z<lzNSy)6VVq7WcV0V4_kRl&Ts@>5Ttdae3^ItQTBmdBBgDwr%mTk_WAqw;)Spf!1F z3Q_r!rD#Lm34evCr#@t~EbqKReZgco+M4H~ppxxViT32(SE$dKq@V+N4;AX4_`F0r z^GX%!vnT&2Xl90M5b}Kf6<9OL8X+cBPtF#Tr4i?erFMoosa$Iy2~$%xE)863$PzwD ziE+;IXwanYT5>W?jv35gTL^DOTTplVIGComGke(@!hf)62vzd;;3>{4U;{M=e3b^u zhugv2cLEF+RQ$|G1(EiaZaF4HWr!bhUFJgVL(k$3uo-LG4A1_l^hy6MM`7fOWm(j- zHZ>{>pm+lm{@Jl3)E=Ng-D!X$B&kz6)jX-W1}D8UJ&5&e2#lH5znlZRMaU9f3CERZ z6%I(oj(_W%;;GMFb0VD~sjWX<%N(Tiqmp5MFax2-idN}B;ecH1B+ikmSn2x0^f@%4 z_4XD)cxNT5y!x5n{@5=%HQYeK3EqTk=q<5KOHQkN=!?*f1>Tqdx~|-k->NuJ3QtC{ z^a_KsT2Xbj>#Z+SxLGd7_V`=(&aS(;)i6kf&wn3p>G+((OAJujlIIz|9pSuc4vSgD z`0SsF54;CnkFiSK>d;~F^jut=w{PFOzMk+hfJfSC01*<OlE!T7u;Wf~I)r+HL+i-s zLz{!2_X-U}QtM`7*k;#{dR|-KR&LzA-+sa=f4l=6ym4w>ucobxRJ5r<Jf!s79djXi zFMm;P$tkVfmitHa;ouJSyV|<Q81{Y4t!N|SZe6>y4l=JCeH5zYmE)>xT1pk%8kIFI zqj6F&3emE}y_2q##Cpa{&g)ve$qSSOOI83!0|SkQ5OsYVQSk*#EzZeWDfdcnM+CPI z9Sl|U%AhM$m)3N=;{6bugvz!&q05tUN`IQr7Tw{rtGpJp8dMMGyuR9LSy63@vs>Tn z9Ij9~jdNL-qPbUup7wg$YqZDr35+Ii;KBEh@>;vl`g4d*Y2NXMT_C0TazC~XM$O9F zdmr6VGpUC8o@0cOw*q_brP~)If||}0KQWP_yy)%ZU?5)+1!+`_v=zi#&DGI8F@Kkt z$2r}kP@G()fGU60@sRiZO<I-27{=vmSzt#gCfF*uO3JWop;MlRMN2lp#Krgw8MI8d zcIWo#Nx3*j%hc`4qIM*&Qs9dLi{v-=i=V}5$Jtw^=`s23c;;I)wly`113wTdvF%!) zj0X~eHs))NFZZlq0OKi=m{8t&7=NO&eK9H?|H8VHCprl2m;z5~Z4s6v@y<~xZKcf} zzN`sOHCI<qfI*5Bn>59c3GJ=SB`L~mAXgFpDH<+PZ-R@>Y<IOH+hi%6@Ts&-p)C3y z_E7O7-QAjGS=wqtvAzHy{6l1iJ%B6FRh}wEwAb@;1Q6^#ui{=kCEuI4J%6}DT}2Y5 zr{hYWo(h7g&OT(TraYL-mcyERJu8uHb3&%9uvlzME)NaE$`lur$j_IeIvRN+XcH^} zIE5Ph$H?=*t6maXXNyf3`OQrM08Ie!397TiJ{+0kR`|z|3knw>`-A}JltRrS<5Yhg zqS|~+mpU30BRmKIfja<rOn;j?83e?_qD7bQxEiVxF%&kl(*lBC&E`d7Xr126mHyub z5v?O}<v^6k`n)z5eI%k4q6;ty2!J`0^LfpG>M#JE-GN*HC>RM0UfgN9?GT;<u~T|S z%LzbBT?O5;#~f(Efce73c~Cu=F%U*C0b#Tp;K{#S;Q;f~ddlf(S${xu*2@71M!>j& zoyHTOaU5XBw<_}spi@6W0c9JWfU>Q>ff?HXWs`;xuon04xM*OZf)GHNH=xWK2-?oT zUM9nN!O8_sp;J1&PyX9k#=2s-5xMQm|E*`)d1pOkZDu{DJFSc@Ws{>8Z7(Al`}Ek4 zg;|x|WW2eB?q>VvXn)~A<^Br1*}LPLuU<OvrY;*_+EzAwu^m6p!gsE4(*!c>YBmLN zdj?8L?KQkMwujIy`q-wWO;Vf;lClk)lmoy?nOG}owKGf}W<6wo#K_q^R8ARY>~G1h z<5<+n`nVXTx-*>Ed6)w&iLMGN<+&YtgC9AsYBgIgY_NMVR)4sMt`cd3CpdcCzXYq8 zdm`&m6}&Sgp{<nF>C57=i!fM`3{j%h6_s4gP_}tS->p&xrp<7T1lORaY*OhmQx!F| zD6Wnm7;1>ipi8GQ8#$#I-Do+Y%92Z)gm3u@t%qmH@xw@nj(e-ZE@&pIuu?d7nBBN+ z<#t)_p%xM$d4H90MIa45IO*Ke9>YB>2x&Url3^mlFVCP^bN31&n~t<RHjxgkaHe^3 z%>@sdj9Lm!WJAk(Y4cnKfk)F}Tx!*BQspTcg?m!q)N~S;SS6!Ec}1gf4+>(Nj^VPZ zr1AE{sqpfJ_BLh&;JjGCd1C?R-32(W-1bGwL^xTSMt@?uu#wzKK@SETePnaLO=6sO z$wkXF!$E%g4DXug)VjCGxk*|qJ+XJII7HeL6BvDFLPpo2K51ick@FWu*6Qeln(O(f zL>iC&CTmGDhF695ZPG4&x}==Ou)R^VBp+27(?R4;q7h9h<B9+GeQuK9&gZ(qT@8>; zUGdpXn16Wxro?!ruAF6sY{xrZ2ih1b=as9gF#IB|;|q_2w!rT8%F~s1FGY0Z@fhf% z*gfOPx{B`QJsld{W%L8Aq!*z?uAsuO;|}*DIsmKS^{7Olpq$ci6!3dLtc+K2iM%Em z)!_j6y&6_o$8I2n%zJ>|XRAjj*K9wJ)z^8U*?;kv`yRcUy4&QkX^JAFmz^jKYuH7V zjLY?rpQpHYgbu#q;DiUQOsV@rE><V43@&iSg)&x}R5iL&Xkz$a5$A_+zm+ysgMKMA zsb+AD(<fAJkf5s5?fHoytYvY|q!jAKYUeLrEZP<e_bPzx8ZK4o+~nG!vFoRc2{1`Y zMSoUj0N3vg38qY`M7Ha$9~mdXqzx%Z+D9%JeQVul97z6GUkuPDc#3Gt^~2+Nt-GU2 zO=%$RDRj*G$#FufOnpT)Etso~4qQJr{-{;DzC5%j7^bxVgb+p)5To#Sf#ci&Xsq_t zA*L+HbT{7u@eSGc+Yx`S&T<`GLuHVP27eB2rT}5kbccTj;0FTysb|4iTSGv!ivnU{ zo8_4HW)d(^um88>_HEdVP^xBg5MH#Hw)H*;F0A(7D8+-vR5qJO;<)g><@7C<P8K(9 zF4`V$4qO`Ej?@n#``$j>>eVUYQh->yeHK_ppALnK@4NpfPT8<jy`1*j$uQX#bAR}2 zr5*baNWrrfaICXB7h>4<m|Ir*#NDpv(mDo!NVE-vw76qH(pmp`4IMx5s{^?M0--H# zClkH)9cPs|;G(2ixrx??DtTqQD#CaAfUqZ~05MH(Cv9~G(pEr&%{hSl5CCHUuwpHy zrlW%U1MLKr^-846Yf{2H-gC#%SAU=?UdeRDHd70DOUkk(o6i#qD8eFvA>iJAO^0wK zligEfMVcN%O^}A!)#@zEvBn(16%i8K$YhQuW)CjcL~`myM&~f^mcXLP3YTXh;alq5 zS-|^KaJ1<RF2_U)?_7{#IylQ-!8{OYH%W>uyw$cIRqe(*xu>?hc-2l~U4P{aaPJ9V zP0*HtDv6j8!}4Rr#Z}UV<UpDe4~o`;)teL-EMw8SO|askkX`d-+Q2o>5v|;0R{SJH zlJcSmNFu;r5pB|X?7(R4Nu*^OlErVQaIcAuY?>6O_6A67@bc03nly?Zg(wxwhL5$U zaq9%<n`~S1mUg8rH-h@N(tnn2|AzPRf_}p>nl;;{&CfSgCi;JbbC>){cB`j1bebq5 z^MlkrSn^UZY(q?q?b}LTZuQ4sSOssWH?@tB2BiQbPGu`EPH!t^Yu8qxt{nV@YzLf? z)F0=Az0e6ahwa-+(Dnbl-KQ1uds`@1GeAK+kA>NO`mw@e_>+)XW`7$vtPw7`S5H>2 zvWKSi3@qV_L?*Ksx$Iiu?HYU~rCpl)Qcxs3V+FSb#U+&h7im;yA<qMS414y+U^qKd z=p{PfyJ90cZmS1Fn8pt<6**wxVJqu`ngeu4(}WtPGsj41-k?Kj)`6X`j?ZGfVh0QF zH7HYMs$8m50vQYJ=YK+MgA7%o$~82(o>jy?DfDkJr%Ib3LsN#CW5Bf`61X-<`PlQ5 zHJQz9X<<k-j4E4#;HS(pH`owiT=d!4T_p~B$%cbz>}BE2Xr0*Iy3TqjJ%c@LhVXv$ zzF0|JCsHzEkizB&1EP<`%IG?hfTVeztuK5KeL7Y`*WNc-mVa5mR^j%}eRc6Qvyh7Y z@>xS@5iJu7T0&06Z!j!5twQ4lm00a1ASD<F&f^B)jYq2hr}z@^f=>V`0R?#Tqkv<6 z32>Eh4}g#h5D)-C86YeIel+GpRH+9DAqIeZ02xNZJAiNsAmjrCV?e_c&`AJF9FX8N zGy=Xew(|uoFn<cUGYW93@xOo$z^TxFz^D^IXD*=DaEAa~`6huY-}ps<Pzn&FUaq*n zZv&O@9e~;dP&I%FqAn3bvCTm8v;GDc<i0b=2*^pCfSkmDxNq{DVbU<`BPXI()8{Av zKT%F|A3VXH#axQkA}aWt1R#5W`4&(gx)jRl1o1FvS%0YQcnN)1JsI2?9MB^?QVuTM zO2GKD7P9EK)_*cQT=;)OwZ}8)A=m{5gnbQaC{`vahPckBPF1e7hcX^;{4ubG6Pq&Q z$#fOV%BkcSrZn3TbG|{}TQ20B=Gie7(9JqM=nbIA4VN0YAmPwY!YMM@rP>B6vvgk5 z%(jeIR)1N2%)2ir26G>Xt4A-l!J3YE6`Dx9mpK<L=9>7`1veRam6*szQF>{!Tm>|6 z!g~QHd;xI6S8z|FffL>fIN>#c6aGE-Ao>bmtq{Ok4uG}%fI{Xqp@AF`?GE5308Ru9 zZVlKD0i=AgfGh=IYCuT=WJN|Otr<u}`8$tpCx5?FdA4mLZvFqqKq)}m2JniklE>O( zd39*LCQu9FUYy9V+q(V1*YHY%;)l8^t!FYu3jAM=glOqK7VYgWC=7nKY?f}Cx7C-f z^5psc<vW?x=fo#wW>kGms9UPr&fh(|+%HwbyYw!;&JdmCZJ_FI@~YEC_jnR_>rVY& zg@2`vRB@I3o1f^$|Nkn_|EtRCp9MQ%cRde&IO$)fgl%3cVp{h_9=6@pA9;aqJ|<5c z3o;by)({6{IEg}7!>&!d@x-vJ#tl`6n}0kybn>KV&&gKu^BYDt9Ddx;)OeeaH<_Vx z4$IKa^C#z~%lY?DM)`jDC@)`#ANumgV}F&87FNir_p-B1&wLwo^|G}EagAnkqfy8F zs$!Am{vII@J@P&M@@MOw-D$M-_gHG*7FvGqS_pG*A+7hNMT2LTfMVZL7>4X4j%znN zE@gNd;4{4qR(q;)WN!_BNLr@%+5v?I6a%1;qutt84oqhE+;4(`6IkDnR#&%ltbaeO zR{6=U#l`$MG?knM`Zo4c^rm%N(RFB`ZTiTAy#qY3o2b_2vEr<j*P(%Fx1UkCKerN) zAK=R^Vnw}N{0#1avR=;o^3#@h!&wKhi%+NePjlS@cfqrkM~GO8W|pYZL^pq%t^Ytt z<r986zJ*{NtFk}pgLZ@WWLI$5UVqCm&)M7KheJF1fBrpwgu-@&Xjpy-Xz+FI`StUx zmm!LM9il$`VeJ09;*_agYk~Cx!?;uY&d_f`;_H*3)%jUlQC^Ft`$tH_pY->pv$3L- z4JCL~8wa&yDgL~fdTKmW^l(FIwQ&mF2y{fYaS%Yq;AUzs(2=mAGzCy&mVYd9!<(u6 zhADJ4fB+m%0AX#WI!}Z)bZw@FHcSa3R<&E_;%M#@p`u5CIsmx?Am8F>?*S@fGgW=b za(po^WBWZjj^@2&*#i7=0D3w$QwN%-1dvs2*g_l)1<d)+hLUO1l;HmA7~bU0>G=Pz z|DkFQzI`TobdeZ^+jn(!8-F}<?$GVMaz@!krD+7oOKQ8-)UI5~F*$q)^ptQ$Ly@}b zlc&s#k>wqtM*Wd<i|-v5L;qn|ywXzgk^Vf?r_E;L6Fkk56wW7x&6UJNMwzQ??)`t5 zb<41*zOa3i?hp`Ax<O)4>F#b7kfBs0hwhLD=`IBZh7`piX8>uWq<@D2Mi^4MOG@&L z{@(Zh<(v=a!})OLnrqgxpXa&nd#$xs?Q736%iH%+s;VEvmRqmedkv^UZ9Vjsg@r<L zFRFUS?k(0{m@UuJ{p4u3J@V=)G`p5P`b5{E_^x{y-Sbl@B<&)%*C)0bXpwUf-+LM> zY;IBLZ+zbicR89)f`7~El?UhYAG!`z*|Fum9xtJgj~6NldG|tJ9SQV!3A$>_&Mw>a z)C;=mw<4EK<LrTR5&rLch2lP$&&B)a_Cn)60q5TP$M?F#@tV&i`=|Hr#qk2?!u=z9 ztEsAME_{}c==``?>^*pwYw7%WSZqA#m&fV+xLIsHB$m_Y{C{{^UVBh3|Dx+qk{w<y z=ou2)SCgGwUg%K~+*gsEUT*J+6b#AmhxEEpQPy0zEZ@?duk1`L`^7HSUsx{t35BHi zf9yS=>9Fw#T@In6WDA*H4)1X@la0O5OCY2<hx-$GuqMP%pEvkF@DNUjp*e^7;~i<x zrErCGFB|p934gNa&CM@A>6sT~(P^Dr_UO?OWHD%+UMB8I5G>G~n_AZDu@o%Oots&H z)B_eQ(4HG#cI>G(mjz#Ffb>U}yj+iTmkR|8)LI9e6!QE_dc9&LUS4A#1tutPS=k?1 zEW_!>IIV1s-Yrkj3A5eKpi#=Bysw8@kLDWvA8z0!<bQZo)%fEdsU?_FTi5y1ZjdCH zdI9SE$&WVZs=3=8kEBP{=&CoEY>x;=E$Q62<lh|eESJ!^@yOd9(JiacxpB+yuURLu zOSRs0<PBZjov`n=a9%VlnMZWod-wcsBSL}Z`tuTFL^WwU&&8J|fe2yJcCL%!CDMp7 zQhDBsuYXG-5eB64+!tIP(g_Ac@_>sEOC=F0M7OGkue)OKSnt16JPhf&!aJaC)i`wR zVkKSR_W!iR9MMR+z~f)JBorY*y1?aMvP2&7lhlgWAG#zKVM=Pn?O(P;8<9h5#dE>g zrGqa_)%x;~ql*+@_@1owp=_5Iekk7sZkHF{7=N9t&Y^CX2%Z7Gg`P!a3TYMp#gi^$ zJX5;siY4s`0g@0^cl@q3{2Uq!lf&)^R%#1%cgL<WJO#cB>@IHnoO>1)?oYbZ@ad^6 zUb?e)5l4`c0N5lumfl7DCK?rzv~mY@v2=Mv*x<(y`w~;oIunfwNM@X~`c?EwMeoxw zaeq`*1{GXgrz~~g-*I1<c6AWl>Kz_L+}*qWwB-FJ6iG@!pReSu-bHonkFP-@j;}$S zOqXxwPS^D$qMl@wN3vy!DWdS6h2>#J1c)dkUUF_Zmjhrx8lrw!U+gB-HAWm_bx0Zk zA`LNeXYTUB|4CzE?T*_;jxRxdoxao;VSi0Gr+O&R^H9*0zv-jo*?NRE?VPf^P8Srf zk#0`i-MniLuaSOE=@1lQO+RPk&f5jX_oJFKc9-ay$3MS!{eGz+B8Yfjs3~QsJ!0|x zb@oyt{yEKc@{%8Z2k%92*9|`9Jz2v;wysEgN-EhGhmX6u@l)=}K0D;=D#1^ol7BTh zl<Zo;H@GKjbja0}fp0)1YkVl`?mD5i^)2eWL__vQAsld<PHgo&d-#UV`zV1fx<ZY8 ziUZilrzu48g|6JyC=7VN2KXY0Z@SIkoCPW)4w`BXx@rLD`fQ=T+3xJm&-yvd4tr+0 znS3$({9$uJXy{z%)bO*o3p!iCx_|2J$NZuIk<8%@W=I7*PB)N7&4K2v6GKw>h^Fvc zic>h*gb?ZTq3;e4M}>yCf<2pTwW>wxhe#<OXKGz)e$!EBnIkpAQ~y|MP_s|nW!b)9 z&lNn{21e(0XdqeEM32|`sh{x(ssIiaqHSYs@-kd}8)l~aYDR{|<6XFBiGLa%PM6y= z_K9Z-F$c2zrgY{@lsG9Q|2}ff-k$LS%kW+*7T;T?h(EmQI%6d_=Se&tLZv`wjTv?t zWWQNqmEZTi)EpQ!(9W2=GEd&?b{?t`>OI45;GU+lF^_U#Z@`~fx6jLQacy`+o9<o@ z&<DvW1}ow!swi@j>PO|CyMNP3ADV4;ayHn^zIPF55SkXZpUrfkX-Jw8e={5ABG=$E zjk3Fvodr4<zBbQrA#a$PF|jev+Pe$nQeP2F!A^v;p~#*%ngosc@)hnGy7d)ViH`BU z`fh-yTgQeH-3`)HTX78A+N5<_1ko{!5ezE{=LA=ObC9Kc%vyt0gMWw^w0qDOx9m~u zpRd_K<t$R8HzuA4okMApHIdXX)DYCr)lk&1)L_?8)ezS_tii9Lts$>r)<^>cXw<Ue zvS2acG2t)~yu*>iktC5Mkfe~rmL!(Mmn4_8>C(*k$PzQ2LRrFkux##pRkt_Nz&p$0 z+)}&uy@6)-=Zx>G*?(jg#s=tg!>ieRm*)+7)8clsu`aj`r_-p{=6QR+ok6w1?_Km7 z#HLN`&68Y=8;qyr2OBtMNt}mjgFm=DY$%(S?`*)EedC-^Bh=HtHhb;-yKZDeoPJia zL2Aa%J}=3IY<Acgw?(k^h{zy8V>>R!FIe=QNI5%%YKdFXrhjuNj3>+#OAmVlYeeXW zjh$kT(c_y9d6;qzDomV?>%+rwdi;@4nRq;r?^^Zl^&))-5y3;NZi|7CA;z$R=|)Za zD?91gM!(0mEn(+a2e_BG1Xu*iipAH=KMcJe5<H-Ifc=2@0se!eqmr8>1r|%5mrKy6 zA|;exhVRxsr+@bkr=L9fVbgaZqhaoz+<?=7-GJ+h<;&1s$5k0@R>$a4H`6#1gME%m z0m+%IGX45-el+XVy1VcEhBrzOr6qFEd!JsH{&~cfhv&38nFu}Fc**xphj5D=`0g>j z5Ng;(;nA_=(6O;f!1k2H@8V!z*Sk<3vo)_e##+0O7Ju9U8#+ztIPMSlU>A-qc}_9n zUJ@g|rmB?hXFoNlc<rI>W}>!$gg2vaOy+Lu_<kMK@ohQNP;wm&$lfm;#`dBX&LoW7 zQvU3gtsVC`NI@><QhNe9S~ot>^V^)KiDy~mjOOUI{ik(5uI;)<BAi1~d4RP&`?vU^ zBF9wrd4ClS3Y_x*3)ZZNolF18+slewK_gSGk3-8(?4MLRg__CkAJkQ>JJT3UThozS zUVOnie6}3@Os<LgG~-c51>v`Man0}Rokf{=1MyyQy~-RqC%*YyipvT@=`NG{)3*bH zrT2EeO`d?eMQ+=lNgf;rXNRKXn{(Wu76ca97Jv8_^$?gD>5A&1q<-C&*I8aW_``z& z%JLpV^gDLM#C=!wm_N$Kv$yYhqy8+X(Wa6w5xk}R9lhP#sbbt8G7<3o-k0Vs+Ao3O zF<%IjjTdj<$tC&A;`-AWk{&WCPq7^uioq7WBOhCjx@CF?Ryen3=CdBP4k@j`*4V@> z2Y-bLLmml#FPrPR4Ftvyon$HYS38UAEsXW#Juz;;!i<C0)w%fc0lB<K!IC^<mA5<w z32*favK=#c#q<hH!VL=Yx87xZg5R93YHhUW&f>`jpn2Sm@9S*j@%LhLV{zA-?%@>5 z?(I=FSV%9fZY{YTw`LCy{0>B~YUyQsvVU31uqWa%;Jw;$lmtwSV(0(^d9o9ajmvTE zu<YJcHxtMQG|#Z^;^-FaW293s(h^7>1E*YBIov|oj@QR0bsYt_J+^3>!+{CjfDB%a zwgb|y?V`!-?VV4X+Pe10T@4z&HJ%4W42KEQ_2jy}!DCvwv6?|llmDRj5x(y><A0Z; zVnfMB&&D#p*l<ulpY$917?%VRq;Rz#jc9rdA%gHh9zY}^c#u;3tP#H&V%5PV&4)~n zA~|ijJl2O^cOHk4glS;WVrOBc;PBf>Ea)w`PIiVFU^@YqMobP~JG>Q$tk3G28C-I< zb#HhoY5p)DN;YajVE_LYcV7q5lz*YB!-H3nul^3+`>#Y_kzt-S^YMw-uSU~*-+Jfc zd?5MozUWeh(Meo-6#E_4#l6S$kN;b*@6{01Oh?GBW9<^td9_gn42Nq;5J{N688!}^ z3KI*1hxvq^hLMLQh3SQjgb9T~!(1dYBJ;rmDdQnE^=DVmGYv8?BI%`_o`1W&Ef1Na z&i4cp;oKj(^YO&HnD$F&6uX(ieWRP^@2cIoqpkoK%3<H>CM7i9P#&!;-1XAgj2NAX zDSdOdkSU4WLVtEk){1-n(6xc=e9FvbZ_JUg2QCC*hR8v1mE{4p%JK^#=a*dX-zmFC zzk4$n^$uHEe&9AApl*?am4D4sur|=;oozGn$k(2|D}S4dYU9Z#Zp#p^4-?=-8qjFX zY=-Q*ZfM?zHD{XoJ#nMCd|XokU+!S?d979kd7`SyUn*CXudC`SUl(*3j`-1i!9O$Y zRu0X3x#~=luvh(_JKTaiT4d?B1jK>h-HAo+*|^B5#bUs4%60II?SBtl=RQ4ktHI3U zG&#!EWSX=?kpjkFYYb=wz{4HLb$-$A#`s$W<*&Zt^*^V1Q{cDh{nGY%FWs!=zG{*E zdA~&RNBt_*&6DnUBs}I_LcPB;obr!&DEs2RGmXry%B@iOm0#Eajc^3G%bO}w+z+4O zySs?J`l6`}tIU-XuYY{W0oVFyY(|qzlO2-f>Lcvuj4qn~LKl6o`&8kTLI%ZUo>ol0 z%W}<)u2cSlV}l5RRgGPhkV&&2DfleME2GOE-{n_%Z=$a=>-F**DF1Njo)3gQilguP zxTIZRk84mMlPWtVrBe{(PJPZ((DS=XECVn-R+=zshb-%~M}OMd=lr3)`sh8isN0NL zVSnz~r&EQeE)rFxhB~nxkL5>S|L#-?nJ|-Wuc7=S6w2PQ=S<_bt70YfU1Gixiv{;Z zQfK2mzlru}O})y_obYO#NUBM8s&-+%cI^fF`!-LOntJk&eB9R(-FChD8b>|*{K7X9 z55RhxteESRTYt|!gHiFL`ruK?+y}?jn6`DGW3DCa+3(~IQ}2EWvV>wTg+tlzW@mVA zYbgU%c^19L@M7^ke5}!((=q3HHu02-n)dLi5v3BeaG+85fzbevC-+UK-N#fB<&p?~ z`=(-NFZGw1CHZLp{-yjh`N8ohij=yMhYyRdR}&LQ#eb=&Z1Y6QdEd)EcaN4u%CDB! zr)prj)xrMghcoN3;H@&tiZhMbo=OPC#Et9{&eEIZr)fg`E*~}3hN5H+Z8@yDTqRRZ zglJ|m8g=jYhjDYHiFo3fj{a~g6nO()OKj@&dfz`2HELTZvV60aI5#Su)-P`l(;*93 zSRx6Kzkf>I@S{7%36E)o)cbQy$F!z)q|*B~#BrRl7shPK|DEBFsfSd@VYVD%LNvWN z);#S>h8?|6jbsCcdfa43KLo!w8<a_H5p5$WP5j9vyDG-L)kbGKFiCdQAjG(KWit>{ za!9CdIH>doZ;-0<NvB6Aai>=2qfW=phn;4fQh%KRo%o$<on?HB8M_KeI;h2FX#X!~ ztX;faoOfiBWRfH=>DNd{lbYV*Z)h<6GzhcC>c9!Xy{5RpJ>*>y?pzED#X84gAD4fO zRW#n7@h9L|f1NfzyE4o?Y&J|Lj1@}(7qId+Bs)K2Yb3<FugtdNMaE6*(FH$XPdNMe z1b>L3T|Ys?DNwJQ^kXn_jjx@xatM_nY1IZqma>A<6qk=2+h^$uX&DQ|FLCUY2SN#P zBePP(dO-B^6<;KTEbizg$zLg@L_tvJP%`k<JNA?k4IcfT+mrrVU7S{$l^_Zg?3BV! zJ0qQJBIADInP!ITOOF#IWrmAKDe6DecYj;FzxkMaV@B~Iv0HHW;PKC*p}@oj>>7qD zF+&uNNhh3okiXNesX=juXc}*tWICxY2(pX68wH}zOu?RALQ~ihE@4>^7VYBh5;Ea9 z$90E=F^462Tm%6-?K(|5eLG!G(4^Cp({bW0Sgg2bSZCNKke}L}{GA#O^<*_nHGdQ? zC|q$YladjJPT=4BB5Fls>ydx(hejdLxlo~&$R(uC&FcXtYy9sU%b#OsNhw7~M!S8l zqdWn169C0#LHSs0S?o=U&5Yjj`x~sw&z7XBPDd1;+fBP0kdQHgLg?+Uno|mwomu&= zLHUIngSV~<yNhSt@BOb^_0~2d6@SQYwVJ!Ay066nu`F-5<O&uecKwk-5!+zEV!sq{ zCe$0XOK(4N>yFwLwjbG-0%doZc^uQl54&J-k``>@RuEKRZ>II0_oLtQ;@^I6YJa${ zmDYhxc{=@$l@U~4?-j5SHbnEnp#K6(=YS%NG|R-Ub0w@Q%<4(Ik2EragMajkjP3i8 zoToe9QT@J3NypQG3NzUd)YjLt?)4g0@zF(T=Dlhq{ge(L=@Q;16#N65OhIcGT;JaF zjCc-n;;pZ@o5>d&+W%_ywchRz7&;MLd*X^chx@jdu;xqHc9<(h2c3bT-_JDr<TX=0 z5s?1!(@G==oIJ2@9!QD}#D8waF2}LMIkWp6yzJ)Ok=^=c<G7$FM^5R~E<8sTTi&l8 zHq%3MSfbc59REqpt{XQQ3yeL16@(R2_ov<Mvtwx6&*SNZvcm}8ftLGkM(Foqpl%Az zoGFDdYjPXaN|B|E{=#*iA{@&DQ$G2oG8;TMFq9KNaJ09+QrP)A^M89Zcgh3xwV>XP z94rRf_wY8I5-Gqsc>Bl&Mc%3-V$gX$bRA|Z*fvWzh3$hCjOB*A-VzM5qP!BTHl+qF zFm}P$eJJ0J>^!{4^?NY%GKw*Ul%N%Blk@}O%jGj@fY2`eC-Jj{=Ru*M1<+ZyZv$&X zUI#Z6+CgA>T@_GGFn_}^&i*6$lb<^#6)f}simAiK*O{HsTpv%=*UEakU@$ZQieud= zO|VdAumoC2xri4N6hO%;%5~oFB<oE2+Adc8<tFz$>c;PV%AYIk8X%Hfy?MA_&hebJ ztoSNLzAHzbM?O)W(4Xottvj>3MCHN5Ey@e?U)on7!!GMc?SESa*)2aRA(poZ`ZG&F zZ*A$JX-a93NuOE$@o28x^4FOX7L8D}(f9UIL0fBk`PJ%oLiP&IYFDoXc;%cue!osx ziK(TNsFZZuwZ#7tgxp8!i;2|KnRRD;?RX^_mojOMMPhfZ^srO0)36i1+YWr287%a4 zVbmDS^zdS1%6}u=_sHtA&BS)r<n!tm;{E>VN2dH)BXI*;1^rB5?*Maj{=Ewc4xJ<J zeoMu)U3vd|2+GGq?tfdtD`S;!+riKZ>R>oIZ~Q?9WA&}Lso}gfc02e3dOYkFaBi2H z<%b(l*%~x!MtquFZ+9RA68~XV&pw(<?ssOZ)X}N%hkufyb!b(w#ox46%kk92=}Tid zSM|bRdbg=EHx>}58Rra(0?TvbWXha%=BIh(?hdpp{HM9Vomv~51G=ECcZxb*oiYcd zo4N`8Hg$tiv@SF!M0|FjXtgFm5M!Rl>F*Uz5C&kWd0uWq9nYf21+Ttu;)b50EUsSD zqbXZ@tbe7%X00RDLUy2^l}y}%A6T5CyzRwCbnQphB|+k2X7%`^xkA1sZX-mk{<*CF zIX-vA3&1=Rx3cm;Q1J0K6fNZ;z`dl>sU0SOBK#F*`X=}k#eNmI^C7s+=ka0S&SpnN zV{++~`RC6Ims|m-s5ne*V{WF*`*Euq<BMN85r4kKdP#6WdXH`=k|(S@tf96&5L6QU z8aCDb82zhy0d1?WYjyRS@<QNK!yi!1m|Gj1{l_*G+-2<M;%PGB?16G_skdX50X6iS z)!&S6Lr22t4O(yyJh!bU&3Pxbp_4D%!1`8gVhr4q7M<jsjbTpyrK;qeWU}z(hTyhS zIDe*^0+?!6h8npAfBe_19al`V+%inv=8sI>f@%EQrYP_ylsol0O~bVP$%bgcw!%J! z;rmw&nPIxJIQX@9uXUTxNXYcqZp_VhYbl=r>&USil(~Jx*M(*VOm|9Qx)a}i1Rw+Q zoj0pD0E@M3eO-`d^*{3FJOnJ>B?RuYz<&Y-#HP#@FgJcXOv4S11;c~kzzAU2Fnky; zjIb4Zlfa)A@eo0O$N=Gl$UsOT_RAt<*dthOI97z-I44-%_$N3g*rJV))<5JdOpF5? zh(9xxVfNGVI2A+{_t(r-gnMS>8C|#vMr0Ts%MY6Vu&O%{!%zBzZCCV@_R7j@+<#yG z)ssNW`U5yqk|_=f=l!x~47NIUA2tsb56<6}(GGVVYaN>oI~yw-#{>(tBx{{mFT@X+ zZK*=HRQ1ouC(2Q$7>?q_V!g$Cn|!wST9l3C6;54|hlwH?TLS)q*tM^Pp<Ee+nnE6c zKF^*q_efr-Lo+ewEitu`tkLobL4QP}k<{AtJv4#5P5PTyOuz0i{Z`~tDI$w_N;de4 zubXCUX#Q2;eaoh;KC1U>SJd-*SDzR{UKiq8Ht8Ekf73UJ%01sqy8^hiP|Q`S*wLFx z0jfMLEY_Nu20c8oR+jnudySJ5Dw+P@2VOQyW&@JT5^T2qKQO(v|IfF$V}Gq&(7=!$ zfn~^QNQq#5jP>~5;~vao+m@tt;-QhDTP-wzgxu33GE)YoG~L)m$MwO!#?iw54qD>Z zUZ5s3{PS+@0q=(mFjK?12qeSqmtDt$MaN*$LvfWB>qNkWWt(ZVoml8m_UJwiJ3IRk zvDNdzihJo(*IBR#;8harJAd#B3{wVPMZ)l)H(Ed{=#3iC2zsLjd;+~u0TM&!IiaN} zWzPWkGEhYGDi@|42jp{HbP&qOnVTW6HsLbzGHUtLZ27=Z0G6E$%L3{cp!uQE84W<t zLrwD%cw#AZ3W(>pb8AzmWnK-}M2b6%aJiJAiZ#t4a0jHgqmYPW9)CPL8YToxV0WoR z)ulJ+fo3#ktHdN6WnkH07#KLDga$y@xb|$Iz3B~xAdk{LRBjAR4mhNSwu1I%G#G%s zY0Xx{WlNb6Kr1ALlMt(83@p14)(sR;MDqd#G|?PT?o?k@P_(AZ7x?#5<_RDbG8qKr zbL54YB*HR)0{ZAj(0?qpJs3(m-B%q%nd)l-qD*gi2`bZ+`2<Inf`0-ZA^{G2>_~vq z-fJYl5i01I12;*A2>=BQ(8f?>w!K^w3;SL(ia)(U6_lmfQUuq~x_SZ1(z?<G8Ealy zfKt*LUVx0Xt~5a@84bFiDNXY#IIrfFK4?no$^>MPein&xN`F0TLltl&S=HUQer!~! zg^rZASI`4Kg376&ae+bEFxFFXr!?Q^ps}>GXjB33UN*{#ZLb04lyR1Uvf|oHMs271 znu2_^uAYHTHLtWmr&?FWAaczsH4wShl^G~W^GXjSoObpJ#gK6pgR<h;^Mi`9?+HWO zlrh~#!sVo=HGlL8ZdaOxdg7!H<c79sqf3AwC7>-7&IOG}0n^Stpnw@B#vm8XAPbO- zR%JQdw^XhOepYJy6G(_0bl4L^7EGddN~aJ&4P*fl$cuDxf{HjAfS{y~V-8RO$1x`; zqaz%K0>d_7s7Tlb{EWbn(h<t*DC_{GcZ9=Hc`$l7Dt`th4cyTIT0+~jfO60_HDEBb zO%I3*J>`TJp%&PoX{ZJ6z1Pq-4YUq0s1Vi%+%Z580T~s6G*EJ`Jz6L^2lO+FAOocf zQqcre!HY`UzQA9X!Vze9<e-xao}&<4JRN2NWK;u!ph-N?BvfCji9X0iOQ0I=QEH4p z`y*M#(SLYIekT_WM;Eww9LyJ(rwa^%>ajy3QRV3<70`rc5avf~=QI3a=_wMeiwp$0 z&^cB+x`;bggIp*anc-*Hj$qgsyCWDbo&y5_^E7~_&=Fo}9*Qm%WeFnH+9`pnm7Y$a z-yjzz(bUKVB>EY00fp8mB}bv3BHuf>NI9y&8-F5TuYu-TXm==-!zBu3k|F*K1kz+I zgTqUcP-tmnpM#5>;|;7K999dQ)kI4|T{v7?QQ{fmN+5I1yb3t9R1b+3NAiGNWE`Kv z8X{qzfwNj@QRp7W9y2sp7wrw*<8gV9I!isPLY<|b6`;=2&e~C!!DJ00)MSLfBTLCA z(SPhn0>{0_jw0|gV#j)zZxn0>D5H&Lf--aN;X;{t_f()@HkT@te!92;=#%D^`Ojyc zw5~Kj_L`&;nZDUDGN6nGP#p^96;cM#YYvsd6H3*b_NX1pQGg7(GPppg8fcH-@gv+f z2^MrYU6qlo*4Uy1Ohn0Z3ss`zIfe32@_)QSsvrq15!e~NV-c`LEmsHBs6{$~W=0|% z_W+JtW#Zo-cdV+e9>=$A`P2pd*yXNI84h_$_=AbdE0(NHOuS&>0b^*+aL(Iyj^AP* z-G02&S(kabm{DX}(l-GzTJf_x%dHW#st4;jB>qI`>@06t8VhaMCdNMA>dtC;d4HLD z&)wtiJ44#*nxz=L94ZT-`=c&Ok`P6AsV-W4Q)&yd!;FYZ(hy~Lv9AB~BJ$_GR-Hrr zE*U&G`c{KOtF9ot1G;xQhqPTe_}0|#j1KX;Ht-8+-kBUe?D~Ws%6^f#lo9cNe~Y?! z|DE9>c2^<kt?uEc2sfg3z(wv-Wq*X5xvuqkGpEXjN5n0hg0~uvxh>rZx*p<d5RGz4 zKC5{osqGG18jd)K3;w}z@}SEM-=2nvWBMKw|1`IxuDf*C7XI&m1k#SN+6XU_QFh6e zSB*>lUElD6TA4<wCw(c)^0nToem9qt3)mW&-Dh7Fs%$;c^AYWMH!GLlx__40NOWH2 z=iL&M*4TOsSZ()Ch|jYcX0lcau<@_nY^OaJJC-kQotf5=sBfyyXe>Uqdo4WwYq?!% zL3=PStL-EK9ApIhX->F+lP^^ALq}od8+}G2pcVfhXe&qdTw@hV==ST%>auIBEwlCX zmyq|OP6ugoPHH1|EAe^T$$tbS=wZNBxA|&&PKdC#Fz*4Df5O5!G9`AOc9ogPtrmlP zIM$Ze8o4CofkEzlJ5Tj{+{%q1H`O}VKBfq7ya*PacUUPukLaf~-jK>IG4NY#+Oqw1 z)SW3I60}9ZdA_mA{JE9p0u5pGojVCRx-gP%pUZL8_FUvTa99KX2!GyUVU=i0mkr5p z_iPfL?_a%*XkE$jqdqU2jhH*3`+Y$?xU=5wU7ea|<-($16i{EEvmfF)G2659iSOVA z%STsX%mrfQ6Tch9zK(?Mw{KV<%UdTfOlhm-^cDSMFBT;Cb<SUY`{w$wtaaj>@O;l| zxnEWPn28nTd6`V!+<ytj*@e_#UP7C<eRiG><lCT3f<}2>(cH=Y*+sx0<5=*P9YDfV z|96(3_<7mYr@52n^9!}XyqqiKjkPPFiB8~vX<w(&;@cMa(UDH31S=+~X3pD2_4?V` z$e2|#Xm|vF&T|bs#5n;qcWvh742eFbTWa?%`IxuUo;4_wa({)Kx7zof^h-LXTW<Gu z%gtL5VC^so*zj8PSp{nac!H1V*4kwTWRhBCvKsM%C^P(|11JUD0?t^t56F&Lj2B3D z<<u9_R^`fDD+8*NGyLucqzD`^oE5MgI2;#*<%CT7*q5FTUB`Doj<WlUq{dyK@S5PH zXmPZxmP%p~yMO){@~<`rkB*bM4<4;qjx9{AGHWbM47P-~+5eC!XtmGu;|v;0_rnPo z<3HdzE8sj(JuY~@FtRIWu%NXnSKVqp9TeW0F%YB_&{%iQ5%4MXy!hDF=79THpZkD& zZGLoNs1+;qyzW^3dw6RvDP@4$ql1zFJ3z$0-J5WFq2pUft3iM5xxJPDkE{A))LUU& zzjMLk+u~NjfNH*$7W<5I(>1vstHH&6sj54|=imB@|DXQrBWf!l<&U<U_xV68F-veb zFBdakPnc8mlFtyL_{b3A@4;90(!&q)A-N9<rxP%QG__zpw0?dUO@*?*M;8_qEG&Ks zXAFOwSsmc|sH=Y&8^A2U#U-l#_`&bjnwqgRfBqD{8YUc&ziTwlPfe{+Eo7&CrJzWI zuW&~rvz|9bC_NF(&c2?M7hNjLc1b6VdzpO#*_7GT-IPJ96dx4bDK2KP(A{8x@oy9Z zRZlp*#ZNf5jK&QRQV4_6epQt>p|=MehSy^gE5${KZwP-wBu(*aL;&J3GNV}Dp>pi* z0qa(udj%`{{x<JC4M|c|U$lC2?)Nt1S{s5Q4~UZK^FRh>21W+3r#zQbe^_5cADbLs zJO?u&Lr!S5gRBFNgKWD=@lnx5e-E!5Pkc8MlO1&`3$0q3K23#6vtE@kwS%$)HFCaK ztSAm5rZs=2ISPkJ4o`B(2>}^%$$)t$nGVbjo(|0I9mPIH)`~mneX(JvNS^m7&LjYk zHTOQSP?Qgi<pd$u<WwV6CRHR%@1tVI6T)LwWia=C3?uS>>?k<Sj(CG$FMXmGqfDwC zlir?F`GgGkKsxaRyjhJXMp$bt##6-5#Zd4Da{_<2z4BIJ=b<K;4tec)uA?HOsFPum zZ8M}Oqde4fISo2yDH+(&*R#qpbUbDW(z7yqJ5FGhS%wpmwLGLWt2~rulC>Nf-Vk}a zyv<pC!OPc9NNYVpclSe}UAAsBUU2X^c6ZqY3BnaYQaV+35s%nM#8=6m#)rl)2R%Cy zb3T7EXj>LwPtgBSOilY(Ilh`~j|{2{d>&tovxfs+-lnO(u;**1r?noUyXz5Xr>K*> zPb!v563fUVb}x_wm?K*2Ah)USAcqWd2;K~I2u3;-lS3FWopV!6Uc^{Lt`%II1j&OW zX?CkJsz|6XX1tFV4|fe0XD6p}A(<y}(Y}Aw0{Dq+iaUrPQ;J85b|52K3b74g`{502 zNr1J6D3<UBt|VbJNi#h<Ui7^KXw%36gv2X$fwVweFgIXU`CMf-y^q?3P>#YyBi9fn z=S>KcbBuBz+?019M1Ck{F6xIcYcY@OBlZybrN4^7MT8KrmQ8|hctMyi`;9V8;Dmn- zCg8|qbTX2kfp9I2Qyou~YAKr6iY#`p&F@CAlpd*$6G<i%2crr~9ZTm6iG#zPWHVq8 zrWUH^2mP*GdIJ5f(d|98=HJ3oZB$l5ZV#xL67B*n;Y^I=mn8k_oM8U`zR33XeVkyP zZN(f8`xw!fRf`ABWWW!wa0jYQ##Mids1vt=Z<5|p$wK<*m&tor!3j1psVuNiQv|JK z1E(X6R0HD)bMl^g;P8U=W~=+0HL~1u*L#y@RTg}b#MHtf&DvkZ>%!CT`d+@bHcyMW z@T8!K)P0v1x$gN@cwysCzPt9K@RRZCv?O8!Az5mywxUAMv2js4&$SVOP(y!2l-8?} zDp|8_D3?xgZ4@JVB^&s*ETkHkPq>p^G^Af9yHHw2*vw{(X4teSTK}2`ly<L8S0PxM zA}zHvv}<+=_PEb;P9(-z5Cxd-Dp3tmE-a3joR}I$=+;8oRso7Z-*S5=77)CMg(|ya z7^S!1;GW7FDy!)+mNbKOm^Xhce@fB8ZBr5X-gB4DfpwD&`NVVgm4m|OD`ai43M9Bl zMUzo$RHf*qNX0UE-AAyX#AZBCnl8zufBECj+6!E>L}j{ALu{AQup`71#8D~D>MD=o zh7xaTLzWG%(p|JZ2biy4|9x_dKF=P;2-gqOXTN!#3?{juk<L019(R94#339@!CV_1 zMWIS}zNg>(1{RjmPm6R=GgfsQ3*6?hg6nJv(JvHPAHAaT26$6#iAsIzMbIL8OG6U? z;Z;!pc4j^);pnOefX```-!`kqHtyzR%*^x9HSp!rx3%}p1WR!2G>?Xgh9E;)SK3Dc zkf9<0i{LHVJL2B+vgLoPnPuz1-s;Q-GPAFxIORG=m8I~ADvmwj-s<c#qGt3Iz10yr z0sgZiT<0U<*5#jU3Gg3p$Y~#|lDs6fB(xkFDcY3YblQ|ge)QblDFQ)uv|<Kp86i7G zj26Lr;6Oh8HU;n{ThMlO+w;X(!3Oq(q!*nE&#rkkeK&brjmm#6Mi9)1k*fCJ@suh@ zDis$V4Hte^N9L)$c5iE=BUA5p&^D_{OO$qKAI(C{i)Jl?cW4h(j&MI;{A##pv^tti z>m_(w3nux%-Fpz`8nw^H%-(wt<r=Z?eVSz2%Gyg=ysUv<Ui9xhP^N21>jk)WmW9nD z<Ph^r465gfe#(F6siSGn7fI*w=ZBIym|7^C>6^!<&KEvwbbqESf6i)aaMR|ueO0y* zE_n7F`%e3a5aNbgc#5_4F79Zolsi&>WrzRC0xN5h!u`7h>J>E`<wYf%^o6wi1mz8t zg!EgU{_OYdG1)vf(rhZk2ug&t=0u!H6d=rm>&DWvfXIK62&@^Xeqykxu~}HU#l10r znGXY{8*9@72m^#bDRgzZ648sOETvzaZb77uNleXg?+NxVM3&#an;Div0*a5Q-`yVI zo*)ku41~2u9g8T79JPew>@*=M;yk!po&(?5PpFbzGy~0&U2+v!U38x#H9Vd3@GcME zpi|}PTqu8o1?6;*vu6OsLd83-q`jD1e7%_bJC0xuDT8p#Y<{k}GEgf6+49(>K&qx8 z^%V<$O@m91)~16IWRkwDpVMs4Rl40n&og-FgGuI7YzotPQuDi+WqDPOI`|Bji<K%U zr3keubw<^S2tAyQkWrq_#1*Xl*=)?h{n^p#k=cLTU^XC2AdyrK@69vMeF8bMJ$0Z3 zOh%MR%8wV!Cv_gd(pB508Jcc$7p}fE9jYlYR9g?x@YoeZrhD$nI$-sWa?Z2&kH)ND z%<<>`DV0%6QSvLIVBx$m^K2)cC&xT~Yo7o_c|F^87#O0;^<xjiY>pHRbcSlTAm7}b zrICNEtJA}XImB@3AGLbrbB>L#rCHn?3kX@s1_?)ODc^hEtjWx(m(@%%4|3`IDR_kV z`Y9s!`)j*3H->6+Ab;F<xsmLiySAIyOhF&L!AwEiMYrBw(cTqILA-yh81KYQONW@v zCel(HwUv<YK)l{NSxe!y^6<+dM@@;?@)&>LsB&I8E-8sH^+<8HdA@$}aNh{b?xddc zM9IM(v)61E??HYcd`nxnHj)qkL{jOK)oEq~(I)18jF&<qeWUlek{<Q%hZ8`8G&2Tk zd8pp=KrpK<?b`GzLes$$N#?nmgV}S1wFCw*ZI**<X@U~UV*qjCEs8)57!!;Q#<YJW z@yu1lf!#p`nOLk>q*J7)#j7<c0*NjX(d@{wu~*4avd<{UwxL(fQK3&Spt8gf!m(7& zRq+g=w8RcJ2sBAP<?fTE#*F`G&sSe6cymu01nMUzh0#T-r&8qDfRs&@K<VX)?DesT zWdyJ^bf6YqR9OVqdOlFA2LVC!G&_GVTXFC`8ozMSl1)Ab;Y~iI*0X(G%#y5&94PjJ zP!@S<=4p?b76n60wLbl*m4Ku`BsA~RYz|adRSq&nse|$MNP|_S%{@o+@aF0GZt2z5 zroSUX5Z_Cy*QPlTpAa0SUaQl~h+D*R>A~u>7Q!B(RZ6)wJ%GT*Og5zes}FxT!4zQS zOU-03c`!D3WJ6GzFp$xJ!%K5Rd-Rw8hi|yxoo&JcBVL@qL1sxx${SWZEMCjlbtSH) zDAEwhh_DsFg={Q^<ht?)!Y5c7mPDs)JWH{p>_vCx{xw(%ryL=}IG3MES3d!wtkQpR zBL5U8Kyqv^V!aEP<s%9*FrI&@<JKm;K&$sDnx-?qI5+Vh%5zvgY9<S`RDZ8YKbywB z8T$08YO|&{{>l49vNXVbOX4<;Ncqy8(m7*9<<m`-W)#JxV)X!oa*JVH3=#RXK&4rA z(2`V+YelM5NA0H)<&Zzc)>D}R+<9J%XJ^l!;v_b4BNdQiBcXggWJrI$MIhx%b5cPz zm0Fc*BcVe11LIPc@+CbfP5gey3@dqzc>a{6+t1pY@dSqPSSMrF?k5jlvCng@?S~bl zVbisfdhY6Pdi-?>_U}g&WYyBNcX;l)ZW2F~VV{4~uK-DTdmVY_xjKD=h<OTAYPL+4 z;~HT)xwoh!bygz^L3DqYwyaKbBJvQN?+DkXOAzLWlG2tZ7t|u84AD8YCCP)Ob(Q_? z=~gO&T$dDaRz}6u&c%~f#a}f~vZ>49aqVZ+wkpLEnS4olnJAr1<~Xb8#gpPj48lfo zT!9*K{L`vit*WPKn{wP=9B9hUsl^nFDKjh5^)kD3Chx_`KP!J86gN^AHsa*ERE_&G zt-2T($G~YsloV-<p+2J4Qz|x;Fj~J0mvQGIzkI<(;zeWo=PpiNr`S<*6=PrC#r3_r z<qaIRDZG2S9K}nb^(%#n$OHX)^bsrcTf*rdgGcIY!;Ykv;e6$(i;lR12KQC?<{ZiS z74OS2t+8l)8<2m`VPsr$RjG?`ty|x>pdXwk;opBonsPl#he!dyPx$6$$oPLf6G*`s z<v|z#;AecB&UjhgpOZA6<dZ`REuD6Z1nuQ2GIjQ3<5#}oX8C?@(>Th9L!JVB4*A0C z310jtOJ?ErHi;x@nH(rl9pyKq%8?Gr>@g$e-+o4)k}iKGpxA4%z5VRu1Fw7Jb)j1- zrG$f2dX`UySX^LacKG18NzrX`AjxHKnVr=-?^d-dWxBCPhEGE7A6G-;oMw%Yck~sx zI&#GeH(<y%;PhlrF52^VC_n;#uuW*^bnb0iadr^tB)V+DXsC^Nd;M8q#o9vZmQ~KB z`c37P!Fqq7<u?6k^?tMNN%N$_w)MQ2A!`nS>P=+}$@UJivm(^TRPn}w;XWqiX+?)l zWXbK6C61W4qvm8sa{@#iK%R7I>W4i!UH01OZhJH`x9J3giFiTE0p#a=-@NE1hs&r~ z%G^i8a$7`^B`-!D4M}rCe)GY-NG6eGMl5AM`VoJ?Z=&cD?@?ky#+*L?%q}Skryb<W zl&8}DihC9e8%8`Ed=+gv9YLABQaIa7NLChGABsrnX3>R`-BET!-W(qP%x)>7?Omj5 zil-EzqNT;<M2YNZh@olD?J-|rJ>}$lnHI~M-&sUpljuw(=+oz91@$@x*SamE9W<vI z(Jp^7vBGDs=qrw|qupflnPN6p%Snpq{zLxr_OJN98G3QReYw9`lbCMHGVTQEB>?xC z&2V;+i&o$4ja;WUJi86|eZI~y=!a8=Qt+KKIN*)HjO*Rtiy^u%nw#GH^Cc4ngUWq_ z;_ZOJU*aST1_M0XQgb+ZY`JV3?%|%D&wPJH;?lWMUPNI$G~DT4O`1}&ib@lYX70<y zTtObHW~?y*j3{1GyV*Uj6Fz0C$zB*{*9L63fAsW^kDxQGdl*Pzphy~5J|tyP#Pp^Z zQkE&0RDg?G&9hbgyvF2eNHLNo$N<e+pm*|eARysIGsZKyKBEvy6O2e_Mlb=xa8!S< zxMKwRM%b6VD8@dA2KMN84eF2-8Yplrd#MZa^}f#ID9hsr9G1DS@LR_%EuLI;TI5ox z*-n*;s=@Ua*7E{B+3zT5D@0p$oWuEg825|rYD&$KNTWAv>^my)koEL^T%(s!Nrh5n zSBm!;Ua#0wY{}n?R-L~1(<W-8TKIq6<$4&v{I)pdmw1R>2F26y7<Wd6dTqjwrW-M{ z7k|Ls@d1t@O-Y4jr7=Htj=M40f^w=>nXIlW_B>nc)NK@vN59Sg8YGQJA|)sH;;i0d zU`Zz<aaK{Y7ax_RmsH0vC|zM@_hNgDMtKH><oE<eqazz*WMGU5ZNmIb4~&0=V=1ma zX0rE<O(L;*10T4qY?vZvxdZQEr1-b?sOKp$3S}q0ar@C2Vw@M@o30p;bp${4eA?Lx zU<%%clvxS-1n)@mHojPhMmM7jm&F%b49$NsXvSn7SXMwmr)4UG=YUBRJP^sg7DVr~ z1GL;qJ#Hg~`#_VuHUIntnV5e|m%cktcCqZ9Rj|YQ2Y1r`AGlcQ|G>BZ7cTa{@Gt)h zSNk7$r6BXO;@P5xD&usDN8?Zo4L^ov(+)#JyBZg@sg5z$%2c#ZtT9Fhrh=P+e?6rt z+TKDKg*qfVcQXS<;o(*)wIwk|0p_h42Z+HaXiJx>=3o@A%rFMMii>}xz$1(lDMsps zi}JPV#$OLR#^d$Z!#8HHYR|2|pkldm^R0?j)iNmd99P4zu83ieHH_yT`M6Q)-$5Sf zbAOe^fqfj}ZvJyW0(Fm^tkGwW!a-&{4CAQ5>fL4EW_8^`3n8qELw+?>&ExHHZZl#W z<cN@Bd4`|2xJg{wP>+8-=}n1ZD~6v{;p$YUlTE&~qPZ0@eQ*KD6V-XKs@GyE4|OK> zRv74rKYFNIVjMncf4~(9ePV)+Pebqdpqk!iJ+qTF;!Of=DAL49J6Xt(US?E8V&bz; zL#g0Dn|v8W<0`;?@aK>LRTr@;FrFT}Q;EkOo&&!TC<)ZBG!cIbbhMBmxXi04>w}j- zwp4{gtC+DzxSXmy_8xDt7TYP~gH<4As(R5RGK5O?ak)gaJ``c=_r&P<_jd_zbS5<` zqUtF{b1I4qwd{o*Sd4Iso{h2~-W6Xe+vd+h@KsNv2BlgQ6JobXviy7_=xC;>zHIJd z39)|>dNDIY0n~qfp;Pgto*b4~QEupCFW?|$L|&AO*~CbSx0T`G5lEKmRMfU~o?>O} z7m_JI@dy(dV=AXjXDlf97s1JCGfJSw3$2RJ^%Sszief`Adm#s0BmAQ5Q3iy1@v$-# zJO$BH?I42tn1qYdWYIVse8qEN=NFh9H^WA2zfiBJuV;UPB~`!-P3<2#5E?xy+8=#} z&@V2G4wTkWY>Cw-IrXKB^rgAsy6L;RKlJqV<pvhF7i{rDXj9Y(XHUWo&yq8~`lioK zkurAKvEvEurkIh%o<up}p9@+kOo@wsF(R`k5kelnN>2=5{6KeqRRSAR65Iz{J5Pq# zI3bimTFig1lB@^Fm^#qVo4yxMZ~6{=KpjuYhTD!fYt9&-|L9><zyWnofoMjagy5k< zY&;Pjf6EeV@RG)>JqaFM@an%3AH4jp#0LNRR}z5N{*^f36^*5Pj6>~M;EjJq4PwG# z=|3xE(qi>L>u}Oy`9BLiWwHLB6^pX?_0L+4s5*bPc@djr>!JAb&lCQhzNcR|#qN&& zxoQ<9F8;deK0xxWAJD$BF(X3=g(Q;H)(T2*iYur`PrszYoB!2cf#Km$^7{v`!`fu| zFM{1XmSV&%o*f;@@}I)EV#+_drwW@-{-vzr%KZoau4wQd*bpJ|A3xqnK5L1xv*1-! z!x4Y}zvMHjll1>wSb@F&h}&{*p8r=rzq$aD|B_66_5Y(>_|fp_U!7+_Ga}LdfI-}h z<%j=jB5d1u|DVoov~!m7-mhp3pkPS;5oWExr19jH-UE|jf{%U}c#C7`c_9v}_J0Y; zNO+~0F_fH!c;k;Ay1i4Z{VKNaPbM)V=r?~w`^HQFK@S)?`*8rnEAw<r*7&7Qif9Df z>=<=AQVgykEm9)%MFPgB%K}(>-E$+fn?x5&Uh30e4sb$;e903JULeb&S$e$~!b5x= z(=>?l-$OnCgwFViCa~@gm2tChdu~U*X@O0aaOuk#GUa3kWDb8Ojo(3frliaGtVVyk z=9u_re*a7!e}L3Zc_sx{B(?yq899C8`QhOR*Kh<gK%xN9BR=qR=FA^ua28wlFX7ZJ zu+fr4ePcuF98^%|z-JuoZ6rZT-H$q-jN!5*%%O%qJW(f4c5wd%9=D%ky@pgi2}IZu zy=(;dB+D1AV=gzia*d<Cg%nRYmRf&PwEhO0FS*t?Gjz)F^?!kQgsZ)R)K4K9lELDE z>a@u6^H<Y-sJ2A!8F>Woc9nWLrf6`lsu5)@l(n&BWu6P@66Bl(ycm3hec4xb!;*!W z2e#f@VH#_zwv-tIWsxjde(~W?TfBdi#OoUw(&bPDy_k7~b2(bZ$ztq75?O!O>^)hs zt<P>Ko|7E#V&W0e;6BnL#YqNVak0hwdr7l?h#^Iee&CD6KiHWQWzSi}ypO{@TfEUF zefn00EIFh8lam9ugF8sGlw6r_N`EY*H;i<6={E^;MuR5N1H^*|Nc<GEw3H&gMf0Xn z&_<A<QVt+sa(sY-e-&w)A}xP2qZn=3yliC3D^O9PV=A}3|BjG<9VwO)C~c<LYuUVS z<i;zqsgZN*^(b&SCf4?ee19ELPhG+X|F7EY!thbBC6+PgrS`_?Xyg}~GUT^<F9?I` zBcJ_U!3rKD60nR6?iaO_vQaRYV2VeZC7kv6QQrnD^7=RBKsajz)x&>CeERg=mI|8P z2mMbtEe9EVBA81Q?4opLiuG&mI9s&8Wy)=H<bJGU&eB=buv8UMIji-2q%o-`I$?_| znB%E#srtKdH-GI}RYihB`9QWgU@f^h*6z#h)Y`LxzpfuV)_}DnjH^!9z9~}}!=?3< zco|7)L_dDb{hSxDmePM5yKeB&-Q%+&hLpxOx34S$6Vpu{V9p^?!w6cHM_KSQ`B06p zKK0yxmWJUB$vN`PiN`35=$98-@Y7*pFCTXLefGx?*u0%yEMqMJ6h9Qozz1Z-VuUkw zpER93$HY1YdZKi=|Hd9oJOteQ<s|xu=kfUkCf04c-BX7ATPc5j8UP?G4ukukJJIw) z98=+<r_meFq*6$vRo*W2ms!j5?~-NDaO4aIy_kH+<)sTSKCEaGF|;eU__Aq4Y#%mk z|Lw&<NxFXMM|`i(bsA;)Ay56*pV6iaN(S5Zjg)<1$@04VAq&=-lI1@*$)1tR`4aSE ze2aSm0+>3isGEOyZu0xvi;0pi`jlq%E6;>dzR1kmmZj*x<-TlrXPhblrj9BaCX7vJ zzrFa08JqNGIjhg)QnqElwqhANr*cl4-sxh70I|c0+6kj<rlv_mNx43uS;f*bPR#J! zvki#UNoupzPH_PKp?6N4NMQIg_qyQ}K**q-DKOlyV5@($66^VX2Gv65AZwKTcs4MH zK#%QG9uq0UoFeLCW>8o5h(gtw@6J1x)F<>tC``&7Uasni<p-BG9n6{Embe~zo&s4= z{n(93VVaELdya`DgkMqzGuoLS6hlH(AO4NtO%`tEVZihR<ZOfYFcD=)xa!v5$UV_m zzQ1gwVFZ6P!OWP*HH5vD@+HO-ibcM%*Nc$`Q=SN%Tm3cYMe~D%F%1~SW$0?l!SHPm zB&{y!V3NJULstGXBGf$&xG^p87aLDp|L5u;Ok#if7e&FKlPQP^%_w%zaFf?V{Y((7 zs#@O-f3i&ARAp+4N2jk%HD}|9X7Q!09!AlL+=hR@o!~RW9{J^mSXBK3Z%EG2>x&IR zprz?VBl!w5ukNq$TwA!3nZ?Jxy)&$P26UBlpco$mx{4w?RJq|63J!pA1GM&q;_p~( z2LURAT!WL62I?ZrS*RDDMT9NH#a|BX;SC5%s;Fq7W?h>SE9O9Jzrz~g;QtEk2|_p* zD|>&+6^;jA(|m$OrDsj-NKwHuE52Hd5kqWMZBGJ|359t1h1TRhb#zvzI^%N-RIioe zK)Ei}JpGjpu-_`z8E1Y?Ul044IO0?sAGq!xH1n1H3){zz(xC`?vk{=SiJnORRjnQK z!Ot%rS9*c<1r_mDbgere@k5^aXZr%pf{uTw&NSSWMQi2RdT0J6CIi33qQ`wT8<Nsb zCz;R@6x62(5jR|El}XF7R<TW4BMB%QRFOWm*$Ll~xLI>jO+b?r!CgEGRLYG6(D)!p zkYwJ`6(uIA<&a8MW>|zMwhOOQHErn=%z;aWyd+6cCJycNz=a5{HmTn2fQxV~MlpY= z??j&6gCidUDPcJkW$(wEA;Fk)X(9@HkJqW{HB5XH%SeR01g;npo9pxdN-V8AsoL#8 zj-V>;V^FV*Or{pYK*_xmD~jHae}Sy1l8YvqV1wDdRKJEj-Q+ToBQMEP{1m&5ff7mU zz0l}(z(<%BqZ!nPB1fsF(wooTJMDk`)bz!)7uB3i=MApNSv|}~f85eMW98ndmbxZy zR+=;b?T8}uirazapCVkTPSZRWjUFVWyls3m;|6q<MaUJW0bMN+!3%?CLrJ)Soq4(- z0V>9m)W!~!2?yOKmD?~Bs;Y~yDK0{5_h8AfGZaHry%EogyU^NrN@KAEjskyF#LX(A zhURftn}BhwZv_Wi@}tUi8=20SaS*B~9NV{fnok_4B?_$GAVUZg8$H`6yd>34d0YKx z<^bp_jbJSHNblAlDOqHnG1nGX^7?@js3B@KCM&WjH_n>=hqqbKD{U8-JFoQP9qFa! zNsgU7;Yr-4L7U(-!S^VHRULm#*<5O=kua(6<0r2(o#V6fl+N<Fa|NZMc#?oh4Q&4x z8)q5_<@&$z?4|5mDP-TNEFC1|8OCnLDA`I8#?lExkrH8s6k`j~^fWXxS+a~mXp@61 zlLsOBm6FDG%2E;Me-EAW>ff9Db6?-<dp-9|<^Dds==dM1U1dpdkyU>dbe!NCC82>{ zEEY}+=S8V^!b$LM%l*Uy)0C-LS4Y^<RHIQ?GJVP`{?18V4P%TASTjOt4vQHrt`B4- z^EIrmR_wiRDF%H}4&^((O;EHnyLxzEqjGAd&SBM6->T16uH5hpIY~blx!!$R#R1)+ z6(W4YQj?_hMef4ZPBecGGyfNl>ls)u)^;wbMl^TGEJ9>aj-?N_i8bQ6{bmsYIT^I_ zcUhy98SuB~`djXJ7o6w(Urv28P$}4MQB_(oc@`bI&ZDZPwad@Uc*x3*cq#3HTu}&x z3aKiEwohtl>|)&zt3Msd=TA(teRI7#z%>Fs#SykGs$y7MN2`Auw%S|aR6vSe0#;1w zI%r;?R1pPHz-!`)hZ8wo>6{dr<<;3Yx424GI~Bl#?pd9XSQF)d<rm^Nj@QmL7Jkg} za_3ypZ~@*u0`b;4W+3<al@`@bDd4rWDj-JK`z*Lk$870{aIIPK99m9_kQnH1tQW~i zrBx0xn<<YWLS295EY~MxMg<}4;FJLD={XdA<(tF+(pWEnOQ59;GUX_V&;YYCEPw3@ zi4p8G%HL^+bDthL>Z%88MVQ~kOvmtcq+x4pV$DU8(!`8%_B^96?N0Kyz}D!>vTTw% zCBg>Lrh>TiplqAh<CX-HF+Md+g(lD9pM8+AWkB7tO3r_H=4ybfXhpy9XcZ*|3SmAs z-hmK<GNRu+(9I8atn)MrwYF3x9t}<n+c%{S=dO4h+=?RyB_AFX;$3sB^H!MVPp}u$ z?Qd^fr@V$7mZ~ciXggTq@#WLowH)d^6sA`aI>mHfw>Q3{oFGcGPy6V*^1*y79zzni zte}>|gG+x~+?5LN-5`uv<&q{r`Jk&5d>N!@)3jK7Kngd*#?VV)+7t{^-5|vo3{(G1 zo%XSHb%F~(3Ky;@DCO`VQ(!=`P%pl9D}Jp7s!_|CNf=~)q)bAq%*tJ^+u?Z6A@UVZ z@!5gKlr=6VZP*;_6Fenm!H<odNJ>!tpi$`2pb=W}S)_3}`C@%SQ0gG_DrFfOWmcL{ z%AnD@O4Th!$|G7s2APIzw<kwbrlF4O>?Q9lY{w|5>hr~)uG}oY=8^?VACj<LLl$!8 z)YGOfRvjhy29LkP`6bL*5tIGn8GpfTSTc3xUAuyn1aWRUUPrhP9py5AdjIfL{#50= zc9_yioSTZ*;bz-KdCi~pbJd5vM$SlcFR3-s!_HW_D!{wJcFU6Lip%J$U*IwyVs`)H z0(W^~eZfyl9%3cFs6fUrb1JRA;DTimQ7@#ZV7KAbDRO;5gQYb|4^$-#uYXM?))$yq zwu%=I885{3{Ru~ooH-+qvDfr|*cszKG;9F2d}}sQo};hkE$<SYgNq9I3=5~I^#ui1 zr%BF1MFraouTMQfn0uH0+(CAN{d<{}syt3=v1%sJy`!hDg<3gv_%@mE>BGUt!bJoO zGp0&MpL4A_EgtF2u&QVl>3=xmS`&2GD|}~=bk=xamk?jK+P9yf4T=;K$nWr|{YCNx z4cPT|nCjuqLy{O`FSv>Q<<q9J>&52-zzQzxdCoqmUi>U)-waku1Jb)-?XR9??}+a@ zm#*1;|0?q97b-^wTlIOK(gtxgmrPhX5S12VKit(jqltMW^64eqYJWNN!Y>a~Gv%ol z{b64hDUobFL&c;%JFs_H&|cah5-s9sC+XIENG?bUv!mmXu#fb`<hJrM|F4FT7vHv( zE2iBqm?+wRMi;ZES!cp(ns~~g;oO%RDpVtsqjM#%h`TOJqvfOMjb|F~x(vO}PuWC1 zi7Pl`Au={qFwyK7nSUnGxA^L-Z<$FKPmrh8-vHYGh2G!L@Pr3^OB?jpfAr!%di5_2 zcm78&0qwQ{vBN$8(bEl@{;Ox@7s24R(B1R;{WD7T)3tt*^8tlpQ1C_LYaf~&Yg09t zy(yT-`N7NL@t-z}@eqBp7@43^x>*z!Fa3LXU)uKr-HNb&{C{TgrbfeN@w(`)&HO#8 z_LG|try;xFu3H+UO_#H%>hflU9Sm)r(Zp#nd9&Cfs+!X%eH%Z03>}@^9#~gd%I)(> z<h7mfHd=8(qW{o&L%YbEI~sfL+If1ZUs<1EsZ><_u*_+Ade;tHY3*ldOdk7~WvMH( zYFub`NSyZR)PJ~5WWFso(|cw#XznYTQZN4IA?xkuEXy#}2cK&$JW;+fVh<(Cf8$iR z`jq9jAxD+C7jJ@&+^quU?>RpBO87?OrM@uvZ8_5gnRCjA=lx5*X;k{UT>Rd7XaeKi zT=L^&-i4mKk7CX5CHnrYQJJ&Kx5jtMKy!iIev9cHssk3_`;(F97k^wAn$i_Xa+oB2 zsrlbDYEtgT=a9)C<=Gc{Cw>;19R4HV@ZaZKe5Eh8bzS`U^`hp%Z9aKbSvpq_e{nnB z<+NQ;m7!vyQYx63@n^<uGGTDP<xEwG(vRmMre$eiaZU-X%Vnn-Z-m}J;)K5a?x6=l z6QNmxqg0$s(G)Zr<$owuBww`im%FK)TU&UCn%d<V=XPB6wptPj@dWU&mgI!k0|=-i z*&tW|Lv`EK@rufD$JF&1>zYS7<@bGio4<uAz<T7QJJ*Gx9S&^!;dP;q2f&GM>OU5* zpM0p2eWi8gUJP%OYQb63%W1g=p-yNq^<9=1<rUNlw%a<77=Jp?|0UEH^NUNTS^1wU zHQ$asT)gfr5HR5q{za~VKjOziR;+DhqWHICucK_f2u^KZKUvzN;lr=`q3Yz9Yp+#Z zPpxk)0|Kw=dlwi8e6Cp7&-8ATe9kos<$DJ9pDj~beZU%M)$#K=0TlRi5`!{(G>Z94 zKb{q0Uwf_Ts(%G@0bP7i>hfKez#QiUti)3PuF?sL;V(a{VvMQUZQ6$$n!t(a8-kh% zHea@C$YJzBVTZj7;ue@Ae5FN^eaFCFz-kw%(ZR>Txnf*irK?8)1{eSCob1-VdxS6< z4VlYkuG1sVuHox@?{Y5rL%*t_ijYyO3qQ5Vo<sFlzJGoFtPXB{zBNljaNA3}UV=3} zhm4a1MV$s4>$feMgFomYyRA%qNEAnyw^9e^w~(bKd;1#<q9{tg-XH2v<YQj?QCY6} zQlWQ%m@;2m6!EqWuhN!3;UpC9X+-`|v|MH^@W<=}A)bNvTkgpjhXw|)BNF<Bch}b* zSo-5haDS@M=<$M;J^!nFo-$kR$Rqsch~I9F6K5uVd($F+do4gyyD3oY|NIS?K5PM( z`J0~3oR7cZmm>m(ga^gBnkd9^6Pys-CRiiTCO9A-8}KvPqU8Q&|7ZPW_Gvlr5GkVU z)FzPF(;JXWWPjO&pIy&ZJW|0KrC`%daEC`W!G8$$+ynw#xCu_M>?Y{K?APBN>?%%R zRYG2{plMHWSGVhGo<k2>D}rBwz3lQsqi&PJAfHukB=IhZGYsVIajFBuz){Ykx`jCz z1QUdswiFq2_I@%a`|~)qN0gVGeH)k2`yizu11WR9|E(S@<B1UmH;r;}neqlK+$odT zfPbR$GJ_a#>f5R*)})9IW4`P1`^IF}Jmu0anM$vn89Ym$TmKxM);C(CJw+Q|i@VV{ zG`_d5>TMj-yw0DP5;>3gzOs7@c+IvQ&es&mUDYOMd^EPbjO^<>`*w2bhOD4$TiErv zE<;|!!qGiHn}g|Z$1_8(70uWn=7u(jFMk`O4A}Cr%9az}jZy@WjQ5mrpT^nd`HKUO zKAoC>|9){eeK0!k{qDKXC#t{yU6ljpI-ToxcpsC3Ny{^C)73{kGRVQ!J<tqq(uCW8 z6|O&!{(z`Q(E8&ZY*|!KHEkC7ah~!s-MZL&Dk|#EJ*DBOxt1w~LCL-y8>@ex;|#{C z(r58DKFgwf5jT@8>>7VL@vL<fi~MZfMSDB^%}(dwa53$&N3G?8cjN9Qk!gj#i}`b? zwdaMJw@V|ww0ut`WUN21Vd>6?o&Cu%wqnwJjoZcmDQlu!iaz)v7Ug0&aH`X}@zv)m zDX|v{z7GGD6xFdDVzFOhY6t7^Y|Q2Djm~w)R>yXIN-u%p>c&skgr=lf;j=M;TgKEz zO4sHVDQ1uvbG+EOW!|CAdUfnX(wtb>(4`p9zIZrnWZs#_6smJy9iv;NlIo`n=8jR6 zp`xW-2c@PoSZeVvoivja?I3@JIEz&b1itmB^g|(wyAEnj>9FviliSp`&i?i}iKHHh z3MA0`qU0Ozy`Fb^ADu|h6W1LIe0!DR0Cg?y8p^s+BCZSic`4eE1L!|IrNufG|1v|M z(WVZ4``kq0y0RxYz&Xo<MCrdI+-+jjLQ?!iG={AzLY%BbNWy60acqB=J6DH1rsi&; z^#$<qtL*7@naf<!w4BM@Go{H=)I2DWte`+Pzvr)B_jX_3c6h=wTw_X=wZG$#!5U2q zy_`laX1&r*@|7qae5HNmooMlE1$VK<yeVo<QF6HLc9l5Wj-KF#ZmW4xkG=3XD`1;# zjY!T6JSi%)m^GDH(x86;-f<uMm*na>2&rtp)0=%_;MLbVcnSL`ltC50pmJk*eyP@9 zwo!JS-8T89Qe@O!{~_kvJj$PN2-!ONqv(%Y)`lPZq&m2BX6h&V_$2M39C{xcXrQCq zdLK(@n6iX2H07-J6W6odR!W|EA6H37btv&H=X55BOYm_o=h%OD$&`_lIB=y!O;F^G z+sdw->l&U<QX0sVMQA-iDAMA}0nwk_#;<a>#9K>BKk%Egb+v)}K%vcQTs)aK^rkj{ zn$x1)b8n369{7grWLm-p@%X-d$978Im}*XGI70aW%^SXw;#J)nd*82e%U_DIcm4D% zG!$7OAUmODZ)|_5pPqt-7|TH@@&b6x^$oh&#ba;3=>6O~-1Pt)C_5Q(We3YmDAL5L zgH!^FTr1?M>Xe3gD@~$&@Xan^d%H!~=ibKPG82%UtVwK9YOL~`Gjo-N<G?oIwfI<W zV_uSMrZGB?L`DrY4$9^fXza8UHlcsHpX7gB<9KNI9pir~GuXq^E2QJtDCrbFtYTZI zjihyFH){5R3OV4O|JS;=Tc+aRN1oxl8UmO-9fuCC(fHAM*<@AZ)pSyXm`ULUbJuW~ zcjYcS@tbH{e#AoGY*4EI1<m71x({_V6j(B3Yu`ql{h2cY_IbESqHw264%B;StCnSs z$AMnk{L+7IWGSlu3H)S+RWgwrGMQnSOuFWqmqJm3I;d|IrfQ-rYfk0pl;(}f<8Emr zf6To7%v)bY+p6O=UfA5qLadZ!FwdoBm*d&{*la2gt}-l(;>lIASG|Wzm_ry_Y$7#> zcglVD+@|GV=-J+U6uhKnke^m0Mz!TB9lv02>}-E<f}3G!cr-#I$B<n~JDXW9u4;D2 z<T3w%s%hoD?}A9;)J@^8W?q7tDdYJqeakiC^{IWIBm1_(&rM(b++mn9l_?x~m@h-s zl=l3Vi{%7S7?e-<%|ok%n+syJ1FXYxlNYx#j?^8yCn=x65T9jfCa+TPP!qxay*$m7 zrB{E9eYBlnRp)k3axMWcKHI06JWBC|MnPGcX3R1M<!ub>I`?~$;R$T9Fwp&v<r2ww zI_DX|l?Qe*E&Wpn$qc&dtm(~Xq%=Zt6Fg>_K^g?*09Of^0Lt5toS+I&<~36Gyz3?? zW7$nQ3d$E;9bk7*7Dm#7N_)efL`x%uLCJp{;0G_t4IGd~@`4IDAc$NKqH{nTDG4HR zfE&3IRLy}sNLEl52X-K&pgSCpM3RH9aDW%d4Qk=QP9!6!gaccV;-E?nh$2Z-CvJ}= z%x<Bnv-SW8v!KbaLOGy7JIK=EfIdxxWpTKPYgFF#1YE#@aM#Q5E)MARZGpdVz`1|V z5^jx;=BbyXSV8?4qmLo8l&6qeJb*BYBIL$VDkyiLY>uK!@q@BCN;l;MY5m)<59Z*N z6p6JjwM}q1Z-z?Y6bH7f*%&?zj6wN`$f6A2aX>)UX)*Oh%`-{-kkYV1&P?6Q8Y9YA z=$>I-1;5i?Q_TXIJFZQ8I@V$T&xU^s0TeqZP&E~n#2<v8?@J~d_4jn}_q9S!%*Yz~ zx?40-wDaH<V=<$_o(|7GU)W~lNs~>AHc6JvGmqcpqk^Z1JihNAl|^eg{C8yz3kAml z!x0-95*rvIz<aeR*KU0Nl`^pb;Ww^Ll8Xm16$wI22g`mEo<Ef4v!l=or+k0XdRD2= z5oQCYOyasCL;As;Xs#e>%m8pMSk;mkyrHyWfkJDX32=J%dBgU=`43;WGGixj3I`p= zBm-xy)pla10C4hoq8A;Wq>Nm)Oec2o0b!e`BhDDK?&uST4}jKtNqOSA;5e%XgLYx) zptavpn|KKbW>R%znm=gOq_}@W7M#`{o=!L=(5liW3oGy#BkO#g4!A==xJ@BIZQRC) zGjD~umMl01cMuOI3uH}L?IsC=W32Ji70_Hk*0_}yQ4oAqIe`&-++NV?(Ps?*0>@}i zsFzq11+9ib0+<%ide_p7^bI)IgzC~YTtI6UWejQnPrbfnR<TnGIR6(2Qo!^8XKUiJ z#HX#`z&oN6rdEMq9nh0K^c@gDz&6liIaxwH0FF4TAL#;<YV;m|_%ASYZSEM?zY@aK z<jHoO>Ub-P*`5)wKOme)Yw-8oQ_#M0>%x|T`J7z}_Jei?<BGMnAUUIj*#o;E#ER#W zalsBHeAShR`>!&@!FSz&nzSK};3;o)BJV<BhOXh{1`Is-ZTDp=>+H-$zqv?T^kwDf zmVH%^l&P&^W+!fcKHx>fUXK%t^&Ji2@uh>OK5v(BHgw+bh(V`;a8F)huk2mmUAl>e zStGliIGd}X4eiZ%-q712^%bWLI7GR92)jutvUiHPW{}hJVnRbbTcX+fZ;&nxY=8?f zB{*;k$hikuGYt}oV_zA-iW`BGCajaQJ5Jt{UjT1q(fkj8+-_X3Gj!d^d#ol)*~oh* zy0n>hmb9`N69dI>#>BygH)D7(XE$TAX!#p4KOw|zBj#$Kf~CktO~M}W*^qt^8#i)x zT40XRLsV(g*E8xIci1oA8#D@~2AmRi%Gq-X7Q^WLVO*^-EZNPq{kl^q+~Mg}{l7Oa z6bq?LDIj=%!>!b}WQ~JA8s7eylb*ay?XYSQ{nIJGL_14E>#nwEyc42MLlM>co~o#< zczJEpaol%8)T#d{_jU<KC72#jZ_)4mO#IL6o8)d*Z5x2ALG>lNc?m^SsCF^5n)j`W z@``PzF6z6?=>1*R9h3NmH@@8}>MO@0G@Rb=7~NNYpszX1-OU9+5?WY#RV|clXJ_bg zK;Jr_Fa5ETmVG^B(5`fLL5g*%`=YUi5lg9A$0PadYf;^th_2TGPkjlKA@=o-U2VPu zH8~cx<IWyF&BqQWTkiO`8wmi25S8mUXm8>z6J=q{7s4qpWV_faqR(A$3QAqtfDcfr zA^JUkL1#gkWhI0?FV~f`*OX!<SarJKHgP#5^VzgVnF{OhM#W!c<o-tGENMB2Q&E#( zg>P2Cc)d~4fy|6H(&Sjen-$fO%8g1WG-`-85(rQqVOTMCf%!vMmRgt?As#lkcYrr1 zJt&)>{*v+*+HHjPi_y(a4NBtIoT&`>CSEarw0B@j&W)gAe$CL!XD;pa1V<RXUGNJE zF+}^V8n`6{F*hoL;uWv=CeQXd`oX!f)CX$?nn&u6ut)T9UeyYvKMTmkNMp*HhLa{N zLAJht4}8$lkyGX$Xq5VsasUdftMB2-RW+?(67*<$Selv-x%o89=M7q2Yq~u32EP}7 ze1r1qyn!G^)WbJ;j0b9o`}7^<o?dlSg%?KbPsZP-J4QM8)GPU@mj7Ykt}#q7_u>Gl z^2_k4wgS3MlzXqVb`N-;)y9UaHnzS|=tn622kX3Nuz0-qnz{+DY;SV6fRnC$v{&Sl z$)qx4machZ=;0u?=vdCH`+WgVVGFl^eDc`PtLmv<M7i-^!D-fQx=YkC{q53g1^iG9 z^(`anc*Nf0%J$2yU2SLXmhETpblj0$`?*Uc<fY6}fiu}x3Kx<GFymB;^shjQ!A(0$ z-JiXdf%_zqJip$)(sNRcn>lI0vx~RVx%GSU<I_)L?0c6@XmaD49e4QoKIyxEx(e8* z+^ADZy-IlpiCtQalk3|D|Jl0Myv<UX2>+a&&k&Kd`}loye7DHr?yTOkNfF+C8t}eW zq0V!bcS%Rqj5XT%GZY2Cj#Bn)H_V&L?s@Z)m!PsjGpOHhSxh`UbuBE)H4y%8dU`i6 zK{588L46jb5;{wGX}pDPvuG247^-YJM)IA$7WM~CfTdkrox#txTf_#2u2Fm;{iv6N z0&MF=@4(RKmhq&LFW15}XbLR1;%XtTCG}X?p#HZ1Wqr8a^z<rb`MXjq)u3Jh2$l0d z@HIX^u~KPJKkq-SA6^{wa=9XOt*ru_t|e=&`4QpuuF;{IR+)#+*daWBb~I7{bHTYB zoxDM#izdCloF(0+Wm|3quJ28|Ll8RAV=zI2Lwug+FTCP~DH|3?m1pWjciYQRVO`q8 zed*-3f!cV@-LYbAS$ptqqlx)G-MAjb*OLhVyaBBHKAyoJ6>Ym-*D9m`xoF@bm-6g< zTi4GsLMNV}SL41$v>fk$lG*pVUiZAoc=^Qkox!8hk8hnFT;RJ_7q;EE=iu%i+%_Zi ze4sVs?XBMw{2#S8m}G4F`u`(?NB_}(+CP6r%5El~Irkq${!5d8Ml$3s0a*Vui8K2J zPV#EvU-<kRbpH1*eEb(a{0o!+!ux;W-yEHPb9DaADgU><9JH^0=n`mQPt(oLNT{wJ znU?i^yT6Dej`w*El<iq}2}1gFH!GlRb(Qbi<Z3fCS_X|CKRR76@jfGcYX3C$A5neE zO`jZ{f)ZU{%l%CxGJE&~pJZ*S25CcxBZ)gSEYVQU{Ig6fJHCZ9+|)U6k94UoV*hdf zO0iG<oHbnZ)4=_Is~t*_*%~)|RUM@Zyr2dqr=!6s$H7Yl2xgGUrr?lcy&=Rw<00vs zmQ0PNdNjyPCDsIQ2sbtEZwR);mVW7N*{DekjC5GmzT^Ja#R3L5gg-E9VOg(}oJHgB z>tap&?BHuz^{5rusI;jf^r(_w@I4dj9L3l5XpfQL9`VwD8+E$kdC`TD&=;k!^sA-C zlZRMC!2j&EbL16`Flt!V1DgSF6?=(bFO_nPQ9coCJ0iVJ8{ZuOS8*Don3IAS1}7I) z(O{o*fRl>}sgx)sa&q~L{vo#HG#<UZBI5BqY|gDF(R1kUGbtA=ah4H5mKD5{0<Wy? zkK!Vq{7Sfg0O6nzZ6KV6pLu%iw>ViMDn*XijrB?si&?lv&W&2trF@1g8rG!yw!`A# zt$d5*DcgtR+_5O!+u4T+z7kT|wPDb)Z3f1ZLaN$#1JifyUdW@>mFS)cePsq?W9x5L z@1G}K%8S_Dzt*-AyKse^+aK}OIN>K6cQhjCh_$GHM_Tw{OpRb6k91E_Z~QgdcIU-c z)qlRbD!!&vaPU+9mn@xYWOvr9{lRB0Lb*nfj)l7{FsjWuHw_I~L@oP<?x~vp1@K8& zbufY(&L4luOJvv!cWa{k+A>Mb9gQKv9{c^p#R=d#O;gM!)9#m;9NkfXKtA9;)lPAg zg?m<iKBr1qtLb9Yg|NWdqQ<6K34)3#EDY|WQ-4v_@l_iFKODUwyx5Dszacon#+!l? zzG6cNg^z9uig@~l;0;S}3d;D(4dEhuW<yZfi)V0zYt{&qBnb|rPm~3hHaH=Xmc_4G zzViTyB#Yk=uF|e-2zDg)rsEo|WJ5@yRc@?*9VB)Tr?8-k3ETJxSkVD+rGn2Sg>l;a zOiBzzLIvNz(Z}tl!H8kbtbCuWlAT3M{n$r7*lSsHR`d7?FlBK$s=<fBlqLGaUyWS> zrYtT;Y1kJVt}TeYSz<Jfsd?(DR~G1W6t>z}jo~XBYc$LS?$X%7i!R6suWgRrMSGxs zwU41*3r7_=|2VP62uv^Cr6$ALXYQrby|00k!X7>qoYG-!2z7>8f0sEMQ}8$^^lD!E z!zRbW@O!egmmv21XvZ(h4x3<M03X4i+q*~qWC1s%thx{#b^L+pOG&E#gkfa)_5I1j zm-tB!xzmCY8LY0vf&FD$SwWf)&3&YQAN!q2!_-*Rd2>KwP0u8XV$m9N;EP=c`O8$F zSHYr+J>&H8v;LlG8l>w1&3VC^@C(ztCHpd%DE`G`(4inrY2}CwZ2EfMTrbikN2j4= zc7MTHr2}MZb-_-3|3ng5s&7w8SJXDlrM2c>f8#4t0&C4K0lo<ux7XjPAJDgd%sF;> z{&a!N-p;!dAJ6+Cb&8!8o1ofRx(Uji^i5FjeE-nuXUQwNSo}PIN&o@hM=2L=ClO-J z&oI{(-Gw0NIJk?)$rlXa`CkBX0O(xWopbiDL$a7N;JW^&<Lxet8i1jIxocBi9Pn?s zrg3+i|I_^gnl_6W0JIN?+2lNbJ)86`pkS-AJ?Bwm$E#wc3*b>0wP(bZ<x$GDSpi3D zxDF)uc0JySkp(%Q{e7=#Fvs}=W{4*XMdLp`wA!_L@MalA2j{q*7kmKD0dAKL!(A9b zdPp%nY+LS7y2kPS6(Ne>V#P3+ijdp>i77PVAoIonD{Gv`^oT$KMNx}?N|<eS>=TvB zshvF%)@4@^eaByQG1TR+y6vnRWb0#iug0$JP)=w@{mZeBVY2XmEMH@1dMb3^P%tVh z-<5b2uQ9))5M6h~+T>_kp#>=~B(?SK<H{p-j%L5)J`$wh(vkP=3=e~XAX4;?YOO&> zMbC1%_4;o;k}Rd!zaM6Q#gq}H@u{uM$3pgXmS(>yJ`%LxpCj+jYF4?4b@vNj-hQDt zEvPd3M^V=_4*CZ;3m!Y83~kMRIeh}oVc=BaIA052K7AoDZAxMG?}KEJc?LM|Jl^79 zXl?dOh?Dtc<b9PsaIRIzt;c>dm0}sr{ymgCL?@O3=k>>-4u&XyvtP_l0bXziaH{H$ ziaUW!rwi)oQ~JP}8B;@C&$;Aq5byQCx7V@Mzo@R#k8r2JrVcXzXF!<0Eqhd^u$5#S z<nx?;#Gp8+dC;g_&(MH480_=B(ZL`)sNz;w3|rw;X?=lHNB#6L2dwLyz&Ok7ZiT#- zLaVW(uT)2rJ}pzE>0zP^e>yocDS4y(b%j$Fx(|(lcC!e&52b?CSw_wFx>ilZO13+m zYs4uE<wc#Om_zwY6rO*?A<E8+T1JV7WWbht#3jngi~5q141ES$UQZ~>*^8P&X@dg6 zc8lkcC>t;83Z)df$3!s%JZ+-vy{L_pPmns;@_1TB9r2=$P;#Mmf3W5AL`6AzQ41+X zkS*A9c{)TvUQ{-P0HuO0zo$zS+KbAd2tfj1%RPdQvh<>MQk<Ywu;m?btaI_ArcrdE zII!I^Vqa(LMg2yZg_@YC6~4NppnQZ`f?U=h`U_|b>sEyDSqhNC?S-3Zxj*DOgfTU7 zh0p)!^Mji_z1kD6e~XT>Mojsma;EBYMvF#XqtMZ}$mXmFnM7w%^T7z21ZQ#c*AbtS zoW;zMqo?Gj3|Ty3s*{O5qGS2Bv)0FgmB_`V{`!ld>Ipq!=0mk|BBm~jj=jqweSGje zZ1{=B*5s@iCDpjnPtx2zO0k+Ii+2@?uhG#3<mQ1r_E#!Jf16nb|Lls&onrdGyR8Ra z<C<(b4mW1YQKlh<w;jTLm!bJFun561*tso@I;gA}Y1Qr9Mk3M^!X@I#d-$=LvKjv0 ze^^;Z?&OQ@5RtWtn{2&uMB?>~dtb><bmnc13w`T9Gq#|V4_K{!KDbBHIY%cb38|uN zg{fA%sVP^ue<8a>W3&(-N?cWVWUmpgq>8;{ofUrH)ZrUfdBZYgd1Ebe_JIz|K5Hd) zux{y7hIv8fN|L-po5;xC0g=V48oqt}^cKoJ=v<O9dWp+iIZdL@ig9qt1T#Ys!Zus# z6HoDlWwN)$q9hH1l5iq@A@EKR(U|m36vc@UTFlefe+fFYr{pmHAWDz&9l8QKi>(xh zO5k(^?syeJj!|rpq~Qq+!W7Y!(Z2=4GVvmU%HKdXUf`QpG9PA$9}}i~-Jhj7e9Utw z?aC?9Qt%BeIJlstv&r!lCXPI9O7{1e1T76?jBG`Po8>to)}g^#16^TR^C)M=OE<<u zLmp$Je;cz)<1p)>=N#6R8{RQuuft^mePQN$?661YIQK+rv@TxtKjB(4zZe>rC@vPj zsx9WScg#878*Qqw4Wp(RvvX`*9G#a#4(N}#%&V&0sb%uZ-ion@yvsh%I#Of1z4Kyo z$%S2g`OvWH!uwyZCCH@|{|kl-CX^hg!DwNae}aP=7HeK{$D?xGI_0eLOI66Fcl>|W zy}fN2O!{Uoto)^SJ|}dmU5D1xRG;0jD4F*7dO&fekRs_4tGaxmMo|T0BG+ZAw)=>9 z?m%_<-I@Re%&uLem5fXCio^Lg$nLLe%{5lPiMi+Ov0>fjTAy<?wm<3=`DDDf!x`s( ze_0<-{aHdw^FLhZ`^jd_*hJ&FdH0@*tPVTeF$3EW{|K=GRyt`tvBL@HrLVTKT}=d| z+kA6M|K?-Jh#)Gz#+QAAmLmM@ZtKZQdl`DI=XT6aC$=PYxO9|FpM}Ps{(=@CuG=ii zIild;mEEkEeFS0|n^Q1UFN9mg;syFie?c`Hh8Wmx!(dKae@)^Cf(vXxfVqGpJqUI- zq|Bcb6m{y`s93>YO<4&oNt)1N>Sje^tx`g0%Iu~RG!ez=amxuR->9UCWw17S&cUpW zo}XDkIU5yw(Y3OT9x|l7@tg$%*4E-6%kif<O0t`2uc1~p#Iqt?wu7~Av!W`?f7qx* zK-N*PqU7Rs)=5xkAJ0*jrGmnbB0-1;gx%0A6K8EH4tjDrjqZqypZ!jF3g`&Z`<v{M zAr39%(QT0S-{g#p{w6D=i$ls3x*gL0n^4Ge4)NTlJ0WAg$pPv9O}5CuZ*oD}ICS@M zuq875o6tzdZ-NlkZ*oMQ{-z_yf4kpgkMwY8Z)&g&GWeUUke9#74jK7PD5R4^nb(4y zkk`M-8hOSc3O(2XdHb7ekypRT1wl6HizV{nH=&U?zsV8#>o*-i`hJr=^5i$!;7*(x z_F(@yxn*GSj(?<Ko*w|!!iwr0JhU<emQF^%PD`UT(mKl{g$rkp5LEW^f4~k(;T6c> zV`w|(vw(H(nXRft_X5-J*c;>oRet>2Y|VEO-(8gxN}o*dt=Q#U2VK@T9XZ3xI<?k3 z6;zZ3r7wQ}Q2peWO`YpyefjOLG+@4n`pd3o1$#};7^-^R4@?h~Ge`-_DA6q;yz+nt zV(ZTj?w=qY{WARFc>6Ese>!Zhw9A!A5m;)Z|A`xAmrI>@oa}i)LkVJD$JsqD&Gd(8 z<E)~n*(a@B-5=F_6!!Rb(GDN{vhu&b?Nc5#*bUul%|Gz2%c=43T63nKNBC>PwW)?{ zIT6{Fr=ebdYEDs<oN}y|a_L*@^iGz<F3nGBKJ7&Lr1g&*>y`Bne;aF}+Br^xh-EfN z+z+o(G&lU_eYlN4y&&0xUrcSH1Qri&th)`1Ik}B|g-@LtuZxZGu07vaw{&mBt@CtG za@NYE$v-)<Lze$+oadNT<VL(U<-$gMK;OlUc(UWWjmOIbsHJb@?(KKqFkC38{Lo%W znRP18{-SkhMsVaEe{%dkDeGS;_&<sKuVnY1l=;(sQ#x1B@gZ;kNOGL4qe4K!0N17j zvfxgu(IQiwe)nJga&^sg>jOS*E`uCNqu>2dtSFFpfOJ;?NF)0HO7RC!U7M1&!SPMW z{6O4qNw@YPRGL(Okm+#dEXkfP>@5D?t9RmSdw@yqK%4{ae?U;2+i1XY-qbyCgs|*k zFIb$@rnL|PS?~jQ`l~82DG_kaGX-wSTGF7+>A*P~oFQo29q{2A4c)g~W}I+cOiCDd zVhe1QwN$L_Bxo_!&XWy4xa?04dlrm-5YWg72=Nb3SD+Z?Rpd43UP^fFQExw4|AVXZ zWz9c45@L5MfB)bAkZ!-9!TFJ~;(#37&|u~LJKMLq3uWj${&V}&G4PA$s%WCX_PN4L z-mP<UbG+!iN4U?mbH5lf_n!2wb#6X8uK)S(jB~v=iq5@!Gojc!>cxnwG8*z+orw@| z6X4G3D&W5I=ubAX?E=r0grbY97Rlmy{vy2M{r=lNe>zJ!Oa0k#<l$)r*U+c49)GwW zkWpuw(rw+_ni6Qcsq&cIXJh1vcPd6F+_k>v(?smL-Ev3PG}+47NcZG11^BR<k*&Mz z_a>SPx_dChTWiQ;ZP{otFXXLe;HpX6^03jI=#m7zIXYt$)fQmGY_B|5L_3ddnw5Q+ zCP*F$e;9g%!U<x{W@Qhi+uPw&eJ0c}badFU+$rO<#&pEk{mU3XhHe+;p3AC`S>p5g zChcDE))aGsJW{Te?xoGv#uniMB152fC;VN;o^U4ZEIQ0Jx4%MsNteFFh-k`%Ta=m3 z?!FI?Am6EjjL!MI^pz`!tUKS>0gMINvx4!!e@lxUh`o=KI_Chb9l@6};5j=?E7|i7 z<B^vR`yjT<JvS;HT64x1FkXk>p;@4H-Q5Sx71=IW2V8E<jr5gtB%7ht*ba>;F+JP^ z9$MlO){Uj<47R*f?lt6y`@onoOwNuyGS3W%s8Vf;uTss93w!+Hh@{!c?<0R;{IIJ8 zf03>L5zX*<Mc?JW885^&qF*fkoklaH3XhJh)X?gv!oy>+7@QJ4DfX>Y#9QRyjiMvh zR~ufucAL>On+t>wWSR!Iui<buSoN7lw}}zd>t5Plt7(qZ>&LafX3<_zud|0MsV-lf zNC9`=^hej9C3f1guNBWu!!=o^VS%fKf3z8@^OtkSSo1OPiwM`5a=Dm9+E#jCl*s)6 zk*^QGXL>L1vpr>^xqNHZub$>jEnynmR@FL4k8E5pTC9GoU42M0jupLro%Wd8GuksQ zoqGe>zFhrSRm+0@dFfHem(vA0)rpc#C)<lv7^3w2D3NJecH`b^CCSy!<-f_ae+X(z zoxx=c&Ix<sO~Gv$_f+Kb^5^e~v_|UTT8q}tr~&0AIsw~DVz{s+rl}=T0|`j`pk1-V zKqg`fR;d9Zk~(N-uFRIl9<0cY^~7PY2g|cfl^Hwf)1WTxehU!*tGIh2Vg&ld-N}gi zG}Spx3&l<&VAY{qHNvndeFE;xe{A$GM)qR@?3&LOBN)slyXL@RL<}Q^ZVo9%{4i2> z&8LeIMNBTbIjk75!sOaD2NWY*7<Y7Ya4~WT<8IgNSBxCS44|9CixFSUfL-&MVniNe zgl@(cBjFe(y7^QwqK9GHHJ5)MiEZ?{XJ5X*t))6HERCi{Z5cEVr9@BGf4nHf&IpV? zSH*Or<Il!Sufm1dD?M|A-y!DSr3(2NWde5GzFf8KQtiYgWrh^}#O$LJ>3Ao$jM>~V zcqDUW*psA>VyZ4>GsRC3@wRMR^HHZo&G^05%aW^IJ<EkOVd{EaZL=0bnQk!?T18|- z>=^U8Gb?M(`5u939YoZ1e={eX4EAA^h!-upP`|oT(jh$VraEIc-CyzYWF(59u9`%1 zeRg!k<8Y3LNLp!K@wntbHcoK#rx2zY&1b!Sx<QX|kbd5L&UnS+jD5LC+g!~=kLW-? zPHXIW^vXil-s<(YZSAY(R2hPWjLO&bSN$Z}Cwz8~Efye*$e&i?fAjzRdYvZw7f}9L za8PDj=?#4N6dKdFl%isMGlbu46oFIz+;unZjooE_9#!LO+j&Nx^S~*QekX^_ERn61 ze@1<73Yr>oI3;pUBG7DJ6R&dcT>XMPYy-9mXJRmS?R@G)YKv(5y>Gus9d<`NDqTLr z-xvp@o3~Tjs(foLf9;&N(-)(;ypUUeuF8gV8by=eoKGv=z7jH{ftRMgptQaehD-M5 z8c$VKH`CmyuX)#u>Lr-DxFdZhPJ3Yl(8)>bvZF*(do9Vup*Nn$ek?tyg%PaE{$t$U z>cl{uBq8x^DWwYUNi2MF@KWC~qi&Sb$K4iF_Y2R!YF{%He-_=H+%VZcUy7_S%1AWL zw~2i5?)@GJF8paCh*81IK0FCx3?+?<cMpIVSz_VS4E*CNJUhLx!^e^Xq{8k^NGp84 z3B<y#4Zx=twiln~0I9Hd6VhU@nzf%lF@T6JX~bOr+QOjg;kh<JjlF+v&qTbtn>#Y$ zZTw=DQI<waf8K-|8p_+4#`vN|@_ZGL#2BfBY3dDsVcdt@MVVLA_qZ?lT);S7*a<W4 zXshFQiCE)|q61L6pZ$o8vdU{TsY5$318;MsndDSOhG!!dKDw|Eat}F%MP%huX!vP+ zqG#80QTJJ&bC~J#AmpyL8r~d)5Ta42u>XOO$~k$=e{N2EleQ9G0#ufwV$w%mfu3d` zKa3Zr=Z3ZlemAEQ$%hK#Jb1k_fhGqYZ1m)l3^N-%n7{EN9Q}14G_W`S7!nR1Ec@Xb zapXA&dF~yKi39QYt6i#i1rU-EWrCXJgs}9K*n2qw!32`~0EBaTO|<8n)TaRwgsZ8F zBl)v;Vm_$fe^CN^oSE*bA)2DJvX=r1P{nGW%JsWc*gNRg2J=lYF4>(Y<B!{5dNb`6 za%#sM+FTM}l$3fHn~gr8eMScin9tmumQ$;i(a39G-iJdjU>jy*Q;D%zIK8HKPhnq| zFA@PL7zMdc6&xO3mRORdCq~!Dqne!=3B_Rwn3r!70T_SoCiU#$>9cO-1yX;1Liwl< zApF9gpm;k5NoY@J+DbBRBD3}70hk<UHK6gas=5x`P_ik%jPk^Dui%nEi3}egGZelJ z;I?6|<C-(8%F@z1Ax8LL$K2YWW^45m>L2gZVfdY@yBUBusM(fr6x2{qvkT)4fSB|~ zh+zXD8RdVY=57u=N8rj#hBOGY!W^@67y5~3B+x>rjH?%oa!()vRIOA1E{G5|_ee`& zr#4%So~C%h>66%!B3+OJ(o16WZyXR`+E0(*faKCPdJO;+6mQRP1dlR-;%yjF0ESWV z&I}v}pm-~WKY-(?coYNT02nP8=K&O;;;k7T9Dsk~9T-6XoRJvn+_s;1t-G{&J1Gt% z(G(sv3j%1SDKu<AG41xIf<b!U`X+-TA4RG*!3H_I347Tw;Z@*62&S(jjot^}+!1*F zqpvdf3J`ex!v-`#W1E13xe@M<J3wG5?GJ1gFk^}KHd?meI98ai)Ee-mNa<^#wY-4P zfhvF9n`;D`{9!D)QyhGgw`e%(0ggqKAVYTqU&Yw#0WYi`u(U?SXW(9fxOHU72)hfM zX2p64L6?gB#Is|3{!q^4n8u^tU&EaO$*pPjwpw8vm@<{68=B@uWirxl6N=MMg_Y~C z+6~pCne{C*wmzdu1Dz{13Q=L{IEU%3mLY$pE>;&9oQG=BMnkpc&;Uy4XTYa2kAr5T zZ1`Iy8z1Q9g!9Gv%!J-g>$GLm7Kce;e%cXgYAbXYTj<vZ7mkX%XW|5=FKDM}L5ybK z2|v#(D~_j78pf>3uWp!6Xr~7uzaTJ6Ow7t!NP}78kEWM5paIpT2R;+2Fgr^&Waxjq z8!jGudPepv5pRjFbC(%H8L1d`GSWpLBPFBPjPwwAPH`#IUFH}{O4aBYBV8L#%aRQr zXbZr!*$LIMKagqS)D%y`*A^O?n&LtDT0+B8`Mn5VD`~H({KpAjuhR}t<?GlV!oVaU z1Xf)zNtmA;Xe)xXGG)QK8`dH>jx&GKhfwZH1Dq9dS0mhZm#Io)9AR))BEz0h<u0S2 z&ah$JaF>xmxvLYvUIzTE8W?4y=R*TZ16_=C8PtH<fZIG%+0fKXt@@>8QRw#(48{rV z^xAw+De9`>wi<3!^_TioUNA~H)ixRFFihz5=!Yp_k}yKuh`Tm2`%F9pRo#CO{q_j# znO%6gT~~u4L?4cR%MFi^3j->kOPE=7cimB?+q7lsnW<s<doG&IFHBu$FlM7suqiqA zMUOECr;c5i4ed{3JK{^^zw@qLa`{WYdV8CE?S#C{KrX^P_T0`h_Pq0{F^&4Z<-f~m zbJUUt1{UfJ0eU;(vkR-8Y*&8?tGDI7=_#i9QcLQJ10@HFkW;H32Z)XNh?4o7=88uN zxqsTBIw1OOHoTJM$%y;IsThYh>06UD3y*u`fNaIK&%AOY6{6X+;tTc|H?&-}MXMxS zMm7z-e8~#akCv-(*78N7umTm?_Ih}JI?te;s)SKCa%r0S0qRy__L_gv6KGu2Wlwd2 z!{J^5^lY^=TOY$?H(T$_cEN~%H<V&T0p`yd0aM;Q%%431ro6LoO6CZd@~Yqz@(7sn z^x>4O5isTT!YSD!V9IlW<&~IVs#HK_s4zRg3S}rUS*G-PRE8S!DOjNlMdmwG`dL(l zDzgWykhvqif`C1dE^B{_&j2e))&<W5Yg(ZtJ{PRSLI__<z}`+Tbi^mW3kjSGH`lEm zmNX0gKB9?<L>Kp&A99*?H2%4I%c0t!KD8X41fzXSh%{!wuDGVjsFr4nHZN_DPcfx` z5Rc0_)jNDHo#biBK5iC{!Q99)4Qy|=MJA{{p4u1)k)W1TAN_w2j@fQ!UJ)3VcewPH zxEE9{GaIT5OJ|#&4J@)ovZ=lgYP<FD+c*>c=c(_jb-%>(u``Ks->=aEsJ^ew4>=Sg z7f8DI-e}jS%0Lx+muiZCF2G00jWn~%*AM4Cj*z;qv79n{=mu?o>ie+xvotdW30bcG zD9+47?#I6U{N;bNiT1sRC8y2~pSvx_yn+-prpiq9R+YXmb}PTuje01`OvVKlrHAX` zwdq)6nK4Ji7^@nl*GQ+$QtjV(M@eAJ&{9LkKP^Nx3#rzppZYWtx|=xS#3-M8k6JN! zqgCB3dEY<ojS^mgo)+C)gql@%w~AR87ivCcH?yS;Kiq$FEX@?nD4V^QOtYrq-=sEp zBYxO{+2`JB?5%YCvY(z5AqVGP^yY*TdnaAXxcVO|ZGx&bZRATKt+k1pJ$=sA^b!S^ zOuSF<nK*)}`kF9S`E^@y&Lmfp&%}hObr(-`)64d#MzX+6bjJ3m(ge<WgFT}p@@95F z$u=rFOJILy`R0p@#XcXon#|SqyC8P*4@OUmN21Kzc%tJRPhF?E9Xk22UFA%=Y4?VZ zM>E(I(qaoYdUs%Y|4tZ_&%UY0*4ao6hI_syn1e}^4wro5iUYH!8)V+gvkb;awe;94 z0yAK+bQC9)Y=YzxI13nE3-+>A<sS&dyBqi-e4BsZjG#7P;Q=lG!V~#L_ihhN)!!S@ z`(fYB=)0)qo{eZ5Qfv}Pw5YbgB1MNzimZ^<QlaKHP`mv`;Z9c=HI{en#rmH<aY_T` zQ|im9Uq&Qcr1*j4>J#^Y{*2>-LtGBI?_88vO(M*a?g=JcI(0cyZ{Js(U!Tj21#P?I zW|4n~aAmXj50}r$-<=fgYt#`w5VqF13^Brh|N9VAy<}1doMJT!gk1ps*4vflUk%T4 ziUa=^4>vw}(f=5n`f?R`(q?gxPYv6h2>kha`?Bz|;F0D8OfD`PJZSz-hznI#VC}`F z7;tLT07ohdad}MRxO~8=W!yOrN(T>u@gRRI24wl-I1h3L4@zf%2aRK7ZlVXa0ZF*= z3B;&h4v?Y$2(H?0c_{dF{Bbj%Eg$T5Pj`*5xkX(9kDnN{kx8g|9hJ8fP(k1#BDm=; z&oA7fbj{d$eYdau9>*?f7`OM-XI1{lEUbt-pIjO9Q17ej%>L2mdOjZ%nNKQX?$Lh` zsyuUgOi>GBi#5HQg`ZI;N0-7SaxL*CCA0T&PGPT^acR2D+A$3+UAk&?{ccE=9Q(Q_ zx|tS5l^z|gl*lbXl*~q%E4Q}LlaB_Mvp(~p&HZv;;^UnYkcrrgDvXiQ5%w=f$?Vco z4KLq=yS-C(dFT&E@OFOu*}80M8pD55EAq{W2~qGq93Z$FvZuWN0o5A_dy6$ybNA0w zeYN6%;>>;e6;n5Rt@t;`+(f1vHR(})C!B;ta|>{%fbu=P^N3a$fHhQrYOWeEuR;OJ zxuVMhI19|MUGnpmLbkS+0NoW>;vp2eRCpE7_QjakjY$E^Sxf`ERB#o~$ftk3rD9X! zjRxGn?4kJ#G%0E+&`*M<G1Mn@DFxs?h~Y!4iU99@S|XL447@0uBK90O3_;rcCT=fy zv@<-XM(CO(nx<(TVe1zuv-h&)v6n~oVB#=s=rzAcXcm%EUjl!fSx0f(u&u+V#lxUn zm8JVY@Wg3iTi=`(uYhvZmTG^2fW_^?S^$SGl&iXQ7YN=s6RZVrbVIr7OU*#Q;ZWFd z;BbQ6m6j@i;DHOkjsr&q<gU2H1cEEh9eV;egdlh2rBZV>%n2O0Nq}PsOcF~CKsW{l zrQ5(k03*c`9SB}HIqYrVU~)#LY9P4b%&`i<VFV4RFWmux4~_?W5d42M!NaoTM!y?& zIL^Pn5aJx+G}=q*;Ro$1TIu*B>_XtQ1Jk1q6A0{QyXe}>Hz7VSMG}VPvo-V?s&oe+ znE2Q#FSR(UHaicXj{AIuJ;JBEI;TdY>E46+xr1O-G^x<nE)DUbDuF%1*_Z2UD>Alg zUf8Fd^Ebb{%!JBd(kXw`OsK-3sya4ibolxJSd(W%+o#A9^dr&rcL^ONoR!%q242b3 zI%z(S#>CrwdRX#Z5wnK=)E{y&`zS@QG)qb&&4?MIqk-q8t3_w<K~5?IZz}h<(ln{E z!$y-5M!7iark9W5vMl_`p_{f~l31FRjZ81JVwAYcG(g=d?n8f!^jq+mEXL^p6ohQS zGAkPYQe<;sndOat>EZX%3y=EvODyfB%Ys$Ph=?QH*Q+7QIx-5~WeQM2itYo9bVo2p zFis65OBh|l1>Dy=kS6QQAiK-#g3KWX>@M>Kj1lfn8R=25O_tW#0TfJQQ9F+lvW_A< zu>lp0Xl1+({lI@w9~ENkO<eGOy=U;tEG_T{9EC>CV^yEGG@^C!+;nlj6FzTM@#6Fk z0I1?d1-SjqL+@bLaBqY|Pnkz#)6gYxqg0&1*z?gkVjm=In18AwOe6!<tvt{<H)sP- zX5)inLaZ@2GVyoX<5NdwTg1IAtFK4DJq*h$4?MjdS4Dqow_`q>Se9PmrAH6iFN!lW zab6*+v1y(VBco)tX;G7z`Q}s_fms`-$F}3l_(deoBb*uE4dY-(cs<c#+d6*YQ~slC zr^aR<wGus@8AWsN<2_@E`8*XA5B*W=2#mJfQk{W|8eWPn-?-qgSY4O(2A{UXEPe<E z2%N&`1#N#!h}~F-f`bEQ8oe|);ahg&Q%u9MkYrQu_0=L;26g3&e5I@UfTeI<@~PTT zktJ~P8};`*E}`8+cRw(w(gv^SUzR@~vqkz3S)9IniHS0QzF;tDHy}>P`y5!F&Cq1; zp?842=#mcIZqUwMW@!(d1Xc-VF2XxaWu%SR;2(bzu<lTpx)GS`I^pQd*f*whdpMdb z8&ZrzVVckx)y|AW+8EWwOWoZUk;mRG&(>1M>(jFa?Q*4<HxO&EiV+f!t<zNdbW=3` z5dm8Y$tfAVGNqGY;cQuaF?hkYMGJwLN3^@()kEFg5!sJT0x??bKj>i0ZL~$UVR<UD zwUmF@Ds+MJ>_#s{6UzhYI&4Gw>XL7hea!Uj2r%rr5u>Zt6xn<wM-X%GyU}Gyd!`Qc z{uq`YNsrA6{52CErp{I^`C8k=te|bDPO{HgP@LIZB%hDR|1g`|g3({lxh41g61e-p z`mmLD?1CQRNm_-PUq;>mzx@(RroGARVc&myF7)&l@Ba7G&TO;?e)o~HI>YZiaMtVa zyUCw+=5s<TYwyS>KA1^*ooQclK$;E}G2$NC731tp`r;(Lg5%JN?0Bs9%&R0=FUzyi z?4QiTdGg{-j@8Wig*mt~)AO|1yc%1JKCoOJB}K?Uw9Myh7pq^`&6u_gj-KOz!!v(9 z@5TMNx&CXi>)v;<`KOccXVS{e?<XT!k*N4*Lm^;=;yXEOEh_%y#tOyv4BfBoy#2QW zN@ZEK&3I(kSz<|wF4UOn@}>8G%A|Pf>WcbQ5mdYbKHX>D2{WEGQf~IowUl@B4l{9S zv&`aFb+!ODF7)$!+DaA@zPfK*Y)OBD?z8OIqRS4%n$14H=z!=`qu;z(FM=<rGRM@G z$3>TR)5BsjZuh@fpB7&&4tzf&dqN^tX?ORtVWSo;EjfDCtn3MvT`>5WZq!n4P)BX~ zKW5%Ls>$tZ7lqKf5Q>6;l+cSxk&Z@MKw1bz>IMZgNE1V^3SvNdlP(Y?0Revj14zwQ z6a@j1P^3l?ksuJj08;N8_x_!6|M>2A?m1)JG6sw_-!<p+%=MNv=d;F8UifulNAob< z&;2?dD>7jWTK%G7zCy4VpA1+hwmB7J094<hm7kJ0>!0V)LPm#wHwOX2aI5kNc!5z@ zzG~aXZ77xK%WOQuy6AEEX25?+zygm)+km28A|PN&ZU;OMvDg0;^)kV{5~2oxd58^% zI|Ai@wDK;d9%vNRMo*+^H9g!Mp#U!c(&SXGNm9G@WrCpyBzzs{9DT+~W?g<6u+v}x z8EQJ<Kp;T4R)9jP@>6EyfLM-}5}+_>E#-10AFK<=ewrW97AwF?>1}^cnRNj-^HV4< zi&zUx7}%y0flkuv?iL_LZPJ%%G@U3jb9>Pz9lA0F#&G~16+lQ*vjLAC;OV*nU_atz z;Y$GfDj=)KOn_1@2IO1hoLJ6e4aXHsS#zIs%MTD3=L>`kw!bnX0}rGT27pU}ho?yf zXnldZ;sW#|`6dAFs@H$d(z%uado~KtRs$G3jW!p+m;m}s-y87IbfPTav8Q<w0S{cr z9wrJ%bB7-Q+cjX3w}C~Xh+;WTfJVfm15eQckcdQ2fF4bwzn%fp!vSmKp1Q`D3aDBl zJ>ba#Ry`G%0aGFZ^!qPV_wS~Z%if`X;AQCIvE8OZm$mnPuc?0zm>_117bt&ZIs-R! z^4P}G9CLe3rZh)bWQ)gU?dv;llD&F9e;)cMAP(;#i*;A796}4i<pc{}t$zst<e`As zmxdiRz(5Vu@7Mt&wZLs%w!QZ<*}7vc1BFf|iUUM;8mP+?Yx1E5rK>16Hp#sHp=}1* zcs|K++OM6@NWp)YJ+8$O1$lrt3(P3eWa2J=_oudJ!)%%6Wv?8C3w~+S!{5W-X~bS0 zBf8^0P22vwrbya(K!%Z}M6`a6UyXr_PZ2x|tb%jeZ&vk`>dX&N@1-4u{!mw%;L$XF z;pS`pGWNN4rN53{KlR=qum4yfyk7iP`i<0~+}nqLg&2Po8{?Pu{{H?z6HO-k3E&^g zT45=cnmSVh{=?vo@cjcQ#j3a(PM#idwsrf%s8QJ-Oe3f@M*SghK$HKb5!CUIrP2tT zC2B7GA#f#j{Q;i0jcKC+pH%Ms$!uXnU7!KW_)+420-X?Xe==pM%>7~JKtcX6MM4W| z{~-HNFH?V3lAkv`6&qbts22wmsPp3idsoB67!4{`#WDC0P_#s;cGox5%YZ1|`cL%t z<=X-iJb(rr9HW~5ffZFLUi|~paG_DN?jw=;|G{5ztN*%36V#{vLp1syqNo2MvK;$2 ze^KSX`8BQ<+<5tiD7*gpKSV}uU5kH+*xDlp{t$mHps4wOh~6%fmH!awKdWuUYy+BF z!@)5Sw#o+JAJ)%hPl*Ef8@A1`RX}$mbFtc(P+ANe(2A=-4zdKG5%Kx}HE(;63DO0i zer>F8j`X7$cSO^Of~Dqr`8BB(?L%*>P%8uX0kxPhUZ*PZ%+E#7xwZRNX1)K$joG~> z7L<Q~s_Dvj0T)01q3KFw%e*Zr7*SB(w%y#UUuj|Gy8rpJ7$6$!4}ad`S<VJe#D=!8 z0AkV4P<NW4ay(?!SARX$R>UmEPk-IORwUx-o8cmp=U%x52gWVN*IHlPWuIL6W$d3( z(^ILC8?@ySWfl>|a{;WJb#91HKJb{5_9K68@Wf6-`F>{XTBLfGSlrsZA90pK`!6$o zEY%x>(YqmzRmU3sKbH)imUr-3V*W1gIDOc_)>yuu7`p~n=Y3lqWw_^Y8Mw}kUF*6b zsc|&ZF>)N~2mq?eqhyQBk_>l3fAu_lcsRp@*dMSElNt_T;aiH!+05>H$1#)8`(A%6 z7Nh@8QC><lxZEcy(-Cf>5>);)YS(p0zi(9^lNVwgx384r{d=PMO6!Hzh<F3d%(ot< zI_5^vUlW`p=OA#&WNXfc5O~{*+;?kWp0|8BS7Gz(Tj)!TM#zGT=w}A!wDMUy&O(}Y zdo!IR(>QD;o2@z1Abf5}^Xr>v&qjZv$S@&Di8W^i<a|3K_uUV$lo$Gn3IuX~xA$_R zkzm1HzU3IN>q=RJO!8SnvL<i%v|aTed@){k)PtjDPNS_V2R!ap-4QGA<~im&50`AU z{?*r$$eH_Y5xmovzUwXBPLMQxX0$A6pwFD)l*Jde-|M93#bnOEjZWSOY|wv$u>bCD zo79dGK-aGiXy;Yk@hcb0SVH9U)kS-O%Ir>=zxahlhg&_7A9*D!^X1Scg>Nv%>--!K zM1JIh>{HUA&0Rjr7%zo6o;UI%y|Pb%h=+V>F<v@zJjU`P?__;Qhe13L;l17~o#H7r zl?m1#UhRJgFemPyKZhIgKQ({SFMo7z=dy%3k&1rVXk_J>$%l&ea#zZl7I<vrU;aqk z;v4F>yzPLj7#gzK5uJ-&24}qGV{&shCsNQ%jYggY$$VomUmKk&9}HNckp>&#O18ws zN+bL7aLIhRwcGH@6l<l25c76ch{AqvrBmgLflFv}gGQ}<xs@in%1VE0y;BysVV~t} z|CY_~<r8poFwfmVKWQ}bE=b~AjP|;!WIN{l)aYutlb8h}tWeJOt*k@uRCWU{rq+1* zlR=l@baqj$+#-18xian&I@_R8Y&x5qEB6H~;<faPtJF@zTxe%GFWkyr-u8p6!xwG) z3M1Qcr?e$RA>Yn!ZxMg+*cF%5N!!|a1tG!$mIWy?N7U!{$KX;`=ua^47Q<1ASc*)) zwB-km-4J13%UsK%M<NveHOh@j*H67A@qr=9#^A*q86TGDVqNtjY^M4-Mbh+)#E8)w z47!kh{yTTNi~_{+1M9A4n2oxv_D!!yLhDN&6R>@@%u^-+bGCm7TcmzY1HiCUqLDDL z9jJRy^n@kCy6DkqAkX25Q5psf1BkXWWRNnJS2%Yyce-y>I(+I)1d{I?gYh{sZ-L~~ z7mBbs>gQAdB7+g5SqwUvp?k0km@H5~rwL5XjTjwb(C27GDKf&+mJhjiHCuhvN6y~# zLI5k$uSA=`z*2tzW>w5m-Kr>D4!{JA80BElyZ|ONO{Pf3l9LC(Sg4OE-Slz-Fr`)K z?=bLX8iwEUg;~)_K8k-p-;GM|PrZLx67Pssq8(u1d+N5+_zW3mSxY@Ey1m{_eMIJ_ z*JBxSN6U!OGzQJ0KJpQdmYITBa(*<rHlb5=qg*|>N2Y(M-C@Ki8G}A5y0PYL0v64Y zSsOL7n2;>`k*hvp4X$(Bx=|VO$;iX<1!}MotpWqbsE^EPq{+0)SkBa<)9YdCBg!`` zTV-@Q-i{dUV9@SGKghzyVEt^FNMvP)-$~0~SBidApv&qnsgEe$tn849?ARMITF0OR ziheARjlq9AGM0D7j0_zw6#d9iA29|Wx|QCj4E$u|YsndeuR_Pdz@>MNNF8Q(N@070 z1-Jr#q3Y$dz2nUnv0IXmslDE(Kvi;kY$Q@XdD;RKq`L9oooq`lA8sa9PjVAo2UMl7 zGE*aiMrEKX356Sd15aN=tFJ|f@&4{jcaj{@?~{L$ET24Ofe9Pl1dyR`_)g7g)0}Hg zk~z8qG$+{Ndhjp>aBjcl`#dvBqm5}a;tsn{qczNbw`{cqIJtZIUe3zXkbgT#HbkWW z&S1Ixck5O(TJAnRrdc+cGs~&+x$Y1^t0io~AKXV<P@fmNa!oGdLG)K(H_wQE;mxux zc>sUx;n%cGIgLg)!yW)+8we%aanWxyX9}dhgMsE8Z8S1&EvIFY$;bZOrrmm3I;5t( zi{|w8LX!h{XtcMKY)4nW(~zkUWQW@y=QVVDfGo}V(y6k0`tPbU<>3bTH-5Bi$-R?x ze*h8g@YiGCL~k`3*|p}GUNYG5QW}}@|B`=|Vcp4Z@#0Q##J2^okQaJ90GtsW{S|PI z`+uW3Pg}eQ6A2$5kab5xblRzUD~sTUw|u3uI%U*N^aP+{1C)m&fA_958ilpq=VOZb zn&wnl?P7@r8Ep6{jZD{78pW1}E9U=LaDYpeTX%|D{0dQ&%bJj#LqqmD7WLGY!Rvo- z`50!-mlgg(?|7jT0_2CU?Dg(9_MT}?^nDfc6|QuySO2}*7lUB!{FC1Wx308VyP_c` zZN_@wHL&9~bXI`GaN>S1-pT7l)Lk0jo|D(Js1lkLsdR2g|1AwFmH&t&NCBWT4`u4} zEi;e9QuYlbtxf0W_%n}pEY1~bs>pv9-%l8l2Z#FRyh)jUF3TQOb>^@bdbae^o*WVV ziX!)L<D<$K-NOx~;FoFw+_~x2dGhBzulk$JKArHd3>f{P`D~ilZuw-Izddcj|NQLU z?9tKuXaD}6$4Rq3mb)^ME${ENVq<r8^Ax`<VN)EbaqHU?J@c#OaXr%)x8r|0rd`<# zefD`0dX8d9P}f%zBSt7ogrlQ<YaYtQwsjHZ;?Vj8<pOVggK|N%8sBkoYGpzdd$dNN zid|Z@QN@>AKi?@fZaof+jcaXKDu|n;V%{C`w3mU{=1t=C<$v8iQrSK%eG}W>JQ)}! zxW6#u)w-sXudpxL3zvDA)1rT~rdje3>Z-#alr&|F6;I+7n}YPdxBdF~)WcB^yON`# zufHbvt9zbm9csK;ZjiT%vkZ=O_#Zz_)4RuS1Da)|Q`a=7#@`?)>%`TlGZ94N^#rXh zmao6W9*jnvvHIV*SUdh)oq7HX;>C((+1#0P?D)#F>g-jYTy{<m9eIB&o6g4hwniN3 z&W4bG#!Y8DeSg$?c%%L2aYfV+Wv65C0QlW5Z(n+GET0M4k0Y0@_a6<Dhdv#@u9w|0 ztc~LK?y2fl{xal+4ig**_iela43WN#onhnp8EM;XeN{n<?59475FbKk<v1+@XG6A+ zt#(SbdPen^cK6q`CwhOD%cPE<t-buqWF>On$kT~G-^A0Ke<<Qz(Z}EG9hm`f)d6v# zZbz_HQ(U6e$N;RaAVk*i6E$vMN*-)5{MXLatcT2pRcE!>2P%U!*_ZUMTi)2+iTiGO zY_({9gV%nfTW5K8l)N4`y$1Yl%&%)YLYt5IZyc{Pv>v|`KFEIw_&7Z=x+xy2KYI+D zusc&>`|Eb4+sg52zuUfz-@^p`VtgB0!UR`>d>hNc_!RR#Y6+)m1|Ki0Ddm0q9(OFI zf7EISm-te9Yg@|mFiUq`YTexL(1R?8*`gqu7=Lp&^nPm%)43R?bLQOdRsXoz?NNX6 z+3j(E>)Fr=f5(5>&~bm$*_H`^m)Vwae~Veo34gm;&2j(Bv*Q!~ZnNX#{+6>26aMzI z4&(mDv-uPLS7-Cb{b93$6aKcdf+PN(vw}qb*xB!6{sFV!iT>QPKBNAEvp%2xFZa{j zk#t~O+vc2RlBVqq@uX1O8zM<K+ed;)%eIdeB^+OgeExqK#=okz`(OvsDaQ5fBOz}6 zlaJA`|I=g;Tc8P#Xcz9NTD)@>*)1?=>@s*<9@odjH}^7UKqZs1T?%Z1D`tV>D{XQQ z9e<QZo8d=R)ua<E743K<p7mUJeRJ`C;_i<~2h7KwqiG)A-^ZTs;&Sq8vJ+Agh-~Fc znaBHgyv~0FN&f2p*+#^HdsjN9D_zCfB!eKNeu8~`Ey$6fp4*inT$6H|WEw9-XUKe@ zMS+rx2^I+j3=MSdY@Nd4Toh)KC80Q>l`fEBj=NJNT$N%#IwY_p@X(7h8-SwucTZA+ zNnnC(LOIBQDOz}!lQK$B1$i)bGe)1<WvAGa{0M*IbRI0-^wHqm%cP8WL*^sKT|3fx zd>o@yndVzI!p-<mkSs`-bcIlu&`jscG{jsYs>Kq-s3jYuLYg5&#BYI?7>0OU>DtZ& zNswL<!We|;yII=gg3gh?5+LywpaPHzmn(CdQjj)jlOPbU2yz6~bGkCMDFkVd$OMge zKhS>!sGiG}rA;MBpR`BdiWdQ000nT)Ft*7Dsgb@Dl;d4Mt)Kv|8Rj<SAYIZnK{Q?$ z6b4%3oMCE{4N@h|5q3b<3}xJU4B=0Gm#r5E;78Q?rAs~r6e&UmNR=*@b2peI8!rS> z1-Ww`NK^PoPY46?|2{$Xa1Dwu2~SvwpJ0Coq?=>yWD8fL2$Jdu)A6kg>vVH$ogCp8 zC}N~8!hZY?gE*Z5Yc%WbSqd+yiqIFI!B9?Tz;?h-ktdB3eB;ZRW0`lADB2|7gmQ*h zv0Wz87D3g^C5zP`6y!$=B~*>}g>zC~6YLVI8HVY~xb#@URVaF-H-v!%M^FREouhw~ zJ6s=lpKgSXgdKWuMg!((zFl>S8ey2BhxLGu!bD0Xs510`4iqSUga(El`U4q?3n7r9 zhv7hl5=B^N@BnplMl<ayP&7z9@eK^~blq$R5)@N{I71xhK%U}DC})VHKY&mi2_6h_ z3<t`TFoGf7G3x=CGEC^9J7zx+p{#!s;^>aq4umMmq>J}Y_R-5SSaF|bw4yKJ(-NS# z5pW5Y37#ZIk~-mL0ytrmzM5r-u7r1z-WN2)S;C~H7^F%1Nzjg$B4{Us&@V9$F_Z{t zi3i1!Km?`)4tgQxZjm-tiax<OA(dW;MTOp#uZ=(GCh0uEJ7IvnfTf<!mA8M5FUXsu zK(J4!rFUehr*{Rn2?qI-bO_Y=6^09R0jx8uZRdi(q^E?zco%wArvEoyA*hEjntoT3 zGC*jc>tR0-rmPSG>3Y}>1S!*mb-Eso12M`zp&(wB!Gpe=J(_D*hr&fFCWwRL7!S@- zWC`V<xclW1Cc7UJROvhzV^4qW3XmKVJQ!j{cezLx66Qg%BD<obu!MPrSn*vxiZ@A- z;F!=rA4eB0y30(lB=IB|f{sq^f=Sj1h73oicR5I^2|b`A;aw3@U_uYe5&f<ysXRW8 z`G{%Pku)D4$8yB5Yfb8j52T+z@_6RMV8vW=T1!le_5VL_5EQONVI+T{2|4ky4A%5z z?0Q_`IuuS)A)z_mmtmN`j6;tn{C{WjGIpK{=b$){{0Y+uVRY+^b4)-z<e|8eVhH;Q zJaoW@VvIhy%Sy2&T_f}*Sksj=#<K77Q*M&f2-XaS4AFwSrzy>ZVR}QJ0}v&GB$NQ` zC%S0fU2l?Oya&_&!ux;vr-Tm28?qdMb}dK^@jc8(%)2f?QT=b<7t8reF-#?#TG~N+ zK_|4Bg3L(>LKuBDGdF#50yut@uA0e;(Tb%+Op77N<S&*IHZ8RvLDDnA=lEuZCAxIF zCB`A95)mz)Aa_zUfhnE?Bm`37bOnWjfM*M#^$dDd7I*qizHom53X-Hj@JpDWZ(y0D z>*NgwQ+!Cu1eb(X`aqUB`c81T5G8=5Ply7l&^n6&UG$0F6BJL96u~qhgI=7)fF3AI z@+3P#b;2;6A=d#X<tizJFbpzeInbkU5S9{vkDP56L@_26BzVxr3hZi-CKBf9V!^x0 zq}GIa`dFb|ebRqUf-1cSb2kXsE)1j<!iD%iP#o(4FQt!AP8Y|1aEh`-@SuxhI}o5u z5a#LPI1WT9JO6F5^7%0lpF=OplFq^ns;0AID`DSNArT1@q_aVwAYsxA0-CU#phoA* z7)mINS7Y#{A7U@z($Wp$Cb0%tkz5Hc6SnA<n1)zN#Q%T4=wpgO7f5Ra{rD)*4rq;Q zhNVq4$dI%j&q80$XuxuyNzo;&gL+sF3@8xN0KqZ70p!7OME7458s-CSiV(pA6vuR+ zLAgMf2gR`*=u>zIhM;4{12u{&p$Bx#e4tAaC&Yn{nW8zgGMV<yldcoqCoCk~Bgn_w zfvQ2>99n-GL9AMwC3GdsTFOC^K{}*ggj4Zq|CI(13JM_U5h4<{=$BYj=v+^<oe1(I zbtI(H%`>?2x19_MCh-v<2^RDP%qk47f^DaRB1r56fdoZ*N9KBvt3aD@5Q-#B&`9v3 zpJ1+Ma20A33yL8z6SxvY=r1q_fM)pHP6dUK1POn2@h%Ll^Z^_*+->?nETnWoX}m5& z82uXi3|E_O5D%%0&=nuWFwZd~)Fw`eAsi;Gvxw0h&`~auSQ1$1d6>mOdi>!hDM2J& z0uOx#qZpH(FwlyfNXZF(^cgH=bb7que3a`Xd0KC#w`M7$*8_(OQf`s72_Xqf^usK% zjJtofBql-zy&?Aj;~x!{no!Q@4(j9&KSjAs5+EohIMO#TyEAkO{%PT9gyQ%x&~ZXc zu)~j@|H<b@7j%gPB_t)RGpm52d4g<7UW7LZ7IX!SDon1zZJa?@Nw*1$35s-%jP;DJ zr`p(q>`6$%Sb`tj1Y<put4JGnkQ*tIKuv!Tp}W8sz&LZVjV;KI<U<%taG`5u3}BiO zZsQ7a0p!POf-YSc;~L}4sWy%v$N$sk7Ofg2PU<Ec#;-H1@kAR^=twN_EFeQxJ=XBE zloO;1!t3~Ch77t`)^KTx9BG7bExr$A$f?I2uJ?bdjB~_`GsK?WWg{gKQsadf4B3A= z8N=l%=ShnM#rQwEhpUr0T$!Rn;v$G7T%ZqRo&$9Xgo{u@NumVZgfRMb<~fE=p>T0Z zEQ#TNB5a50%9()uqC^RyX(qa8v0b1D#s9Cg-T$G4RiDQVGsm3!?>FlI!%yzNZGepK zOn>yhGYLglNw`24$oQuLvQVr50r`Kg()s5xvHdG;3^;%XB1utv#b(&AYi(f8$(X&= zqulhPSLL9*z5K3t__k*C*u+*_&3lG~2br<5&s7&kALNB?w;{czGySU^+@}|iDgG8M zb<PnT8MP8EqMt*Ni;#=;?UUQrr)%?e=w3Q|RcDvhIJk3vL#CFRgcdnRT+4r~l?v7Q zyo_8d)vv!ddFr|^Hc#kxTe_ETb}7!m{n9sbN~v*ZzH>xFu7!$&oX?`iO{%w@iu)~O z6K<?u1nX*ZOQ&g>*dNtW8eML5-Br^0x1)2+@0OhP><1;%QSiFx^1B1F+nk@(kc%<1 zR^8r`lKjn^4KMZtI8}Nk&wPJ=?EKrE+4177$fo77ehxxuw61Y)77DpoN3!ZJl!O=G z^2cu)^VC0{)VaO_tPHLDdnm;$rinV%A9ndi!EclMIimFrbNXxFrj;b6-lf|pddOCI z2%J83IrO1(L}^WwwY#i({!b_TX1e`O_vFI$jM}^jpOuLWBdaX^Ck}t^17sC+m+>}m zf1@q2FJVUi+#q#&Z&5DGs0oc+)Nfd8n&iB$hRrMJX;<{}%dW#Y%q`V<eBi?ww*WAY zXB`SHDxcetivpxQylPg(_2im7#}Dm(UddUaPaWoj>pc{}r;I~$og-v(izUMPwVD=) z{i&5RR~i@Ir#mXD=HGuFePeY-_flPF;V2#!I?ev(*@0qR_ti1u-ha&xqj&+A#9O@h z&GQZgcDKZvVu=0whHkozhwrxm0w&bz8*Uk0r@GGBu|+=Jhd<BaH~Sq6Tz<lvEXMj> zOx#!+2i~dJDF&iZ5xw=&9q_u&M?@5VRQ}Ja_)V{?1&%+po0flw{T)}_WE+>>mpLkW za8-Foh<z^QS`ApO`?l}(OYxml)VnoXMGwh^Z*Cr0UDp>qB#xc64rBL&YNo|;2d9V_ zAJ-!RtGo|*uzfiq#(tx|4$F)Y#+rYbdVn;msi;BJ<FO_fVVwD@Ga7lNrm^O^pL`7) zPNwEln_y*8HnM+4VMIe{>>)zUe%VdUb`YlFm^Y#!V4sRmwl8!A+u~tk4tZ}h1nrX% zqV}I%rEF2KG5fsN8vOPd2>ruNkC?{t*wxO1yTWGAnBF)~s$#pb`55OZRcsm70pq+p zL>-LY^Y3fgs^?p|*vQ?orBbPrO}?SKu2ciYS#3|ROV@v>;f5kzV@AtkQ#((GmGrJX znd}OkNjBz^)OeS!OZyjE*M7ELdjXNw7&;=G_^Fv8I}gXwK51qW8TV^N<dKp%^tR(p zCKiT~`Rp;vYMTSIZ0TwLQu#Eq$SV%@+K4JK)^|j{pF*~%X*e9?;vLIC7y>{gvH^St z0GzQsgi(JT^G5aM$g%L9+WuAM6yb}=_?pf&dRv~%$cy%|7cLSn!WrxZ?(C#SW)kn! zuy-6)GUo_Ct9j62O*{d=j1WK_8gW515ca_nj>NO@*ND73F-Ban8m{&?!y2%>7!$0* zN@Qx}1LEbH{tov_lWbvJP34L_k{@SVqtGE%X_9{<Tvt=GqJmVyHP+O01XPO2P@m8F z1&-<)SbDk1jlWsL<0{*;$;h24e%o0yRCAPn6Lt;Lz7jlNv0#<$#FIjOzF<ZBZ-mym zYmByCX4IyIbfK|gM4*0sH|Rq2ew+Ma+xr(^DkVLRAbn}0_frwoMsYLonywff*}a9i zY=eJx4x9?Q+yA!(!V&HUj+x%9#s*;8A$u*0Jhrp2_dU=fe8(Ps{kDYtx7=?cbJx!O zE^syTw%vja^6YZpq>*B{2>Lh)0pmf4#s!ip<}Own^PTY^DOX6NnD{fkC-b%rv$TdH zN#uQ#PPnv&8ep8)AxmpW0mdgCSBQo_V8DNMh9DZsfRU|pQAR@pF!-j(*+qN+Q$Vc0 zl(g%?{<Sbm?p>3nM=cbL3{$k!Rm46M9L&(2)oB_9hJ!)fSxS{LCvY3|^Ja5(`a-y1 zzz`f4DIvb58J~O-h?DVw(i})t81f3?LN?QjQV9(mAj83wPL{9$kio!z)GUp2*W`Rd zOCm;pj2$pCTFNmdjL}ksE-r5H*FdTdPy97-o&eu>qF@>EBfuv$rJ5;BTOaqTr5Trl z{iyeZ`90zpd%T<GYMGfnwx)idrc@Z0P($ueni9wczNO0rS9NXR3<!<T!WYvJR8P&* zp_{&zH9a-oRjNWaFl%;WpS(Z5ibojQ*SST1D$ANt?Z?KSj7laV#O+tzDjobVYxZL! zPsTJ05rOulZo>ZWVO03o805yjbxn26n#!{-W}H|}WP9eeE~dSfybAXVG_EPGF<4Vs zT`)u0j}1ThRF;JhvOjb)U*$GKIcN}`e6&i;J>J}C!1d-aOPwzh{=G5I1-r*vXpGE% zM_vB(mr>anYT_S5Fvt1UY^M6jELoWT%|8YNlkapvn#<(6Jnjj)o0`uo)%1|qWHcXX zagX<^6*8hSu<Oa+51yUDOOCY80Sq#kMVUWb0&kv`1j8(^{HkjOIDkzH*&BBee)#S> zJO>=Jq72Zn<K+LLJD*1+{zF%2f^`0Whwh53hW<ZvKAA;X|In$y2LGk|jPa5Ehwd~y zgzq0Z72L~z=`x6&|I%3_WB;MkgOaoUnTyCJR{eVy@x;6T&OIbv`)6)b3)lDW@+5Fc z|HeHaO4jB6)OBZgne)DD+|^4_zU9*z-lx(b%lS#vZhngW@45AN$dtMk{dWa__f5w$ zov($}MlXb4SH|H(Tl**SOvkgFtNX?pehl8(Z}Zd+xQh0FJjtvZaLTqA=HIfAFNjYf z3hD;P+q%H~@eY+3tUspZs-i8F7z&@R%ac<<vfxC=&H2Ij9HNyUBqe3a7+HYl+xdYJ z#LWWpy!b4li63N55RWFx9P0IdRL?JL>ByQi>TgFFRD-=R+fz&O^OItqO_Aj>Wt*t= ztV7K9N^soc9zs5!?!`ui&>6u>?ra@w)Na=I2ux4P>B>oo>3hE%vtDDkrwc9O1hk># z2Wtnidrsf{W~5BzLy4g(^Yr4{Cgew}uH6?6ZkG^)_np2?FG<Oom3~}*I68=<%jJ9J z`(OR(hV!1@*Tbh4OT(P_9f`@dwv7Xzx56;Ik!R`|!G{BDpjtwG2M5;Hkr7dOm!5d? zTD#2C9b{xf(d4I}8eKa+?M0Isjga5pXSB~O^(m2d4!87EtzSv4M{Un(?+odO`Go#m z&&w++rEA)I!B0ehcPZn4!Gj--Pp=sn<+Rkfw5Iy;@$#C^<I|ABOHz0TEZ*GsZJH$B zN^Y;-vO~%Z|2Fw%TA$rQhRq~1Z%cwm+#_NXw5u=8(1>hN(T%7vp1FtV<|T_fsr)LK zv*&;f%tMOq(Bak-_pER&!8<v7?%4G_q<EM(PCRk%5-vS>CwoeNg&6weO7KqRo-I~9 zFD0#`m}pX=sW2wLrUEZcoWdZM+H_(5g2-mL+rruzyfIeU9F@^gN_41bQ9wn`0N(){ z-V(Rl<^t=25M9hmPla*dT9YDOaLo$=GSqaNbvabPj3s7Hfb8}pvTxRm98)ppSQ9wI zg)ulmW_Ysq#?6|4e7V8^p&${!i_1vdGm{UQL17F8$=9Ad3!cc{Gs0Tu3ZH1rBvwOX zY7n<)K=5)lY9U-ccp`Jp6+4_Kd^$`6r<%A2#Z?45X6>0{8*+sO!yveZq&??4eASEb zBBWoPd>!7EeIJ&1*z2c!k?dO$>!5tPO3?X+vJsp^96qUkD*00=;0pu1hn=d}Funsj zlpzLoI?qc{J8XJtV>9e}N?*iI!+T!K-%4hk{`;`Zn#@yiM2bz$+OxwNzMMzEJCddb z?Y-o1`q0%c3g;4u?iJg&^G;qtmfP&NnR80wJ+Oza5V)D>2{N4{IswDYj>@bxI*%)b zu6|R<GC~%A*mMxX%T8?V$|s5Pc_xSJ8A_m>u&?#X#B=s6usy)FB(>Eht~U0V*Y_`j zBvD>Z)3$j%xM`A3jq`4+-{9a4$s|#*XJ1>=8QcO?bV;iGB014U7O`-Q_WYgw>WJ6# zIB3BmbI%bQ_i|$xb|_1X(^D$XN_kL^`_ZAfD%n1Nb_J=Fq#@w>OO&d6AE8!JWV~{i zE+Zh1z#uzetwnyms!8}0p2}?-`WR=nu@sSc%No2*T@@8`Bt2$=)g0NI`h5@KQZZ$+ z;^!-b3x(oS$0vrY;HybU@w$dmQH&1jn1r*3Zch$b4$*fbX7#{C!5RC5W|-GJu~Dv~ z;<q?|aB>xu#Bj}3hpp2`$*;VOoH#R<*x-%OH#LGqsM?6ZQ9H1{4*I#(%&TS0&<QeU zS(X@Pk8Lc&7o&?as^E(-Y0o;(;r;#8vU$H`ZjvvCL%!C=p)6|%a|`-8+{8*s<06(` zPpZ@m!j{*o2A%(<Wr-aPH}Qg@1ZzTG#14XgFW02nxK&d9#K5?EC@O8dA)*q70@t_z zzR@g1SVg<(%7mV%8TCYsDQ&8ZxC|{7tMk};AvI=)EgRX>uy%oOK;O`!{LM<`iG{Q& zKe#U&%7GT2Li`LZ6$RprvUE(bAwZ(Ce9VvldFIApQ8g3J9ZF3BQWoWql@aT}lx#MC zLcC(n2p}6YqYBgn(kOC?5zta0fI?kj%maHw<7dP%R`3y>Q~Af%wUpmq=gt4%w|WwH z3Ci^qADT=2tAcsUSr>|YU~@UV?$8p6wYeNocWA6&k43oqCBwMD$de8D%a|b{a<sc2 z3yez$snvjI!2E=Mj;LFe)v&=PbdGO-XPNUV7^nG$*d88LovmgK*$h9j=!fK5;6FkU zJt+QsiC5>_lJJ;l=<jfo@l0V=;7iUL!^p5}Wchxxgfl?}C=WX?A+v3A!cE*|?d1>> z!|(hsDTxYSPetS*8g1U_N!6NJ3L?)BzcYsyLcKR1K0C80*grTHsEy-=rjf{htq+N2 z6(+Z-QAvn=o2#ye?lO7G2u;VHCz#ub{a?tfiMgh7JdQmDW)Vr7KvWh{uEHdk8kLai zA!q2=vjk&GWDlZ7r6cNXUb-HZLGok~Vvaq&W{Vto!(;0=;Z;e(gJbLR@N0>}ugBJ5 z@M}rJ17quKa3NL{y<<-wEDel*JPnLymM4%P#~xD{Qxba(ejJYIQDQHaeD###ECM4# zD^$MWHSZGDE1qsRhvXEg5bwDA6=Hl?HSSF~GvnYD<`H#IaumQd4=0LJiB~FoHl0JV z+s&}4;dR<(c^vjbe#&V?M8(rh=jI#*-WsDcUqoxs1=e}^q!O=YYTuTB2)sF|XmH`T z22L9~_+{fDh4=>Q_H54|g8Uvm_47OWdBn{66O14`K6Ag+l&o11un?;%fvUC%+teF^ zpbBilHuT)DkOL~#zDJZ?CW}?9eTgWsB)eCvEk=|WlMO1?79yTWqk3$1w)7k_+Ywlc z2(ba!pb*kv;zO#Ln+(x^+f~gsyWJH#@X{wgs~w6}Z1c&_ZiizPU-}H4LFL&v1`n24 zk&zYk-;1=<h#FA0*BV<;I7gy0L8Cd5h=;ljXoM)>RubFO{l4?VQxlzgHA2qgR+8Gw z{Cv*gL=xK*{Cs$EB1!F8em)Yo;>32e-*;*F1rC(j#0L<(l@-N*G4TP72@oJV)E8cY zw{oC#CqA%Y)=rSQ@#D^^iX~b6+wrVX@e@<5@YE#dXU;dIYI-BtqihoO-zh(nqGsCg zY#`k%$etBq-^beT6Q!W~m3#h*HC;)s#K4{z!96~3Yj&#iPO%wTzM|}FEZ9t6nK<eS z*~^JE!TPqD|B|AAKC>CVou`#S41wzR*;lIKmXadNYK_F<s;pFyBYF<w&QCV3=j$@l zS0OIALWUsJL>p`D#x2?J!D>le(y!dVZp;`V(KZ(0dKa>t6^L-LVJ?ijAlZ8?Fe;eY z$8J(l@~6Psg;e7CihP`)&N~)!{ub9n<%Y<|M#v-^#Tqexxh!XSqWM_3={co9+ero9 z7N7>3knJnn7vHvKM_OVh!kt<&Bi*nQ_B|t#@~TN)@~`H;#p+`mIPgXLgA#ZXtVT_6 z&ZMU}<~d68mgt4<M?_s{*XuOHi{y|BgC)$GEH%%@V`J)N25|x!Gw8SE4xeYGay67j znZ+m)TRTI4*JP-rHuFG0DscxIGwioy1vg}+GBlLxn$-y*^&3j3Vg4tO4C~KZv!>*T zCUc&F5IhWP+4*`7ifpzij!5n*BnnovP`IPOxH_o+qUg2>d^&OJt?o}Q%p4zCdCs#z zmfB(yxW(P^fY<@;8qocT!pwom`hWvM?Y3D59GOIa7HAAX_a_K0&Q6tBe-0=BGi*k9 za#s<t4;u49_oqF^fR_vg5YkkfP5CBw6ccVZaqnT+<Nz#2f+*Da+TG-jPV!SWAzlzq z@)z=)j;KqMq*mD&)(CnTvqfgSiskb(4CYwR-n)t|kHB|dCJR->ei_@-#Cas`*;7Si zLnje`?E999hi?zLA$U7%>CE~Gct_&Yn?r6HYO+mSP~J%+vUSA~(AJ^IR-2BO=A6=a zQ>?x#BpbFWfK+uvOT+gQr~2%@=x~S7)g=YbKO!R}?|Jr=1d&^#th84z*2t5^Xp&xR zm<J}xPd<~e#Dd{wLp|u*ih(C5={(!hw=7A2pl9E=NIZOVxCc8_CeGI+4SA^vTYH)s zP4WhKp2k%{MHi)d;)&-f(s6G)9(ewK_$od1`NGU@HO7h+byws#k!T1#eEoX!94;<t z&yhN9FP&%Y$t$xFnune*lNvL^%E)xhyTUD#D%Yo8tjLTHmGcYAzmj~pVQ7ZuuYp{D z=uSoC+StnI<4S3IpdUl)@y;A$Q-CoK!}Hdp!GLC;g;Yei<aCF|LdLAHp)x?Tht_o2 ztXcwMp%B4Rq4Ve$ehZBI4%N7@?6+hJS58D$ylw8#O3tu#dcm6deM8T@Upg0t|9IJT z>rS9~pd<RZQ$U3Xt_({31~mDa2Addvpyy|jRS|KtF3*=}PCBKXhN!eb)4F_N()q22 zy;)7H-d|3kA>IQ0{c3!d`(<*|e0z-)tgu>TSvTONiR9~_8X|PEbpR=F1?Z+M@X{pm zEnsRYhb)N@$^m+AI>ND{z37);Sqr9}ZEO$&^m~ahH|&}W(6u#iVo>VY<q5riI<rz0 z;xaHLn}X=H*`WC#IJHEb*R=36sHZl*G=}e(AwIGaKnjMDZ&eIwF4KB@sT5J07B7zr zNz$3X{ORo$Sa%xNT|{6-WHA6dCU!VNspo+B<C<1%qRuc7c2G5!FHlqN>{p#i4m0Yy zpzw;B;=Er>yja1!>AatcNU@QB?;PjO5tb&N0b0Eommu<N1O6W7KC4FJ#1uXJa*}Yr zpT8jvQ2_^9y_CiktS8XwvvoYMTfnt#APYxcub=+~-1Um=vG8p`T`(p+K|fa)8(zSi zhH4`?HY)e(o}MjW4GHE5%-&>QTs#$VkH`ddBdBfa;Mky+q*D=T2pjBwnc;V}|44!B z0yt0wd$@^1c9Aqux-3fo!=9w@?bKDP8Z)e9S(Z2EQ<C}8sfZ-ReVbE%db~VBhSuX} zpf6wUDNATTvAn>vqy`+D1&q>p5QLy(kC2%+M;_3<BjDQ5MOu^pM+*4D()f`4v?l*M zaTAaNMgK^FYO{Z&K=!|XQsB%#QlJm^j}$ofuN1KTR|@d{D+N5^3yH#gW9t&|g(TsI z@u^dldoSgmIVB2gIS)u{*kf^><LAxtSnXf=DVGwHpl<K{291$LHlsjqhgk|Cbs98S zaHpVyBz(R}4FU^y_X~x4L-RJAMXZodZPX&_O0AJiHaQV>Tv8f;##niz-Z1|KRu5aJ zB-UEe#z8jXiA|nfnMY<3gs3DoJPaEYM7EGGTqb@fzOi$lW-^oW_Q&Cz89A`xD1BtV zD*-X;6nyVQ?M{?FZDVDOEd}%91flo`V@n%4aOEWAsoLQMJf<=+B?WLv;2fZMnn8~n zhvL(L7-6`7A`%RL#EfA=Q**=mer$yc&<-`|5x?(wm~D3a1(SwC$IK~NV)w}|A9pKc zkInwIUqun8a12n<2S89XoFz%;WYxq63z#S$+2*0U+ESK|67dTy8cJ5JI7$J6T;ZmP zI($G-Doj*>e1jI0sUt&d1cHWSP_Ed8bCH99E&)z3UcZWeuENOT6-U4Ve3)p(inf47 z%n_K}L!&fOfR@nlHUi<W8nlh27q#fBNll^6Ak8pWfd4r)UkOeMp-;jKc=}(X;x+RT zx)oD6!I{lv_9VQJ=jzKO9vJFG&1EG+H;FM@Y&vl4lNC@#ydZ}$Qm36)T9fvA<&)k# zcz$v%ETesYkzXNyf3|L|CoyFq_876_0Y!aP*hp?a<|C`u^K}pp3v5cqM$&V6T!>wd zSXW+|?&I2pz%`2EtFmPGE7*YO7vi;1=PKH3W1D*q(j9laC7-eE=vvJdu%X(K5-xi6 zFKuQqsn5%1py>7(utHfzbPX_)Img1&XS|4YC9JxCIU>jK*?u;Z;Dw{4_B=58mRSDq zSY3(D5;j&}5{D+wSte4y#@+))?A**cBRnRNTqV4da=2p5u4|oG+LzXIQQ<1~dcZ*h zJhb9x2{8my0@eQgAv!<TLQbf>a5&RkNTGD6p&I7Rn)mnqgdOI5QvbKZ6&O6AVx}`r z{}M8P(MBr#II;v`Sh4qpygzY`3`@5;yhc4-v876zViTQWjw6lq-}5S*bC~ZDbFjXh zc)kw^yG#qqr#Wo^XTNamx<~3*&gqI<jeAE_IvhQe>%rJg!#)f+oACp_oh)HFA^`|* zFQ64NAPB`nJJh5AK^-ulmIXcQj+-^6ool>*fYesBaz^6}%QP2I&;T+A$kHkr4JU%z zO42FcX>j>-x>@&V%`^uqr0p~m!?Y7`WAU2i#8w!;COk3i8{Xlff(2G-?5=h0<8?VD zmqhOnj`{3<UWH`Gl?xa>Xhb+Z*0NtQ*O9s+v_Ij=E&_RF65Nygr^fWKz<wufhV-z1 z{3$Vclhz83Sl4>KeX~Wjyy|q#GbYQX>;poczoTDF!_owi>f)<Bn76DN_cOMmXjP_m zZ%|;%#mH0W!E=tbc_^*mR6D-|KZ#{dN)z&2c$u_}kzv(H$cPrkJ+`?t7T$d_-$VXZ zC2R4!v}0(^MJy=bz!#pASk(J^6O4m@R<PUmR2jqV<Y+}Iq$dYPJ6-L#TtTMT*dZ3K z^%fQydqO0iC5njub8>lWnpq@6RJZE4M7G<E{{HYmTH`YIRJ4yzrh-5XLUh$B_<o6{ zr<(EP6@#f}GdF3XaZM6OZ)~ZRr-0<MyDmXl?N_h^S{|}EW2o}iXi-c6KiOn|=t3IN z5<1)adkQwIL>%n&;E_e8+O$$)V+?REz?ZwT;)w|WlQriW>|nDh#8n^wVlR(?tWR7v z8{|U@u20;fl{!seG#lhaa<5OwV^jpmUULmB*+q&(*SUrqn42UK(&-_aRU}K)1LprZ zxb(DS6{!-V=NjrTDnjJja}COWnEDfB_PK^ZOg%r@e69fubAu4=0bFLgGqyIOXU&={ zTv7k!uw5L-1XU&-?&-lflAPb|qdedf>?q~+i5N3CSt6>_V@C$nWizoAJDW=6g3dl3 zpVG2*iRKSYX6?8)F(n5NV@Jt0XsF^k65CTJijs-5(Ahyh<z%8hboRA>pK>v=3p!hy z9@>djUV=|DNdBZZm<lmd7DP58J?~<b*KH>+Oa7Dy_~Hg1W~VAG?Q`Q6p~_3q^D=mI ztbNJ43&vf5Y(Eqxf}2Q;O!FIXfH!bZbtdwaF>@!#T=mMuur7IGv8#(_ma{SuS+6Vt z(^n$C2Cg$Bjj*O|Eze|ssaTtg+x}Ng$>%D>7N(l=i3?EuA-p#iPBt;}uHOLi&k1NE z{{l=OLbP*rnL9&$VPhTQA5ltFgX+J=FKWPt*{G){@*QFNs>Cf<7l*9KOIRU*S(;jD zqY5xzB10<NzfLuk5cw+dgZ!_WAaOPpFIzgHWbX?1?^B!SagK?9kx707aQHx?^IN<( z8%_XPN1EE?!{tHi07Wi`90+E2k$N>wan?0K*4g+))QLjyR#;T$czUL@DlyXCFD_^5 z43QZa{~R$;FTih}ELgEmOTKEMjI5o(+hgmZU-aZm$q+RSrsmDMAp9{CcY@VMzsuAW zS9dhK`izQL@>S=556W4UT!p({buIg$ROY7Fb?f`Xub=vR3m3hG1ce+Nq@Q+_9`)Yo zm|tW?K5Ke*d+meQfk8!O=X1%%%3BT*p25Z+v$b$?ja~u9-Ww19vJEzVpZlpdyO8gr z1^#17_9yvEZk(J&_k5JGFK#36LBT%724D4*p4J`DZ$5H=R@V;>4UTyKt4SWsEYX~E z7sjk=`AFZe29I|{VBY7JF0y@p86DdyEBh#?xb*ubDotz2a5Y1<AK4-;>vZqELxbRC zz#WI7ACD)NPVwGpuf3Li9QJx+v|VQEeYDJBbmo&7qePUyZe8+sckAx)s7cNZ?O%!! z(LFCt)o>Mmy<Xgjir#p_q?Tg0w9LD;VBtIXoac6Ko{%GEsCg(uwZz>)OS!9aw~`p~ z{{4Hl_vGP&-DPhkW8BsUHrUnzIGJlO)H^ErT3f}drpm9sH`G#m8DB)(Eoqk;xU4^G z(vI*RRpfZezf&mgfv~xn=>bP*YoN+3w==IdLneuT<-a!;-!K_>-|hEW^R|kBc?YMh z<K1V=cC+FBnleKCNcGn%+l-^^=G9Efz}or`@|d0&Gc8VC@>$ew(vJluc3}`>h_i#B z8k5Zpa%bnOS52fVsaou-9ajaWDm0&6XtoW^rQ7j&J@lb^=IZ0?Cc!U%K5hRk{PJC> zay}z}#~}=PktLwEs_b0zxZ3sOxTpdAKIph_?ZbD*=DpGQwS%EZ9SdBCnjif2e!pDd z*P3-#aU1J^>QD1>Jri!jp931lL)u-^mOd2c33wnhs~%(2QDu&*F5Xk}h8@qZM5S4( za^OMDslK^+!*wa=Yd6)OJLFE=iB?!+Ql4jjgr?r)K%RN@MPtIgOi99oZL0r!ixLW- zcS}F->CuJcJgpDyXI!TL_7W8<SA6uPKlt}g`YD@P?d7td>5pP3Z|)W)Vs>LCjDj4D zgCm|le|G2g``oLGOnDIRCx_qPM2Y_X+qHFKt=X3aU#j?MEhLTI$2Z+l|D^`CRv_1Z zXZX~q7o+p1%VtV0)?9ipoa3SPDjT8O`{g~KgwMUqXT$_%bwfpsmzvy+G2GR*oid#g zZBnR<=C26Smwk6wnrF3fnstAj{Cy`XmQ2^2)$IF3*k(yC?ks5pE_~`vd(+QrcfTW6 z$KKx)K5_GA5XL_B1xEV9nNLq8xz1aEZ%xKn=|4#S=%cQx;ibg&prWb?QcXN{jYHtF zX#ls+%iHHcg@6D2X>-{RXV~i;_n@vjbC2iAkreLuB3YN)G{5g$Q}^YOdrDkvO`6j0 z9F8f4_uM<KVf0_WslW*iJWfn_536{}S;<leW-iRRY$>McKOT_Ej7co&b7t{>vUpzP z50m)LUsX5t)y&+S{ajD@Bm)?(lz&3aZ3SD$1{=@CoM;XRBElG$rIQR89&$sp<$t{o zLT-%PJ#5v`DjOCo?^}8QG3=&26I}Vd#Kev~ql-0Ua-2f4)Ba|s{nbvJbYDD>s(oAG zOTHaPYngL+Y2O+pZG+|K)k_V3154XJNXNjd<lBk$CM2)kwLy&(oru@<$=ad;RcASr z1LiE3`R32Q?U$`Hoc5eCu}?ozZ~oF)@+-f+a~?PAB(hs`v>aML#K4+I_b};onVqj% z(S;9HJx``w$eX{d7G5V7axiixtG#!v8@!X{74Vmf!sKm*zsH%GU91*=&ni{lKAF!f zet*JqN4QMHK1Yz!9<st|w&OE7h#Jy0J}DlNM(5X6I!SglD$^?%UJ7l0q07=UT`_UD z#*ei_)ukhfO9y1Insn1DtSr>NPNbD3F)hi#`lHitr7?DfL+kdp*c#6|WbP5TEHI~b z+TnPB_0XXMY20ylYzK#b%b)rP2k)gx9*$-CB!(upYJMQP2hb^-d_*$(+dtnU_?5Gb zCC4?HY+&~;_q_91F&6ZEaR>V7%1DWNe&$=@Pm{<~!gR^Q3lnS6(Q6Mm3mT9oJ~4cr zdcVNk9`XTa)jD66zj(W{F;RUy^R3{k@Y@XEKlbxEU%jmOGj*GPt+}Q9cIi~9AK{6c zj*Pvk&F%aXYu~PGt<p!GonIxYOlPx@qKOi9C|GgUoqZFg(Ym?6TKbkheWJWPO|KGe zP%tlGGc5GsZ#`>=iygUF#>!v5@$=JaaL8q<Qeu;jz^fX#YOBL>%o9ICeckgk+HQ=L zZ?Q03>zoSKiP>m>36E?N_n_XGe=L<OHFgzld-i5zaMs_e0rlmkuYA(16>;hh-kEE( zRlUFCd!3n~{#GE;>gw-@cb7N11`qABIol_W1mA67d{~&BOq_GFo0Z>h7&so2&nu}& zFRSkTeZzL@Z^X&ow#^xCf3DB?+Q_iK9y&Z?f%N%`^zC(jsT8O^`aV>V(8Pqh{!~Oy zbK~=@_TSOvx))nnBkGl^v`6kmt8}P6s<4`{ksuRqr=5HFvEjlYeTHMPN7c(GWYQ2@ zTeS96dfrmVlj`wF`c5%t%R+bYlGFA>@Z{$4FSjI0Oq$itDNN+8z?*+O<@ko++R@yB z5fOpK_~G||K`BqFwWqY&Men@%84~rPC*#XGe9HZmlxLADrWJe7i1WA7_4}@dv=)4p zEB>;@Tq=J<uUp6cDJ(K?wut|g*1`7^Z>{ZwoplfL+dZ7B$E|ojh7X)cO>H8ly0|<D zu<nEyNJtpGypOQ#2sz*MV)b(%v4tIrLX7pVHlCz^UVYN$5^`{cJn3~W^Ot%g^U1H& z_HTl4<U4W_^vMIUsrG{-#MYbTp*vL5j5~{Mpzj~0s{9W9#wMjl&pES<b3R^p_q15D z%5f#ngPZe|#Sb-$iOHHwle)c96b71ow4~1bNItEmPhFZAv7{a@kXj=oW0AAYwUHei zNVQ;pHeaBAo6y<RF}a7)(>fu`LvOU2{>vgg({0(g)Zyh-lTUn+@u<4EI&(c^uFc0q z^b*1oLz6n`7FT1^3NI^K-0-feQ$mIMvdxx4?u6x^nEg`Po?x#QeY>zYE$|E1vkeaX ztV0JU>u+<nQH#2pYZuM5AFC9?3tmW1c>6PdcfA^A4VTwvXN@4s*LL5KEwj+wLe8`$ z-}<Br?O?6DCQrC5$>4{$NBNwUpb=R(?d%xaPxkng<ZZ_>pozWj5Cu#3?2yoTLHt~i z)bm5s**<yvlE$5P+-YivauYV~v;9cX<+v;ssnXbs8V@-<d<=dQvc{7lO<(pycv`rB zTM{StkOr;~hRf8OC41ckB!?u#gOG0fG3kg7Ro%JbJo|<0CI{BpCx~Q1vjz8v&tn}> zgEuFXLjU9vt3j1I^2uB*39yZH46-<Quid=(5Kn)uCDIFN8TsI3)k3fvAk*BP&(5}C zlG$r|AkmUHPGA3(n<usWq4{C`0==JqXz=*nf)dxyiBE)BWv*qRP=<S~;njp2=f1pl zszQ;h7j7?7uYX=1k{@$-x_Z7t%b@nw(EF{5?vr&t$Aq96t`Yk0_8gRzDj40Z5FEvS z``b|K>@Q9S)fR!ipb}5~o;&gC%Vrz2%f#=)<Sz74^lI5yss9~fzKy9r8|t5bA%>Wr z2@DZL!Kx}vVV^2qO<~uCV2#u8*CU+gGEJ`CP>(i|^3}U>f5BS|VNzu@EO`3b>8mP+ zZ_4$Q{$2$-tzsfJc&3S=K);s*c^VhZgx>u<Zfsd4X<4VXb+52!8&jP;T|K;~`9o^o zZi!#Ox@FCuZ;VJBt0-&Lc3a+mo_Q7@>3DJf|7g>_qnZldbzy-3p@TGmNbg8T2!`G} z0@Axk@4dGG(xgc5QL2Cl(nApfNG}4Sp+^iwr3n~HC|`c(J7=wX*FFF2ch5UB&%EuK zHM5fq#_2ZKq-A8an#J9lR}fi`K7!}xNuHhZ8Lcx^n_lNeo$H{-8=7Q)FB<rkeClqc z<kyUStc9F)FY2OFj1MgX3;yX=y-|F#es5vPvU8m!CW&mZQ!2AP)Oh9NV^>JjoY(Zy z0x;lT@=agoyZpwQd34Eg%Fg39qnXAInr#nHDfq%emF}Gt^23+tv4$bx)gK+L9a0Tm zO&NmL))ub4$*l)lz@9*VkR{xpu)!D)CO^g&^r8pEHGEX-%E$xSeX}Y3Rw4b@EXhT? z=*C){;#!Wk`}#b$;KEnL+l`$sn{hf*6gZIA`P*%2o;4mMo6ka38ov4IbzGvMzvw#x zJCgiA3>dkmg?zmb(<t)&Xj+<iTg>bId~x_t=GYCAx$+zWQ7b@y1cmnS?S*CqCs}_o zm#UB1)#ZL4D`I<tcDj)FUo~V3tqZ+6EBi;a2a((>ABdZxIldS=Ust%zu3r5!8L-<u z)b>K%sPlDgXXcylichUOm0?1nYtnDO*}=w&|Ga?>wA`u$HXihR07Xk0!!Ae%OpyHg zxCqyqd0o_864WezuP6@)vOh|H+7}FQMvlIXiBz?yeGndSzis&OaKn<8(EOi3*It6d zz833@iK`6jNX>tu8WSH~ldTIrG34?YYO{t(d@oVlWC?-)R%|9Oj9yY?V=PGTaBpfd zx#`or@Ggh&&pfzkOFR1t8OyDD==Ncb{u86>R-v9$rj&4h2A96)Ppw6U4R^Cxe&MwR zujfUzJbjC2$$z{w9@H-L3pTCOyo99&FDOc*{=y}p3g$gAi$ixvrOlTzg#p|2{ZBL= zf4@tO&+7G{_zr-vU(g|3feM<(giukMs3eppV3YlV0TBxPq<KsLJpjh29n(T#G}lm+ zIbeX};vs^65&)suS2-qzD$?w0v=Ad=z47)xr$hrWT;MnqJ5&`&$#p@7*aT*>gVRtC zpg(~I>=(p{fes>|vYO02eQGK7FXYPH&>f%^yIU$dsj?8DiKboo3xhHQa6l8J^o2%Q z3ZEAG0PqpGMIEH##|)hX_OS1zy<veK0wdVL50wpn0e^wBN`Ac1HtMnr6bP`(xtH`t z2C4wO;sjGED*|q4xY@PT95fu19n>9E#qKHJhrR$1&{VTONOTZ`asXd)YN?BnBQAk2 zxmviiR8dMOHIzzrCOd&LFTM<v2B^U~u9BU>P8?4h&xqg$C;(yrcmO!?gc{BDAj5$i z`VlC9q9jI%2m{bk18DTrp2j)chpGV7foU{)8e)WqX8<0ch?*D$A_yQ!oyW<qDn^S? z2TsyFV}GY6MuzYL1XA0)au9*)QctV;2|zD_BC39}P$6oYRFojVoU5A%fe#>~u}Mcg z0i;vgWTA)vGMwEch#8=dvY!xim3l1`MF|Lh<?JRytOE~~{Y0Ty>a{Es9w41YE(OH_ zpyCPxAgX|@s>fg`AGKU6N&sNU6-I<;16HUW%Rw2b`%+Lm0A1=w>c<jLbLvN`Eg%Fb zK#1nO%CR8yJ9S?siUQ!vX_4VT0qp>O<FLqbAb@57r8$tV9LS(ez)p@ZGQ=uyL-|;L z1bR;0mxUq#uyI}h5o16F<zoTp9CcJCiVR@Sc|nT!4*aBiEDSxOj><w216FCSQ&4;W zMXn1%L^Ci(^%w$u2n^vwCZVVRv7E>Z2P$Yk5W|7YLfwNV04X`ZHWZwfly&lP+<*xn z5vP{27!ctAP^G@0zMuh6qdCV_{T@SqJ*gilwNN8EfFD#_fQWxU9~D1IsP+F5qJH3^ zs-Gg1m_{xU<p{`P4+9}+ffZ`U<WN@{xnxv0K$OD*>L37h1{QEx#5?dnP5;N|Q0l&P zlsw>w>*5|F8K|IgEDklKj!HvG0$^Mh_=rN_Cza#JP+#h(bQA=z$@SmF04e!@Q6o42 z$u!qVC|`gkHAvl$9N`b(qrOf+*#qu4Qugk>A%WHcOE^;0zK|+=0=%i))xS_GM*<#F z2dPnK3?{M@DL;aO0k$;c%KvYXeP_3bbKrn_(%2+B&_Mstti`c6a>aQ8WPx(PKA;B2 zF~>0%kbPV|JDHtLnHr%6AO!M%a`3A?O?>kJ@d98%t*8DJic$eAQtN4o5hx2lB><8% zHi>UQ%7joIKpXY6h8Qv8GjNBq8-S1l6msIC<pKCZBb7?@1r$%B41!7lC;`8Kg4EAA zi_%f|0a=`31PCjfTW&5xoEhvy2tPmwzyQF=!LRv$0~KYVBmjk6-OPx8$3O;lixiX< z;5)~ESMc#Y=w~3S+A%dWnMMwZQvaWn6w>rT9hjioKr?oWR0n#fIZfY7R4~AoJ&YD- zl_&Lk^%hD*1b~IwORa?j;R3Lx_EK-5Kv)AeG>$2up)?>hYNiCnG+-I9mFATSwbFkv z<&j3qLqrxZgyVuBUVveL5RTh3_*KN{m6@OcfOC#+HblaIl{(5BaKsLdd!r88kYV@Z z99Kgrqg2Hh5j?;ht}uK=?*GrFESl?=4vf$?2;N=>J54-2!uh|P!)4}(<~q&+0xica zl%hZ}C=0w|=T{RW#6>)v`n|?~nY&7TwnvT%0?5;xX?(%$G|Du8(E9(dmJZ5D^GMwf zU%5@h!k?yH?Z1maPg#r}Aq-^IJeGp8(OjpY3;~fe<iFAwH-RAF0*zdpgZO`{JPG9v zm}3uPK#&3L)Q;~%d4L6+VN3`iU;&3krUM}~A85uAMvw3W+G!pWL1k!g#t`8$_=ozv zW(yud15irsrO`rvh%f{Aa)l8ius{W+V-9H61CZzaCGNvV|4^;gYJi*P<yt1sN?hBo z81;wuRR7posjFRBncmU)Pv&QNr9OkhnkwdB1|Qmrp9hykiv9yXc^`OW<hjxH8xCu% z=t~YhTo*qV0-pzdEhTp>kCd5?hQLnym#_kk<=9^x-ym~;k!-<BjNjnr?;jtPMcV%p zv9#D_wfF4zT?{;`+n^@@vo`lWcsB6xW~uF0%k0g2t6jO>n2Lzfu1N51j7HN<v^6?r zGP)~*_+`M|2kmEh0t@9oDHDx0hO71p%d8^xJKUzO&E&V`f6HTA^p}mewhziqa({nR zN7k0vacu{Gcl{C&HKKB1Hl5U6Dy_>9+%8;F{i~6GBJ>;2sY)lj_$qt_*9!P2@DV3S z|HYW$lr>k?_BfG$^$0iQ()1s16ix{I3;&86;`y7l3y1Q3X>19H>HAJ*hXp4Z=GLVe z^2{5vY^59WzQ3Ep$lydn*8Ts8`pu=qcgA+jF)T)ZIM9TvXkFa=x+UK{k7okD&lEkE z-WhLH#|T;AI@_-LU4yHy71z^mz5lmA!LmC&7YkcCSPu`Qb!H_#W)LKj-9A<_@>Bmt zU&>pP{Q2GDiv`M=CG7}5%9`R%gmk^OwH1x<yD*NlFj(0Bhx3ZV?S}5;G(MTIH(}|A zZ5!u*h}lqHieIHF$ldTeWI615J~?VvW)pqtBB4F1P&wKp(xK~}(a}{uPw5#c*Qw>b zuVt~GBjgrLraWKoW~uqUs?OHgcb9J~gT#~b8<X!d+O(M-F)#fy?k8WRdEWDK&KP-C z_s7QBcFe1Bue^W2qLYVTGq`f!rz52Pua@V3`{gHtTIR2fr3OD-+M28tl!0XD6gobe z^?0rS?#l`khW~_(PfQep|L&E6u(LMl&UzK-Tou}i>5k5km1mxjY6nmCHDm6howQ!V z@GmWl(f`;DIn`sB(G%6<b8%51fs;1&yF|K6pX@9?^%zRD08X6{g)eYI#%{=?zH#q= z#8CUS3^a;U;Dk3jRwJ#C37xC;x+_jDgDzZXoG+Ktmp~RqcgdRFR6V|`EW)n7f!CTr zcd4FzP(3ahZ=;oVEit~R{n{Q6o<bMSH~zVLycuevl6K8JUQ<2ZtE7-bcWIYBrS;mL zIGjk}goPcZlXlHJPHBwpQc}R-C}bOd@~MAfLrZGCX1iy}t{y{;4lqV%DTS02l)(%> zO|!=WTc_I5xr^hTxoATr27TSmnp|^cbe3{RX@O0)#-VNTImP%`ybaz71YXc5UJwx5 zf4_vDT7N_#rhAl?Ih&`MF2_TCCT4<q-6yGk;VVW!l?l7@Z}imeUhfMJEXn(SXxoJf zDy&{0+v)rM(0>0kEFDI0v103!9^~^u#0dKUMeA5Qx_M#lcNQ}`R0)&AIY8E$MRzHm zeN#OSiwB7bU-kx_I1z=*j4##l#KLZ6<+NkiUKG<^5@aV-kKf_Ts-<1?j6bgBVXVm& zl^M5>v+=Y#IXjaK$+?yt55}o~Yhy<Z>|`%V(GiO|>5HNyys&Lw<qBu30Lv%g`O3FM z=;c}-SWe89PR;;Pc&7VA&9Ay<?n_AaZb^Y0*UeeSWH+Z22|B#?6Q@*BKWDpVxg(4m z8)dSZ{KAGLYOQ6}!CcQ<QQ&8I>`KdATr{3Ow!TH?OFP8DUTZOic|Svc>Y#3zwbosc zASA!mHm*OlwjYFXDGA}*7nV8@L2^kQgdiE`+tXWM+KY!T`}1r2@iEdR7#(Dl)WQ9I z@e)jNOV?t%IU<HZzn2&T*6(G+0Q7sQFq9=26(q!c<)$TczMa^?UT@KkB2q@bmpqb6 zKav{L=DwoZBKp?7IsOKJRD!WW7A~}ZN5qKeN6PQ-&bJ#T_ZQYiGwe^ft+)<<DyxmA z+}~YnpG&&IFTsSj;0#w_!_Z3|knZCw6OFrhUV<S);=t^I*czz=^%i@L#SI`<rvxLm zU%1%b*wS3-?hCykEx|lTHdnig#z#Naj}+K9pKpiZW0^}Z63FI%T6f=<H_Rrh-b{CO z?_DV($#A#!c1?$Y%-U$0{qLnKb~%3|VrN@I2V)5IBl-4a7TQA*F|_*F=SbgL_oJ6L z3?&#z#{pb$=rOBqE2|Fnx{ItyHz6gM2m7I4+Pj8h`1G-sEt~3#tjRaKQU@f+SmnhH z0<5UMcj$|#*jPk=3ycE0E_I;mFpyk(1416vxZ9IrqiZ`MlC!}q<XH8VO~b_)@qMZ< z?e_TC1gV3<p<AI6%#_33V|}a+GFEjlMs|MyH;E8?<hIfrcXKXvU_Nw9t&fGb+{M>M zKi-e6b{`<cD9*Rz0zQZ99KK~N!FW2v7S-NZA@6h+V;=5*XW_u9u(-6!4BkG_$7ZxN zSGg-vVaD87aKZD%sfTYvOE7lGJLSbs<e1oncG2V;k`m0RV{A_C4L%Z9={`V>`6qQC z<Pcj@d&7W)iFepeUaTkRzq~O+!fM<HC@{NjE8mB1<@B)!Edvf7y^23=x|Ulu@vuZC z7>MI0t{xeG_e;l@6`?ngNSRU$Oyl!5$qh`Sqfq2ec|&?^To@V8Uj)1)Oo-<r;g)ya zh$_d1fp!#d1j3)1tbEPM^VcuMzLJ|2@9$LJ2p308Qcf7%2t*)NQ}tSq=Pzs2WK>4Z z#Z$SlUjEcErRyg=e=Slr-*B@M|1HfMk)<9`*DfP}`@5PqlE5C5oD(KCa%6meSNWQi z=dUvLQ7tzs{$H%xh>38*=+W%rpenBiip#&ojxsz?(1=`bytq{p%e5Ok0x_NTW@(yv zSQhOgwDV|rx6{GL4FjJkf^cKkc&90*6uM{vhuh}72iH>e1KAvIG+bbjY=Kp+bNxx! zhvm_Khx*eGOQH`crZXlK&Y$dzy1-VG;Qq_IcEf8I`+?2R4_o#FA>yH77`VAus2T=d zC?5I(_Y{-iz^<hiK?JdDl+!pEAIJ{xVXV(ji*)Df7g3_ObO}Pl?zzLi>Fewn=qGkD zhG7@62P64=sUhv4FYiKHOX71)f5pQ#YnL>CdYFekYGd%N{XebW-Yal=)n*)ICcru& za0RXW%f_MKB6t!{Zip2v@R=~ime8z3WGqrukk0c>s%V|y_E3<#)GKUGu+VFak{rXK z*S_Bw<gC_Fw~3izY%B9n%aePheDi_lqKV-P$3BjYpDFEsWTGj&8=T^Ns@N-1Mk+IZ zE3Y|kIwcv*ojZgolan;8RomfhZ!Lqrn)S#<+<(<xqtg6Lw5L{<e!ecnZlW3B@-mTB zQ`xFge&#Lo)yOwdk_o8ESK5i)v#;H1Tt<_gYd%KbWU7hNtOKz5Z=|e)koz@@9?<Ah z5e{zt%0?Az>4W>_9I;oQ@C4W+xMA;qzG{DXT2qxL92{}I(um}VNVK#PW_`$NrLKf^ z+&7YwKfoiMy%%y$NPn}`yh+8Hq8mi^xFY+LZLhFU2UK(O!L!5&?JPpsj<;XF#p>GL z3EM^Ms=rZsZ8JgqR<X4ozDGMLUwNP0y_vg?Gu@8k&8GEcqBmRQ3I^^fcB+tnzW97q zNt`uOP(<%@K0zV-H&}hU^TFzKmN4Q~h0qqNzJzEPgpRUL)p3+El2P`VKswYDCM1h; zvClB~U+r-IoAly9^9?UuP#)^yb>qLaKcT6Vh1{N+^#wf*ScbU|o20zHTmIdB?lNcJ zEjC3H!D+R1l+O=h)Ry_ea@sI|=pQL#d#9ehy0grddJn4wN`^<dJD=q|p)`)Hspx+- zQt%onnWMrxpUEUo0?=(QYz?{h?FoMCrs>ZSYiL1ld4=G?V@uPx?{x%h>I*8XN^fnc zN|~)_f4MsjNU?((0SDJ8+P=!F`g(YGby$70Oia96rBEk$1>_5CG*%*i_4I|ju1#V7 z;F+S?k?S%<?rWG3`BlyR=)>zOVPYoOp0wfk#4koK$Al)YmJEu{HUy>gg$&C+(=33k zm6q)zV$AE9ge7W{2=)=N>vc@X5;Z|&{}J)s>zINiYKmy{BjViaSalTtqw=hgUiK7G z0@6W@1^p4B>?M{+LFqz&K*mDuoS=$yc)&vLnn2?vmP4WO5#c5c&Z8J@L0CvX7$f?* zj1rRumr-OoBycS|;In`}6KS>~)TF^LDKecCw7tZNDKecBNTuJsAV{TfX;r3Y2}2G} z5h+L?w<*U_G%PE*B(Sq2BHz5E@K}D6N%4Exfq`Y(B|+9ptdL@V=OZFY`YF;uS>jzM znhC+g)p7L0;NYQWQ2*Z#N75U29Y;?mL=XA)Z&aQ#q<Z+8>r-TSSb{-EB5m7@dI4~> zHWb6P#P8?(Xm?`|y|K5o!5`oo6zKaNwP7*QZ?v@m&W&`UKi8l-*AP9|U~AThwXb5! z7`kQ7n%l4tslLL0FT*xmo;5LjHRrEt3H=h6!F!P-{ut{4PI|L7Y`yaPYbD?#zaqLM z*r*mXSoti~BB#+<_x@1MzW6~7XfS-JSWXY~L3<|4)3^q3)vSGhdvONw)dz+=%nIzB zD*fHKyC#z!xap-39bmr+I)h`rhu(7yw;3U?7Ti5)E*l$v2vE4wNiweyHHF8;IuqPY zCanpYjD>R*{_K0Q#JICo7jWpgwf21)-kcO@pBT8wIUDVYQ*Ez(ABBrf!bL~n&E<ic zmSxzjGVJ{_>=W>LaKPc8t+lSAKzo_pPpr7%frr*Z@FUP{w65%V`4s#}ZZ^8V3|ruT zXgynZRPr@{bp6z*d#(NZtikjr=GF&vs{2cAWaIYor@bl`IsN9AqTI3hriZ<gR}LOV zW)4O^5(kxeb;3H}95-L@Jtl{&)>^GD=FE|^q=28_8R;jo=uJGFUHV0??rfXy?$uHH zG5)XjnCFf%|GCG62ATY08T;FM?k1r6l8&A(srGY!yqWqUZ_<~Ag6c04s_q1U9xqZl z=cA^|cjRMpFl)T?q5ks?+H(go;H1Rc2^n~zeXQSA-$3kFKT7#QcRNEY+&<zc`V-$= zaQK>2dU=gA&!tG#n62EmU&UJjq4fVkc)_$=e8K%<f`XG3Ha|py7|lm^3+Z_1yX9+= z!c8)N|E5)oACj8K_i_t}D{zP`6?k@ly^H-B(${5?6~VXD`ZMNVeJr|y8AXxqj;HV5 z*(G~Ee$TQf@!frDymQ55M!@U{W-Pht``($^+!!s9-($P|K_d6_;|Ws>V=J_vh)lR= zX6SZdq+Y#r`f#2{h=oa%y=J)fWuEc(`Pi&~^Stdyy^5Sv=Z)sYVmD@6hlXpFazc^K zbFY9x=DQ!UiKv_EtrP#TfA#TwJxS<o5ljrD)5(dgHX)%iy=LoQjr)a8!7djPmh}?u zUx!L3_E#Q1&OPKPzEF^F784j~;f__=_R!Wg42+278wpo@;N%WU<q;OAo)V<jZ5J(n zxO^;KQ1Rnq9$fCjiNm9i#^I83)O1Q4q&0e;oQgYlb)i&mR6HT|cLWkURq-H`?b6;# z`eS=*+>FAP;uRsG!||zugw|Gig~XBMoC~r0YzA9gT2b6_y#yBlN6B`>4MW&xKc}Az z7Ss#QESb4ycIvcsn3mKuE$DgYySO!fO4(Z1xUUH6FPZ%!_0BJFZw!KW>X@{fHheL2 zZ|&5{Z8t4>*JO;-v3loEwww0oS=ZF82<Ce<KC5gp{@AIbz9LBP(I};CUGsNE5Z+<R zT-UT<fdj%JYgqFXcIptW2yS~eN?BRg$a&{$xHqD|nz>hZ>TtE2TD@;t@bk`pf9c*R z^~}14V?|JS!OZ<_rw$oz&E}>Bxt;}&4g#sA?{K@PPN8vIjVljWP*S@qWzlW-%Xae4 z+6NDjPnY=4)N}2YjKm%WCQCOD**<&mh}yNYRG6W+vM%t9JhNhAz6~59tvUB&4-S&g zs@e@a!_TxEpHBxzh--@e*sB45^}nhr3_QyRMbm5A&&&&fub4AG6;zoAoCSlT<ux}4 z=NrKhk2RZr>~(CNwcLxY%3^&=U+#w=bi&@tWxXsDkC~|qNt3OCnJ#z5VPciAX5kPS zhs~Wfthw{K9Nwyn(eVLY6fxnZHX_vMc%3eaif~gGac*?{gw)Ou!IJoYg9Gm(hONwu z9u$l3*Yj9)g393}q3Alh{k;eoD?|SytvNkylQS;R%{%^b=ecRKGbhkZH@JymoVJqe zg+s0CgyHiO4ZRp0E4#*(eM9V?`s2=-7*58}kj7<+kuPqs8bR?8FV&{Bn1U)8ZC%3D z_T_j02|yBA=F|3|V2Uh%2eJF$FvK3cR|vjx&WuT_vftkO4!#P`j7g~4MDKNhue>v3 zlB+hi_s+pr(V4e#Rf_1nEbx_6=51n?;`ZJg_$n~-7Fsoc-fIS5d1l_etQy$f(+Ay@ zgPS5QH+3;5fv+O@6yq>im7V^vKl#4gGPTFP?lPr4H=p43G0+Nsy^tZjEr-gO95aw^ z=pa`4!ytr5Z&2lQ*TjTJ_g|WN26w&ie9RY=<wV7MmpTzy;~Z?+VtCd%*b;uisCg%> z!y;{n2|UB|w-U}ys3hYK%KAyo%QlLB=jJGjbZe%6=-!SEB-t#ie<%zeq$uSJ2KRgb zKeQ3~$2rAA{c5FuaDpV!WRo$osR0!U>VkQ-@ps{&r4!dQvR5Ajlq&g{jC&KoXE?eD z(VdBFZkC}LSHGBkCNO?O!YamMt+dT{t%5Tab&i?GrXa0(AR%F5Dz`u5c>i`QXI~0p zq@f>Ig?GH#a#o)QK=c$ya#x)Ki1iLrCd$PwU+5jan(!}wS|iLgM4(N?FQxPj(<au% zE`#(G2y%O!PKt}77<kVI(G^9YtO;H{gF&>K-eJ;&tJ8^WQPhX__lGKTw0&e9$!qJF zmusgS=lJ9L&^0oSbI$Sp2LV{6L!RtN0d$G;Ittx@-iw`_y=7P&P0%(PLVyGh8a#Li zmf)_z-CcsayG;o0&f)}j2<{Nv-QC^Ych4rz`+n!U&d>8>r>1MCtE=y+uAZx=r&^GG zdFSx@kDSl>N6{On;vQi1;+DRtB@u8m@z}K9Wgy5m>J)j#&L>cvn?C-{_*7NNlHYIn z!COoD)?rgEX1P^c;;Y8uGFzpc%c{!7&V9%Z8K*u&rP3?Pv(qa~3*On9knfdtUu<VT zJ~~f;-!2vw(z$0#YA4czq^&s3JBt=MIW#(slN2-1cnOe%?(F;rvrsl?m3x7JEX%RJ zfUUXkzNZ1$eBDy+>5*};MLP>Ar^VQuQDVyt{!h25%MY3+9wK$S=bRZW<4@5#(b1;` zS3h?@kDC$sGFfF5Z!#Uv#&(T|di{#DQeH|ylP*N!h#M?TN(a3WJGU6MKB4#9jw^A2 z9o=T4-Q6Ad^PVszTgx+3@FfIL34k%hNOq~d;;2jgnQc!ecH;iCqLk@`YPbC<^95D} z(~Qmbgx<Oq<Ylo{d)dI`7Rj;!f$uR|4^4K|g~-(8E>RWOZi!_vpsXNkSr;wra4U4m zpnL-jTnIOP;_H-g{#H0p$)kfMTM}{~x8IQao%~ToSnjl*8zpSsI9wM9k4?}ZB~?iw z>hhZix+K3+K0so8VXb~1Ev{QEo3B<BscN4tG3HDk$I(%%_Y=Ug-ozO8T4=&uW_7j* zUx~E53j39+1}WO(jCiecn{CKPz{!`-2V`z3jia>f{<&`{ge+j~2P!-2JG3o8j?wl5 z#U1qxR_7pA*iOPloL>M|U>gX8TUj^njU20f$c*r{5oa-^-un(VZT>|dG%_FJ?g^<r z`$d2_qE6~3+N`zuu33w`s~$K01U8%qPdyRx<!MC5Mo&qiKD)f8{qPi=j%e`bejap+ zFSM@}hLL|X6y$<O6claqiTtBPq?9UqaTyWucU5vS4+1>Qm<C|-H&6MJW27sOH)*l^ zH!Z&{vr@>yF0kBJ%xrN|8M$#llPgW3X3MR-a<4L09eMR_;R?~>P4`yP*#^n>2_$!E z_bymduxS%mhNN6@ku5Y%+S7{qw1gnacC7aJCI*&Am{h!eEve!~(br0|(aAWgK({%Y zV?jWZ*u#a}dI6}&?xTS#keiisaVgO2Q?s|qUrXq>h6|y2ybwI|+<0M7we)PDxqlDA zMA)=@y*mEBMo=OCjqUy?{8HDq>4lCQMU0^ayC^T=w=|(1ok(Gs>bULGQ%WC)BAniS znI0na6dW4bL;o}2$>(p=b)p~sKKYYYeQQjrK%`^i1uP<PUw%mX-G%1WXrV)41`$nU zZ+FE(+dZ1Ab2<m?7@UmVY=enpqc$UTVEOj?{rTh{t}-HuyZm*2&yg3DJGM!MOs0;i znh-O44uZ4d585&HqhHtGG0uEU(YAGY^CBL_YE1Txv71yN1!VCy;?rlTq#w8gFb;8< znZXB@CbD)RK!D?wP<PjXn=6sr^0i6d`2A_(k*z0;n4a<jYW3zEpNa4%*x72*QW!5u zK0-5iV-kBO-&na9&%_rOtw6{|=_BBn=-ipR9>hK35<@7%ka>$GL`1iQ*y7vH{f##( z)7u2i=KA{enbA{Bxe6Wf&Aae`B1WFKG8Tk2DC;&pfXNF?AN!ty=|a_#QS;p{_^}|9 z+d@q2%KEWcixQQJ??$5isH7)DU4uLQaC&J$;nqPgkx?|%G{xo#W#}0OI}`Tq!K8Ir zX0G|$;RWj+*hYG^;wSmX6tTiqhjEn3-HF*%u0sCCL)6wew8OFDrlm!K=m_~~BjFRu zAK6O9K;dh@4BpB6w%YpFRWjv|nCKLl58rJvUN3iL(Nx#6BWkMAGHY4U;ISeZvu)im zDBX*$>ZY_YNp5~BogUiC=d3*xv{?>*PA`&P%xWUPUYH+?n-;^~pdZ&^fDcu4x<WKL z@HwidzC%^22?QZcBtN3uXM4ljxqZZ@%ffqv0X(GA90yll+KJYBDAPL{wmjWSN#@FT z<~x)Tv^@ojfuI_$7(EEA_8mHrweGsH-oT3~Z~K}`vuoF^Lp>-pujN4b&kR>Jz7#)O zWtm1wgS^xTIAAmsazH?7>GkT0An)FgI$NvW=-pYp1e~y(4ujFV{&#gb!WNgpqd6mI z0HdHomoW-TTBPjVSPu5y?|Jw19qt!PYS>g)nYBET#u1>}%p;!7{n=|*8&iSkyzUB` z(UO|RUdvK?&^htZC(=_v&S`r2dyTZJXs?HYYdC22dcpzX9;KA8(Od*g%eh`=x00{E zm+pL8V0Ya)-KCdhZ~EC-5ay5G;Su!%&=y&%AQZdAu3}POh?Z=MzC+tkw&?rtA+y6Z z8D8@URj|6M0HqlP0J9oDyIgp!nqfVmx|*>FNO?2h%`u?+Ypb)`Tsx&IE+=?Oq$Z4y zYSTv@Iz4;Dr7Vea$MG5B@K`NSoE&IKE=~B89p|4V+|u0)JJBP)GDF3WXQRge{<!a< z!kYm&XbMkZsTNwQUR*<WEm`3jGe4c?cvzyqBER)y63nNnEsmIfn><mhGVSGVgEPeU zX+sQ6t;u<xXf66HHeL>wUo7}?*%o|QQMzB8-Q_hO@YuIOSlhUq+aQ7d&P|_MTE*0n z7{(N|%M#q8lcv&EPD8sM{3<(OHAU;kbVe61s5aoXL`E3LNEqk!U5P8GmiMltn#sC+ znuYwlc;FdV`HsC{(G5o{2W?v!EwF|wt(JB;2aQ9eb!g2cRE&^=mq#9w{YM*@2>yB& zlA3GF*8Oo?J>?9P0<=WOI(1oNXB4au>r;ENa;j2%{A`~6Ykh+-3;_qw`)FjFLH6W? z)2ip)txD2e4tSz%o`&iMlcL_0_^8t>S%gW+$zBX>H$Ut8=H=1&Qo^Q6=7+6mMt^a6 z`H+@LyT#giv}C#zghWxHf03OfANM9c6==y;9y)ni3?u%00r&8|Z2WRA=$rNt^Hn>4 zRyAVAE9?T)<)rMSFvtmz(sNkB1o`CNNY7(MjCt$qklw%4yC)Sqa&t+jVx*s)gZ%PM zaGK3;+hxgVHLW3}Gi{Z!ZQJG1i8$5JdM$i4FJ_41&756vE4-A=k?dXvp+!X_W&Kg; z8!Y?Qx+(Wmj*e|6PU`O%aT;D2pUp9ZDI2}F)jh5EnB4tSsi+$PZw7ORFiYz}H;%Ol z9TXUpwWi^hPW@z`mDMdxQ%{A6o$hzL`NEq=8$`wah6Ajs2ipi<8<=JMtBgYh-PyA7 zPsE8$jaG9X@ILg&$zfQsmAM1o{VG~H_f_Mt6up?H6~)IJ(zGeiwUoVLDQz5%&X_`& zAt^3gj5xTO!fAnko&&+Iq9=XG6c$$Ix}p<8r@6Le#X$ZQmgx<Hy)ZWQH3ME49DM!_ z1Csp<M(olp2eM+CU3u$m_YE-H%;KC(_$MN9!fY|fI|M~~n;R)9wL!}Z8!Hw3IIiZf zv704|7G5+UmVc64FR!@2PBhx)J1%t_A?;mMhiRpvv6BPLQ~?jS4GrjWdn*qcm*4HO zG~U|#2X~8~@itCf5r}I33cL^efSt%sG}e*CPRajZ^OHaQVbH2)<#J#`<hq^FI=a;G z3#+;JGJpBw^|P5c=OXW_VCDF)M7HEivpMK~E@Q{8=IKENv{G#vQw4VH!CMWEI;={O zuXx3ET3Sj0-EE1<ow1#d9bUW*222Hlsg?X>e6%`sGqQF)7%94XA7~)g1Gc05Ao~MJ zAIx%RfvX}Z#?{~*bY7`Qse(7g4Z*Z}I^`jrc|MkS(^gF`g52PqwA)*sTE&vvog5OB zGMlRO<O)22L*^!><b_OO9i8CFnYUz9(KV0d-}*d&bHqO(d1Y^22t&l)n+Dn7U|)T# z6a(k3&a2d>c1{U&Lz8eU^rOIV)z=@g*OAwg-FziukAUWjVHZLgDaBC0uD#87@KU5{ zT*rzFoJabj&XzL1@~3$&AphIaANQ<u5S<q)M@M(o;lkWxMp=4d^E~n8A)YIr&SZ6L zfToxpfL}cPi~8|2)rZKLGfaTIgt|9lvj^EZ%-zIGFSCCLXD(xnnJvLC%Fg?XC7PzZ z*D}V^lFIaz3xjqciqLK6{!X0SfDiHj7#=Ig!Q)}z2I$$>c;f5%{WXFwcOE-y*|-)} zr|#eygTrH7e#{A<O%;8yXQoqVv#diW&xPp$5Hi@rzNOawr9v*CQtDZIp_b?9axE^d zgHYseJo9@A`9NH590pPvB@iNLxu09;aw2TWV?yZ@IBQyQ`JOb*FmhidrwV+x5Ft%m zRno#p8NjH0o(mVaS;{BQ6s7sI?TbQ^y!Zhxn5lu{*5D^m+;{of=Sezxw=|kBK^`~g zK;APc4=v0>!i!a=ch>pBrW%*Why55n69lfIVlu(}EIXFf<U=i!#nGv`V0Y!t&kC(V z6bMpSKC4;{38Ah8XnU6Dl|Ph;m(W6Ab&&=5am^Yg6bbeGWG+JTnc}s7x&1UETb8N7 zQDDOzdoAV>2};>N9#g>(P0>rx<P~YR21fnW(ZmhFH}HIZEMJz-5#h#THG>s=67`3u zs<U-BS#ZkbNV`oLPb8R>3lkEHXlYEv&bCMf6O<>YTPtd`&#Rb;4CIf*-Sbm#y>#o9 zBm#59nwy;Z@h<#fZ|0`&-3$hf&)6?uiwo!p&1Fi^B1L{tt?fUy6kO|;J49SY0Zhqu zYK_4>G3j^YCq-VeHnG4D2`B4M=liV`-_#k>ByIN_(hlK2xvrp*<Eor_hEi-5(U#KX z9lmPIXo*@FGJkozXsh}1y)SjLXxN8Q+5Z=kDIZ5Op2@x0L9??@pRF<W&McEsRPsOw z&<+PUY1Nmv%u34W)Jcl9W;EM=1e}|yX7{GW`;A|8MK#amK6i{q=_%TFl}lN-*S!~A z#g%=?3)=H)XM6q5Q{qpy_eEn2A#?nh5C0);6u2;MR^GNdeC5+g5pl(P?%6|Qzx89! z>Th}Y@-g4^4Lpl~G<&3jf>?s{*Gsfp+XyogaVW;AYv5O{ODu;7-UrTPJ_4eZw?$3N z=6G3a1Ly2zTOzQ_mXXun<w}i$gf2^tG0nNCHK`eWSrDJ_3O4o@J8$@ei0KEbwUoL; z-qrMfbRnL7$#^q?>+AEYPnvY9ww9kANv-GLUEnkA;FG}0dl{tL^yljb0x3jI{xX-F zzzB;Vyji^t8g-vwv!i4liygrIF<4Mi|0RYMa#9$uO-e1c-oxRX93ewqcPaMVtOre9 z+fvh0sv;zF-Aw&`BVCi0M7d;y&zx~`TF!KKscnoQGzkA)wxeX6!12;oNAc=x;S1W= zotm;`34uSoJl;F$;@z6M`8{HEtsb>c5oCBxN}m<~kpfO%wn)5EF{S}ZM@eacKfhdz zG)SK^H0bt{zo5O}DB0RYw)geK_j-KuO(*qrNGmO)DRb!Bv>Wfud;LaiHU(KgtN(_B zHep{-h!0OGiYB@!_RDh0WLKfV!=F-78!}@wG(W9S4vT=zZxzV`L{5=(p+8a|+Q{Bz zm(dZqi(Mv@x}PzN`2(Rq<%meFpj6cPVi(b~)UWSSk!RvL=kOC#Io0OvqIO%C)tQWg z&zZyd;`cy~=hICQi)pkxE0}w!F_L)VH1lSq86seL!<i%MO@Sw!Yujj!&PDl7*p9)> zN1o<vU$0?d!W0L|S3U?I6nr2|Yk0cWn`=Sxj`r)puI=rId($x>^zfadxQiYqU5mrL z^as9I=-Jk21(27Em8h9-nWQNb6s6*S)P3owOB{4%s*!n8+pR{r?o;*gk#qOzRcNf3 zO13&Tg835aGo(prnIk`YdCYsfswL(@Am)S>@p<Kj&SR{yd}G?_<!;;1H7+b&W!})L zjmgqq&(d4}TddCnAbd$E9q1}5oB3tXv9eAD1WPm~sOnq5#i_@nJtQx69(ZiC^;&<? z=d)>6bdSvrc^iELC!`+ni}}L{UIfshdEu+Ai}jWC<Mi}t<x(`hGv-X5n@gyAjgryy zPuIwz$DTCB+=f@)58297&;4>$!?`EVAA1Sk5mIfBz8kBS0jw=&G5MmHxA}1IX%@_S z+q!wqVm4;8CTx1JC%#Qx(Dw`~m2Fi1abo>~TbxQmq075z5?+zsONy?-3+58s!p^y` zw(v0~F>d}j1>d>kjMj<P<PN<4oN+c2RJY7rru}4473{J9t2XnsXT!p-yV0WNjLjxo z>=o#=z73wY7QjBep?@d6ocPdNbRWLWm^{f3-%zrP)uRc)3D+-EUN!-n>hC)?vg5H_ zO@FEHs_zL@WI}&)A-S>tz!!rR%bb1fwZOrwaiwF1TWv`mvHW%`(0j8oW#uAJPxz>} zBo6;vuGBQ9hk7RZc92QI7rvD6dw`54%$)YtJHFHbCxCP{q`oZCOpPn-n~nsQ^Vnl@ z!tvLXAH@(lL&KPzTMM;qxv~XZ#<S^bAX~d0ZLQQXb7;V3&de_#vE>s5F{bP<3nVl( zvK$ctizwLECf4%gsHZ2Sz0X1m6hO2@Ip@e)hx$~97>UxZdS!sUT~~AszF9?D(Boln zVedE7d7!lo?uq-YR^kuTtj>DwxF%Z+DrxcV4pE98SuJ{TS9OO+K9y)rrIVl*-0x0~ zsVbya8E{jatd!N9?#;H<Y||Vh>BZ#q0iGB;*CoT4jGd|ok3B)L9sC1K2nw+vh2@}k zo$H)hpF<gL%jEnwtlp*Vbw0xo$omRgR*oeaeFd&@`4--6d_uPG)|@R-g0$*m9#dKF z)HEM^JQLxe&6IRb5Oil;ViU|oE!GJu;_t@Ra}y=RDf6p$P5QOjI>``Uo^T+)dseOY z-IJF7U7Em%Ni@Z~JH&qw9xn=6)QeEv-~eL}KN_>l(2FQYPGEk|TqeHdehQcl9uHj> zhy$**^$ic5jYk475=Guw+MQu3{l0i11@yzfVpBV|RU7N+z9h^l5!_1E-eVc*z8|W+ z=CG^efOIy7WGk5mRGp{LbcrwcLZK&~72g8exfpBVC46eMefB0P*R=Vns--u|F9h?y zo3vMR&vjNcHd<}k!zNg&RlInZmWxz3Y5+^cq2B--1$Nc#w+5BjWY@L_^&MBm#9{># z-Uo%0`dFY-hGgUZmtL=Gd;-g}ON~sfPjcgbK<I4`t|lhTToJTnOA0D$_+RGmnxsra zT<bDHtz(RgAZpj7V6+C_p9C@>>y+GQw@2@x1YFXhMk1-<+Ryjv9AnYqu6rrM6+pdY zXWwmk-U`aQhn5AdH}<5bH$IWVda;SzOgs&Ax*;~GYmnm6%+Sp^wNBa%emQ#4%T}{h zZ2?LaTfV!sw<C`*T~d}qO5&3whTCP7I5*GZ8etDt)a>{Via#Vtoy*F@j&i<#+VZbQ zdSr1vkj%-icGGF9X!}}yho1r2RsvQ=>PSYCB<*HI*)J=^=rTrvPYqa9n1kq5Nbo}9 zZ*0)Z!g+#ZGeT!h@@jn1aVh88=O1m!kHP{F=PcJ>3O;<-vOkE<d|6{=Ry1_|HcrVm z^qnxkV~KI(#DD;F_993{zq1yMdf-3J!5Fl6aE%jyw(A>|!}06fQ^eXh5)rU^%Q;E* zZZ11V86m2{qa6hD_#vSBbxPx_dWvud@4(mm^h_2HI)mo*jaq4h5{?DV{B$XOdWrYd zmJ`T!K~uiYsVx!Mq|S_AbR9HfMbEP4&6BqfoGY(6q_EsSqD^0}vJ_axz<i8Wq;pdp zmY1RXGP-h>XPhrAOz4$Xlt>Irh*9P9)83-(cYdVep{+w%K8SKe^TM<F)Ko3;o1DZn zgrD9c_M?>cb^4m5(Os#t-)5`Lg4lc3FqoFRJ+};L99VK?U=X3gBF{2CP?+Sl=6t1# z$Ikj|mN2+wj(cP~A#hD<m5C}<K7+|gin~zYA``qDc5mi7e!d~dNk<021-1vkIrapb z>Y7ypKP7Cw+T{H?wSK>)jF^mjS43*eQW?d>_`G0PMOj}ZYK|2mx^Jq4b!K_{KKy*Z zVMURebS`IGT+Dy41siqkxSo0KDBWoBE?<~x%Xw4R{~)^4{xUQ9_U0g34xaxW^SiM4 zojU@pOaIrBXL`E3QXm#EOxcg_u%Dob701I2YV>@Pu0|cH^+WuT$Z!0X`{bUg#hYvn z=c)iz$BncxR(x$(TTJ+Mg@eSl&dxeQi#PmSBDbHLdnZn@0GSmtk)oYEewtm5L{pS! zj7$S588WHUZwBFIL`;JQ1lqcRuMtk-lO2f5RZ33c20Y<umSNt&&3c??%tdTJCnf?L zkC6u+S^g^$Ph0u#!R90;$9CVsuQQw*Xgi~F?e)80c&JvW!?hrSkukZ#8LM5JKT%4E zN<Rv0{v=F~A{ki!7<v^O|2yt?&v?{ZTETgVNrMjp{x3ri;?zr+af5qY=8Kmz`%^cq z<-B-Cy3bwTnNAgr0O1V>$``d=+;>58dDbkvk3GrBZcnks!J%BdGLwP<MJjW#;{6w{ zElPeJg(C<#a}J>*SbmmaAZ+A-nsbr&b5#aluIZpbCO|PqBUXOwef|n;&cfm9$cb9P z+Py2$SW!^23v;-M3L5n#g=zD&anv&o&EWG%8(P8a;%Ip};CI@bm|QZXc$52i?58lR zaZwZK#H7QuJSwmgW_^Sve<k?iY)10FXyNv;j^rML(F-9w*j=Z;oxriYB9fs0E8c1_ zE4nLv^%&y({G$_dUyY@%hRZg>Q-FuFqzQ{wlPqC)s(u{xgD~kM^Av-$%q;tlGVor> z6e@T{{yyy%pypoS?zQp?oQGUJW%|zp5HH5lO~Se=aM@b4>;ue%J!DfCztk#By>H^e z{R_<7e%cYSXHArh{+^Kq3>?mu(S;eL`eC>8dvZxNVC`t8kFmNsr(fy`sV;2%H(j8l z@J#B*0qv8BE1~4g^VoZpc7rpz&NtnuLu`_}wuj7sK7DYVmiy!EXyEByW%$;>c%u?1 zbw+7%JTS5z_+Ay7n#FG4jO87Kt?ar5>NdIzlcHtYsO`m;F|B0oF+oai!P8}kUfi=9 zf;6<Mb;KSGXG~5R_fV3w$@2S24fWos@4BfOo@Vd)sc2ivYT}^z6N^6+5V@%jwvX<+ zu{Q%XCTE&J-Dl4+6}vr;>l(E6!A3`3mef=BM=uu}fWJ}3ZO_9farjKQ>DXkcNE>fP zJo(IlW9QiLLMJgglw#n?H;CR^xf)KSz(2aN!?;NRu208K<4QbP9&c9v149Edk*eTZ zo6oUM_Sp0}=&mx16~Zw90}^VHxE1C?r8`h5^_ou-w4#HGFftj|ybFrT>K7Yqir#Rl z9^GtyBux_JxRWI@P$jg=q#An52YetgW1;7E_qff8vfWcSDpJQ=xLWA-=KND$c3<uo ztRwX6q2_pg0vF8Gf2ENhJuK*^B*-+0fnP`~ee?Caqtqv8xxe(whu(4BUYAf^Pxnun z+D?z?WLM;pMtfYIm;}w3-re_CIBN$GSa~VfH(0M;y?XnKNGnzf)RP9|f%f;z^C=He z;Rnns{Y-4ocpA)Sz}U!SyH&?=nWK*W-p41P6U}j%u|UKmpCU6UDL9_#WKb)XDP2NK zrEelmfuz_*rg0l_NyVEM`i2YbLgLjMiPBb@#etSPr~0>;1eP%K^I_{$mk%${l$~i_ zk4IB`PiwdQYk<f7-WK>Sio~Z0a(%FIk?DDZw)ZskasZ?OX*{^>5O>JMR98@6-1GMJ z_O)&P^&@!f>F)7y?x`L8vavPR{&L>@^3)36Yk%pxlFJ0{pZf|R9PZ$=D6~xeHU3ci zOcI~wHE-}n{hHk6)bTULkX%(*93A&S0d+O*q)Es@CJAtVvc0er#1Gs*bXCXg!S_{H z4K4sXV*r@y?CGG(wta=)htJ*Rp|9&{jC!XXVtP@*FAJW7CI+G0JU(uR#+v0!sM*7W zSe$P&#|&zHo{zTqA$L(bnfze@0A708BLUwH49(nJUg!ElDvy)mOaaJT(Ap^k{M7Zb z_Y?)_z4ajR!DR#MlDz<@z~l458KYX*${L_I>N-R_k(0^q1wOiaI)7~E^L{><TI2V= z-!fd-dOAMi2S2;o(m^u@HS3Lk;n<Oh%7i319RV*iO@pKf-nF^lo2#dGP}lQ0xQid| z=XoN(>61ooF`3(CJtSJ#^W}W2kAx5Gd|8o6GU!R-<9YvlzUR&PB72CbCf)s%34m|z zv#ic4;`X9|=i9B9zMdCGv?${|2dV))RoTR+yE8f66^3cOpXUQ5J0}j!O^Tv}MGtn6 zv$(#eu`?1rZ&kI+@+D*Y)<x{c^2@fMzN$>%VWZ#)Q%PC&8jXZMvxUnYeAU%g)g@AW z#NM+jlZB59k%N#z`UJ0U4-ek~@2%ekGpK=Vb@@p1XBOdVn{=9!kWU}7ck<!a=WIid z-`RWq?rLLMmi3;ed1+lp=O;bf<M(>L7e2rtIs1EC!A6zyqjDJ`5Fd~X<msAZ4D1p+ z0-l|mi!fnGNFRD=)?P@aNTQ+D9&rVkv->nlx16zE@Qo3qoJn=4odHoj5yw$IBh4X) zQRYIxx8au6mCwREBvwiUh8A6{c_^)^Mjka+Z-lc4UDPP9P2KmJkWXputd*$8m#i1N z-I`@mKe!J0yZE&=)2KC@LX%sh9)L6RkpGytI=@&CTBqKy!AzOdOA!Rvt=sMvgt=e5 zm}o?{y*#C7z<}SV_W`)yR8CHwJ$C5#tzB*FhaNVcx|^kRS3j~ZYf>k2c3-c3szfGB z6zpbVs$3?`hlqykpjQ%-CB}uw5E?w%#?QYYWAhD`!3d!rnpwB-@oak7ecEikeyW~+ z@{7crk&;kIYirUd3q8Z39_x&C-7Cg~F46j0*dlFwJi9>VYy;qw+>C4@mc^3Iwjd4| zQd?Suju^P}LVTLNT4nI!)q8R$oM<Ogx9c01q6t>4*HvBD)^uB!M{N`Ba_XpNcWquU zEQ?E{r-l63O;~MNC@E~G6G&}YoY8HE?QG*!&~4+fKiUo#uI{T!<IB{OwMzJ;{$hVr z*-$lK-Jj`R{06itD2rQ=ZbnqF8F)yt#{+HLQ$jDpxQ9tS4q(l=9(pq+W$hicf*oOj z;;sFqxE0aW_9Wq5sR6j8u`kz~F2g+jy2}|>K3DCb4<!aM7P@QDf?Bz40mydHw7%IN zKjxhYa*K{0u0={C<;6)7{8635dCP+PlZ?Y%(o@_cP!M9x>EU>iH{<Lj<)^>kR-*K3 z0s8GXh$aeW_comSoj(kKk%Tcx(f?Z_+BZ&Mc`W(OCe2Fvn?rp3Lbjn(igCDTYc1TQ zH>ooRG7h+dsc~H6%M30t=vSLQr7-=Er)&dmR?$W`wRoi70dfusU*Gw)aSMx5cZrI9 z<1upD242)*qn&5>jaK*jX$SX>4sw*N_SA+-Hn}!#-x_IXaWr;XF{akl!wmJz9IQN_ zC^9PFVjM;`tPIzeFpxH9@1{P~ap+)ySKa1bmJAS9GIM)JR`7zUU?+?u%*edKCa&&H z8n$6GCqlz43l~0kH8AGI?b!AZn`SLt5GF?!pi8KMBe)x{5N-U4N#U%VuvI6fX1YaZ z73Z>D@f1}f%%j=Br@7%7e7aMWdyFgKrDa_qv_u<u0@^92cbZ{-LCB>cs~e=g&x`&% zvql%zBXhyDhP5<P@{sWAK*y2Z_7W7fvy-YeSl+l<R=F4}U^WtpggQHO>ukQWo&2~4 zxIP3BpW?pJV!t|5tzav-I`OKU&)~UYa33x!8e-4u;>_P+HI3AiQoAa#O^h^lN4Y62 zE6z7}4WRl|65wZ8>jpCS!3$fzxt#r~r7O6NUsfJ)<_2m-?lWn2>C?~_+Gra%J-Qi% z{&_Qr)I0-ea1_%PYSp*QZ_BoP<*)~MX0#mlU7fxjQ<_5`pc?YsD>~u}+!=MwZEk~Z zE9yvLKZB&Y7PD>4*m}er+j86$bIK!zq1Jl+^Gy5_;}A}Zc_zjwU}(C2<q^DHzh$58 zdiXHZde|egf)^#{rgY(<>)~;Cf`abSb6fSAwES1{_s{vL`dA{nmgwFKVz{P&FZ|cJ zaXRa&<1+u<lyOfyB|oEb_!!)EX^W~uy7WUtvN^LE4Xztw#;y&9^vBqCCzA|#g}?1L zI~__D;#$e%@44fD)4SbrZ-YwuuM0PMf=p_D{b4?Y12Z2Fi*ZZa$Zv85ne_bjhxq<u zfppJ_LW%{MlmS1ZU+@EN_!L(x!A<{1?U)=vCON-CS~HWR&?49d+OteO>&cw*vS=>< z{7xN<fM14AFH&FGX4VL)SyaDi$`~tY3yvCm&UbMp>`@&tzC)DeG5>vZ4U!iW2a+vn zlC3N}S7<9ft)vo8-MkD;NiQ>I)c;Cmr2Rz?PzZ-Q<+}Z0CNBc}uusL2=V21iK{NK3 zuV=l-Rtlnu>`Z>x4XqC)kdg&LlB2FcMWUGx*>!WRHOY+7_A1)=-BXI5e5vWRV5~{; z6l=(tO?SiEvtq1%@1sUEks-_UramOIU(KkHF!MW_wA~XNGAczdUj>vqHi5XVnC*aF z)4%#aP?~m!5Y3PVqREIJBC2;w{)tdWiD>*8B&sY;l)@2u@@(<4Tlbsenl)DzpAI1~ zL5G6Ay~AdwWH+>8%%9TKpQi0|@r1X^^exq!5j7E!RsRMgD*v{?rkoB3{STSw`6k7* zB~Kj<pP{{mcG^V-TWx0T85nihDsCi`rc%|WFF_~?Yuv41NN#W1moj5wop)BlEqmjk zuJf7l7dTLKUU{pOp&a9jTKu~XEuAWT=dW|}t}M{K<+d<8r=^{Zoq@=52F|9Y?co_p zS5@2#_V#K=TW19||AF``RS=K5&M+(BJn%9jq9+tr)KT8tR9M2N3V@b1bh_8Z-=<om z%~0N{;yQ~YqqodZqN(CKqBx8B?fm(|M=Cv{tfqP=H$G$hvz!5Q_mIi{Kk?M7huP(C z<IFp?bf`KG4ay%%hPw51t|?iP@sFEI!kP{Zos&6A!tM$1zbi#lH%Yh5i0CL#y|Hyp zegp04KV*P^&`?bz;D()Ox9liF2!M9%w9Y(~kS@dBS|yj1Q|)2PS6VjZGAZ}1q_`S0 z#P->c$T3iZph^jw2EOfREcZuYu8%pc0=rH>zy!xXkPm+FG{QRM!a8^$Y|A3-w|AiS z$Dk%rxc+%_@Z()8EY*KJDC5Vymk0^avz5s{?$OK<LVe?6&((2o*=*pf1tyUfNgJ`R zz2&o!Dym%ZWvyGIi~!_KFY1~lzf@(x-3-KPVW1J%Zwcx^kmLM6!-;DVN>oX<^LL49 zXY{^~Xejm#ZzzR{Y$)&gU~ia(Z?7bTXRm{cXYW0ZBFb&wO`g^hL$Zm<bY%*}#YPZd z&0K#cemAnzjC*z6+!NH@zcNraBltlrWTiA}<cHN7#i)z>hprj23CQ%y+*%v5thiM> zgbL2X$Y)-d>YAdc{QZN4<tm)1THQ*TpblB2pFq>Mv@?M-4EODyQ<R4(lav|`pkPdF z4D?jb9)$b;lm6D`X?;>OeDQjKP7X!DM(k7up*4N&6^w%SQf8H|7**W;rmjQX+FDr2 z{V9Tha)Yq3vM#Bp2=9aWv}N8d@9QKm}$S*NhAmhD<TYDg2e!p&gR>DLUrUgj=0 z6ZA2<WYe3kkfDdvBmX@jogptXwCN@-tdI<=_A0ps&ZNUf0_O|fT5vb8tWAn^F*H*0 zU_n}S#chkS#At&v`Jh&RC1kY<2ZtV7e3&qNa8^2jEP5gb4<{3%YQH7B(_JvdMR;M} zb|u)_*!iP-M0IWI2r0LOoa#pH;a1-FFy*^jt+!5g+}Z&M^2Feobq6PlDP81r+fC2! z==hTG?dj#ldieeYhEWI5X^Sod+dP`RVk%<$wL)ve-ZPyuqOPNLb_&gdk7tR@**bG+ zue+REB(|8xl+fB{y|5fbz<Pu6q`H>D)ir$*LeR2nV%5|a8?<>R_9VB1ssb3o&g)MS z#nwSL`czP2v=`s}&~mVv5sQ@U>=DMXaumXG(M<5l&08w%G=>F8n_W^eO>&E%;6ss2 zIfda@QUmBubgD#0H77Hz9_PgOSfTHnpeNz4EK!ni3m#v)kDD{mw9h(KJpL)-o4sau z+E=?N%XfuNCjD}(D<ynQ0^UUjI=2&Wwk}e0c-_$TIDUc~XK+2ki=iRl)mOrR*on^V zX522b1*9n`5@6O-c$(uyu6fR#ZruMaRLocim0|bDw{Qu=YBGB_ka$+`wK_wN4d9Ew zyYa5tIWiwEJ&U`H-Hf}D^UykL?>4Y24i1l-CNXMOn@5U=hpw{w(Pn57QxjKI+ScPz z5e?GIC;noyX&(PM@SBbp@`BWDCoMfElCAT|HL7_(py5_xna?gIY;w9E=C+DuGRe@g zTa1=A(`m{0v_EIDQ40!&uq4+aRWu&vAQcD9>5}Q_(^7e8!Xk^+&JXFP^{LR$uy+0) zuv_P8EyOYJcP6g9yi6}pr2i|W?dm#9GnZ)1{ZXENGIEcH7kWjnE3ta*d}zpM&Y9SD z4?x>EmgwY}mP^h!tU?lbFzR3zo2qH#PWoBxvQwM%s$<%(m)_p;D<a3$PC(o7_m5Qq zx=EHs`0RMMs^X3jPL6RK7N`A4MwcwfPO9S0GYGP-0n;Gw>yl=nL#bQDWcN!Uotp`` zQ^X?17>3{2n7m~$fz*uG#*Cv|Lr9JhUjQp6LJm2(OESlufR_CZcWw8q^2a|1+;aSv zWdB<HAJ+csHTU34GT0rFZGpzwC5_8YLh3!;n~kJXIt1#*qMm5nT?)=S#ALL;V6R#2 zH{)iPlQSMudVb8MGz};%k1=01n%5vLvCK_PMNVzZVT>M_GMX%p2L6GKX#NlEfEv&+ zw&G$XZSFs?u_ym8uyy}|oq+p)!0t!-3%1>kHPZp79NMK&@<mz!nmg&q{peIsOSB8m z-hrU5ICI-o^magL(mv0l&o^B!@~TYL=9Sc)8Lzm!T(K0*kO-i=x%WNgZs?1o$Oi{6 zSSJ)ETABEy=6d-#)*t1$;f+Fi-N7E?gjr|t!A%+Q6CmEwUX72&!>pT3GJ&l#^V-!q zJdSzcEdu%4aT2Dis%qZrPHKkGC#&|RlFBxtir!>u<7pKVo%QnGM~s8lT3;uo@b%pk zMfGksdD`N?&b<Y?sJeWwt|^R})CT**h|~HKm=6Cl9CBkOy}|vV|6xTjoTmqUGG>w= z6yT;@3m#VeM4Sp$AF6#ElLrvMXk7e)eZ#(qpN~UkT*a3g-b`y)Zbt)qI!KWLdJmWO z^tZ|1_<O$4HiL&)pvGh9IO1}#oB%LgzD{<Kp-P`o*SYbX(uue+CV4r8Y8%^%zn2lO zpyuu5+l+rCm5XH6^G(k3$A$!+_d?j!y<)F6IdUp8!st|7{D2M(IirUivDzSXMz?z$ zor;Pd@TSRO^!y)I(;rvj?Dzpe8gkTIX+^w8m)Q9CK_XPF7-#r$+|WA2xzeYP@caLC zzvP1!B=DRAq>>3!$wR31L}28+{>oC1p#J|T9|D>vham(qpm6>(af{Ob%fyZUnYa`l z)WrX@a7B|%Nj&!nzpIA7m-|k+X87a|cY~N7s}T0=^TL~;quXnbR5JP9C*y9KPKnRL zYqGISn?{SdqMJHfP=#jv;*%TNV44Dp0>qOgNTA~W(-M%_Bb)&GAu*7f=YqfC3Wl{7 zuRQSc^W51Tbwy(R(8;0X+Vk^R&=Zi!vkLe?&JU`aVqI4l!j5Ljf7fO5^Z2wu?uXF$ zS2Ex1&oX2O!g{E29L}695esVZy!#Ow98lN;Wa#lf-(LhpK{Iteb@l1(wDY$^9yUNn zPyA=VT4q`?{uuun<hr5{?Tr7qI*s!G4yB+~VH@i?|0#gRb=n}-kgJ!1Ab{@_fZRia zByyR=#E?cch+P{$Jp{<TA6xLjfxh@2_6RT$e)mEoi(&uk(C2F8?x~YoMB>h3=4ulR zjiI$e?jI`R&dP1um-H%Z0MHYt<S35`8ZnEaHjN9z-P;>=Qvu*2dLpe3+smxP4T>va zf(OWD?>6IyV;5KTo-zV;#<N|M_LS;A$==XGjx5G+4Z?<|YIl2@3#_b_tZu`0Zd%f^ zhvqt1h{{(;y(%qSukzk<qX4A6%_E>}?K&R1y_LIqwI|Th!-xZ*KPcPP^>wvO4^>>L zhV4zgK)NSPfmi8y#TH^K_IyPF^%%c5SUof^&J+Tk3%a}G2VWdOeC{70H!u(&Zvq-P zdr>Fzp#twD`^_`o?U_et8TLdMcTsx$aBC597;75oktG3|cI%*-qdn{777dQKuc)oR zxYRsMv8p346ab39zGd`f<W}yu_OxvbZ)7Z4>RL`$-mN-&m6><lB+#=itji(FhQadE z7{T(>?BBwBD2|t*T<1o6XHf_IULjQ$n>odGQ!HeC4)}m}pDS<cu0E=_E|E2RZWkWp zr6^y9(m{{WYW-(oqPZzj>`YZ(&-9-7P@;o{eLU``jScXJI-t16Hv_8}9>GJgaC^?q zn`=Ez=+-zB>)7tmawLnN=8bd@<M)KE2k95vr=<;!q>8j9O-C(ud+zuT@5$B79D1_i zFxwOA*64R(>FDc<V2BT`v|xEAi|WeD5so^miaMHpTUjPC3<stL6c>GOlCNb|$3<mD zQc?1A-uMDk%Y(Bw`5ql3<fasl`v@}g^XA9U`4psJr4b*Pqq1&&HuG;QNqoFgdTnro z-Bf4hr^X_R%V3r{q=n<H!?2`D>sLzZu;w}PE5sXEtF=Ap=Ij&8bO3Y~kwj?L>UkFI zxT8GK#v)?IG4Ud<VLSZ;1$~c?=dA=j2LH##Hc#LYHC%R}>Z1P0lAHR*n8&dldsdB& zrh22Z)XJjpODYPUiHc#DzsAfg=v{R(uE~gsm$A*!kBWYT6ph0#mgSptTFu~(W`~p` z+C*$JJx0HwRd7tIvpTJADZ5IoS`e#mFaLBMn^3YZwh@sD&DRCK^{%Ug>5Q>DHfhyD znE}G8%CbBZ*x1w=%Uy(9EJ_#gOL0XEg>N=(*p*2V=}~6<nswOpwH|(0qp&b;o7@V} zw%S-G@l8jd%!GB7T{l5%+y6=6Be2VxvqqWy%UaN<ZM8BlBqp@U!?i}Kog88y)YolE zwhfzl$(~0&7yMyisdWr*X&FqRJTnQX{5h1+mO`6FA3JO+O6O<H_+51Jiqor!(pHg2 zLwMnbZUV}_%7Q+W?5Ql+{YU<mHdI9HotxTI+jTNdha~Q<9mnfHD9gHh`kp)0;|D%J zGz{>E0<Gvs3M;MF&5ITZY&45+15*<(QI6!N6xrCOYvLnNnCQ;{oB%I5UU{V@ov-89 z^P?4s8$)2~tURsHEn{UFfy(Eo61AnHyCvXA5{-GpeOeDsqdw~-bByc#)RmnBpX$}n zu>c$c@yr0qpq1v>k)<K_=9k^S!6C*9_<h5Q3D6b$oNlTd^l9(=h(*_70^##-6Fmd< z5>e<<s1+9<=5Zj%i~Z@rwdAE_<iMv*=QOeBRn&-0T<w-%X3M~1NUq$9(aZW$flZ|k z;;B--I`^;J0Rlp^4}RFQ>CKzUdXPt*e9+wSm$^BUs>`>A5RV!o*mA>s3m`8`)oETR zE8sU5gqIGFUdMUXQ{7=c*>%Ke<cw-Mw3n;xaSypOb^@3zlQF$Hf+J5KeWcdtjIErU z;m>}b7@klLKFIr>dw^hsn)w^tnD*PK#{Xt4<PBU-o+Xf60u`>8S%aR~E{}y3o>=-! zpZ!a})M(_)XeLxtiX&}sq`jW?b=8Kl4cNHMkGcftqxr;PRW;g=a<Mz5b7jF@BDb=+ zGET2M3%ICeHgs4wxIRyxpvc($BDK<f&G3RUGPH{_!ltbk17T-Ex!u;P+eS-2$m5_` zBv4U6U%TUUDqo5&q5D8Hcb=?(!@|o<{8p=rY6%S-tHGk7bq0klYgJZN2K6PDli-=s zk?mS)_gJdk2*+^_#q6+&Cc9SXDHO-gq-r$`P;=(htb&>Qs#Ml$R3~zQ&iA?#ti`Fs zq}lY34BoLBXcWusy@%F5wwQ8nVN8Z=Ty!EnlQP*T0c)u#F{w6nNSXXEnY<QozxVe8 z4d+Agf|4fv8YfG}Wb29V?UQDt$F0dza5<Dme~BR_K^wF|AcvArc4wl^2xqyoh-VQr ztZ^T!flJ7yVY(gYH9$ApvN(FERL?O^FbMUMdQ7IU?M9=4J_Bjq8=zt69$Ff@Y}RIV z8;_yS?J?%Rsn@C~fqm(}?S5=KbKKYdr$MfEmuc_U7Nb>jHZm#8U!Y;AdF|W{q?T6P z%dG%LycYb<VYR<swoy=J?F+vntHPQp&kW*Xb*r_hn(0j#jEk!>UI})n4-`A|eKOPB zL(3?S|Fyc)oNVC1#*nS(>j4UqnSN&>%wxelG6Q;onrep9bvOMib5}+~M-!IECcLHl zce$0-FT(TtV79D$RjepO>;1NDm0tlBk^;b6sop`=9TI=a3-)P*v00<3=}=LwCaz>E zIy~B?MQxjbJWjVdt^{b2Mk`$cGci2AOg8tOR0?rEYDUFq&O2yzwStK^aE8W~)n*nV z7p`?dV`?VEP{z(@OtharV;op%SZcE?FH!dF9pOhd9RJeSBUz3!56prc96)2S?c(#% z{q0T%a{`I`!`zk;K02>cMqo&P5B|N11Ix?NKq}!Ok<rZdcttEZp1$1b`Z`t+es7@j zA1Y)xxQo`Z|3Qh(Enzw3tJA&F%idOGe3oCqQLCitLiofFm-BDDU-M(}&{7I9rvtqb z=sI1j8-YU{1P`=>GGJG@Z6Sg7@HYzT(`QbX&i*(SC``3#19hK>`xeK&MM?ya^dL8> zG<dXH|Hu|CekJkj-ldx!C6YsJBhRARz)vk}hNxSWs&ggCPB9H0&C<F`)&CN6k0dU) zwvG}bsD(nwb|fYqYP$7_N)<i+))A86DBuZKfYduU^OuMYvU(+0h4l`yr}Y_nC(soJ zT)CB2#GWW1LxF01tcZmM`JXP=lRa$JXKpx>;lH7!7Z@<LFCHWKB$TF<o@Da5#|gLk zm8K9vl`*p)R~xA6=%7Jm4WDoph%A0`nZfD4tJBQ#76}t1*EoZ!@PS4H*jp)X3qQLe z<WUey-yf>PaCYUf>S$)B=Nd!3di9065asT(2Ai7RX=YQ8@2apz$%CD(%S|Ykm7j2% zWa0@5vwuX`kEbst<y%KO1mzX|5Ur%93fkh>m@4Ot{^1+-<k?>5+={Gij}5dVH?bMd z71f0Bi-7QyYSy+K@0EN}U}~wsHMF<>ps1gd;I2-;R69MwQ|pUjIT-0vfmx|nmpjiW zu6(E_M^xX#Ystn!P~aX`&s{ZYW<_eCT0(e2**~Jp{YaB1J0UJMCq+{x**jRr1`<nA zEPlQov1z>FRf}&){ajaVU)fqxnLxQ^bd*Q9_%k2n-p}+XA845W>ADws+(YW1qTLn) z-4P&#>K7Et2>!oV>XoMuvdIY4aG0h1u(GoY6-F_1qL0owY~!qlgQhG&JfYP@#vkx7 ze_f}aYn09c<BccxhTAQB)RWnpQ#HF>vJy__^UnE!ZrR%MqG?|-1%onb#;Hz3`DZ+u zm6MiUHQXM6gaj?)TqpfE1J^NhA7N(wlqjomhPezV+792+3U#J}<d)Z6Di=>y=_+<p zt=g|MvNM`CvXW7g^(dB>e6li#%qH0wygHCj3O<#$EI|$SNEb=Z6|><p)L{RT5U~qr zKikLc{toL^q=;gpQY%YG_gqGn_{^pQ4?tx=e8FQN<R6jnR2ldXH$SaDdmqVWE*Fsx zI^M>LV5AX^ag0s3>QHaw8jywdRQn~D_kZtAF0TlETHluRq7uVlyZ?th2}BEY*!&=$ zP66_%ANy|ZffWg&sn28sjRTBzAHlIZ0uA^Ko3B8=aeCk4Y9p4Y0b7T{(vaeTtT;V* za3kEp{|xThkN1smTIg#_76G@|^zacze%qi6@bXVWadwxen>&Q<kB{F7jQ2J$Z&X4b zU?SiX9PXX`j9`>7a6co+u}k}Wr6kYOlJcn(xj5PPqt^a=7SyV(;sh__^S-K?>L0$B zZ=v`C{{Y67^ufD#)y%yLki&(#N|1!lLlr-I&NGYnhu<RhJ1c>ww3>IC_mA&j^P%v) z_(L0t+C&&*lZMJACtCUV&=Vh?U=8&GY!4#$MVY7ulA?ob;(xU;CZK3finXWm3$84^ zAhN#<`!Mp+{0~mV#ZJ}7JxY{);Af@7O)R`qhj?Qk6r%ques&vI5Q?fHRM~&1T0*OJ z|2Pnj6KctPKLH}&!D~~+x4)D+-xB>lpg#DVZ{toFS#RA!{pDxNYzv3|ZIIXB-}yG^ zS&F)S`}<`WL-3jPe{-*Bl_0eEfd<fEd=Sy?HN5M<ym2aMA*5B%%iI4+_5T~s|AjL~ z(MmnPi>m!?5hTFF<(I@#!}(0Co|S^OdwteZ=xi%an@{Hts8a>B_`m;&@6NgJs78s? zvw{v`_P@9p8f&Ev<v{!Ib6DV)1ob8;v3k-_ONAd`%r{CK_-H_9=_Qu-OeV@Kj{jT4 z(5sQI-(3Q>3F<ytb76F#?gQFcdVJb7JK`wT2N8Lsy$kg?J^!#rM$+DtXEOM&`dpK_ z(aUl^F~I+eCNWj}{?B`<MA!|B2I*Tr>h@qNOM-g2)opnVOqDoc>UQZsS)XL&jAy2Y z$pOZ=FApNP|F1t?_y{eA$CrYh$r!hwX$3+&nv`@S6ACL7odn|~q0iHTRX=D#kP`d~ z2&kY25i?mv**>3r?*d$RV3z+q?b0p(%;iVb9zQa(vj~0SkS7+L+59uWNS5mrgM~uV z6KKq3br}}@s8?u;kWv$Yq(}eIgVU4XO3+kcwqm()M2(yjTUm932`??d6H3Cf^ac8N zgp-rsHZFALsrx%oZR2hf_;3aPotgd_Y`k^!vjHI4n~Y4>Th;5@J-}$d;+G4f#nKBJ z`k4k|`So{RlENQ{Bj3TNf@=783jYh^o7(?SZ776b7?eV5K?gj!3}W*A0OO@YE>G8- zBsml({{hCP{|0<{UBzAM^Nx=_bNk{L<J?jw<-{X#hnSXM^z7~d@O#9#iw%Uf1dZ?Q z=6A8N6Vx?Ue^2EmDQ{dEnX!v#UA0#2s&!F8o}VunvEbFVv~>Z&G9(Ty8Gp5Nd}@BE zAA(t0rdK_JN!8jBhHsucg10&u@#5aLYD`%}o!2~YgB^t5cn8Z)O=SCrEno{}UK`4) zQ{`IyQTDEn;CCZ1c>!-m?*_(*Xdh;$9=j$v8=byNbQ>)CMc98eI};RjSMbyaDw!)< zA6O|&{p@KSonN(~Zrt@7arb-Cq}<)V6%p>2!;Qi1V>Zqa6=p&fWVJk*s?XaDDrGZQ zwHd!_Pi%r2Jq{fy9rr>TIqn<Df|1$Pss#5WZ+mtnPk~WvNBeU}5p+D8@@>D-gy*o4 zgb;4E;hv5$Y(b-jMkYL`a%uP!TBc=`SaC)z&0$NOd;f?TzJ0I3It%8DBK#qE0><|Z z2+%`)5I*C?to~L48k;Ve8!GhRg379!UDnZS$u#d4@`??5xM!Vq3#kjlt7w{iZ&~@& zLJu%*Y8IeOs8Ki{681dq@KHy`<ea-hKPwI=49IL~dOqiDqXUh4KV-s8B1$X4Qb;G| z!_W7#$)uysDOc*WrW|L2F$L>ez1DB5e3!V1ih13i<-d5yap)L1cC7O{J9f<fJ&g0p zI119aX)M#-_PF@eYOjQzBtHyPYfktAfY#;(o+zP*j4sNrgC$XC-Qed{%?ZK10+1Er zg@-d^VoNJ-)#8Wfr#VYopPRC^?J2k7u?eMDU3P>*PHu#}f~?4Wq%3KD6H^6HmH@`w z90}a_$`-FHNd~^rn~lCWA*!Trb3fTIwoh+T2(3=+IZ<n#)gy(=cp3V96G?y}`@-73 z9ggu!Lr$NpTCtk5a^Bk}^Aq!cTUL6$RJE%Y3;R~W^{=)MF78^#I{;cV7cp{<g_qi} zEiPzntyLSkTf5Zel1kZnTeh!NL38nh4iTG<DMML?*Jj{Tdf15%LtDTUKzJP|_vgf< zmd{-kJlC8|#fqd+hUS~_AsHxnuz@6nrt`2HNv$yineV++2!Hi}9DO-KX8jg+QvUx? z_LgB$b#2%%F?4sQbW4eJN;e27-5pAcY&r!bWaw^CKom)(Te_rMLTQxd+XLM9^Ss~j zyg%MQthv^C#ktn3wP&6C*v!;X78IV)d-I*i{&qx3U-0TL-mCAye|6TrT6qLM{Zuk( z@N&Zamj+)^rL93!RRyV%=MSBce(Ufa^<nQutRzdm7l)3Tza6uhGJCu^oyToCozvR< z`C=<=3(N<M9jq&XbZUF-)O39gf#$=ZgP8fjk0Q3t>GS@N6iT=#%9<5?(yRq{eiMvV z1EM!uGCoJEKh@u@e>^W9k`TQv*oYW!zv!P>PlqxO-T2kr{O!IlQKR0I_y(Nn43=i^ zE`B3HI$vD8zxf!M09;*G-CV7<yWnKgTsPeue<{)gz8L~vpsci>Y)-Z1>AKavjgA?t zs_rHOOx)Had|%RzY$SJP*K^;dsSR>{<kv#s*QxmtT|;75(f1Q7L-)=oLp?!}YCvCg zXsFq$AT`;~RG9FYO>wb}UAf$uyC+XU(GP<edpa5<oUlnbapm-&^~8?cx55(XYGacv zht=yEfPzuRV3D1%#~0C*!WGf%fd&#!AB2Hx>*{BF(O27^dJ)iqnDGyb5ie0)t;=V1 zHLLq>j!ei@Hdh=yeX|r;L@=do636WRHvHZ89Fdm1cA&T}Q9Y7n928`0+;VsE-A-lm z@jAybqNffer?|;$)>8{>dc}yIV(n4(!?xbq1NiVmzkb8x!<l})+O{FH#k%nOmzlBe zb}9xv1fPDe@%Uh4vF>a9(^vfI2NI7DBo^!Ed4ngN`t_5gu}OBudJ$*(MCQgclYXC8 zpWPe(Zw)|X&d?N_v{mo{m)Sx$BC$a_<Bcwz+P1bg@62OEy@<qWwhl!jI<>AcTxO3@ zA+mDmjB%nB%L;Tit^WnS3CW1(&734!aR)s>Mq^;O9T?tShFk36l^Hu}vV-m>{4`YP zJ$uKNKGDi2=wuf>4)EW7=9XmCtYZd7bc0|`8?Yt?SaX7C#SzT-R4<|i%xLi1E-l8> zsf@kj2biPc1Fj87y#}Nnqf0lqjqWB07AU_3%`NT7S;s&~6wD$D_QAsce`dp5fV4ce zq(7p%ETSQTv^=atIbwt*Lf7A;VbREF@7v)}pv}*p#Xf)aHlqf?|CSu<H7STu^Tf@6 zd0?KPioZqKvS~PQSaIPR^?ldxW>`A+(hEIU97nk4@Thl-VcC${1A8(zW5LzUO<a+T z#%FUf_pNWdiAuxko{Tr1EEQZuHUL_;&@b>wwykTW%j5yQX8xv>tSq%dXYnVJEXFHS zu}bEHeC5xtPiUAmKW3>B5O=UR{M?$bxSEh?`=~E6R8758d2N>5IpdReq7R(JeJ-s) zD*r`0S$cWALioJ6qtc>#l2zLubw@|M*?UARN^`PR*vf#WMYz+HszN*Y1Rki+#{8%6 zU6=0{gUnr*ry|v_4g@N-No<O1YAnTf=C^l#CT22LG$stGiMqXB_p|06v?-py;EEdB zb+CEqdA@Qf_m}T!FOvx7UhmMykYGciY?=M6rX)_qg$G|czxwX6%KwyWJDZ3an%Waf z91~sUw<K@_E?1!@yMV+laJF?-`RX8htocl8>u{+55w}UEX!-o1Nh{}jH~ksfW4THS z%CW6z)_m=cW!fLZ)OyR*G`~<v7AFx%EDxKHvEDQRmj_psKV(IH<|-zA9d=S#xoAYM z`-#6K-mv{?8vLl(=j<KREacDkL_&o4+5qw9m%`~!tjnVZ%MyUylEh>D>oMf(G0<{Y zk`Teaw!yj_iVeB(LA(JyfRUD)6@}Apwo9<)(Xa&epB38?(yL2_@k$|Q{=OUiu5VIT z8}fPnoz(@J*RWQz@UA_$Z{v&Z?1#m7?Z|!WR-$<gfC1@kH*QrV-9=rS>ans{QTaOg zmvLabstdMO*N;jTd*e$?+%O%IZeT6{eQS8%hTOLm_wD#?yI8dEMfs~UzUtxm*aOXP zD=E_~H?Vf|z7_hxa$|9DgAAOAh~b~G$7aSHO2FE@`?mPLt+;QiZ`;{VmozA^+~%C# zT>pZNAK_8o4Xh=;Z`p2Jm*7(o{NGcwSFy5Z0;t~}jJ(GBxTl~4KEx%0{{0crqAw@$ z?A1C>+_ypC8aT5U#?n3*(Ysp2$(3OGNkTG@;yiKwU}rP#SI_x_z0J7Gl5-2|OU6+W zzE$?{2^^cV<BE&f&1Vu3l?)Uj(td0{qGGwwH{R--Co4j}(%i%cS;Op|ZpR%3@0ppp z#|g%2vr?$F)jxT3;GM|K(z~fR$j;)ssj$n=BDksSv;!4|G}iLtI5wPDuAZ`2t_!0$ zKS7fOG~Hyc_VWs9j44$mGE$lMJ5`4<Y&OnjFZ=0^TRYF}+Un;%iw{5J9YuMjNG(NU zOGUPY_?|v42j^4Ro8!QzZ}azpUp7)VVV^I}eLy)k!Op=Mtog;Ue7W<CCS|@(fjOnQ z&SDb@sNs0x+gcGVKa62*_U1UqfGjSDWMGtZ3#mqsT8eu!d`F*q(`E<syt1X*LAtVh zjoF+-Q(@7ZlU*K{OLA=CQ9*L7ee&=)$iF1^B*?!=Jj{4FZoJxfSbe;E7~^}UEB|X+ zH8F=xh}-^;>SO669o+70DrIi|9UC{)nd)Oey2hVaKKH(d7VLp_bm!f72;Fzc7u<Jf z{Nqsw>+otDISv$h^gfrMW5lj|6yy830cDOSNAvI;n*12b_m2nOIu<pyqSW7~ImqJV z9|~f0t&T*0e{tYFZeF7=O8nki6K$RC*Pl44M}|63l<)9dL?pYy%YWN3yxs|=7z>Gr zNd$lU?6(Y6`VLPGgq!(?2!*3%sB#%IT&|q7i;K8lqDa7@NFbc$5~NTH2X284m2hB< z4702MCWH$+vn3jl*>%&5R>GYzo~9w82ge_ssv$wh{c;Sk8`u=y9JU(HEzgl5kwPgA zR4VWk`E@gWbDUf7vO&V<&o0eP@%hCK{)N%aP<VLvMYdC>b@t8m@~VxLg-^{hZWn0h zR(blww*6}(kBHML*!6#D*{P&%euT~W+w&&X4`-KOE*AYcL>NA~zPNt$HKvBzalp6g z;qTV20u%}#9Kny&ju}O>sM}m$T))5BMk=Fk#juo1CLzV3<gOAVg=!4KlX3=;Y&Hat zq)eI^8v)O+O~6xkL*a3rUiNUX@oVWlpOe6>nv>{sx#~<4PQ5SC)IoSUfZMmqZ6p}0 zt<R`NO26Jg5S88QJF?mOhaXFiGd{K+2?<PHq*#azoK&Dtim9AXTl?Y=G>7{vwDa$o zCI98=eU-B6gF}!X&brW!IrJ(e8#F*9Y(q?Cq$&Jy+TVwYfd<>-uSLFb5h>eu>Ro46 zI+Gk+JT1PC!MPY4r)UOhx}UkIJW6%uQ~&xh!S5&knc5y@*QCf$!rrmxr)PUj3SY<i zQ|%l1>P3#$S_cwQ_|#p*e0UOFRH%>F9;#CxuHmUu?}r@-Dv#J-oLha(wFQ532v^Hp zRho800Bu=jiUEQJcCfjtg5OE2i`LMp!?=l5&sRs1PJbc2n@k6IJsLO&YostNUfxBH zbF6D@9iiBD*0&=E|FpvXkTo~{>7PwXuN_IB^W?K#%V;EcGFUHS_CH@de=@i*A^2iY z_4fm>nrz_9%(t10-Ji4b+d#4^r~K|WncX4D3xn{h?>B54m47<Tsjg`CN;UrKO)c(D zxuE~W{^{~_=;sR2{KVxS_iy0y?N@&qp?bsc+(@_55*j@W&jsim&0kkz4Ovr*y3Ib@ z9!nJ>eno(=KFf%`HfzQF#s!Lss^wL1j66-Y2XvY>-FznKyfAr)o3w!Y89zYss(N}i zN|`jOVggBS0U~L@jZUgy0Nzga!GJSYK74LajuFv>7I5hK3ImaK$Gw%sB~a24l~!<` zRuC?tkx^W(Ul=f=?R%~zH8-G~YFIWbNV>x0p>A$k{S=Qd`pomOiQghp$3mFt$U7b( z-=jJV?Aq>MGhs`Pl|LINtz`2?yrfJmsW6pi6jEn-_)is_#-8-eg|!CCmtkVR?@zB& zoDYV>6ByOBWEIcM6@Onr&ob&K{NVJ{=a^Q-R93Rq;`dH+sA^H_Hg)P0{iMmC;@e)& z%ycak#1QU|O$s6~w-)n_7r&cuC-jL@|5>8WUXB{YpFM~s?&M_Qs%5RDwr}S^5tO(x z_82NU3Qq=5p4eFlP<1kN7Bm0joQ3Omn{fbq^c1WHE2i$6Etvd}!|p-o#VrvE);SP? zs0FF3Yh*utN}ySym(af#b+uiq|JnQ@>!0HF`ST4~4XFpX{AYts7n$A%Nf5QUZ&%m` z0rtO_PSG(<q&kad0{7<uj;A|UY-nk99!PCk8*Z|XqRTB+4vi^w4Y0aDt3CN#WO``) zzKG7=s2dU2`Sa+Mr<`_P_Nxc*S{GdvQ>ra9MApI{)mxB8R>6zTL*MHw@IM(c_mg!e z+1Pu9t%#O99Gaw%XLg}gbkCNY9Gj#_-`kV_GBn=vReb?h{Fl2j7-(kN3h<(kCfO5g z5>Jl#$g|qqG`rtmAc$_U@EUma8K}=(<Op;oerHLg#r^6wsv1dU+q_Z{b>I1l_w)1q z=gSK^8)mYRS5Ftvy`a1}w0%5iS@8^YW2g|Uq@{BLL!A|0aLA*Kbsgc#u9Z}%CJm4w zS2X8=)d+mXI-ny&X}=7OQZE4lE#bNC)j)GD>y&lC#HVk4|C<4gt1*(bb#~f4h$s+I zH}XdqN9zt2?{E<kDhVek%QjY<tr;5E(xESut<~Z4*adW|RR@iFTrGKt%UnOGo7~E* z>lIn@QuPzFfhq{e+fsbdQ3e!OUm9&j?*c_s*9PE@OM3+V1r~Iv%a1MZOaH7n_f4Zw zaiqwAQ>iQEtWbvML(642rJm|cRQU{!2eNy16v=R{;A1a`{a4*r+!D<<9^BV28y-FF zli&s)$03rpNk_=F!n8F&;#3Yu4jeudv}GbOrdbH~`)2w(*qaHJddUIafu>a(8RGzG znmZrfF;Dm_)6M7ey=9n}lzjhj@DwH{XLxRIVn08kOnS@ku#a_`VrZ?8z+xrwRKKU` zilCd``B8#}5RsBw&GgO~b%Q5IMljun4^w(u7er<cwx*-5`kRtmVE>pf@nr@2G;QRE z8HdBWckWa@j~sq$2G)MK!IH#NfB#t?KuROV^2>=>oSex=P{kk+*XW1_Jp$SYl0-%9 zv<b4HYl@2L@%!!!Lvk~+AvSbA=;lW*iQhV=dOjw&5xNumg6Wus<WN|Isha<kNG38D zA`)gojbG{H^wx~QN2zI4ilJ+G2PN1rJK47#C5W=x@!@C1uGK4~N9=Pjr~|EQXJ7g% z)&4e?@9aX2R|XHeba`|7_@fG6GZ(fce0`^t`lOncJE=^mnuN!Lr<6O9vN5wV!F`Iy znBjBHW=MSs^I%~oWu|f)e-xt=7w5*NA%2l2$EhLyJM(-El;H1-c8_4)zv{<3@~Etn zo4Bkt8wynO^|dw<S`fZ70#4+e2!o79tb*tsJS~R2p4uA;o8$|I_GTk!d~Qa4!ybz1 zPpS*PGrAg$-sZJG+!Q8t<YKH;&U2W4SsE!vG4R`g^<xK~mJ?4*3i}?nOSoFFS9*&k zqoR8-x{&y&6N22tbrBa_Xhy^4CphzGa|4xc;TevT9E0F6$fSTJLiI{Cgo*+ib}S4b z`f-OKIQFf0rFMitnv*ozmNbN_fOgepCe$ixI1W>nRuJC73_x;Z^;X3-%|xt1El&pX zI7oamba`&!84!c(SLP`hKwpSxpdY`ux<5m^B>nX1nvQoR7Q%<C`O8_xal4Rr74{KP zxZC1w+nNFpfZp%3!RNlMs=uf*>}hbC@5l+rwWT>oRF_I}+!zM<M<5Z~C(bnp8g$(b zjj919p3xKJ<kCUA@lLp|Qo#!P7FHB2AJQj>2oZEzO8=@MvJ}L4A1il-Ts}*lg~Xzh zOY}9drNe19r@B-RtCC9nK!zt7Hwt;DQyaK4V<bH<2cSmgp-~cz8$g!X(iCLZDN`2W zr98A3^cPU56jq;i(`_ZyLUcCNC{xN5TPA%-qdUVgyR{sG1KuB55=Uc+WE4&!$NuK3 zUmVC364Xr$;Do(`IDP{E^X<3b%Li{%bUOkI|D@LAp*-2C9!yN<=lb=ls6{-M&4aSH z9NBFIP%!4}QAT#_tW&0xe@%m_JlU>U82iA7=mD2`uLG$M+JpC|^WCFt`qRCizIsq< zm9_MgAhg#?wImC*^Om(#IpJ0@s(pOJ#^XpKRI4*Ia1YM8$nJe|YMItTdh&#jv<Qh( z-#!};Da;NYIhlorH?3v(kDE^Es)Dfq5E1?4FipysH-i01UQ3THg3N69|4AVDDbJO* z!%E@@Ygu}BxdoOzWr!uGg#z(=k#!<`H<~cZ>bAFYzee9iT<|bFYSdw9{J?!=FX5h7 z_UURcvf%+$t95gyzk}S=Rtv4OB1&~Ikkq5I9YD9I*g>~w{R`k;{3W>4D9B;%-1F-D z`^d5O&p1*I&pws8M$0dt1hP7qD$Eo+ghISks*^PtE}-wVyO192$}T5uJOn?hb{SNW zHj(ugC|7whCQ16aGLB_cyM-`|b>$(r8PBRYx>2)qh?bx24ovb>K}6@nw$i9ngyW65 zpPz)tvF<5%*m43g3cG@ek{wRCv5n)|$OK=aYxuPEHs4f9Q(WK{bPx&4=-Jwl$t2WD z+iaq9a^@@G>)FC18|KFNU}|ooXST9`62xv-e3pwu=@>fMWhS(V{@$T;@-r&6WvF0s z;#1oZsR5#fP(|j^e^N=qQZ1o#{wivlu2B06JSsP8lPXx5q-g19>*q;!=4N-9hv#}~ z5LPY8^>iXibpSQXlH?_aS7XX1RV?s%47}tlydNzZ!_HJ2<$c3wCp@1sruZzZdTC5? zGAc#}f+Lujv|g~~-u{@gHdvlyF!L?8-BD>1L55bJ5GJ#oQlv<$LE)Qr*Cm5O`_3m1 zfFP7K-I*7o75IN&{M6-3R4Zt#culGLCoQz`xlD1P?t9a!7YH1*iuLwL!S6PMMV3x| z9LQvY{^Gn1bD@BjT2`xzR-YrsAAk>?;Qu>2|FO9;P+Fvi!{~)|${K&N8OM9RKGRl) z;%ZC_c;<vsB8qTSYExc=e%3Ko3#CCp@=Sn7o6#mrQO$y^D}g_gr`L|7U4MUToMnRE zyJ{<m>oMPlHOJMFP(FhfQ5H-f3^GqBBII}+<3@@tTAmjA41&X74%f>n5+PEVmg-KA z#Ax&6ZAJqTCNa}vA`X=o+fRA)BgIjb)U0k<Gd<RQK+7_RMsfTM3vDByGy&kVP#&~K zccT4rl>U0O(l$~A{8`R2$Ks&)QmLbIRU7<+4^7ogI7;+?-mkuGNQ}T@<B6=BM23HE zL!8<fRv14qLu<OD!ca3^rSkC^xCM8Ai3DS*d@KJ9?$9|GQ)J`!SftOr@T<d+nef6n zms+$gFjeTWp9chbFyT2E>f;N$nbOF=6OLWe4X(3gs3cos46ZYGzr+58-qb}fR+_Cb zzNrd|j7!&obg}RA*)Brm=HVX$#;w^>cZuIH@RSjonkpi!5}lK||Bti`Xm9j3ZH5D1 zQ|fLDCFng|p-*lKi-+=zqEB9teF_SFjr<$D;1!}{p7L!zqkuR@@?l<MHoykE!VoMG z{NZz;h4P8YJ=lBo<XG*P57;a-E^CpL2*!Ti0$%9IG))7trSvB7k&sXSHwSdgeZI|q zE`X$Nc*@4@J<6nJNEg<LwZR8ib|~%oAO|$ez$gZu3_;ZC1nZQJC#mLYARom<74!T7 z-eN4u=V><Rjto=oGqixI^6sMqFJbUnHwx)`)Is&8?Dk?84|y_yfa%z%|J&0gS?}nD zDxn1Uwhx!WUBbC(2MaAENwS&ZBb0uQ%U8<uTGNfK>tU>PTVsr^Yhy&qbFmfSn!LY8 zbGeN)&jvYf>(JJhF4D$6@>qzMfv`#!{KkDPGegG<Ou8wQBE;6otbGFC@lCuAD*9q9 zgxU_pCv$W!W?HHXKQP>Pt@%IjWp)Zz7*{58{X~^_M-vZ;(k@&<M+V8Ti@?<k<n^gV zeP-k%?CN|J+ti0gQokk;+th)lS0@WEe-D6{ZI8%kF197!tN;vvqT`@wP~=4ftz3kt zX3IJQd~wC<TP-9*H6qtqkceztPbtFS?dL`Bi?V<kr=@8vy@U+5B}Z^VZ{NdN<TsqU zE$g!IHqY+f!Io5T6_o_^`yg;R;&!JKpT0~B82g`$pkojqqZXV(D*3}QWkYvOc<2$t zaQZ*9q=Jt$7s9ZV1pD9$NY_Bha0(9K9C^T`18*}+YpR@KN$@WvG~JdTu($gnW;3%E z+%up*E>eaaJWBG64UAhrU@n4l+dq>ek&7ne1lu^kUQaotTfDOIYL7CdTc|RjE5SY6 zf2WB_6rMydVpSD<pK;;kBFsg;Ju9Nb;dGJhNdKG>v3Ayd(G7l~!K0I?oh$3l2D|Ei znTHmx+PVF_&f{zV2SmyY#e-pY%us<H3wN%*R}%iGSJ$gQzp2$)?JROZf%L?m+2Vko z_vO#tnjxB-I$-0O+FDYlkQGZY33YL?d}g=CPnPZIKuvY?5@wd|Bk+YqNioZIO`ztG zd5I0n_K%hl@WqhE_*kH3cDF`$ehIUBXamcKwV}d{-C6Z^w>W-$j}IM>ZCc`=IE#qC zo6e^G^acS-h@syJB_tWp&Vfg<s{c(pyu0B7ExtHOfBKp{h~VAie#%Udh94Ogjc`>h zE1`*6dwA>zCpN0=%M;9Dv(7m89BhYK_}oD3@*J~O`+r`^*w0GkXi^I13ssB}M&Wqq z$KX)u2ep?em9*RYZEogaUs!+T?2~Jn{;Vb%sJKw-tO7uEeuTAf;QQ|@fM!Tb1RH6} zydd72COky#r>!l7rKW2!q$voH8Yh!-4q_h~h*f%%@YaR4O=SaKd(hy*Z!bHIuQGvt zD6K4`rV+EWq(}%4l#TUyz0EXh>3B*pl##hP`UH`g+{}8HLgjvRwJ>mTkTq4Y%;KhW zgfhyI0K~9QXW>`Ncc70uG8ac17vzDHS#L|I+_Y+Fb))F~w`RYZS(n{f?w>9np6kAY z=uF}gm0f7ZPo(9U&T&>k^-vYG1B0|CRKrtSjZ!rmd2OSD9@evCDKxRScj22YaH5}; z$Im<H`4sy(D|hM`Am=!G$xR)Fb!jaCss6~{EQIj}U~){^?&Rl{dG)->cdy^fF3?Bs z{ubOk`^gsIOc8nc!i%@Z1!{!bNktj5$o2RX|NQN2=m53fjRQ%G%OlZ%Jz#x#XSdB| z4AGZBT8)OQ!T;0OCdI{eNmfoCjSnR6l~sJAn}P$YDu!CVsOr1c&LPPQw!xhNwMMU? zUwTAHQTxw1{L<C@M7_9n`1a>H8!6=$T3hrcnOA0iHGHIR`=b-1@Uph+$z_4EKI>W{ zs&makt*0?0vt$%oo$NQ#;s*z^H*iTFGkEqjmJu6HWhs<L3BP)4yb^E3ufo4pEM#4C zP6VlFi~wF6g(;aUhyEj{46mc7fl^ktaBgP{;K_2-90B4N_H~8;j3zwP<qe%W`mK(Z z-+cmTO9<Sk(sg7+A9@5Ix;7@LAk?*71mQX#a*unv0@r8xDc3*72W~#Xc?Nt`TZdnl zE5>l#kW!nK0KaeooE|~;?>#xRs<jpH)IP0r7~0(CKv(>88{D48rd%=~;E9~tk@?7* z6O6#7{)cJtKXuml!->D^li>9<`W29!g+yg+%;u5BCt1aNF<J;b$kvKaoHt~$Hdr%4 zGx*+PBfDl+Wd3VN$TdFQD$r})QUFon0Rm1Ukp<8d7=6rv(ZtSKPImej&pV}(^nwrj zvJJ^!3fW%@LS4ksb^QhrKv_lrq>!(JpgXJZ(ujxQ-B6x2cxi06zx>3HHpdO;rWNb! z=)MH3!BZgsc{7a*g*6MBM&>_%8SnMXPH~t0c0lDHVfGwE{uA32e3duT8YW4Q3BqQ3 zJ?YcjWvY&-{1fbd;sQ;6(XeJ6Y@T;r$N!=t`QX*@mDX-MAOYov47CvrYpZtiTH6Ue zuILYKY9kYvx!!g{44M|yM%gfPqwRzo7ZBZj{OD-x%G}uRn?WDH%d&_r-kO-Mz`DeQ zM=^>4y%iN!SORp<wN-v3kXx)LaB*D*?=(sDHHx?!<(Ct;B)L<eKKntTJ_koiBGN;l zwC&?q*DlT0@Gi~I>eTYuRN>hZS0jXhtF~pSZv0a{Le?C>CqtH1+vOd%Py2yx{7~ji zct(9I%A*E}G<sJ`RRO-(4RW&XjP<x=3@b`bKe1S1ah_OYaVPNgicr|KZPdQo@#To2 z#cI!ie1E7=Y?j2c(_x_(mm_V(Z&nL-<BCuz<+Z=R$*+IX<<<s``3cVlw6E6rH8yen z`R8jC3aJLFD9J{uK2T0p@%T7e$)V7i1+>>5Xkv5r<YHqac8e6qk{(w%{pqPBf8yUs zdZz2Rrq>Z4j_u>T$z&G%L$x~I1W%q?n6e=rU-Xc>6P{^B0uW8B5gk~PzeXdwQSf+- zM8Do!BRNilQU=!z*j8MM=q6s6_=C?x7+?N$cR9$|h24{xkU{CQ!(&y?A`4@3y?lc5 zy&^Al#FqF`=e5<>AKb~{7qa|_<GeJt_4O8*7}$>*WtKB|Ur`vKii#oAf^|drV2M6_ z@n?eQAvH-`6z+>w5=eIRbURL+yVII{{TlbQ;l8>M0++cmAh9(1xsvARi`JhA%-~0{ zteSdDA^T_M!S#$GB(+1dx(LJU0A;;C^^AV9Y>bRc0$04plJL+EIypj6SO+1YD_*@6 z*g=>p1Z4#~IKYm_GGNDet`Lc&FTY#hA_|J3ESDyjwvc5IX_!RSaA~R?9?F4#Dk32Z zMAj_J`(H*Uy;bEnPBaGw<E~DN+33<0_V+H`8T*)4<$+6z<hQB}mtOv3_%`ivH>cg4 zbm<Xro0rp!gJG3$`<2Ghf_P}dXGAI)SSE-|+3g{Y^z=DLM=3%SU(s8>C8GV1wUqqn z#pfpIM->1_5`2tA4IIR=UvWHDg1h+$Fj*4BBV?M)bo|)=40qkD+VaHTm!N9v62c5- zN7{FB1G94upE;?4*)f)Aw!rMqa*pydStz~|F0loQ=0SudHX>gvW59pFeoON=#85#v zfE%%nP166E;00YN+*i~kd$_ZQ8a<%}@Td3z<#22A(sU#V2um1n&X-Uc04LN?;Q)ME zq<+N2Ux)AoogCo5|Fg^gtQwrKqx^gpD7CZ%RGHz>%l{4GdK=E=r@C*wCfnx=(bUfk zH5L6vEF7M4`#Rzs=M{tRe!R)C&K&qK78{pm20K>|kDg)4>MvI_Z1<DA;+3Etk^az2 z@P)MBh_+kx`3JD45$dx8FNRA}zQ@KD(Ntciagx~{%Crv^+ks~QEaO{nnm0?Q_a8_c z$i=lY5uYnoXJ6)Zo3+PWO+-_NW3iqmf(0GHf|P>s`**&pcfRy+IV5646kic&zizrs z%QC=$1kKy0;5taeu+t+@1B}7AQ?`IpFdIQU{BU$u6qX4JuF0{GBfT`7?}&EwGCKgj z0v1t~MVABzB<RN}3DT}Eg$3TFCcaDk={_~WU20-5wZ5PqYl|)dj3b63+I}dU9*Pdg zk&A(<1qyFSq|v<TR`*s1&IIBrAbFD4y(~Qn=ZDs!3wIX)zmEj>=vt2(03*Qv`NO%H z+cde2$diybeQ5!}<`SWKv)a%X^~#Vdz6Tt4wc%+XP3%RbVEKu$|CSe%+7B%#(eW;c zNLiR)ZvM53e|$}o!F#!P6TM`u_5AyM)8+i84{ga^xAh+fpix`GwSUNm$B-+6FGhce z!qcjXX*w;zZwk;g@-OLpUWa2k4T4v3M>tE6LsWaGL$pLDwQ1kNr@w69A}wjxQrgf9 zucA?d%)?<JV49l%hPbW4F)2JnB%LfHuX-y@?plWM0*=7F6dn^p3rl~K3QI_LLnr`k zWehG%w-}j2HU>GP%!;xF5^4w6KB|S7T*<?~vZhCZ4OseCq{mxiQvBMteFT2-HJQLn zFBA`%mwM0o*!xj_m&jRHt7O-Mg*Vg(*5eV(ChVj=-UI2Q77Iz_M{A9Uh-7iT+P>rI zTKQRiQl_-4ZZ1l3ue9yw5?$aiEkzGix8hxtV*Fl|FG_Y<EGAK36pc?a|2@L86g^kn z8UZ1H;Ki7+jM_ql7wuU7ViNh)+F(=Q8~JanV&|%*BkIoID0;k)(?{<huEzg{if*Cd z{KXJ3Dytp_p@C5)U{uV1L)dly4Y~g}<X;;I{8!j>ho0Wq32aN><8+Pu?72+Aw+EY# za>29$=c*Z-k6QC-*3;n-Q^~KGcCDGIuUJZNka_=w7~4%`y7(@zESb+$_c!Gg*B-qs z9dTKa{e}j5o}K@<C+DJM(UWhH1XoPn$3VX2B1O;m<|DVfMM#`~62mK4fg-iz^p%mg z1Z5Xm?fk{@NPlh7b4{%cEKB?2bm0+~D0vAb<EB8B7=LZB+5uS2|F+up*I(`VG>q*= zAd}f!CNRw64wL=H3NoqRzGafR`KS~0q?-p|R7wf1ioB2WEi-SKc;qb-f=tF=z?fKr zOqM|=63#Ft1CjoGAd`fSTPBZ3T*5#mOm#3Oe9Y(HVdMTQ2pji?>^Cy%tIgIp@(XL{ zG7RdA&D=QhGi$ZA^yT<C>eCW8myM2QGU~sZfLR>*iM85z`m$ym^>N8Vu)m3n`e>6b zj{IOSBf=|8UevcGzpIgtc_DN8AeMT!WMp?B($glyRvuX;#=}OfLZsb-N^E?Dett&X z(OA!$fFONJ*ZhcF&Cz&_Al*tAf0eD;2a8#-ax3!tM>edglR$xt>82uP!TvJ-mPr(7 z!#=zk@P6ibd*pWqU-Oy@B}79;!ODY<4FR!ggQtwrp21Yqzk6V@cpnLNm;Td_K>bf1 z*&el?V6g0Qhqb{w)E{WRuI5K+S<@Wl_;ey>IR6l#w`AUL{ijICuMlyeY9Cc~$7J2e zx5AlADE~5i@ou`Q>p$5d{r{7#5$Bcn@dFrju;lS=Noer_yn6BxJR733=L)p(5MB;y zdkBvOMLdLOg)SbzV<B98gytW>%cEocn-~_u3#d5~3q%IY>yU{48Ihq8IMfBXiGq~w z`ZMcbjCv!#=P}_`5uCur{kYm-oB_ep95!wyX2Iw*{ubCogR`DUkS?c6Z_2y?8{Z4_ zni56GFIf@T`0kVG|C<cSb(vX^glM4y=-9|pa^w;QM=Ji<!Sq|h{JWd<mYSRmJ`!E= zmm{e|l-;RjLU!6;OGZ<o2l+RI1}WPW)3@Z_H5<BHb9cL`@nm$M88dQ{WGeQ%WoV-^ zKh&!)dGRiK&~RO7kSr{bX)cOQu6|QRGj>(9-F5W%nWhyJNoH!VTgFdPwKNrWpe$r9 zkB{zg>=8n{qPC6PyKqDI4Z3c(uPv^lvIffqG$iv|-EIYB#0e30-Jyw|hVI2wk0d+u z@E^|CxsJv}@4Bxdm*|_)7m<;urMZn{TF=1|MXPokue2$0b2W@bQ{<R0N2WYA6te4- z^LplnsKX(RHx`M(oLRT2#_Svr1t77Sle;Y!;E~MRbzo^!3(2X_fH$g_^F&_P!Lt#U zMcB26CJKU8v0zn+9vXpFL&2)cLgSRtPdoUR+Y|}FIw4@4k*E}EL!qr!IWJ#Vu+B5Q zu^<d)POuIJSVxZCT-bHF01+Hc3s}ZhP6d%GgMTRx5W#}gc^|R$Ej01^xqI=A;BB4X zUtCB1qjx_of}{IHUvxpN*5ERdxjPGv4y?M^rg+5JFy?nxb>X?tRx?=Dg>oALqMsJp z6n8+>_YNHd(Iz=BVV65j-Wbd=UpCcNoo+du1J26@d&Kiwjd!GGIWs=Z=ZQFdx#QFj zn)m_av~$Nv73Aa|y~{s;$LS}rny>RnX4W02PjhXG{b1D>cU5D-s&!yhXUc6t7-JA^ z2T|8M)E`8@$a$SRAp*A(<&44nyLMBp@s3o3({jNq$f@>@Qw?VZ|7@Pf_u4y7pF<Ok zK~7V5oZi>Ej@pCsG;_ykj95+BX(Utaex7F96!XEVws%#<!KyW2RY%HgOxQgAXj4oF zK-l^Y<^ti5a$XINcN1la!K?~$O1$Ib>$qId4RWf!<%IQA*Vu6+lVmo45aa2%zAj@2 z@jO~JmO{0@9G0#w69}QfAl-2V-NF{)dDbcrQjo*a(cN_z$-I~WA)Rp{UB+hOc|ft! zt-wY<BQLC!ZT9o#pr?~$R4A)`QrV~>IMY+e1*S=P^d3PvHvSHXS{w?k5A8p{ZU$0a zEUkBd>}wg5rwWaH&pn7zXUcAN2b=^-`Y+^GllEw^T)jW@d3X9f@^0LC6#?BxifF~C z^g*snIYD-rs=ztG7l(W3z5H%y2_Sm8xb!>ig3h?@FAXwx(=}O>bRk)j5gTWR^66#! zQmCF(u%4|z@E7IsP;WF7fV64<ne9G$r}e%kYb`GK@+wX+i7}qb(?htU)Se^mn<vR_ zho1GA$v3n05a$hy?6H6D6X3C4w+*jvAAaxmj0L|{U!C<$bf<dGFW}i}nx-|Wl6M-$ zs(CK~OJj1Qb<*Q7{H(dQI{i&Z-v_x5Wa|(a#njOkJ}|V_I!POqF-rstms8F8^=vpz zbC4%#AF+goE15U&qXU`46Q8i$5E}7Nw}T~C4Noz5!F<tfjW#V6QWBg@1gj}T8@@ga zOh%5D5VwOCRt>;Y%uz7kFEBU63d+M(bA~i9sB>+dL7zzjuY@5Xc+G==OB}d=tbjHi zk~7GEO`M~T+m`r%QG*~<IPvs(fVms0dQ6g}D($w)vI~4vD81-IEd+t;628E1NG!xL z`=4Ne`Gdz-V4!4MB0U%wFP!)n419>D9tj2l8rv%Kut-KxIxy0$l+O(onY!<;U}k8& zi;<IQckx|PZd)87i7?f2;VVQbPKDkLBp0Q^>tKRVD9;pM8wbZI$CO&b9XR?RQDH_t zAb~z{U>Yj96|Y$E3sLfb0puWCG-wIqVA-Zk3vx&m);<9_#G|PL0U!y>ZIyA5gB+9S zl_r8fd?}ym9fvjf+en7{NSaW$)I#n6&!Wvoh(vbhs2W<936Ib5S;TlF8D2wJSb2Lf zU!x^ar^-g%vGu=WD|N?K<c@6?4qPVz^(_a2qvrttjD*)6hyFVbKkhiN-f_^m<B)WD z%btMhF7oh>{Y%0wt0!OKW>RApF?9;o9!M(2D{ibR=N$Ji;2+}U6!b9E958D5TH<e= z-m<Mfy=80Zcgt4A4=o7*>9UE#B@w1rl7H<`#8IKgp=nW!QszZ5St;mdj1R$CVhCkS z4d8YgZBOWFL2*sUOBnbH7248NELcPoQj}6GT2Rh7&S7}52cJ=p!f>>UG&opr0j{6} z>J~+GMcysrm4a}Fcn>g-97C!bnCC%)LOqxVAwlH}R0!CDS6rJ#6gu$!^q;4}&djTc z3`7%EEDs<z8h8o{TWH&5msvSgd_TGm*>>Jd@Qe4UD~GCT3tGu2jp|7&8TpS%M!GUN zO!He^2o^BRvlWc5o4Y9`Wf!5R7dak>B^98AB{eiv2jbHK0*+}P87Z|ARvs3NGcr&B zEO=Um?M1BV-E@qld@@Q#X*Hl1h9Kzm9rQ}z2ffrOSn%+zKqrb((8(BdQmO@=pfD#7 zDy;<RN1&50=rrmC%QOc%MS@P}b%FTH{C}$K`aHcA6s>GSGla)JlPjF$(x5*7DWK&B zFQtu}1Z3}_&lWk>Oex4Q%G!|YXArI<LaUtmlKo<IbY$I&-Uz(imXX7)-E%0&<IUr1 zt_akj5e}-FFnK^kgr8Yzi&b#0fgdu3AfTnmI+9vDDOrZYDdioi0m1~Ctj;i)AY=*) zgddNjVo!mvn3T7GCI}N|mcGO)0M0cCL#9aSSl=0tBy6kHIM7an=g~GDmc&P~MGYo3 zA#1&6L2uiMCoe6@+f-SMPTZE!*gpyB`(3V?w-qVA1~E}B;b<XeOiSC8QQ7|-(nnIE znP(R%UH~ysEE#A6frL#Ng?*2ZJ}D5`iV(Min8=klc7j0Eri|=9AP~~$01Jr_XMmVU zm0<R~)v^(`%+TUS2vTP)qY)-xUQIfGi^Fl^dS>02_)U!D>p;SdhGyG>b#LG2DT9xM zKz1Q5FUlh6vZwCv&}4d~xUX&Pl>QbWQrq_aC*QTce#h)GxkmK3v|67z?bq_VB$D00 zcY5R6SSENKMJNhfqvO1c7kn~Z&{m8hhwksI;hmCY|E5YYbO<Mk@bWTepGRzh)a>mu z&NW`D4`by>6N-A#Y2hD;>s}?-)y4hkgOt12`x|HlW@;^&Vt?Xo&Byn(P(<?V#78-L zX#8vm4^6C!0in?ZE%<Doi*r9BWau{|-f3=gNUNVEl-OGqXe&NRK)oz6ZZj1xQN|FZ zU*_{wg!oHxoAJ;L6(Ui`@Aoz_AV?%bc~WrJM-k!_PDPFJ18KUHNmVkLVfoaT!F+g4 zA+6({Fqo4L{G~B1KO1c``M>(!#T{P$-ZpZ7ch;SLnU!-CmDPQ;SM7RdRToPzoHAIf zvfluu!b4yO2F|x<r?a3e%ok<`Uv?v`=voPoM0W$&DF)QaMDl4vUgg8JQ@L9OOWD>K z^=A%>l6q*WQF&;75!}whrSU+EtGBj;xyCdwK;jx8?HOG&$WV<sOl9ePVUAXw;_BK~ z+GF45XvK(+DZ-KcWuG4#CNFF2OIj$QS_MsseTFvTA+Q0zp58n#Ne{u7PSU>87=Qmb zkEKIQv&{m#?o(^p*948tHwn1EV(>9v4to?2qs{mJeIKuWvnGKXZZwG%ZbaPH{$c;2 z#8u6clq*8)ro0gBrYG$bJ`N$utoqSJjP4Jg+NNY*8$2*nYK^L3$yNUNq<yyJ%agjK zpm|?8wE4;@TfqDIpGj1xR+UR7ORmUYax9ml1@dJrbkBV-9LFSo*=hATEZq2OUdZl- z1Ai0NYgqQ_3B9D@*RNy3{@R+xxUwQ$TtwkFv{#PoQ{z_PaTU52o45u2Jr~FlKStac zlTc;jqP0a2I@`(?Xk#LJhr3@4pyM2kNjQY40&HybOX@fLS>ig7TTiwJcc%Nj$#7>T z-J6Ve=BIm;>CPO#H<|Cu<9n0k&Ll(vGt04E9;?R(NnDM2gPXqsQ%aSs48#FgX+k7+ zJ&nXDHuTdmiO^yksn#ii<zgTWUse5>j``G{9*oq^D~%NIfS4$UWMA7U@pF!ZCoQo@ z?t^2CEMhpv#rLDa^FJ?U9dVbX;SH*!Zv%&Pzt;t1Pq(s*WXEt{E1-T2LY27EP6BBW zW*9>t*;+b)L`(KHtI+Enlw@Dq^JDcZ89B69=|oq@>hD(eYR*rOhsbZYIPvc>iJ0)m zmzU}{yW@`(Pjv84aK;bx7L7w!E59}eb(=_8a*cGMekr$KtyE}Tt=#7!v9kzK1%J>< zqhP5RB{Y1iv<o#|=kzj1p>+rc9cN5C1`oMZ_ZS%aCURH|c%6WGQM0en4CmxuSp`iz z68Og8ch2m_gYqnONfWI(et#>wrPc2ZZ1YOvz#vl|nuXh)TAvAG0rwlbt=OZj7(hTi zk}`r_1+qubz?`H5-7oC|<r(~VnePJoZUcQXz(63Nb=>E6hZCxu9;>&Q+KYg$1<AOQ zXUFPrTXan?OFP;`7{4C1i?BCDS0>ZrJfB)qzmc6tdqc2j*}BS+^Dt9Ab;(0rwFixN zUjc(b1x1G`#ZsD;xu@ls8m(DF4sR<1LEmF3<jI!^Xk%#a(6Ccai-C_Bzrw+dWJw3K z5}ajUlihCl2kT=JNMRzIbKqQ%i(e(Kk88%gK4-=_(A--dlduT>q4-&o`Sp2m3s3RG z3vflT7=|f=ZywKp-N5zno|@lh!g$=u-t6m0aI15C?F}lf3g}A0?SoXlvOm&$8(3T^ z+2$^96{N_N(HMj&>Vbq`#Lfl~sPN{7;98oZ(Y@uF*GM&eYX?q4vi23vpRH_;4zcQR z^_wKD$>SKXE^6z-bbB#Sq}>z3rB}^)s=SSTY2}1?jlT$xq<GKn%^(!(1wAC@Z-Gyy z)o(B7tg<~-exC!+^$7vuFl$6+8ov+KmqIW!MM9oTZscvDUv{a(0PvUm8(Ugtob{0P z87{wjN+HZSkdW#>|J?@{9rA62OCjLm7XqR~`fd25A4q7faYm-5PSxy)9QfDBjkNH) zl^B>DJ|8fv6i(B3f*^HYxOl28>%r`JjujZhn_n9wTXAMp$m6S2BW8b9eUF@(U3b== zTK<?)(kDBi0p*M2)lXHlZF)G#<ULPN#;1r(WfK|lXmkdWI^g+`dm}#hk>z8jDys?8 zDl0PKX>^LcVJxUH3IYWpRjnDcfe67J7&IVH)6gM(n*_f{i{%ik%)*2<tj$kIx<E%h z30{y@D07%?ZF$5>5C_l5(lk`4bBb+1a}#m!2h60+VTPG3kimMGNuN_}4Vt!)!Bm*Z zki!f!OCW<jFq0{#*a{X488m>IEIG_pwg4E6H29b-O2btpj6NhQA-DB7hfs+7h(9+) zOH#dO*wj}8J!8rj^k>Z}wqW5ALq)1pLyhekc2^M2MM-UWiq=|9nue{&cub2wO-?6- zFEd7G7edW|@SG0PZDuRX5IP(zX}S}<#Db|BUV!8K7RAv6mohka>+QG{&Po9A9xLbp z4?k6c;HDIL)jKKI%h0^wEdSi9V>--fck>1~u`_A2Eh%DGomRHw-p_^BFCuA}F`keQ zttEVW+eKkz6*$Je-2Xr{Q8{QS=EKL9JiJXY&<I#k6tfGEqu229W`ee~5O|NmMa$;= z-dEuY3}oIZfG3WW99d2^L_h@82Nc1@5E$FeR}VqX3P}VP62eKC%?mV4(PbvADg{Uy z*3cS0srL(2a?^O!B=W3YMxK3H@CFt=-q$rc%NJ;bmsQ^j@X%&M>b_&oW|UBY%sORt ziF?-)tg3idZC;QOH0`2IkTE7I(=EsFKT6zD+y3jz1m!5ks;wZ$1Zp{CP@bEuTD>r! zXre|Bdp-gm{#?c^=F8{Sg4O63awtjb=;+*u{WGSc2kZ5Di0JNEp@k#wv6!e#O4x;S zbfz@)?dM@2V`Cm-lqU$z-hxda=m&!63oy{C35@gs!S!2k7z7JIuxw!l0MWu`F!U9O z?ty5g<Qltp$-tDU?=Vt^3YRJi8>T{E0JPW2$rY`$06wj^uw|dOz5<IYH$_O2ubw}J zdK{iPQJEmB7m+_vIe1Ho#+A1oTs)F@AR)pns0g_OAKZZocc2aeGjJPvk22q(8h2<C zEEEr&x1NyhE|}HTc*9QmVPSyv3%lE8>ma7eQbahc!i7|XlG`$gCdC9Tk{Lx4>w(lN zp@J-^5|lQj7^(!M-}V-6z2%q2!4G_o8w3t2ya3l17uE4Kt|jooPMG2KaImOpc`$9F z@)%udFm(s~a|%eRA-Je1j%l%``)GUCwA{fep@leREky)lNVZJSw$WgYrIH|Bj>MhV zv|h%+H0;|oiDG>8#K2(bSn2c-6<i3k-udMmRkjdc9B>WMdTXh}){qYM?HYn)!PXF9 zD*`T8)1_YF+vVzhw_IP|F4xt&<+^;kT$^u~t9sq-YPG&wt%kR&weW7W#=}-?2)7R^ zxU7*R9Qo{I+XU&HYuW{w?f0U7TU|xi8>r}5<=L0?%aT<LFz{!6vjbNqfHslJ5FA@0 z+jb=41YX>SZ4)rdMj7z4{@Q`p_Q0o!mKvX#;`jYD(rFWV{~W!)berbs9lS*B#8WjI zB2cB<j7sh5R{T`Mu$AhWoUjv@jKA?V8Q)@wUFlcu-=<Nmwz*M_6^1zjrQ<gu%DpG5 z%4jdulp_y>bT#QEv<$$nf6#tc7$T-`p!6oZJPQ8|zF+G_b~Oj%uZR6w=A!nxNj-7T zlM^mFtXR!(jFRzJTg&rg{ZBt8&Hdz7MtcRRNg|CiBVs(vPbpjzk1<f1Moca2z>Oqg z{HXFNY0icZ^brMpw5s!DiKCMf{uWq24#aUt)}c8M%!=2GkHSC&(t?&4)^!U`(c6^! z%N0iWA<Pel67p;|bPMw65eB~3fMN{q_*YYuj?R;SGaoQiI4CO@3`IxdksnIfmQft8 zIc5We9Q?+Xf!1qVG~Xg)IW{(?7DF-RG8_S^p~-RuxphcNrH$fAF7qbYE27ep9JrT% zI7-2Do0!88kZ?Hqhl7>J1(r*5*ks{pL0%NXz&xxj;jvyl=$;36^cS;K9D-z`?#=2) z#F&<~DWkSO5d!Vf)BNXL=UoZ|k~d|P_TPm-2SI=}Qal7=BJa)m8U$iDWuENohCnA_ z5fS3D5EB{iQWy|svneCJPZR=Ouwe~6do4KqM>4XKrit)d6uzp&F&&Nm_VVF(PiCN5 z#4nZcCO0pn`Fp}UhsqjD)@yNPDNF3H58Hm1&0uiKp;NyO1rK;#sKMhCm)jI)!Hv#Z zfmbNor~Q4O51@so&?b0<M?e{~Wx07-A~NfbGE^`1`1DT77k9_CkfV<RL>rRq1fp!Y zkJ{>gM4|tq#Bc|Pp30`+?A@NS=CFU-veOU9d)^+%o`oNMBEs7UZur#l0AD=6y?!PN z_2|Ix_UJaS?B^sGa;9;o-&S1*Yw6p@IquJD*gu`v=~bLyT5KF2VSmnvitvZd^oSVo z0_#C0&4R(mm9Gy4H0~N+7C0BI{mIzZ>#slgUc}r$VP6(LPGqSMxh8RYkOg&lfu+S2 z#ps7A?u_Hqi()>UCq_xy@<!wDV;WdG<c-=aJ{Zh^uJ-v{Xs!8NccO}skgGibw+UMr zJa|<&@t`&v@0sK0aTKYoe6)AMhFYBx5)Y@~OT!L@PS@zr=ZFX_0Qj>PJyT5JL2F${ zg3!YumId8SJ!wA$k;|#7sFHzDIG+LXDj(8L=|0cuF70*r+F@->;tZ$54C>Kt1`XY> zV)q+Rzp22pmIy@zbrK>dbe`PGq)Br*G@~~}<Qv7nt%{;&SK3Ud7}*!xeh<nZ(=W!F z(tmdZ$bp6AW`VXs!e6r>KG+PZu%=rTM&$>pu=Xii@7dGaa449(@eCAUGK;q&>;x2H zCZGrtBiDzCuxpqI+XqD$3Iry?=C<@QmYV%zigB)5K<SVOZpO4AQ~z_Dm?}j%M#$w> zc3b-;C?L!dfv6}{P}_i>M6I`;efK8Sor#Wihce!oO7|w`of&m+3f#xun_~B|Fx3QR zmV=q`=%BtS_(yiJ%iOCf;Lf3sXdJdTuaDK2w_rC^?v)Y2>mIbPX`nJ1OR}}k!+F>` zg?}f%l#l5cPnGWE*Zpq1lV4OL;cu48BktrE{W&h4AJ(1x;+Lht52~aE<rg6%NDHZ$ z1irPHa00-ANlrDI!@xr7H1R9#yIU!8AlJgviwbdZP(ari>AuR9yEs-~HN%#;0aek7 zs+QP0*(>lK%ETAF<<oMP%B2H6+*IXHw;T49U2Ff8U35ThhSV$(J)4@4^>j;W+03`> zA*gW0C0MlfkAmCUk9EL1GWJAQ!0}!z_;v{4iXCf!`sh&KbK@;LLB-e7Ge%HZL<T<| z?t{cBZN`MC-$1Z8ESg~3(U%s4;Qw<21%_d6JZQY#s_zNJuL!`KBipx|`punm63@E5 zm6G`QF7Th73kCuZ%%Z_WP`kAiyWZ+E?O8?euFK~TxIR#=Dc~$;Dl`7Ob&WtF<1^jB z1e`OMO<HE0GGS2Lz{hO)-3l3LwYP!t&uGnrZ*`RtOjo@CbrsS(G^SLIK{Vc~ECPiT zwGtKAo(>66L0L+?0VN*>fR@#Bb38O{>ZvtP#tPF+b5cM3&%LHV1;|kx>-%d=!XP5f z7w2Ay#RzY~l-T2#AE2xBGOr4_vI5Q2EdGE(b)~#8BE(x2TthnVzndMX5{rf+@fU?? zfbu~*{TryOUW2+ygbn=YU7jPdTq<RM%VVSoq?#t6F^140Dx(I5Y=!kff>l5v^)X{R zyc#9~cO%98&WjzZid;Y<a;Sg0sKu}NGNqZ^>!X}nO|-n0xE(0=p{Li4EgiG|Q^Z2e z_;0Fn;IXS9t>nf|fpYC8_DEQ+xkR-3v%9ylsRJE<34xubs&?)jJTV&3ZUnrA`#THD zF3&sJRf~bYWDHYX_P44l2Bx}fK-D$->o&X>R9&xNsw?_Vbxnh+3jkGD?@Oxyxwb_^ z5{8y$kHA32elUBasfAIM<lhM-bpL7V(TETy={f6gC$;efF0b?kY$$k+W-1G7092A% z`&6V?W2yyPcd|4x6?r#>lo^`hCz*OIYomQ0#!)uW_1gt=mLmsq_7Ar{o%RlQ*8jhs zruV8qou$|f{TYSLGAuxt{N#&Zio0L#hs^*jBN?+&k6Z8;2+n|@GYA6ieg`0W2%@as zx99<gu7POsZKzb`W<Y{580vcq{sF;V5c~=Q5#lRPbHHEj*^(l09UL7F$!iNJ!1PA3 z)2A?$erOkvm6zMHk3CW)SQlcx0w*RV13FT<4h{{6m><H4iNQ=7S72}uv=QLN9>Z)p z*TL_gi4QL(05c!B4i11OJ-paMn91lm*bkb#@M4@Wli78!4>YCW#aLk`tLtE|Mo5qa zFCpD=5++s=N@0snYB5@85`wRE81h)K)>6~tScth2ycm^C;Fc6im4~z|e;DweLv?H? zsQcJ*QQ})BQCs6-y6?d;E#5Rboe(yt`&dJ$AqdZDAo4KXcYml}B8BNbY+qayM<-m$ zKv4I6lEN7cc#j#h%1uahe2nq$sd}@Q1YH^DV?aLo(`uCuoC1l;mqG?Squxvq=w&EB z^coc*5de?J986^&6~HF=9hpR8e+u|HSu)6yYKV^JQc$02=%w{-im(3v6x}fSv~;4u zGZ%#|G)Io^&~z(;l0Xr}@&B>+USUyu+qbARIcEXMIY<UU$x#qNf+!$a1O&+{8H$Xe z5|t)N5CjAT6a<kBDo93h&WPlkY3{0S_iyk0zvq76IS=>kJZSZ(F;^&RRn0Z#oDD{L z-pOVIcnQ%WU9`-4nGg5`U${7n{y9)F@4<TeHKNgA8BGoYvJ8;WIGUXS?0gkqH_+@X zU|Rqy<O(+pvFO3#t^wpOnp_3s7$5^+5{JWbQ`xo_{G^4a?s+(<=vylp;8kl2|JD6K z$VB{ATHj!$1*ACHWgtXg*`I87L7N;p3QG9-mhd|c0>e^ZSPl$(As9`n{Uxa|BoBs^ z!H{8pNg6;#=>c6tkcIA9D|lO6mpY0c_aCXX{8wu6|CRi(o`T|UEGqMq+`<SdLltt4 z;184-K}G2sOW+hv0j}yF$&c1ke?nR2uuPdTdWw06jcjNH=&4_iG)FS=9qMo60nPR% z)XsxnFn|DC>UEMZ(0(+DEcdW9Xy4xjx3f=M*nQv;yb6$V8!3=<Ybyv7H6k?t#%W+Q zw+&Y3?DhlTTtk8{S~P88MAHUZG>u|J(*RmDy}^j4B(!K^jzmj*LS9(nFJUBpBU<81 zGNR?#99rV@T|%p~r;@Nb^ZyB{w#-rS9ayJc<D@DlbWde2zoPGF<Rr4JVc2%}jR5s6 zRdb$JD_fqd%@dte^vdL7c$CIXl|G49Q&)kSdIi)}wQeeSqnD*{g%(_T8umMM1V_`Z z!uW&kKGN0Gb#QR6nXFpn8+J|9ue0j-d+VkX?*~5RVqHxtQ-CDATaOIG_74pW0*bGO zi`oVVY=rH;BbeNNm*Z>kg2=k@$nZ(;tu@W}+TYyTHhvZ?U3qwmcIxJ>K2v>_@Mgkj zYL;h?DURni1aAqptmT?e)aaDv+vVF~>FRwCS#e0g?dg#uraUiq{+$@Rm9nRJN64(r z@{^bkPZi3^0-SWVp^uk(o7DoY#)K)9=N=BF{IPkX5UD<8^B^VWL$U(T(nDjMe-p36 z4b6jNDAkY!bVtS&b9av0v2Bj~SBp+2=Zg4@JLi`KN$c7wN2cs?4w#BPK7+s2Jb%l1 z;~~O7T9!6TmiF~pA0DI<)U}m;YSW&u7jw_j_dVp(i~87`@#dm7)~Uh5QrTP6Sp`C` zTOGYxj^?!kYNSu@edwD(McpK>Dajd_2fr3NXk$Q2Xszw?=Wf;Oj^+v@z{Cfb1g2{W zJ#&^HQt5l>tiJrE9A|IzdF8I27-#s)s3`ZoD59mjL?Y4DSwqd~w{Pw;mA(l%dixg9 zJ^@_@zu4<l!o;YrsO`bMTf1qM1w<R~1eW3|SOj_FJxT=&912Y8OASA=Ri)Y~??`pL zNy$pVl~blA{*>o%UQMG{Q2S+xU^ji1N$hLw(y4=_ie0PLCknTn<&zL8G3Z{RV{Vy} zC*uBWZh;TY%>^Iu+d}5RpBD#*S;(e;Ak<cQOKb}q8aBmTmm6ME2DJB^6=e1{bLhrI ze@rTHS|*wq2z3^7D{@Kh$5TY{^^#@G-YP2pwNX`FKpJ@B{+3yx`8DX4xUTK>$W$}y zwUO!4la@)}e663ghR*Va>aS5W=GF(M<mZ*gc&CdBd@fioH|Sw=m|Xk}eW{!2QxV~E zlBai6@_z94{Jw44oyDxM5BRG8`oXH`<m$8+OC2A2)*3sl_NYuNDv>eu-4bS^;gQ`e zdT(^Q_kgdNI9!Lila5G-Z;+J#t&FP@VG?e~%OTZbGpU@HOJxe&tzxRyy<ra{RH$2T zscOCJyr9Ry6&I;P4Ru~1(rGc<DiOW%S}s#flb<}5Crz3rB{q59+S<dzN9k#-6^oS4 z<%fKd?Az^4jdO<EK~(JHa!x63YkK!p>|RHP|9tuzi(6&OXGn5-C96BEU74IXp|=}b zE2!KlH%#$M_)E2`zQ##uFIn^ulC~1uC)UC_T-&`(zjkPLgA5_oBP_*8KFO?4{oqU( zIKxVWP<#eXkZ}@S@73kpx~3n^Ni@onra_aUhffv$_75)=E*wHQNLI@te9WhBoDwLY z4>G#U!5P<K6KdFm8aAOi7=}$Q!zMDYiBNEcE^I;ro6x`})X)KgI<V1%ZM0w;`QVJ3 zunjG2Lkru`9GJi+#<0m9*hD=T`oscE&ci0>F(&vcz~nw`;uxn}fKC~0O}#0RJe}jn zFktlvDdw4*R=0LI`lGJ!7M(Y0!G7NOYlj+o?ynV~Nmv^n8%Ynk%cDPhiOwFelZ(Tb zFTTaU%g%Wqpu%EDlbD-fH+ORhq>C?w$#wGy>L~WK<n={{O<g4L$%jWS(5G<n%ltM- zzGamSM!pBWNP|{5!jn#3;)R_5%XkCy{}pgZecPc<a270s`sCq!V#}|9BI0=XR0@_w zj1Y)TK;e;<nv9%I2GhNv9Jq?|d~({lm*D*IZ#aJ(h{+#|YJQj#d$g5+U;J_@`-n-V zj$r@BNP`@f*Z|D{Zx61TRZI@V+(AuYtz#N+-dqW1Pi16U4lC{~qf**2dQPUZY<=HI z`#>wY<PX-@uaRRz!vyEB;}0Yy>Lrz|-!kF|{kjvuZE)RSPlo1b-SYR(_cw2u4EK6( z>j(^d9BAzyzjA2Uf93a|r3AvI+W~&33U>_1B1@&0r?U&IcHKzo%(*Xl@FUN2AcRH7 zEKX&_{jQ|5L`HTM;m=H4-R08qUBMlspSyO4{3=$XMmATI#iJL>=f+oE^F}nikmJS( zQJf<;KUO5A2|@+iHE<Bg&kC})OQ^53mr2$N+BR2iA-jr-zA}9)_Pri+LfLvZme=8N zKO=>Izp40K)Lk)SHbqitHg6V}pRt8e->rgA499||D!*{6-jF;Wio0b>aDk#aG!qRu z$#;eVYl#HjjS&A#&J7sol3Znz>%AX%kNXz6N;<FNARm>?pt020t93}(m`i#Tz|s3s zFx$vcd3ZIh`|!u7X(^#yHo^E*cN)Ui?t+Xiy#_F;b3H0-&E%8Q7oF?!1kdKAg!rkx z+W-8Q@n~6&=3+W`Tg3KvmN0dX)I=J>@zQ(4Za;=XOEg`2<2kbY5(ODM8T=^D|1>h- zr#8C=*&Z4mVBflCtM^f9jwIxL&XV%|d_DFlErE{6zkmaPw!B7;d@X@cqDzRPoKOA& zav=pd;`w@97>Wx`-NI027>Wl&@t~>47|I_*@nI-FK!rnFuMCNBjSNf_Xs;^YGGH*g zPvge@YW}7*jUo3d<?yRmMg|TFv?>k9VZ}M(Icd6vi#V>-wehX79#Z=3)YaDyv;<UJ zE7iiaHh88lz9Zqcr=sO(@WU8u7~aQmr9>N_*Jr1!Rz(|MQ43euzyroKghe^Ou07Ji z3DF=r#R8un3G4)ainMuUc+?mccA7$a+u)D>$^QE&tpb)X`CEmoWgf2#6UluJ548$3 zgf@-WO?v4*4O(6|IoTaFIDdc~@<m*N42`etCKctxD;BKc-+vP?aLC)qO#hg;_~N?} zOMKm+STA9dYus#sY&-(sTk;EI!Fm-1G{HF6TSp&pzw&?dxI*hGv4548<D9`8_AlU9 zR@&g=)!K4Xdu%umQ2m(vjKH2Ok0-ox?h+2+ERr-hn2z*k7*p7Vkl?4F|IMAtBoJ6~ z4c|29F24JLeiD9YbHCyN4?=nT(xxW&E!p&%C4F#sV!0clRUn4v6Mx%C{?@g(KVvJz z%&)yw3cPURmVtx#oU^u(fxH%_W+nyeuUCd!J^k{6+`=0|g74ypve5H_z`O#$ye>P! z^Qr>#`U>Vna|r?yqgAo83Xj!pvCd~FNaB2Dxa#f6bQK)f6sU*=-bvy;J_d*gC*}v3 z!e$PIlI69h-@e8dFn3uzK3rKUXj48ZsMu*?%@RaUuLi5ZsD@j$h!1|odfl)?eorTo zR2CSMoNv+nPD+se3_P4_HsIl`3(v%AfMf~opz$vyWDj*m+X{9^TmN?Z^%M8p9JTR^ z3qe=7U2aekxT(Z3<@?>j)}v_iQDdvWrsk2krb`+dIb=CG`Jq3L`Nl-%mOk{XRqTMr z`JKDbkcwMg^JD=jUX6(<&)BPS3Wubclh^W<16A{b9iDPtA4fIDzq>SdKl=bxuJXw) zihYro6RwyELXH|_Eex@QelzLRkHYRWNF=&+-j9UJ-a#e`J1Y9E%8MT`=Nml}l#>0< z>D}skdAz5kV?6IJPw`y49zN1KViSA0O(;GJ+a<QlFy9$NvjBQQ6VQ!l`a>L`p96Ze z5VVF$$Ib&j7x2E0-Jp5VjhjB*;yTxJ>xs*&>B8>DiibJ}GdMX&xa}t6z4QQp(aAyD z?K1$M0AO}<kac?uz*cBhJvrV8xtUHb)>{&5KGCpXDIB912PJ+DdLkz4Oy-9EyBG9Y zsUi^9>F-~6dz=KCgk{x3)OaJ*W~88vHfR$bEQM<Xy;Hh>_?G*o6%n>GQPJM7AcI^{ znxsah)R4j|$;_AhB)GYv-MLc*!lZn*M5@93BxU?xQm$ibB{$~Q(?|gj^`F4^KY`<a zf;%vP{?$n9KPj2(xGHUeLdl4mL-0s~@JOj>Q1VpUIzb$_sKP#`0im8-axED#I9%Fn z70hvSC`UVZd3o5TL@AEn$so7>@$QP4ab^pR6#vaBU*A1?LA`rKsW186nC1OQ&hv?f z>$K8*353$+@rY@X8+mMr%%r<mLUAr|+@uGMcegN&BS3@Ka+n6}z=jUk;6`a%Yl3kb zFyq4JkJtahT=w7QgPs32|M(x~%l|UJ1iF_2OYA;la-$UJANjXID!4|~zt^Y(#(Mqt z8gs!lwhto-!VvCIfzO!z1D5^5lxBI0Z9abY3PGc7J_?tO=}R&3*k0Klmtqjp5ln!( z;#R?HwEZRK0n6z09O;EVxpJEgoz_9QXz*&7b?w*g$hK=VcPL-j{Md1CIi>l(9*|1+ zOEL0zUJ+ySx0yCPOa=OwM&U(%MavzkH)%D<`f)c#c}DQFlDpEy62ttSA()KBjlx#A z*lqYFr5S!+6a@8{HcW!ws>lm2ifN|JHzB@bWzZT6^fiV2AJa@m+xc?$JF>ZNPC>X} z;#lF}3BfK}_y{k7OG*z|z@m}1a%3Upi^nco=!xeq!OmUi3F43k)Fp<$cFGt#RkWP} z4%*HQ2W@u^LtRHxzcADWhSJASHvvW4(k~}~MS=Xuj}S*r@gwjE@_)L)KPO`3G(X}3 ze2NkNj}$Losc1nz7)d68xQJvCK#<|<L=^gfn~x+GKnTNUXpwjM5G@ct1ul3FJF=&9 z<g%2g!2^0JCL-Ze7~&fI;L2UN(Mm}~13%E)_b>CS@=@S`2OTy($y6f0FAI#LLI>m= zg>gNUs)7rBB-36p(&S?~;}tFRxOv#s&vsi(fgcI)JTC5?dShk7?SrHbKK^F?atbqG zsZe>fc|h=5!DB_Q6=?zVGHSsrBR{Z=V)n_8uUE=j3c2cDNvl?#&qkep1y<0A!7NwX zf4*tc?wSDaGX4p?cO6W5(yU<gfsz!@oZ}8pngxskT%AN02Y)0I@*15F33H#kz({iR z_M>eh^x-BNGXVSw;13v@9>8!Inx5yt-Tpq_miO%Rd#bdb$yceHHK-j#t+!6b>kk&a z%J&at{M)zMT*s1??Y!37V{<P#MT807mB`r%&*1Dq2A0Qn#KyjLQfYOaNM^V7S_c-p zu*H2~(OZ7S0NU~yC2_dZZ>QPvNlI0#ZHkHiU8qEkgL5i7`?FCJ{tsafb8=`pV{>U; zKr45NoHF{(xOm6Qswo4N3{;=GJ7RzNUe?eenjvxUm61_ZNssP~{S^<+IKpRC;4`t{ zOaVC43eMbKk+%scsIk|hMa7PHNkoEg$8gwF916)l<eOl#Q=fd8pQbujvD=>c>DYHc zFH247)uco|(X*Dd)nwK13s|?Hu1o<rj;cC)GTJB{Lo9{R0@0@{EE!h}^QrV`4@e3c zxj!BIgNE8lucko5)Rwhf&`<{JRw!ub&rvlA8WQ0eQo;?RR?;&VpuYEf{&={z!U6xB zqiP-S7jO+3F#H7Q_&$x^;{%*q>@?N7?X69}HLqmkcN0$V*x}AR%t_NRY{6NlDy7=I zV$jJgU>G9()FkeJ>F?I=+k0*Q=$G41h+a$y-M!7HpwVig7Ou8ICU%iFgL9tgjS9PW zXc9Z^v#!`o$4$a_YHZ#)-K?3u;G+sFpWl}gN9e4?NkJZ&WQ4egwT1j<gwTNgcy@kZ z%uPN`o8>jarWxxQzR|@l^vm58XX)E}Jnk=r4{_K#%GIZoPLy!GJfqp>?hSLeu?220 zD^0~Uubf|F>s#?Yw`n;{&bG1t*sj?PKg;eL_LH+Wf#-tj-bz$O$P!#4uB{1V9d4a= z^JJ0pe{_kGC3;#SE9WYi9BISrbY!X)f?u+IwZAx9<b{WvJQ;b0Ir+CHu#bDWGele@ z){;=h@*EKsbM;z8&Ekd7b6-a%%QbLsUh8@EKU57KP&MhYzDPk<1Q)~&YmgEGM|+?_ z+9nj1Mf_w5EIm77-oO5%+_F6<Qq7S?H}Pq@$RCy!mBw-1KaES-AK3c>Y0%LU6?Wri zB58%rTYT%dF$ocMl?-1KsWGWnu&U6lqah4H*$%sn8p6iTH}$z5A){=Y<Qvz4n5ocF zkLxxUNQ6lDMeE?!QnczSUhja|F$#rSj~W6^^7ihqn<XeeIsbF8laJa=)&?y?WNrS6 z5HLfu2pM4jA_N0CrbZahB4mUCEka&@M2nEuVz3B7Q(XBl1w;r;nT0?FFvo-8c+ebB zAutD22u$%|C_X@iZ+(^^!X4~1hDAt!Cp|1eR-dCq$m(-ggba4x|0_aXi~S=)Jb(Nn zLPi+=5g`xkF(L$lRS0bTSB1dVe^m%<{a1xNum>vSb<aN{1boDZkk1l-MaV$sB3guu zB>zi<e3n3qkdb7K@xHnVtv4d~itEmc94r@qC*If{r1{D3OVXHLfP5{4xX7Mg;>pub z9B?w{7{udf&jl9s&yi$X)g3ZWa{blwDyJK=#S|fpAM<HdvE)?+63+}_g|&&aH$#Zx zx0atNu`A>t)6Edy5R)H}_skJI(CYeF(RG#4&9Uz;tdHrcm{mo}7k<17-J>64@Iacn z*S9&p(;KX6W^+%xo<0-wEIqgJRq!4?SEtA3O(wU)Qp5c5M0k&DhDVEUMj%A%m}d*S zdpfo6SV%RnrL`S~{k?o*6!uz4nIWIwI_%$3THmp}jP3}$Y~F|9u3X>F1j$|$qHSG- z_tKTc2JZFduM&yo_dw6HMDnljKWvxXBdQL1w<VdWM`B=C|H>QQt>xg|56I(bdgTQJ zF8uq>d0<b9uWg%$rS2Ov@c526ZeYFP;|qFR1ow4dia=W(P*Fhx4*?ZjZ}<>U5aE3x zm?PBY23$(efIHyQ>kZujM|7VQriiq0^AMGY8@MXV((sPcsz92QPaL<*vp1e!6gStS zH;Ch=(z4X0WQ2aEd;_gB@Ws?blELLTMkNlH`LFZE;*3z5eaxNCVaigXyszpOo)VED z9)?iPJguj7PyyEDL_0y{*hZPaT9z*sdxX@?9axWLDv{ooa0^ccp4-+@nD(yHteQp8 zz&+r^VIN(lem7&BgB_A+LMEyr^q{@X^G`i5Uzx-;<r)$5?wqaAd=78k>}%W46Ly4E z{aQKyw7Fcgs6w-Bw({Ay!jiD3Y=nhV$BR*p9ve6N7IT;HSRxf~Rm|7n9hb0A6t=K$ z3Q>jn8~DyY%a{pj%K+Q6arE{~Jpb~P%KdkGy3)Sz?P3!k`@#j?5$NlEf!?i+gU#G@ z#9NhNKX@f%xbTr3xHTDM$<@ML=}Ob!`+u>1r+0M{UKn|dU?VtIV#rqk76wy=x{6P? z5LKdfFrBC=7YQFt=t~ASQ!Zby8#lmy^el|0$vJKjY}qx*FjmZHt6wk$f&CsnxK!*Y z9ISB;>z_3Ah*I^&AP#k<3Qc49Zac+^W@=Jl+Hqsr;ed9a86mov8m3tiXr>Z8cAgMd z1>G<g-LQoQ(~uwCP#hPY%S|?-GTtvjR1pbVVF(?^OrZwnIMKA1+%%eqRRWi1VgKB3 za3#F91h^qe$HAi<)|dGe`MR-3$iNUy*M^mYnYvx3$~Eb4w2~6_1xaCpAAvg<E9H7P zefQ-!x=d3pNhCtZ>OI>C$7T|^-ybN)kygqGU1(+#WeruX-5wz0{VjW#pRqYdFZcU% z-<OYenA?sQ+8H23?a~f!syc#5@m^yCp*vJc!Sy_qkdZ`&u3Y<z*nReRQF~pw<Go0! zleJfy;q6LHidFPaXO-Rf(oF)}$r8zuCmVmoEzEx8w8s9#Nl6!|@<!zJuAwKF6J#8% z*?uE2`sHLRFt91*v<@xhq$GdCle3eZA1#-$tU4w(=s*dKLW_@M{7g1)ygrsOS zx!9E0Uokl{zfR%|H5ys2J?5IZJ{a~AHPaur5c5`sYR|ZUlhU#QbTe2IEeT2X$CZFz zne#OE8zKLPr_2s0?*dNim^>LOC@YHE;Lds3Uw1RE+asjEKFTM8T3jC|XWk8NVZbRJ zwGEjez58*}Hl+0mPU-Ah)%l8QZLm)YO0|$N3|F74r~&9|5ThkP8gmu30BHuL!syyu zMIAs|L5x-aU7xF{2S__86-GL96%7F02x7E`0MwnUXarC%DAgJu{ke*!NBQ3algMyg zp8V0Hy&5-3B>}q{mnaN6k3r8FvIN3H_Md*ANFwC_O-(D)F#7E-)E@S-^eIvDl=<C{ z8g?pw<6j?#cqQ29wcn_4dB+&9yh@JsVA0Q_tX3D?YkQ!rdF5GtEWrf(c|SXzoHSKK zJ{(tyX8{t-gkzU`=|&M;6RhX`>;a=_xQJ~_K0WEbE~2eDRBW<bcQ_F<Q)k};Z3oQc z6rqk>YjyQD`t!~fj&an@p;Mbamz&BEyWi<<jLY$IG4zNL=^luXdhmJTPrgQ}P2cL{ zKGlQ8IZxRO^tNAI>av6DIpaq+@3Xxe{3;)Vhlo*e8O#pWlXyG2f1homW!6*S0>LHO zuQh;zEPs0{0>t_C6h_y7dny4$+?r8H<}~lT=2|<dVMLvEBIbgmFhfW}gs6pMZ?Jbd zo%ClV=ef#pC_O35;q@KBb)|#*TxSb@_-~4QccdqqXNL=Gk5boXL7b@++OFX=NEldh zt@UC%KtpW(icK{1X0byza<Msl*dMIo-*VdFb-cL5lBoEDbh0u2!aIr!A+qv?$3|9D zqekLLSr-I5^b|b_Su!K=(~^4<qqYb7Ra@FKKke8j!-=^HL$-zUfli9XIG-g}!{=;W zGj@?R%wZx`3mOBRJ8W}4TXYt2-NdfLZbr56=E>~6=Hk8g!?B+w;ApSiJ$045du<V; zxxYX>cP$yok)>M$Lc^(A@E$JD5{e2_I0La^X93u{{2d?F*X!RuO|wkzgFy0V?i<ZT zVcNC`zobbx8k`!%kyT|V$hcOh47YX`0D)zLk4|4i2;jyK>|cV73D_;21jD-}V>S;w zWq5{?hWQj=Z?vF_0eqC0jS{6HyitNKfSnT9M1j9r2R2cBR2Ys5%?V&AVGKo$p{UW6 z42Dv`Q2(*@QaJ>Bvycb&f*C~FnU3}(0+K{uJb!Gx6D2C}{E?}W9rVCnGJ_~<Dxh(& zlir|{7ZP|BQbA}bYomE3H9wYcg4=)fIs3fX8x?NvgpJP<5icd462(o)W2`v^Btt3) z(8icY18s~E5WqMJ2W<LexDgGU;iz-)N7^H$;iiux3<1_Eje#9R5A1jNP=2@7SGCE( zZmXaCPUQ&0g|^7*6aUrrY?mcVSMDA-I{b_dM2BZ^G0|ZzLu1+Pq@vexo{fS3-j6Y0 zZH9{L%W^uz+Fc*$BtX6p13R*8r(<%k%#m$kU`Hm06ck5@pm%9{PsovX#lenj-wVkn zf#5?>msA)?AVd&YA1gvGA*!*k?2wAmh}+oJ&=4|78leKwv?IMlGKBY5$(;qginT?i zu-%VVoVae_XJvUGB+Vly8^lA~U96MYb!Oco!bn;e42~MR;ufzfGQN`Fx@UYt^YFEx zM9#|XM226IQudlHQ)%pPS=Bn?;$z;}X(p7m$5J>agELp*Guhxw132>(KBEbr$${)N zdpX}RP;F^CM!ZD*x}>2MxS7JP6Y~~mW1Dwiu!}=X{D(kaOUkFDu(QYH+G#pmOXK9e z<POfH!)NlqnOoqDEjTm!Tihl@>T7$ZzzKU*zbzRpv05kFR+agJUbS-jUR8UR2U*<Y zrg&(piw{t!R9McwP_ptZV>QpwHYR~Sg8Q>=K+SS~GPMM1R#Pd}(oT<-CvF@i9tniQ z$T^A70=K6t=aa4&@=<s5Br$+@_`QmT_AHgxK~jxiOdxe>?O>@}#$bnc%bI3*Ra7E> zI53HUri>kPLP+dkem7sHju?>1*)1;5l2sw_PIrEuoQZym4^JckP0I@})2G8I_%n~d zy%4Xb!z{`FXx(82_%oLP_;tWfhArUF=#~0pI1v8KA;1;l^<+35{>&~w6C(X&xHg%A zs?6O^MSzlkg?|VC{&stqVHWvIc^vQ(+G~`CQyKH%b-e<X>v`~2{GHa<zYi!fT+4th z*V?YEeDK<S?)&kb)t<`U(K74!-k{~#q7)Lzj*xO~U4O(BW7oWRw|Lf@fc15|OEcM3 zhPAtOHu&J%V5PGkL-j1GhPH>4r}SG^d{@}|v@3`90RpnvAE1>lL&pHEFSoBXlc{t( zd3GAZjDt$-TnPfCARkmD14KtM@Gy#BQwZi`+?$YbS_lcK9lU>an|o*7z-;qEpT=o| z*Czvbmm|Tf@qi2lbXQP*VJ#|ky%%KdyufLhCzg+aOX#9_cdZS!21&chx<du*H!e2& z(v$W|8*=UF(~lY3fd8PGQC)DY-H$0+vp%#L`=5CY?f+F`<A3Hgb|?KaqV1crj=>z1 z{kc5A93nKwYvDNrP4xg@0p{?KSh2j~nh0VP3rio#Er!s7e6$BUHD#?8m8d^U#Gn83 z<=*P^pabRP{MT`knx)(i>~X%4e^Xn1o}+vt5luB9VXB2N{{3r8inCYp=(j`yhlwmF z8|s<w8_%o1*mzYwc`oRepW>HqNtw%XvYP9J8T6g&3X8vRWkV9j%lCFdR(*YG);$$6 z1yW?RAA-fkeN|f)<V}^qA&LGecwNzl%3WJ-NP73W=ibn>&Vf!jmUpimnoEu33tl_m zZx&kU$x8fS)BUwnkmqPG$*?7r3sh^1ZqvIF2AzoN7k_{d9o}Q*`xg0V^*Kmkkzd!x zM&@dO`?#P9kHj3Q4-QXazJ-i1yigvm)n9#%XvqGU>1hZ4YCvY&BEx{~9_7IN65#+h z>fCjMH|drR=N4XmAr_>V>VL(QZ*O!VD8#t)69TLAV?6ffJ%@8dcdt|t;aslJBI`@Z z!{U1~Pkk}?9INp)vc5hv>=gj;_BAr7?@=Ds7RB-Man&wj@PBN4FFO6_#&=E2^oe9U zYG!G#aKFLJ#*f{rX0>T8YE*wGC5GQ1p_c~a|JGV#^rk+uZfCj7^AnJMHpAB7b7N)# z@DINxOTlDsapJz@!|Rb=kJcgvcJj?*<&h)i2wUuq?@bjd7Ko47Sj`nX)(A@+!kFPi z*_cA`4{2tH(8cyXD?t|7At0u7;XOLni51&FBkiN#Ux?g0U%XlRtp9-=fv}~0#Wj0G zGZv2J>iQ`1l`ldRO9c7D7m<a%cNBnh^h2oNR2bDoBTM`cJlHPKD00{jA&pI5v5%zo z2f1$4f){eo5g~vTQ-P(47{kKqLryv&u4DBgFFGS`<G*x13;s~8gM8wQu*8Z%E;<A8 z4=*U9O?D)!3ve|k7v#JPf*<>IDF7KCgeb<DFq1Q@P!EPLDy?Ea48Eu&=gRFbj+NU_ zkd{voH?Tp77g_QY;SZ@%Eu8$h3vDiRSR@v8RGW_-sMu{@P^>bp`4Kv`_1NW5?6_X$ zULdEjMoO_YGok4>87lW_Uaq5GBDX(9hY8toQkBxZ=bV=geVlXXI}lfb`vK?%SKgeR z+0kf8%YGTE^7oIqRPcCn%E&x(4o85+cVMA)nf>kC63z}I=nLq?o%tz66Ho*Z%nr4k zkGY((mNmmzZfH6vuV`*Zt}+w)4Nb%mTuY1nl`<5!Kt3i*wO3!mNm&5;u>Hs><ct4^ zr^H7ovS1_fWUqu!;QW&`Wl`C0JRr1sULJ=BgjPkXzR4H{rVioIYT*oNW~u^z6Ick4 zBhC<{4WPGLU=@H-I74~>C8-6r0aSo9WC+j~wZKt;T5*Pq0UA;ZTn1<fXUGho1GT_o zfQWF1tN@}{4<t5;yt6~UsArWBOa|P~q4Paz5UR4u#-I-vR2ocKpu*>!Vf=_ODHifj z(9fc-))71+1rh+WDLT)L4>tPO4fAnash*`{Q~%4^s1F7Mi9tzwp~3XqoAr7iF`f`T zonpS*<71`rH(vE|QNBB_egQk+qma_fsdY~PU=CHo74-Jp4*OBHr+@IyY;OCZH%N}G z7N=?b$Q&aCD<;eezH>3DfxK@F7Np<qGV+5lSgUJ$703Z&g!I1)GyZP~^Z$l0|0{$E zfe_|`81QUDUrx{I^mS_9$(fD+EP<H8w?+HEjzriuDpJ5674M1(hO)%CM$J#2SCvkT z_Ao9r3hh!wmY$9<TnkMj0D(~0Zw-Oc3)pX6qSVsImZJux7xldqV}DF^A`sYJ5%Gl3 zl><rO{CU-agYDHowMEc{kg&~!H^8U90X|iQw4weRkaFI>OnBe`s*pe^*@k3`>jS<` zuIJSRYY`b!*=_F}&s<R6u$vDKpt?vI<8liN(+hyhZ5aiMKp)f={oA{;*p5PQ>BVP> ztsV%}UZ|7)&ppcj&K89eo);*+00+4A0{CvY^a4b>;nItd<jtI%VBu_gW8|=gF|v@? z7<qs#c<rfg;9IPun=`^CN<l_O9+~Op5Zd9k0f*ZR9PS9(!>$NWOpOU#(R+}Nm&ul> z`yL13Z^eB@?`8%X76NDtVDD?Z7S)yF_4NT_GugDX^||c89|<-aX@dr{MJ3@=H)1jl zFObOWf7bqT(V6|1=<&0{(c%gG$rr2PW4q<#RTo_}dLAg`A~Veq8UNc}G!T*k5vpg> zu6v&zIWMfv{GbzmHh3`ned!Vl4zl>geDezSa_r#?E8qztfG40_*8CQp5xaQ3&iE&A zB`;rSwp)~dC>6;-+`_VnM&tQ15QD0I4PsEPvcLRqEo%;a8i*rc0Ios?xQYj+z@j2r zjc+>aWnv?6N7EqERQ?V4BNE_`E&zWdGZ<GAUc^c13w#OgN6rq`axbnGYd;MRE_W5J z2X{466y4S}hp_jf)$(URAK#<P7MZ(~>ld(?3mRFsMw@uh!n<LGA~n-H)Od#?Dbs_j zVTEd0@6h=!wILs+6({`ar#$=sP(G!VL@*h2Ynt+K6(*^yRAG|pP{;<5R8}_unx;B* z28fT^$_D1B4?n;hwbetIqdr`PIU1`_n4>uqy2tF;W0R>Gtb>OMSjFk+W$6dK_>1Dv zr~~h6KCHm+_Bg}7Kn)7Nu_5(wQCgIJ-sz1Bk2liz5mQpEhp>JYRrNJNUozl@67nes zCpgcW!(IrJ9C=m?dm)UpQU48lAqrZe2F7o1_LVdcspLD~%g;UCk5u1>8FI7^pEo)_ z-pgY=i7{CXCnP++R2bmB`|!4G)R{>7TmE=D2+n{2O-=+fP6yEBHWY}ZrJ1F+<-G^F zRjAeQm0ed>3-dEinmS~JJmM0FRx!UvN2|yO(9tRaMmSm(Q-Y3GaXZ1$s(?mxv?{Lz z9j&_PgpO7bGs4lTQ$WGdDiVM=zsfgZqE(~-{V&pfe<jZUVY2V{|EoYTt@OX@qb7d* zXML0pqbUhuv1v6Rha$3RIQ3uyRB-uUj`$~Rg%o!IrH?=%nft9R(yG8h#^3W2#XNXV znEKA)x{BqGR$v`A3;`)K6wfa5_4P}+Zkyu<j{MgSB3vSV$M5S_R@wZAFDZWV%*AK# zN~qh23bXKTi>TUyV@C^j)m3<HIwIiI9jI>PyhtU(ZThe5VOKTC9xlPzLkOKdls`4# z+BrnpHaXvft^buiB;6<Y@9bgI$$w`LN&hSN7@)ie8e7U{Yoh~8)8ueq={q7$f&iXj zR+dUQ94lh|3!VZXm;n(zLkE@m2+%>L4FYsfDGtpMC7>xoLNw(@h^CS;R4S$whT6bT zSr`hAQqi=6oF)xAr%8`{3*<Dj^y@*&PB&a%@3RCR$b*~7W*-_7;I2c|wQy1pvq3w6 zlY+x);o2L*({Kv$Hx;cwLn<bNc+Kz$&N?O97!%P&8)MSm7-RI~0*v*!zIa~k<;Mg9 z;E(UR@mpD<`#Tqjsj2oJ+%}fY*4UgZ6>tP;-aW|hLtuAnKM2^(&HsL2&+_=@neWA? zwXrp*JJnNgO0j;>@+Mc_q8Nc+!R<lItf}SV!`Or!`ml(tNfsI+)L!OcEazhq71hIa zaw1#^>h^Dmlfh%pY#d2$1}?R1Y7biy7OZj6a9HaJ>r1rbl{7^=WhL!CwNA|obN8;H zIe&~(X8Sn;qG6W97-!CpcII{YydZN)>cPPU<_H|4)kW7{*fI92@d3Yx^fX3D+@l`s zESvq~PT$vA2I2)RgPqSYN%Le_qSh=rt+vm`jU;=@`SoXm14Z2u?q4rm<XZr|G`p(} zNQMTVNT&VckbPiPq~fnR*x90Cv|mDVsUFE{0yJ$j7-DwVoxUH8bf77i|GJ01Z<VpX zlaE5~0&uZE65{c`K2Ntcw_~+7FG{yEpCK>d;$Av@g=cl+X;nP}%fkF;u&?J^ShfmY zq?ls52)roTZI%`)1wAaBQ~KZo>s^J9R0JErujZ6=0?_aSfYty)I$jsp$UoNA)|ra9 zt-5*CCd3>u=teg@w7CAFs_{GsNCz%F5M3M_6rV0C3)gH~5h-%1^JVpyE~oPt9938; z`ogSUe3-;>>57i>(9QXx69Qa|V=>mH+vy?QdTp27!ax}sfQjiLb6H37@@L28vzv25 z%o9D8jCXpoJ(Zz7P*%F-^>EE@;9kCbET~YLTbmnu@&2ct=Qya8yIv~6rne@@&{_s+ z*6us_;Ne82bE}$of#N!$7hOS0e@gFtQFnO`Pz5C;#g}J8@zdwOh~W{Jjj1^)O|jg) z9$`B6gWe}onvR_AQ`u^wZt&>l+%F#1-HWMAp>*YmqdL)yFKtNHNDd!=n9giV$!#S4 zDF(8>w?9XO3Ol9{NwYQ6b48o>ULgCL;~+5R#ssp4F6s|1FNO>l3>)BT!x`d%QebPJ zLUgOT4_W&N!3I6Erok5s|7dvGoE1(os?dMOYO@lgy)gjywcMU1ci)EWe^Ecle1(K> z9&Z#OJ@m_E>Z?1wUMpESu4l>H)%wRXqM0vQNpJ&2y91}bx&ekF0-;I|lDW*6K^p?q zU`~=U&M#|>pyo0x3#zBW8=*4$O^U7M)2KH^h`mZ!v>fs80?I*m)!^<3Mv&%2mqxmP zR^s7V^)z@RG-i3AQF5amrnIu_KO5OLfjY|)e$jAJP;}XhW<YM55wwX!xA|LJiMoIX zf$nsI`JL|HbQ`}!@=s;tl<xyDaT+Py+>$JvhQ|YXY-JxK;QTh+hvk3t;q<pua$(}1 zQpsJ9^?ynwWh(doDU}Qu`**3N@Y>%>NeGlFlJ8^c8sSpRP``hdV%F6(vjs=A(7<Jr z<D>tsgIwSHcO7I!4Z2(tU1jNn85cG`9r?F;@qd`-{fGH|*jydc{ps+(?OlOA&dAl= z61Y?niD@tdmrDNpdyO|iMW+pBta7*<^y5C#hX<5U`lhdx%IoeGrt|t$|9+bDts};) zi#pgXlziQX<BD4;$KbXa+gNOqU%DpQm|4rN93_Et_eMHzJhn8phrBLM<2C7tZ8eXn z1^J@iPn9nB|3Di$HSfv|H_PjeOwak#?Z`PaPhE*w_Ysdk@1VuybtS3Sw7{q=dFc>u z+vg687IW9*lByX`nwkZhsJ=VWxYoL_s<t?3yjPq3^FO;1si+DK)>9Ih;lt1k4Zi_M z2Sax>YyprLz~o10*bbmHfDDg`;v;{7KTav@D|aP($;X4bAf4xtLjO)azNI`U);@vm z6(}v575vnTsRdd17M&cTu+Hohl8UJppgvprsQ`scq@(!QUFjcI!DBeU^yJ-7H{x;n zP+X6MJVe$*P@N&<VFO+qhmR(M>hq2hNh<0=%gp)h0Bl6Yx~cfsjMR@7?9ccP%Zak9 z-X0DQbcx1W2Uuv{5SzBWcu?7k9MMKdK}6u!P=MlrG2a2V?s@H-S%HOXaJ4|nC8kGW zy@r=<;iue12)q;wa^JZ%D*OO-26eYCk{#Uq{I>DW5j`kqK;8auc}llGk%)KMhJ>p5 z^O!zM*?cre{^M%5F=G>bXS2mKYkLhZ!M=0Ol{P<VzF_rwGzW29Q8HaKwh+hltS=iA z%hV+APLxd{foB7XNtIzv95(_(Q347)(VU_}UL<_+IOyI%RSD=lN)ij*r8ffIr9P%v zE@-CDHt3-djI_2z$PoOiTV#VRf*l$A1VMvzyN4jd`~SfPO3@DH>rS7#vj)lzFr_Mk z9?fnBiF#~=;4PLY8<F4<hEUHWZTLJUmiCgJh5)gOUo;>6Pc^BK7Y)91Wp*V^3)U(g zn)q9+0<N9c9#4*J6&HQBI#Y?5{^FB6YjSp`YjQ>4I3wi6#SOUx&fQrf2xIj3Ze#u# zoJw1nC<_-NPk3m6Cq)G`Rr(SfQ85<B^o1ezK_w@}jjx-Dvfz<gcNg-)X?!PzHnfv1 zn(v%FZ9<7J(z8V-Y`^yJ>+<#>N#DNXm{w{^u(orMT-=cP(6TK|!jo9J@e4x<Kvr#G zrZJEpkpFg#ph6-XR7jYAf@>luK}`fNsEOG9sS+#dVh4&)$A-kG2l(NZ8Wf~>0f-&| zFTxNXhNx};g@~~l<$yyj${5*yQI%Ttz{LK&WtyMu$B{IPx&il5s&X=4585j8^q1@D ziC&XSFSXCUE&b|*P%%xv15c*ACQ%35P|c8Hb->Z??(X<A%hR3hn8|>%NV>C)?HK5A zk98pfm(SLjm`_*8^<t~-8f*5>;0axxoUCt5JO8HNoM5d+r}+Mp4A(O<Uhe80`={6I zMszlx@j`(+QJp$?b#H!e(#m(4_0%0(DZ~^n`Yc4D#+w(Rg+<!e%S?gl(wns7Tt$nH z_|22kIblYR6281C9KTTKz17rnQO4`eNfg;8Ewi{$A0%-ioAz=eiY)o!QXL^`=BI0n zMNj3>tkEMz%O2;2s3X^xP-Fs?1?F=s=%6aw=n-laOrYu@YE9f|XWixMzdu36(H1?2 zMsg=nwNb^3h3&MbRjECN2T`@HW<8c;&uhQd`5!*=s)D?~`kXB+O|N>(pSsUE9(9#J z_(Psv9Y5<;x$Grfv1NVuem=>&X!;EN?c~YDy1+Co;ZKU*TU1b(z-Ca>*><68RS&dl zXs=OpHgnnglrDq0_-uyF_p}RIT&|mIH#_5gWq!s@>wWs$uyVOBC#3kS4mCfCTHPoP z*xp&_K>e9Xbq#cqu6O%_eY=>gqJF7qmBpAjU{A;G;9NQW-j9yA(81m@bUIs?;XKc7 z*!5>?VXLs~kHmmWSbvZGBw-YuoSI^k=j|9C#mV>43di_N>ewvrSk+~rVvPjWhqpB{ zP9H|U!J_WbFVm4vIVmfZIa*=$q9ILs5&edA_x_e@*V1AswB&HVzGyi6-l(tmVZZ(3 zo#DD;NpUOeRsB!q^2sMSWpJb53k(}oC;Fd^cJF;+ehh2^E-^A}aGvUa(p~;}&wdH? zW9lR&K1Fw&eJ`Uw0s3r_TG-UJUOqe|pgp&O)QjU3M*P%#`s@TD{>#^`h)l7L*gmwd zB(Bs_N#l-UXaDqXuQ?|-S_O|iVuGJ0PF!7Uox@WC&*$FXz9w9ULQQhN^mmZipG^Ki z*E^Z|<J|K4joFJW`+03qeh__~;_leejhQ1q!)Bb^;<hM#(dW?Q+d#the!&5;;HN8} z?#hwO?iZ-EORwJ(ZL#cdi|lQh>Zsa1=e<o<xl4X!^TCKq^)C6LVOs9)YG4q;We1x^ zG2LtSg$3^Cua)F__09o7GaBnI)gKBoZ(rZWA~Hsj>zx>nzaS@a%v31T7tr+`-#igL zr6B1g?-o5hw<{(KdAzaMbwA3QQ^TFz!Ir$CsMOIOZSVs7^AksTJw+w#UF^P|6~6w< z2G8;bICrrn4HT7}JAi>IFtF{y7f^Z~9t8z$Ir^s=sekr;>6M9KnIoh<+nDP=;46-D z+@)Vv!j=0*Er4qHS-2@?LEFDXIT1|c9mH#R!kJ(+%L!Er6!gzlVbgAG+{pJX_P?Z1 zTlnUV{8w2$Eb_ImD7rSI+3YHTf?XR~lL)aYCEpC@`3tP0uY$t^g9-6|VXs~`{%HN4 zb&!yLfnHOjY}V>~{Kr5;UaKTKHu;|DP+GqqM`EvotaF2RuF8_#et%(A_s{!!(P1Uu z9A^BB<uCSlp~ttal3dwpegR|RW|MnNU8r6eyxUn!_TM{uP~SR<NJ^ta#yN_);_j5R z$flp>d6K+Wk?V}=$UgnaOuo0GSZQNLyWno&#ImZp4!Yjv8{*y4qI!q>tbKME3eji~ z_%>T@EH`2~W8!W-qd(33`};%>imfY(csrVC^|A;w5WVN+bK5M*)6lrt=i}ot#jets z#`oDOGM&%fW}m)Fr={azrtNgMSYcX?*?s>-^mo2q^!DBP`%tO<_i%oVaQ8GiMvdcM z`Sj&s=&MGhK$y)<L8eua17JFxzwtungX>rw0kny7Q*>{rdpoY7m^*G$mpeX5`+TG; zH=`F?d(e4%Cv-%9@7YN3bJmIs9mRLI;&3N?VonP@*=9A??0dT$Z`}*_nXsvQ&)jnG z@pyQr_YUpwe)HA!-u=FVQw635-N{g!gMbEl)FXw6x?&mE>B|Zm)|`g{4VvIg{PMw@ z9{;JPAKS+vn<sz#<pwv^ZI0?AUo7q8W*_xJhxvAg6U?Z%rY94^5j)dXj-spz6-+-O zv3c<~C|h4VS0VheVEWoLcqpCaV9LiN+F1F@rQ0!Y(yaONnlDFvqE8F)a5QF7ztXIC zgWR6RR*VdHzK=jI>QjT>jTkc;+f6nNy&Ll1u0)9|@iqNve$3Z8GyEbm&DZ52jqS6n zv_MED@{J(NAVKRpjY}H(p7gDMB0Yc&A#5Y_kY=7X!sF-)Q`9GKK2E+omKG-ULmJg9 zr;DWOCUTLKFK>2PMZfWn0R4<ayb;__CTRPq!=qt+uaH1xn^^E*nO3oG&gKD1uWmua z>!oDMPJu|O3PsA1EmZj;rzeLlaF`GZ>Fz5&X{+IU!Bex>wnrXhceCz5MEW@}-V*Us z0LHse<#k|8swCyhCb>)GR_+m$aI6yDYjwWlT}9A*VC>a|y>r9Ag1h^k)q7BDW?w4| zTA5Y4zhrJzxvhHthRg9~EzVSFiWFZuW0(E=k`8pS%!~v&HN=AZ-jXS05OIqFX;|(A zZl{s3n}=z$`-OJgbp14(-uGrxb9T|Ql1apJ*?fe#CYAL+g_xQhMCrV*icx8odITph zN`pxVZ#?JVO0t_r@K!uv%4;y2V)(8h+R=)8!{yiI8=;l;5>e4sDX)mr#!}qV@E!)+ z(=cX9w#hT7JoHfgp?_i62m<r2s`uc%ntiP*XeAdtZ_v5U2(A=7at;AJGS4c45uTO? zJT0pP&tTF8L>C`Rh{J8+l517<aKDBm4U!k>hH}iU;6Yo%;6a^!375b#`m7AkNXmh3 z2%c^T8$8|AGGZtAS|C~qEeX)6W>tL<Xs89j4M`m6=wY&k9KKNYRRlIX-c~RePZW$$ z5Bhv15BC{SMof}K+*ep%Vi3&!0fC*1*yRy@uWhB7S|60GR6{^=k&^xwho}{Y-a1xO zD|SQ~K1mXO--G&+UqS32u&{HncA@hn<|g%0&RC}Hh$U{Ts`w!1JBacmoIcrl&-rts zW!O&2`1z40{Pj{F&Y5asX^~8kL#VaLJXX}!c5m0${y<*FhrjW86MMlS;{p8nhD$s1 z@LY_mzq{AN;|<jJwH>{b8_R9;rrh6pLsyn+d?4uHELozrd_mai1}RiWu&|>igZuC{ zf^*gt>!IU;x@cbHFaD%$DtZQpIO%4SNXQlWmp2-mw5-0>(~0Kc$OR3jC;qu9s!I5r z{s6J?GDt%-&-RF>y&UH%i9gmut$NO+Uhx1TJ0k=qUM=`W>J(+WL$U(ycENx13%ykI zVjH+nJ3m&8)s40t{-iD~s}Z|#+>W0eUn$Af&wc8x2(fp@A|&;``nls;`UUd)jGjn2 zLTc};KVe9F*%V%qRg~l+*!GvCx}{cyeW(;Rp3kHv$X!zDE{CDk#&e=H0B>|ltpRv@ z<GDBt?{t^L@b1R*J82NWcHL6z0K0&-0n;Ac<uLT!cwPX*z;3AxVecC*Q!l)|6=TQi zXyq;{Uakz6o|!&X+B>_w@&06Lj%VUY<UaN=mRUpTR%pVgHz&&k`8{$s+^$yg8!mIC z-s$=o599NGkdNG+;MQ#<PuI`FK)VSDgR?Q%^Dq4t10(*zJPb_y3*TWNcxbzR>eNt@ zuj7B>{1Re6kI!4NdsVKQwIzLuDE$>{+Sm}|=0+e}Rmer}+ktK#4_v=B9S5%v7fqQQ zHvH_U!RnH5^n`9~^&KdWPE^fZJ7|z7@Wj9=3|vCP20OUt1Gr}=$CFIwE%Pm|6Yx~Y z^7_DV8w30Q!X5LCw6R6Zz+sqy3o!#z{~fp%J>#308ABDv=qX!FW2U^pxFMGv{hLeo z1#6jn-SzY^R=MnW40Qer6EX1hUzmb{9e-gu2A=+fZ!l1(o;W?hGzfiP&M^074G|4) zC=@I74Rc2+FT;1#lhO!%M=hq%cT^L7N1YqVz2%1n78^X@;*W;0Y<QM@_!znhB4UKH zQ}b4)ocN=!n4G9R>Z=;ce~^}6lpf+43o;6IesRIc$gxBxAIlYQ^n%3DhjuHZD7FQO z=cT(PrcWD$+3~9BRv^b}tBS1<^B=_CX%~csK9pG@yAcyao?R6o(1~lQG!x?Npedv7 zbo2L_ue;Z=cMY^zm=TEDtXe<Ym|;aVkE8aMj!-DiGnEp{v)#P2qd&i0&vq*4CeP+| z=`zeuH)bmoYf#IFlNKka7{wFRq~h7;=-KvBan<-*g86X<R9AB_OKu5)Ga`z^sMYUv zCtYWoYe(a&sEYH8`-=YCtCJQ?osCV<>EQ-c>r*;;vb0Kmc9_Zv^x7cU^s}Dr&7>AX zsH4p)*Fe-{@!3}s6!B=sLW0h52IzZpw76~<I^C|=D?a%hGkJQlx^kv)QYc*^QhSX0 z?FvCB6~z#Ad8D&%esJ>axOaHc!hgT%bebk`dp9PMYZA4vG`@N|oCo=B7EcCjj|VTU zyscKAI65k>J3L*7ypOhrIi5A0?IJVC-YKkGK4>_p<2koi?0llQM}=x;sXgwkP!v8( zW(oZ9>A9ch-p}Ndu04jc`i{d}YGShXztf?{*PqV3&OR3l?~%_b?r+WWij1Dg&1O_V z4`*19cV^Fq4)+ev2IwYFr;onXc}n@8WXP(bYRLD!q<iY5t46zS|Nb?;_IvYEmEZB+ zcWK3wKg;X2(CJ_mD|B#Lp?JE}G@W=BGkNBA(0(;9yq|G0;5d&p@T7RtTx4`-$8i@b zN*zU!)x@qc)158bxeuq4;iuPr@jLwZ=g&%uiO0tYllP@D-$!0^W{EqTww;|se!nYb zN?hc!Kd5VIR#!J+DK|D&t0*XxF?r*9Q^xKIE9y8wn5Z}%Wpn&<@4F(i&-5P=#en14 z-gW2zHCcPyWEpsJ^m{$<0F{9{nps<dHvbf+R)H^yR_)Q<SD3VfynXlQ*DZ7tP82^( zJo4E@4eHWuO~PUJvke*VHq@RwD!O#_NXV$dmCn_Np?ZFG*{GqXxuV!>xrto&xKO(1 zm|SFx`AXof@4-%jk+MhRpzvD8#CSDSbA_a4akoo^#gbekdr)|ymMpq;au4$PBF}bu z^rvHY^x33Bjeo$w&RVH`_4dl}x|||7^iIvapQv<LCutFJ71?v$(TSht`6^txuj!IE z!D-^`nGv`nAFq4B^7CZAcXWB*QqKQyXKnoBO+U{gq2GCvpRVjC_P$H&oO{HPxAn!> z57g$|e7Bf-<6gF_Ok8a3A2sNP@9Q<;qQHR7@1JXScZY}VGpa{$y^<}&_t&~C0=I_S zIHlJsp>1pK!#V%U9!*ZS*A+(nJy0Ihc2qe5f%HtH&L?Y+M~W2=cUA=bU1N6-c}KVJ zk9{s&n+$02l_hDYYL;cw;+C15?Fg!YUZI}u7oUr+om@*iT^(Pg`}!67Y%;Ywyw>vJ zaYXeYlh5|Tq3czHtKwIw0t0JLS(GPGEANk)L#j`+D=k+czcaVZy+3Qd(8K-t2Hy!O zW=ckPaS!+XTRTO63X5x3JNk8Y$|V@a%2T2QBiFA~r0$`{Zyg-9#ciUtY<D68$<{== z6!wlzQ=5$Xrgk?cks?>2o@M!9n{#VsX6Du8lTr~^s#!cvN_Ctf2UVjtcblc%Q`TDL z<-+nFJ}_aj2;`5a%BA||=t{ONv$wEqUwcS$ExYEh(xFUR@#2fB!e>>B0l#O}{k~KY z;;TSSzr8HYzENy@eM|0|ps=et(2(kpJ!1QM+OZo5Z7&>cbWEcbAg$jaJ?z4J>tvJI z8AU96k>nP&m4_V-1-q|OP4^prSX^%BMZJ0$Fux=!)^gU`R$4l8W0~F%H97P6xN+E~ z;>^5OwXSSQ76eKHmnIJ^VwO=WQ^O}#XRQ~Q<(4`6$t}#rKadCd9C*8l9vmROe)lwV zc$e_j)>8W(oxXkj8tU&XLFw_Dj7u~BZn<bF+$dyu<@~CW*UqbsCE6=kM#rPaP0|^s z9dwpv>&*!phfP&Y9S<KKsGM%?rluZ0YpWP^J-KMFVR=RIsCA*`VuhtV({Uu32=g%# z{x6SA<Pf?(@Dv%jx!a%GBt00p94g~-eD2j?XLPlc`EzMHh@4J0M%tBZq@3|&_n_t3 zZ&p8d>KgCTvyGj@!|~p#QRzYa=cl{<p|x%mATJhpzl+X7;dEhdwMm)Va=EvVE>i3y zwZE$Kq^eWluy5iLGG=+-Bln0Pt;S<>L3*}u_Gd~>fo^*SOQ4@u%XSCq`$D)JCnTo8 zWeH6kEiLUrsq2A9N0w&?tJ2FxB6VLYBtBk&+z-dQ8f3u}THE9Av;7^ZOFp>1W>I%G z-K-dJ+DupHd)SrX5(=R<CRrvXYXkR1(@u~4tNgsm{XW*Aek+iQs2QN1@jUb%WKYfr z**7~|SXdH`5GK0~ZEuV=eLERm`(AZWv+p%H=$Z}*yH2z{jaNLa7!9Zm9Be|(ES&bv zq85~EIZhUioFD1z=o~LUlh(B?8{Hi;9r^J1baaDxDRrN`!Ygu_(cCnd*=0h}Y<-gT z_WQ||Vnx=;z8iBNp680JAAOj$kUegB@SD}g`QhB>rk;vOItBm7r~QwsqNF)mipe9P z-7VHLXnx~YvD9zRn)&~Sx37+?>goEWLqG&1l#-C{Mp7whkPc~(?ygNJpa@7FIz&39 zk#6ah?yf_3-F@))-sgSp`}}u5_kKRi%-U<#o|(P(n(ueboP7>^M|vKKPJ9u(8o?*~ zXS~(H_jfu1IXpHxixCY@-Ym3s;{`{NygHNTg!>D98rLu7y-q`K=SGthZyBqvBfNJH zzI$&kdwZ?M7F<K5^lBlpo;Pa+*X2|tlc*wBM@s|_tk)%&z1>+2(|0TDY)`VNmO~YV zJpe=8`S9u?-u)(bFU{2b%*j2hg2F3V-s;<|%O?Itll$FX!nAG?Z?oyqg`>+XK}5kL z51(PmN%0>{E25EkZ^@?h78aU(F98wY*yEbqXXEsGC-{>!%RRo2*1eM;uR!<VY`ctp zl;C_f<oo96t7BHhuk~eYlbfdGE{8@>Pl3CBDWz43OZ_$?y+@rF@sfJx=W|JmDrc=1 zuj^PlHONfMz6c-DO{j|)(OP-0LDlKv5`b0f-=V#$vluFmpr1}fe)Kq;q>VskY);2# zHaqD09cb)WcYyH4w5n)9VPrd2Qgq)MW05EgFEwG0rbG@i*2^VcuPyJ}cON-`QwUW$ z0wExEWL?rpE?8KFip6&&?V}~^>Fcr5HGHys@RLyFb~5xsT|~n#^gFe4u2vsaGUP)2 zN}UqeeY9WcKKtf-K}EX{y%%`9c?h```@T4C{`hDxJU}BeVlEl@TGEhzpMhvKit?uu zMcqq6VaIdHik0*dHB&GLIOw|*yKgx@1F%yS@6+eqmPCl~s(eUy0Q<Y`CGwH`GC8x$ zL>ewHiQ@BRV}f^Nd_6VhP3_?&dw18DfPSGu`PX%9LcRLy36UiBl0W%W`&NT&*>0qF zTi3VaJE6CAgl={V+d7Hwisv2qUNv+G)m2oJ6pI4EZV8LbckreM1ovM#+<UhGpi3wn zbN;XvpmbXm3dQpVGg@t_`EvJc{Q3_r!x8ngJsVf|cQ<<x3&3sH02#7&!<IAky*Qh% z%1O-lJiXie)V+2i>(z(Ma|zn<2D@9$Q>uNh&Zauw_~-S5MJO!-v#iKx;T;A?*v5N8 zp$_(d#pw9l-VDa&`pMPuxd;H9lP@&7U$+4Y=WTiCB-srrc9BxWJY;dlIzS5`waMz9 zvdL;ai*dxA3sidYG1VB;owv@7M-r0lhu7P?_{<z1rST($>Q9k-tQ{VtoUXr*Ii31h zxb}(7%7da$TaPcw<ytO2sUG)(4}mFZ%K9dz6fLiC$r3%*;Cdw9Ga#jH^F-nUi?GGg z<s(Hk=eX6W)^WR%dA)_+>zoRLF4l08CQq-`Pg?W6AZk(i8m8VI;T^SxHj&N^pRTQp zLV~epeeW(SB4p3ag?x_p6v1fiI>+GnPj3z+?PmY}W_<<_cz%{I)aU7RdwIVwKf_b) zV5OD0u%VHAv_zi+K%bFw(|0Raa;ZI)o+8=#Wc$v{QP)XJxGF01v++h`N_A2X(1c}h zK4Z)Exq<LERL%k_<gp6vgjNm_HzSnt^8KpSoPK$}yRdaNzS;Vb9%#J1JG)CKi_&G( zEgp*)F>5izRdsyHs09^cN}GvooN6zUjO&PQIa@|o7u**DCPGbVcI8UE_!Cjbwb0=z z?Q`2x(RyQ(`1&1bXF@Oasw?BC)Rpj68g0@UdB&b{>Mbzw%&HeQj=u9)7(R;{w;|H% z-X;qxG;u+sEnMBT^mgD(n10k_wxtnzdU5G|Lz%0rJEuFHl3~pfZ+4%sz(i<TOS`xB z)z_itXg7NVsP=8VzjPHVNr1?xW}%$ETWIjOr~fgjq+XaD$sp{nL)|^^W*a4gu-&9> z;3F*1q{nJ@AFnPDpX2{&?k+U!AdkR~(FTQ0W8P+4E;Rw(hM$zR<mK;;^2-w=SMIgg zO883YW39fj@N2b8<@K%8RWs`<10J6Q#&d^VE;ck{pi-QY!Eg#WHoks!Hn=F(HZ_~H z`{)qMmOF#AEj<`(*W*=PGV_NLhM@@Fr`>_5C6bU)8H`Klbu=w4v9P#{KrPeySuF2U zCo+{?Yc?}t2IhM@*J0MpOrm|BxvlZjaidp_(rv<~%y(kClzlChkyOjLZHu^{rs-v^ zm4e4{0emtYnWv9viPR`;KfWx>U`}s$dF2&%%DB;&23X46=!Z{qk!>w(*DvVm`jl7Q z&R*_*UzVe7%h4C250bvVpS&0J^t!&gef?XmP3Y?KJk1u{dcE6Pu9NK6(e2tQ9sM|$ zJMz?tu)L$pz|epCM?zpgynMc3sqEW=;exFUbikJ1{R9fVJ1go|=Kp<{&<$rOff*hZ zJq@RI*D(*-z4QsZtuIM_|8>Or9Z%B7rV*FV8^unAW+b<+=XcOHyNCtfo5P)D0pHv8 zYH;Ck^UA|pv+>AJkKR=uy<q%Kt5yRVHJ*^r>szPz4jNXs8Usy<_F7@#FJh6zcumzw z4@?n4V}|FGMvKAMV0h2Z?R;4uKgxCe_^gF>``$N&T7Slgem6nhe-+?k+Pb?ciP*Qh zzdX*lKZnkdyZi<c5z&P2t|;1WKGlx)oIt+lQMei+18-$W-1dF1fv9#r1AQ72$qwxU zDJ~bHs{nEoOeh6XwUG_4eXvW7{%dnapwl(*EI0g&{$rJqHLrQZj(M7>IU`K@Bm4~M zjShPiafRZxc?3lTzH!~_>x%F*w7*LI@!`jRl}V~742W)UEk)WhIC{eAhVE{{=`XFt z+fXX<D>dDFo2ou%;^RpbpgO2t^CjAqPhZ$rvTg?QMK$l~kw^nWuB_qI=5>TpxD|7u zROr*tjz}b%^X0bzRbCF%uV+vls9SqcxiI$!P%DH(GW%ieg-~UOk^NA<9i?mRTgO%@ zUqCbkEo<4L{PuJSrJG$@La7Y|G$e3KQ$i`xCkMDAk*qhO-Xc_?etC-o!8RtEeUEJ{ zG$fX^6bIM<kz$!AyEN~acDyXkMy%dR+!KrAoOwLe58h@wA=M=`y!+vhv*(A*V%jRP zLuOhm$oF(rDf^L_{xB*q9iXwOz++vzuCU&-<L^X!T!#xdxFMi^7~f!5Bf5G7Rfxad znU($sk)LHdpOBlaTE=p_S99`ng^*pT{D7}{h3SI|*ulq3<!LvoHzPnSF^A520>xfG zt~6~fX!wqOSAks8obnv8qTz^5R18{S3YXUqP=~jE_sR`-3H%UhK8SbrcGSbOpJr&i zC-9mIYFEH^f-htbJe!Bk0mSq6=la~U3wj>*K>Mu?eo*6gR48lPOvANZ8!_}QkN<V~ z{B;t*SQ1Q~9ej^5cJkzyP7R~95*+wELQuSHUZNi+n-xOf?LPSVa{1m`%iTHTliw7< zvBAAp-<xLZB#+X2A2SMrs&T=|d%f}}Y%{iGyFil`TD%QE_F2;g6VmLYD@vtG$Lzoh zr%Kevu3kiY7iY(C#8B1i!FRu{n`KMkODqA~58tM~K@7z7#zDLC*Q_ll4f(Yxug%)@ z0<gT)oCE^<P#TelFY?!2Q4+9x(0NYO`u8w7h;MMM4+;`z@K@o9Z*i?pK=GMLqeDQL z@53n&fzsg;OR(uoNnDMhJxqr&`{n$~6pHZYD!P?bobAepp#^_jgZM0+<sHVS2cAe_ zu@`I4JX_9n=v1?+dn33SEsXY1ajc9jRv-M@j)>bJ-IAGX`KZJube+*SuknLPu^>|- zgiTf0)QbHC-$#=R0uOD0H2g%MhHrqR<{?l);_?ulkYGBp67+xic6kKPbF~GNu1n2X zKF$V8I8iJ^*vk;e0MihdO1w`>2=kB(r9qe}(N0YFuF`ba+tgRCz|}dS_Mp^6uXV^h zrl@_0_r#z>H>mm=*$I5c6TPPHb^0t2&=)FU$__`EvInMQ0F`YrwND(d33@(-c$F%! zh|dO^I#Mi?6-Hs;oEt>yhSZ>1t-fg&0yHTJDWNTo9&CTZ1|tj(hVW4-yJDpv?5uGI zie)Ha*C)R^ePTicsIP@CTFrH=1H~eguoI>vgekRjQEE^Nmftjc7q-Fe`{wSnBwp|| zuj%W=wM%WebNLPo1XV)xu;=n?v_9VzuznFEf4icj8l17e2ox-9CXMsOJY~~o^@Fqe z5&e{HQ~}hyh0VIKGb7Iq1=KV>Aui3_7Bg<5s~}-64fI(uE_GNo-fxeLb-H%?eO{>? z0~-t9GFJ;Ls4)W@OAVnK^>60FIeQTF%d*G7Z7bs^W!U(*;iiD+kS;iIyV5t!bG%bP z5!g*!uYuE2M#~#4*Ycyhh79a}SOa0OOx}f4_qEs>$E2=1_{%|JbA{fPcAH{ivCVt0 z|7LTTyfqoc1idGP1TSn@1i4hxGp3(o`iPxlsi9OK2QdWBc!<rRKzPS`Liiiqm2G^= z3(h*b_&xl6e_h|TC7lEMO+NRBd)O{9(Dz^{Sv#TQkZFN(C_TDmeq^I6leCjMU!(G_ zpds4PZuVtfxkhH_lu1PLEQXsjeFG75oR^8oLbb}9>pMy4r@9{%=h+nnabpZs17)o0 zk=j!t`m`~h<Hk6LdD!<0Mi8h7xZmwquk3qF>^fz9l4OJu*^B{iCBg=x{7e}zLf?($ zGNDiA;(SSjlK{)%&xwoTU>W&2u^Cn>e@;w?l{lXh{lHSP@!3c9SX-Ka-e7i2XmK!m zsznf%alUwYzdeZ2xfvD3K_jT#s<&)k_M>cXSh%l+l*Di=QVJ+QNFM(NLb4?Y$xhr5 zg-V3yz}zX@A4KkoXSSCU1l-PX3WUeg+uv#r5|M`Q5rjVaJnIPliBEKe!V<zJHF7b` zQ`3?f<EU6hNG2$~FI|NowlLH{YZFKem8RJ!T$f&dGqYs@Na$}b9&qUdXV@(cjI}IT zaMW98|M^>%{VnInQ)${}Zow*`{$FyvHS}+F*p?3AG4C@Yo^}@p_rxdc5&mr`{BukS zLGi&YhHc5)2V4|JJVOt44%m+s@xWQMWC6}7K0$eKas}t0<CIgBgtm>Egk0Oh&ex}G z?i3t{nMCp~x{9oyg2SU&%q4cnZv#d@`eoX7=7Fssg#V(*c_k>1#<!jQ&<AMYl;8GX zFaR1vgD?ZO@vn)tWHAE=0mcJIqEB<5nK!Xxh2!A`%0J$WEmAXRE?6F6EL6Y#u%=fl ztNtMjDd7EsALW>hj1}|my|Q4?Dskr+C<f^N6^5wv>ZNa?6)Yt}7i<m_iM!8T7@s6_ z_H-$aj@o@C&1>-6NBWM}`Mxdel}?Nz!6)-f1^hKm35x05WARHa<q)22YGq-mr{BX~ ziNz>BfmLI#m5YJZPGXm1N+DH0Pf=Ucc5kE)kKnP|>W<;V?5+(FX%dYl>=@@kbX_*@ zXdm8wO)@SVzCKgP<C`>%arGjc+WsLxfTUz2kOF6~Nj@L^b9NE-vN<Ps9`kaDMJB3+ z>OI#N*7D1?vE6ggXVOEiEMLJ$U;-8i^ui(mHCQC@9p-lO)bKO4)L_8iPl?;I)<%Tl zHqoYueKKTL17g<d5S>t+G-2<RUSh+l;_!{t7s}mZy2i&a?5JS@T5qIR6lUNtbhnp1 zZ@xp7LGA@P+cY2KUY~;;W_M(0Mf`2%Q7_+R>;z)Z_3cg_9v@$Ua713~kcPcm>#Y8U z0%g7Ra!_rP*>V-WUCnh#B4S0|$xs?4Rx_*%cZ_C1nQ??F1cDk>W4|g5GLlD*xy*=q zdw-h;kfCEl>3gxk@XsA{m=WLZ;m@Xk;YDpUn%z0#2~YhJG;LtiipQTvgKU=E0nquB zQL^cO-Fx7=e>ZawRCk}hbO%Nm|0!Bfl!}(t-oNdStupSui^4M4--t?h+%smh$>@$T zdEH`S)Le+ZdkdIwup3l0)SqW|xBJxzC&^*f?6f_IDKK#b6sO{j=Lc!=5N)jm0;ltg zKpw80MntU>`s(U^?Qt&^(@jwhZ+HIzR#MpA2ZN=XuS^1o<3`tmr~ngDW5L=MyL;N$ z&lGNeY61zz0$+=yNQV|+>iFBc7LoY&X)tIa6-KR|tWG0E!kw(?pqoJAFcxpr;bH0s zqj-GOxNaP&Fc$S>O_)Kurh^I0fX}!i!PKZdg<P9P>c3cbAc7e~6l7f+kad~H&%gG? z21^{{2KD4vGlOvxcIY}sv(R6P{|@oYL%_o%V@m9WJ2w~xd5;j1kcU9WgjNfhz4;A` z1YScszSlnC&=+o&6qy4hM{*eRd%<Y_U(Ejuz;uA=LoRcJDdyYKC%_(ULO|$bN-XIs z2^tG$;UpxB>yssV9#Iwx5+7M%Jopfs3|K(O@~p+QJMUfNsGlo|gDEv(N^O`@7F7CZ z(!(hI4UE$Nbi?Rh4%V?6jQ*J(=syfb|9~uv|1~LKwg|Q0VE%N0gLwnbvz`|RN?xU} zz_V_bYo6GjTdjax$r^?M@7Bb@f;4;E3RCWYbU()$3cC$zx@hqcE<}rS*e*hvcnc^s zH&(q-7j&c%or6<TrDr2M89Mm9jNof<5)PY)79?L_v9&j#Mq*X|JU9wreFyo8L6~zC zg4r}WsM)F@ElnTRlPj6rS{yA1M#12oEJOhzK6stMe<rXKmSz8XR-B&ESg$umZ0WiL zehUYSyPyROjxsW27SGcEX0}1Z^GX`>&vsdaxYU3A`~^naQrL+F;J~re!3c(?4!{Sy zaeQVCk~SDz*s2Rxf(_Kd8u$sz@@#_`&2x28lKw^(NwJE1SU%>txHUrmWJyaXykp}= z38~`<Kl(of2R-6a)d|e7+ic9}S#+IOGPM`5%bi&~3etYY-bKioUu5SxK7YYS%Q~d! zwg9*v-vK+-`y!3E8Q~)hjMw^%=bntVT>USEEnbnzH-^brIL(a`yUAEqy1~|s)GlY1 z&g@CMk<ngDEc97~nH`Q1Uw5xvWy}{AemB@dV$SAcT*srdJN{^$buheRyx!RP$T1w{ zNi$8e?xUt{(EeT0GR5XbXg|@1rDDjugETYjIgpn-tc6=DXKJYY<$3H&cw+81%6RE? zVEIZJFBw*HDC5P$N(N=T7+6WEjQ5QWe&#oC;86ZZzqtJ+TTlzg+?|~LrG|2`=2d^` zJLb>0(p7p;KDp%K`;oAPEVCw5OK>^o#0|sT=deW@7Pv?&4gz4SG%*mH|941$J@yTa zg}jrkrjD%BGd+l>jro51d(gnhmXV$gDF>lm^ouL~^x#s~%nLRc={F<etiOFOGL6DJ z8T}3_ebyRCyCu}EZm>!G>->j}eVb7CYVx>Xx30N>&|b-=EGbE<*$&$Y)@;HnkfMVi zkbz1B7I=2ZZ6$&haD*}o?W!juNu@y|aiLOh0SViFte+1?*UiejtEwQK!%NM@sE|Gw z82^_6KWG3#1K8fbCIFwH4e{a;Yc*wKdQ@;@VQiR%eX=Io<Z^7CtWI93W#{yM@_6z0 zJz4es`q=l;(`w5y-FZM{O_`O9K#y)7+w`@@wA!{zx5a(Gg+|fmJ!JLgkQp^I!kfCD zzhq1-)fPM~v;WgHt?CS=5ica{`%<7e->iYgcQ|C%rIuJYjp?d2eJ`=|;tDL=8pdaa z8cr{rGML{iv2MwEVJPQ%r!qU%o}obH!GodYJqGdDq16zRYJ?{ceOUIf8iA6LdwJl^ z)3Fc%3}q!MC=+N=Q}+a!+o(~}FU%tVl>S!NJyKRegw$7qs~F}**a?1@n!)h%w@MRQ zA#eB=L0XnwJ_E5|M4!BF{2-e3{wQ=w(=ONHM}P3#*zR$xeJSlMyJmK5sh$#5EVaSq zb3P<>s%TKihXaL3YJ&vfR!`wD!D&pMnwjz(>G8YEp*{Gy`E?a$%d@)uGthzl$zZ<p zkH{0aHm5iBbMH>m{j4~J1Vi6Lij(X~c17*_R+zxc%4q@m^Un8CudI;xq45v1i94{) zP0r#xV~&xGt=?Gj%tzp5#s1$o`n}ZFlzgI3#TV}^*}M4P@;BG{2JGDF%B8BGz@-ZV zfeO-d{5sOo=1hR4xQliBF}Oc)fw!!fjRM;raD(j+$cIjeWV_gLXy{?n^_;Qp%TKn; zY{<h5S{l%geN%WpACzhFtluVTovGI^%{N(w4?su6>>gb-6|@ey9iaH}$K=CXBQqW3 zc5YgiJhZMOSvSpiwWy$T@TfkI{nZR3b|xpVEUzOOG0j-RYdBwWOoKBImD;dzZ)*@q z$(gX;wk{TQ7g@Zmf(;9CA6y#FUso?-o!_aoUGK%B?xWT({4v;M^jy3J3B~Ik3?b&z z!fB!_=FgKDZMK>KiTnK**Ar^6H~?|;#jOTfe5K#xBjA&t$5S|hm&NFaPY?j8Frp@i zs!t8Tb)l0VxV%zCAV4sCy-aEXj{$#9fu;E<Aymk|bf(RGB3Rsj-h^k-B@&(KQ(sU$ z%RB$9Zyp!KJezrrpyc%plj+lJptS-0D%lT2Suh4D3H3IhSQVy5lBGD7$Fn|BF@;Iv zk3lI5l*)&(iEjW^{+4&`)(35!!fNkIpE{gtfroQB$g((YX@pD?vpz<pPuYpjOTllf zJYxd6aLj<-NO;>Y<eY8u^aZ~=BWz)B^{}uv1{d~)350B_ET)VP3wuu3!alJU(;oXz zpQ)c$sTm96Y#_JOvqiGP1Q_@A!<N1TR$zqCpvg)22HKVgBm6&ou%$j^@fQLm0PX?6 z4llQ1djNkc%wT%}+~6Jn*a&Pr-v6*3Ux)EACybB5HbCy~gDuC+{@dO_VZz_Nfqrk( z@byBLgc%HM#&u-OFtI6+-Ndj7`e18+R@jC>Eo?(z9JV1q2s~^PFv7HXFl{WT6=sTo zb(;d~w)Y_ncnRy4@}b+`F!C3Ng$(!)A;UMAsgG_@4R-I!n|ALMIO1c~0#FjtBmqzI zwSjF2WWhEBG#)kth+rE6?_o;Q2PMz}yKQtVNPS(eFb|-?zsQ(A+abcXI3&Dd!lhO= zcHe4xZJiD=e@TknY`RF~JMrPV6IUa;dfZ4C&aD+DA`O4MhJaQo$uAAhx%Cm$I8Hy- z95Q3g*4TY}ur)5`B{6NK?hi7rm=$bYt^><t=P)&f6!i62xAy?9x`QEwNY_L)kQuIq z6kghU{gd&wVcF#rWTSu^a?=b$>hHllvP{$>HE`r-3`ny+p>vPGZfa3@2+5`yNA!4m zQ>Vf6wPEM0!?Jh0ZTJ-`#r`1qyMCz{?JvtY3lgqS0e2?;W~2S~fiqi{;y>jEEhC55 zTg3#%t5tMh18q!!g$N3;5Fuw~5v6)m`Bl;wB*rXyqN_MI^=3_nzRIV_A}jmujeavR zeT`d#6-1>0;U&Oq-saVWzjLdO-9SEeUBu%Hna1!Ox&~J|3;$<kBS}1a{c~VHV?Xe! zv7a1d;p<{WgsS6ISQZY;d|{cb9p@x-!#tButuT2Xc4NATURq5mv|GP1r_HG6R%kA# zZeOI{W52QMc2L9zsM4n_d2^kAV%E3?xw2$knj`(gdtF!eJ47oj*k$NWn3com&!J&M zMbk>?*Z2?HVj;H;`X=pyJ~(f7_YtV5%(h50bM^+;{cs>aZR)kR_n}eB?SAPrr~U%d zOr4p61>V3zNYrA)v=Dup6I=OO$8|qU*h?VHM3F0sbOd;t6S{R|?^B7#a9*8en=&!B z5X%yGxW%Sr&tE5eL3us6V?3SkYF$TVqKM?00WJJz<3b7A{G89#)@{<l#FUerorq75 zZHdV3-PZA5gks|z6k0vw=0-k8nC!!uQdln2zs+?LhUMWGhUDv0DtjZxL}I)WpwGOE zD-!*<YZ9Pans{8-KKQX6C(eCG0~ZucI&k8GcP8*bQM?l;E^bHT87Qi}{rN0b<dZYx zLQ8TBho|Z2LktvGMC8pTT9R!g2}OkpiZz-rLh#~kM9WP`29@;_TYe++9L$SkQsN+; zaFS_yM4l()hKLTmIN}1P%|Xi)JWs1hq$>dMJT@|;Ku|9M)2~qQkW`as!I~2#GeUIi z#gPQn*dEP6t8r9euHAm*4qWY>pd9Yn<0oZpWbD`*DFs?|u2S&ORFn9FCwlKcA&%A= z5C^+#EDjIrW)7y_i-Fhw&;_2R5)Gaf*1h<wow<t+)QSMygfL?@(AYPe1=d6btZz9K zMHX9r4+{w9b6R#ouoGoDSSKb2&MR@{31Azv>IR_JQ2wv>VkN)Uhkfz2@MOF$cQq@D z;1Mcubiw*rhu{7uV`Inh*+!y+OrM|ZwU)N}m>0@T|CoW@01+C+i8FYCR6I0+UCbK& zd!g{e(RkK!ppp;~reps{*RqoE&>%Q?hkiAuYa?(p)^EWrUP5>tYGW$L@##jiQk-YR z-wOVmN%&#?o^%Jdg;^1-1v`UQ@+*aK_||p0pZMoj`rs@U{2}tc#qR9#4)}@#Ox|mH z_jMV4ZN3X$Hs*P1Oa<E{MvDPz>H52=-$zJ=o(2zT$_)&L*2=MZoI~$;Ls`9s{XK@& ztdNOv^WUoUE_FDwV(Q7ceZ0TL_PRo0bv;9$zb~alI3~rJ)Zm=dVvPS0x;7<DH#v0p z{%1`p(|HSpSHJB+&h@rpf2gbR36}b3!^N=WFAM~x)8+$yuj8d)<+JTT*8F=<q4YHD zJB}D@eH9$G!wtd`=7nAB(Ls%|-6L;Axy4IlhR0EPN^<R&61gZQekzd1HYxo4j`PVR z_iJ;{bZ7A|kK@wlO0;YtgDv2G9J~FIjd&sCfK{urxm%s)XO`N1s|jYj^(~H$=qam6 zr(d`Fv!AB#?kSByB{Pl=<|*q-P+6{6$~_pj8ciqa5rvN8?3Rf$__9SZGfp)M)X-sq zniZTu%$Cl~xb|;!qEjIsvEDLYlD|q{(_iyGQqz{TSok5$kHN|TY~|A#nis*hz2=^9 z4%!fUQ5~qpKA3FmxMfBX-CISKJp*n_Rl&O^&D%|mIMYBfTrX7-cQ_>8*TGSzeGq*i zG4~73WX$HdGNcj5<gJyLbkW}0j^P_CwZJA{ueMq7KZ|-n(`#6d<wd7(WQu>3(x(=7 zf66qGe=J8GSy^iz0|*+TCM|yEih+Bn*weD^_FcLGl<Hf|+`jX}q~exr*YET&DZK^h zi@7ALX!pjkK~RwO8`hTYbD8F)4;m}jY5jpy1Z7+GNVJ4LlTWCV`ep5pY6W@Fx@nGa zlf50D5eJz#d7vw#eh$DAXQt&5Z|**pFU`nZ(+xrc_&n6nd59{L8=t__4wAM6WyWz5 z*eBmmBioM_tWkeL<GVDY<sqv~hJf`8yY1i&Rl%WLG+DvnA*m$sc>+&E99}w{yXGB; z#x`aC5lfOem`L2O8->c6$&x?s1%ez8fuWdkuLBZ}!BO-jTYTN~&llYYlcHmerErhS z9lQZJr1G^BKQy*WzK<=l<81BN2+fiiaZYetY`sl9g$s#FO4_accixkn4s;(IjoNhE z<c`&J3-{1dBm$xpzf&Z?>8EU&T3YWWNH*LnG55i?QJgwk&&U?A^;+Vqv-z7P*&kK$ z>K76YM=Sntc)}m@=T$E6tfbZKTRU&y@Vk|xz~$8EKIqM>y_>dYm^j_|fS~6!yVphA zhGN!Q;YH4%op61Fkh?24_yKP3++N9f^#ZAP&F#${RE6hjeS^>ayl$!6!ouzGUH|R= z`og`Z8-)GW^&#|lj<q_i@uuucRmG*H;Jm@;JpK$95F?pX`Ri`Y-Dfv%@2CNQwqDH@ zUD7`pjNWP6y+78q<<?y~*y*|^w`?v*v-M6<m>A5~7<H~ley`7-W}!VWe{pfKXvfU^ zM+ErQp#LH5q^@osXatPwPwfDbzOI7PersVFDTo#4WLnNBWT$uIo==GEF*)|${cX|V z(A4I3$Fz7^QP%{oMz6{s)fRA?e<kiX>uuugqwKXhbo{$x3vY0IykkrH_{cFOoVIbJ zocK6yXJs@qCnC+gnc0S6dTn>x+5KiR&>AH2B87;@KIf8zIjIF^nwok^;pRdKdS4sg zlc$<xDK<WG=Ro&${3etjc&e(f(w*Tm(sr}!8KW>OhO#tt{+?lw$QqbQWzP+wRpb>m zK5$QC|BXFCI9l+bcVnlww<=%0N`zzb?zjC6)l7!9R2k`S9+H*Nox@NYrCBkZp_<?- zI7e)omes~lZ!TYUPo69(#pu<7E=2`Fy>;PdURMQeUHYU|eEWVP$t0<QWF(b*eWi!a z9NvO_B%YD(2H0htp#SXxztFF{S8}A6ef|V`9$dIDTDhGS9OrP3bxBF3<~U&=ygnRv zgJPC#5Fb<vF1#4J67-Pu6l(Ham*kznNT#7>E{=UmMh5-`i7@#yy=H<Z39mlD>0W8c z#lVgfY{a?dyLJ6Z^1g6m)R_lJ%}d2#l=}MI(8z?V=}^eU5pX=ZXunJIvgSy=B$Jg} z$sRsgGlBwUq6&32BwqXE>m-C9f*Vo4ywXg5nFM8}DYpV&ufFBYbl$4dhcl;Y-Zm2a zbtD{@)D89WxDjx%p7LRhxVf`j4(@K8b=)c%Fn$v`C(K3Jfze^yE4<q=es=KUU`ZUI zO_<~nn2?MCVX}UoL9C;Qs^r0XtPJ->?>p;NT4kTsi25TxLYuvpVheZ@RKI+=<wvJX z68lLA%75-)IAXEOux=0%?i_OTKT4H1E8$SvgZ33qg;C7?zQXhUun7HH9^P^@I9oiH zU-V}-_wwHA92=X{BPIH0iuGC36<!tlno3BAvroc3uy|}C4Ao$v84vAH6uV}j`Sgu} zCA{-^y<<bmVNgQxaO2p2f0?aG6VA@_4SZ9L%bQAVdvgMYc&?l5^ijtRkAB@-g`~=_ z?{`uRSHkoB96TF-n+b32PL*3EYP4P+aX6)F@ni@Krt}eHmWOVATmbi-F~L6_s4f@@ z>cs$amR>5Z1$$~!%y%rD3M6KGJ7!V+x{y)1S7d}(W3#f_&{%R~4(;$i1yj_~HndVV zUe-1Ilg>_?b<!gBb&d6@a-7e%oa>!=*P-?#<&E|=zAipL`+lr$brc+~oi4wyYAboJ z`0^%i^-#q#pFucVBRl&Gfo3gdVtvp4daN2y>&4$tuh8_KwJGHTAMIAl!Qya7mp-<h zkN5p$|9Q1O4~AUV%4qjo4)47;a9!+izH9cHo1KItdCuod(7|ClVOTIt$g5FLfpsHC zgM1(GKE7KVUTP8%I;$7Hw(@ZC@vIe;(ZdW^WQmRrbiUe`;MK*8TE|AE@nS$c?mh<k zPR6a1D>Ia{w?FjSm4{yzL<h>=mxo?DztT$UFP4+Qn#k@Iv=gqBlPWJbWk_M}f4Ve& z(t0jGE4Jm#r6p1hojwb3DcY)@r%j&MWh&X2IzRQ%85Nj3oh-0!_l#8-JPx*&&`bJr z;;mQnxDH}n!#injH|fY)=NUQY?!gH(Butm^aUxuD)!l3!^frB{Cc{EKY3N^B*D6np z9$&FeZb{22-`U-_%Tvgkp=nD>?VDKZ%Y+tKyT|wGg%#6`4>t~U&ilj-Oho7047mKt z&~zP*d5#sX1N}-E%<M+69$mFS#u^nTplZLe*C#P)BW#-M#&TACJIG`eQoaKOt}Y&~ zAmT2a{o)p?^K^~G8pKGmxfVrKbFgxKKbzmk*(6aSBzVzN&qpz(BIxLA_6JU<r!L9F z{}pA|OFO!3Mvl;`(T?SlgX-h%+FOzH)svnZ8dt0Sni*)5$6PYeS43QOXk3ef1&u`G zNbyFA#@AXEmD0wUS<Aib!EGa;%>PTR#hnya_+zXv?}X0TQeWP~nD4|Mua81+26~*; z8z~u&ZQkSL7v2oqOqb?TEhrJMn~{>#DZeq`O=NY9$~;wrR=E@;VJyg#_tn!@SI>c8 zYEZO!xlyoqrXG6OXUw};<|f}Qk=dI&yWC&mXf;&7miew>=IYl?+=j~~z<l>gSmk`S zQoqJ}eXR(KZ|^<OVqMX1B5Eb=HuID;x!+xY!H&%4*QN7l|EkI8T*gL`HFp3$w=8^r z-Kr;e9~IZ#NBfSy^b#}J-RUQw*);VNein4|@p+;za5Q_0pM=G8Snf6l=(atd4&we1 zWV#=3Y4h0oOuZMwhp(3qpgAEwZ}s<CO83q<7TCwQ#Z2qp2{lWtn+lyJcVRpAdaSe- zemP%hWHQ3Um*{HfW_0XDtB>7S9HxLTKHl*uuot)!|5D4YUMcutf~_Z~OoMggaEq=% zFkWA`Myl|KwP4hHW^zL-$VmwL^WSNU+MUN}`spWvtrK)Y5!L`UpaUK_3}~!sr{<~I z_i|R^7sY+Bw9-!f@SMD1?HPIMmR*`1Y+<TzfBwu;uwo8ZALm45RmU`oHf-bN!dTjI z!=Llyl6O;1{ifqsgUI#Owei~?;bADLw37<(LdsTh-t2Lyd6j`3&s;Q)cS0i*_*25? z6E|Z5LnE5v?n;5Zgu<Dbvk)!EtTUzkg)hY3;YzcMj^At8O?dmba^tm`%i(!>J8b*9 zn0eKY<A_(bT>L{J-Cr;Fe=xhLPbIs!Zi%H-Wbp9T=k{Z<S~_JSS2C}3m_aX;X@$oc zEzibFOB`=cw~OA{*;J|iD0%ENzEQvrWO?lL>Zmnpb65cwD|TIkqn|t)KdRLUTh<CU zn%63~fIi^7+NAtRpViQo<YwymS6)3u^Wh@Q_JYpE!(japPs7F0o28}n+pTw7rEe<J zMfEm&V#}%YwN}{*VQ=}ptjztGf{PT(HkU#iGGIH<`|a1@xyXX;g{w!NxSFLB>*-w5 zdD@#VSf)USnZ`<JQui3N&g9ggQZph=v5Pgp@+Ip|w)&LSV2*`mOOK~7`Z)8hU9)AA z<3FzmF6_AnBF4>V*cNKD#wAelwymw1lYOkLU`w)}P~@qAl+7O1zEAvvKNxH(bRd3f zhJR3yBztXBKBbj-`@y$dHwHPa0@91<Un~hg@Y61!b^D5`$<_y-FPFPL*?aTX)U?b~ z^%QM8&0c$pwK&!}#LP2g!`}M*K7vQ{`lZHnRR1edTgG*_mgv0>@5iN;nm&Og@9f2l z8D;bJH0QWt8kD+Af8o%3Es37DZm(zWeiEC)C=fcD-m{*X%qykQWrV$7IiNi$!T^xk za=8C0vj2HE$Y$rW<IR&cy^E-B-$vZunVgqZuv5_C>>VA1wUs?);wcP!0hM6Nx?ATF zA*pwkQLBuqbAKGJL@>_R{7uI8m#t&!%$?%8Z|=hVYuee;KYGkxCe7fc3UUsV_N{ig zgamjrYKsgy-<*0#n13?y5xkoy*#~T!tVm<lCm5;H9YgOU!;Dw9j3QriNXO*>e6j$U z_r+z`u*OORxCx?gPjusPaCX~OEt0&jaJMij(&N`Df;|s14)i3Vt*Z+lySWv)Z<C<; zmQhW<Fn8y1u(B7?y8!gu@hAKc!^`;=Ob<2y?cd-nsB=rZce&~+y$b?3`d0=6uV;Ao zM|jihwwRmd7knCvZUnq`cCVUMv*kSnZrcXP`u1B2qeZZx!Ysl~mtYe<C?g$4)Qb0l z7aMbRbzjvS&B@pzQtuA8_huq?(xRS!+0_>TdeVa$`k$}ZaUyNnh~1Tm9rnh#iOkP~ zzX%s!VxKo7AJz5wvI$u6BkV&lCJ&WK!Q#VEH@)>q(t3=dzd?Cac8}pcXH>Rks>s`9 zZBKGn{K0KOvAUj_fBNQ^_>pkA@V=LR$4s>3dk#19i>9fY<>ke>F7kz0AHG{-=&$OC zgx>q3Gh_9jH#Ef9dh>S|hj-^U^p8TV1-a{3Qtin{gd<%xDhGkM2<xO@IX|-E;){%^ z=S_A@Ua)8RpPt`alVWI(vY|>Trjh)4YP>cmMQ$rXD1CX~MZNEH<y|9uv3v^L2VY-{ z$fq9Od)HsvUl$+gC8b!7V9YhP9@|Z9@vg_Ryd0Tj118edL#an7r-oc=?(BuV=$Y7M zC0^nl3g8Y4keLEhn<C|QMy`J(w-RnGINwV<4OA4Hd}r|>(sgv?f7K_RE8RozH2!Pf zwttG_M~F15WT>fmWI!aN<#!hDQ)PPQ!f5G4c_K5s*9qd<5oYh0in_%0BeHWF$wEiI zdvay{IOY5HCo^G3cE=&TL`p?fKSIJnSt#+{h$o56&M=c9@OH-`_rF(s_xvH+VHamM zW$gNr@od+Thcj<4@m=0~Z7lj&4Vf(GtNztRY5Rr>BEHvH@e7I{G2;V$ULYPRCq4Uy zJ>TayTb<x<e_o8wH6!sWAX?*>qb=}O(>4#zQq1i`6vyXcc-nlFWXK4&0Yi~pGd|)k z07aKQ-3liC51|Z2_i0R3Xjyt8JY>xTE54_j*q+j+xg?v0XzYf!My}D&*75f7L`zts zHq$UZ@#YsS<PN#7f2<wZu~?(L)-R77m;ZEr(AXJv%(l>%CqAP2Q$b;8Do?zGRiv)I z*f{T&^d6-g;QUJbOq?B84{J{{s>F!M<9S<%_tO)i$cT?*R7b-@#^S=1o?rcn{yc+E zDssA}8z5-giza$&<nDu+6R~v6t}7hW)n$J{bVt@UhssVu9aP<AfA$(vk+j`@{57UN zSp0d7X-6vVFkufA;S(_1s_8XV`1?d}P4#nT#ph`QL-YxW$g!as%$r<t{Q>!(#+Y&w zr9i<36rM|hf*MRC-v7qk%lv2hC<8ZUsm6{f_}0koSgB$g3ziqx?og>n8x+&4T;a=@ zHx4)4b(w<y7*q2=?kE{IMybHIs#HG$^xG1dRty)0h7~a#1FKo4#9D{-@7((MFn}0~ zg@-(O#)!(vDErrsuZERBzM52;uqh_VsR>`~S}p@D=L8T6z@GA)lfk2q^%*pw{zrXi zuWZjX4}%BwKIAy+Xr7Igejgbou!6$r5h$>n3s=&T<TdQSy;rC_2j3;K%ROt%n7$6O z+$YZedoX`J2L4w`;6eS=>7xF}^!3w3F4nv=;ZF#CviS{)85c)Up8KN!e&snj7X_@M z;}>?UYT^0n%klXf&4q55(a+Rqh^p7Z&qiCA?(nZpSnTCE{*N=E%AGtRMev7}n^Y#k zr}s|ua+q_^YK<!~NOpWg_mH}l^bD{8h|X8mvUlHcqa$<0DSf3Vy@CbM?YF{bpFE9! zyI}u}#L8U3UO+d3w&kao>f&<8)s39yx+AWhT5WmFcQXvR@er$yHTha~-T8E@j<%#q z#;UT<iy4EoBX;K4Qh2^?;`dlv&8U04OkZ{y4V87zu|k*2?Bd@xX7Il`bF5+$0y1-d zpBm9Hm9dfQX_`<leEXe1?MPEq7soDbBDeW<|A6jr8531GUw+BbbZaa*vnZj0*P1R{ z4|HSS1xqn@Qmg52y-nFzwQns!Aqy1jEvL~SN_#v{NgdmQzH6-WJnfakBL4DOZ&VVA z1V>jWAV<pn1kIPMrJu^(0|}swSX}vnJRB5;#zNN8hL2GpPmDvN`qO--0;fd+AEQ>D zm_7OF@kn`+^G~GreN)Ze)`HJP(gMQ%Oo6{o^iL9tRcpgEU;^I?6GkK)WjqLcj-km+ zgrUhzgkd108=;^6;ww64hhT$VK&*wlfp{VM*Wa?oJ9eeWg*3od<U&d?k?rP%5n)*& ztQdj@wgf7whgx{?Dw=qjF4_-iX<Umr|I2j=ktY8X=8_vvDKc6ajvji^g8<*HSN3m- zTeS?n5=`7`N2f%>1T7Okk<mU-1r$gfoS=o1wG2|#cp$MxEUw~s10*_rFYepr#SiSQ z;RCG2?Unu(%CMr{{#~Ce6_e;UtiCQvSWzhaPcejyW(;TS&mvm5h>T_hUx4fm->MC_ z1Fz?2Ps8@~0^uX4KTZqb3-tqpkClGoNcC`r%m$}^tfG8)MA+W&t#^GGxfg}#ee{I@ zdf}@gSo(ahT}Xh>EE<D6D2^H)xAsoCmS!SW$n<sOV5dAC?#y$VZ~F=lskO-~30>ky zrw!@*aW@hrn7$u@C74DSBLi28vwo*o#fSJIxY<i+lk#T#F0qPlCe@8}m|*i4sG&qH zF{BI#T=C6#I|$Q5QYH|dA)!4cTY~(WKoDgMuv$_3?B$Bo6~w$~TlCw-IzD7kwMGMd z<b@DTvx|qbmR=QuK7G#R=XjaJ4i2F<2*V@-6%bjZI8c^FpC#H`Ya~|WU%=C9RXiS~ zjjUl~j#{0MWs_7qJyf*~3Ux4H7Zhe-0yMv*>)<C^WAUFheB%G%nlR7Y&MM9amT4&6 zYdkxOEU5wl^CxEWc_?dgJ;%YrplBn#FCCI<fQ^B05Lnt6ocjMQ*hg>Jo^$$nY|0(C z$@jsXG5dL#7{XmYdh4!X0X{A2`hp6$KZv;VYV7_Qeyw#3G;EYg?hsD@k8ZI9rHP`g zbut*5LZVCW@xkY1=&n(jYo6D9Z2M>>_&_DZ8IyP^cE}Pj=_DHKtz{ILATcxG_v?Zp zBo17xNA^J{Iz>w*YZ<1x@Ic~?SX{$N77U0mj`lrLoE1mH?xMLh0WZWT+P4gxVLzos zrb3o}#6u+GPXf`E2P8jAi^M-stMnh2`Y7Erb<v*uH06XCadJbH_V)L>1MC_OevtQU zF)$m9!zo_<OfLR{j)^S1)#V8Mf?dUbRpP_{Q~5R6ccdf?dx)AM!SO9_6ulya-dh*t zuNp{rOWgBj2-*fO@Ivxm;)UdbDH}}M;IZBdytgHiG~e$0NCX3{mL5N5X5_=G0al=4 zUcAuR8oQO^FfShH<d7wT$4hH?H!E{`f4N1#7M+>;8~QAJhA9#UP76210@6nQrYe3) z-gi7T=TwHIZ1tY4z+@W-x|-?=I5pCzvpU*JYH4rkMbgL-S{kajKK-!Z*Ral&a37a+ z@2{Lz>OIKE+WV$dnL&z|&r=cjW<02hvv7LIHAIbgy6SyphRvLse#DY7j`w@!-;6wz zK#eDoV#MMm&W&I|lGMQwngUr%*LY9;c3RD6G_qoX4K*0BF%K5N+($cI!{%Xj|MY3h z2WNLFtSA)zr|_#)F@!r-GB{HuYHmbk0~jz3^x>yA7?}+!{lJ%52%0@b&o05c{d7oJ zzXM4UX?nwn<{N`g;|PK}-fv-j(8Ey8(@KmGRx-dE2?<%`R5z8k&p)QM`WQ{3m3&l( zn9PGO3$S}L1(bkp@t=W-1az+l&*$UWq6J6(6g&no`iuNkw<IQQM?F{T4{}VX3lF8- zRm<W$Z1A8Ii8a~^x1O>2gM5mU8Pk9qA>~A@r6CKskYG_-<ms#|tRR@(kz0)RWddrd zjS#316t6NC=fQ#FfS!W^EsAe;-=w{rzJQarFQJDhn1y!qI}0Y#?i9-R_J+>S6f!>g zBc==aeo7fHdJ`n~!dHx@^)|cz;}zi}2AU@}3N%kFz+?)heleOS<6@7)>*5~jDAPd< zy2U`wLO*4Fsw%hmxR~JXQ@|JW*_QDpB_(#8mKKL5rTaIpJ0LR42*gO@_6KMXi)9d6 zVCG7Tk00+LM1sZ{x5OV1O5|r79QHd?h|l-Xio-NsLZ@&@h^zeSD*yJxhYwZT)~73_ zX|8m3rYC(bEk!so?BYDd;hB|8eVO}?X`IQ?!$7QQNJ-o8Iot^bRu=N_+jQ#U5rowM zC0q@Q*K0xf64OXB%RMqG+kk(nlObX}V$1`ip|D}l*=(Yoj|4T!LwHV#epO`HO=MyD z^s?A&&bV5LE&P)G>yo7L*iS(}`wt%JpVc@b;(&)ENTTV$WCQYzLH|z})%vS-jXXTq zr(qimFg)vYisdQ20z_A8Wr#I>M4gm4{5<HmFkT`~R{O1In1Dc_DX3u!f*DgPV>Jk7 z1cPev%}RcUx}VU$q7e<6${8H$)`ARM>RvpHppI=Is9^{?<kt<3e;jff9(2fG#t#lj zJozKdTJ&A?zfvaOlpl&?89c;y9s$kb|K3#<mN{Uqx&+>46m@bOn%l`v&S%YnAh;QO z4T2Z*1oT<C<sQiXJcy)^@Q85SN3dxe)(A?!_|e{)<35Z%?Zj#Y(OFs-J-+?ZZEGOC z;?IDPop(y=NO&O&-_YCbev8*ER3yMf)jYxr;i1I~;Q|u}nAR!qLbfSTyWV5GU1pFI z7xfq5`_>+t^k7&Mh$s!-vDp@X>f%;$B-E~3gtq`_1$rDaBpT*%5@a0U2^BSOXzWpg z<J5nHMiB?f4xnuI8wt8Nq#n)*zqbkkUrUA$$%ARA7-)(L4&!M}pje%#3CP6e_nisN zR(Ni9vvm#|ojwBpMzdJd4)`>bFt-)O)j*(e0BVmmuPGvs{^hnbpBX>Lw8u6}gHJRU z-Vav%zHO!kAM9#?0j`GaUzddkO=7dh5QR2cQP@!FY(7rt7GN0=C`}JxLqR7^0-aRH z1$5G4S>mg>_tQhwd!Qe?KpM%~x+pJRv60jL!(4Cv59&gc^~w4RMWxG{(;<uL&56bq zQ~{v~1>sp?L<(O#=${X&O*eaEK6vh_Kj^uTFDu|@%b?$3JUD3~DpP6!n|}t}N-gZ! zvZeOMH!s&ZVra_$byBis_u^KhPO)c$reD6qJrdjpuL4cvlS^0W-xv=hNxvU7|4Nb$ zW$;+5CWza1zfs0iyC;k<G?DZ{VjYk~(ui2x!XXg~NRzS$j?whUTDqw&U=aFGj5ngl zL&-<?0I9kUkO~{W1xUKd?WWe^@h5H4x><j*^k>)y*o=F%)peHI4R=N{1QK(5tWL8f zeCkP{wC*1S!7Zuiny={IBM~&<^LwCP(1V!`VAMMddJ_`RDJHTt(TTblRfA%HI{_!R z{CxHQk<0!^j|Kk!xGe0#9M^`=#mLZEeq`=!mYJT&@XO;)ya3*T7p(x^5>YJB1T3Ja zuqpk55GlIG5rctOm3icG26b^ER~FeOKOv7Fzo-RO{kpadT)E#!kgq)}h^fw?yXJ%L z>I!q$UC>=^V6a<P3SammhVS2;_J8{9C*bdz#vdO<RwPv9KP0kXkoi>8h3PN#54(d{ zv~FxJs9}LvfX$U!P~kt0%7yw8F+TWBIf%AC80KU$D5WKS9Znz)X+Joufe`4hpTLNV z2!^&HAlh~!5e&k-0wHt*eD<esolc-HkoCB;sHoyps4jZ&W|4_r&=(2>g&oM4Lui&j zN_&`YJX>!6nIOQ3@RHV+G9VZX4ZsNT^+SZX_#KAOf7c^06lF1{0{LHI8Dxr69K(?a z8^LJ!KCxkGk*ae!lu~Seg{u}AI;dlStS+})2IKw2c2gMdbA1NDnTX?0dkX^&_yRQd zfBcv1O1g<hSQOIE4L+VA&|ldi@Wpi@0cHPA^+?~^)#61f_!C5bfGGw{T-XVss@VK| zP;C&t3@H&FJ%H)#gJCl%2@G>VH2sTld{9DB0EXruB-SQBpzP5DbavoBKxf4p5D48r zMtlJgmkS1?!BvjQ=;pM+Fw?!22h;ZtC<_h)3@J#akty*&l)dI1K+;R9@miS=6X1o; z;OG*U$jp{g@$taI(-)r$*l=3%>`M@$U>62J7$X|VkKWo-kiM6KFlTD?Sb+LSFxkWI zh$Y;^jd2uV`wa4G?F-nXSE|i0k9184?;Nds0a(?NkSA_nPm~*c=<lWe!hxujAnxBE zhhtQ3N<C>Sxy3<Mp^GLf*NJEGS%QHnvd5g-==tY(Ny_ZV5Ob!1#}h!wfGxq!C4&(8 zoGy~vtX8+Uh9kGu^PDLtXKWKAAbe$ieYrS%yBEwWGEa7sb~+QG_0z=XpkwF$4*UEZ z@QpkrxIaFGw(SWl>};G?H$IQJW$S*~IE4^3aa*;)`z=v!<kY=F6PQG5IvVOc=fW6M zR=tZ9^146FnYkWpA_w}4344dfO=8SQH*yBg-N+k7E{uL^>QT3?u;#Bf4C%{NBk)F` z88pR1Nu1xh$Xa=k$KKur7nSx?A&l(<LjkFM1A`L?tMF=2GTe*_jbCW@)bE)FtCn(t zTMuUtv~MzoN@#m+n)j%dO3S<4uwG$p;cR8tFIF`dXEbq8odafzXOZ@kQ!6hvPdP?k zl*4Tv?+r~U{ldEP78%kQE$SZ?#(ga0Me?x`=cHCyOtRQ6;63<Ck-KvG<GJ$>SQ5Aa zl#$=xQqzH77D%)x2@4Arq7#iKd?fB{@gZh#J=nQkN`U&#gecpSo<CQxh87LNgqy3Z z<R5OfWVk7=awZA9=KmG@19}wPv8nqS`IYoscbvd;<c`fF&8jE%Wi`Bd3XkQL>@LfV z%ztUi&P|)6ad@ge>LZ9=!S$B6A^*PnhFU133Q_rtykdkjK(2yr;7hjydSiB#hKj3Y zl(76vR-R`CwY&RZT1<;$)@pjIj@g<aJD1uF*IIzchc!cf;ME!`mK|y=*uN@sOHA}w zN-^_Ou>aQJ^CK;Kp7DcJv>;Go=Xzg+jJ@BH`OYA5NeBmXf34ie<W~v(*6Rw=kg|I; zviVS{tY)q@FG`~M-VYtWo%K~laxCh&lp}cbYvku#MKAFT*=9#iAsTlMr5@ay%i|YE zp6n#Hy1?TeJ@zIA-eN=i2&6!si2diMMl(I`mvU^j*Mca59=~vELW$UZIV8cYDh5U7 zLLNEK$YkvKRBwP+p}hZ|xw^8&i!3fPn(I=gc26h^RKHTz*J7s46VS4oqN9_neh<f! z7Hfou-YmoZx}h8C1uJb(l^PrmSu8>{TC)s2&@wT0M$y9}J`!jy@Wk}~=YOk%Ray2Z z$p3RCW-A-&nHr;Qr>Fg$5#4E+foiNTkz<hpztet7x2C7hea%Ma`3|io?h#Tfs%L`D z<ZzwaIV!4ty+U}S@Xh|sn`gVHrlpamOIM4bH{>PQ_g+rTnq)wXw&>ec!`ksOm-SeH z#9F<aLZ=%mn}(y<ATcDNr!Ba^^t{Je$@J9|&e&jnjb3Q%R%wqjof!jmS8?1N<7g(s zC>8tYL8*iOR0D@lF^5nbN9@K=wJ*@v4e8j8&oMcI5{2)aO9azYJ%l5VVm_3p!m36Y z26Nt}9+4!;0K*MOH~BrsjP!~gG)n)Av$p`ND)`z*>F#c6>5xXG8<iGBKtkkD(k0-b zB?M^@q*IV?q!H<EP?VIG5F`Ztvk(5h``!CJ|632w413MH)|%OKW@f)@_Bng!Z<u<s zi`XQD)e<vCos_=W8dv=og=AA>ZIv*Yr_DUA>@PfDeMjAPoFmqb<=(_S?%X`~D3VH1 zl)SO4gt56aLYY~E=kcw`LuZF}<K9nA%Tg}ZC>4*|P-4p-=tcbcL=gGTtmDb}3VFLb zZ=R0tujV88aQP43&1;+=O(!~>t^AAe8WTpLlSb^x;~sY!d5n5jbtXlt#J0aEJ|X&; z>Hih!%N<8TY7I4w-uA8eR^A5Bq{ctiJZ!Hr1Ey--o;dyDIWm{A<fJ|ls%Y|Xz}<Vd zrm;{K-)!DSCbk1!$@GfTZkAsJvn-MAkBPR`1Q6%p{33;&Kpw7!edV``y2xMOXsTLW z^rjfP$p7fwHu=8XAnEJf5#tGKDYn4Htf^9}#yFp!@A$#nUfDF#F-l)__a*||w^C?@ z^YNB2%$-}g44AJ+rc-P7=@iP9JmGf%-i4m-40@?2N#Yyj->LiWGTbO%6e_4T+!2<S zD+(jK?Uuy$XQYNJJUCU=IR^IF<@!E%j%v;1yCwbio<>PuWhNqcNO;|8nr%%I+_EAg zx*vAw6}=%V>_M0@N0DTU>d?77K`4r=2XCo5Q#c}=9C8qE<tmoGjU)K2twXFDuEoTu z5M>PSyA&!_%~LFjO~{5?{*Hi)#)ffHwO@<H0IK@G3njQJ@=<w7s`MOra!O42!8mHX zF_NPyK@s33_s6kD4DMOc807B4M8zz%;#;z`_+0Za#z|#co5_GXG<peRvPEwuJBv_F zgo`$E0<<VUSZQMx!{A!lN~qafI*~a9!ebRV;h{PY^48`8m}wr7jcFByO$NfQU&6E_ zQ@k2EyhHas@^L*W!ChJ`UcW6j5I5gc%;3#cUeQmmE#J^xe0Dir^-RT0qotW>ug3P3 zeJ#%%@i!e&{$Sd&)Tg1m8Xk&&Q0=a_2~!z<DAW=f!edTQsBz%#GG+rF`rcEmjJdo! z_;)t8uG*7!-+1I}_QG&|LhzcJo>o}h%{AGiy))mr$P`qzcgue6-b6+||IE*z#?4y~ ztF8jBI5+8w!ggsle*MT`f`9VeGD`Yrkn&5D-*}?HwIQ2V68^y#!zf9wQ^Y~=HSIjf zQF8z7I4d<a<B3ogIKVjo9>bx*wUj!QPxoSDOXo_*`==?SAE_wubyO+mZ~o!KMxGDB zcGE9i8nW%~ooTG4+dS66`s3o^(9~g>8(-DN=4W=&uzOu~E!OZ$s9g82kra7}Ysudg zvm*gww{iW%q|Mv-rK8g0%klNtTy<hb1u`Nv@)tUhaLq-JqS-~*yH_)#IJ>UA(%!VW z7CyOF=hh0`HIAaE(W@8YdiIVr_hnwdLQa+_GJO|9BX*;bHh%$kt&7X?zs9vHTgL8a zoP|ExxYOEw+n^3oAM9_*CU3HJJm@1AAYY*6t=4jch476(@pZ|+-+iJyfZ;}C+A;Q? zA{+kDnr>IunoM3HM>yI|eFgSby(`qt$bzKQId6hS#b=U6RC0nOz9@<%eO`P%cfO({ zB`HPG5zqalWrIqKxg|fZVE8iC7Fmze+la1EBVafrIB65jR4h?PH}ixgDKh#;g?gk` zp=4;$Rt(XAm5LKtzEaTyy@H;nYS>yQ3tSvF&OFKamZ?|@?X!JK9uox}iw*HsLJyHX z1BpfBAr?V+4VHVqWf&JBcBMtELXH$!_^6&e{Ikm%G2I~yXkx~k@Z3(~e*1$;B}glF z3!I(`j{!r6Togt2LvN(;As5I?v<2h^wf9*P=|G~2(`=4XkuHPmR@HmBVVP9m3K_3_ z`XQ1_;u^wj%8lFiJnYYdi190bs49XJkn*>GCQ)hZjrN+sC|Gh0Iq%j$_*%auhc-h* zuq9WWj72v_q@p*+VdRI|tFV87n*{_k9#W|%yk_=EiP(J$Y6~S<%T}c*u()6Wjn0Mx z8<(K}W}|2JUp6W^x(s~`i9On7Qqipa3b7j+H|M4TJoIko-*{jNKh(-Q(5bv69!Tto z1>UMWAaCRy^gxT0!Do_QrEyO@Zi66wXEt|Byk8$Exo;@;Fo*!Z647whRE66TCq?4X zF5S+o+H{f~l`1XH3ENRD;ftevQTK|YR*W6!G$unI+V5!F(fu@9G0YKh)3JQ3z9Mcw z)5Dyfol~ol?)-lHjb-@oXx|&Z4{w$|kCiuJchn*+L(ih(9A$gOxVM+v=DPRIoCvh5 zaer27mp}cUnWi>ZFrbDG7hAz#3`z5{&&sp!TFVQh6~xS&^E;ZARhxSbV6i2D5*<V0 z2jtu2QV(EAI=xzN@e>l#sho5=;)4N<g@A1!SP8(YH!vB1Ng)^s0dqp|J;+)UVNC>C z2VpS6Lr#AK?~R6z!(3APpO85N3t;wjXIEe42l%-ri{-07tydyX9QH@-XE_q6Ro5dV zoXGu{Ul@5<{W+CG>ld!LyyZ1mgr_fLBeHmD((GGh>PHVFBKMsjm93o0?i-b6EW#5= zg`bA@Mg`~1zSb`|(7|EpAP<e)eKD$Ihd1;pwFl$=0Qa5Fu4dX8=At)Wrc-~74AmGL zixrYHtbX$+ld}>Qo%V&l$X?T@USe9rS0&a{wtO=5=O=}cX(BPhk0B-{BI<@OP6uD{ zb!?-E<t%>SbalIkseT|=Mhgho#-;4}#+W}%NxX_)#%GKN_Y6ufGEpR=Y^ZZOSO69d z#BxSI`a8Q{0E<K=H1mLM9Ljpgf}HpndKs7Tpy+LKhV~)iZX$AV&hi2*!o~G4W>p+j z?f2jEBJ=0)SJKQ+l-1PhXrJSX)phOYPrXV}2$zt3fm-_UOK3`N{s9suwrjvw3|Twq z*5Nw5CrI=K%&(o|#i@Tw@geeSlI=2AT9}oHZ~NHzsee$g`)nhA3Pt8)aii3CAwj{l zvtKdpM~?8)@eY0Ml<PFIe}S4Mk~Yhi_Ghj-ysmPXJt|2uttiz71ONTiU0khQ;dyIi z<q2_ovK`C2xKz}OTtatJ+26@^6r4{ZDTma5hQEm@pnk4ef!!+Nuf61Y@h0NAMJ7{6 z!7&7nYzT3sZu*9zr42qor6QMpJ5mH=KcFVD$8p3r(>vX{mz(ph$y&7{{1d|X1Q>T_ zFm?RSghZiZAf^IQ7!qyJC{wg`?yl!P`$7C&Y?Zsm*Q@un^501KW}@SrB$MglPak0l zi&isw=fhcDG}+bu`dSJ<s3lX&9oo(C#~veXI)z(rz=Si9gG7|9k@LE&GO}~WyfN^m zsnXGdhmTJ~9Q_834eEP7+E>dxr*!8XXGD`}5<-5YTdhr_Ui`>r-~ZO)J0y;w3A;~s zB+Z^K8qd7))~!2OgAXZ9CD&tBE=Jz>pPD>9Op03{cp{Y|6px)i@+*#)?Lnsff!XkV zb|iKF^2l5MmdUkc@5vp!Ts$7E^<vPobG=9Z7)WDkzX5wc>)(-@UyU@r!~i5ZNXmnx zoX*j)FdS42yXavQS!=<I*Wa9$6e1hT9Nre#=gOtRBa10)h%Kb=v$xyY3ae|VO>prt z48H9{Qx6xr52QOlO708J81H`}?{mnxi=QvHQ1vx!S1C&DZkVC8l{xB1&b^W7gw9NC zqTk23v>cr4V?fHKXXMGOstAa)K#gX}r*g(3s<G4t^SsglS4F+jS<XMJC9)GkJ;DKQ zCax2~i-&@?Ye6QerDM<C6{}<aoQM%7lM7j=(L^U$JPAUQyGt8_pFp;-=#)oBONWM) zicJn_=R(@<M2xB$6hQPH=&BsIe@T>wm5S0|$ZGUTJNpqiqKyS>iR7ui>X_IAg*^7{ zHO-y!@tSHe%pABJ@XR=vcYX+aW*no^D20|Z+t^_Q?gMEyxri*jEMN7UwM0r_7)U1f zRQ4=Eae!Mu_$&d9Gq6+DDWv0wGd#)2((EiD1V1Io;2G?Y6I%x@5qV8l(nu<Ed~AGG zmn0913l--BD_9w_`wCgXQh`BctX9*^r4X;V$q20z@Gn1rU-eQ!W>q|6^L<}+=KM!g z#Aq00{9e**u{zt4ScfPElv0^-svoA5(3}J8a5FU3@+Rk*18dq7(MJkdb!@GO<qUr` z1^(0};jAqSW8`+y2Q8!Ld?1~#KnA`hk=L9G&UTYXDTXX22R2aXCZ?`a^-aK0I{#Dg zJRv+XM)UEkEO$vIQ^7E3VG1s24|np9sW)}bVl~n~bmkfe8}vk@2*nc&J<}I};u30f z(icoR){kAo4(&CkgPmjJgFHa&UKcep@_n%cvstGgAepvsr9~=zCzk1wKU`=ma>QxS z<g1SQUyDK^U*@=chjd*v+&^}yR3}-}Cd*9M&kiZK^GObX7(3Wc5evYs`&j}8wrFWR zh5(nZa``}^uxdqLGc>)AyiBC?<pLipl_I~v$!ys^<isbjUw#3t3-3*UzZkRg5t{a* z{dY|WfB#toIF2~+DSUfX`c6ZA*HQ=xZ=H>XIqI}a#aH6GOXqXW$9S@LKR7DIm;6X9 ziED^1l6-lD!j;T&ibBk>4owkJbt~!oF4R|E!kI2pahf*ec5Ti4nd7E<e%?}<cf`Pi zUr9DTMWLp%0#0+5^CdIK$sD|@)SM^8tK?pX<5(k?WQ8Wjo}zG4+VmCH%+&6VfyVL| z8?k0+zDq+Y`7o9|c8Wp;mr6T3VIHn|LTnwC9ws!U92|hYs}O^nXPUB2!|c*lD;}eH zAA^zE{k4eV>Y}X^;{{=vlwz)QAQjEwL07x%34^hBn6lr`j?oxLDJrVo)*x=ev7%p+ z0DgdpiaO`&w8lhpOpmFc(7I%1#(04bS+_2j!Ft7L@$jCNlXoP(hE00X;NhPs2L%KS zDkIz_`<<b%qown$4<#3#p|GK*ze!3SIYS|bld)GwT4a7Dj)S|%2l*a#qNx_~&C)Y9 zKJ$cSO+3IMWz24J^9){HP9J4FZxKKyDUS3~QRQ2~#?M*Bg~0a!49pm1Ol^fgTps}L z0&oujeg+_O<|w0N8^Y2LfPVmZiU4;2*vSvjc?h*2Me0!%L}!$0cqB`}&!lcznWc|A z+Q$}xoe-zV#}Uoz?3Jaffs-6}j-o^u)7~GDt|^~TGmk8po+psJevXn%j#i08dT4{* zt<6F}z(-D$FL0z3o}V0dfl>hn`?HK?^9kA(1bDw|$2ckzr%r}A1bs~YbU0|AbnJ?B zV|wmqaa4AqJyO|;zbk*J`4AT4XqtkAJ%#>>g*ADH0H2KQ5hUgVk@8nC#@MeA>=-SR z&v)frs5N0kY)>I@tr>v70r(pM&H~T|fbLIuAe5~Ypl1L*L!dhVZ3JjH!qln_fL8## z3Q6Ami$X)#iKgCno01H)m=LNunc@;f0Y4xSHIjry-Dnp{DV#j{`6WsMd7z;`_E}9J zv-)61M?Ojp+4EL#cFBZSC>iLHRxhWelB=#z<lv9r>Rf2FONH^bW!uDf&lyDy@<*gS zLng*rEtyBME1u@V)fo?cj4}@YTa2HeSL;rZmp4?6gogH8-ytin*rA4z%^{DC@zSlt z7L`pu-JLuykF=a5=G7tiennjIa0iagxQ!vUstkF_ysVnO^)qzN&Ag9jd6_8)^P_5b zV1ir3Bb0H?iDIzUPt6(0sY{x3BX4$FPGP7QOL}svsueo2F}4JM1ol(UUC_A?o|OfY z$?0yeT&dq&3uH&1PC?|3`?E6~ot1IF+!nQ9jhte6wv9vw%_{rt6}Jtz7KmS!1G6U^ zEJzwgrp`>!$UIC+T9oH-j`p}D1Jke-Bn?&K-yzZ@6?tpqRLh3#z&0fI2Tm>pf#En{ zkc^BK2)ESBDG-#H{T7D@Hr0uOYqZ>OQ}7*)&&FFnR9v(I)MK?#9}MbAWQr!_L0l2O z6op_V<xSGC1b%KOSLVH6!FqE=fS2*xW(Try*0zQ*@;kX}<5Kdd{ktYa8ugl~sh9FH ztKu3zp6tZX_|HWlheFaYPG>s;s|v+{ymr4MFhTrPh3tQ>3UmsFj1i2Sj7rWP@q9a) z^+!VjKDn%H3;5L`v<v1Z#kMko*`Mhc>jNG=vQ!v^kBxf)KG+DTWR?g+ROZ5W!!isG zGH{VF!xi&wH`PD$R|t2XX~eIoqLKaqv+-@0>y+F<utgrg76<>a#e(ji6wyh~Uo0`2 z^NQ9ZkEV(QwSBX4u(VqGz86>gE;WmPr}~CC7BXW<y$<xVw?<~^dXmqa`~n%(3O<g- zH~Kl8^uI6RU<HQ@wj4hAQZ-=Yo=oo0`)Mat{X4arA&VPD1&%G2l!D4pEk4ypCP`dI zdcFfZ`lv5LW)%e+JpOJCgF<e?k|X{dG`ZFOqm2x0`=xK+ZEu~VBsz%p?&Opy(FFfc z@w{3071XA<@Zk*mU3W>5^1WX=7${@z45V$(^E>v7wl+5wogY##P5Z=RSESNBUDO%( z^^U}JeSRlEXW67GKW{T0@ThlvXtJAY$DOyiKuZmVBSfVnJ{7n;3nCyTA4Yj3htDtS zCj8L8-E3$a;+up(A^_3@grsf00aFY?h6jnLSR-dur(AZuXxPv0HlC?d(XAU}98%Jc ztickz#ZGD_B0`g&pJ$UuLAc)Y3?=_FZ2^$p0cqfwyrzwnSoXcMgp<(mG>6A~Lnk?3 z(&!Z-riMIC_QzU9eQ5*@>--#|T1PM-jS&zfLG(ba61gW<=Z!cT9BaOk1H_86bs;SI zvki>0ql-%BsBGP$0(6|+6d1^X9)dW41J)%jsd9=60oIp^V0{np0qZLTtS>zZ!TKBl z>BA>LK^qE2XpaHyI!P>|JT{0xwyrH1+KAr6(m{IwxD*L*Tai`?4x)_{hwy$Jz-xkn z*K{cW+b}i1VO0s}37UXajo+|37%m4y>bh@8ofaj6)b;-(b^HiYM^1G^>daXiIwAh0 zQ7TyB+uw-@{B8(dzyBd8J#U8QCd}p^tqaj3;Vp;vkN$_%mHlINZZXVZc*d3p>NZV9 z%!*MafS_*NZ?I5MXKtw5u@ahhK*<et+hPuEXs^AYZa2Z+4RxyuW8`r%fk<V7k%3|i zc|$R1S#$z><~UOX6PJ^Y=>u@GaJQRauVziRiI$CX?nR1N=D3Oe?rWu9z-+S;r)G(C z0TE;!MBn%APt@4ARbFVIHM-^W!Tu|zF;^PkZR#IC4LbnEePk7l6;wdf%zr|>?K$9W z&;Ri@0bc2Pry5~bTuf}c%0_(u>A^J3MxCF!so)o4-WpSDy7LOZD@XQtWNRm2nSj>e zqF>AoqbP^gs{=|F5cuF2qGXQY00}}%`+<nu>Sxw;X;^1#79mPT-Eu?mjt~@Y<%Z&g zASm8R8G_>d3+Ox$6mL@o;)T{*kUu!ugfFnlCpOL+EEG1}XS&6<LVK8KDJ`|Cz|sny zSaSah-{e_B0f^<^k%<k}i*8gXZ}6CrPZD{BMz7n^3K%N5QOHUfFH=j>L|KE$g$V85 zfzZwk3hk7wk+WAu04<x^1wW6r;omZ1eSbsC<~S115(o(4r%PkL{rVcx`7LFahpoQq zKHA4?2fqDZ(F`9%@s|%3x_Jp$hXVS|w#a4&{((uq1U?ARu&{{aEnjurnx#HKFjxM6 z#BPObfM&wMe18fmFS3pGlD2w*^07cUcXC}<QYz(E7Z@!hjw$oL>aQ`6tb{WU?bjC~ z#V1}Uy%+Id9@e8J#-OMnl*5R5X<lX*)_Xa<EGsQY?H@KtKTQ<tQ_>NzBhzq56m^be z0qgA{1QgK+K@rm-ig*A~L;{E+!dtf?jz|wU;>Zm}qyrQ&6i~z+F@*Vxplqh^_5uPi zEf@w2IojWC1cX;<@i8#&&^kkm(*y&!>jUm^h5^B>pvUM^sO&KFZ|{VbHQ{l&$N zLq++}ttmxp=FYBFzLAhpqp8r0aKunfP^-}6b}o2WiKvFkK`AfQhFv_mNV*&NF{;$< z^x5qu!WWTMgfGfDr5l1U(_M5@+PA{|TvSxA`mpg2hY(cJ3{b_mZ`lD4#W?T#2XBpk zBaVyoln>hYgP^O+fUdr|EgTld4}mU=#BsPU<%3}72yh30H2`daBT$m>z|<F*{zafC z0R0NkWdv9SKwLO5y#`>|10*_@ZZiM<A4bR$&A}Yr-GPsIWp5{wv1_SIH)e^~eO?N9 z$jf;@E5SEV&iNVkQU^Jziy5}|p&CZFGg~m`3|_A}n_}N>8ZwncA_ij-I3s2v5nHCc z7M-rVm(w?YIvx20-%dGaMPQkzfWnRGA&sp)Zi~6$7Kb2z3}h<t!0sL+X1uuijxmXf znllejokFc*QcdvPl5=Lgkwk7Jy6~=`NKC`K71gR?F^}&47)O3YutP_}oTpFF;N<U) zde=HA7|X^Tm5<hJs(tttlf2QCe<X&XP(`&0&=>j<hx`a{=PNPL$7?Y2KSsIh3e=BL z_$bY4+lOhLgUNcL#Knm}qulQ;Bqvh=#|?V237vzKY)Q7cp9cvyD$7eFNUCL4F_Y=9 zP-5U$fL=fTFfFBRagwTB*`aL#zCst+V5_SfE+H^Fqn!Ks(W3>i+|N7i-!SWy<}mAL z$Zmb@2+H}4LuyE0skw*MCnJ$9*XnJK!m3BP6CGn*byrv`!4MLY_kh?;N~73D$u2AJ zPW%h2&q^v=F2NT89szKalm@Oifk4dxntv}_Zqg5do&t0gnEph7l>l6m&XyyCBfv`l zo&xX!0_{<LP;nL@fsYYKHGxX|Fao{Q$RBDR2V17GmSVVs6rwr#tE6}l9D<!e+!(Ct zZ#d3agP7Id?EgrGBZmW`E<KhNM#3W1U@M#RIV?_7lq2Ue7GW7}42NLODk;H@-D|W* z1U$ghrWO?<`m&s7pKp9@0T-?bh6yRTc?c&jWku1#<23KxIAMq>mCISxB?L}hV^R`U zc$jHhD5{pwJ8dFyV^Xlem4j>gktqo(Ufh(^I?W-KU{J7u;$mb<{EFu{#neuHNZdEY z5y+Hy6{a`ElunIECFm4v>sXvQpXuIXSs=5oPT+v5Ffo&H!gNy3ay~zr=MZ$|5x<x( z1|PJP#P9rc5a6D!$8)I<F8jAuz=e>A%_X(S*`7Pel8h$3h6x-OMvw>zFfLgSC<v#l z>nep)Q^kC(1`Esm%?~-B@$ghv=MIVR3x4ToTVP<Y?%I2YVd%O8=cuS8Zgo<MK{gWl z2`K@{ed13TayrP~gL>NiKd12$j{QheYyxU%4E=J<8QI2SNx2FVci?gSNt=(46rG_# zcwf70W>6%`qIx6CLj~~4<>H}nZC^WF3|sg!upd~HmY=KTNP#zr(}$w^+D2SMC3~5N zs)#oZohcoiTHF{BJ9!h`YGbmAY5wRC``5xLeidCLz*m~q?7^HzA3uiJeN!#c!JpLu zad%4NpW?m9XohF8XN_2+vRTb@v{8Lk^_F{}l{tc;-apuM+WjM(lD`Oh=V(q=?VSWw z8<iCP3c5&#pETf4LM$tkLAR}%v)J1a*6Y@PE%wQxC@0a*&H!~Aw2yj<mr-#Lm?|ri z2{!WIeL9Hjm=FV%??9DT2}!&X@x9ZdVT%I4@&(iYv5OXw1$4nC*CVu^%Y(So_8Z9% zt>+3zKW-!=w4Q4qA;}^%jnR6L4&zc8ZzNN+9%LZN-biL>Jt#mjyOAu=dQgGne<M9Z z>p=rj7LecxN%**{23;#C-fBYx6(fM2mD~xmL<Q2T|41u9>fWp9LA&2yA{c0i1|-u; zgd`BS0!hO+5`Um2I*_ER5Ex(J3M7@?NW6iT7(imEMqoUFE08pt+yjT4atB&s0`V%j z2Ti>{l<=@*=^&^%&w_H$5`}$jimXF07)bm;Vs!t16Gi>cAW9S&CkjxsiV#|9v+`o! z_UzA1+g~w^9^>DF0}>|vgVCJc;{|F#w>1<tJ^vRrO)R_Os%Qw+JH=E`q%9!9hV1wM z2-=lZ{rL5=Pg#LH7%ucI<zy;Y?+#b9GY(2SHOc)0+fFWO@<m2;rXP6N)6TZ?+(5!Y zH;1Ihww*wdE~N|(6k;{R{D58YOz-rWDV&I4IL0hJhy8Pv(Urx^HC=%@D~HS!atF7F zIT8JG*r+-FD7YpUjS137re=(RC@LG;aZb<8&fTQ{Pr$V(2SA4L{}y6B;2Lsw_ZjRL zrG4h1J;RJIzn^;-3R`INv-4~dF$hnW(y{%=rCREA2Cz|2)`r}7lf|rP!InALV~Zr( zZs|pEEk{n9*5>XY388ngoCkb!I^)0lK#Vi^3?=LL;majb@;R$gZfhmljQ1wG691Q- zH~8bhD{}+Rvr&sOyM<iN1z5~_PO*|va0+2O6um`_>x|c^M`9jXLeU%k1d#3n$?8sa zVNKOtu?$vLABD}KI-WnzeY97G2$++C^=6UmBEXBU^7pM#(EKi;5XZX)NH9z+4S6mo zT=HL0<y59aLF+yMyWDUc`;L)V9iB5{Mvmx0Xq#ID4z{@u-Xh64OF^+$<cf11nYk1i z*8BhzY#GwMWy!}{VUis!Q-*@AWiL8TB`QMXz~}P+C++b#NYs>f`6#TW3O1<={NrN6 zlAh`n>7zq!^tbW03Sr0YlY@80#o*8i@RnDAAEFS0+h{22^2|o2HrZ<O%&NpS=n27! zHO<TN@xhAV1{f{}b~wy?4H$-l9db%75|Xh)9J~i4Bw&YJfCOw=v$E#oPg5C^=$J#B zDb%T~tYEj?N>)uZngTSX=B6qAh^81=!Qx`Dbl_l<oQ;W?x4a+JFQH1Th*2i!MM4s* zWA_G&1l52_3OoG2?v`Z)E9Om}GY2-ePoZndGway86U!O@m=C<oM9f)R7sklzWYrNL z6P94~SdoaLq3nm6D%ectX70r?)(0(9QI8K^CHi-(4600#m=!$_&M_Ys^EE0i!Cq`I zEqJx8_@s-Om5E$*wBOb~>_dDE7Rfq_7s+_Pm6C9-!&m%L!iK+ud#HTZ3Lsu$n>P6g zDW3X+TWQFc*xMDZpeLoP!BiFc7cYUkWlzA}vc`XR%VY=Af@^ecGrc>?@K%^&W1@cc zJmmyEK4xcZ>H$}3QaC%41h}`g$mp)7iUB5OpqgqZj$?2WD{BziHctmDt3rt8_6;V7 zz%mE7<6`hgKI)>y$2?+p?94Uen^aRRfaU56do!h)j)B`(S<vmP+~fs9R4(|6rhFA5 zoC$u02xo#5pj~DV&ZwypfT=ddny0Tp5{HOpz`%y08P>di(aZyR7bu#EkD-I28SoE^ zW?1tQ`_lHIXoi&)5zX)`{@+D2@c-Sds6saEK2s=~0hL4142jOaXa@8L6wQF~14T2e ztcYj^dvmbf-?PA^?XDfq_w)~se&KOFI~Yz>;R-n`&1Uj6LPdSt;0kzv`%@9&)o5EY z#;#A?V8fe`0UjFay8*%xzA<P+J9!&KE4JwY0d7Hv4R1YbSg*kr9$wzI>)Np}_zvTu zF;zu!qF*LwzmJUTgNf_MZleb5;6A{C>FBuj?;r}W^V=7g`p_0`*YeKJu6$d%fwy0} zp{3yT2LVHZ^^^Y@b->SwHn93I<z8d-m-9lAMNl>i>n#Foe3A?!XhZk4l8UOd00|AN za4odEO&Aj$;~T~*tZHZr(Mdve_uy5g55XAPC(wTHJ;o{GxYxNPG>R(_y*xJ4Q&DAz zP=^uKBKCh#wdt9>skpM`+#oLc`3{VpMG20=yVOyO5+|*Z&}<=BLXq`#?%%F(cr^iG zg4h#?ETRQ5+a&G(h(K0*$EGgbm!+D*Ce`p<cH9b3MPZIOD{R6tni##uIjiyb5sHsH zgSIhPA0%;{1qU&!0keK4u%$c@!v<|Buk}zsk<CGtYBX^1QdS3UNzax<z0JW%VhOx3 z#MBrf2xp#QXHW?yD;w}K8N|#qz0}c-`jOsHl!q7uFUFE!XWpulgsIe}Jp}b5tsw;{ z-oh{zZ7&Y6Y6r!(?MkR0sSQuKfi{Nmo%V<Tt5#5K(T<M#k<xG)6mOv$^R?RsST(~X z<s*U(FmL~7i+gVBW{caGiSmQ!YUkfqxEHg<F}OiETilf~xC%jj7W%y*0m#QOhP@%# z9jsYgtDWus=Sn=Qoug)*n&d9#X0k0z6fWj4fyP3dMgf5=X(HT40pXNh-4J6cDy@;% zA;x)EF}OaD=l*!h^X-VhA6#R;HeQ7YV@uYZ)y{x`R#6(4$|_VVq18^)89%2d34TsK z4-Pu$r8rh|1OldrFIJ;t{>GEitfnD8W2RB!IKn`1@4!VH8ZVd%V7$<g&^#m0LyVV5 zFkZ}9g?qVFq49Eyk)G*{NDUUF9|J|EtvJhYtUgLPEc~1uC<H#|3S(h?ipC@y_5~5D zmM{Wq;^0w6Tg0uE@<4I<LnR^**Iq%PYlyM9azqSnXOJB_>uTV?(3Oeu-@O!hJ~{?O zi**jMF_e1Ip~#iQ1w>GBa>OojUQR>)!Nwp`g~PPKe-ODcGEss!mX?f(5`;tes=esi zhuD}1J4D<{0ZhS-7@S59C>CD6@o@}%h^vFX*VaKexrcC4$bfKSK!|Yi0XPZy7q!OV zGUrVZG`RUEqT)6(${Vfu<H9iw#VQcx_zm{{`ZwkOf>uN^Uc)ng@|)sre_RYh{u^!F z24nvz6x>FqU{D#s!+*DhKvh9O8t96gr}BJ{=3{VOjoAJlh7bJz`}p|?z17Cs>3z$` zos0Y0ix=<gQm;mGg68P}*9!X+m=v9JtHvy=P6g~^eH5#4BfHE-N3E5sLK-)3$;r>% zpyY+Zjt~d8*1z@rsPK0k7jI?0EyAxZf219sN~V`t8~mKXd@JqO+IF#j*+Dt?t-d}} z+6w+(PiLKOqq8>AJUahw`t9;?{aa5^hq=q4*S-?I@*3~T&xwP`<8FJY7Nh$!1CBo@ z4y}gA!JD(8$JKh7#@23kwR!W^Go7!Dcu<9IRc}rS!|8dgXAIuByD_$v{(6=A9q#+* zXknzV_T_n6<I&bh*Qd9C3fsQ5fVM2G)Zc>F81V01d0($z{h9cbxg%44=lk7b@H(qK znQwl7onHPuoxSq;dvGP%a=A8aN+)UODD8WFl=jO}^Xa`z<5}*=Pq!i?ldByI;@hHT zCQ6QfyLiA`GOlM_e((IQaA7McUNc`&*ZEX+UuES(%bia&Pru$T*y}&vS^w*sI!`-= zyL~iwc0B){$TJl$<7)TIX;6#9+NIke&xKvn`{?AEsj8zn-<N`)Qd29f&;I`A6qBs8 zM%OH$%yks1#z+r1vCp{dNfehTMxu-QS#UaK;Xpsp3hzOBcYj1hj8el#=E4&^I<Bcg z%*011S;fZLGG+4D$1P93NYPaM1%hCuN2ZykQNw%%+ue7Lz8pxVn?|pnIGmBHY4qjQ zKAYnwW7FhH=EfKp!0>VQHZ*><CuYI7z_0&d`fv11bK}=XW<U4^V7vmqDXBzp5)zwz zGajnMPp86vFSa%{8fOw#*)|##%)!^i2D&%ncg}hIY|5XSY8Dj6KTh?w@o+!9Q!b_J zap)p<EiBHSoCu#4O&aF29+)fH+^lR|eJ}1aBHTh_GFtuRjk8M7!ItE<V2{`bJM(p0 zx!p;x$H(eA#+-k%IP`s6zL3wPmKb0}aVvPR9Z~zhty;a}MAin8pQaB_Z1)Y#x|Pfw zA02c@etgg>F1&m6`{;6{J~1-<*K)h*-@Ty=&8d>E`KdG5UyFoeLWA6a%}vkme(=N9 zyq5Az-@nIQ;8lH#--g$#J?n2tfA{nBym)cEex)!S^@{86<#$J!+SJqa<*l^ZUH4&Y zN?jpkICw9mG_4<|N?)IvphV5}+q046>l2RcZusD$`@Yi43lq@<>y@*P!<CN1r}daC z3x9qQmbKmWdiCeB?S+h=bVI}C+Q}uQhu*7I<@Z4`2R*HaV+(^Rv8YUJ1Pm>n3K5)$ z$5Uc?f+s{Szr3_f<r@r3@xGc9<}K-oGT4r9hDRH&J-c<;HvL$E1NZOc(_8T7%L`4< zdhi^rYiU7NnX6~TtG^z1TsQh%oK1Wmv5xQlKBDr@fcA5y%&V)*!i9pf%*C&8zS66c zlfG+DaVfX&f4{3)`<^*Iy;`^?)Y3pjBSS($!a|~d5~m@UvgqKbiiGqu3k``2l)8Gm zx+NQ=BQwEWVdhR&yC#_a6iQVElmX^QoLA^{dSsf!sOk^btsVz<@>OM7%$n%wp^>Ht z@TJ_VUi3EA8pd6J^K!l0>x;s@d#=O8ECmxYPu(v+-MiPU>-Jbwuf<2_=<@r0gFnM= z2Ks+~(@lQRFM0cG(4ltX&10bxnP!8{-(4>ge+lijZ^B!YAKdkt-<w#!|7)S-)F1Wd za7N`HY0N(~v+(vGrb^Y%kC(e7TApiW3i+L6PyG2>^OLL8sCLWYx^0TRbQg}X<0|a` zs8so*XQ*xj7p1t>M;lt+%Z=!K`sp{XdL#SX8T5r4i(Dr2obM(iJc{O_p5U}iw3GP& zlV4-FxSv)Dmr-J$amKmzFs-_$=3b#^1dhwDN}{HljAyjbPsumjw2vjq?DhIib3T+P zm#kVyuXhb=)1EapKN~NKI~>+tFn)cfa6wm@LV4FE-R|wTwh--vZJw9()2-3BuPKx( z*BUL3nvEx!>WjIX2)1C^QVzazDbC#{IS(5jdQ-53jKPcDXX3Vh<_tILPiUDdW;E{A z8&7tR9~NLIR1-ZFAK4-yUzfyQjhV_VYuxJwkAqRlRaaJXow}MFy5Mw_yw;_1uMd65 zQ)T_;J^1;{SxTR!=N46IQY00v&>RD6WAD|I$Ip=?Uam^^^IO(uqL>{UtBk!HV>9X! z4KZ{q7cYZ<)UA15cJJz$?<Cun4V;pcDjUY@GelP5QI<PmD6*9pX;&gs<yu3qhx|cl zu2(<z>0D+@m-|}ul90>T%Tw2=k6*p*=T5Z;)pHgb%l2lRb5(p~$dtEdzH!!VV8=&j zNUHdKrN7<N2n(9OE1%T~Q?Th0jQ8ZDHhd)22=g_E*Jziyeq*YCPzbZEK?@@tc<ml_ zzFssutc^OL{4l-2s79?!!2PyFvrml;Uo!Js28SgE?Kb23nBlgM6vrdv(^DVsy!DL4 zSZ&I4Zv89kb2f?2<uI|DBUe|JUzh6ZNv4@0-0CANo=j^6*XT;mW%{a4%Jt0=Y()4P z^YxJ7!>i$|e}7r&vg-=JM^>qjy?`l}MWGkGG3A}-F~G8NSqlaa?O=;vrTLaS<SOwx z`7Qr^w&D-IF^ZGuxU_ni7lV9bN$$N!uk91JpIw)J-W=Q}aY$FjAX8rW!7o}@<z=sJ zW7Bu4e&NaWCSof?Q&5;P>Z2c(9k=da;s-j37cTI#Q|E3rvZ#Ld!COBHc4!h;izs3y z9z`iH(0Uqg64-xU<r_<JQ`%9G7BwM`d=oUMdl_M$rfjcNr=V1DPQFPTHR66$X(Xyd z>O$WWUF_5RC`#Sc`E2qK+bX{dyMO%NOSR3Sld;dnX$QLOZyCNx&u7$*W0O7TcYjt| z<$IV1ckYhAtFqQe(5)2D?wq}%zMvBC>fphZrYBYFC(JhUyfYzPnb7gp?vEPt-CX)~ z(Hs--fPMF~yaW224~-t(D}_G@vS8M&;-OKoD+3Yi^^qQZwRJ(tJ+K+$XJg0;o-|sE zg7c*(W_mqE%y5CxE1h>TRQ80$hnQWv9m3L7aN!vK3ZLD8mUf0SRAaM|zsP*xVLJlN zQqd><wQE7x^tmnQ^)q`^yIq(Hm`5SjlMJ)CztUu=V49hIg@p7{*$TqkGs7Rc?kZgz zq)3?kaPoOs2)k}#S%{)*S3!N9HJ+Y>x*fgah<Pv;gIp$E?Vx70*Bm`YCv9f&bK{3G zCfrl#AqQ86-n5|PXOA<hy(|IA$;D&eAid~Ri@W?KU&hQE>-NevNa#&H4WheOrdU$# zJ~1_hu+QE7l;?72c=0QxvP4N`)>`6wZZtplB7vpuT<?pq*haoGG{RkK`owMXz7_j- zbFMiX8(+ENcLbGsW(x<Vt8`wxJsGQP6lH<$?msf3(og$ZthA=95qUfDjWeIp1uUbl z^iHLhabNM6Z`Y7z+AFWKX!_ih`(@t}#&^{RJa~9j@7rCtE^O>XIzF98w*6joUZO-k z8F5nRgHJvxeExM*Bb1=#+Q5<{M;^BoR&={Gy~dU{-S;G2{Fe!-QfZO?c(FkVUu?Dt z-0m{RiWyy&VZccT%k?U8DUWEKXBawW7=iMPUPL~KK+_>q3ZWx~IR1OPOO0vJn;nsh zB62=NE{({U5V;^C=S1WZh@1_Pi$FQtn-?M6L*y)oTnLeKBl5e5{H7W)#4$f2mx1y# zMno=v$T<+XIFvt*gBt34lcyq%lMs0ZB9BGn@1UFqJ_MavYd_-r(hxxAQeI48FS8WV z8o6@Hdjl%YUKTAXn{<^dfhu)|fKJskDU^`dI&U-_mfb@vGUXgdJb^@K2z?ES(U6!9 zp^t`u@#cGAEDx2LKxHn_Q3ygjf&;l}jhN$&+Xzs6%==c>oV5=!iG}KW2|V`FK$>>z zK<D=$q{9t#p6x=_m_o?*DnR~nL51I-!egk4mp?(_$4ua3{5FIHK?wd4Kt%h2k2!Hr znCA$*!+Y5wCus=x15m|~(H%y}$PB7I3$pBhbQB=Rp^zdTq)43sDKbNf)sW5*<h>5+ ztGPQ+VF^@NiYSCmh!d)p`W>**fqLT()ZjeUw{Tg`)ArB5XdWupp^eRpK7|rzn2`g` zly75EAWpCHEsr-@kAFLE+m2?qW$#v(9=^|x$Gd~4GKTwQ;C6HR@NHOG`_KQG7)JtF zN*htMsm6>0INrd@WXY6;4H$7)9`G9MXM}$j&9+;-ue3cc1&6YHMq3fa;zmnt^x9mF zQUe8p*@uyfVl}-U35HhtaoI<)bcXgYf^^F+`xQ3qtF(tCU*nz6b+GP+{&_CW87%R~ z3XxkwIpH%Xzeda#hMOEQwis^aR39|X+?-#Jj0Hy_68t|0|Neuvb}ECmnzP`rq*f4z z#IC1QeBcYaw(C^=pcG!`kNA_7n=F2+ceK>0W2^aEr#9OW-^8LvLb7{wI3btUhQ-MC zo5%J8ONqYp0#OM)BK!|S_}P~F-?hn<Q(J$D^DvMs^2n&AUU<Lgn{tL9l@zhuvw;!P zMA~l+fY-EtJ9s}EG-ZQ!&wN98JG0|y=}>`b;&6d&&7P~U(H{w#(#THLfeq(uSPdTz z3qsO}nl2YP&}XL$rL8UM<~E&NCg&fXX*r&sz%3%Z{4&Za891G%eqNYOEu3g7ARJ0T zl`4O0S%#8^U);D9{to^1z2&LSX=0nAr(SF-$NG_6JKvZ26s)OV_K$wYpSJ%yAI++# zZm_VII-Qk<mu`Mn_d?~s;w>qTPGye?_4S>Q3PPiJ#9T&n^d6$4-K@e##uC}2RSc3& z=}aCkyZ%>!tG={~tA5Vk`<O%#>&1$2b44Ht<TP?=Q`vlIERM%rCdKLOEmexBQ#7q% zJIvvYrE~~yZlGIfIVvs^a*%7;XBc-BbbeKkt)3dtqLCpaoE{PD=9_V`K2Nv8H^kF} zrDSqnn@XEfmrQx9tNSbOBAYMDw)XF>>&%a{B?}MT;)~AjErfgIyVz<fxe>wd`Ktx! z3fdfnWf$UKE{<M(Hi{MF-%tCU$~r_F)*0rp1sa#$#PLSB;30j)I=-TL-iI~(SJunl zQz{G`$#Rmwcc82W_@_fQZ-E(8k<c`j9D0)9=wvD_P(w%`n27&tUi<B^h@snIQ3uWI zY*SXloY*YJE#a`v-Uw%!FQ4ITOW!W470Zv>x;?P{a<@k8^(MZB@}+M)zo5*Xs}VZ+ z$XPhVYvB{vHoFiyT=y-Qa`Js9fvsDo9+`3tA!$f#()??=v33<OWcR^n4aM<&<+yzG zVD><aM0?>?Mv;Sz=GTE)#|0u7J`H})I*r1}{8jA39@;t4)lIc~MeXpWyP^V;43)8z z$oLajsxe7R_uhoP4yhl}Oh|GmNF(*0QYN%Br#NF)@EJ31PPo@`<r;&}h%pxK;gy^1 zWpGj5Wc|VY_jc1h@@^?%Jm{vL*VqwF%b?TB8i1r?@E4EtdOp~1U7f7<Cp>Z<oTtPH zB~Niy?IzOJ!`Gv5ztF4hvyMu)dU~45Cj*5T*XimPV^&xGGUbek{FBKve$lOy8?L|e zl{0hsMb6(R3%w`nr1SeQ_H#ocN?PTq?QaQ5mD^yhQW1p^KfnW@7(0fdxgsfQjzHDl zaAb~6iKZ3YW$*K<xH=TYC&)RaNMn!u_oZ})Xd}5`_axuKDGQ@>T5R~-^H~lmYh`F& z;tAEa<wTa=Rk?U+QwYyb{$SI)?JB%_EJ1U4PKWR97aiIRPL8P@EEK)mU<MvWbcR7i zaRlC(0tapPH#S9_$0SAYq2wI!oqm?y$4|ZJR$ZrboM`@xS9%9$=<_jKy-+Kxc$lZ; zJp9SW1>G4+qi4Gf*0Xt>kLTJxq34Osw!iYDQFO4mMH4}(B_rro+Cj48QNyP{#vRH~ zUq)w~Ki_`U5U6KXPHS^PXW|N`*ASR*BDbYgNS*$B$`O8IO&r1g1L_ec&}?jRFw4%d z8%S}NSKMAS>T^55F>lWkJglLA54$VAG?P)BxxzZN8Ok6kO#CE|x2{WqUa4g4p<&E8 zp`*;LD9tbT?3#tAW;Ty+ryd?i{O=Pn_$<!AXHnn_YSxv`5g5OtBmMo|sU7JTF<#}G z6QheHPTo%^FTP*ELkyMbkT2)I3{GEUpM~<(N@LK6zRjGwc92~_PJ3`Mf&Jx)>Z93U zia7U3+^(i4kF|>iBJT;6_t$;P^*Y$1I#16Yu;<;q_8W<MDIopEEy+D$Lykej?#b~i z`(&}!<RwK2v3r}6x#BlRaOz+8{z#GDqCK(1=dndmeBj?e4?mWEq9;c?z9@{MP;e}# zY$5&)$7kImk0uDt-;Or%$W0~Pa$NG|y?eEJ6V%cwg+ji=1Jn<3%%dM#pVFld?@LIg zFS)u&DuDTyK@vh7RR~Uag%ea2eL$9wD3*SZ6#i5!Tk{*9()L`lB1oS@4zAM;th!ER zSlndZKZFaoeLD7p;Yq)7P0qI5&;M|_;jM6YwCfA*%5@UK%GA_}#FlMjXIRrbG^Oe| z-V~Ma;;=wPs(uag$*HG~>~w!<@{971`E6}3BNrAnY_^48D4N*dkdM;WY351@$KbVQ z&%WIgbBm;B-?ULkrgA*^EZ5{EPjoB`+6uo%1T~hS_x)*B1?}Ud9IG<%$JAC$Rz4a# z4HL->6-(9GZ}FpY%klNcd1y3rH+i=c=gogIDOgjT7rj`up-)eH_z0E-+9Wv`-Wf*V z3YyvV9uT5g0nGe-jQKW^gGTn9YTAGzlY!f|Gl$^|I45;y`Zb*NLFL$<S&h_g<$f_A zFmsmtHmMbaHt7^{m#S(`-p651B-ikv^1v;YF&}LBi98;g7dC}b<<fM4_D~6!yo@F` zJ;W~wf2Bv|3gH(peHxvbCe1#=e^8*q^X$n$WIe;fo+szb@grj?gFj*S*Ik9#k0fZ~ zK^wn318o$12PW`+b{v)=L3W?P&xC2CN_L$&$2MP~w475-xvoFP_;Lb%PBEG8!J+`K zKo$OGv){Jp^<l$!Fw(>kR!}eQR>ns_u1L=Tt~QwJWXh8PBq6aisP50C#CG1&+^^XQ zO-_6)>NxcHa=BB7M!lZ;JT2StV0s64_IwNL?%uBaA1WRUiBtxm-+9?HZ^R}OH??ku z5x9fNG8x*myHd5^cp3uFG5C0^^4mmK(K`NKMiNzO<hLM40k58Grr%06Lg_vCrio7l zCaFV*zZ7(u66)vOOJJN=2=DAY{G-+FtnKB>SHB@LZjrecpnXg#+P_GLtHFQgcU<<J zrm4}s&{hG_)w+j0slwExS#5%D@3WPzy6)-TdLj3Fi6SI+4gCK5BV6has=7v0&7Vl? z(3VadGTzeGJaY}#&DoLz<ZxblRij`{nwnf}H#})J!8gjIit~}L95=`rZkHWkhMycu ztGb0P%+S;|H~IWI;=H>YmB$jRY>NIw<EdrEc_AV7BvV1{hOUCocu450?U_~om*v=G z^m|}bzP<~H<I+PiW%!n5L(rjR_*&31s_jK&C^4_Il4@6SZ`ZS9lSr<j+2~u>!Zl*0 z4=rIxrn65nPjUym*AGb^uI|Z~&*1Zw=H42&Q~x#m5nqF;`PEJa-t7>9pNmkg($=@@ z`aA8bw2F+ylIw343Tc&@S70f<*mZT=tsfby{SL+maRCSX4J?=whlQ7=Gq}nkiBHbP z)~@X*<!6}`fdpIg9EU6iq%qM^QGYVUQVd0r?}H^dB))3r9@~Eotv_kDV>W57dPg{H z7&TY^EzVBEqbIN~7JcXTSn^#Sa`4C)k~~;6{J~`lpAnogDi%0psE9@v$vHoqUuX$y z9;O5@HF3_FE)#clEcUfF86T_R6J;?;uj?+H`$>J?LBKPK$!%j=WkMmn_$oKMB<g4E z729rXaq@=iqy||C@i7Tl_*xXf_~ZxV6Do?mbwqOgV!18FNy{!c$78y@DE*jwui9Y* zT#+libYYf83s=|Z&HEROTT$?bReo^XU8T#S*ZTKvJK4-*Pl{N9eo8o_Gtpglb$jp* zTyp*u;Gg(KV_bkf>u3e@s)8R}7<a%DH9>gNCU~Gjs?5i$ShL5g3#G5GQAdmo)faT4 zvbXo?S4g;66@-e&`cl4Arv@9$Uq9R6z>BPPtRWl~n@6{S+qN!cdC7Xw{w)%&cir*( zE>?Wyrj7m}Ps7_Yhu-OWq+rpIK?3Vt@APEG`4cZ=TkqA9O{u)~R^RCVnLsaJ(rQyZ zeszrzvEB+K*QcPxjr`hFYCl^S1rAEs-ICJMVZ3Q?q10ftEHel%$x#y}`lYn;A)&5I zx|4EFd?@r&GyL?^bmo`monD#F*8F$cSn1!DQ0}=-;!=Vx?}WPC3F>lV(B+^gHuSKQ zU+jR5osN$7Ls2QW^5ir*%Ztn@PfGn!f|^^;MKdeYMR(N<Xt!TvIBdu%SZ)w6%;_qY zZc?mxr@%Gy@7+&lIRGRFT;!ua|J<Mh7y6C+VB|=Wg3HaYqWQCQ5a=O}6mx~ZT3)oV zLrp4UC0}3lIBfdkW;m3h<iw(j+v){3qHFFssNP-q#K7XvdsdKbBZ_w~lzr6n)Oc$~ zDr^cPD>DyO%TI9b=SE~7;1Pt<aA>Z8|Nkw4E(^y5WA$?o_@tNRKuZolTz4Gnb^7&l zW{N-(>?Ei5g#?tAPXc{xwb6GKFKy5+r$_r{sTv4d%wTt-I%G;=Pnx}b*$Jm>ZDK~o zZHT^g4gG`_fBqi{4l7m!HRv+~hQ|f?tveJ0{~uzgdZMq*^2mKE=NhE3m-ReQa#oA? z1dBIc4ZTO1Q8LEpm~h5ok9ES8?f!b_k;iI2{f5IHpItnA;UwFGQH^324sbce0whi{ z5S&?b79g$cD*M8unHHT4F8T0qqoeCcC@lmYmxkomaFdT2oze{(Z^_-AAlg#8u2rD@ z_I%^s;^i{#-i}?p8GRm06XOH8;w=(F9LG1Aj6=sf^~8fR>jq;lrjt!xlQc#Ac_oo} zeK%!T(2GN~@Yp6h+ZsO|CZ+kO?)UGkhm?R+@Bva`^261w3B=5LsaGi|O1WSPk8yx0 zY^0okwboOByC;0$!0slW8dG%1Z7_M2$SrD0MK$#vwi3eC)D`{LEje2VZ}%Y~)qHe@ z=x-HM#Zv}m^m(i&SH70bVM|HLw?)CXPdrG!TgX%9_JtX>9V(VS^<EY|`Rihu=zc+% z`)$KQL3abj6Sk>g$AoeEb(HvbQ%5UT=_c9A*)?4C;(BW(yb~+_2=p8{2s`%zJtv(3 zxXD|<#H0FpK8=2c3oH?hhbit}Smm5t-l<&FYb#oR7m`1ncHVF1U3vW81D@@PM?wAF zY=i1!cZ%W@EywwkjFqx#50h~!lVsMgmt^2NsKwCT;C0{Y?)CjK?n~jN#hi0FTAy9H zt}&ta&t6+J(O!rfM{4%Ltv9sJUw2s7e(z~2eQ4^a{h=j<asEkIr>ep+g;uKNUqRk7 zyjv45DR`F(dn5P*tlLU5t6SmoZoaKwByB0Fb~t<svVG2iM4ya)-(d;tUcJcXX1L!& zTaL;%Ltsd>>#t;<C*jeqyL`C6`2lmRq%5UmKH5Q6?=sY#`%nJEjV_N4K6A^>mkQ-n zz8|+e6lAFW?z1=5JvnnOAKJQA=-9kYygLixMhOplEt>x7;GB03U=e~}4W5LvOAiNM zep4r^W#P3|F*1JslbiO*j)sbsX46<SVGXu)=L?l5v|58=r_vYM!qlh-^?&zgFeqrZ zPPtVTqS|PCXhY%c*9NIH$upjlJQmjbtarD@UOt)w&t_&h#Z-ulx@W6VqC<Q7`qw$} zUi3>AoN+BNW-@m)a6*D`i9p>I`mVx~48`)Dfrw94K5|#McX>uSU)GW0Uk;D@dCgf# z9cKq^sQGw~(@<qOu3c4r`9&Z$wx<>IWs?3RQVjdL|5`Uy^82?=0~4Q@0WGh8iJr*J z9P|fD>#6!}k}3S8X&N1U?7^C)P(<qY^Lyv+t0msA(l2g5|Eo8&+pKgF>n=l(H&!#L zg`Q}B=jgsq*MzoLt$paR>JF+H>8-?Hdu!Rl56k(c5>vrY_?`KLW9tj_nKlY)31_n5 zuyFHdqUR^mvZGSNrARX!@<?Au<vhA{(=K_{)Zn|YwjU8)Ri-F5PqcS|Ec}jrl)P?6 zDSD{s{Lc~h?rm33(`_>M(~yV63Ac_2CA;aR?BIK`t_6!V!;{*-u^qRkVwH_#$`eE@ zt_iYkgP)~sJvzF35r5Bm3oU(efMp8}jCx5qFzO%1fNegw)a{LDq?u;T(T;23Mseh| ztlS>3Jz9NuWl>;sw*Ck5+Wg1Umnk964V}@WBB9a(sx!V;7lOaVYFUobHicimdN=%Y zBYD#|8ga0$BdsEqd??X$;13p9RxqPz`apHcE}*(tz^o@#STXaZ$LD^foIW6x{5GUh zBUmt`Sp(A7&i;vYgSjyH2l{uLTJsG2cA1tN99s{?R(M}rWYXf(E4OUWuXO+Q%uCjj zM7<3+mlw-d3!gSyi-gjG^4=#UaxZNs4O@k_oFE&8X71Ch?7L^VNp}CMtgis8s(Bs; zFP$RNml7ld0g-M*x<e^J5RmRm$Du<I6a<uRL8PQR1pz5(kQTT|OZT_e_x*q0|M&ZN zo;jR5J2N{wJG*CgcAs-hP6uHpdfh@nMcOpad{c?I=z4_|*hA6^!cnX7RC(UN*T47O zsC#%C#-XqX?r&Gbt<g2BfE`!9R*<<`0LvJx6VM8DRE!Y74S|*coPrtNt|b3nH%l~G za-CJ0VHgT_&o}r5msN{TFOq*4PtKi5H}+Pt+si@aL?oAeN7a0E8O)bVu_aZdHiuS6 zjt`1Sj&Te>Kczks6zsVC-L54vN7Q{lE`f0v*yax-x$Gu_Dp_b{wUJuEUfb1(%;RwC ziOp+BLt|7DJfFL^G8`#l@ppDI?t2%nkk(lC?C*anTOKG3OBXz-&T6tI^B(S^<qJ=L zL?@cHvo#Xiq_WLptn2dL{cezzE_gC=lyU@SXMslX<!e9=zIv0_d~d9J2-!JG&1+iD zW^qi_RvnL?p<8<5pLGr4R*Pn@>xA4N&wv)@udJ=GMZVJvj*soByjh_i^zPmWgQ6`I z%q*tPUux+1#s3tfUyx~trS`QxZfOjjQ4t9iT!E-kZZ-$o>tCB9HFgHp$8&d5$CeyS zgUdc!{S?mN)?eGdO{CM(-r>zDaqL1xH_p9hnuJ|OI7>W<{X^htz&M_MDmMk*+Y9;V zWfkm$==Qhot9AufghbZGq}a&t-dj`pv4HHnQcnH4yoRO@iDx>}AKO~ka&IKdJfVc? ze(Xou96ce<&p{&0nkFbVZt)c7tc~E~xn-pc4u?8O$WzpV01*iS#D5T|4vzpGjs`46 z9RNnK9|nU4_3@(`C`sj_5m$WjC9^?G{(TgrMwWWH8<Pbs#u6CWA&;wNx^ILimt6!% zRKl>yMYdj;EwP@a+#96kzV926ABw423gVzq4pb8CcLFUr{VjJP!aD{I9p8HIC27}= z)tFqJ5ct;m32eP8o$Sx1#r09!k{hH{u`LPw>bBLS3gzQx^BXL9jy*JHvXfGOI$qkC zUw>x5;gxDumhH`IkW#{@qt>(=8FznOU?yWkM2~R_`#H~4cV9<F?)>gIrr&NL!{JQ} zCMT^XBDU9}6o^le>b$1mwQ-N_^{Wf+_mS7E?gz_~eZy?DeIw}E<8Db=KwoJ@?$%yJ z!20K`2^zDyqgtI)Cy0<tNq;o4?wpY`vJ6rT-F`7@vFGqKx?^Dxaa5A`78w3vkAWQ- z-=ci%4NmVL_1G4ZB}-LgmH^H0G`|rF${Dv?3(l59^APD<k|e+Ut&sO*JgBCzY07>3 zo(~w4(GOoWWmWL3@N}k_O+Kp_34#hZSd`il_f{8;s^LGh23Kb@iX>BYL)FLUTW2hM zLrycbY-D^ih#Mb(&qx~k3gO5sZj8Q69#FAN=Cc904nG|b>gKS3(4;^Sq_)jQ5iya2 ze5TJE;$-d5tg{6!`J6{|=(#^-;u8q0`rw^&%voBA(OSxz)(^FsowN=?Mb%JtdS%Mt zAMv%tua*0m8R8)FnOy;qPv066ku*5G&IL?j1R5Tg0vu=otk$V(fi18cvTrxOSfWrx zt_yGsQw#W^z<J)t7cwTh?D1xsg!W`<-k7xH3LNn7__hexD~jG>k|Yql`^2+tfBno` zj!tt8cn&lw3_@r(5JKm!i&|QKI6;se<#0S1&8DZQLUxC`uJfxKSS}16d1c<<j5=*M zN!poMgxe|GFOoJ|>|SQ~5vYD-eO&{8DS3#6q3H;MwNaXzp>Ok73E?+)DBMXobSfLq z62iC!o3^jHMZ=!i6%fRiks?igs~VLz{ve{Jh3t4v)7x}+$fxMQ3$M4(c;&HgN+Zxf z?u-^>W^xM&88i|lQ^lCmNsl-Q84P~EN=(rHWXODw5}HTpk-j*)<8NDVyXbsoM#_?> z_%*Tr(_yjTLEq`cbvwzjDZTEy(og4VD-|1^PvkG@f9OnYhrY8dpC5a^^+rK^-F1e> z3?i9%=#^wGzoB^8o#X=oMg1~GvyTZbIqor{dH42|V^8;G`7;cO|2T%(ctT1WB6DU| zfwY5GK8DvEuP<4<{Iwc}S5g%}r^VMYG-aw&v`74TcwMbgNeX<!Ee7~Tni*J*u&q%l z^}V+}&57jZDICsv5NU9{FI#+my3`EWxs6=hJ8O{s{v#pGEImJ(oLVHJI_nm-(yX<z zZ%Wmx1-{XG`QyCVoTP^(a(Jd5k0%Df5?yk~6>-@(I9!HWdf1_s&op2u*MSB65QX~9 zWOwp0a_3>z#3-|@?T?ek078;zM}NMM$yO$yeKcatzUpx{WH)^iq7Od_^oZ3r33uN7 z#h2FG^xjHSgyn}`*P0dQvMXQWo-lPE7~x&KzyZsnfdg`aJs}k8TkKcf<7CgbxU*?2 ztOikCOek>pI#`3RT<-Bil=F?m*wB3}ZNagyD-$FR=TpO8?#0UdI-N&RuWObp+m-b9 zV6sMcG$BgOB!$~@{@?H3i((BKY~#MwG{;R^vs8lBM`VwUXv`9>#69|Hl=RBla5J@r ztJvNr9Wzz>l;9lZX*47<jfwlm%xI{7PsFs(GPm6M=fL-Qu1~Fx`kL6}?XAcU^B+vo zT@uiJR$I+0m^V2%>m0J;E<Z9ADL-;zDNtvGpGZP~_h-fa?$3(--JiYqyXUK)irUQ) z`@2^w_IIxqxf%~*l8gi4WT&J51>||sMl@{HMj+qR8N)vgbEWRVk(NixyN_{9>j zDkV0b?J%_<gaYTDgVX(@-7qBU#Q>82bZn{|Ita@8z=!qRohFLHIyO`LbDuTRWqF08 zL}87Ck9=2{8vmCtB^y6E=;GIypo`7WK^N<Rah7br=~NY2%CpEb6Ct~0RGxiQqUY>* z<lj{Gu4L7bC}~LTAT6tqFYTp?ZFbw!Dv3=iYRl^#?`74FqY-O8Y3dq?mtmXY3L1tU zC-+e`_GX@8edev56Tv}nlGCW<LxxG=4%N%#%|DI}H~2N#X;p}+ya!sE8u+GX8hEyE zjaNSLGpk-dljDzCdy=)%Tzy=>h2?U<BcU)yQS?=gAzyr)?rSBLjqZ%yLu&g9>0x7( zr`mwkYa(^k_h`!y^=mxR`x{A+vP_~yc%ZaqzZ<2rBaizV-yq%PCQGD+U4#cFH<iTg z^ks9-(T0g3=(O!KEun~D+;FDyPvHW6KgMl*tU<%;Qx=)?j^OIKKQAW*_dJ8QFo?5a zHy^&P8n3plmW!z!waqA|Q9PF#9@YX`rDH4o+m5t9!}~bAF<Bkz)*FtX(<%~aj_Kg( zk(3MZFaGa)>PClPVKKQ%8&_Ncl^nA>;W$hO>twlk5=|-HOzkwkS(TMVCtklJo_g~` z!W#2rciw=HE@!nOthqhEBjtxZ7Ck0heV@?J4k>o6pOQbA%y9ouKlsZ96F6SOA#3`p zd#P7^$$Bxza3|a10%08i@jlBxI0VnfE%D${<Vsjf{s%<3-{*C08G35!R|>1X!Ni*c zd9cPOoU!@3<w!$P3HkZtUE#BFkUL8NL9(tAcov+6fzjEV1)^}V`I}09--V?>S@wVK zaZ9o5*)7SdBERul4^#8|qrllP{wXwA`smRXG1f+%_oC$af@DQu>UMWbZiAMtibmCO zqGM%DiE_8g?~!x(;ksYU*#W3Xq6sW=)j@Xa_Xr4PQK-11b&BK@5mKVH*T$p2!$_;@ z7duaf@hP4xG|k0s2CJ%1&%Gz=T)he((VSepeiFQL2UhT=K8LvEFb8T;Js&=P=?em8 z{~<rrrozDG-z)6zRq}5<js5o;{x_<g4eeh;a@k49dzkJEi)0{O`HmO<1(1PB_5lN> zUmVO>t8}Q`7BNt6K-|}H$x)T^Mis;GneAoO)qUNc#tx;NoU+xHxR8Wm*_(LLLBr?a z`NPU#178>=xC8yD&tJS7#_jTvHdXeMmcHpmEo;iW#pgilNHyotclZ9K@A2ZBQtlZl zTgvoGL7~g8`hZFa@vi6L$VX;-5p;tyJVy*N9%r#uj((3Z3uEOg)qjd!Y;bB*i^f)5 zjCm~59)~-Pq()MV<PSl@<BR#jNB-0?J{u(GmRXWCBGEB>4h2<*VYit5WQs{kX{%ir zUWYDLw|LtdlO0v-$9ij$%w5t3tK|lSy=V!hI@v$YJuf>{wRH@$G@d0O{#^|Df~ED< z9EOrBd^gJ~5lpXq%FL+j)LoIe&V7~13jW#xGPmrfqmeVF(6SGW?hNDjl_Q?Gl@(W- zxfQ(0V%KGTXA|df_>ZefqGGkAGrDtkkuJP!v(Ko=>w){DMkCL@>l?#GmtxLS4e@Kb z!#R_}7%8uAPwj4r3}76599bB>?l<WfXRaSJd6*IEMytAk3_I|?Z<GNtI(|We!-u%Q zk8-lvqLEMmNIg+|p<?f7u`IiI)X|K0sG}LE;~TlC6C&n1s1q%Ubb(q<@sW-^i)Hf| zkGCB3G#hB1PVSd@Icj3wS~;fN#JZpmWf(cb*>h}LtqC{jZs8!f*p~Og^OG6ezfzyx zA1C__MoR&Bc4mP?1UC+ZsnK77^i9%J1sF9n(0TnJ>wHS(@y0lxEP$`YXAQ3YWJ|-Y zgj)(S1x=Sbvg470I*OOOO^L79*{EYI7CusOzi8s1Ew{n=1;qUU;!feO1AxylF@PBw z2W9jdsJ*a&$LzH;ogN>C60BcjyIH|eu(UeZY^e8&SbP5E?)*6+E%dl)@7C|f2H{<3 zRnp(w!b+BEernNY%@`+r!^@5Vt7|?#`2w*y3E!vw<w*Vw-Jjt(YVV)RUN5S{Vml}T zC)!036O<xfy#)?zjpth=nj$P}I~Jafv1pYjvTE^Zg7NVe!1xf60G3v!rO&d;Mjb}c zWKe25WNC)FLW13xD5~mAAKN=nI&(Km@Rs6n=Nxu6l$;MIj|&?Ii;QT8H7`2$&D$VN zI?qYc>>CUGiC_N)s}ETa^4WrEoou;ECH5GHeua#|p!bhb$GaCkTd#AHXV!F0ms`{c zjUscqoTk(6t>64qmUg^?{9a_mG)<HC$ewpO9g(!72xEt49hZDmki`7b!`^&cC@5zf zkkjm+iMofx6umKwV?fwbAaRu8#$)M2(4YTcG^6Xs@UE~8Q!48SNldfpmMb{b@=wQ} z!C#~K#mEH!CnEv5Oe+kOkAd!vK%ungNft&}{C|XOzj%1FSa;+W3TQNmpomY`%XfNT zd_KJF9FiDKYOX0Enltm9A|jcDCfYsp=Yu338k?NTij<8Kzdwgx2Gk7>Z!P-9uyFrx zcx)Qd;{`T;jlmSe!VTOZo&spmgAam0m7b_lm5WeZrg(BjgZ)HS6kuu=HEZ^ho#<>u zLEkFij|3@`75xeYjclw$2+I{133GFh21g|}&snW2o|VQ|M$I@mOCE7s`q?B76g~1@ zGp4yQ^^Nc)+!0CN=zjKqlVvhP0_2}y0rra)epl}X&I%}YTt}l&DfuN-O5PKdk~c;j z!J%kr?Sy#68u^}T;zM->p)Jp#pL3$eddC}No`K^`pEKt>?)$c%J=7cY0`r^3>#crk z+T`%d4zXCL-!5Ju8l!b!qIKg!W%NWS8alN*SWD&}m!>6<dD+ixHLd1_=HX~ebUzBw z=L@^CL0ToNx@M}h^@hd{=}OpIRZnP=+sd;?xW7Yn2d#zTGx%H~Bq?)n4=fk2dtdJt zAyv<la`(M<M*RvYnmR>G^~w_be##Fceif?mS0*K;dNZV@{;(jEkWYF7j@CR~bme0N z=MaA50jt;F0E<z$84FwT8#1sG$#~=Ut&{luP>oG?wwrY-3YMBx_YSl1zHjZwdQckJ z`KVGyi|rpm@JEDs=LCMXewM*^aUelNCWUNMMojj>U0iVLLh%Idr`Wz`#Ru{@$smu@ ze-3}Widy=4fzfUSOv;xGvM7nv{gC<6u_|&^&}{fjz!3$`rDCbPEwASIE5&KUET|tA zva048Azek?HSTUnUlzcvBl5cX#DBhr2E?rzmt6UbLC9T-3b{Fe<Ltcx?%Rk;8UU1n zCTb@1>*ToR*?Y}`z)KD(SOK4r_Uef8!9R)jdg3{F%;4QW6nKJj&=XeOVY890=Vl$- zz0h$4JwV_SD_1$3WsEq~*T+i8&&mT&I7aP<`GGAmH+s+#2;lIpY?dTboH}g~*-yta z3K9-kFT$Mr<7CO4w$!R-1=7sBX*MSOzRxr~KFDfIik4pzUw(q*-OjTSZ%7(RPveRM z89RmEHRK)kjGTBP33*mp-x#^qpdFeypdF*AVaSgfh5+@)Omo?m#eXVf!091rvDyAj z2z8k&&R#_qeEml(=y&L3@sj&Y<Op?TIAOh)XsL~iCCvq*wno0+p<ezq!|yy0_;_2R z1Q{DxG#Z|0j29sfuWLRdHyUE!`PutS-VIBythwvnv#p##Wp~PtF)~UsNpyT`Lx1^j zT$0MW&vX5I)Q@!)Rn`-@Dr-bL6hWi|G=(LA>|(bRrtAoKM*XNA!KFw!xkCzCp)%Ta zMp9Mo-?=q;_Qh>ng1=Hw+`&qKR%&pH6|c>agx2z0`SXJdxa2_~wk8cBeerM^!*6rY zks?$;tXddbG-pe^vz;$u(vrWx>hyktZ;ikPoiF~Tvdqj6N}FJa*wH@LzLvl^@xFBS zB;7%NS{dI4;WFV{KSacB^REPNX}bK{TPCiVR6~2N^bSkGV^>QimQp0dy}R1cc5#MD zaJuD2@oVuakNiWV({upW=Y}Z*dBKklE$f{?m$ZW}`Je`zmF_8UR-FI4jfA>OBngqS zhEzTb7^?bK;2MRq+_5?DC8_dTi8w@J%ksw#6lIsbHT4@^fLN(i0@S;()2<zmPkbph z9549WxOd&!2BRrt)FvpkZXP;;DNh`ZTJjQG?s$5i1eD$!cJWW=(-Gdzu{@qJzNDGU zxc0P*lJEXuaFCEiL$GBkdTeB`D=`lZC7fKCa+J!?8~PxmE9JqYwKWWq^jY2rw)OXJ za*ZA*wWSQhasH8f&lI^ho}2lcI}-BlJ+xw~kEqeNAzt$%z1KycVL07;bgJv>pIu#% zk)77WFg*pbt9{5#kLZsfgIY}y#uGiS>Pvi-e`|gBo}t?jmyy@NEsjk6ngJ1}ne4uu zZ~7eDAaR&qr#C)7v$1Dz9ZLtkAUAD%d3|2$<GN5ROLhRu7o(t_e%mZ~Vs}D?#ciRQ zmCm+l^1XK6K10g8oJ$j&lo1oZE=&nLfzJ|v&C1m3!C_(hj2u_g=@l+85DNOhK;Y=I z2>DUF57R)X8QzwYTP1<mqHm)P%toWmsIr68s(4rSOWmryxb{TZRW>3hcp>vOsI&5Q zdi@1aXKKExj^AQjvwaS}Ls_{A{0Zdt2NQGLjMw>~cjlwv7&E4GrTL<K3rCrR)`pP5 z1=rBHlr5dUi+|wZD^7!b@*x2!if6<QhMD+tuxTQzLsw`)PU?9bg_Vy-D5U2=a4>xy z;BK<LEG2rQxS8IHHFM&M2KmVjmXj99<$sIK*_s$_t6e~hkPjPyn-ePa+za1IxB56k zs-c{45Vl2h@EJR>qzhRjMOvLSOy@gG5l}L4B!Ph-Y~JROnlSgF$C@TZ>N}ztMQvFi z?3>%Y=pnsIikWj9@ZoER(%^Frz~)xxu!Ck4yXAM=%F%(g<m;K;j+xZ?F4-JrJ^D-^ zGVIy(xs>1wpKDBnS#vwK)cKdDs6dByehG?1``#no>Dba;+}g{jx$ZzBlkHoXuqk6X z><4FehuZ9RNTtG^Hhj?$Y%}M~L#EOG_z0mHs1J!#9X;GXIS0XJQ-{wbs*eZA?RK=K zYJO4AV<1op71#BbVAK=NobwIcSv4j?FwgW^J#giQiM-mnBp$kzsaygRN#63qLQ-a0 zJ`hO+ErYw3V%6WDIp-YOdf$iitUeabB&BS+ZN&~asSMq5ha&BE_N8hp`v$Nfk;pBJ z%2eg^`$Ii;JNQx`d5*<0Ma!DFZ_K`}Te~p}N)h*Fc!-e$c00;a9;P&(0=IjQnh6ks znPc<Ik;NuHRK*ze3oTMblXJQOYG&ItQXZB*RI|Z#^Jug2TYh9n#Hv3gg1h>dKhx)- z2m6rUq_LbO&oO=`FkC4t4eI$h7&!34XCAGd-c=H_UJ{rX{re>bGSF@(MT+>vcDIye zMN{;E>9)KS@k^h1Y;T+#b(r`&<%XL`Qz_dyG;g8jfj)J0zOxWaJgDxcI$e*hCk|5c zS(HZr9pS;}8p(3x1G}kNsaRD!k;h|W3r|yW-NLQ*?zHX`?CPpvKoS{yHeIo1zHL3N zv6dIp)C;Ua7wWC*<`)SK0U4d1{e`XSFE2Q|)J+@D_!d6$8oRRYJ{P@swD9pk^Dm-> zj}03c=obWC6g>X<75gd+mcr+b*#6ba8N?TGOUdOm5g)D_{^=Fh0t+q97nrTk#%2rI z$!QGqV0T_v)Dhi35USX}wb0^m_~MElex<trA%uv<AeV`{+b5yhBFAVg-x7QXcQH$v zFDy?hiY5qKx!sQ-j0^7VGkUb^q6&z;YoO&p^NIO^IplE?zVLkM#4!*mESkV><#s)3 zXyx{B|I_Ld+Tqx0<(=2@0ZOR2rrIracfW$2@JDldk$H?kRngog7b0DQ-msIyXzpgJ z6T*Q3!9Z@r;fo8o2_mTb(9z{+9^>NW1W_sMI=tdqZlOl#%C^<f&7IdjrDFfdLQR9a zG0p{1cnijbn&mZN>yFC=MB@3nB4bZ>`-~f{Wo8Nz-}U+FHizY33XtdUE4tnDw95%} zsCAM426X+g;@V(=Si~21H$3$+T5@xDFhFu?vjyuUhv@p&iP^waQQ&po;&a&Z%}vV- z=Wq!70@w2TNoxk;gt+y33*gwh2A8hRtu3z;TCbO#=wRv2N6*nO^t&joX-`}S{JSzh zw-yDoENr&GPojug$WN39uKEI6HcMQ^cXc69XG`x%97jOQ>SoLBlZ_aNX;%=1TtC4N zY+2cC!8n<T*1g6a{3<$d)dGViruK!lJC0ElJ0<%VyTqxN5bP?;HvD4%^lS5)V>dQU zTpjj&2`EO|4Pl*p1YBs>-}f>wuj)Yaxl_eU(XM|?0T0NF<`$xXpwdzx0qd%&XhOKP z#rf#r)&1pCF{}$w%j+8p*KQMDw@;1-uA&02TRjPVrpGYtB$xN`o{7RT22Wnlg~ga% zd`=0ZciamijCR`;?cC~4Q01h4B3$nK-fgZy6Lq9K?rGEN2SU8F4D+y>>8@!0qwwnC zug7ySJsG5U(<0Ev76I~w=Uy7hGGeuuH?ivYI)ja<m!!D~_ZBEpBjyBZ#jrZ~I?Y~C zFJ(OtdeFBN$XkZLY|+|YM)iy?#~e`d6=)=Wm9cTUBR3upiY`F7Cqy$Dx+oCvg_F)V z_^4fvCN&~Ui02OA6t?1y9&D@PQNfloIUp<vl@<-)f!x6l9CaD7IBZS=Bs%`Gx04sa z4tj{DL>XPMANA4$$%30<SFJdnXy_R73|8DHFzblfpa9GrhFbE}kXD@NOLTlLnW0;| z@Ehdth=7D9@&;0A<yIdY1|bUT2*2osKTiBXHXRk<H(g+%K6wM9v`-riE;Y8AB3c_Z zZV7}*ahHd`??w3CfQ1^2n?I8>ofnER<XS&~4}gD}tOA7TDjIj-X_-xlZ9y}nl@yH` z0hp|8JY*8;twGASD<^pMoZj5P@z+9YGs2t3pVMp&+P}>=0I+#MM?q`1%NF!7w-PMM zQ^){oaRBB=4$ua@KoY06Akqp>_ixY*?ZqTa&RR>9TKGyK737t=iC;?$o$iQLp#?Ef zpnf?RncQn^Sa>Yt1&BW4tA+IaMH86}8Slb^Nf(K*SliF>f8(t2Se0@{8GT)N>=}lR z(fy#n9q^i?wWSb52WV4OQuuxY)_4HZ2K5RB9|g@*y~8<%9$ImGrnE&m$pxeIQH9~q z*_hmek7Yj6C;#~522SDoR-9b(8yj!CaP<_{FhExqX103g#s-nTmBUl}{zV8gAxy}g z>>2)g5nm^J>4JfRUml}c66VCCX-+Hd-02`&#uf5PiXVu!sy8M0nVeDDe$qaS;*_Zo ze5Ot>62F2OEVJoNA^}4#Yw*z{rH5AVTmcwc-%_R3kg-A@7TF^uejyU;NwnS=ywrB! zGdd1vjF&W{B-RU=&R;my7_nLJY{ARk_!CXzvv0JXaYl{IqK8y^0OkbjiQX?l{d77s z>qnVQfOZVfHqlEd>|=ut*}E-`W@^AF1d)v3OZ%8A-7zt>xnq^e8TFAr=%`&sp|$;; z!jSQMIb5|)gwl|4pNZ)`7Av^QJO=9vYF3oTYfAyPX*MB}5qarQo|W70A__mEs!6ZL zi*D7?)wq-22n6xzYuKwKVal27i;a<|My%H@JXRU``|~TsGrEx=X=vHRn<s!Lj}q{I zUHGF<uC9!AGoKfRqn!-oQ}glMnfW9ZN-gzXiEtW!q!c~Gdh%~A?Su>}=UA*S&IEbf zs7NI8V_@1zZ!6j>U7haae+njjU_q<HmNK=pzTH}4*VwPUA`x;eTRbi2DBe!GU2GuF zIz4ab=DciB0?mdvTQm?*)*5n;e0^;iMDRsHmm=fWl4cIelbC7$By^egUBT~%upYL< z5*Zc{Zj8#akutN91R}{Yo`_rKlo{VNRc0g68fq66tvb>QDPLhwEpml!&oaW&p8QO} zU;4bHk$bcR!>%PAPQoXA>gM)9D~(X=4i;zDp~u+X&1cZ3BK(x!YKX8sN*2C}0Wo9T zrRfh$g(4kJmnB@2g|N^_p+X`A#jij)E~G&;Hkq^uB$)L5yIX6dtk1Tv55>FT{=3}b zw-1r4aS3#<wCd|BbHcL|8tVAKzr2RJkgV|bPYztt$gHx@4fv8Sv_=WN9LJU;^$ngK z;a>%EiJ>Oj%(o47orU4q<@Jjs3Rx%n?jD7*9;UjMx^!!|{3uu4JbGm4<;)NsUQ+aO zk)d3s?`#L<)J-_*8#=SqWjhX?gxjB4wlaO(lB_t2{n4?48T{Ntg*XYHz~8y1^~SFz z*R9<?qIe}!ykm-icZ#yrm$fkw4Hp7#<Ss+29otZHs<X$$&vJ8SUE;T~I*wYW=20up zwz(+KIaXiP*E$TQ=?U2IW<)T@r`C$kGlg=AKZ(lH#4~zPMDA+e%U*6kkWW@`Zg4-W ziydKIYw%rM20pYxpX5@<uqH@b?m4dV{u%O*VHIn`T;#*l66(Zm&R;b)`n84lMypRs zR;TNrA&XiYuiE~eufcWIp3J5+bwh*bB{x#{K8fQC3e<fYe5>K=BApI<7TZcyR<G7= zloBk~{iq`@Y7M?{_DC&qEDa0)+gI0^P!<M?dg0c?!BnOq(f+B<tQ51ldY5;rXgWDX z%E^GLuc4MrRm6EB&*d=-_$0D$>{E|B_eRE`Jcr*R((6Cp78b&cbO$0oh-h?C{xbb7 z_5SBrp3!e9O94xLn>Oj~^rc)STI7{`YD$UUt~4j&1JdC8p3u&-sGi!Wse+3N(YM{q zzt&d<W=b${&S^?4!fMCOBxP@U&>jV0IB)gP#WFZlnnAsc!A7*ghEH{!1>L{kv+@aM zLuJ8i5&N*o^4pIGf_&H_d_pE?$vUZ8)ruIjNQ8ojsD6d1xD$ph%RP55!F>Cv%`K?{ z9&Y3>ZGQW40YgMZcn6vEFP6tvcOr@~!{vj7QiN~cdR#AuVL-HKm=}fL6tc|u%SrC$ z#EZ>w<@>oaVH-)dg5`}aFQ#(eULZ}{nJ1v}J71S;mRZs0jEpG4Y}Zm#lA<_^ejax0 z5@?AXpEyky5k1bLo$b{4qEz~nW)ha}t2#Xye8VT;o!*b}%Xa<B+%(aJPQzPuuz|6= zTeIjNkK-n3o#c4d7l?!+-y;y%sU9jKidhOzSc;T{eTVdWr62JzvWFAbD+ntzun4n5 z*dL~IHF_6=OSFjov?&U*W3&ItZFtv#U8E?ipu-vo?!<H9xgGJ~BV^`7@%*`P2|evz z>2!&8_7#SzjL&yhcH5@4h*)mM8EX;Ie`Z=SNxpNM@GkhnYjYz|&3L>E4-|Kh=)$uS z_nCWTSDKz3n^d$13((rJt(cs#K~oC7ZPD6a%0WebdGPPHcT*IE-{UC@B)FVpX1oi= zt;uZxMX+4BN+Amj5TvpM105(iS4_wSsqU<pED2KG13K`qV~_OpIDsnV0Dq^N0H}B; z&5jEck!umXoQS`l2Uq#VYN#meTUG_cPMFgG+E=*{TxF7lDG&ZQKoO-vPA>fM8^y?X z!I=-K?gL&*cI+&B-lxDm&RRq_kmiQ$*bh9uqyfrhz;o1t!H(S%kgEgAd4c7^&9gzt zjIywT9dmpx{MVy67f=a{vhcTBX6;-!vvnLT(0x*~SNa~?lp<g%)Pjg^sr3wK5xMB} zZ~>!i-dkZHP26NzVem@SQ4sdk09KYw(g9lYsDhVp@=O`^N^kQ_$pBt)&>?K`>smx{ z$vr6BZ{A;Fa7*4~0$LNWW8+SnKWE1lo;A-08dc=N&12#(fd(n@mw9jrA*o(zB~f8i zw?n|1%meXLK!dFwIM9|T(dEssI?-Df9^5x@lx0n|i1Ml9Isn^yjui&<w{XT4hTu1F zc2K0LMf9|vSst`kp1B)n>%>VUQkN^QW&e|edq#1!heSP%d4DD1<7NEvuLz3U%-ww? z+>aF0-Y^-@y-EEhk9ny&10m<)cl4&S>=CEhf_}r1@l-YUs|+@fLh+q-ROf@jwHcmD z2~6FuQ+bo0Dvsv}t<tk35*MXP+s8dWjG1_0va^1^BVoH5Kr-$#rxPYCTx9=8H{O(x zKz}Q)#atiu=;^y>sTPOC`djgmDH6`y8T>zMY@x-kJwcp<6`X?`Z=h%IR=lcLylCU` zE5rut#Y(mRd@LjHSRlpK)GK0vo?#UoxwQXIy<qJ7(yW~<+q09@Mom{%&rHD?%+G1E z5;uQ8n4iytjAe~Gn4j6iP1V1FV0<B9?EYy#uU-|TVd|znU<hOkvVR_nb4_Z)n~_i) z(8uDiJE!ZJ(jN~fgSK?l2MkaM{<w#za{vBc(H3Rx)jJ<jn+cvy9@*agct4n|`f|Fb z6jj`;>++jd&vd3VKJ^Q#xJ60L7{%=tAOGFkd6198?(ERcF^Mts{``#l8!D~I-HjOu zei^)(rr52phq+}_f@R_!VYjQ#!m29<-?Ym?^WS2KH^Oqu`UTZu`kmUzcT(W-iy>Q0 ziY|y&Dg)agj77U-c*5eZtsd92yYerEynOzoUiFYrsm-N}SxWJGTq1NubB|VKuhgty z@f{U><uf^iN<@7>IuWq~Lc)B?q#NSXZ+^YuRK2$_9L9ou95bOd@{8z5uOm*FD)DDK zL}%;CE7}p~Giml(fvC6a7t4`e%3bW2dM*?`pZnusyr1pP9p7$dm|CPjeda9$95ZKz zcPjvP*)i?=_p&ecEvjF3!uIMVY@M1reX;c3%l1SQXe$xEXNvdZRbdx4A!qd|B`sZi zh|PFexej8TcFKg!1Pg)#s=GZ0oMr*gAZ6O-2aAJaDzi9o-sm<a->ymXV@|W$LCPeR zKHjj?X#4n2oMr`slU#-0dBaYk_Y`FlA52=RD7g3WYS|kkZzfyhPFHeH-R)DTTJJPp z<767kpJCO07%g2WkoIo#9ja7p>H(_smw7m<R6CAcU+$BthXRWwRUsrW`+$8ANUGQ7 zWk(T>nxCVHL|I8IqIFWX<K9_N?mBN!Hn8OVWm0A_rz#XER_Fq}0>vuTf0anEO99m& z40yRv61ICLQ4)NZ&Ay-{e25+Z;zh*Sdw3Qa#vdFh$yor_Sy6Trx*k&J<wY??=dz*x zHTMXhs<C7-M9EJ}uuDJ*ZZYpeNjzsYL{%=%Fx9Br=$-u5<_9dkpEpD&itb(Xx0_mo zBBE63jJeaUYP_5>3jAwrJwpVHW69ynGJwX6E}3B9rk1^z9xodxTv_aC1%+|0P(0Yd z3}N0|31^DCD*9^lNAh;;yxJ~PyubKTyV$^#&*@5mxwd3lc}H&KpN`=kmUViim2k7T z=Av#RTWG=B_;h{JJcn*h*dm9ek9dq_YUtXcJI?oXU**dl_1ubQn67_{V;tdAii$m& zm)<KMmsNgrw=m@E%yM_9nEPD^>4;6;Ye_SSn=70bn^EWMsi$2o@a<|FVI35A5~(u2 z>mXY^(`|n;#(U3-q9$EWB3fmww&OEZ1BH49f0Q+5;v$zxh~_TzpRXLMp?wK^jDdlA z`%sdF4Q%C~J2hLF>fgJX3|m+iCI&VruCaE875+;}?hZ>NMDZ|E#^M~3qoE}y)w#pe zaZ#wN$Xf^HNhBUHGC^qyG&FNFCoWHWI}K&@8@FNq?^Q>e*TF$Qg3-~=s?pI{!K;q` z=Px&qf+|Tf4V{(S)8W5)BJntvg#e*40JeV_(82%QK&~|OBt~!8-K0qm*iA;17Cz^B zc$xv|XeJqC|3&-xe`vWpVK*62wEz9urGG^OxiWM~f!;9Iq)<;7$v>7!_JmR2ID3gg zvm|YK!LYfl%>Tc}U1Fe3<^U<TvHn}pN4Eb66!wA<|07Vv3kc+}`$u4m7mVs3bP)>e z`!5>QL&|{a0}Ur7rDqsuXpG<`Q4jya0&*_@NJw*r5p(>v;dI}{nrQ;^U;ym@!M2|i O;0+VQit>N~^#1|yXqiF) delta 207974 zcmZs?byOU|w>3&|2o~JkA-H=WxCeLF;O-sV-8}?%cXu1yJ-EC3M}GIa@7=rJ`=_UC z_pWpH*;O@bRo6`UJz~!-BA$XY1SC2b7#J)V>+e}9e8z_HLrgHRKe?a47?KSfAgGhq zT_NCru}mFDG|B0}^L;g^zK&$xh8C?jL{~8)Vk;|>B~v&<;hb1VbLIwdR9+^u=MBB# z#TtQ<<vDqR+ay0Q)2?|Dvn-`0mDHm{^ZC}y)zv7<S|Y_prDJw2sz}}AI(N)h{s*oO zT>g!BuWRQzfR}JgEdKTBKrpv7eWfz@c<Bvz8GYl&1r<DxskXOn@EvhjhTF2YroJ{2 zSijw`JF%pk$=i0wLg!jAuD^RX3BEFCMt0l<<sLrF+`c`yyaMzcPH$?3+sE?l*?`?7 z-K~@yU~&Fzha!7+aDR8VFZj04w7q%F)sl)J9={)%J3jnu&Xb~dZD8xgpUJQHf)2=b zY{*qS>xoaiSMno^w9nDRop(+JcQ+0j6V5$%KCdv&%=M+{RdOE<rXQU5?|QD6XV&B0 zu6G{QADod6PR%`dT#g<*pN<*dwK*?$a77oqzDJw^yg0YP6TFeREt`>VBwk;RAb-T) zOKp0JPIV4k+g`k8D;|4PVNvA&U<WkaUmy3gJvYwZde;B$(S4#5VOk4UP$PCmXW!qT z&>Z^e;R7Jr7Q(c5k7<X-zdd+x=oI-8tB|0&S3*4KDk>9=J#CjBz6y5SJxp{wzXj}~ z)LM0>ohFM<4Zba0&P4|wyxeX-A^Y9RMBH{czCQ_YJ(MOS3HZDy^B29Pp#VKi<li$G zC$_t(kD}gjze=9te8F?RQ7H&kYZZK$e!m&2cYAHKgN<CiJ6aKJYiZ1#x|qom)Xwpr z%0r4?k7(iWV6|*$!RjdpD)S_<Iy(Kh4H^|vZf(Kw3RRuoz;NF4V76+JIneFo^SbUI z6MFZs*$Ur?0c3hG6S`lJ0khoozYHjy;~REftPxw&y2Z+2KJBFm&}w=fM7Dyrp7{s8 zg-{C_Oi!(A{0EWu>Gkp3kI~5a8*kw@1gH(u^y?O0-p|(a&-ZQ|oq{?h^r!h0bw?C- z7ToV`O=8!hoRLrQinG~oO@v-qtJ)i0>2Iu09GNq+f*W3~xB><40NXR)6Yi}o*01=> zmn*&3MG?4+Dw9D}N25t2&q*U(rv{%Te?sv?aDwWt-BSR^si7{X(Qx#O2VGMDtR5^L z1Bv3#clx6&qRjbdo?DyQPC_v%PX9M6FUNKly}O&w28CADi*1Gii~(awpKny{*hBsd z+?r!1{&_t({;2pJ*p)p#5utds_RN33jkewXqIs;e_x$sGmd8_~^I)guZm*2@y+E+s z=goUx7l<CC7cgmPxszLqA837)H#-kd2k|Vd7q46BaQqP=L1$g6ceT{Z$k49KUD{cZ zfE}QBCn$5CFKy(BTy{S`Ve^<ud)I}P7;{z0^@j<i49Nk-e}}{c7{;;m%E^oec;p|G z9jn|+fEI!cucE$Aeq(PC6QtNt!tD)VF8xJCMA@J^sCu;~OIQUGGpQNOfJybtWN4}e z%s^<bJl&H1>zukFHaMg653;qrVx|QL6|w=;rWBP(Y|DjRVrVq=l6YKZV%CLbT*fG# zeMLU3&7J3V)X@n5MOZ~YpFt{PyZWcHNz`u9_b3WGrgT*9gf`rl$9^6m)6!BnK`qJ` z5)l;jBBdUp>7V3w<q-iNVoFz0vxEs?S4R);g3)Ti?6NM`p4ML9vKx^feY(IP-wqSb zVQ%2zWa!8?m@#W<8@&b<z^_MW>$y_?Ks#x;GZ8z^i4y=wLC8F1EMUF>&tVmO<s^wC ztr~$Ly)U5Q9FhY$r&oZ)oYEze=@jxQwOruHZZZ2-Fc0||c^5tx<)J7Zo9>2%xzz#V zqt=uZl?e2s)HYbQjXg)9y)r!RY<kBI5cNL`uT4BsA=eXQud&0qleET-sC|98cln@= zp*F>UaYlLX5t>|-#UiEg8Hmj$a;D0NynSs@?T6J$aC{(|Q*M${1kNiT_l_T?W-s=V zfAi%+<)Z_)<GQ+8P_rGGw;iOt<S3X}3j6j0%Gk7<yWd*cdQHV&m@^bcvTtr~*n@h7 zDkLYGQ+_s6@E3mQRGM}aR>i9zf1OJX&I8P-4w*CX{xM@%gK9bc!!-I}7WgSKKgMUF z)lA#v29tZ=DQxGR?C=C_Gd8md<`-sG53YuY?0MQTuQ-f&A=CQCn^>24#K2h!W664; zutcxT?ri>uKe6KvnxS}Pmz*#%;)>lYksPP6V=blRPW;UTnkA<~b1RJpD7i@-mt<uL z>Dh;I!3_HmLgOh9m#oTCR)!|Y_feZtRpL{mn7d+&CFLkDRH<Ygg!gLF)5;jwhtob8 z_A~usb6X?qb7-><H$&Q(&XE`XP!7>Z+c5^Wb)F`tGG=Hk9v=A-Uq(f&DwD`%5tl_a zXy?(Z5}zUc*Hl&3fff)AOv(RWvFRDvhdnS2`>p;H+ZDl#OPf8k8Qq`YAN~Ks*1j9h zFDoRifcKh{jDJAUW!{d>`G3m%BMkb`@UKitW)gg%rP-aDM#jKbLOT$7C#5~m^~sSD zP?L3F@J-iXA1+46FifK4PVLglWE+M{9UW(1lCpFyyqYn1{}x?^0Tq?Lvp{B}!(}{P zUp}~}=;|rZ<uCo$Se5@nm2*nI_=i6#RQw<QRF!(*Yx5s`aT)Haj(_p!)4>P^)ob4l zHABjo&XIli6QtqMXDlk4>xRM-46GGt#GAa!fj_&3;Yvu_srB1X{orf*uyQ63Ch-po zXfhAxLy`{L;kO}e7p3^ow@k>pb3U|(l6XoBHPJuVGQ<BpP8m{)1f~{o@o;S)R%FC9 zp9rc7lsR6tbEfdmR=^!^NTSEH$ff1!V1yd-^y{{I*ukxWN&rcjd~79+iI;3WdU9jJ z)~v_gL7LY9=V9_Ndz?a0Vf*J$!|xPi*W6gw42__DWpFCT5ihwR+Ws++-q}7?85V^i z2*hO~aEc;<?nsN1`Z3R4n@t1?QMpo;_CDr0jg9G>gQ1`S0a2)-=>X03A-C6`6s6%I zukrr2(XZFsKw{T$21_<t4Xr)vbu#NS+;OSIUoyEXGXefnIds<lpNJGT>zfQ_DQNTU zG3e|$ag(gO-#Ky&$Nz6Y=0s!Rev0dlHH)go3N$n{_C7(Paz)1pULPJ>|8eV)5ZiNP z*we8|ohXKsYsh*8l90*ogp5tLJdP@D&Z@{BzcZ(7B|P2yW>Z86FwPU$H{9DzddiT} zH?b+6_@a^7l=?KfmYI_2MHM!To;(|U=xz+YFNm+S;UAuwtaRk509OX0x#dUE$oa=S z%}gO+q6(`<FPt45^a=YG6EXYo)zY^5BU|Z%_w|;W6evaKR05hi(Rv-V`<26#j<y{I z`^hE5jvN_Gb#02Hi~pX$NO(v6Gkj%r7g`<x*&*BmRx$$l4c&+sr})Ee_vZW$yVX;* zjvT*#*zGjiKPrzAvMFh!7*EVB@E9-ahs}G$*Yw{!4OQ_>nAG1wPKQO;#Kx4s$NJnY zgzvkEXfCpd!OoT(Dfk9T+tCK+thJ0&f;;M!ku|LOQ}B%s_TP$lywd`(oma|>+~=}= zvZSw~4^O$yzV4+ag9nOlibe+YJsdbS=8_O<ga53BPf!{@77a(>B4J&X!QngmsL-AZ zBTf(c2A_THbX)pEQfc!r@Jk8KYD^M3BW5lpmBnq9)iijg*igAo68c7lT_wWYc<7fS zvzlZ4r$W@lwD^x;bkwXS7fk>N38d7&8WN9i@Mu|*vM?0$Y2UD7j}k(TqKcgg$4*#P zX4!<vdsWI*e81Q*pidO?<~O^FjLjl@S9MA*w<MSdjj2RNLo7EyQc7kGf8hP{s`%*y zl3yhvu8I0rR%1aJ98xPd7bYt!6_g#dZzea*FSHs|2crp>M-&Jbmn_->3GKM7th$1* zO+?RWNBTWIImw9}NTj`ln~WHY2#yuTa!i2}Sbi0m_>XvmO$A{j|A}|a_&?%#OjY~> z=8QhMBxKPJNNJM`O>ippvjyvb<A*n=#zS%?bWLcV`EV1LVzFXW@qN*UStvGa>l6KS z!^W(F;Jk?OQA#>D%||H%(%R@<pENF0ihu1IfbrtUVJJ~D!2gB`EjDcEtpJPaUj<2i z!k5xFV}SqrtIQ3g+_k+ADMabp-$D(5Ws}f~@twf`RR@h_pm*g!y6pV{LmILaZv`)y zSwFuA|25dH;@jH-3zkht3(bE5{y_v~Kz<Ny_ME6da2luPN<wWVU~Z^oDSY;dU;<pq zAkY73OZ_lS1n%S`b%ufhZ$+L~qo)sQVdA=brS8x2AM?kV>n4SW014EYOR_^c1fP$x zgXfCo#_K~$bN6Hxi$p)MgqS%^V%K(tu=FFOX(Pe1Y@2H&7K*$+?Epnjw3I>%zsZ2l zFYt6vo|4R58{6wr?N3lqhLNQ($v&vP_!>mteJA({R%)NP`_Qn%*lRLMeq9YCE9g7P zi`TCoW{F7q<|41y1MFWb1+@ET<pcu2E4ARP+vlwA$|z<xT&rwb-8gH@u)~<3HGefc z8%lxEFm=6b7HGMeJiLVAZ|<32v5XShAkkd(f1uCGCS0{KWUJV+%*}ssKTx~D4>X;{ zq+dqFrHMPOjl4EET?BrW*|o`Y!RyvHy1)*(32XK2C%_I@&_yOWd5H_TkL&=I*^8l8 z=WYO>5eG?7`8`ZBu+VROGmLJnjS0#|(l2U(>;XMY``e&h*dg@&Z$}wlEgRc<_3g;P zkrBI&F78D_<G?u^!U%8xgl5XatD*`bG!9CQ$55?wXM)FPmQOjXQ@|0B>EQ=ulvTlx z`78bn1l)UM#0^L6h`as+rh)!}AK1RYNSKB%h{>pi6dJk>2TC`E3gpz3gOCliNoXpk zstq^rUzpn<jLSu9@JA9gKYKA>tUY+vjtw=Zd$YmRTnx1UcOm!_CbhgvSplyMkthkr zx~(H7WC>rgy->FG#&UQN6~H=~A~OY?W1EdrGxcd346Ja6RlJ;VeK4h%KbRzYVQlMD zOB&G~VC7AgAc!A-Lz+n=Ih|eRYZ!&-D)6s=p}QGYNNjr(Xb^R>Pve(2Is7Aqevlen zj5A;7C5+g-9Xt7_@&5~Sbv4d>oBt33PRH^wc71?$>;N7g@9`_vZw&QVdkJ5vkA&?+ zWhX6<8!+WvVG$zme9UTjhpM_J3*PSn=1{7}{}oBL@rt(9=JEbR#O?;nM{sx2NF|4b zu{T2F{yC!zMg5TG9+`ifv&;K$|0NXrL+DI-+eii8v-e~e-5?(^R1}axH|<1MS#xaT zLsYjl@~`B73f4wSPg(*uAj+GdeTe>Fs15jly8Ql!sQs@mg#TL<@A=k;s4CL!7;t$I zhDBRq<F%L%@_*O+QLgJ7@A>Y&ITXjWrw}~wuewXs_3@QQ{z>?<A+EeGwCnF_{vSib zI+;<{<PpINk1)j#vc1^(W-|EtB48|vVI&X%&iOIJw53j(Qa6Ijiljin|Cu!r#~~$M zE-bwf!|*?M?+wu146>NE=S&7O|8<+w|LDfJigZo8<yR#pnAJpjyw2$jyj8*^wVkj4 zU{x?VU9b4yo~!z9HM-tL+RTY<pqc;-2zZbUMM-HkQ+>bNEffnpeZTpTkMh;@(LUDb z1EknKp~ev{@6W;eo$33nl|SKdP(k~D2OqwHVQ9R!PsQ>#?WiR5$Md5=MI{rgwDO#b zzCVpl*>P>&+tiFdk71Vr5Af8b%0=K*AT&o0GPT6pzh;9O*BA<p#R^cEsZ@J66&19{ z0~1l)`|(&t^8FdP@bohzd#*8cQ1!IO!<+*v(Xtx}wxRV@1znUn+cJ0xr8P-jo*CR) zpPbXcmGmPk><dl{RWzhK_{THKi*U!#l=MSCu(1zJ<R1o<7qx)?FIfHo^8Oc5^S`mk z4~+E#OOf4(%*HT_qN)16z%`>9TvCRyL1;FvYECe~43%_%Yt}NXl*qodvJF~-FYo0l z=t9-mj^K1Lwtl$da%>PjX8PB2pKE(gbUrZqi#d{-ImKQ#jh!g4udodzHXoGz#S)>= zwr>J~eIFe=*Rkjlm+ZKA0+v0Pu8#2fFS`0ah=uI9@4q1O|AvOc%kTurX7MW)OClWM z%cj_8@qg&^%YRR+#n4Q!m+@t+=zILnMmoKqG~v{y(tF<h(<5Y(5kfUq;-4>_>lVPj z%`-uK*IibWapMes;V*FM>cD9jX$7-XqeBP^yM{u44PNdbH+V<h5(1)jtDEKWnFDIc zfCV`O*VHnd#=w0SyNT?KiUzrTGZMpw`(pzg?dIAicWf*1%i8+<n}0iesEzZH!?<Y< zf(}uonRceB%~p8+HO=|gyk4j{AnlA=SBvuOV5I3;LiV0CwDHhxA|hk__Y%w5jlvB3 zmcKR6YWDAf($p)Y?2^($TuPp{sj`C7^aDQ)y0n6}&!*)?scd*4O-0r+j9vZtu(a;T znU9Z_P==KHKQ={*(;toPrWRSO4+^I_2cl`Yn{|szcNqqve{NoP8GtbuR8RVWny27X zq||RM=7oK92<kq+Fv8t+szk&<_o@4xQDO1tBaxfQB)v~S`bSFv%I72{<E;KaNi3$V zn11$4_eup3Ns<@-O#7!yH}&cx|5m;)lHj1Qyx9l;&M#~H@4$omCl4MGs6fGOT{$)B zkrgN4L&@!Jd_uO<#|>lL?O7;q%ZC86nfiYTW34uruNbJ=*J5dEKAt=KoBC_eMj_aB zm!Tv2Q~GI8r$IOUnh&*Zhpv@jL*&K+(JFGfNiv0h?*+pG;VN?OXfsLw=N|sjvUN0< zQ0{)b6|s4Jv;8zEH*EBD^u7Z4GSuIy-I=LgrQ;&ktM6%M_%pcMrLCHKbEB}7O1pE| z#|j5I@WN?Z)kP8;6lZT3?!dHuHGsLR51*v8!j4d|&Reb1t3R^4z>yE>*l2Il8q~d7 zrn3m^-@M>$q$I`V2~}woial;By@I)K=Tgd}+NZDmT#vz=l7HSqjP(x4J1Z+?nJGe( zrO9EMFtxaM@)vdsrfbN#R(rP!3y$fH&vR65%F`#$I7c`cRJE&b=)hVO9JO!OK;;G{ z7wF);ap7`rTn?!2^6N20t*$SkwC#T$2xlDg>Q;uSl=XU140Pxypm|>piU%q`z?}_g zM=$^3PBqgGefSqOJYdlO-mBYcq>ZDT$W~-Ij#;-;pTjt6>=6s(0t$V6f~vzYYV+B& z>c>xK)aSxuwgu5iaIG*Enuf!f1#d?}F{Oy^%*Oe5myr^Lhxu!WEhnMC)JA1!R_HT* zmR$MwfP8-sC3uG3$A;s`&f|1qj0DL{ImhS|f+<CF-HtxML^m9`WZIL;+ES(6t*;vQ z`Ck~xbq!&Bkkr+>1Ju7pdZj)WS;;v_0DC;(T-qq4wf)$R16sZ;q+7c`>cdKm$IOo_ z`(vWL=wVPosA-q}Rh2bTjW{N}0Nvc(r=*ufg^Q&r=j}l?2lBhr@`HAyC93MTtM`w$ z<S75+HF<{X0&-2fG8P$ti>;Ct256+heutbsG5*5Tja&VN181pFZyDvZ%BrXJ>iN;# zO%KO(Vl4+;e3nUOIG!yD<Ir6oZHZ!}V*woviDSWv)|Y~^&OHv@Lg0z17Inf^KZgD* zC-^rHq5W+o9W?~e7GM-B^t|`}M|Opt@7xwGj5neJ_*M;+o)$Rp3w~gE`@46BO!suC zZ%M?*TEGaDGaTeM@{hKV>%RP&ta72~*|cKtR$N(tc<!lF@UBcLrFg=w)2hViE^{nk zN;NR`X)k1x-`81$wGW%p(+$q4sLKZ)rq)S~q;_}qb9n>x_;Hh*r$xY5<j?7-Q7xtI z@|aD+!~%2sR9b^TPzBE82DvZiFKX+O_|@Gd_gl8;OWx=1$;b(#F(w<SHn3#_l-3pX zp#V4#1KEoxXXZ<eR%Z&GS|4dGOZgPyL!^fGpcZVkqAmiN?ds5VFQXj+RZ_?&mi4op zZK2`t?f1$YP^m$jHL-9qFAKsklnXiJ0Qt|L9I`=lQcibX9+Z;`FQt?1p3<wnp0rUu zyry$C@e`@Hhrz^*wfDEdaq(Qe|9!;aA85>E>i-F>B%T3>84#MWUg7Xr!49b-%^}W7 zkdT&v>;wOvC;dII)iF#WI3!>;AqM);G%mNbRUAnyQvHQfSg|>K-{<}OnSbNCd1L&z zEoz%<yTxZ=F^C#>dBpK5Y@^-p^<L_FPj=PqcsE?D!TVdRrLShpucD^kf011YW3(G< zi?R8S2jq75j{(plv{-v8q)Tla@Y#r~mxl0;qAs}ZcgO?83~#}*^SenJg<m3mZ6`I^ z5q@X3PFp{Ps|UF-J<G5?!{xN}{;h^vQczX37+lNz0It8ewQf_XP;SnVm4pBi`Nq+d zkT8l!|B55n-vVA}ZphLS7zb<VdkE%R6p*C^57-*nD!@K0aKIOTvJrW3AM6Cd8O$_< zVt09`FTrCv4&8<tx<n{O#+06G1ilW7P_jM%8S={lfxGfsutoq46dO~nBiIe-?-rA# zqXI<RE&TfWay7J=On1bl?M$~8UATxG-6XARnGOCy0JRjBnEd0<-v!X!`75=zDjdXD z27lAMS%7&G2#1oI1X9aEMI%w-q#u9p=E-yKx7^P~bIx{X{rW|9brILbn)`+y{z~kw za9fR2;F;wAWV}(y_l`#!duZM@sefoDxb@`G<hgo;9w=w_#<8z)Z0Hr;yc2Dp3>sRR zLOkGV!_oXidldA5oag_T0Wo`&uTGX2K3=2Z+7DEwatotH&MMF1XE0;JvY`iA60Smj zL&07%aN_2ylyi_YcWdkq>!|inGTQ%T8Bb1~5salddpxvaKI4<(OXM3^EuB9;JU-@v z4!<`v==#-_e)LS)3Tvy{0hXU4@<3eWj*pViHp9<Wi2dBO^<M3ZlS*AYReCoj!?m5G zeJ$|#DCa?y24`RBU7mHKkZ_ZE0xL@wwb;71;-3M}nvXGWb`&t@v7OrZwf>vu?>yl% z8G>ldbG1Dm>C+3g-aF!4ekS^_yC`JT7{7`t?g(L=MZgYygq$rSXfebRacg;yYQ#o_ z!8q1dUKKq1%KSJ<Ep75&F-SidGf|(y;7S0T2L7o#eqdlk@%?{D4^jU*S@;s%gGCCt z8Htj?YqG_&a%MLqL|<Z)QAoZ=2(YHVHD@M>wp&H8L7mhTT$E=jE3{i>)M20aDX5na z=QjwLn$#M8R>L54X~@d7{$_p0wZwB*#B2Ja_3HugfRR9NG8w2W?F!0S_3Ihjfzt&L z-P@BX;tl)K95Dn2p520iajIo*<o4{yYclv*OEfoK)Hl~<B`PI<sW@>U?BQasz$e}4 zI3x}gbT8}hb26wE$`huJx$>rWO9`xMG3U1soW6R?g-wiom<~>!%?mn$RdeKn6%<-3 zp4*Cw9qpW-5RO#l8hIYx;UvplQUoR7dFY7Ze0Q}{!S_w4(Bp(S?|3$vAiz0u@6PP% z?rS4dp`ti5noAoMyRyM7YA-jx!}U=`mByvJGc3ZfYOjrYu(39SBBp$@t!$FkT&MCM zFGl_*c8zCwwMn0v#3j8on|cN@-xgzc9tlIND^)ek@^DpRy5S5YRC%-Nh4nyyuz@AK zFg}5g|A0K5nIRg>YNnzflwn=n1dW}fzS361V%Rb^Q`c@a<M040C=gq=6vR?lFG6CU z+}MKV`%Q}^^lvcrsfJjD*H?9$C34u}o?mbkP(K;dy`FlZByvxa(jj~mhZ5mX$i(c> zT421vpvh_}g>JBuWHU9p$m*s62J%*VozK4qy<Yn5dDa^X6ya*q)GGZfCJNiDnw7un zd{^CJcYCGosFw{gH}?wUG#+Z&!d%2$L>fOA=dArWj)O<$gYXC{3Iu-+!e^BR%Wt^; zd;}40P&<H;WV&*PvJ_~Gy16g&XErh~96rlvV&VwyyR%T~_1Eueu@ID=z|<npx(ElG zX@l<bN?UcSnZnMPMsw5lE=l;Q{7#w{ukLV`sc28hGH7VjbAflPFag0n?fV%rH4aFa zj(tUdpy`3ollSZLE**Fgo5wYFY7zcHSRVUdet(K6t%*$2-~@|2?ytd_PhmqB$E)B1 z60P0KrUcmx{N^TkFwRy3fE<je)B>E|MpXNlW-Ajr6dwC}mJYlQBeRm|L1!{~=ONym zZcVy$`P|jF)&(^W$>J{6YS9gnb3wv4#-v)O)96{pT52z)uM<vF0;f8cTX?#5`i?+s z<L$_75n)Sil4`ZW6I+M`R|UUMyN_4-WuiZho5G|=6|-8(6J3ik@Y}gTe1O?qhGNhF zY0-$aRUz<|nV6WXZc)Xo)tY&CEx^mUVooAS%==qMD~Cy7oAXS%<m>JqFTqaBEGI>! zCSK!Dh!Hh=Od_T@=?aW#U^0sq<LPThCZ0ac#fy0`+ggg14YT1Rz1l7tugo&dsfvcl zLSPnc8<C#%4><JlfMzn+A^SZi!yE_FAAe6h2X`NKysO8OZT(>8wRL!>9?$QpWsYa= z<=j5}G}1$j3HwsJMjH$@^tVyQub1l0DYOw-GV4EaLn|kLKEVktDO}EJWi~F`va;*& z8?up|MbB&y_>{!LN_?KzPL-qszZ|R_bxt0?rzlJW-6QVi0l4INi^SBwH_%{UFrYJe z0QQ)NG3@fw65p*NJE(9B$L+de8prK&-xI$+@Hfkada+FS0aJ(di;L4!G1)uhXoA+v z>bvaKVNpoy<D)5ki2k~LsETE(qtKz%(vKr4bJa9+dWZ5XYYLp2nremL4D5*42Ijjh zSolSQHrukqKp?I&v~hzj%J^UUj_9=Gu8yc<Ubd~t#`%DG^##9%A!*v_;)O(u3;*iD zi-O1&6qT~yKbl3*E1lkh=={neirhTeFW0yDSMRh5sJWuQ=@3jabARQpHNNK7HGKFu zy=cl%t?r2SW8@1J$!z6mUT(N1@=YAJX~@KyrWx4z4us$GqhD-Fs@-?#CBzzKdf+c5 z5Ss{E%$Gd;WnL1$=xd6MDvWws2y~PX8qxK%<L9$?$n9NK%+^b3Wm&h*-3AXalu<?J zoLGtsI{D+R7O05EuTMf=Yx@V{$Cd+c9bg)u82YtV>h)QWn_nX^dAVa+^l*=}nF4Q* zvuXIU3NTJINi+$&X<#$e2KK@lOw74o#IgA1T^j0`s)1|8{T}pa`Toi4^@*Sv{md*@ z!kN0MbwaDU!1qP>F_$_N+qApu%JE9vKf(pr=URa>dUopurosKG5UGE>7mr-VkZvw6 zuHL7)%UIhs*m&6q<-}VFE$?``(jaJjeqyZvKpp4lX8v1#ZSszMZSB{(R*QEw7`&A6 zb34@r90W$cqq%ER*fuO48Dj2+>)u(4@IYOE)A?waP26lOX}MPS-l$9#`-Ut|0oxpm zFRNkC-N)8$aA?1?i!}{={$ynZYA`>nxKbDAdf<#Fh#Vrb&*NQ7x94hL@SKh9e^c%O z2U@!%R8z-S_Tq`XHb<lQ@Pb;qvXSX*fA_;Q?gwWqpy`o&-}EpxJcn9GQSrFV4%JBS zNI#`Yl?7oGWXTfE68{t~U!LI-`3_^WOb=7Pf4ZNzZ>v5iQueT@pI={JP%f9<&O5k~ zQi^LVJ6$4ygS|_rjzKg!T)Wty{^pj~1&Eb8l-U*^DGyy1b(A#Ob2qu>x$ft8O^y(= zlj2<RXPhHeE-A)WZ!=vLXGvTYPdWKAkLHA?e!7Ex@YcobZgLG>;`ATth)NVxLcHan z`&r=be`-J1+P9VdZAU#O;N-Y5{d`@=%)PAGeXp#{(EL&G*9n_#m0;HDXtnm648UJ! zgWN|s-YFR--KHh$D4z<{#<y=mNY2;OCc)rGXR)Kinue-W51lkKmZ~Rk9TC}qL6*C_ zTj$MKpj>i#Rt9>%Lc;PAfy#yoij|WNHS>pa++L>sIGMOG-kHY~3+vq0P}2BJQU%P2 ztB(Igh-G>{Fxa~%tN#4rLhdp#1S|;>4zDvBckto25NKQV8*&ylttK+ZmZ+41KgR5f z+X~;pO7Cb@r;O1=Ih!aKSh|-8g;WJ>BKby!$P-WvAK12ayp_B{h<KGV#P0k~>4sW} zCvAa=ysbg%e-4-rMlY`Bil01kp3JM8-)uYZTt_c^TFTbaIm^t~pIdYM0bDB93!1$f zP+b$&cIQw&5!Swa+O82lFnd>r9)Dz|%hj{7`pKlW5$s7z(6Wv$#=@*#++=Gb{FBL5 zj}j@O*)_G5G3PdqG1{g<0zn{9G5l=BGr9T6O%(^x=aayD@uMJu!SnHSc_Gt}Fa!3X z`MWXMrJpUw3yCrI_su6XCV-(AO@vR`;rcn8J$uzBMQv~2d;DdmR~k%X!+@Jw3a<q; z&En?gnlo;v%`T+12T$--Z#~V4XU${N@Bsxy`nrRbjTIM>&KD?@zfU690Cvyaf=u;q zbTZ?Z^6l2FY25k+r)yif(B}Qgn!?$Mq{z^h>Vm#rOD<Og<H;oUD8Mp8S~4g&IM6no zDD(3@(!M(Wmj3rBRQGTx>)%nA@(+k=0$NDO-XZJynvB><sxeRwS~NqL!I91`=4?_l z#yPjGv$%si8nbwfahzs1!ECtUai?Lu#KY-H+&za9LB+of=nne$J`D{Ku)r#_>g`{M zA~aCp-MNHFB|=$N-T-nFtqYn)*js!-WJihJFC^UKm{!n5G;<Z@1AYnhcAxXPb<Ita zCF5O=swN6Ox<vB1Lx%gikihF5!mkxMtw+U8amDyH2DI>fn6siSd9a+{%Ex*mRuUzO z%pnvW-p9HzcxPY2bB0wW>mf)rjVKOy6u-h-uKuFY9%HR#{{gJ{sD@s+`bE<IIz43= zMMcw&)_8g=yGo%a={B<O4*Kp4rvZaaWvP=nd^UR1`WjsC;a`~PS(H3>Gt+;KDJwE^ z)`MB~88d!=E&_%_^!e9}^ju`5mRj9x$6cvxZuY|Ex@z{rP`KM;fO!4pPM#o|LYa=C zCHsJwbV*_)U<yD~PP)a!N!e{d&&}61*%@%R8+J0&#ob^ll?2vdoJVJ?QsM2RKSAt{ z*!)yNcuEgG(4gf><FLAvhx<%=?x<(n{PIOFyqrD{hs_QtR!&w{PKhO^A%xh?L~B*r z+u)wgQ7{-ZJNjp|E58Skm%v9>SI>cfsQl;UMLFz)RUc5<3HtK=VI29Q0VAbP6JaAb z37U<2O4MefHS(f9KqqU7r0IqPwH?n$;Io#%=URc!qXJ^8bIpF5bQ!H1<GouXUElS4 zOD_u7WEUsYU+gVn%hooOM?vxn*>DAB+~+zjH<O&-CTGs5G4DWAE6Fn~;<h?T=dbg2 zHIK%xlBYmebl`E$Acr8P=ERl{4yvFcvU}2T9p6&G^I38J1}8REW>K(uinQYq$beB( zo<*N=q@FqLIQ`V$$@qN@OUP)glHdu+t>p6e;jI1PT%~z#3s|Y$b+Z3lf|NTxs*V`q zC)MPyNZBpOB*ReqF?9NLJ9|t}V7V+vP`JdglpO%E46PRw=rm%y)MmiR`sJN8y^0#0 zSEoCSBd05q<zQ@c1v^iY+%7o^#(=z?9b%Xmq0A?)Bw_Q>i_g?F$jFF4Q3WS!U9)co z1-gNN^d^d#Sut|zYGysONoGPG>oqzer{Z3aIQa$p^f5ttAbiuH6k8m7hJTB8?I1jk zoEgYsY%=!3ZoBJ}Tr-!#cl$Q2lB^o>V2Q0;ngY|S!_ad8AkWo@T?R8tp6oqfZ(EF0 zY&XJ$p$!tKT6YGvdP+rA4Ptj<%sR(<un|5Pg*ME3T^L=Zf9?~#IbDr|*S54?n({yf zU(s`@=jkK<^X>*(uc^H;4&%PSvAHK(7X`S0ppkM{j>s&3B0HkQfzd$0$3thUL;<J; z$BnQ?-e6w)KMjpr%{N-1LG*+JG1V=TD^~-<8EYh0Y8B74X04=QGq5*u<F$s!tmTG} zBW!6mtxT-L4@Tv%{6unkwUzj?+H=_@kIRfl3NL7rk(IB0Nd1dOQ?UHVa$dESYCw7u z?S0oqLbp*gQL1<3_D{~}$!*(_f?378XLc}Nvp_F+LO}anI<0-RtdbDpX<oQ9tUcKz z7dW(55Sf3?Vm~bjD>1j}NYBCXImZ??#khZG@lzRG`K2dX-{C6L99p)*$0^8FN=xO( zZhZx_8<~$g)S|bu7jL4f=*^_H08Fwy-hRfs$UG3Is$$Q}786isP0bh99sbIGoe_4I zzuKY|^lh1+^Qd$96$|zUz2d#>S*|IIQeazzmgNmM#-((#u3(5DS(9XcJX&f3MZeaq zt=sVEh;gvHsB1}Fo}B2hLwq<D786bQsIjN$aa>sA`bAh0*^)1AwIv6mI}q*-jaBkJ zE^Rok>}$LZRyke(hNt*wY$#Rj%L1&G2PLCBPTcH);4#Z9&L+m1c_DFYiRYR?`ge(? z@HCZGK`zZd9qgtKEpuKf$s1YHpbhJmTR#-hx&UgMELobo6Y(+H>Tp`lge4s$eC-J; z0G<9mmLgSBQkRmI;weAQ4X`d)fU*AZ^n{1}&i&<%x=D$h)#S*Z!xdKAp<6m%?8(EM zgTg&r=SXAkWzfbZ2$n2LQRIAvhc^20NiX3(?hEH`2j{NuTsW;sz?`RZ$w*<7Nr(DL zP_^f$A3wNu66=1jlw#C-vmQ~``8Ac=Vm}Ny>&U!SqC5#W5MaflaRECfbwB=;V)T2n zwo%tf04RXi0hRU8uxftrn%$WfwEWvqe)rd48Cq;5b8@KTLhB(7<$O<fi<me++f}<H z&mbA8Mc<y3OkS1EVWnpT1~x~TZ>_ZL<I-fXpP6|_hsol9aO@<!{e<LbN8sc3koop9 z(ZT|V@reZ07xv3H3IMOS3Vknp=!CNm<;-gLas%TeOZ@1(=J`t9>cu6g&?{cAyoG+Y zCp*XSyZI&jBxa3c?C&^3ZR$h;DP=1h*-o_T3@Y_A5_G0&*vtOy4P&Kuw0XO=bO-@V z6Yqb-5-}@<sWx64E79Jso|NQ?a8M14E7ncT?*9%NFO64V@&ca%1Gq{`)89M@dJK%j z-&fR@I@{i6-%sw$j-z0f$)X>2c>;R-rM^*hdpSfi9`WYLSgZb6C4mYfb*DYK)a37p z2YcnKKr(6%=x(4Awbv^n3T1$7y{+EbFH(51B|UOfPMCpS0b%+1BVN_0gl|zkt827I z-opDRFk<=*R{;rzirN@SM=bNBRTV((nU#x3+1RV+@2-O?&7J0$bz5hfWxfW!Fg}^& zM&k==wA_QfSBZygxmDzc{qdn!PA94ti3cBNpE<W_s7nH->;u0C*D+sg>rOMJR%jT0 zyyx7>PFJ5}gNa8`|1oJ`@+5Kgjbd-%tqKulQUhux7I<6Je1{nK5d^TUls>^AZYX7n zD1UotRFJFwnc#tTe@IUKuwn^*_Gq6v2tE1u262E$AdtU4^lF5P?q$6JA@^jTdM4ai za`0M12jTa)973KjcqaH~_WApu<(Jaa<TX2D!yTVjWJ4GucHXkToq<TEe2epyB@kn7 zTePQaXaH!#<v2O;#5I({4%_96@r$+WUiB8Bv@Hm`7N?NKQ)TqLX7tTgsvV3+RXcu( zy~!t9>V}432N`k>5<#O6dRp|yxXh|qLetoWDjxB!nZ?_A&b3ccLAC8+5w)4vS$160 z*n(=q=hu?3t)FB`RYa|fvfSH}RK}R4+l|X8C_w+lfIIQl*UXrHWboi}RYKK^4G|a? zLaSr7IbTz3`$U(t>g<+{mr>!n);?6;4~b~^pKd?Rwi3K4erPnndv&rKylWlw+p9+P zDP?b>JhXd7RTw{b>3K)EB3fIYFTt(E4a)g)ERB-D|MGQJ&%>szSGCE_!W3j+<0N(o z4DHcj)`^4#>FbtsyFk+(Yn->(DS%_p5rd@FQ>5PW^tOe?Hie597kgIcXJyQ699XmM z%-V|Z)iEP3)k!0+v)5rI!m+IvrbG176zSQgxJ(Y3;uMvC<c<CmCZNa{Q;{H(42uB2 zyov6a8d#}iG;wao{z+c={y_9DBP+TCglxgYM}#N$t~GX)8|QCp*^lhQLa*G#2Ge07 z$Meu)UE{(d?@71{2)yU<O4Lp4c#<rqrnG96Ag?DqbTEum$GDTYx7$x5%xD_MV{w(s z4TsytQjHf`5z0;!wNbmM(t2uwv}ir^rg1E7Y{mTL_u5@_enV*_18QM6S1E&l^Ts4c z^mp7S*MOP?e3gJ2Ec>81vM7ZlKcmRA<1s8<C%s4Aj3ric7FXfKK^NkX9&{6FMesq~ zXx{5>)RY;QO$yh*>-gdySq6ci=w|+W%;&FI6SREQ+?P?Qk}AeAbt0$X`4@wl_c*R| zc2n{z>1`utOn^mK-)Z+ml2tcQufp1>K39;H$7Soyuxd&;nexq(1pTU8@P!XM4Z)Pf zpHhnrN7NM&DLGdiCU{VRLRA0WI#`&=c!h+>%3ml2JpW6G{p^Ja-AsrWOsUW4(rPMF z?p@y-O}23E#K7i}zfCpnpYNBN-ylLaFj2QfXJ%GDFEx9jqhG8kgbjHCcb*Mj&zSEL zsu^d-_gy`aar^dH?$lHwH&k7aM}mi=*W`-pM#zrl^W;n2iY$9GVhOD;Csh@^zE_jG zMD3La`8`2~`Vo=n4PK@S-^ZTC1X?(MtB$3*(yUswSQ78yMyqj@dosB+mU}9{G>%f= zc7h(OG~JC2`g3(3M^yOn#}1W)sJ!0ej()Ny{z0BAd5V-Te8s=tw7)tHE^In4KIyG~ z7Qg8(L}i1^ia7uMfK*9?0@zOwO--zbzvJN)s7;g7Dw(qg$j}H>Nodqd*zYD2<r;Hb ze{O+u$IcPmxM`FT5z{h)o=W&rC@MwY>4itZ8WyK9!x&;4P44&h8Q7~64Wo$iGEp7g z!ow9$+0`E2LemsY>A&|o(d|tMMx_`eYf<x99N-$C-u&*9bAC7U6+^8DqXSFv9(S4l z1dOrhoIS#GQPBZqQZ`iu{wZ((t-`Jy`wH24FtmsQm-bEq{n)+bwyiBJuo=bnBA>d( zAb1)a5%Wf@4G}x!7qASbSi7kLix2?azN|Ml9-jIc25h0>nk{1iH~iO%)@Qa9m3xE1 zda?EXA5OYiyza{}PkgxBwW(Pd$)ILksMWqj2A&7$+EzOBP;|^Cj1`+wc!+Qgr9A|= zqWNj()A})#GcYQ;hbL_bQLmR4`^HEchxv@NDg{fzN?x;wG9XM7Fkl!UjA9U#4FY>M z=OeZCgliA?z}gi^fQwqY(h6q_Wblr|V@SbLu=B%YcoGt>`+ZEz<YA!lRWt9NQiF}X z1Mz%W_@2XFH4AZ3_lpG&J}un3?k_w8S*40$1)e-z%^F1(zSUF*LoE**vA)5f5%Y@5 zSJJWxNP7Am8i4jybpLZ*CPtNh22@?-#8*%~i{?qGJYDMPXT=pcmdPp&;t3w!lr%#{ z({nKAYD1-$IrSjoG&v_S|42N)uk=msOF2rJO?oc_wv3Ze4CZ2=k<w6hIN<$)5Q?`; z)jH~a;H}W#L4odayHaG`iU}tDL}%^S7phSln~Ts9c!0C0pcxd!rB<TI;DC#Wb;QOO zQjJA$m@hv_Ju|^c<Z3ja&891`yfR@OnNw8a*%Qll3(hGOt+SDT$|$i|zv!csrLm(n zxOCadtYjeb&V5y9QW=$vF>QR9*`OaKQ8&wD%lUG~nEz+I6q_hqP{lwCNiTS8Xe=ER zrIP2%i3l*0EO=A~J~H(kZ@zIHDynPlfxz{!x}J|YY;6nX!8N7(x#KWa(aUm_va{Hi z)>`?@nk*8-+ad$W%*5dQ@dk+tj~X+qPoW4o@sLXqkUN#Y*4Sy5sZn+6R>UfD#d+}} zdpD(7A2Yq6dp|c$jW>h2`oaFD>_Ho9bXW+*tpY%4x*D@nGPPXFJWMgdGX<&=Hi%n} za_gGxuF8jJjKff43s5D8CM?pL=_Vd9C8D6{c5*ZNt>f|%llUHCGl)51!2eDKL6p-| z5+`g~mo{KL8%`OoWA0~d6n7vb(WWBb31f4!p2hMVx9EKO4FAJ#>lqy7+}w86nVi}L zC*WC*JvJ8`ij05|Lh=`j;Op&u0ITJC=@hnpsdbmEE(y{(xpY;j(4dgfplCqFSsPD} z9_}nu#zZ_c<D$NDZ1^R~W$f{x=vq;8z0&Jp(U%$8t|6Kx?@LJ5zi1a<P&-}_<13+^ z3aBGj81Qa2t-#&bga=3hHBQIIg`U@;EC2*Ch2Pmwr}QTu$2M@{&t#mcGrgZkCnUbH z`i`Mk>|;Ebdp@~94RDRM$F$g%2jVM8L5*5NBZGd*G_TUNs);E?>Er99Mc3@<#9AkC zI5aTop5M#E6cVSsepB4XVK!&&E5K<IjHINMGV->uGg$@gU*m93$yr@%*Fg4yi32Gb zq40=q(9~3gUlbBknI}lcdMfg=Hq|?2ROJ1m#?+^7eX)O9IXogSkE{K>{DIZ&=|wW& zH@}U8$uFzPkt>{#S;%`BpOT$Aln_#}Mh|%iVi=d?eD?G&u7R0iR;HUNLP6|wAy*EN zI5*!W2*(Uc`a4nV8YV}dF;T%3=mmT!=B62G7Im+<Y-X5m##Wto7(bnpt!UKyZZ#1O zFTviMUC_uMK7$mOOebTl^chvxTcrQESv9u9yLs1b5%1Wy^6qni2I*HBei^(QMEDgi zi;Cfe<tosu+3-XeDXneU#GT?HL&ISGgm6`;+9R@ZQ=Qh$DQbRt{qmv2V-wI9e9QSG zo?c}u%!W&rsI|<F^ObF(8j9@6uw8Udu|5;mXcPB{c>UDn?tcANuc5AtSVtL&!%sEF zBgL9(u8O_S6h$CS@ui}lX6UHIuw_g|)B&qh52S+^+}|o~HHh`;^jvKAA_yrZ>D%>E zYfd0mM@=)OA^z|v@A_I@%M+lED37_{fY5Q|eQ*6%@#j`0>CflxB7F8X4-Pf!t1D1# zTKl=?EWFt{t)`AFO&jsKwxI=uvbHdPo){B?kI9#2Oq*4cA4QN^?txFZJ_p81pGX#N z&)kP6TlX#9bUM?z1LJB<M6tq{qla~@DwYYET&<7LCM?ffB6OF2I(7ovtsI-r?VD-3 z=j+y<m4~eytdeHzyc^!>?dcnJbLMj<aY?%o$4(3;>asA2m>sIdtMwtLR%dfLXiod@ z?XUeVmT1kYbt^Oxwoz^FYiy$%Iv#lNwbq52_*c1yXEp^l+XMl&4&yzB`aRFk)z+}+ zLUgjm*?4!yc|WRCi2?vug=(xwwGf*wD}vZ!CfNk;LZN4MLDHXgCRV(RDARoVgdM3| z7!wfcD;b;MMeLGvWp(#z?75~HnO*HwY`AYL)r$-5nml^wAaM4Ds7ft2AMacq9T?$p zIwq>N;vZIDWu+0fc6BU*y^H-?CfXgdS$*<ZB^ooi>)w(WM>GMWctX=FDRG=;V;C_J zwTkiJ+=c?tDGKmj3pO_Q2n-EE#vN4ZxEE6$vrrz%_$$<)jzzj4P4E5QQZ5G^373(W zbMATW4Wp;EQ*7kj#;FDTk{B|!5UyvV2-7-K<bgI?l@QExGF!sNL32HZ7L!@NRz;~b z(W;n(rmUJW{|$f}Da}s3RlZDM?k*Ib#w}YF75hbk{5nWyasjVwcuCS)>|0fyiY%Xu z=X0*K5=&nT)$@a2Kx9#7AZW|{m1|Q~wEf$(qTx_zq-2%ijs1SqHo7HvkJjf?ExUKw z@3-&+htLKI>R@_@c1bfRF{drCWW7$imqU{ieSJxc+IawBqgz(TST&3fAHu4dz$(OH zp^^QF5b0<k*F`tcNCA`HmP~Cc-y-wzoSPJ5cDL;r5}k^YcT4j5lZn{rrFw5jbce5C zcWJUSZYj}8tRK(z?>dfzHIW2GTwN=u5pRaWKrX(g>L%3GhSkBhgHO}L@G3q+a1#3l zm+p6m=w1Mij}X30gJ9(t;tA1RK;N~l6S1Dq8$0VIv#NahPg!`8<6=TRd@U!z7GFaX zYOfV1!S(RXKOsL&uG$L+Z!ct8*ToxN1Y$3EX&IWuv4(1(Gz+*0R9f_#E&RnSMPF0r z-IqTP+<N<WbBmTib8wNGOiC0}8#h67y(@ZD6e<D_G)wSH<B6jzyP6oW1h^8WQ+L8r z&6~cQorExC$I2&!>OR;_m9wi5oq?$5)EIbj=fCKc=+|Ey8PniJYF$>Juw+v^n-g|0 zyH&p6yox}sr_(HEuZv*?pJ;Z!R-gyDLXfA{$aii(PWK2+?|X2*gfd*><hJVFb*1%o zdG7+F{7lz%4^cG>iI^}RIBz*8#%@WDPmmMI=S_;^2NkP?PF-sTk{;U8XZf&;qpipS zRB}hpv3h4_mm;1M|Hka@%n!!$<o+%F3TWFeX?L3@;M}gu*d#i$`8(c3^x&Dim`DVD zMkjyb%X?cr!Wk#CaW@}Zz3{SuaXv{Q2Q1sZOsKs6I2tqf>wA0tt8;F!;t+ZwnS2=1 zTic`Tm?HIJi!<5K%+&|FqMg)5cL`i`!lDeT`6mL}<ge+q-Y*zqIC!_vUk-{fm@qtA zt6W0}tS9yryQ75M7^R#$Hr7ppvF24J8IOL+29RZ{P)kZsG16Zi$wc)~DN0K(_W~4b zOkF6R7Loz+*I;1X*ik;Ijh|fEqEQ0<jGBg;$1gIzQ10VkJ=trV>;3p9Lc(*Aio@!5 zA7*NSK6I>7JCdhU^IH;y&DkdZ=h(P@dlQ;|&3u`k=HW8+9wx`7wJOd#uj`nNO)fS) zdK)AJ7_m}mg2*&qk>+BL^wypYKnrASAmglDe6og-0%uiGPs8pspR$#?%Lqm&efkpP zZ&7B(IaSN-z*el)l8HLpGxD=s^6g4)Nj-$GUSVNGtwU>JWFoB!Kn&9?4z{-J6(tMd zX%JgDtHG>b+Gi4_i=%9&=wE&NL2Y_#lf*tu>8nZ2Q!ESqo<Q;g?!F@MeH6gcsHd5u z8PrmdL>}xL5KxZu*wev-U!3gIn!Asyb(mF%k(Cst4Xuy`)HA&c+m<;j;;FCLhLB(E z>c4tLO1~G`;?CJAO)b|-Js1~<)w$)@WkWwD#@H@qVnc+qdW$8zf_}e79y~9RN{}A< zT#vz!XEi|idOhf|@qNcRJOo<lsCtA|LLm@!Q=|ptuFH1!sP*+1p&$hD!O!T3PJFA; z42bMU3islW?f>2iCP-y?=tTE6LEz{%Gz%bkzW;hiT33P|ZdruMZmNJ`F@Fa;XJHHC zhkc2R@W#Kp?5?s&)iwwZ4~d8{NTY4RCLZNrPx%#1jZm+cl2OjL;|&bDlZ~GDe%1|Z zQWR^~TemZ;I{!vA@|9+5IkjkU+r;L%;m|uFY$;WI-BXBqSY_Wmx-&7fI%R5sPgBei zkotCB_qeJ1tqdW3eNY@d{=0s3c0BSuA%dCcXx^cZaY0G|BSR29?WqscZ+8>3;+nQB z-f9K;?K8f2=FzfuHgDk9@LcBcm-3s}P?#*g1qkfJWTXB+0`rilOZ@qXK20#Xya=mG zsQ+0(|1LVn5ci3$fKtaGMvL^yOAh7n^2hIIh`-Z^2?%Z92!Y?pR@lFPUD4#)oT9$K zm+CV)KE1qED%^()@i4FOhFArVyxs}CcM+v_4(<e|E?t4X=#UA)kb0#;Ggnenu>0&2 z6w+WZ^55V8sLAX6NiLO5i}@^Flfc*UN6tBK=T6bHbi1_Htu`}&VAu%`9#ww&Re*@R zfEUj3PBAuaW$KuBIm@`k79MqC=Cz|#slD5hpe)KL^wE23c;ky8srJczsK1G*OK5#B z=^r&S#;q1WFbY<B%R}RI8Rh8>_3~?ZYbD{rBi}=xeP;Z(R-QX5_3hlg;#;R=Hdc;W z`z<~ihO95vbl2=@P@0?@?Z$%#ubN>pdkxd}qcUwv$pHlQb#T60^4RTy(y^i6Ynt@o z{to~zK+wOded6=q5kDf1{2-=Z61MC_+}SCBC63-!s_dzK@#KFQ39}cu_Kmt_lyrfb zi6>JD{Zacz$5(?r+Ei#i=ZQTr@%%hQBvL}}f<@PY@n%^GJ5*>B_K72ZNBoSK-Y4#u zc)s3=*e!q~T2yGOhlt)rM=Qz{Gs((K)iXmTgS72@8QO~bMepRfzcwb2DHN(~S-6_e zFZw*s{kt*2n?Zl-qeVi;tsU^@*Dv%eT>Z9Bi2NP#Gh%k1c#`M-c_+f9Q0TbT4$*N~ zgh$`P)rWcRGEak29;#!%?mG#SgbE*Rmw3K~_n0PNhVGV=*jN9WRl-j{z&A57`dc1* z<TFX!$mQhv)xTwxFiiMvyM*o*o+QneJ+S65a9{ay5Bz^wgBAy3bN{aFT$SO*)sowD zkAL<5zDl^$=#GBB(S7jwRzld1WZ0mpRT&PaXOC$}f4XmG=x*+ff7U;c?@w@F`Fsz& zd9a<GEjmzU$8FW!O4#q~&!&H={Eyn%yAKB{-}vh9H52m!mwwl6#nnI1*V~zPw}+s6 zLBjaVP85IgTU;rtdWtjc-`e6e<D@Gdg4})(Nq<NDh>+PQd~Y~W$n8Wtn`uwjAz}`V z=zIv0XLeFfUDeAuIC(PD{<$q)Bu@J6PG`TQ`$3!x5>DN1Yqv<}|E5(?Jlo<**k8zQ z;LnBpAg~KbK3E4E9)u0Q;iR0nsuy=~va45Pb}E1DEmQ*-mKOUMj7yRx@i^S=M_hg; zb8T!=YX2ix7L=n;#aBO!qLYg|Nl*V-dq=|8L>Wohn6R(+xFz?9guf$xM2PGVJjr@V zwCX=TXv}au#C`VoKpUp}k$C5WhOIQkq*zSE{*>$C)-t`X`GXkMHl`4+I(lcq0~6m5 zr8<A&F{-Uh3S4!tMGx+T0)r<O-Jhq@*0^mj(>YKNc4>k+g$0oMR>?~h>tdr`c&;|2 zKbXn{J1OAkuhuV?Pl8iC8|O}_Ht5zbHdc8waFjUjd$IAG&$p9^lU5SnXL~XjML!7F zZDR<__ME~%`xUM=#Y>jsW(SC0-P4DnKU{yqL(zTr+o9;b`(G(szLU%_n(n1uTxM=i zU7!371C_)SqumLQd{?f4_5#3OJ2xItMz9el(rENHtiP*!fXwKq(doW3+5MZQry^pG zKs`+TE<o|j4mEg(V0&gi3=iiWG{5N*#wg_?V!SM#nfcvllBwcP;*)r7aeU9XT8MxB zF#FhRoOCZ2Hkh!9aE$Wm;b!y@Y|re6jpDq6<~QAct2fe!7#E9YrhaFdWXkw&@JXD2 z;+gvW1x*+^(BW_8v1a5DY|k7y1p5z#jo_v@)2d*0U_scmU{^_q8+Ulp2)auCy9Z4< zKkXmp`ytq#IeG~89}4@9o8rvxcTIn{5;tm8?eo8wH4|vhYYQJ!zpG6gmHcjekPFa@ zW0ay1S{@eX%yz+hhk^S_x;>DviK85+#DiWO#euGWD3kdhH<~iMEK+{D=S=;MG;w_A zKY1|D=O_3VRI%zu(&U}-Z`u$&tl|M>`5ySQ#(u-UDSvGc>mG~HdcD`^FAIO%2fy>n z|6Dyb$i*UMgp!#La^|P|7xd!J__Nak^?&9&1l(7CKMdSgjvNN=--N@!edX`l{)_V1 zZ_1rTU_tCTk~j4ujnFy)RV>?c>2Pb^*$&+J=Lz{Y*?({D_pSa#`PX6K|L`X69lqVW zcHl;0T|(Td*X0i0{{x$I0=j?k+9JZ#kFLqAlHZRHLI~v>qm+mk_pqQe+XbZ#1NW7T zdmwR>SvgL58p=0{16}`6rt?9Vo8Vp+#Xnt2Q@>+PX5abGAB>Cm2_AvkR{cntzBB$! z8xn_AJfJM!1Ao@oZ}>OmuMJ||QxW5@_Zt0Wf&1Whe)XTL#|F7r6pw#UvhzXC{&bH( z`F6&iogS$FGv6WLzViEF;J$L?FmV4S90u+yf8X|Bl&5}E?koZeVqZ+^rheoR<0qiD zWqU3iZmm1pfgArkA^#@(@6G+b)xRi@90vXmZ{ptJ+r4WCZY0(v#H|`Fckuon*c=l+ zX!zi$f3);AUF{|sWeR`Z%yhui!rJ?#hj>!Zt2aXzt&7~l$F+I4p&@ghUg=m1ZjV|9 z&kci&r8LZhx=oGaSH?TT29>e|46JqVZMsZ#tZdXBl}v2hzgxqXt&tl`wnBajYqmCg z=qblyC+Cnn$RmtBKN{NK3Ijnw$wfJZDm!M*-oPraYdh7dcx`_eT#0H#TV)MWRU>U} zIpZ~Gylf+$Gr|$u>>0qu1;|>6bW#1O;cVNj)m2k6@jQ~jr>2j5^He9sVO-wl>9*Ew zi+u-YzF_*t$Jg=X$$&ysNC*%LiYMPatnF@lk(N!#^}&E?_YCUQYEnYRhsscnq1 z<MxJ~lSPCBuvmY%B+_tLx&tGIl1{;Rt^wd^M)Z0hO_X}ndG^(i=H_PikR?8W;gU#$ zje;})W@ZKvA2KLxJr@Gm1}u-u%0!U^xAV7=`GC}~&=8cpjiSHBOnxO9fHHOv9a93M z0l~mXbADisf5-|Lxx7`}3(!Cp6(A8y`Jg;76}t*@brXLDdH^8)RyAxtIWgE27r0Qk z@g?MZ2C4@Hyp1M&#b8M79LX#pYVO=>5*`Yin8s`R$O6~)WjAo-uME+0R2r)%((r>v zQr1@?6egX~+>Bb^$fba=IrfWD{no`-AZOj)L_9@sGX(J_Y@L)F{_Mt^0LM=%776+} z9*Q>(f3APVx%vRXyaihkKk{?O_`zk1<8V8R(3=`#h{mgC&NjkA>h_8SsNPrBxdIvq zoA1KZ5_;d+7cFIWr_-npLm#KB4n1I3!#=6p+d#lV3Ucz%RVn*PB=(6NM+J54Cm#tK z={yYf$hsH7Jw{2}#4*M~sN=~8@yHU3i1z@4Zy<kG*h?FdlTAM<MBW5H7f^pcexjm< z?bFqV#{OabF;nXo%^iFSgs`&@{aOtRGUg5+2dKI8BpMeuCe|-n;AZrBkkI~^iS<w@ z4#0}13WTZ$sI`p!?Tr07@+4{(WGoyy2B<~%XFoGy^CuJtx$THQ;BxF{T-gCQ@*sk^ z)YyNr9L)<AfQNl~-=e@}^4-sq4Zh(UeDgK<7R23CM`1WlVW^Ftn|V@2?QLpW8Ba<X zPg<FL*K_85$&|qoN~2&_w8*r*7qDq2?@Wn~3q+??R1EsrG}?Ew*fhe^D(EgvxzwpX zi9DNRAyT2*!bkoLH{Tx*$EPer#&OgQ+}MBOY@6Q?Pg7b9n@r;$va-Eaq5AC>c}mlX zWQ#Jlg6HEqvxXCwp)NrM&$ZbUBhSi1UGOc+s&{6e&hj*!V74fm+5xQgfPMl38-XG2 zIkl_-Zb;F2|Cga>)u&v#3r4KiHg1wTM&M@6&~nUQdd>Y;6%YA~FmCQ!TDE<zgC~DN zNxIukK7XF)@chju@smaaqVFS>$_58nuU;M)3><;&{(FCC;2j^)-HpvAg@O~S#^`Oq z-tDKd?E*sm?P~2#g;It=x667m*JiuYXvow7xrTvB8Rt6E`%=c31FE%|pCyn7k4@e$ za9f+@wdH<RYPflWw4#{ZJxLyKr38PuNI_~)QaD19Q?CHzej2a7a57zZX05xsr?_;2 z;yPkB{klT>3)F3PaJId6eYde<a#{V^L`cn}<|KtLhHo?3*>i@HAEhF;o?b2r>Fdeq zs7_~J%gKv1L!Df!d-smp7EZ)m9X7D!wpLH-mnvJN{<bG6=f+2qClz9}>Yjfa>PY?< z^&zP={cBZ)AqI-qSqe@+OJ~BYh5<{_rckM6>0vNte#`uGxi>(!AO!TJ=<eGPnsmh0 zqQSHDu4GKg$}-@x0@6k^@kUot&u3G^#xrsTkQb!0ydJC#&npeemg=mQn)A-^Vt7B? zr6n|Tr5*V4T7bmTop$gGlMR1UAC;GxyH~!bcsRIJ7^XmBnl63Cj|H)Hd|-|k>whm1 zK5}pZ3n8^kmu@K$F5`=uRtJ4{aB_jIoi6NV{4p^DyWK}@iD99(65#+dmU^s(t$AXj zVjY}l2j_@q)M*gxKIZAtA03>cv56<uK?!_hi|QaIY*;}zV_k``3^soayHH<=a4R;_ zI$hebC1+Jv;aWB6^)avM<i|4HPfAS<QOn$x5)5C?Xlvk$=V#HXH)hUu_<Jr~2u_hg z^(=EIVTu4w@O1Jb3=k1NM2<<pv^Cw<Mv)7&mS;>F$hS<!YMzt$sIVTNUQIXVd1}ma z&OygnM~o88NgFkMiLifp(Z>U7bFXquVD6cd*~LU<OdOqCrG9t%mVJuw5I`vNraY!I z#e*-Hj1baBJEo{y$^59kD^t2Z*T}v)*Jy3*n-}H`d5UZN2)I0h9sMw9@H$}_Q5gxa zh(}Gu8b(6s2#1lTY`)+er{QbFloP_McDpz=?kQ1C?C-@$O(lOV$Pi^4U^^zR;WyWR zp;`aLnBC>0@JYxD&+#3v82^p!klP(W6VWSCc~>tq_h0aU8hJn`PmSAMcEPe`8^Od_ z&<ARSSB;I?N3k)_u`z<|oVT!HKc1zy#A4NdJ}Xzuqp|gVJYCE%eF^y$t!D08W9P{| z1(0=NuYyglt-F70+>l8V@0QY5$%D<<3yxvz1TR3xFHOJ`fFYPRtxFh$VkJ=cB3y&u zBzmrF1SoWI#{P+hVI@$IY{MVof`Rt{QKbnOTb3;VwfCk!#0v@05?Aw&3xq^tP@-}P zGxpbLha`@|g^7Hi0ihUFRN?adEsPKmoQ6QW67%E=+TDKz4j{RN5tYFXR2WeXIN;ee zw7Xa7`ukF8c)HmYG~)UaO|uuq=}aX?_{10xbQBJjezP$ge+RiJi(@Zfwn;GhC&qyw z7eY82`^81N0BkkVMY>k(K)gsNKISyBW-~fGs7#1@Hgo!#)6Fq3^*LnsTM@)tOsS{1 z20AhwKtg|r5q+~kbEXvi<i0z(6~>!}Q1c7BGYJo1=Jn<!E&yF)Gt&xZAJT^d;2jDQ z&-g2l`sP`@&=bcmo*olfp(6rBFVRr|UM$7nIg{W&QjM0&ODnM_XCs|*n&eVZKnvW( zL{0&RdA2Mk6#{rtHHsz8Aar5w^bRuyM%_S+c4>d|vIb$gAQ>DC`2a>*Wg94Zg@8q> zt*cBNg-AxvpBVV^+=A`)Sf{u|J~`E>x}~c_D`7h8RvdeX8V1D(K8@a%-X!YbW=V7o zpU@&q7v>!6)VWu@)!+dHeR7F+s^?80REgJ*^qN`&lX;oCAB8<>q4KhHj~@7<Ys2)_ zE>wR*S^~3OzSK8%Mxv6?&qF|f7B+upp!ZFF)>|{3Q{zp3J^})_VDkz2SutZxetrT1 zw_)?4`B_?zt!IG%>kJIp9fn>iUk^q~LL0sdHhdRt_--|k6Cvu)R$judzU1prAY*nf zX56Q`@)9eNPPXpKH_LP}3@aKQT)lE%tk{3QSh4wIy5I}8Lf3a-iez;Tma60cz7Mx; z?44xt|5{N_xhq-xSb>GsPw|Rhk2l~>l7g1ioYouIb1~JjJE|hxT`&CdVE7+LJ@PBw z<X4Dv-|q08n+#hRqVn-zzKFeim@i&ZxOpS(xut4ZXuga1*cmckE!J`Sg*W+Kw=RF^ zyizr|<YB;i%q&&=N?Ojj%ADXGyj%I?m~wr-`m38*@LnZ+K0nv5hVO-pS!MLNM^z;u zGm%6?2?Jj*x(`}l{qAJCcBi*icT0jgt3qs(K|nQW{ku&xd7@GdkMPma^y9Px0eA}6 zM!b%z1>9G-R!8b-E&f6^fHtkhn|FVqGsv{$`)dRGqcF<uhsRZ!2~AVQzUL4fIXFoR zA+}5vYsn!JL1baUJs+!3+n=OqP|qlP-tT@WRwz%~RzkVw<PZg<1$kg4u?F<2;2U*0 zL^9a9d8*j6rL_|hCDb0yLKjx-KiAB?QBY@PA1qmQn4BGa#9a<1Un+N8D71f>ChJd? zUhEkX{OSfK@-UU4RSkH}Ok^oes}{hdaIKHj(@fkepH^{Afi69Mr(I~iJne|GCvH7y zL3jrzrX>-d4d`94Xd!4)*>m5Qr=8xPn4*^V7l7>>Hktq10%+BkN4Mnm7Vy!4J{nta zQay9eQTAlQ1{cVe=ZxrLog#mE+P)m3*0OGLN|gPTskJ)+-N)F~Rg?IdHeBsAga*rj zbNnB^eT11{V2Wat8Fwf%o>ON0xWgri3nXcaF6mB_8;D1PkBao2jqHV<Aaaf_RN0U! z1b94U@2!Wt{`Qg`T(8ORfIr<?BpK4vWn^!q+s&Qp&}^LAw>sPT#h`ykQTPI}3Llvc zvT%JCwRpYQ<EbYRV{L?BnKxz=PDkuKQSN_qgD~hdraq$h8$!H#k)689m8QUj9j$cb z@~tJhYdVUG%K-IC6x#JPQL*V$7Is#uGw}|iiwh7d4WxsC8#$&a>bqd>iKcrdZdq;6 zr(n}|v1*;s^cl`2-c^6S4V5htB$X3UlN9K6cnf6IeU)Q_(22zPG0huLi~A^eT69Tv z>x!d`@L!-<L`D3x(~_P&yQACCF;JXM1$0_%iNT(+_|wo4P<&p6%CymvyghTV@6b_` zc-{(uX~`uid%9xpp}!Wtc2_t|TP>;EvpT*}Keia7P_aEDw{(BRp5F1j`VpGwkP7!1 z?Il9{>y97QkJ7}DS3qXOm&EO_M)<1#1&xMQ6wKI}YT2_z1VtU|j@7BinN~72vS(0r zk2=yF7hkb9t!Mhc{)Vbo)X|Wyj1}6`4@{NpX;uBA{tAhQRM<_MnX22fsJ@{-291%Z zFlmVyL9X84khFh4uKJq#JbA2p*}Lg4rkeJ6lp+Lf$5yZ8(+XWU-$wI2V0GJ+1Vv3L zN$^^08f{8gNTd1@c9uM5`+L>Qkm>0{a#c^*X`L?)-}$Furc#BM2RvYB6uuUHmz!=f zl`AAx^@ZUn#0z}qo?bT<Eu<Q7Gd`Oa*Z+NCy36!cAt`^7e~<|6VtfSn0DKlG4k?eE zwi#)%RNIiY!(=E>UwC0iCmamFb^Tl3RB874F`Bwzyv@c>CRc_1;B7USx0^YHnEPnI z8ld~;7Y`flVv=RdOJ~-~#oA$t%|#Btak#Nhh!|RQs#^?MYDiGyukB1*3E@;fy6GRP zaSTqD*?4~iqc+7TRxB`H6@Urd>?Aa~-cP!$auaZ5Oz0xJ8<Mdh-EHGc@A~`U51Y-h zC8pBV-n1C@DFt!#!(QQlVXsZh6)^d@_<PLRmE<eHAj66plSZUY&0?eNxn-cXv-U)K z@B-zgQ7DFYdHW`{FEYL%-4)5btaQg&WeOnftWkd*^B&{|8TYEuU<Q#-<Y}QbY8D~3 zFV6@$E8Icf-h6x&EVKf;0tSqik(m1cN0)0r*FXXj;ASMGhUUBNUz;<ZG|q1ZPG)%` z&o=;Fk*9qmt^kg$)YAe>9GwG(12zc)Fx2Dk0zd(q+V274!s9iIl}JDUMtJ<)`^B4J zuH}FDI_JMOBR^qIEd!nxUs)!;2_{*NuPr^gsTPV6SUz=2{jbf_pEQUz+qHo%$g~Cw z{^r|@K;q3$p&CawIbVX_B8?j`q?>OqHTxsQ8rc1ipE5P>I@?TPT##3NfMn?MtOAtd zndUEmH_$ekik0c@CC4r5;@4<A_4`0Pg1CRh@``DJCE6_>!HCim{+~_C>1Hl3F>T!z z3@bh9|0TU#YleA=Z|jy|ROxZ)&+O&iGiR5?5;;d}SCwqfIGv1o2y%;WjUSm=ePDai z>1fmg8h4#m$O!$a4BsiI<7tm*+~!){N48c)Hng_>att0K0L386pfe>)C+rE3WF>!> zox_Jt@W$Je=gd%<QrQbQei%9liq0#Kk27{}zCU;#8qZs1(sp_D=<3P*D<#xyV(D+s zwi}G%+2d1wR;UoMCynq|KMfX1e@%yd!OCD?us+xqY&>}d<FvS`r2SP@Z}q<rG0+Os zjNQ^-_H0OMp;OMFD16`@@SEmWcz}QKs-@ltdaI+t;X)g|$V;HcFFw2yQsjw<_p)#J zxicbCRj2N-0P!Np&AjW`U7$??_Q#r0ubWY)>uH)cRBH5Ct?0}tIOA^zXGPYZlW5YO znh+V7TGqM%V&*;<CGfr(MOY82vE`g_WXWMNuj7oT2+n$2kGKjwV_{AQ8(n{nAsoJ9 zMHnUE(TqA$pWbXMJK;#X#%f;25q~2%E3h6x3%y}sel;qz-v6#+REM%H?748>=`qm5 zNFQ6J>g17vqWp%58ola>2oJ{5TXcjVcJ9kj0<O(QJ{vkuP3VTa;dQn!LN?Az=0h)} z&R16CH(VJz8@pa%;l5npdjWqVK5Jd+z^Q(^85L3g*eimtq1Mm#DI4eOjk~?oO9PsY z#-f$Lpe-7>u#ZBe1!nYC#e2>V{Jj^N8?^6TDfNKo!3RbijgM6V0~ZNB5Zc8@qqebm zTq0x|YQ1c4v1Q#H^VU|WvXEFRSR=%!mHJ;9@`o?m8XL25a+u%aWITU6R$I_;*MmJ^ zfw<YI4%#J(B-m7cYYVKdA1wfxeWIT5(Uf{sS)%$%q%x$A#}mpkZozpK0*N?Uqf{Ni zP-ExKf8M<O(+Y!mFlRi6`Oqt=dlRwGrJN@WUrNzUe0(8waw7I+)8!hy?-6b_*Bc{N zYaUcZIM&#?^W(plb>n}Zc^??YZx=vZAF)+)y*48I`YKY2g70i(xjTx$!uYB%2>x=3 zz>xs86mO}&VRD-k=_7Rn$hu9lak*FF`*0gsgskxRl8q(PfS7Rn5@K2DH86I#OnAj4 zP2u7gfMbXfuH(Zff;=*ALWd?8_awwT6+Wvt{3LBryHdi;Y50FG+G5J#0>*2T2g#{M zvbbQlY3zZ>Wef=<;Qyl9bZmakjRUH~lEnuKC*m)dUh$BQruj}k4I25d>Th~|%Yn}e zectaY_+5R=@OSc=^wCGF1g3g+(o0(^wVS7k(F~3#?xnum+F?TekcsW<Q4Sz@yeW$s z*(SJlnW|V}G=+bFkfs5lNwQpY3retY()Srgd&?sK>L7_!P4~))fjjG*8xHSoI%Cgx z<0P-B)X{3NYx+$LdHzKdv+74QKHFW)c}NSeynDudiF=FCG06EG?^n=w-)ZoO%?x<d zW?E;7YKvxr2Sr&b&iK7>AeOis)Z#hv$`Wtjqp=H=VQhcvyvz12;fpX)f;SHBqND1o zH}e^VC}AT0uZ!C0MvYf>^Un&Mq<-xGPN02!L~7MGpHAoq?2fc+e|zAF_3F!fR-qHd zqSEhF+S^CuS1oNX2puzi(Cn(xk~Q+iT<X|jKUuGYrUUv@zlBjHZ@u$5_$i-m5l7;2 z?WF?UN<@FJe*|cv7~h<yZrwkEqT#{Y2%1l_1pMxwWY6<=Y7cuy(7XisMBq1E_m9xe zB#>xAWSU4c*-t&YBPGXAb_M#ClJ0$$A3te;thnFxnu4nkiiwn<m2)+EOb~_%)etQ* z^RAkLvlCqJwJ6QgYBLD<lP4YV%xCK}i1`0MHvWG-p6U1h1II(oIVDMqq|(75me{aW z4ohOMUS7Q<r%G9JTFjvtbEarWNX%l`t9P%c7dg*qqQcl#<h&8K#3n1l@O}FHez)8A zpYI>n<#FAw`}Mf4>+yU(9@pc#?RnEbd*D&=)i8oI<kz!YEl6nZTW!dxSzsyO%?%a9 zpqGF8<BK)IOynRD&vG>&pz^=Z)59Qb$;G36A5)8e|7oB<pIuyY!PR)~HiGW`vOl}# za-G(<&$nHbzm4ZSSA{swjvov=2{tqg3vo7nRGbvnstn0{ma7P<FV}UXOU!+CaCMmb z=IDCt<;R>F669C5TO#B^_DU7b^c)>FSDSyj$_!@PVmzZQCzPws*QfO|K<xi8hz96{ ze3fHV>MN!<`y|G_!F)og%04RX6w{WifI&9cOeoUrR#V$vY_zX(TLlOVTx8b-#}(-A zny;7wLu^c1R8-30@i7c3P6?36$l4xQa#cxaX4rA6fQPOKJoL+1@zx9j4k7GE68wJ! zf(GK6p<}Q{;{;vV`idS}FjpQOh&??1sAPA2xgpJ%dqU7G{7V#zJ*eZ1Oq(i2weuNZ zj)c&t0dA|kgcwNJV1{#GLYoFl+o>RDp=6CQLy<!k9$o=G@(KD~DBQbJSW(cSCJd|T zA3W8!Dvdqr^~^_Fv&@|)&9gvDV2yvp$EeD5WOdrq3(NL3?qh##vSo)MuU{aKRm2rf zD(I2xJ1lsMbKr~o(>+mG3Z#|Qd|Bx-KXrf^!v+g|L}#cfFbBRRNppRdR~k%ixKgF# z9hZjwdtI(28>WDyWOcB(GlIX?ciW`E<dK!gj$AHFV86b{CIP0HMH%Rr;jVuOB-bTv z@>}JAlegV~_evnQeh@g9l~u^0?RLB(f$G88r&Durzm%tw%1i9WX(I2g`H!oTUFzU1 z{poP6o)ZxK-fs8>obrs7?YxSgSa-Tc3lYFYeiFrYq09(RMoF2=S!$$@R-Z26!@A+; zaWXT<qr^VlaEBJ%nd1+|ux@|2U5m-g@lr7-6HgF1bYJmbbGt}=ERycN@@UQNlJ{fL zgYGL;Yi?#+8q@O!5Ki;Re$jAx!EK#8q^SXsWxDMDO-B>er^_DT-|f~py#<;+dVqhs zTkrIi?eu#sgw}VY>3o5zX}8s<zwt7V>Xhg!-OE?INLT0-eV^p}Pg{TD(w1e@drlMn z`$}@6^YwN6tuCSP{t&~g=zTNCt2aHqjl-sMGReDFQF(#8(|zyg+-ZUrc?F&mE6g09 z-5i;HE<IhKg=pjt=nunG+q$e=@2`h{ve?(9eVV``Zh3_n%Pg;F&U~i8>%Ca#TOc!5 zO5X<zhErAXo&-wzd|rRx<@C0rJ%4wte0+Cu#oLiklisF3+@jj1-{aM_()$kFRo%O+ zxokOmP-lAh+qKMSiN<`?Jt>HZfzKaR@|9hy<`72%pNmz-hbJ!*hSN3k1D~c_KJ~Ml zRttNrz8n;$gRnU?d|7S#3W1j{J3D%6n$)`tTE5trnju1k{fmFFd30Z88vfzF-tygU zb%QPH=Usp)N_H>v%XQDS$j#hL$Dev9G3}s*d?d2`)9{c_=JM@yys?E8U|A$V<enMB zF%8fYOM{eA1>yDrU(6+uJW-()Nl66_{S#+(lqlnRo{~c2Vcul9s>Ja2pu?aB#hH=p za?CNS%XD6c)3<+O{o*Thr_P77#fCp}!vFWics}T+GhLom8b;Sr&RH2lABGet8<wwh z%5!moa7dOcDtiGdfQOZ+#vPm2;0VKv_qrMmn{jHxl=itA3?py0dBvo-^+tGF<$0~7 z==DAb6D1Vqrs1EgYzqt;hjzisGdl5~ER;nmjr--dO@M!qQ{N6A7r*j?r{Hq}s|5pL z6Z^NWRjqYGzG2P8^<IIgq)!p|MCy&*N_yp|I>vaP1ZSHRTJowCLQ7wD6!G9@m5YHT zMgJp+j&%pNYxAB9WSaK3lvF8IlNZ`$xlMxWO}Z_qRVvk$+U>8nse(&QYAuN&drT=s z?Y-r&go1xdE|R7>(A|@!?_^u9eOHRk`FubCdwGgY{nNQUraUe_%DI0R{|QuL^29rd z7Q62f4gdSR3mpJiqE&pmrsAG6W9{WZ;GvH~2sDk^uS)LOGZtPx1O^%cF`?a=y|$+y zXU4SHjnF8dgcYaoKfTpxHRy2@)#=!AJj2G5!#{t%-J|<HcII4kZ80hdk=kN&!Td}D zA^Y;vbBK$n&u-@HSAL+KyR0Z>7QCmcE9&z<E!)anXS7PI{n=yaze?Ow?>V-Ja!#-} zqy9P8?dizrp(Xn<%T&XJWs^|^pL60NhB{-)3d{K!h>$&io8{g}H3fm>mQ%=@YOp9_ z84Z6$@F^F4W@H>BSz*n%ehAa_@hG9>CtHMR1MVAFny3Pz-oOkqGQ6U6sOgboj0{Y@ z4plCi#ZV+z>QFyKOfWLkhIMQoV!kkP9o%(nIpHG=b?X8h>L8x+AmB{`IZ2Oh4ZSv9 zOit3FL!ly0COPS_>kjgiEOpWq3LTtICTo8tW?4f|&pabboh3lAlE2f*Nl;~L=+~KS za?&|vDAej(JUL10w>9*`O%kB+HxzpORu(zwXrwhX{Z;|l@J1A%=T;h7>U5no_KIH- zIq6It6q@aqL6)*PYYi3n<&vc?oP|RFxSd3nx;SKwO^hOvHMzr3EGO<USySk4jV*u0 z=aCI*?og~bE(H(@tO22LM}T7W{T`AHyES)^901XTLciWfSYaO8jX;hTgbS0yJrGEv zg8Ra6=l}##vLH+-`L`1S`8*FT?7V*;VT#=!4s=~Xm`-Zk7hbT-Buo8O2BHqC&vG9& zTtpzdq(Qc7nrNZD7hnf#1#9eEayEb2kfaE#jT}$bgiT_Eoqxn3OuJe*+>~cHf=~L# z1#U`-4Z-K)r$w%GwHLuhu9wICxTr%=e)DmO+g=nzQ2y|7n%n-YkD&bQ<2UZPgFOt1 zvsxff`jZZZ#7Q2=_NMN4u0xtC0vT@@CcJg$0>bpJe+1@DHl*)EdmDzJoVtGu7(_pT zEEQdX`h4Dc`}XG!n*sChH;UT8-wzcdw0<T2pk+eadG{S{oi>h;eO_#zBKvgVo?k(> zUnlsW--1Bg>HEUYTelFh{~WoGIqd{IS@;tu^o9Xo(zm(R*sw8R4>BaOVLJ_EYgW?9 zQ0JWh*)ErSVyORm38J3M?q+|S2L*sY@qbM+5?*NNP*uGc48<3D#RW2WC^p<)10j3q zY6RxRUls^ix2sW@7okcBQ|K^8cnfQcFs&Sp1OyO5c5^5K5P*02#c-7HaGVs-Wr@MW zhZrDaSI<UbZlKi>va@F+Fzxri2w7I^Ece`aJqUDQaGX(ee)7KXSk8YngzQ7=0{8v$ zeuB^LP#)Jo@b`|e$W8fimf#}_0d|oYN$_Fc<pcIqCirA>xm<RwE5YXt_Xp5r0(5b| zb5pWH2tKO3C2q<SUBD-KJg#(s4?)>=VG$t12+EHZ7P#kH;|R*%7WmvlGzo&TIc|V) zK9vtV1@X?JRWQQCzYc#POnp=$F)!Y$B4j6&B7_%KP9sdusYGE8P0Jx<4-+tea2#QZ z%ZkKgw;w>560!i!q7}lFO^CwWpzTJOid-?m3xXpEQ&nW7PZRnF_gwl*g3lmnj+?T@ zBPj2B#^JWd7XrH|ndLfsA0ha(l+17)78?mZ*GTgpq*phzmOy__$rlbxDY`Cu&h-ca z)?v8RR#{R7JdrY}*XhP<7MxjEw()kn+CI<q6zo|)W|P}0F;G@btKkI-bk<MW6tqeX zkWFbTJZB*3Ioy)nx{E{^pk;8w1-I6XT9RQ>rWHt9FV{^Fwtl1~5hhVxo<)1b^%LA% zKaNX)NmiGt&;oyXb^_@7p_UTZuFw~&G;Ln2;274lrEpRzv^0w5$@3OCU=3RyPfCPR z>S@;8V1X<4R7=LBB)`&<7Q=NB_+SlMvL<)&DZ{h^ZiE0x0dd(rQhGo}n8v#*P{SU@ zJ@t{$BNxzmcz1v-@C5FOkECy<CQV;>*h-D6>Uz;MzL|fy0$f^b8mw(Km(C9)#4u|( zVL(P|W2;_uZXo^?lLg#x&NS?`)u_6ROn5bz%lV)1NW(!}wJKL+eBj^==ef|TVZZJE zDwizaIz;9`g~uCAZ1+|nvf>w5G>#0ADC^tq3$a&8&}JoZjsa=(30u`AJNzz7@>JSX z*;LY0rEGtDKW|H5je%R`FDbS;uO@g7QaSk;(*}(R^(E)kcu&>@=Pbsu!FWO=1QC@m z#v*c*F@_BW6KYHLQSsKSMUDx^x<PMZzw5dB1k%PeulDW1*K8EVSrpnNEq)rO8JFAp zFhy~Y-!9FYL+h^xH=N$wuXElqNebg;r8KeI)!BbCH3?&21)5NGMOwy#2Y+!gF}7bz z7di{MP_%#3+2WKcX;ejE=Lq*8y1dvarH1u|t%JF0W#}zUcW_S)XXUYNFb-Cx-V$^~ z0g!z6cC7LKL+|sJF>x$N&0tlq_hOtHj(AI&IB2Fhv);4KF;^Olymu2S{n!Vf2Td*@ zIvjs^7e!|Ej}M8HmD}+QHGx6XX>qp6Zpw6+Lg!1K<QySr-Nj0tsHaSxiqB=Pv2SC1 ztRO_$-^|9FdPGHKN_gi9uFjl|ArVx^4SM5(O0mW4w=yGYxt{nXvn02D?CCEVu|=%b z*5lUQ+pfOJ_)^pMHs(A>RtOQH){Vplu`+*K4`E7EFOVHkyj(%V`fgkrOxc&5)lttQ z3yjz0aJjHOzH(pG79QI`nJsS-{JEfMhP6czR%p`qM3+kY?<s;`5;VuKHgJv+R`r<c zQps=sMezSNnrB$6^~MNIXbQU2q&H;<zVg4{7}nb-0aoitU8*17IBW8;`xkQ5=+%D} zt~ch3EjG<dDQj}`Zx%VK?#oZEci=l)Y{6wv7U4<N0;AdU`valt(|TL%@I}}47_0Mp zO9ZJZjH%8vUMSl@I4robeoAy;^C+%(OA>Y-uS_b3Cs`WK$%l#{oASlQ5IvgDI_w9T z-(k(oViSdF0+V%<mZDa_rGw?6Mb>|kJkPlh_<Chyb9$=;zqGn2XHIAlo~9bBp?lsp z0l_TbToE3PJ`<~^Yv&u^1DNl=5E5+@yMNM|WES;+N$K~t7oLdT8@spUGAW)i*u!}# zG>bkMyRXDmF99`}#wir)N9)C^mN@9eqgWdph!7TSLe(rm@Dt`)%^Yc=Nwj}HRo%y) zAFs(8<7^7gM$1z*d@hA16flZ7v%+K1(o{7cr_gwJ#scSy5E`vS-S6XEouD%KiW4C` z8Es45J9(};-jdnNaS@u=^s}5f#=^bPr>F-e9ZeJKS&Ez_;6`~l&S$O&hb{R?neW^l z4DVYgMHLN5+x7;{3oqAD8DM|5FPA5%7M>AVVo!>#ZQlnO3U9BhmKheVJ}$ZI1Gkmf zO41Ge4HjC}kV<==Z|<?}{(<5${NZ+4<{Mhr@{xf-gTA;_U1d^PS_g$!Dj*3}MSE-y zz?@YQ2Y_qzicnS{y&fw%ys3+OsJqLza)aj1jT2mLG8JbpN%@jvXv2RzAAwSnAue}G zVydi}R?iC&fSOL>3YH}C7o$?)W!4>8T!O&1NeV{_QNdrXPlA_@b&`PF{{VMLFpoJU zQfxXZJ~|<}^$76$%RQaGEPngcU_U36SI^;d>)Cvszd!}!CPFmHi`6F%#GSKD#8+x} zBDpeiHJ67iNp4LgYsG)e2o4GZ3b!c>ESgdC?$ycSJ{L@3l)?+(@ZK-|pf!v|c)hLn zmvJYgyIIsnR^H_v>83JX<vI7$8;4EWY{s82hoQBbjK=d#r0U7ew40a{Rwumox;hrb zH?!VzbTMWk@22D9i6)Zu<$mnHF=u|1lG$CDBgM(e&)0zn(9eI96M>pe>o{vBHZ!F; zAs7j_EJo;aY$iSQyV<&uTM}dKvXbMxg~b74&*vMMkcQJlMZ$~l=cAc)30dwBbT(ZE z!Svu9hbrp0pi=#~m(gmY2^mZ+&Mk~ggB5Y|b20|nu$#Ew#EFvN%!q3^Lp*3=M=`fJ zO=Ny<$0)c-u{eK~GL^AFp;qp%$fY40U5<f?HV2URnlOVmE2U(KU6|n)>P2*|TBWE; z;|4|0J;HmhIJxoUWqY(6RDV3v^~Sx>^+v6Sj@y2Y|1bvnK3J@WHW+N~Te9DlwtPW) z#vlm&z=xm2-F9?gs`RIyT}OhMkGE)<@npQEK}my2TStGyfo+W{=WWShGCp;IrNaI# zM51Na5muI)QpIUoy7W^KuwvwQoJi^A3P=3sJT3GRG1O6WrvhCfs%U0Ir!p@;1*#G< z+=Bd_i|o5={c@%MxD8NocdCDEf$A=7rwQMY>;XNAf6f=>JOPvymebR~&O{Yd4pfhR zGNM)M<xYQ9dIG3!8UmI6QJ|VV5&`qN_#Fo>%f+)+{JTiFw$y=7tAV1YfPmZ)GIxYt zK*+|moiz}B+jysCYdBTSA^+Sw2s;c2v>oBRUSbwYmg6Us03RewhC0?WHu|_{vDI2i z2)-Ga!=3Q2Jw)xz++QB`TrE+k4E~-y8R7_Hj`e^2V~2gEWs3+kBhPdD{e?QzkGM$g zWB=qs)Q-$8@^I0W1R)fBJ=-VHQH>ecmk!6i)6%$DZBCZv<@xW^r%IL}P17_7d)X<% zKfz(yK0q>~Nec(ELNBboO_9&8fwK9cE7?LDaN?7aK*ztC;eF}O*g7o_r-4KYbLaPy zJ<)$QwxE)8$RtCi8^=kw4}34HWKUM9VTT{@p}-0&X;ZALlJ%mePz^7<20odsE2Tn4 z(CoNZ1b<+U*gVsfP$^5Jxp8j_FxcZZNxG5)<+3!^g^1nL)i6+@s2Pbc+H!1D!MBSg z1m~LI;<$eZk+|Eu;~%cp5eQzv3;%qys3U(=dIc^V?=`L?wBqja{^@&KM;N+%XW=;g za~)wxI0pR=e*Btzo;3=+bcXnvj9`sH|92+oHQ9w03`%+4ImCFW6%2X@1%!VMf<d#; zPeY7qaxh54x_gN6pI<P@!J0nAc;_7qiWuu3Vl>PIgDS=*h8WGZL7*>VtRY4#H3)ws zJw7(X=!gvhS&R=4F}gN`KzGKch8R6kL7+UFjv>Y;{s{EZTC69+5`SaipZSD3LaOfV zg>+s=9id$J)`HwZX&s?O*MA}X2d9qkx#aGG+){rX;Rh^qA)T*SN7%j1VyaCS1%V)O zUx(CY5ka7UxX(js+@2s%VchtT+Jb*!5a?qZb4YE85(HX{8y!+xmJJ4-z<(H06QY7Z z9@{Ce4VKz!BaUpj*AWJ{M~8AYroKYUwmm%&>v+@xXqRR%70iC}I%*Ftbb+|LAec&H zKYAUd>-FvzAvv&a@+Ep=$ofFYS1gL=;>nM0xU)c1tq-Q!O%q;6xr%QrfHZ%if~l-& zJ5PSQ=);gTcxeRN(;e%{|0eo6WNia``-`5145k`<1AFrKt&I;^UyQ5$MSt?RZt{NE z(2(^N`Up1dlV1L0<A1<3*CW4<=9JaFo^XMVU=cS!z>t3f7eL9sf~YlbT3<)$oPG1l zwJWtQQZ?XqVAP}Ty2-|`T|<A?2a86r6hD+FKR(ERftV8ttl-;N-K5h9FrCp9u!7!e zp8OBE;UQ}a&#zcnl(Q%QN6?)G5Xn1;sxGv2=I`&nx8Pkq5=3prP+vz8>Hqx-`8-lL zSpn@CYIdI=#kvRjc=99rQ48L0Lce08g8V)C&--sJOw_W1sJ)R*ucLpa=x=^ijThEU zzTPOPh+2ld6E01*8B-Tl3oD|ew*7eCodd?y7^{TWQ5uG|zd~m0>n2mgUzyFhq-)sW zFuCyj=T+JHlOr}ChpbBs$FPRNeV+X6l6wn87GUMSQ2+gMT~Mf-Oz>t5S=XEbwikH^ zFmTna1<>TxVCqWq^Vfe-$(pslT$eA@O`i7w4B4wVhV6Nf{5q;kHi&9xdv}4jkW)9w z&;=ge5~FTXLeFo3xa<%_^=tj-brf^>%`fGRTXmBUd;x>~bpDE^#5sBL*`@${vm%%} z)0X*~xa-sCsQ!!M*F>pLW20AIRK6z442_H?umk>yJa!Eg(35}oD?ifw>y3c4q{sP@ zu&*}*5Xo&9-nm^k=xlww{9SF$1*o&NNqK#(x}A)(^_knXwc$yA0f@BA`H|QUK>@Pq zpYtPA1)ig3hchaON4l?#-n{j11<|nEV>IVhO9ja2*tOB+TV)j>^J5;PSICqKkQLTr z^sV226(AUvwZDJrM3%xZ!M@07aUU~H*@1phYw8ws&x3a}7k%fF522DXW6UO0siTeM zdf|KZQMH+A=jkrhk>_{=#mOJEj?LV@Jbbh|@=vXH9n7s&mAqwe<wlOX^?xlr#q)D> zDq8M-O+e(V>D}mC>lwE{JQI9b*1kG2eJvg`(5JNDT)BS-bNc5!N?}6Z+Squu{!I8~ z*-O=t{}y%@Q|4rpwd(z#M%Z^N{fFkncS1zj%QltaPu#7!d;IC@;j~AYD`p@Q>S!!V zE?l>$$mX^<i}xq*3*FYPaOK1JzQZ$#mo1M0OVjBtPMbTnSIhKvQxNtY`3rhZ91sG{ zxo=<T_SApf`WWE`U2jfCQ_J^ulOOio0_UQ0vpMNa?aWUn=jIcn|7zWS1~@k#BE7Ap zEqgdOYm#be!OIVvo0aw6)sh8f&dt)kZ)(*Amiduun?p?H)+vus;%bbuHGk_yfcu1= z@#3?M+=>Zw_4QHS@8=cXL3-ClOMf?2fXqU#jjn(FsH`9wg?fxe{H&`09p+yf?O(2{ z@IC;qt&N#R<VV`JpaSYUNydxj(NoN&cs<Y2FMM_9=GEAH0R^oCMvL96jTPRjt#4|Z zCkyf;85^INArE{#M~(TK&eojZceU!14uIhOUoC5F=8wfk^<&Jc=oqdV_uk*nr>4?q z{k(sh0&C$PR{6S;ZO$sGo`Xs3{IIxPAxGzB*`ENR>Oz}rJ#fFg%G*L)H0gN}mlTIz zY_wbOCeg-CnqEaq^24Pu?Gd~sv~832c<PeEFr~R&iAzV@HK~uMFUeU}TDQA!f1}-+ zK;xMqifs-v?(0+e%W-H=Exs)32_QHE0;PWd5UwA@@5TEc#Gh=ln?Z61&dm&qSPapx zt>Xtxd-YsqX4n1h{+rq+_xQ_-X2sjlty^a%mGSovhUUT*AJ-3%^13~Ln4X_`y^10) z8!n?L%aot<FP063^X1EwU-M<lhO6_HZ%>F;2EW>i9|QzJw{U09nr>^KIctq~YTAEW zOsbM=b8?SY9GvAOVjvBw-uq217bF<m#RZ8an)d0GFQ3}XIWsAVKe4mOgQUnp(E9;4 ze=hZc7UEIt7Hnx{^F!!7i$HOIw%tCCHW=IcQ^gE!@zZU+wuq-5_Zx3+c_?h{!0+$y z58}T*AZJ;w6=Mxzz5d5ZeLVt?ZHa$5KgQN)*kVicIFp{C9!)V%om^jyc}J#@);``a zCGPvZR;%dWfV4KMe4$Pw-c<oYB}chdI;z}`^BW^Kp3-`+?&BYemo~I!-22XI{f~GD zjuQVj0<(K({X;X;*L>{WKatU!PnI+Mo0YzJ>}$OxP8`X<5K)(0lSx8|U#Wi*H8?sm zBKY>OK6ImFICCaoEA#>;KWQbCbY48BO6+G#&QR2*bjoV>d)*fAoA$hbiA;3PB-!H@ zoQYV|mC*H?MhWNN-l8Ji-`_7w7ihr~=0g-2TJlw=<906-^+$QzGBasvQyOKU&9<9j z)#+xt+VE|cZk5BWhW6INsat>IUDGjkwd&i!-K+YoaC>X+)E%7ZwCsgix2?2H{(13+ z>SFqK4zl^lkEh{sA0P+HyaoKVTJlE|NPT><o&M<KjaFoH>5u$y<qr^@GLR?ycYtA@ zZC&Iwj}DRYH$8cV^7zzxtwFC3#5bXstUv0oVNHq*A2FOa%MLfPx4wUg=d)Z-Z_J8i z!U$=1Ef@4~qYnPBidWCkAF3|8ZjzDKgF(3~`X3-#WfPV7p8>1SrTRRc==S(lM>cqL z6}v<)y;NO1x!Fzu-H)3;+jwB$HUCQ$M6s|&ZD3R1z8SIICp!Gi@aMf%qI72Hxh<aR z;-1Y6Wb?0Y`QeA6L(+fUt=Icdu7_=+K%{0}n0nd73*>sO_8+<seBx{}_MP9L&6;=g z(sfuA2zS=r`W)$JKz*rF*phVbgJLi1z5RJpDf3mF@Zrw+3$@O|08%9Q`my=oH^qh@ z-_0;|*1SLX_Rm*!gwfOP8uGxf#r#??`q~YMMa$@wfI6|sx9WfCaEc#9FYT@-a{{(l zr*SVZZN^)?;cB;Ks6RS_$wD?qdelXphjofye%L-^-&~=)60mAYdQB|RyAKyDg$;r6 z3MCs_-lKgb92Vi$%D3XZVXZe@FR>D?R!Kh_IgJ~A8<g-G6uz?Z&ZR57Z4bk>TM-i1 zkjxw6vrO(P1}}fMG^Y#@<L6;=4CVC<(fIV7X!Z;J-bnBwyZO-oC~N-jp8-+g_qY%< zn^m{!$iQCx;bB44<;5>m?XMb(?_YD3CPjAmcFyj59|l{#XW1|m7NXGWwDg5=U#eUg zG0y*@+!fd$&bb!nL5f6&ewanvTJvjMk~M4H#QhW5ve17%^+mJyGVe=OG_@iGVUy(E zY%o8|Uzh7G?!DvM&EG6Gx#NF+IUucZtuE3!E@@yQOB|~Y&FBTq={evK>GZg8;_}Nk zE~W^2p6X&*Q#WOTuJB<E*)q4^XEFWkV+b<4?dbq0W%lW6fb6CWuDUE6oC--3_;@RQ zF^OMn6vuyEi&LnMRQO>q6{U*ge_3Lvc}>M!n>%I_ekLx|fkc=2gVbhu5HjQY-RLW! z?G-#<Yw62UQtWKZ{%KyzD7E=Ce)xb<TP6~9Iy$#EtK(-6^>gW)*TwT)VK|?~TVIi_ zEd7$9R}<BW_t*U1io;;U2z_{gZ<h|9I?8+VxY&Q~eOQanV(M4lR`}tP?pL69!(nUS zH{uQb(en=qkj*mK;Ke7R5}amt*pmnE)WxnQsAKuzruNN7d|^OA$G5u3t2p0QUq6Tw zbu?h>bOBhgckQ^nwHaR!;NE$=F7l@MTx*RV<fhN!ZG3ufN7eYYTY6+5>^sXO)hT#! z)ari^y3`FwW6S7??L!4gb{X3=)kQ9@i{h<93tIeXb&+m-1LD*&`fGa^&`aD7Nso+$ z{a~3qbP8HjfLx=y-+(-%j=smkf!^wE*YwC#*f&<yqlXnTwD4Ck?^dR-ks=Fp4$nJg z=dxba$o`o5dF8MuH0`cCFA26t=e~WXJ^OzLWGZp?o8bG8-7$?iPfu)l>W@BHk4H8` zM(ZN0b-G1zMuye}E80IT1&znE#Floo*1zINk$A6rf%Uzd%&e}k|L#MM_lhkq)CT^7 zks{N*ZUrLx)H1U=!)tyvW-N~{=#TbetC7tYP4XA4K7`JEam~%ejk<&%u{{X!c(#9H zm|v6A>y<d`tH^NuIu<+nI4s8t+!^i}^UiPfHYsve$06&ytN)x$SJ?jhh0brquYlMU zQ-o~3KKEq7Ejr{>b!2PtG;67Z%zOLcLD%&KwfvfAy<Vxa+Xu=(4fX4_*8Z-I`%~xZ zg!g3oU&0pmLQ{3qiqpKd{ESfY1rL9#RkwQep)1|jNs%m^TU7_Ak&k^hGlwBXYJd>P zbFLN;#~YB|hQ7ROTNSFrO}`{$bJ$$LLTq$MaCPKTF^9EOKEr$X;pNF5X(JS*pi!Z> z?LZkg``^`osDZq&V6}nQvtN{7fMZ8zF_O0OkgMMs;%EP5o4fn1X<oqWe;R)QEU4*1 zmEUxu1$ER3laFk!a4k19KpmReMqQ&{GFf>JG2H*Zng!e^@xmO=`ycwZm4`@_!YG$j zn0|H*Gequ(5pVJukf=T@4y%w@_u;n;{9B-|EWE6zXyt%#cX(A&$z8hNU@p5ycspD- zsYEiSK^!1Z@4j+xqdturlrVq%3_MZXd_&o%YP(sLm>@VA?%$3#Lmd-8ExFS=&#dWR zlfV+zLYxOuwkzig`87hEm3%?lF4xPZ$)u04eq4QC>^`vyb$6Vrsl}8h@6KF|33VK2 zSmE9--yqJL+c-A!id~*rol4_cpf6xwueE*@N1zXP57YF2ZtmN94AFn)`0`x*mu_Rh zI-kVG0=)oJK&-#a(3D(otCFi@Xqqvke%JO#F;Q?~>oK8y<*wJsm4Sj0SGKgdo03gV z_w1?dBL@q*#JP7i(kO!sM)RagGfXo6;N#xHEuQ(9icM0h(!kTIuL2`pveH2Q(y&0s zqY{Fm4}5kBS1xY7LnpE7`yoDm>ja3U>y*X(m)VUJ2-4(N4W!3)3Opag+nB>0+k6Yr zyoA3+Co@UU!`}!|J5VEJ{xbe1{Q=YWd3dSNeNN}ZV-|kv+fS;7tK-a>Y&g)g-8y}c z5+QcFTim*ASb6_>H$P{keNM;fG3!)Z(bh;`i~aU3|D$Di3-ILNfoUFp(%z3tf$KkJ z4Q}l|<Ept%a+bX-(&Me~f#<po%!zGQ?gut)hw`|4;CUu=fiJUBEAH^bb50MlR4eX? zcOfT@`B3ZE8i^UJwPgo2p|v>|+yQUs`AUVDu5KTjc*^muNHP~^U|dr1`K}-5VvdqB z#@L$=?vBgw2V7>(M&nI?poN98>8>IEd8JhUzqLkD{!dD2{g=(d`ssb&?I>qzbQv%E z_P{as!0HBzmf$nYfWE%RnCsvS<;VF-TmEORpA{7`?~brTFQCrU>|qcJ@1)aj`#Y6^ ziwbkNM*ek9&{u^iPw4*sl=7M)tr)J3fBr=%rO@^X{f@s&d3e!($i9Hu0KxDJMrDUD z(XmJdZ*egAp!n(z=w8Y=Nb@Z;x#6!+wj(`s4BUfvIQZI3tZ}!tTTnpR0SS(Zd+;7m zW*^Q0QK(hLy$cT95AyS8m1R8Bdc-aB?>26FR#=*065>xNbADDx=05SCJKprP5c0?* z*nhgL_nB4`_doxCv(8P$g_}=ILj4`fPCe5y=RKOU+2#Eahoqb;;(x|yfOGeHf9Wf? zcL#${FuwF(zJyT%&!~H|`gS`En}h9BzW<vvwl*=Z$+B`=uQ)WHo;h;5=7L!pq0snI zRiJ;4!WS(yN*JW=xqmS;>3!d~6Em0l_7wM3hx)V2wV!E!S=mL@bu+x^p_LsJVT4&t z{HL!6z+)OK+Jzo(xZVDB1Ah=tEVR0#G@9qXXwhIlzXyftVIwLVntg~aO9XdRakKIK z(Z0-kVI}>2(+>5gYhGg`hSCY<Rk{}UPFB;67|yLI{SwBjnn$xWm}8Hwn=9)<8mUlE z2V|o%p<(8K%gD!k4`|U{@6qlCGXFwE{+mykF{*7ERp8XCw`|O&Eol+I-#ps+oYS#^ ze&p|j<<ni&X$$|}n%(&Z=N+1O^Ix5rkE(44t7;zS-LZj8yLU#+y*1B_QQOx43zdD! zcm|MQf1wOd9rZh@x^3=-I{Atgt<cpbH|)`S>C@MLJza2>VXeMPA4E!BaK+(~zDK<W zRJ!0w!-f5iK3b`E!R3cN=#M^KQ|p2&4{HrP`VhUhi=Vde17&7Dw|hB;1699Xo9TRB zOhywmj4yRp9oo9tK+oQPV#ZUoO}nb5;!D2S>GUc2;X+oTxvR|b7zdhhy`Aat`JM+< zF~r4x8P)UpChy<IFUCZd`sWojKmLA)`8{zmpZ=++pE-i9xem?XUv@^V0+IILHkL6_ zDf)S4jfI8dKlB4X-eQh%P^D0sV5$_l@+O#<*_B@b)=0Y!O}d|60oFGB57Rx9oKH_K zZf9yeA*Frq`?#I_J@ZqzF)`o)p#pq*pbq1IKj)E8|5{9A78cidLTTaWol$WnH+Y$Y zq1T~q!VAu*Y@ZvvKIV!s@jkYs0(>R34wL%bE1&K~WHCox>!p7Gci7g5sMJiXsJUGa zto+B>eER<;MwugZp1}5^oSjkgA;8Kf_}8K8{{L2hW7_<9nNt(SMERD+3UG2;Ft3k) z6Y2paM}wVF2Se}i_Iz_OA{Ms1tpMj+{)aiXlA2FPPqs4)2bw*g2H03<)TL?^Z_jsc zW1<H&qXJwNRfjqD+clp)ILTlZj#*!Wl4D|=QSbZwc$c3w8WU&3URKoHHF=Av8+e~j zmu&jL969gi0S&yn*BPbm62$xQ;smgNvok3b;G9%XsNKr}rj`pepI%!y&MZ8e>j7o? z|LKex-BW|vKbV(KZ))shdRP{CLOo~nv*?4-gJiIou7I1Fv0)3XnTBQ2Uo;Gp!AGkA zD81Jfy23HfqN5u=lfhd1h1|>(X&Wf`=9Mh^xocfyuu^w4#<9afmk2?>L!jh;yk;4N zyWFvu?l##v`VaT7Wbo?#pWLAKMjL31KvtI++(1E~43{{Jk<8{8Ox?E-UE<@$R|r(h z(lleF+!}zsyXpeZfs8<nEwLGe)Tvdj(e$D%)bD|H7M(CQL<U#X=PXeCyTcll1N~oA zICmQJ{zNM_)fO&hoA~*ID|<VC`Hb1V%O5Zgz=}t`C3MfZCvULw*m0OU4W{1GOLp!l zF|03aA54VRx#4&N?sNaT@c;L-u0URsKt7A^6+2D_`{}K4`^FG}NmkXe=sI!0UUEa1 zxqb6R0JMHAi$2}TAcMORSd0w&1p@Uy)AMaoniN0IEn%}jxk<W(7$P5kO7`sV<PG`P zU2W{%jQ~&XCzOrR8hOh8znz;5ehd=XnHZFn)P%GvGAf0|m|)vrqD06>-!FZtCaZ6s zj||VQTNmfAQ90i*dRAH!ny!~tlW5#6^j_?);%9LxzX$oWvzrn@3@OeZSk>ZG-92f8 zc5Hj$A6ELddtA?{q>*}msiy_-u&h1e%S*(B?fjT~Y)V+QlDJ?zNou39@4i625y*1# zV;-<S_Z7-f$_zVB@IImyunIb^$dp*&nXk^swD3VN`-kwdmA0)S{n9{c&0sjYPv|9b z*YcB^zk`E`FLq0IsNBKj6pr{TQ4=*!<IQXy`$!@y3Oe1mcLcY8u@IYwJ`%`s_fB>0 zRY5e?!iL}@l~pm^xx$O^uf$?)ZJtl=$||$$G~l@i<gj3y;z>yra($;AFIb?8wYGUS zDKS8f>P+H#3(jFJZ3-r(21-|-YcY7S0wXNkCKtAA;Dt&ji)SZ*te?i^!z4+iS)CM~ zpWwv0748X4VxEkD>}>1Zd?L{8M$(#jV1dcHQA;jNw(UI0l63xePs5GhX+nqamP*sY z8H?DF&niL<H~64?zer*Ixt8L2U(2?P)|)=?SXK~CXk3FNhZaGTTm62Mgx+q3gL<71 zURK|HMk@;DD~w%PD}$dUneu|~!hD%4gSb}iK;Ks3*7#?CxKPvI*99N&`-{-M(xj~I z>;`^ayJnlxX3=A?ZXIq@)@Iq`u`U~4T!BBc;j?a7!>`bL7M!;=67))FTImC%I1w4b zs)PY&{-u=>lvrg&t9<(mNqW6>WR8dMFQVub1((F#f&~hF=V~0`79@-H&=n6dsc=Sd zGYir>IMBa;oF4Z!7k}UDg?eWkRL$lRR-way_eb>Z;0{`VcIzRk(@oQvhrmmAK<9#C zN|6)tNP{v_r^+RUbG=rj$|;Rz!QF?BfFAXF>?-YE;YPc97w%Q)DrH&0>dfO}&<;>r zuLSz80t&cu_O7gnGb*unu#m&V>~Gjd)G%>EB^3>Sj&Sy3lpCyw>LHis6SWzQoK%c% zg9K5t3OS#&zzA)R;EB;zo5y~T729XHiGpiQsy3-6d$KAG*mp3yd@jjyj$)3qJR>A( zG8lpjP?-{Y%>+ZH14kZX7H#9L<LXop?>2apvj=m+%GUd!E21EQ!nnhEiGf5v5)zUO zSsLtrj6dgTFSV+7Q_5bomtwApUVi>9@cna)hAgj3({m11fj=45jXVC%HpnT|R@4M^ zTD)B3I0FRyrC^oqL+@<GQ~-<ufGGgL8vwvN0B{EY-yJ|702%>64**C`0B{lj9s)oQ z0IXKjrSTcT>?~k1c==dIR0Tbaf03xNZ4v>0!+CzkiSj?M2||Gtwp{|i!x1oX4FE_r z$%@q{)VFe$qz#`==Ak8d0rKzysNNO=7*PlirhpLzfU02LTluM{P`y@tK%E27Y0Klt zM-@z#pe=c8@=<v{FVLDiHHE1B$x^f-?}S3sQy(%~mUmvEzF@K(ZO!vgP|5bGM0@go z?km*iOj6K+yoU<)PkdgYoq44S_1TmE6Eri!H3)e={|c;`WQ`CLswZcQ$<m1P#8Nv$ zom8$hkc6oz8<z&IHDn2&q{KL9c{FHJcP%-YCdUkBuq}kQqAjSqeH=_v+?l;>4PjU` zgev)a@D%42uz{KbzDfh-!|h=1I{^lN3o3r*qk>3#OSc@8p)$mexh`{|_MvC-2H1=> zZH8z6RQja<mZLCo#j-5wS(_S_1yH;J3jgfb5o!<6pzbul5t7s?oob%cT!WL|nI6P? zHU!2@>tD`+-6CWOuY}{uvkC_!W5;z)@zm$8Ig!qg)YhM_We!sMQOPhrn1RrLV@0cU zpm0Dgb`s~vRjhP<Vfq}J(0Y4|AiT2@RbKtfZ-49;of>YS-~?|%HuRQQrX{CUKJ-Os z#{zFm09{vZ$!}F0D1|4ZSbBxQS*@r#+x6C$Dcmd<V|)CqduP|(+-ex4!sm~-bbQX? zB?c&M$@2`~j&NQzhs7*neD=?O#0TC3ug6%WZguFeczP}_&fB-|U0+Xl8Neg$G=K<+ zPf268b=YyII2}Sg!J&0z^r6kc&wGUiBB^yVF>JHzM?J5tZ!0(M-futQlt1194&FGm zu2<7mMk?CWARbcs?T)z+y_YDr<doKK%l#wzaBzqEU2R=t4EsLjR<sd+aks8rS_hd| zjy?+2^2%{lHZ7$JZjH*CmeDvV7=>tA;@(MDN@6|ZCFgam-sA;Jf+Z_}qk(}&Lx{RQ zj;QzorWWU9t(1EuxFdqwhYp4+dS%cRs!MA+Uh#eiPC{i{p3vn<IVDYKi|%mRRbC5P z4XTH8USI9Btf)4{*{yGXb`DploW{AVOVQjbLQi`=?KRrt`vgW4IPl<mNO`SYX#F`v zr!?<)!!D50e7PT62cu?X?Y)ogsF_s5e9tk$$XkKE_tNbP5<yL8il3NBQC{?Raxjpu zh=MdKM%oJEt>)_Jo|wzb<D70%C{C_YK$XAhc*y(yCap?h4CC^DwJfls6ccQfTqR{# zw$Lfh!=fddVB%tYh74M!Tf1}n^rT#zqh;!LWl=klS1IttfJO3~`^C@VwBzh8)AX49 zc0BVf8rzy0#ep9PmDqNzPsRfYK^yZm$CrCnFo5wCNlYkjJq%IVz8Dpce_`Fp6CH$h zOo1o0wg^j-c;~2pl(y354qw&;r<$uPD8L{^icOkg$b|M*=8_a;Hjt}`{}c@usW-vJ zX12Rpk!`XRPWV*Xrcf4r4|}Nik?w9yvMg=2p;%vl5dI;u!ydpD=qgW@BHHVDIRXfF zpI33Oo|5lP+#Xz^t|E!j({ZIwPX)nLXCJavQy$D^%VEuby`GgwwmBhFR#+^yC6|YW zVP%R7O62EDQ5}uE5wr=G0GvXN{$u2M;8iaPt+T}@jQr-N0DvX{_ypBiVjqr7ax45} z$OVOqk9|Ubb4sCRk#VZO4pD7Brb`_SiV+?JfWRF9Jf=;Z3<6?d(W1+DTn*KU7z&%& zX#qj6X7eI{F|<za<x2l=gNW9VxN;y$WPM(ni#`(33eg3a1O&jG$@#qIKXn*@&h9`i z02GV_1~2Zk+;#|0f!Ha%qvZskrLKZ**<%j0V8DFg;ykDx%oqrxmw+%@4)Ek(u5f_) zX+7oiv@9Sx>*W9hBVb&?PU8vCI1aGmTb20*(5WAPp@6atPe9q$-@uG*fU-%$2w02z zcU&~EP(cWw%o|W<4FqjxU@w#5ykO;mr_d>#-Y5U<EMr|U+=$$E=Kt2S?7Xv{vNp3G z)16kvma@rFi?){$jeUCT$HJ`2ZZh87LU*(MbF^@va(@Nh?A`IrS1%oSQ<se|Z7Z9; z*p8onXW=_nxM>2Jbv2uUxIF`<r1lzK8{0$Z7JY2f(k3ZR21(flPRaq`q)e<8wb~gb z53?S!KVsx;9xA5{GxoRS*KsUrWqn)>Q{5R(>^#hYmPA(tmGayUy}^&1SGAh07dF_v z7%SXESBbR26C6G6UxHQ4J(2aO3f`HL&{oQS>hxvt*hLtuNQNlU>WWIPW+>Y{qwiKJ z1Jh=>MuKb5Q#Pq|nW>5zS`=4D5DYcMWzeP5n2nrLjBd0XQDw=cO~SW)h1SEf<oIDE zM8~~VVHY$LRahw;JIroewsN~H_fQLoki5#cB9Mk2oOJGKkKrB`gfty*$uN=OmuJv_ zthsvykxfTh9-ByqRyfl<x#ofgO-3z+CbFSry|j6*g21EcFfO%fH>vUzjlw-CaB4b< zORSPnp}eBexCaHXO~-IqRnmC-;Z%6}LVFuC0&rd|;JmSb^X>wiS8n^FWg?ucO(QW~ z*hp@rpa%nvKC-#rCNWOC<f3Jo;UK?%eTH{UbZXsO<lH1JmY&$VRU9Jii3yB8Ga;kv zP@l9hxXAenBWrbZLe2GjR3eQ>f0MN&8N;hW`!;D8KV4EzW7ys(T9S{djOie9C((!| zmGQ*?`#v|xZ|8Ge;jRYArmpzxCQQ74Q(`<*SI)9Rw&NYI18t0z^UBp#7=Dp|*71eM zL0e#Vd*$iMyO$z5@^}pNQS6@aWL-t~@}3S2?lSrTR?>@5B3Dph*l~yZ5gmY4@Oo6D zP*6_kI12c^A6CYzxI|u)jOuUz{9X;KtYbHjLgqa{@3Yk-lxw!1$Lj06(Cm23eUIKv z-EDH&G)0lo%T5%AHSD5F#^w5d$j?*UJ3<Fvad5(eR;JYbAs4HYRt6V1<3brLO{yB* zDKs&Bu!!?RxZg^fszJXLnp875#_1C(H%L&`>Gu3Y5Z1CdXHp9FVzu)ZFBWZ!g?kmi zb`6)RbZ&C((Af3U#RQlnr6Q{{fa~{$1XHF|BHMM>kBpOG(uNcy?IRa|jJ~yQG!7*H zt1kv<6Ffz<<@(|Ayw=@OrKU6x_Y^v2{p2{IRi?h8nikB}MhC7R8-LU)U0)tr6b#c^ z073{O3W!noyTEa705n$n>JU?wW4fDff%t~(`|XIoS7*5nuAwqWMFR&nQ-CmNy2HN% z@B;z<)U)8Mtsx-VMFFvYu+4Hzdou|bsMr77ar-uGMkrOYIS4OWOxt=N1Q%BOZ<OM} zV=9}?BXL}K-*WmEODBt)HWzIVHwP{aZ%66}k$rC;ZuROEaVbEo-98Jfqfdvz#rNHR z6sK%hs$Nd}?PQp2i#hzY(vE!yq~KW#IM!L63o&ea%q=T@;%?V}b7>s|KqT4*LR#E0 zAnC0CyoQb+_|<{j0fEpKx08up`;N0p9B@(6tlUKFLzTR;T@~RweL&a~Q-GMJx0ALy z18FOu!R8!5eh7du09dgWQ`1qw{egCZ%6cWz<uxhc9q+m0=qpeauVlJno2do7C1u%? z&F6^)6k(CT5O8mQzotVtlF9BVvLa27p(aSf>}qwE<yd2m;ED)|ZDcaX6SD`GYa%)I zBBOJdcS~T=WQEH!k?<{b?kwQ_DLC462A5+Zg?BDUF&&&`uV5aCw3{Tw7T#)GkE(X# zo!nE~Uc722v959kxc3CGCTL4Rl|;;lVfnG*;wouFav;rri3de%!Rk$l3zo5H-6mLZ zQOK_OGHu|R=ZIErGAn)(B1w5s1SApQuZT8jJ$7KU_9W6W4awrSQ@GbeM>b80Q+op> zHhB5ydrca}k3y6RX2ZwY)3|kl^G&ubc}u&}mK#C+TWL$Tf5ZEDLBHV`&6@4f=I5I# z6a7EJxl8_kB)iqq8#+ytk@-PtA1rw(7`7p%#`bL`FSq*RFRX$$)SKEyNP|)U5~s42 z7pJ$CvbAfgP*)EALbe0WNa~OC!CvTuo5S{PCFuJ9-tN;1`MoWas~Mmmp2xy$KmAzY zG5kr$EVB(9)(Dr}t0${h*+bKM29|I|B9mE+Tz0L0@OBNplF}~CeJLmsp0R@4g5r`& zfQvM$vykV3K88K}V=$bZDfAK@@LjPH9k<nkAxz^3n2H>*@UWG2LCpcWqiI47)0tx= zG;h!$HS566SI1|uUa^CP_ZpO`GF2|sDS?ay_H!Y&L53<(<r<n?&njY{6#6%qQ>9Ii zp((?E%rW5F5D8oxq<rl8$(qb&wzM!L8b*~ZLGV-NnHy|~FfRIR?5+|Ay=23|H1@La zX0%T1Ze3@+l%ByJHbZzndS9%ht`jL4F-T!^gaOgVVr6t4NkGy(&(;?{h&~-Fp=<A( zEXyolt8jbgzPk9DSxCix`K%$dh?a>3Eg`3W;x`zUoK~T6gG#LS5|9#%1LtuA@W!K6 zfKz-4c)=%tlz;-f`BA_zzXZ6-xCcPU1qcX$pbQWe0Y4gZBC6B_gb)M3J%9|O;T=FY z1rYK9f-#_B3g{#NB@Re%8X5uL8Qb}S78r%x83j1i_+LN=;8bWoVAKhqGZ#>6xI+Mc zu6&cgm2dnaKqv(WQZH9r;J1Ow_YOd90;n3m1W}iWq1a|1`B{Gh407KYWCY|SPC!m# zK-@QZ&M;}1^^p@%tLbwTfS)L*xeuOT&tfh`YY`QEP6CiUz<dj+4_yjnb%J;pv@Fzi zyoA21o(%2`4(JgcDF+vBC1Ctn3t9AkTkAg=9xnX9q1xja^bqU<1H!%rH54lo6+>L- zQ>Q9d+Cv!+IQ|$|!--9q@npJ+W#v?I3{#rzh&kV&?=2T{PV?-T3g~8?9`puK<c3QP zT##_+C*c&C>{4w5m03EkX=Yo-E32$N=G_+*gSijH)uWf&U`<E73QeTl%bbgU7IRJf z>Vlh$yh==DqbR+!S*`*aIN`m36TSdA;VZZ&(ZC7s1)T7jzzP4Jdk}pEuvQ3QEeF6_ zen27fn$SRwh;|2X696Xy2Db)mhX7JOSwNNoFg2j00J0(@l-3L+qWqmlx0BzgJli%A zxBmZQpcJ5O19(MN$z$!YygIahUK6MVaW76}*lpeZ;A?oLLGeS~l-4sDBL)61M?$pp z9*g#N7Ze6RTQ*BK&D-irS9$V$|MH#8>T}`~Gc&5bCe$s}ZRhWvUGA5v;az$cUuTF; z@-|R)H+j|RqI*1vyLG4jufkGCs<=x2%};dW|9_R||5au6&w`z>yPgMsKb-WhQ^Gc{ z6)~;*A`jc{>W{p@Hy@Lyjs+PCb!&)&F`PsptYOzC-gsizRpW-L!_7Y)9XfeZwC7|i z`S}f_8xB8iXllGo$eYa2IfrFv=lPR!)8+j8C!>5ne3X|j#1DP><FU#|3oB&Rd)e8h zXTFWPdfD27xJI+N(Wqm8epRtZbAOMJhaUN!e)+R?&+atZ`g<(3ZwoEIcP)guw~*HR z(xSn$OF*%2DGWpQ5y!Qg9hWk^4e*)X2CF?)IkLBgKO`;Fd+mTi1BwAq$kA?XD+eaC zd+s;EzzM8xNUN(`I@TXntNdiw;$nUrno7<BeH;5JdegeC=sGli&^CSK!QKHL*iBSx z^H_0K%j?j<wA;@p+@D(s$Pe)47O|pUE`A30Kv^$me)(xjyy2{a*u|$){inHZfxF;Y z%OgZAMKeoOX`-9I&DMXQr1A;B9N$8)j#b$o^+CJAd$KDyY_H{*=j`qA!=WAhKmQ&- zLSZ{XG%P;^H2AuI_Wb&J*2@sZz7A0z{xEj`U2)1(ueHGXfnnS!erM>nAo2A{(CYlG ztthWW)BPi);ZOQ|)7e;2%7zj=s*QtMvJ`*bOg%LoDtfq~wAwg@ZUj0a+c*fIV{kLI z7wAaXP?`d$F-w-X;muTj!xXw2Kmd*>fUq`GohL#Yx;9gPLmQ?95v$s*b8$5HiBQoa zKplYG0g!KTwD$m&v6-sAWI4VVm$Chx9Y^zCvTOnVH~>8zo2diMQv%4UHf$k|h63jN zXG6)fX-aT^bqsHE=XCu4*Z)v82j4yuJ-SGY!tJ}dx(yyVcj)$BIiqZ&(lmnPCAHmZ zYFDo0m>fQT1bRw1qoGJ$^~qCa#>nyxQKSCIxyAR6i=qE8EM93T`AB~r>eFVk@d=)0 zNebr^!{$n2BBRXJHTO>I-cC)nw|{0a-6C#%k5t3ox$iRl|1j&8VNrcy`zYNZAfR-E z#GumM-6|kMsYnjpAq~=93JeS>ibKu-(nv`U1B@_#q;!{*<Qe_F@Bhm=AI^vK;mkGH ztY<&ZbKmz`Yp>eZ9$}%7+>5H-v3rZP7iP<|bU!)TZI8Tq3eB!%k3P|LD8B1nM)&*_ z3Q4=j?e&SR23q7?#P^=Y3Y%LL`WxT(!d;H0li;#?<-xi9hpt0ac5Jz?$4e;W<Aq8> z-o4O&S4RRpUV^ULva`!}J@taF`mM-i(>QzJT!jDoUZJ>8=5z7>xxLW1Pr$kN{_(vo zalGbp$^PlRdvUzLxp4o8-fF7qnhT%hBRW4W7JCog<ytyF9u^x9`sHytKW-LV4~gY8 zIzL{P*B+G1zvw!YWQUgvdWMAd)nq4^7kX5G1ou^Br<dD%A_YS-{2{$=RFpLrF3Y!c z=PNrC%YLzo^%s`QenKHB{vUe}XgX{>LYG76DA_`0m&1G9%w%IP^b!bZ&f)$<9;^v5 z)aMQU4?KhuVrb4`{&+_kbSYdR-OENja)K;+bMwnjdgcXLbXq5uJ$iHmSqxgImx+6S z5(Eo0=cbmmdMpJCbmwN4AN7C*3$*9PmmPbm&1JzC8X*0VB`?<_-Q_~T0=3owCxtx! zl3uS^iI>;dM}Y|nTvql+7RzwDF-|L+qj$?wbi!=6Gia3ZDDUfG)}y&b|A!lR2{~R> zHU9WVY6+&))^+~08zc#)UVu7(@}muZx@zur$0O-cHM;5zCfg%|QA;{EF8Mb{Jj*3? zZangKM|8_7bZ*@8`)k&T>{6|F9eG1ncPH$-Eu0q(OXd+B_uf4}+=x)1x&FMw7*S2y z&U5i)NgzU)w4Ljsc!@M(j8vZY;_H$~gaN5M_XU@Sbb<kqJmBKPQb|M#(XHx#;p?s# zJl6Z~6c0nXuJ8_MTQv?{yI4sVxcxsZF-J6#F7WtQE(t|QkS=ifmn@M-{3NyF^@lEr zMVOLWar>7o(MIHuTJc<PcIn^?Q?<T4<me*B7rrNJeJI=Ig&)dyf!pPUH%2F`bEw-T zf@eT)p=VK<LR!Ut@ubTb&y?<ex?)K?LVzSh)g8ZU4L^s*!sM_!f|c4r-QBUP3{QdY z0=tVFKj)r>h5M5(HGFz1i<j=~UBnTjBmg$aj-_`IzllbLB(2;5T`XN55jOZS#J<E7 zw9Z7M0+Jc$tbP@}QqlW#OdM5}K?PUWDN7yrcib1IT^&TXdWQ!QclWM;KP`E`2}P1p z(B~_;t9MZy`{Qenh~sMzC)4Gdxzlw$iKr(T<&kVzVu~odXJL7m5dk6!iI<#P&gB3Y zkcOxq))%`8b&U~+SRIl^fJj4(+?l(4@PE=+Si9qPk>g8HU#BniMOf3#sU8aSJQQ^0 zZ~7>CwjN<kJE!cf(*?zUYowb~cQ^0a!)v6UQ#u4iSkuoLx$|~`@%^agjNK)==JC(( zUB6!{hzKIy7ivmbYL8gFf1SP5h<{FVoxJ3S-@$tk+;xLbc~92xkgY2cpOQ-U#o^<w zZv2#cvd<3rx=Qd<sANqJCA(Je4erSr9ddPL;2TiM8Xt<fyH2QoZGDS6FVT>_Q3waz zrW0E|&mO*^^FB(Ti>^>(pW*;E@@Wc@e4#6MH3|dXuK~VD;+t+WIA?*%h=Zn@gRUCD zxjtK{Z?-%8^Rs?Vv%{X5ZYE#MK7ZI;5E?ocIyL+(?t;!1u&#RhF~2B4By)I!8Bzg{ z(+#9ibD(+a#E{f~J)$Z6mf{pnHX%g%eCWHw!%?9ju3*n5Tdiu5`XN%v$C+A}n%{KP zS>{NM@YFw+8r1AlcUiVC*mDJswt>;P9U4fMHPPdBe(GmDf+~Q6g=pJYo4gDc--em# zzM7F?@pu=mS)zuA)8+Pzed3uy%z-SwDV_NeB~A*-zmHshv$towz%sm-ipBR<DdG>W zy3Sb1&3O{fhfpcdS!0Hs2H9^`SmpP<FEs~74YV^RugsJ8x}Aq=gnG}g8@Q+GY|Nuv z*c<R?*6s6hTwEI-(WblC1N1?1iouGwiYkhnr20|0=k9dUhi03doDDX!?_C5Mgr>#q zXER-B8j@yz#NW(@xyUv6Orz|sWM_fSg|E#sT*w=yW=w3%v-a)+xztw#Q?L`^Y$&oP zjwV54zI=syhHiaDR-$9Puf7}L>DIBKM0bPq)K(nBwl-;<7D03jV+6xW!a2d!-yCEq zAG6kA)gU4U?H=^SEqfIE=W8}lIg8Zjjfp2h=TO>zWKASB3^fEbbTt$;EH&6QR5ipk z4{PviXlux8m^IP>0UEWexGY#qcuY7<1n+PpaU@A32_z{bu_cKm@g>P6ZMrmbKC;A& zr%;x#9xR(XU)Al6H1N){IJea9eQ%(d{W;_NYBt%0u>m^W@M<>S<#~hNw7A`DtP5_# z=``wpwRzs&Z)Z?#@Ou}%2C-=qd-Eh0;|Akt`N0N`SrX@=+Taf^4;#v+<vSbjX5Tm` z)Cl!7u+3gO|E?Pu5vQM(Y>=9<v(HO%A)6g`#%&R7Jt8tl(AbWP@e3AxCsNK1p<3cr zwCNlQ;|Vjx(!(CX8WH+oW2e|-^!R2&9;Tdsg9;O;<NEM$oF0E9R3;uz<hxeAd%Z~C zK}7J-s@q~9WQZ}WV7gJ${>n~zw$bnLZA;iW)&cG%E&&$7vSRTy^AAJshXfBO9$-Hp zet`cV>8Ru;NrA<Z=j9UgsYnUsm*Km$&*}Zc=_ikV*z{e<XqdYvH{dj2H{kkW`7*SB z*Kt(_o7FM8)Xg-`#9*J}Qb2NMt4zOsoFC14weIdazu}D%L}`f}^xmh}rGFl=<>5JP zP9{Q+HeT|*(;?g<2fllZFN7L)QFwGLIdp8S60kib@w+(K*Yz&c$8623j<MD*qy=}t zhE7vDj{5^X*oC7@o>Pptm&Ay#sVe1v``J$oDqeeNyP2phAmPpE8<V-)I=){Ab$naS zG?ZLN1G4uEhq1k=g)<2wx0FA-WoySh4pNYdxzwIOj@FG2^!zsGY2sN{IiopxZU1TA zk88W`kqGCIR32b$&;BibsK_yueO`rw0_Qxyf;B5*=hA=j_OfDE(8yHl<IwVd6Z<EX zPN8P9`v-Lu>&`R=)7Et4mKR^J4xcSYKa*>sKFxTPQ9=0aU0n0~dS_84-ax!pT(2^R z&WUe6m*TR5P`b;c{`BpDVClV`Z<8nBZjsyeXOaiU!P%iG`Q{vVs0D!qwgtXLJp^V( zx}th0sb9C{b(Yr-{_voHvb@KC5dDrFF>&8jJ?4+H@$Bup-l#vzX|$>2O9XEze@Ack zcB&ZnhfD-~zxSoNi}p)kc+3|9W#h%$cXCPovbg?qhNOo~%2RBIhGMWq@5sm2qi&hr zffdf}nfa_otwTyHur)R@%RynnkVnGb%jSA+1A*~FCs~U9)z0F23u8Thc~6WRurTA` zb#*Sjd_XSmQLrS>SmiB`LBd=8f^5eOUNOA_lW>EA{H=ExpWrvAt6Cc^y0dul0cak# z<NG=rdHlWD+*sVTrh7PrvU_`!4HnXit6NKM$F14J1HS{&t6F*)pKO*g?1^{`c&~OG zB>@wo7&^c}p6tY9<8oYoJ1o05)y)L*0nIb4yEwW9`xxmIjI;!j$G|C9Rt~pNw&V5j zNnJ<5ZI3Nl=5SzwHz0$TqwRq7YrAMNdwb{8rnas<a#w>!Z;j_c5yN4EbUnFlZ}6Cw zZmeby)8s!WeuVG4&G@CL*if?3v$4!CHXIbtC;i4g#wCFSDO~M;M<bdZLx>=JkOvS+ z2p*&qKWoIVhFEoQN%JAoqexC$E|2x0*PX{<Bw-p@wAfi#DLDK#5(|0@u9KZ%2G~x( zr4f^Z*A8z5BI~odW(Jp>ZQUE5N}4~+hmwt&5ZM3!#ogCIG-asj@Zgo?tG|Qy{wvW} zWSD2oe0<{dtI_m--nZWQI3Gwpyf3<xVRRCg9>so#b#d=8{p0`E>w7gsHPaEY>sY(Q zbY5+g0mI>15=0WFZ-$M-rozO+;9)*tr(xt_Nnv_nBVj^e&@dOtjL3ZOK+1SXP5s#w z^h|@yi%5EDr{`{O%R}a<^F6^tIQNI{d_3_krv1_x#crm5aNp>r`MYX&?x-ukg>u+; zx=9I*H<U*!3wOPAHX}x7VoKlKEo4d}x6q&6lC|QVKXh#%JD)PM*&B0Y?12kGm?3fy zTxEHHt+M<=$oVDL`*+Ij(eK_2M!myUmLIsy2dG=*U}f_ZtPON|XWNWC^0jC0%HQUq z+IaGb+cJcI>%#;%kp?tcGn*m1t{a;7Va=JQeox$JE+5yFz?VCid|s=SL7u3p@|Vh0 z<?E{Y%GU)Qh9iD-U+~XNyOl%pUamURB<xkc=MJ|Zj}}?_Edg;Lcz0rvdp0g|YOxqF zoN^ufV*5kaxld2sYB2LSO^$LknI`Q}q=50)8UtE?0q}4Ka-CnayD|P&LHVn%c>T|5 z-W2$4dcU-N-b*)YxvyGef8H;V{87J3b@QY<9tn?mmr(ET45$1f9?HJB?@S}Jt8yz; ze&rW-KqDLh?((L}6!*ht`0g%ZufAw1!zy#-#4BHNz_mUao6#iGWQSzA`Uv|uql>1$ z&_y4A>^@a^rI0~!nWq(#@3LHTqwAFa;MgESU{zyRC1ld<M+!d6@yh73$9MTv-ka#_ z%zC~22FgENy5|F7kK*XNJ}zk&*y9=$$fU}SN$C^>xl^C>6!iS=63YNgkCi5j+9At2 z?UA<jIe%!cK6+0r>NaCm*q?j$=~UsVi$qm_si97+$7A`?*S|YeLMF^)+iNKQ2!*nD z>^al;?W$NweV3T8#A3mHk<{6E&u^kVT2rsGGbg+nCz5KCovK}!uU&hA{=UtVrKX<z zBOmv*M7Le9zQ$3{KELpd!~?M2CM)JT<<_&$U{w65K6q3z_rb9>rfnVQm}?1p_B*+M z!_>Q9f-Iq!OW{!VyV)6@+gi#1Rh~ufF}zs34<BoE=XA_@o=rTZqNY83YDB37EgWdn zePA>I<jH;0Y4<TzM7bn_-@d8X*-QOpW=Vb;fPX1JO@44ZiXx?M<l)2O>(#`>QE@6N z+dPqS-uJT4-J@lZ@~h?bsT!DWb+A8w`r*uaEO@KTvf@l*wx<$8F>xcigtPQ!`DvOE zzspBWwV^1PLt74OE?3Ev6Cs+Jj7Hu2{bAf3X(FC@rlUU`3q{_5*AkmLz25iFM2*@O ziY(u(CC-hCr}fL*!*s|37M4f?<gZdU{OFEx!ed$?_5NJbF|DZ`sr0@LaU5rV?1eE~ z@_%ReW9lK*ahNTKm=H}bjx|ral3_>hQzO}cp&mEc(GS7z%?4#sTSVJPN)vx_$*ziV zZ?)0c4os3AH3%`TUD*u8lpGSO8xAV{!5gINeA4OBN!+Q``KZ&e^I@l1r&MP^Cw`|| zXBppO#;!t=4r;L(+W*TLYZq^S7v~+BB$*`1OZqj^(WIug_!}BbKMlgHu{v-<aIYyY za1VKxggY0*Lb1-V*vI7`V-=0JXZ#5`)?cU1&#nwJ51S2>31h`lzy++l4av^W*cu73 z?kltHc#(0_dUU}L*b~lvJ^^BA*H6%J3e@W+{TNJK<7;QF971JCTD1Xxk)^DlG{xm3 z$M#wJLR!WG@k<;#<$+K_+{mmHu^tfpe8m?DA&Wb@N%B`pDNzvAIg|{1^^QHIM1x1a z=k}!kRu`w0W+jM11v{ni)6PgIo5;AIc&3@*`qJYBNtxl|QHuHx_1zZlZ$2j9m{EL4 z>=xWTc>J?yC@`@ByN02EO3V<2W6}wy9^~(|YidxOA)3aUCYes^3xe$8??!>>GgGi< zm(UcpgiBZ!ghji!yM#<Q&T-vgVa#Dk9v4BtPP<N%PTx+~6Ex{G<#e2Q3l=Nx8P*xL z3FN1CCx54gLp@myQw@a+3RfJ<q-2Dl6ZrSOh*}ZZdgLGcp-~8bbS_k=C2|R=bMtz@ z$r}Ir#`5RbSyD>Tk<o77>nKk^-2_0fSx`O}TNZnhVl$)n{Qd^(^0OtWs?!mL=XTTX z1|(#Rpb&cdtLBu#WoK5tYfygS#^9~1!tUZ(_j~{AR=u?iNd@v-t>!MO?rU*CEX&(1 zxq`)rU4LXy#5Ndzu-GpJoC)<t?b6$i+`6N7h3!Z7r9jzTW**0M@xv}yoTLStxD^By z*qdp+=l$sSy!f}@o7x|)Yo&EyQ=U%0V`T)D*LwwQgbmTWFzCO)(m9|ABh50g>s$$| z3bT6B?jw!N;2`}XWBYz2=jo1jRKKrM((yE)!b~;<we|IXtb4tNReW?&nt88UNk65- zN4kW!2?hVaCR5Pb1=qLtJR_dNoOtW&?Pl`DhW5XjeXY0q1BOln*Pggy&*8r9C9L@p zwjJh*(LrZm==U?tK6%YlPXwgD{In7Y0w)iwn+K9&1F@U2%W>>*&g^~%FS|K+WVgQA zI4<bPkyAQ<wF}RY#g_N0ht2fR9F{0{499;`v+Kr9#sXtcU<F~t)ct99`|KFn_Vajp zq3ke%ccA6|n-TiG7^s_qGiOR+%$nRrwNhj$qrY(7rwGULz?4tEsmun?4GiVP4;<~S zuM~E^&io$Do$^3^EvUC62aAFBJ-kh)L<+DD-ac}FL6NuWh!}L94_$}Z3bxG>PGS3C z1!KA4uD1k(tSGO<s!gdu3yfXxbsx%iBRdZ-a{V3*y^LZ^Ath+V+9drz_;UFS8X&Yw z|4IBT;dxLfXaRKA?c2cGkk`Qtg?12FURMQF6U;D-v;Ro`<mZk_1q(fZV(PH*b!KNY z*T)lo^|i9zE*K0AfZ|wpN)s%U87zSoQZC}f1O-sCigKOzJIOkezP5{1f4Rv$kGk=D zpYrEQy9S6PS8pEfmvcO4Ei1lCk?+cp=aEm8C-kR!OzY0<E>U^#aEtQ7{Fn9>$gs;g zQu~%ccFT`Sh~;g9{>&24TU&Z)no=5M(q~qGe>|EixBPXcgheA1ZS=i;RM6JiUVgRu zoshkPv)a{b0bV(0kKeCTR$^-DBq}A{b}jM01R?j4`eGtAb!OceUprn&#-&VJW0BaM zD?RK~>@@6z@3sTqW(EsAT^Kb+Gd;Z6nDPksJ+k_2GqIgD`Mmmtc)x%8ktu)HNZi1G zRzW`#*gL=+oqz8_f<xzsyWdhVZCBp^9)j{Qk^A44@XA=_+jcOtf;t#Z&KrM_!B~AO zZfZEMjol9ZfF2LK1)STZX8GYpRJI1qnh~ES*V`S)fW&{8)w7T0lKY+6Ds^-!{Gp_1 z9a>dv@i(p2ay&J0`qEg=RlP8n-fgOX%#8)aX~sFjqQLUpIGHkMo%v~Axw```3;$^@ zaHrM==YTF~>z$&GSEtND>85T%zfIks6s-%*2@#(iC|a#a5X6}0ar%3O6NCX+YMz(d zP{*_Aalxzao4BE;D2uDt^k~YK9&0JFS?fr(kR9k}B@?&c2NtI&Z+o#3UHg%LbxDx; zm{~pkXs(d2iQ5QKtA8%5e~!;x@d7Z<#I3A65EOj84Mj_N2yic{bZUnQpa_43nZ5}= zMX_H6?tBPt^Lcz2xU<<&(U@F1W&Zi|!X;P0DJl+A+nAdv^M2gw#`xk_PJ}P9UJ_i8 z-lN-z<OwSeYp87x1eFB8hE279KSuwmUO?L_>{?yDro0gN)bIyXGv?L?XaBJc1$P;{ zxp<mPID4R+Tk7ptWk3!6X7x9t+t874dV?0+1J7;iNps$bZRq3+H?Y1{n-~N4q(vur zXJeR?f2k^YCz&jKxgogi6ppE;0H&Iip+;`OAOAIL#}(5ow+vIa`6E+*w_qCowkZny z3FS_`PSY@Lf3hK(u&uC<Vfg-4LuQz+EDnC{-D}<EGZHdAwi|Qv-CD|Lz&dj524!v^ z@pYk@0n?pQnC`^49|6dKeCN&T4Zvb8TVEHXS^bZ^IS&DgcL{+zEwDfVu_<!}%#Gg; z({O`h!SG->Faj7h3?GJn3nOgB-X!p+MLa~%A2L8VAu<qBi2bq%8TJU48;%vBH_i!` zH~tCE3ASh>r1cLu3lrnO2I9|5Wtjc6JWd5s#r-vN72%#4c}5qmf)N>p$MS=wKdkBw z#PE|oVcQk`q`k888uyof^(4@;{s7LDWQv2rdB3a~gRPF;hs}e3#e?&AWwgUx$6Cjx z!_LOa#xcP{Ey-FZ)(i0iW?QPzEmi$9@`-ZPDTbqXu~={M-X@>zy%uF7d4*F~<YA&n z#+HD;Aa?C*VJKGyp{9@rpwF|X%srA9>d;Kgc}q-fBx|&MLJ-ktB(-*Z4^1F%ll~?a z)319>zZLmZipU~=o{|l|;_Idv8=8L=c;B*VtB>lv+7<P@-qk0Dkk^H{mQDHw(%<wA zqH@nS)2;w+EfjNADt7dyQh+K?3yZa;ra=#ntd(W{{$Atcgi5CW_kowqlG%XdvILv0 z{|`*B?f>&F?pP}qG%%z`U>UL+QX*I%V?Dn2xCistwk2tQop@+u=vE62AR+hkh|H9M zDNQ$a(Q$pSuW_`nzk`<ewHK(#4F9}ad%*i)1I*NLE&|E0`(@YhV9_y{^iW)-#X1o% zVcBLHZ6_9bls&qS!_LlrL~QkZu;O0&)O8jt0(g}K`wqMU!<2znkuW^yjTVp!dZPw3 zg5Kx>pFnSaRDi_Lc}{34O4&02z6=!6yvl_s#{u~q7afE$a^_~pt4+9!yo_4@G+RD! z6o6$X!?J)n255e0bVdUZ^ib2h1fEz5odV)H?%diGYMEEVHId>DBU~;esA5fX2;2cF z?kFVUm<P{}h6w=^*j*}7b?FUypc&2CDlrL18CW)d7zPFoDWL(-HLg7yXm5IhA;_b2 z50x7OlLHQ^p{=0384U)YZ(6g}aM@C31kege;UvWB7z4{LgmnW26w$mu0ZlXqlsnZ| z6%?&0^9BCBlz9S3g-ixP`5bv+CW){NpnyL55j2Z!4~EiC_f-c`ruv$IDAOBWg32^y zKEaWHrQn~yhe&|K9y=1?wD%ebaD)mv=D<yoVFEw_1GF*Jm~Af?#lpVVjN(skPz7aa zwiLlNw60!&vb3&rLB^U_7NC^0h8G}Xtt(AXN=Ab&XiC$(3eKx}r4O3Yx-tP7q@P8i zoKnx)Pz4-GR(1ES9~)I_p(Ca374(3QpmHjIXk1`WHjMRD+$qiXIcO~HEE-k7yO)i! zV%uv#Ic1z>pscv|l2O~KzNR1_t*d9CQ_U-F(5cpyF^F9AN)1G=b!7%h(!A0G38$TX zLNR2V#h|Qs_WYn??0dq{Hf2n=k#ITbX$^gX+m&Xao;c|PxuI>^=n^1E31|z2b3x;O zQNXmb4=7-Ui807UGsptuqE%TA_brtxf}fQd{{#{u2OajrkOh<Iozf`;Py<<j1o9%C zoS-6(1|TS@<Cp_fz;Vn8%IFA(p}?>W7%CFB0Y4*fq;!PxItn{L=^f#4R33~Tj*5Xv z19x<Qme4jWpd7SK4Hyh<(*xo{PdTA~MW_XKXc}sPd+#;0O#`h13@U{60e1}0LqJAF zAPtn9YmXL6&H??5BFI4Lf>bm?Rq&$HwlDD4rEmn=9XaUag6Aj%7f*+o02$SQAZQW~ zGzrz0YN8La(GsYJdz2a@(Edo4aWo#1-^qo;(FHCZ2lEBy=>mhGdhF0hRCzjoN(D5b z8HD-K+W8EBSbB;?>mma|E_9C7jxOSk)gTuNM`rjLwj&sJ#_kA)i|4=qz&s70DRhJv znunrGMOlJKwRTG2YNe-B=r_oPNi;Qb0f~NwTtJ~UO36{^r^xpXE>eyv@P-K3YoNIn z+8qkzaEU^hWQaclfixM*;PBFaBota2+2`OQ=Xe8a2#3`IXEo81P!|rDR+M;#xDv=* zGp_;;E!9J!#gRN97a7Oru!cz3XW*<BS`@m+vBwMz)<t_m_jp|1qs~&#s!(U?X9cLU zw6k^;W-wWU2sIfY@W@i~Ni;i>z;W-fqX_(r*s&hw8wHyI%4nmRpv;_qd$>?$-aQp4 zn9ZdMrJpWt0Q#hPW&ZQoC#@?Dki90UM5b>xj0`BF0aS;Ad4-fg^qNDZ@Ptw|r#))N zaugtgt_&_vss`HQcl-$VO@akoPFH1Qt2MSL0TWU3+(MNoc}}4`lsvDHDo8?01a^k+ zSOjcQ%hdrjYLQN$nUP3;$31}KR+;$s#~rJxtH<#zTRwF`KX$q6Q-(vH68>P~@`@#E z6B933c)%E%Go16bo#VIIN4FpEbk=2_E@l*&mh?@4j8^>Y&T?x6t?I$L4v9YzIy=i- zmc~LGwu!Nix4N@hUS6i&bNBfB&XD%HW+?_Qhspxz{-}$RBt+4FU8;)~-;~<I>@Xvu zk~BowU99W>yomgHuT|$zze@(sjlR|3(5fp4?||-|&LM4A4!$+@JEKGVt_}P`ns+9L z54%3$hq7N}E@edg-`}Dx-hXF!h}~64daHZ*DZ-7Y9dMDmR2kuBu4}#C%&GF>5pfHr z;H}1EZcBH9u7~)48bqU9lFw=$Nou>pmWCq^;(~v0oIL0<!?&kl;+Ve2#6Qg~sp~G? zwT1sXAc3@FtTw`nWRzX9<yGU7f7ds>pjM`l>PcV9vV5(#s^85e<pQ=wX7|}wg(_Q5 z^n64+-p$J8x2|P25}lX%dAG!*HMSlDR@=Q3;`6MAnXHw60&M)NH`{5?#g65RTW6+q zB<h>0Ga8GJ?OqGd|5|QWTF@TM%W6AG00$Ytewq_5;N%OH{LoQY`9`172x!GW2-?b# zJ=a);61x4mvbyXVYs+js{UzkRsMA5(oRiv!-Aa7kb}|78dKhrkZNA!`6C&&_%zJ?4 zpRjO_Oo`opr(I<xa;wE4AC9%<wMH%pd0>!x-_BG09=CF1$W67*wT~&n8!v)|=N(qc z&m;ONjW?unOAP!Lo3?C!9d&0)hy-m>aGr0hGJkHRxj;i0edkU>jxLO(+vjpzwLKTP z4jk6NKZ3VdSS8xhWkd4YJ)4B*`&VxxT353CsL#uPW+UcK=zd=i5ALkDdsnCCS-G$% z7zNbV=j?}gPR#bKeBwKJ!Sc~n7;}MG`NZ!=v9BYc`|TUn$MV(*3{%=_IekU{*oy_p zeVy}{-@dtiENh+kCOqG>TJBfXKW1V@d0r-yH+RBub|E#Gm(b>IpPi=z`8Ft%pi!Pz zG<ULpe|8Zt$T$|fWe1Qj)&HI4Cw^Xb^=a;;`TRm{FfZo{d1LJgXrdE1VA|JdwD`6~ zesrXhDZz?Ks+se)QN4b)HZo?_3>qH6pYvP;4{=UF&0U*$IYXk4>6Y5POFri9v}X;< zq+B8At@gbq{gRI9mfOAEa`P4hSUXGtHoO*peOAF*0iNJvy0vzh0hy#$nXE><Aj%9s z=>SRrw}3Mi?gO%87UKnyT{-oIv{kwC*2;kD<P5+20Vx6p3}*#w2M)&tVL2g_KK7-j zL)Y;gkfZGWBB^l~D7+>(DOwyYtEG}y#IFB^{Hx8uqvK@mgGXzYV+#|j%o+<5gDv5I zZT3H83R>+m{Wych(*1A(#`q6-&I&jWRF4auFO2NU87ye6%2l_TPX~p!W())=1vJ*3 za|C=!Jug0XwK?EE*5^LpUYj3X7;42zJ+C{K{~q4jOG+8w_UNEw_a>ZP==cCfK)Ama z(rQq9Zg1uP<Es7`^;X!{?_BWswz!orpqj6xf5krI+;mN@$7*nKU#jYk@cFmC;{T`r z`iR;}Ncp2J=Y2lVO3V@*&dbHj*AwOxz2q~5C_XZT_<Qh`z4Y+Id`Rwt!s!GIAx$lq z53QfyMN^^d@6m-t1q+Lx!WqLKXI2NeKI&@51~3b7afzxwe(?LXre-Y7pFf4Kh6xAc zfA1R2^HWo6R14W@UnwZk;49qG$gJm$5lT-4v$L-!<wcjuvR%?i<6dT;KsIGIbvI>@ zD#ZsycZ!P{EOa+mVEh}!K-CjYZ}Ah(Eu(P*gcQP{v|m-_P3Y}GhvD_u#7c1y;v2#c zNmKk95rBA%%qW(3s2sa{z`E7vUcrjKf4|K;PeYOv)fcVaocq1axYmZC$OEEe`aF<< znSqf3>?zMB)gRUu(Z?po7tg^=$dD6S?I7!b;~?8^QhZc&(ci->#}nVp#AHXE%0jD_ zrcYC$(yUiyOzoiTK#iO)7AuN_h-r;!j=~|5!;>6xLO{k`GGN|GrUSEsrvr0)e@C%T zk+tGZdS7f9Dw5|tiZclSWX-(~EEMHKV>v;{H96G?l}Qx|)BC8H@r3Z0RT<2^AH#^e zA3F+;vm@Rh*h`<N#VC_1$E3ICR6ZdCK9Ej40dH0#iV@aYi}4gObTJgX!JNQtue?>* zd8i4dLtcBH>!`>m>SUN?+YBkne<%+%T~33JSxN?W^!2Q=3>}YIg7mD+-i{NPWtQQD zWGxRV%_<M2nPe@8hBrjsE^l*IU-0s^6Vh6b(B1tIXqT<qj29eyj@?~$L4t5akd#i9 zUBn~y5%E>>r}3fj%R$eM#GH=|+Li^_6ZC%+Q`0_Hj;|)$BZKM!pT}3@f9&Bvm$zxE zFYNi+>1nNp=<a$1+9~QJ?~{t9lEgCdh}{b$0p^I-I>>G6JIEo!9D+9k9fFY##pDo1 zOy}GblNT`-k!uAPCqeQcNt)fNj4Bc;j2Z9a#lv00#o5WJTuA0gT(mE>0DdBy;tnFn zl;V-19mt55LTp3Wes}|0e-dD=A&Moufh$QEP0~z{ju(CJ0NONi03q>;T_7zG7t9Tq zRX$glP4A<2A(W$V(a1G~$$1mP<Q$_M2sh;&2$3I(nTz@%%v#Lj`iMP*e(A4ba1kK{ ztYwqn8(t9R%YLH_6F6am2{<wtos8sXAY4o1RL2vgT8ieiB8wetfAhN$ETu=P<3y4P z#lfh8QpeKyLgL_XC)o@bgsFw9`9Z%cm!3esYjk^0t@*d`R2!9*klO=lri8nIOE?oF z`6WreIwzRFzb~@=eIF;7XIn9c!#+kdX4T?BGa2v$EZl)=lX2A|>cnl}o20i?vXDOd zW%3?YaDt6YDhn*se-uG0*}&;YBh|oo!koOP9yq*Uz1iwMXN@fP-1Xk1S(OE!Br&zH zNVE1=@w)KzyS|t2t<BS7E<7nHB6Z*8MXq~(6<*l5lkcv*DEwr+IxUIVKuDGvtF5Te zb8K9c&U0-<Ak+{MrS)p0O4e)}%B53W8^wrT$p*eH3#kU?e-rLx7Y*r`$u5+Z5jL|K zqZu|Wiq^lT0j1q*(^UwTrbtUI4egp;f<5l@oD+$07DNH2yGm4plnaYvCMTwb5xTXI zwpD;)(6`*)i3J2NVxh|J7)I$WIJl>>hRSMsj3vz=9p(+opHg&i+f+op_uOT3VBKUx zKJnar<)E<ne+pS!tO5xxQqg488dWK}DN?ZvUiT3!D6tvOlcq~@>0kc%v-SelEK!** z)DYXHH0%iR1aVYKv%1QoxS_<G+K^?#t8^Ew&jIG^*MFZJqtCO4F~ar3^x1EoCxc0D zXr!}FgvT8baR|p!FxN&$QK-_L@98(cfrX{?(;^+ze~eY##sar_tl&CZLi7to)<>_X zyaC=+TcT3mdJ(jU-qO$nKzLOYfSs97N;tYI0^oC+<+si1v5mVq88h=dbPas@^lj~Z zGr<xZJI$k^q9MqT)|K{=0A#30z#@2y_KvvsylnYuX4yKhw>q<d%<O9^PPxueWhp$O ziepc>f44gOjHnqsMQ?S)PJsXH2-o>YxOMp_TLS#Y8*<vmsw6K-EeS1$Mv6A2H=Q=6 zksm#`cZxue9j%zbT1LoD5u-)$9ypLszfA#r$riL--S&JjR<MCRA?Zb@!n13hP2Wu( zSEI6v5d<@0q^kXQJf+H!O2vgo!-b#Kk$Gybf8E>K=*ZOj9kk7A(h{W|+DEex^P*Xc z;2qinl_T8G7rz=V8m*3I(|QTs)`CesaQ7aBxkl}?F|+p`M7c)nd!HtmwzBq87B6d{ zmlyqe50vRz(s}`|on>M32sy+&6NBoxqM!14>S)^YMbdfv`Jto^rWVR(`sT5z^M%hE zf8C!c%b&B_8r-z`ZC{mbgbSWM$G+1(B80f%7M@~ly^A{<E9H)qU)kY*vcSrkq;UT( zfqF&FMtM=mCVe3-KS6mzB_aKmr$76BdrUUZjWnAIF@h3dtvL~A5(Nk|;kvQ(EFiKZ z0&51UpBQXvY!;Soac>M@=EFeg#@e(1f5HGEPzqh0u0-@ADog2Cr&|!IV-izy+<Suk z3z6lw?`DSOkbvSN>UXyXxF^U%1p{I2QO6?6B1bLZI6F;9iZ~DMmgm4X_7kdP7tKJk zWS3k;Ru|ppNDWWtJiN=pH|SJ(Iv2`dK{*}d>={6@Q1Ol{X)op$UoR&Ajw6^uf65>n zGn=1lt_;-5K(;(~DUhmZNPWe^U(?{yqqXT^1ev5S>*q9^bCquQ(DMu)`e2gz6q~|y zp49wqW?5d9qYgd;=3=D^N-09EN}W-)B0>*mBV?4PGjRoLe>NMlaDR5RdSo^?m<`Ah zNF<fRd-Ke5pFoamPaS9hlM!W-fAZr6^GTgYuyob7X@;iT+=Z(zO^0eq4As^{G(2_% zk?EehvJP1Nqnz{X{i8AK7jyi%e@bQ4Qk49PC|Ede%sktP=gBdT-`XbtQC`n>9R`M| za{buDFq<O<1D&DTEyy=_XK5ts>hv&T4l!K%N3CA@oMYo_X%_d!0zy`@e?h_#TgvyI zH)}Gp>SZ;P%!6F|ehMBTzJ7|x{r=i+&5fbj9LOK{U2Y`1=dSH0HdD|?Z!l93chRl4 zSG0EpQxNZ8E5<u<)6yYkvx&6SMr|b|JP@z<PS#R*tvvj)$Wc=wwmil+s+?DjOG+Y4 zJyM))p08g#+&2QVJE`Y9e^GL<$Luwm#e0xn2;b5cu8kxF0FhMsWObSuL9~gvALFIa zNZ;svuB1o(`{4wTAkB=yS{|zRJP^!kOS?9`iqLfMM3Q;#=3w?*VJ(3HOq=B(TbiJR z@)$r|c#9%X1I7engE4JMJabiXV0TbKCKl@z=@jW{@oJ5VK%$F8e>6L?Z0uEXl<YGK zvTf*<b5!Wl3#cq{gm5gCb5%S;C@ryr4FXM)Pr3VKsWIdK+4I$x3f|n427&s?Nnv!6 z>ZuetHXvnFB~W^KB71!-Vi^G}4IQY37gZL)wVn^u>OnvdJ<SfxRvdhf#xGp7WRuT9 zc#{vQ^=w}kvn1;xe+P=aAe2R3nt9rzrbWRJQ>{-wY9$~k5DCq@G@AnzR+WQ{QR-m4 zJ<?!RX>-rfJiK{2zFT^=wdwDO5XAS=>a}SO#3uwtsn_cCGU67oTzarNt%a~hXq8f~ zO%EWjF_TRx!0H1|Fa=ooQZpG$9*hkh*$|W_3}iIm@Y3ASe;)ni|KS@hcxRjNz=#(o zaFAJ&lJbTX4~y3_c3p{UDT*|NG9qjRa3LE@A-S&nf$#~Ih9%J{8_!ZKDSOeKxql6o z!YN0{FwW&?($!CZD68~eoX9`L36LDyi&*ahX8DMM42);$xU~r{(CU4Prs>Qt&Q1J> z@*I|rn#lq!f7Rb>($A)`Z-zd7s@kmSjeqh!kt_{x-;%hEBT~L}r*zI3QTcRJr5QzW zsaQP#q1<8^7ehooEl_Dz9ke8s<64m_)lvJYL^<S7vGr7@0C%1j<JsBsr#OjC+(-rF z*hna!4;hkg5lH#coK%oarB<cdNT^W$z_`?<d`VAAe-pnSGQ&z9Bc4Ac>GresW;}sm zJl4sWwfo7#SM2j#Yx`jZY1nk_q@KI_n;w5%g8lmu1zEK;?H!)GuA9UUW!UH6^eaG8 z-d;!Ed9F_1AYz`vl$tG*<+w(ePVOx#NuAY*LJ-}hEvwU<h&%-6JHoZ;5`;OTq_pM9 z1+@q%e?xRmZAtQAX<cQ1d%Bg1AlD^DoRv{=wR7>LRq<ENlWgiTcwGA#wXI6AL?&O7 zUM5N>lR3`ndGVyU5reRi99N)59RIZHR;%i1+NK=$7YCZMb80cgV#>^lbiK?joymJ~ z^3RF~#f{X3jX1e3RpY))t1bq{F>o3YB}E!zf2fbB^^}SYC5+bZ!e!if$S+@Tk$BPA z{<(`&*C}??T*cUzcX561Zg~TTZ3^$6E=Tc_X#Gl|BJx1L9(}|L{g!b0$Ka7V+pr_) zWjJ4X>Y^j=puv3=zBxy7e#QH8OlvF}-v;D!7#Y`GRq7&K>(=)z=m+OX`1hZYrd*HG ze<4x;@Dskd88ZG~&jeC%MtKkh0Qec-rZZla_va*yC;8-%LQAI|BSCw)icFn7+4z;O zxLLlR+cb{y;gF{QpF_UzdV&`}%92^Qy-gxXS|$fdR7d#@sdA))GJDL3`M00Zr=&{> zDE3-xZ$CTv!0R4)UFeodDd8ZMp5>Dve-;-QnH@g(ZBlfb97uB6TV`jq&bw9ZN||o# zk>Qh&`^VMLIHy@-<Q;uQu8v&s!VMU*4LCg+l#BNK9SV@ZA8ZraIh}jkR-7F~I*Be@ zFdAwj-d=xJSh2Q{x@DDfseV&=Ww0J-xlMmsz2B^R(mbiKZ9Ok$$eKf-dQ;g#f3m%U z?5qg&F;%>=V7QM-d0Nq-6IpUQWr-u^?Wj4~(VPHL2aqRSn)+c+PM5tly4xO&%xyXW zVIp3Tasc@`-#0J1$>B08mNNIzu-q0=WXX$BM?=z_kl%c8FOo@QnGs8wkA4L3n<%=( zdz9FaF{jTzvrCG?X$Sc-<*9VPf8w46!-f&h246*+PDfB?uN2Pq5|Wk0)`ucex><Cg zWOtO^kT-|NKeJnkXnPl_n&K%%sAy?%IZ+}z8e(Xgb9>BJSWh`QU#7*f=64nm*d#hr z3HtOoSwX#y!L@G7Xa~({Mzo7etnk?@`ikT0XgAq>rkIV@a*|@Y|B(N@fBh@IZ-!nR za9{3k)+DCevWz<cdI`XNW;2{!<f7F#dn4EB4bN`FeV?y$4Eo`ep%i@Q3=VkXFXMVQ z_+p6ei{_^H{(Q+q!Ju;Apm;lA@Rv9VgTVmLw$vPs9$PNkhI_bY=QCfCxO8rm7f~1w z4R^X%lctocqSC~pnfr1ve^-!)su^oc03(W*)NXdq>x564YO)uG*|h;1?jJq<<0I${ z>mCMD7$}m)l@Cc-6fwOihLmLrCKceKR`YCCKd&*l8d8j;2{J&l7U-S490*8w(Twp- zuFoih(gY*YnGsCDFdWq@?ihi-5%y&-im}h3fj#<NgE}OI1`1rue_rase7&#pILh)k z0*7VpEBw}RON%F0off%NYPM6QqH1vch4s9EPxd<s+6vKD9p`Yq9>)EmyP8sSB+}^3 z8vBk)JY+q6AJ^z*R8pZ-*_GmbhSw|h6kGE5qE)9a{<MkOs1|;AxgG{Ezb#JrB_3jz zLGg4v#+^~2UYqcvf9Xcd?8P6jcYJ_jNK;awS!v9Vo#SpywxFD<RVJ(JiapO3J9QgH z<I!*PzXnO;kx0qOy*R7)7+BKDNSsyF?8QeV=_S=M3`$p+*}d2vqfwqgAvr#Q(dfv= z7#SF2LYpvu(*q;nSc<EUne2UIlSpjdzz41?8>Yxv?!bE(e<}X0J?eQ%j6&IoZ`^(~ zh8X8X_@*lcWF5gzJ)d^A0+@pLA!SyAKEXTEyp1mwqS4JL!)5Ws7DMx&44N^S2bL93 z&}o^<;5lFt1rJ2BuLaRN?Eo#eQjgn6;Xcr0Z_Ph{K_=$XrSA@uT`aq273{G7!JV}K z2QF6nKk)7Ue}#+vFZ|2@!qxr<UMa}@ta!Glp~^U&;?X!1L&J}u*|fvZ(5}WsZK`98 zwK5g$6KjmofvMnT;9pOving~9MxhSL&fUy_QFyqON^MDuQGj`C#sOk53fj`8syP^i zD>IBiui|1U@CYMCijlhEqI|8o@z=wS@p%39@QvB4f7)~FFQ{1V+<dE|RkaL?J;&8B ztSe&JV-4fEM?P+p`gf2=`rKb-abO>ZxSRjnk3iicCu{WCqi~QJ55qWWuzGjdw^?0x z&_W2S;*ehrRr7dzoZE~T2RS07Sf1hMEp8ImHq>KJdQ+m<is5HfxH{G8WRowgXl_MJ zA6x+Pe?)a&tm?H`%0rz=y%h#J;*TDxmKcXm+8=O5LZ6tR<I~W4KB%VmS<mcbjd+tl z8;Ue>(oPmKq?Z{Lk(l`G(@-k-&n906(YOk5AN)CFK-ER83XG@6?o{Hjhv&d=1WE$+ zD^0`#9W7)CF7qnN`rsvyEma}WDrW2vE~hGwf4#?>ti^W9_+S-?nW|nii437qeOxXP ztq(=m`aLl^{{3CT8=Xnbil}-@(VU7RLoIt@2NomTqGzKlh<C-8%C`CQ5Pa3ss6nX~ z#e~>xk}N;p2s)Z6sxO<nSVHVygkH?dPyn@G=u~{ECx<0glpFfk3phv_kr(A+HZhXo ze{E$rcm$HAIu*4oou^nC`-No6Pdvhe#+b@!(-{lO{Y7wc+KdvY@j|QOb3FyDprY8& z%U;L<*9gBTdz1m8UVN+!1y4crR6B^^J|^MfG+8uG2Ve1A*!cw}$IY<O+Aq{A>g$<c zNfj_dQ~QSwghr2w_D7!~^ot9l1EqBoe_LX;Nlty~B7JFYxNiEc?hieEeYt_f?FC!B z5ZV+q!r7Cs!?Wa!ufFMXQ>2VtcI<e9yD4U5u_sYZ_~(LF3RB|ZUyR7?NraHcuhJ94 z7eCP5UzNbdlmz#|*3Oe5HckkokQVc+B<le(rVcdprtihmo4x}dP{)(9;kF~rf0{GK z=RbNF6>vZuR3Ms>Cn0#K5F1Z~$KSF98@#0PYEOa(7rgqf#0M|`E3v`9{*?sawSOfJ zctvCB9^+6u7I@>IQG=MUSo+TjnY38_&pMp6SpLsKPg$)0XT_o{e*LqSBdU&VUc@HZ zdMN(<^Mt>r@9Eb~vAd&xu3ANje~Z7ax(|@N>j$)NY|O|ILLrGHwY7rMo8k)U(bF&K z@aBK@S73NJl>Gj|>##Oi{)=EYkEIx~i)Tkivizqou9)(V?y17&lYc4exN`r2zbhL2 z2R1~A{Kt=XlFwSA>@0W{)o_IWFZqn>B>g`ZR$%Wx;<lWd=l|8uuP%V(f4?LXU;Y0m z7k)H6`d8-}(2Pj*KVT3yWBK8~nh4uA-v6hw8||E>y!R^_11K1he}q{pFljt_rT4(3 znBb!y2HxTrdR~Zws{LOAG7?^CW(*~#A>R0-hi>l_Yrl%^`;$q`2>MOYzA+O(&;v%! zejLE?$~+yDHGb)nA{qfVe>+B<jueAyNQ;yReUX6i>9PQpUiaJx?IzL1l9&24m;;>9 zAz$*ugBQrMXqH|thVT$y$21M%{P&O#0HHI!q6w_~LuK47+@9N!Z(3lJC0zP)hD<rx z0hz;}N#l2ro+;@vKC98LIVS#@-#?SbA0V|;o=L$Ki7kL@Moyo2e|~s4!ZjSh43H=Q z^oS4qoH_GH8Jxw|{YyA?3v9F`QQz2*ItLY$Iq(@rdmBlRQum|ICu6uQ33I674^Py| zlO5cDfyeFVSg#?KPXZCPL@yfwKFRV$>zK<8u3Y12Zz07~j-}QVt-rzMORn|J44ra( z{a+v+;cBlS^;1ZOe`K(DpgJwG{QT8)AF3_Udqy4syj`VUjwu@4t7=3U3uSFAS()bo zx&%3A0WSt0VPE!@-LPa~=7FvER+z@xsx4*4Kv^V9mS24M(-!X^CGq-3hIBa;K`&+= z;arZEak3cukVMusdry{Z>$4k*=OhQbn0Q1qxR3NmagxDTe_U+w{$A3oA7V(6qaXNU z@eg+9MA>r|G4JDW&lYcVNuR!zAxqAv|K#KV?%)p6EG1Xwo6;W(=?x<tUiwYKoYA03 z^Z@bT0TMq2EiI*pZ_&JI6tod!sFVW;m>eIV;9o`Brbx@oC`Ma0FB_Ti3RG0+n96PM zza!*dM~bBce@dGv_F6XY8@cg{Y-;4(dOZppj)}E>BHv#})Ki!6!T+l^yD)qdY>8#e zd8xfIIvV+frVRP5-V4H@`p9R0SFnP|hy*MngZoA8q-+!nCYa*UW(j9Ke$=<YioE_! zIS|eoLG>^apFVxJrGjSnLH`p@%RvU82<8$6yC|KRe`5WbJI)sEZ<%u29JwFsn6q>i zH7r#{RL*KWA8AagiB8z!3g&pKTdMwU+|6HmR#lPUP(F}t4p>WWj<x%;JGJ(#;IHck zk2PQ|3FE5MwQtH4#&BspC0<5S8qtqmb3f+=tfe%^t{Z%G_xP-cA*Hd+?JJAG#B@^! zm~%+fe=vep<xv*=Og>a2tWQ1npQT|qLvoIMbK)_|BKqZp7W{OW*vp5VexLm@1U7G{ z7t2^n0L2f5GVlReu^8b@-6u^a&oQx%fu1NG?!U1|6AuA5e>sUh;(2_2fr)k7ZugWS z|5l2h1^~#4!{9#XPBgs`$5goJY4pZ3sT2}vf0efj{bkm&{JUh?GaNaCK`$mBa(U?j zj1McCL=5f9Exv3T5!;6i+kbm8P?D}6`VrsjbDc(6e#le5^=GsxgOb6veIsRGShBn> zf5?J$reygKPO@j@a=rw;7~kTafB>crE9xemoBaOvVxr`WKBZax$}^#qFEaDCWhpvv zf4MJP-WjJ#fT^R3h6!U6+HWs@V#X%DS<dP+xs+`gu&r2z&Z(T!rgyrSAwcY~qISY4 zo2h9MQBtl?XjZZGj1x0F_iO_qb&}d_wNo5`f9RbPClVO`%)M?n1rRc5X9^5AEZ8co z#CpD;LA8)M$QmU-o(;?)&||xl$3)67f2WALm>JZSJ)%%`=DYKbCG`ot5ek!XhnK5* zV)?<PO$T%4w<WHJo~J+-R6llOQkW)V_?}}T3E`L2!Hjn12gQ&O)rWs0c$0;jc^EJ~ z0Xf^?JxoLy60W-SH*!xjmhUecX&3=bFf%4{4PkGke2MXdVv(=x^<t#KlqUk`e^!4D zdeQtKVN3%?aT&VWaxi=w1WBt4I+$dy@Q{`Nj0km)18z(U{Kdu-*Z;XX2$R^K{zXwR z=wu3FLNkgTG~DF%P(Kp{tE$#_!=Ee@I8~XN;?e1AQ_a~pqFH<?tA|l^BDdjhC-}^; zM}GMs7FGYi8<I2h`eH*6XlXjpe@MQ<%&YrrJl7VkWM=WPZ|@B2o&jAY9Vo`ffUcs5 z4pnZrg@OZM+yJe8q4+yi+d+VeAlKleq=C8!a~A4_XAxlwaq*W!dw2tak}4`1s9D#h z#ELo4+V8MNIQYLpdx8+o#mb&?h2z23G@oEm=~)vyQdF?aimz5<#1LCmf7_G5WI`cc zexWt_PaU1rsm}P^0@Z8fI8d%jHBWz~1MIiTb;g-r)7Qg(CXP52#|N(a2hDtC|HAgM zqjV^O-fRS@ZK5aAe^qP8eDL$j$CX}SeL+RM6<zBNNc@ne{@K1jv!G+DGYxlT(OP-7 z-kE=i$-pnM=y6}ohNSe<e@P~E1O@deLc|SMT4mC5tW|7N)<^=%234ewZFa(UByQH6 zR1?r7MQ|670+n(j0W>~H5+s>-bVZ3tYB{7*l^GTxitWPdR83p@1ash$AumZ%l!-$- zJ#ZmHt4*qRJK!Q*i%|^fJCSGi;K;{7N?1-s+57QkNHFGHnux;Qf8%wkdJPlb#4-{g zFM%t@#O69ZfD%jVPO5f0kRzyy`xw+KBa^AcFi>*u#EPQ#<6j^vs^p@HCfH!MFV(MM zPdB-Y<j7016hFmoW1vLRdM`A(9q<um#b^fgp~z9Hsr2Tv_f9)MHGMJdMKx#Bd4nr* zRu8k$AGb8mSh;tqf2FR;o0TRFKs%xcz2bJD`KJh1s?#*jMWY8vDQ_De&A0(wWf5}4 zX+T#CMDW6(*-#R0U}v5#NPvp*B(<>vWx_$XN#!;Sg{tZzY>JD}+C5lu><q<FRd2-e z;x4o{p3+z>fujHwakGl3p?MtECSV-vTfxDW{HSu>My4}ne;kA=3di<sp5_w=YKa1? zH^>kI#YWHe2`@=?Q{GlTnmGWvN+TGHJ<_{1NJ<vjXUw(5mAro71Zs#{jme5^%8j$8 z|KV*G^h(>s<<2Ypct?7vd6Hu%Pk0iyY0xG(P4GPmVO2*{HkVpzBuwi2_{r-`=lJYA zrL#QlTtTTQf1V_uQUjZrB==BxWO1HSnyQ(Pp*Ibm<_VbM|6=1z1EF01H=ezeeJh3R zJC&t_ggnEHea0x+N)g7=$y%gDm?6d3LNq-M%}kaoqY&EUAj{-INPeZHv7NG1#QEPt z=e+v&=KkE*_xfJXJyW^APcKRf<3*`=!b$LM%l*Uyf76tySQiJ_!BnGBSTcReGycv= zT@7Qb4OlZmX!eU4EiMmaCG$0`uU726Zz%?SQ4ZldzD-cHG`o6uU!!trrp{s2RiCQQ zRxaG|3^_?Z2)W*UTE!mSp%pBA!%~x^^+oQ&)=o4YJO3At%NbZO)^;wbMl^TGEL>z! zj-?N_e~C5Xx&3D00y!D9@^@LIlo{~1=lWajcxSxF{9lfJGEgblZc$ZQF?kjpvd*Kb zrnSr0%y`HOL%fvsK&~j5LWNY7LfR*_G<LCWh}EBt;PWG<*}l2n?e7u}pW+DH7FDsV zt)tZqTkWjyDj-EK0VgJP9W*acs)&Lp;5Bg}f53?xuXIid&GPE(n_FC^s+|hpLHDdq zNUVu+!14?68^?=riG?3?yxcigG@OBVk3hV2jv2_kex*gVQwn%(tqO?YcHRrF(=l5* z!d+@sJcgE&!X*a!8|y`KQfZZg%x21CD5|b<mg|!;qarHw;FJLD;V~3_<(tF+(pWEn ze@~#L3^L^?iO>MEGBkhf35gNpJ<8vS!Mjb59CguywZhHsVy9zxJJN79HnHX+Noit6 zIeVVbmv$%lS>S4PWmz^!of4sgXj4IadSJHA>v78{k})AQRD~wb;-7txv1LHrqe{+r z=BmG}Xhpy9XcZ*|3T8ex-hmJUGos%-f6&bja;Wn#3$eCTB_0h*4c#}T4(G18AKZ#3 z2PPjL6yjZTsPj^o=1;H_)9r6>T&KK-?3b!56=*wH;_>Cv+qLZL+!dx*5<10nU$-~D zqnsd0vrl{LyYRt$EAB%Q_^iN|!-Gp(+>{FM-G~~q$|X&L@<A6V_%cY*rfISEe}EKj zhK-@8!n7$Ern*6j6BwrcnL6!l>*5F(fD|r#QDDm9L8icfVxeAq>sG>A3sj?)Gm|jL z{79LER+*K%T(-mU9z*0S9^$hDjVWtfj@qy}*e7^M%z_^qJCT&Y{6V9Tqk$vhvq<A| z^2Pduz|=wJRmw6n%B(b@ltH6)L6xdojFd;Th72+d*{)BHs7ym0*V#*6Ti6bfP}S#) zKV7(4zRe{Imfj>`OhXoO=G4=sFIF8Tga-G&!}ul4S>cl*<Qad?ZCEmO<z2gil>~8a zI$lS(5FP0}e|rD$RQ^=uyLOn;N}QXD*WqSkB0c9%`?~1Eo+D?ZxtG)$>7i$=TomBl zV7p~Wb;Wt~)h}?F4>7xcae=$Mu)g4@B@eNZP*fmem^qbJUvR-PiKrJ`RIuCd>J+)Y zpuy6bqz9@JhSz_l66*_0EL+8khm05E`u>C?M$ViO$k=OoKlF@o9~w3QTfQ}$NRQE1 z^OkpsPC-Qle1?Tn)cS$~tJ5T>z@ma}hS#SaA<Vr?f9@bV!hXHXN>v_5wOBP1=-$y& z*FvluJA9hV_w?c6V__l!h8a_(qtCh492bvtW>{4;i*$dSaj6MB>>0K*P&#Wopi79a zTkYG=kOoDH3FLct)b1krf(GnzJ5=>>=OIZfu@~ILe)4Hk+4bV{{$K?c_B>~wR4;y( zvu_5gr2*+(koH%PvUkLHolDm+-@l6d`i08T!Bu^pr?f#_%_S3-_C%${*bjI0&S+vE ziF|qqw_1PByztG#)=YWmMSs}WMM@-F&rq?c&kpPz7POPLk3frfU?g3856J~eVRv*K z684t9nA}!g=J(Yw;^N!3a>ca!1rtU4&*)<JH0w-QO%qSqH=O%YLxpODa&)fb6>-;P zX|#M4z41)rU6-Nf`6-)-CvgR5EJVhp3MQHzBGP{Z`W9b(^(iyy;tBMy`Wry|ztH;| z8lLcgZ)t=6`j1}xN3Z^+;m-f)C7|6lAa=OtKYF@B(|`4>{E9NTEp+$1e*cV;-E^(5 z<h+037!-8T_}YhNhuTyPW^W4iaemOUc>Jf$Vmw6OEJh?~lx`M<#Y_Jl-k0|MK(`{S zAHRQDys6Q!S-dW~Ycqe3s@>#f#Ayig+jUEWwCQpZRbAeUz`)Sv8BH7)lQ)Y!qN+KK z(zglI$I#Kq?E!U_rQF`1L|$Wrx6z6V68(nG8)71E?r7|}i}CPOzp_5TQmLr;VVTqL z^ezThY3*xhOdk7~WvMH(YFub`NSyZR)VP05M7}LI(`#llaPBLbQZN4IA?xkuEXz>U z2cK&$JW;+fVh1J4f8$iR`jq9jAxD+C7jJ@&+^quU?>RpBO4vr@rM^)4Z8_5gnRCjA z=lx2)X;k_+U;N&AXaeiiT=L^&-i4mKk7CX5CHnlWQJJ&Kx5jtMKy!iIev9c9qUL|^ z^Yv!!$4uh0sfXz0!qlfmsn;k65ACQ7SQnbo6-lz6Bz>v*-!y7c?#Acf$sgs}7kVdt z7MdLX!~gK#=UaTFFSd1E{P^{v=D}^=c~x0DR}X)2J>KQGT~L*wVxm$in3(Zr#%*%c z;C{=Qs$iub&x1|N(n8}L6Iz$cPBVYr2)%*Cqx$x{g&YV;gl3~0q~c_Xrl8qK2dN_Y zqMg6oOyykL!aCH{F3&i%<EyvTl2C{TfQPjtN5l?*e;vsN!2uYm+on!XRE9gIuFqK4 zJjyA*@6+4-EmQ&4BPZRtE);ElVA~JR3xzxYPJC1Uv3ULDLzV0+tuyyxc$<Gz3(k^W zPRlh2bwZ1&@3K58ub@`2-PU=;&}sfJp}v@3TsqCl|6Hm0cI@HebuR(`3Fojcat-|9 zKNhlLZ7UPSza4uWY4b&JYWw=h(jE<Oe$@|EC%;^Kt?F`WeQOyIcvas!!$9D3!NI<! zcO&I<u30GGGqC$?nbPVF)&PI2j-St?K!HCeF)*`7qnN++<5?m0wbz<1S}+&T#TTV6 z-*pbiaZ12REcNdyouC;0^0g|)nyTHVeYl|soY=l0s5#2!%T^6JtUf60uyaOS19F6~ zv?#Lg7}yC|?IJbWd)qrzjLWNZ^(esL;@_Q<-P(6ADpW>8=CYZ~^oW0xOW69}yPQk@ z(64H!B6!s5!cT3o$58#1Z(l#FgIk|(&C(Fu_R=nwU`>x9<0L^*$HB(>ZHwmM4|?!! zE0Z4*#o^|y)WP{JWU0yC{sx0ciqfz5hdLDbn3sN3mTSIL=p7)Y%-0r$zpW#vwB=7Y z3Wa$Xkv|kIml+HEG5dc&h-cvamV0u>Ap!pE@PvNh-SxExmi~AWlqxiOykKR||LUHn z%$7Uw2>&_ayIbSLnTg-tw20qc3((YV3KY9Pf5W8@Tfk-hrl&LK<8S!ofPf+4L2<4o z3USy3M+CnK)(Er-_K5oi{7kkexxd-}S$~;*S`Iu!iYPm^2_%2^^akV-*<Uu{XV<e8 z_f&93DcE!q+~AQ-FoHccAqp<s1V>nQ6Lewr>+klMiW4}M;1?`t+C$vM^}3qJ(1X^B zpqF4TyZq3o+oUkidzBkWyi4K?19^KK>%cH@l(VRAVNM3ZQ9?~yii|mXUzwBrc^um# z%1h3^jmzkLpwfSkfs{Gl|5gu{@x+LOn?|{~OnCzq?vzPvKv8*_L5w){ZPgTOQbdO_ z-*x$YV=`-=a_N^$rRUBJo~6&Ne-2OU8?DivqK&V`-Dn&d-`iL9HV$cC=TA(Dn8$u! z*}Vn4X4?+uYYOGAYLhcQ8rxn*_Vt~8J2`bjR#3Jr^!k5Xmm#lV;pm>9%|Z0H<C!7X zie_vOb3>cNmyMAIY<XE_%L%VWsVI?*_mpw(#@Xiiivy27otl6DesMT`FgoD<?zzt= zs=xnTl>_HGp6j=NACrPj%QJ4%)ki%t$idY;&<tzRgxh}=u0N3efT)Ml`r{sKSyWFo zZ5H@(p7JI$-MZLoDl+oUJ*DBuxt1w~LCL-y8>?TR!^W!8XYn>Z%c6V{Ka)`G8h<+S ztaTNO{A}JudprCMqjPY$n0DE{)^fqCarctQv_jv-{5jOx^FqzrrQu&%zNbcItUs_} z>CT6q{mC)5V$*z#+r|JXYoeTsKKLLO<zhK-s^huw)#ocIu@?%y4*!)D*|8jKv0q|p z2kY=`%;oKkPIbpt$98>6FM;Cf#x>W3rleV6voQf%#?(ei*X9-}W{??kyx6H_-oDOy zb?ijaoLK14r5KOCcsO)q-igN)s&iYD9_<(c9W|3U?I3>zJBd{c1iba5^h3dmyAEnj z>97c(liSp`&hGX(iKHHh3M9bmqU0N|y&iXZADu|h6W1LIczcy%4|Of>8p^s+BCZSi zc`4eEJ?K9?rNufG|1v|M(WVZ4``kq0y0Qm2z&Xo<MCrdI+-zdif>ZoNG={Azf*q|y zNWy60aa@0w8&`)sw&rf3^#$<qtL))<naf<!w4BM@Go{H=)I2DWte`+Pzvri3_jX_3 zc6h=gOk+xwwZG$#!5U2qy_`laX1&r*@{uSWe5HNmooMlE1vjz9yeVo<QF55=c9l5W zj-H@~ZmW4xkDc&1%YU0~jY!T6JSi%)m^GDH(x86;-f<uMmE`K#3#n|s)0=%_;MLbV z1PQxHltC50pmJk*eyP@9wvm|5Zkzm4DKhG=-w^X{9_3Fsm~0*WQS`?xYr~IyQXSkm zGxd{we3F<*``*U}8t6#Z-p3LerYxZhO*yOm#Pw{~m6B&($5ql%9ZEdQIh_gO5`5gt zId*?tGG!zs4qRzb6BK#ly0R<hx`xM-lm;?o5n4|Wim<qHK=dcK@vGb|@z#>k4}9lr zU2Nb!P-wFn7f<F5xv9;c=D3J??uB*P1K*IHOiTD69^bd`*iOkCQ_U$2M<_p_dBayy zysCR+@B3D6`AaeOuCJbjh9WB%WGA%jjV*um)l<+AW7!KuTmY}RK7lv8c<k&Jy`Fo8 zxg3B4WGBO~>|nVHMVMH1kV-(2YlS>jozgIGrAd?zy4fXchgo!a?qv)vGXdGjn#2~R z#wy=AGZ$Gn4r~)%i;v|t<|WBy8l&?_WYkdOplnWo#!gFN6Z)6?Nq)yQj)!#LF`j=i zgWWwmgFB9ml1>pqE4FpoNLqJxqh>Ftkp1uZeXV=DWhxGS<PpZJA%NY}ap>S0jUSzt zO;$x-O(%tmnG{|ycL{@eSMIVCzlpZxhcEQa2B!L5&^)fB`%qUyfh9w>_G#4FpE)C7 zmxqra3U@l^K)r{yYFXyEALzBsFWrAemZJKdAWUXhB@@ZPlNpxDq-#ETDHJ8BgZfrs zswUF1=2VVOY2K(j{+34a$IRQ$y!2JHtvX&4gw3ri#7bEP^ITe(9FN||W>W!hm0?*V zPp*=k>OFkI9KzUQ6QMc0Q|`ORHZ6NYkM`!H;3YMK{Inu5vMo>P_ys#-Cxd?z+zd;@ zqv0AkhU`k(+01frRkJ%LkNF2wO)Kwx7eo@LZVGob^G2zeGM?Ykw_GD$pW631qHinw z-1ODY9fm1WnZgl=`7%^ZY0qytTTT##LHTswJhU2hb3u%DfOS}I^5Ry;k-B5|B;^wr z;<GHx<W&j*YKpRZFHbXN=@ozD9&Kk>)w$l2oJ$~x&-Q61k5W9KQBanq8MBN*c^kvJ z&h4ILSOQxt6m<V%xkNIa&UqH)!UH>+mi{S(WCq@K()8jpQW~MS3Ldk}APs`Dzl#JM z1<KoyoWKfD<~36Gxa%q?W7$nQ3d$E;>|r-h7DmznOMAnfL`x%ufysXy;0G_t4IGd~ z@&XGuAc$NKq;o(VDG4NTfE&3ISj~YwNLFAL2X-K&z&jj}M3MuqaDW%d4Q%1SP9!6+ zgaccV;=oD{h$2Z-CvJ}=%x<Bnv-SW8wV=tcLO7s6JIK=EfIdxxWpTKPYgFFl1YE#@ zFqg~lE)MARZGpdVz^Q-F5^jx;=BbyXSV8?4qmLo8l&6quJb+M&BIL?ZDkyiLY>uK! z@rANEN;l;MY5m)<H}>F_6p6JjwM}psZ-z?Y6bH7f*%&?zh(URa$f6A2aX>)UaWVBp z%`-{DkkYV1&P?6Q8Y9YA=$>I-1;687Q_TXIJ1$LoI@V#o&xU^s{uB%ppqdIx5)Q)8 z_a&2!`g=O~`&uDKW<-sA-7Oj^+G+5Lv6#_dPlrdJ4{WpYq{${ln<UHTnJ4V>Rv}PC z9^dzi%%Zg%{<|`Vg@R*&;fRe4i46?l;Jw<EYd0bPN}1Sz@EeyV$;E@%iUc91y=6a% zz#l^M-ce|US3ZAfJ*(8`0JDKpCUISnA^qS^G*_TBb^tgRtZGRN-Vj=`K%q6>1US9= zykI-v{D-ewnXwZ%g#!;`lYz6=YCEx0066(P(2Mp@QbsOYrV~5)fUwQO0dEXicl3$F z2SDq+q&)FlaGceHfxEDD(AsaQO}qpIGpRZ<%@4F{Qrv$a3r_0}4@bNbXjSQxg%x;= zk##-~d;B3F+@?f9ZQRC)6K{pOmMl01cOVZo3uH}L?IsC=W32Ji70_Hj*0_}?Q4oAq zIe`&7{9e%N-e(N|0>@}isFzq11+9jG0@xPNde_p7^bI)IgzC~YoIz_AWejQnPrbfn zR<TnGIR6(2RKWHCXKUiJ#HX#`z&j!nrdEMq?cbAO^c@g@|2EKMIaxwH0FF4TAK?s> zj`SXX`7bbZZSEM?zY@aM<jHoO>Ub-P-JapU-#?5<Yw+{gQ_#M0>%x|T`J7z}c7qs$ zamCtOket!N?15bnV#V{xxL}78q3TNb{Z|>{;Ja=>P1=w~2$Z)v5qF_bLzgge0~Q|q zw)--bb#~^W?_7i}`m%C#%f6~d%G6ddvlBOeAMhe#ug8hS`VNNh_|m~spSMdm89Hrv z#GunaxF;{MSN1OOF5N`KtdU($oXpkGhIVE=Z|Loi`ikQQ9HQJlgxe$)**nEtGstOq zF`=QJEz#`#H%ONTHo%#f5)`ln<lKX-nFa~Pv9AnZ#f`v86V}Pu9VhR}FMzkQX#NL( zt~V}V3|%(z9;?YxHuBzyE^X$WC9Q16#6a<zF>&zW%@`i++0B?NTK-1NPY7|{h`HLQ zU@5Xuldwa)H>4lL#*Lhv7T9C-U{%`m^^7`)9d^t228}|f{-?wpbM{<<#jrYm7*}fy zOLlW@zwQ_UcX)VK|L@HU#X>4m3J6|*a4YpKS>xc3hPQv_q$h7vJFHqn|8&YX5o2j+ z-PQJtcS6*0D7<>#Qx%mJPtR>S4*O1sI`$vs-Y(&w1k=OoE&AP_iT{~>liba!Z3A#M zu)ah$FQJGE)h>oq^S)J4Ua{@eMSYhUy}!%4W0KJD#;03FedSoVhU5Dkqx%Yf^fiaM zySV^JLJLc;s)e#K7(?d+`que;>5m<??CK$dcBQimQmj+m7mYQHSW3-0?#X9gi|Xct zcfIz1>Jv2?Y*+8l)#ekWCda~c+}XpY`Plws%N@UVBLM*6qH_HP?M=L8qAaZWLKp>x zY!`b)^tlU8L8(g{@BvCSM8A)J(pgYuSqb6J%XQ`KHKkYyR-G=mO<WGnd^YV~rouYB zQSnn5xxZ04OIi-(RMaF`VVe~&UT;)%ATy(lG&z>=W<_<Ra-$LgjT)kj1pL)U7*>p3 zVE)jRr4}ZJi-!*G9pKGL56tGLzofi{b{nC6V|24q1C#hQXDa=_iB}AN?H$;Xb0e^r zUo+(LnM->;z!64o7yN>P4bi@<2CfN#%#Dhmc*X0z$+Nu<zHqKA^}$+!=8?K1>=Avu zXSG7<&jNBW(wMTQ;iw5qkgYEe0v@z<<dpdZ7^VKC9DoAq>U(%{RZT0HQF^pJEKSXa z+<cnl^9HRhHC-NhgWn5(zCrnQUO<o{>Jb{;#{;y)z59-GPp>+t!V4pIC*yC^9U`52 z>Xp1z%l|NN(-@92_v8Sn^2_k4wgS3Mq+74Fb`N-;)y9RXHnzS|=tn4i2kX3Ma0G(* znz{+TY;SV6fTONmv}eSV$)qx4mach3$l*Y?=vdCH`+fdTVGGxPeDc`PtLmv<M7i-^ z!D-fQx^v_){q53g1^iG9^(`avc=+Dq%67{xU2SLXmhETpblj0$`?*Uc_@&HIfiu}x z3Kx<Gu;WyU^sfMl!A*>%?$2J!fPE549$#-?={c#!&78F0*~MGw)cQU7@#&{AcD>6c zG`VrjjywE(pY&aSTm<Y=ZqzBIUZuQ)#4fGI$@T4n|7=}r-e##xgn!P?XNbsRK7Jn^ z-z~DZJFE9>Qn**22E4CTsPmlVUDA;?V~uwH3`N1Oqm(_{4fCe5d)~a{jZ#^m8PxB$ zEG8bFx)vJg5&(ZUJ-wSZN-_4HL46jb5;`08(s&EoX3-{pAVk@6jN~(YE%XnX086{L zI)k5$S;Pf|tWkU*{m7St0&MF=uYi!}mhq&LFV{jfXbLRX;%XtTCG}X?p#HYsWqr8a z^z<rr`MXjq)u3Jh2$l0d@G(9=u~KPJKkqlKA66Xsa=9X8t*ru_t|e=&`BBvCU86%a ztuhata6<%t+-Rcy=Yn%NI(dUe7fpJ9IZ3)s%eLGKSl^p=Crao<kHG{94)%VYzwn9| zrfgUoRGz69-EA*Ng?4EV_ob8D25RFqcgKpgW$hujjwa@NcjJ2$Ur#0g@B*;z^LU1E zRJ84SU8{`#=c0j&T*|ZaZCyXl2%UI>UXA-2-g3NuOJ?8edfoFT<K+|EcLt40KfZN# zaDneyUFde7o`bu8aNCU3^MTfkx3_*%(0|n0V3M)v>;I1o9{orEY5)8gA-kD;=G=c2 z@h?sO8Nrad1YrHsB;M>7ILWJtf8q0Q(D~oL@bO>x@GngM3-AAhe{*#H&C&Tcr~Kdc za?rkiq8mjEeVT4|MnZM<$h54_+x<l(af0`Aplr{&8zrPace4W8R#*AHO|CXWqh-+O z@uSoA67Mt8r}j_d{t?xu-1N@TDJaqPvE1K8BD04-@JZIDYLGUBIFh)1!x9bk%rDEt zvg2ED!%dw7_ehue!uKEds}%dx&soDnKMmY}zuKV`k*#sVN7X^Pz!Pd<ayl9ubL>4; zfM5ohYzp={)*C_`G#;GJY01=Rsz-y&RANoghHz8k{)S*nZ0VQYmW`U!z)FW^?K|#w zUCe)QL-+%$7Mk@s$w@T+zAnzR4+CGzsz<HJMy5>_p+}W`gYKDF=P16eN4t*%^@x{$ z-l)?R&x<aMfW9b&re7^Bo;<`F0{&;Oog%Jigi=GZ9@q?csn|&bd8(9Sjq-^&+Y#w) z+JtU@xQf#t#hetxGB~-YiUzx!1DsrJaHT{kk(0|`^bfHmr}60R6%qIEp>wV^i5^3L zpGmo3iMI?7w5;Hr6nJHAcN8D-<X6If1qcrXYXjjl{LI61zs1QCQ7LlxZk%VDSj@sT za&F|RF6A?1(Xb}nw;dJ_Yvo%cPuV^k=Z;0;-_AZv@R5+pt__8bZ8I>Q6jIf`8<4(h z_d*`6u0;1t$SX4#7h8X`djCA>QeOD({<XH1*o7<P-2U*d#tA>s_@m*0N32DE-P6Jj zV`~Hpd8B)adgHIrwmU7ps{ZrcRq-{Yf`gy(zhvoLBfGI)?GHM05y~}+a46hmfmLnR zxoK#?B5K(+bWhd%&!11is)G^KaQ^sHULwOzxLXtL+m=ak>SzoWcHi$OE*=H0(=^3w zGVOke$<ZAJ2;>d!Q|%N7S-59^<#Vc(wVEzgT?hxPEoy9<l_02?!ouJ_I`tP-olvzQ z@Was?!i&9x`x}A-Y`iHb5h^x>5cue(ph%!^2wt%Crl3ry+z>9pXEp?ty#xkFxMqz& zNs{0|`b1f9X@e6IX<7W5<vS0MNV0?t;VSLQhJYclHyzh#B^yEtt#V_3?I5uOIfVsP zZ0N>Ez=;lkD;0buDXin>XHsG)Mpf_)9DUq=8jKj`%*yx4D%n}I)Q^4SgT0nDXEl$X z08<v1gBpAoOj)8&{M6VLV9MfhkcNG5VcLSYn<Yl$*qWyvdSwBQM`5dt)fm3Au|~sO z;4Y0Fyy%Ra@Z9F$RkR0xTKgE{xo}j0^N$m2jDYmgU1~C{edeAz-TN9yDeU1>K`9;9 zhEQjy^>>-GF$IrvLayeeKWuV148JE^dkW&tk9PdB?63(E2JjIKy1je!PZn@P%Bl;| zk;fmHzLcc;O&CU$U*Dfhd`Xyempd&ek-_Rp9N1sBl@+M@(A-;p`myhsG;EDUofiir z*7QswDHg3U2fkoB$X}-VJPQ_8>=>typY`)d(;!{<Z_W$SgkPBEE!ma9MDZ`~gZ2e! zN-IZfVAI$0=6Vs%IXVp`v-=CqDjgtOs|$AO`z4adQhj?$x+1q>FReBA`WauD5?E_? z_V-E9xV`>P{eZrIWzMn7^QQ}B_IBQ#_;}tIsZ;E%*aX$i(oInAq;G<H=lh3NKTBTG z#p34yR08n-K1#W0JBbi$eulcV=q?08$H84ZPQG9W&;J6D13>4}?wqrK9g@YK0oV0E z9dCDG)c_3n&t04H<bYqxHI2LD{GaY0(6m|10HA$9%qHi5>Di=j{smi=?KqDjJ6shj zT>y{5sy!pNERRyI%?dbJ!*w9Jx9jmvtSrd+?B{b$gE`LcKSMlOC>sCiq1CR{gEz|{ zIylGeyr2Vc4sbhn81BLf(u0fXq1$qY(lw6nuLxHB7AuCuRs`SnOH82=2bnhxSXtxU zr$+<|D2iHt)Tr5JhdxoMoZ8tVp<S4Q=sSL@iy_W`)oo|pAX^_Jcs6!zhjKzP>R*n1 z43&ikWceC9(^H}QhJuk<`7Xqx1daI}h3L8?)+R^W3N1)^!KtlxA6Fi!b1?fQ_c2Ne zE**K_&Tuy<2qZ=SsMZ>EQ1mF5Td)7tBgs;l{rh2mR!kXDnvmMcd@N*FXKD7U;$xH+ z{Bz{}S<Na}vF?81%iAv$rv+9<|0wF3#zX%AXTf79l%cKJFUL>7ISiai9OrA{%cm~{ zq)jQz{(X=PGS2|#oyS}34Xw?732`#NjJ&VX2hOz$x%Jp@rcx}!*}sQ!hv>vI;Jp4g z#NH5pW%i5t$=?(108Ul?QE^9*>3BgseM%oVGh=Fq>p7R~4-z~d`1CrI`W4kx`bOO; zu&KjN%N~^}Y$X{7dOv3$F(?jf9y9=9K%T!U*E2LA4hDHYZ?rea4y?Ep8pBpNRa#%* z*ik<{%mM2<M=;JZyIUd8rI2de=quF`rBBNgX?m#We}YcVOiJD;e_i2}h3-S6z}>7U z-G@?v>MWyXJ6)?LVkO&+z%}9+iSndQQp}-zCW^p6Vjqd|q?S?QAsMjc9&wJe@}$0` zBtxIUme&J{bn>L8P}-mXu-)QuB+|x{x<V<1?lDme0S}u<J5OpO<rAb1wmcqIkw-kK zBa~dIe;sW3JW!Dip438$5o8OtTpsq3kSCQ*iGotWmfyoU675N4P=p`>u;m^>M_PJP zJ1LIPD%kRlIMg|NQqw59P#oB98L_Lg^`w5I%tB2})Cyl+QeZy9EI}@75d8!+hIK1~ z`78xUVRpjJwA>$Z9m3d}xWeau^!dU~9-i%qf7eCFSR<zVkvUWKIip1*uTkjeTV!)q zxJ;sxsQF;HOoEfR`Rnk{Nls$s$k9{sQ-&;_P}RxA9?`M<+F9#kK}zJ}Qa}C05cPx} zG4r8XIT2ImMTg#Hkv=~79xm)eV{3BOjFM_x=_hG!Z>3mGlf}D=#MkKP0&?@f9=j`* zf1=GSgMW5K=1wvF-rd#%uW?N_9fupU<tWpT!rKnvzRS@37+8eh7~I^JMjcevjI`?Z zZ6o3731JfP<URbjOxX;-??0@pBX;t|c8JJY#Z9(eIU@0T#;vd9Cpz=C#)ZE1pBY<F z$_K1gKOfv9>6D`rn1obOw!&1a-PDvTf7}oz(HJenhZ0v69@%TeE2&~9S!YGqH+A^N zRo>7{S>9O7oPD6fvd>yc9jsgWlwn@bxsoI=(IzsocR*zEs)kP=KfQ%=4?34*j9%h0 zS5A|tvtk^aGQrMJgmBH4`ovRwp_%M$u_#G{z$Cm#UogBAL^LM76Gd?%gckEOe|CZn z?I}5|ABfVUe21=p&SEPCq7pb=6nDIeAjc@SNYd~C24RZm%IMz$p_v2`LFI2Cn;`H_ zESV2G#E%Wtz3#`-96shTly>EmXes!H7VMqT(%Izr3KIvOHYL0J%qT4lW2|gNg{$Q` zBF?_SS_55SS@S4o##1-OL_;2Hf1?|-OXD!>pvN4}g&W>6VyDAp0)1iTy6><<=Q#C5 zYqTz2^*iBGGrt%TkSH$Z&#EovvUA8e-WzSIu??%H8MAY2TpXR3L-y|vzs#$u+^J>q z%g&0ihrG)!&pJY5yPeZwbIFBWefiL^>cab9uO-N(6u%3G3nr8tsKIDqf0%-Y8Ww9_ zamS-_Ts!5g@=I07rFZ;(*1f%L8ASSKC#?LXcRnX%E2cwhYN`)2EJ~(5zV2U~DWpic z#Huczs8LkGn#gsTs_i}^o;y%oez(S70lRA#X(i**yy9^F4YJ$oT62xnZ(?padu&*@ zxz^_#jO~s(Mm!lW?r_4pe_hrmP=A)t()<n=`h2okGd9sUZr;78BC7*~KW1PX>=!OJ zz)B~rCw4gEJ@wUAwyTL?b(?Qa>EC<|8AXZ8ukmHyprr^uyW4v5(q4vM>$x4X(}^uf z9nKwP(`TXar@x@ZhwC<rat<gsXk|AmW?vMsjLj(+su#koV(|j~f26>g4MPl!*)W(B z*I$$Pf#3{VM8RCZksb&;8B*p?3W_@RZB(q_ucoYomLyGRF?F*du~sP|G-Y;E37UxH z^tk2(mTy$j#4=bLJ?CK7M$gZzz?_YWo#<NGMh_WM-gwS}0c&e<pyl|}93|P!wAWB8 z8{%0JF5AJ{w^>n@e`Rb`!XfKOSW$9uJL@DUw2$Yg%Tht%N0A`J1Hx`-mWi{r6bC*z zokn*+#?O9_dJ5<W()*k2kRc8&<k4-A_TS`$jQ%Doq>Dq!6gmd!|4k_5Ifr=e(;bnq z-(-(;|0Y{x;5RuVZ5+D$ILHzi{!M74<2OMF>o++dPk+-9f8^b7vO{_}v^O=#1{wTK zR>;fWgh57r6AJ0%Q0BEDN96TyvPPb9h(ZstN8bJ>TjbSmaz>C%`eKQ^_)Tc!&2Mr* z{`yTvkiOq!hdlXBHuw{#hTYk}PHq`kyyF*PnCA;XwXmXk2M?`Gfu)n-zthrajkL~k zPvOEFBm|bde>|{*Qg{V2_!!cT{VZUeduFR@(Y=85J9Y*+ft4TsHe2&qBy?BhgwQ7w zd@6Q1)j^l_O-Ih~vQDivPX!ibLFtR%KU6>YWmD&JSzmtpD-D=0y#BJwS;1b@Glr_3 z_XE-c<P1^*GfH$zqF%Yf1F`kz2lr19kA4~caJ>DOe^VW<SK9f?qzEiE(*MMjvdg*7 zD^B*jprHgYujA|<=Vtmtv~gBZ<m{7HuI`U&-U@qsx@d<Fep&h7-*zdF8ZblmTJsOQ z>vC*7yw;rQ>mK$x>e^JpwVd$m%F|G<A2p{aQcgKmOS$wdb$TaDVwdJ8HScz!eA4>I zjrGd<e}|1VQSBV3LBui}B<_b-DViI8^FI7WpkAQt!7rvZkphbcH`d*T#hlzmzQU)D zjn~D-c-Nk9tXsM_;?{Y(Cpl|n(&V3<*dfb*HqLX*Dq<sEn{r_z-oNkSMm*W!-Nxf( z{MFJoa`*PTZ5S>TRDNi$q|7=NXMfSUG$T0je-1hRpOp2l6!f1&{#U~MCuRP$+my}~ zbbJUH0FoRh>!=Wru)wt`fh@SwYP85yr{C?DpIlut-THucoAV$?(&%?P6e|iO9w6Nn z0Mdy5zf$}GRM)1YZE$>3GCvUaThgt42$d$)A7t8}IZLwR3q4D?_v)Sa+8$t%I}m4& ze?Jfy=Q`@YoHun393eD&*b^4#v}r8_Ll*qNo&KsyOiBct^Gt!OvX(Sxb3Aa)25$)3 zcKd(0Mnm^4ml-Eq7n2eOp4b9gWi1tJj07#F+G(=k2bbOHVUL2*4+0t){=t4>=?WCX zyo$UA-Af6t-Rtcp>wj={zO4C&M?&mQf93!CAJXmjH8?*qR_vdH9~!K@e`otvH=zuj z$A4~rItG66Top|e*gjX7$-8xKZjKkd_Xzj7cJ3Er=3bLtwNA}v$Mrw|opG-BM$x&K zZzdFbM?D#FRYpS|t25yOt^(XyT?O1%9{tHiwq4-4l2CMU)goCu&rgI`yx(uze@7=N zC#gR>jyycA;1cq5*8LB+12XDtQ@X8NTT=pUH&q^+`)rIn@lM6)gqzm)e3}TR+ckG& zO_QyRi*QRGQ-BYv8QHqYes7{Vqq_%#y|jkh*OrYY^Mc=M2CSO2Ee{*bi7rXdo1-&E zQEmP<%=XH2MYQv{rdipCX@cYtfB&IJD7+xfY*zMQx*dj)>OG-`rK3ZS<xUx=HKrrR zZePauv2;wRTP~|YW{J=Ho3vZOTT|=_@<_Q>x~Dc<8&`z)j|hh19SL_Cd%~Esv*=Kl z-2MvjC0+UwBfKdWZc%1Bx%oUif_$eAGCJq;(pRn^vTl512e1}oj|#>Ee@`uT0Pa3s z>YP2ac7#yMfafsSR<g$(#v@N1_CZ{iTW(}JwB|%8V7v~yL$g5Zy15OSE3%z&_W0bG z8|f?QNH#;Ou^k#yV!FHeKeWUrtQ$+y8Eko}+-t}Yw}CNbn4BGZWS;3CUZvU;U!|HI z7y9_c5lOR?-$(wy`r=lje?+?cMKr_a6@8ZfX1oyBh<>sBcN)!*Dm*&2QbVhw3J;IP zV)07!q}aDo;ct<LH;Rs2Uu}5t+I2?LY%TykkZBs!zJ|x!;M8Xx-6n=puX}2Lt)@9p zuOHX`nnim>z0Mx4q&k0bB>CTY(;r=bme^^>zE(Ut4cBCuh6bz_f6`{CPG8O)W6j6F zFT!1B%H?7bX<O+5ks|l~MZP}#p6Ru`&-Rpw=JKss-+G!CwS;MKTUF~IJ)&{JXtDaS zcJ(32I9Bxfb=qTU&uGuMbnXpg`*QVTRV@qp=cPx%Urrb3R3}O{oop{wVTjW6BSofZ z*^PUvl_Xa?m;WZyf5NFPbq1HQct_lcHwCw4+)|Ox%b&j|(i*9UYb{zoqXv|h=ux;{ z62qA-F-<Lz8c0Ce2QkGG1DS{|SfvJtNa`TQT$wG8J6Mq&>w(AO4wh$|Dl>M{r$JrX z?G_>cR&lpP#0d0@yO9yMX{uA27K)t~g;R%e)uM(?=@W2ge`cd!F|r@)k7+(zj9{^! zFwFtQh!|E1-5gwu_+q6n&8LeIMQkp*IkXtD!scR{{fiMUtQ)#Hs2I6~b;C6K79)qT z1L)?kV#EhKfN4HcjL2h+(9MKmBn-<$H=im-^sr1!bNTm?*hbHLcIErqTB_qh(`ahc zmO=9nO7vvSe~UugjKJt~Rctpp{%p+jDqNVo(la;s9b)cXs*sOSj>3)Gm8-U0s-3u` z%#fm=n0<62o#4opF`GLEk7TY4dyw=|Ox2}qruYdW!Io`nKI*ur8NauBS#q_jXSt9j zOkJ<5ZPsEa(=BE~s)%fe9b-OsW@XJO-#s9$gNV9rf98mn!99!=@uX!J>Q`4v+K0v6 zRA=m_`zc<Yj6g-Ht0vK0o*i9rKb+$(l2%$*JT5tqjTapKDTHlC^I5N-ZqQ>Kq@OpR zGhT5&V^=QHHdiyzBRY_e*BW~sy|U1?w|f0;Tl=axRVK<pM&;}JtA3K~6F$siiv<WP z@~4&ffBZkcUZ=_a1(bgl?3LM8dIKLmg~aqNrKlL+4CXf*Mc|Y_cioM9gSpJlqiTF@ zJJ0BI9yle!_vDb7C9<{h&&bbBfm37lr$o+41ench5>yVJt6z|ZZNOIHObqre#=B0W zwurXh>-L+}VK>CR()mODjd3u#c{#SN%D2|if6jS1eleQM3%>Q|s%&tlQ8f9@`LxpQ zE5S1w1ZnyUO6yBuxMXjx@l;iHGtG_qns?2pUV@p6Khk&Nv?o>oot(5TJ4!UQ(~?{q zdgFoY$I+8o7(uG+KgR8>P7KsZMkSstrBo3-h=orMUg|q$)QxidxZ7gte&HEd?Q4d@ zf1;bCD>nP*OOX{u8HuL(Hjyvht=}ENhdoUMF)9SvhbKXdp`=mq?g0=ZODuevL3mt6 zV5b*$cw2ISRM@=<X@$=>fmqnJ0fh9z_TtkVAQkp*LR#!qv-a~R1`yFDjhO3STNrdb z0@o&}vG>pInTU6Dbweh+j9;uW%F<}bf16N4LwOm~7+<tV9<Th97$cQ1O}*hStlN;A zDD!Ii9=9d$3t0OLJ7LBhZFRyf5o^3rv_DGsvoDcRR(Xvkb!Z26;BBrnlbou^@My%r zM;G=%Zo$WJh^%}HjWBIT^yqpn>Ne|r4m*7wgxu9uBbbAbsAv=_^nW0va!ww*f14BE zq^(4d0F|Z4nDmiXpr_f}7wgICxuLB>*v+X#@S#FE4_>cKpvi#;8$J0X!^{Q`=5M?R zM}OT14eZT7hJ=9!%YOJq9C;2xo_mF1<3K#&YL_ZO0fb~knxJMmAuK&5_Fj$<Wdg~4 z0Kz%FCfajO>QjG-sH>@pBl)v;e?F++e^COvpPBBeA)2DJvX}e|P{nGW%JsWc*gNRg z2J=m@&e@$O<B!{5do%46a%#uy+nf_$l$5#~n~gr8eMb8an9tmumQ$;i(a39G-iJpn z;2LIQQ;D%zc)g}~PhlUW-2Td#Oxkv;QgpLOS|eI3wPf}Zqiin!O_yZTmv9mR3x658 zPZb;<UY1yrr6)$$$D^8^7zxFp3fQxmoo91jHpA~!a(lHh-ofvba*tJg-Qwmd_3Yv4 zv##X@Qh$F!d8-c~{KB80cnpIiw5Ky|B^f`F+4}MTOb)Ob(D+zYT?cL`*_2;KdE&WO z@JXOVh7XV#3f~6s+pyR1&6!nYX@BXR5F_lbW3Fvbv$gsO^^f=IF#Jx{%?v;s)NIQ* z3Th~**_m+$Kumfg#IOO7jPh1<GY6g{aAhV#8U$Klk73+|ei9f7v|uXZ>P4g66Nms+ zD;0n<B81C5(o)!|%~qqQDV}irB(|hT7vzBSk{JCP2gH~5)5AF+xwMU51AhPo#oI9) zz@to{cpF9}fMHa;69dlyDBg<U2jDm=9>st-07eVOc>o2dcx#3`2cUR+Mj!wuB!)V- z?I%I&E^Qto#epQ6!lPzE0L?Uoh7BmD-QHBNNbg&pWN_r8NYy6TAZIsWFFPiz3VaB` z^p&L1`{0{90<VAcQ3hWD0)MZ6*nlQzY!mP>H^TjK2M8>s{ejB@W*pJZM#~l)#|rzE zS_8fmDSa)pmM0K8P^EiwjX;whj3al7gKzQ{4NpD5v4}>=&>g^6G4^`E6Q>6(t&#B= z_?IAV9a%EM?E<G+u^vLur6NBG>=^Gqlyf<z@yPer@TWj>Ynq*{R(~i5rc7n&hNiiZ znT+(?QN`(}Ld*46F+=reW_`<yt@o(XK<7%0LS$$<-hR5PWr(SZ(**{np<1-jP^~#M zfD-!Y|EbLVpxG!J{+7um1b8~)eQ@40A@|cdZ5g%2p;FkNn5dfC3LVB4`t`wuqvCFv zc!B8)+G$!4quFP|*MH;6io+?ChB2%1t1I>s+VMf~F9^&M6SJ}w(qNYOqv_=hXh3!8 zf%ilz%+8Vx9y;%ekH?*!kv&T!SQ6^oWQI^iDn^}*bP>o%$>=pBJs6%-T*`ElIfjx_ zHG0NK*M`%wWWxs9{IP8qp<4C_GEJPC;t}<=g+`{PxJP|0p?~41{GL%?D`~H({Kuod zUZ)+P%Ga?!gn~&z2&}qbk}y9x&{hO%Wy*qeH>^c&9A~5tq1==PI4k6)7IoWArYenb zguz*f3_C`Zn~Z)s!-jFgO-2UgrXB_MGT>*`z$ha<9~w{^=whVHpa#?iT<4j}hNfm} z)h{KBLcWh+v44(e$Jge2N>Nu0x7Bc?s=w5y@`6#qv9`%bhhajeM?XvflY|lKM%=ZL z*=ORxsOpC3w?|-)?84LSx*7~2`f&7HZg_-T=wAU{!p@?*>y9ejrY%#?ObyH5bJlEr zVd^r2H5-kDP06t@dW^Anb=<;iNPimJflwm<op<e$^M7CZ)!W<TYbWGo267SZvF8|% z*z-=O#x&~tmj5oN%~4Ap7+9z?1nBKipPgClWK1Qj-j?^Kr<mqLEvYLGkQ^vNPOZ8h zAU5VBO6GH#EAA!a{%QMa|LC{b@Jf~kBkm8!Vm!g5Z%xuHEbfs#vK7}p^U9S}h-TA@ zFW6yS(SLH)7Oj$S8QC=C@+B*5KU%KFNy`U`#0gYn+vySb={$oNRSBbP<kB?t1Jtd= z>@}q)(733}9_mr{hkFIkv(-*)eJl@Vw%&>Dj1>WID8+~Z%%3#^ro4HWKYIjBd1v92 z%n>l<RlzCb5isTH!zo!KV9M)-Q?f_El;;e~D}OP;RH=Z<P+@j}70OUzvP|jos0=mc zQ?NoAip+PW^s}f8Rb~%ZA#(>pMHKEtx~wfB1FR%jX95$fX@!=AT(A-gAwp>s?sj^i z10nfcaKKcUxo-8aq*>7S5lw6ay138$kmIa_@z2#;_SFXUspaq_80}+%rLhZ`;+iI- zT7Q}?+Pt(qKE;&&K|C(!RPXS)bdrZ9`?y&c7JDPhG@!lN7MY;-cxYoGM1opUee^>Z zc00zrA|NjBaOo{^PpDdEHbfbg&Ne+8P-Kl{Q+*!PcIy$gaVGrFQ{PwXeu?MfW)kJT zU!(a`eO{X%vM)w1kaX|8(XLOGfhzVc)qfQKT!4>~8);^juOH5P94>WVV>xB^&<)xE z)#qXHXK7{%61-gfQJk5F+>d?x`O9e&?RyVPPMsY-cUz2k1u1Gwm6__TDt%$>T7In? z^-z?Vj1MYG57Q%P({aW!V-AQhPBm1okxrYX+P(3Ll)##yrG}1wT8L~GQms!v^?zw5 zWH)idkx@SP9<^fdMytA6^1ff(8zq7QJuSMq2sNwjW)-t8F4TMsGqa_QFx+!2%@oZj zo4uG!v!)W>q&9dVzPN$e=U!^;t#rb&ubxy?4&JTk%?Ty;PP&$H^*>bF1XXL=$cI8& zYZEtn`kbrjB?>N?c%R@saRgiSHBw=$^6R$ZoJp=G?}-Uh>n@(?rkCxJjbwqD=#1@= zr3sw%275+H<jw4Ul5J#kmcY#N%@-Goy+3j_nXB!0MlkXZMo)`Jpv>ENqT?J+U8lJo zm%kYS7JqyHP8gHVzNyF7*+>n7d%h-^gGrMPmwe)i1GA?qWZuiO48};c^w=u`GhncE z5RWR^1j!|E7BIRN>}9LUKM;s_Gw?z9Ho*x&ZNS0<TK<J6@{4ZW?%1loH=_5$KAX{Z zQO!LY(Ke*mB#>xPZGl6I4xJQPA+4oC&26A|`+tqXovu)7EbrQj^*_Dilm^VF)R$Ag zj7T_3@dL@lJMIJh8OH?&J0Eh}xhS!k6g5k_Czy2U)a6XQeP8juea<r$wCxU?MV_cD zo5g>)yifk_q-bBGj_`r7wZ>(L5eod@hnVUmlS1GWtC1k=0`Rxqt~CE@c$QNf__uht z@qfvS{>R|dm#e^&Hj9INYPjx1;Lq3Fmqm~Tk2H_M=Hj!#gXZr9J5yx^)?QqS0jEX{ zaHO(e=f^aT%Nv|p#*Oo!bnqaU0J360mJgouASdvkbOw0PI9BE+dSDxngd3kgjQVAN z8TyZ)s_mACf=<UDH}l@|0keC$YlO`$>VF(?{KTM*OhV1;$h;;0iYP83f}ig4_`)qp z*Nm;#cm3M$e(a)#aeGgFR^^Y(!iu=_$(1n=^}f2y>>quu=lwyE`J^)D9u1+&GpENC zwIH@w)4N&t8Fg}WDNG{Ql2B4Idmrx@`kEP+rpv4y)6mkTt47!FhE&P1uY01KX@8Ma z>CxdziQE!I$!wIla%&4c`Djo%>oYIf+&A|nA>JtgnTXA(!WtPJVgGWF%q~6E@bW#l z+dE~KhyHK?Z|BFKt;?pSF)Xzr-kg{a1@FWDf~&!M%KINsy@0T{SW`83|4h|aD-I~m z+^1hLb+yxqe{;-LWXeI49_f3+QGZA@w*Y?%DBsgNk7$JgSVQ@%=BfenD&()6E4n;@ zx4;f#lApH}vbD7Y=q|t#524Vd!m9+f57q=TCIu{Ku?^@_!BqkypZ1oDONloca0Ro6 z<}=WwsHH$Z37W=GpVXxkfcGGl53MQ!y!UB|RB|%#qVS5ibKo#h(r!2Ldw;>Bo!~jO zsIEz(X`0p%wtkT^doNoacX?zFHV)f{Uh|EBW+5r{CGgjobrio1*E)P!JQT`RS-KAd z54<L>_04JV3Mf}?sTK%0{4SgYaOgt0s!Ml);DtBASpY{jl&ikf3<NwLg&PMBN61ZS zsR9V@cz@hDaAZJkic3r&xPRc?a3_F62y#<iDm7Qbp1^~f1UQz!B(c;0gkxY(x(ysr zV5C^01Hlt7hr10NOwPzu4Fp%bIZgpMjGzJar8_|I#`E9~f}bV?SeD%Adjo^#{QFB( zoCBOjdr3X~pj|~Pop6L*2%H!&J$f^Nz<#!iuDyH{;saA;)UbTEhJQXom2M9N6K`AP zr4}dEW~TwvaqrKtd)RbW=hTQa-D@yEcMyz<CKdYHrNN$5C9p?0`*MA4MaFi`3;VQl z{^oa+nNS%_I)$1EQ5aNJ$Hj~eUmpN#@@z=^6j_3PB)a}?R0j!fWj2b1S2DFun$M%L z@t98!OTH^&*U+E(gMTk(AEgMEW=UzJ88L%(Gzh$Owdf2!$WdkBP38VpnkH3t*l1G1 zC>L+t^zt!WmPI%@bki105=*nP5$T0ij1o7Q2B=%bZHSS63qF&@I6Z)ZkS#c7MdM$J zY%UzLyzwtR!d`meQExwqrM+}nuu2&b@lp5nYKXE9j6ye=0)Lc{qT2u?-2u!Ij8g;2 z5=PhX{`d6`q{%ul$Zj&bAajTTyUBb3V}#pNMtUS{lcjZb00q-n)Xw8kSx1qbIRA=9 zv@$`5e&DFL3NiL3KIp#QGx%ke7We~>LL=vKs?S>*(Ygd~y14HN@3*Q1ary@URB@vM z{Ql;lcQ9+XH-Fr|r_4Q~Y3P!;Q7YbG?D=RNu@4e9%s*8TDw2WfRvze_8?=EZvk5^l z!PeLtnS?v-@u?%TE#jV*)z_on9){(W2cBM!tD?1Im=7nGrI&c=(Svr2;>=9EXRvB) zng_(lD4A_q)MRG9Ih7X0tPRy;V>mN@5y|5SXU2EM+J9rBUQe{xwvM0pl>g}3sj=Bd ztwaweM$z2+c#l|OK2HV3U4PU%9IK64sxxp_BS_KZ8yD;stLw7f5Ym>I#Sg&%fmay4 zpp6a2j0G#$+heEEOM??WWj8*>G%O2AHuYX#Euv*mSH8$sx~LCW3fCo{stplY0vEqg zf6wC*+J8NC_XC3}ZSaczW%=_lTcrPx#p%nJm?-n-3kHLj0r9B3&jIDx3{CbPdI#8x zF6q!QgBUlNr9E^KSS6Ub2=6qNkv3vOcpQaugF@Afz+Be}M`y;qF{RtV(PY`+Vmu1l zgwCjTVkFYWs5YMJZa#=S?rwRumO4S7o;8Tcm49O1K&-(k7L|Z(ou=BQo1zJiqHv{< zoRZNiQ#u(I&Xy$<gBNUDv=E4SM7s-KJ=EPCko~wM5TnKZgAT^rMq6YXj;A79ONp&Q z7bwqe^h7jqJfN<_Hl(jE`83(ZOy3R%!>%hax@t|4%~x^+G55L~U6!<G>QL{GVfm5t z*ng~mUo&B$>TK1LueD9g3fgw+B>S8N#fi;D^8R@I53{)~Sp5Z^TXNqofx9294_j%+ zF6bd1q*bW-WyBru+b^+X+MCQC_POUwPk-_5e?Kv1qdoAukDS#Be)oa1UWeaJ{=}Hi z39YQXBcJ$SCh2*mea#+eI#k4ndxR;*+kct##YuVw#i141@i^_7S4ps5mPe)8KbeQ~ z<i(pDs+si*b8uy*$7!>9HMSOgV7WR{Dk=lfGM}?utbT!+F>M<hJ;wuwWqRC;`*Cyq z*JRhd?_%>$C*jYem7CvBMzA7K@y~{W!3xE9a@JZ@{L76Mitib^U)y>6Z+n!=vVUru z@yM`~#F7+Us4>;~OYi@bN%7Xz74@kisCauqy7#;zc06mO-0YugDeva(XX4UknZ>Q@ zYyn(c$mjXAl`JG|b>Fzyk_6p**|$ZP9e^{NeSXm%(Wgegd9hvuUs7d`sV$F-F72j= z#%A2^f3ZF-zFHjcen$3$M6S~A?tf>)MlD)ea`dWM*%K^G5crvH)KYFxM{TJKeoX7n zrM5f>eoWEkqaJ=3d=V4yf6TmhR8!m6HcIbB2t`0ZYUrpCl&+B$kQPFbdO!gU(nNZV zf)bS8q#KBmfPf$Yq~<7yf`CW@N{u2SK_H3&q}~nZ{JwGjc<=k&?;GQmF@Ip}x!0V} zGuK{wuQ{JJh9U}!_sfKJ;-25f8UfXJc=?Ac-uB07w5ZA6-_Jt;VYpto54^zGOJ8*D z6Mw3e8Y=#LigPpI_RoZsf`lK9wE;!FR9M)Y+75Ug;I1AO^>UH?QW6BfJitZ4oq_T{ zR(Ts+4>XEulgILhH9g7_p??A|1k&VIuFBH84HZM72qb(B=p6l~DHdG;nXnTeVMTfd z;6Nal@T~xaT;+$Xm_e!Bb83LXIBY2wD+OU)K=u<tfVNl$X3A(UX3+&a%n#B0Y*H;S zU}T>`0y;^rr$>++y~$9q(R`xZ!lSugK4N(igy#l48i0_b=KvlDz<<+q4Zyx9fZ>Y( z`wAedsH}ieE&=3Q%&b)I#~SWS*z)K7@-5$)KzM&3WT^e61r=D3Mi>At0~Sw*3efrk zPsI!9N9uI|+*NOwt#>68_H+!Otp+fJL)tt5V+QCqLtnsis1w0}$MMjU40zz8jxb3; znmc_5*scJRya`M)oPQ*h>jG#*YzDB3R)9n#c?0z5L;9<kFata=H@?X$g7*PcOJW2( z*}$wPgEL`jB!GUWS##%hS_SwPW2%p_o7YC021EAt+wG=)V1Sf4Ua0<_^(5Tb#cKn1 z=$PGZGCy=g#<Y0-s(p2<@19T3r%%K0g{9#=RH^RD<vnO&lz););mftpVSqdow)otz zsRbC}gY}yZz?EL;u?BAMy-2m~n9U4F-y=x_L=KSfixaEL5rt(d;U1i_`2)in%!k)A zDaKO)?SdvMrd)|F&fyBFh*Q9b>Y+^B66*fY_H=|Z%d-5Xvv}ceT}Jp@_!~&VMKZ|~ z|6$7h#}!q|W`8ObMwOE|_hbBWJY0H`=v`<Nn%jQ8s;5kEZjgQ_eLv#6w%P>0j(M|( zzvYXBXS$VvdJY5hJ3|72<RW;z^o@*b_lNUt?)?>JQesM2-2VGpst%e;JPHsZXD_pt z$W5NC0Ua@TBK(g4WjGCY<BumsUF|)N7$KGIp@#&urhnl_1Wst`--iTsLgf301g<hQ zXO9TnNnJ<4GxqUqhrq{`+eewLOz886z;dB*>7zgwMB-7VY>n9?X6|r>Bc>Q=VeJvJ zKm0}7^1WvbPo%~cRO+Px1?u`}(9zvEIUYjCX*h@O0g9F+*Y4g&zX*uZ_5VbFTe>Mc z!4GKAA%8O6@(5O3rF!`Y25~#2=GZ|Z3;u(@<Wc`+`%qAe{fB7mKSWRdLu5_<H-B;E zzxg4T3$ML6BFd@1`VWzbN7up;5odeM;1SV$IKAMA==Bm+{fNl$X>BWZ1JKkEC+A?; z3MYVnP(Pb9DGA`O**}M^0J<BQhttJI9LB%_t$(-z<e<s`8j)ZKPz$t&m?7N&>Q|<Q zmdJoZ<CbJPNu<njyP)PirhT}tDtvkHE}#}O$LlmDo(8xXxVCoR$f^(gd2MF9i9I~< zzWMTaA+HeOf%$Sw%bb09D59{UZR7cK!%8a~_nl9lqyW)azxU$?|56TUA|axM9T1BF z#((-#%$4I|EB=OS3HA~e@d1WwM)nfXPx?lR&7S$>74Dk0m|kgZzRmS<`L}6cW=&6} zN?ypiSFA;JEdN=MdiLpILFM2BTKf0Ip<|m36+2l8t1;TyQi-c~z9(9X?!3tSzF2Px zLT`mRR~<kCe=Hh3spt^2#{ON=abmB5vwyK-Cplpit}XDoBG!1@>mqQUm$2G(O%}30 z-7$I);|u_*Dq_LK7AeM?5x;w$JlLD&N9+t*Ny&|bu?sFH=Kjj*f5SbE>U}GffHi!h zsw}4&THzO)<qS8|2&s4yyX8J?*uP?k%@4Cp+)>N*{WJ0WQtR2)=p-YZtk+)VdViKC zabHj_va<?s*?YD;4;0{S&3SKDLHw@;@$TZ5SJ%-O8cmRe=h07%PM<5_=s2a&wAGvC zBAd=_FZ<k<CtX3%18I5n7uvhgBqmZ+q12WqQ{hZIBJa(2kem<tl7@o9nXTT7jV2<6 zw*{BteXgoy53wp|4};D61a;jF6n_NceQs%o#!jC=+f)vE-LASNRng6VAb18Y+iLr} zzbBa|@67^evp-|YSH7JnYyQ+^N!G}aEz>1iFmk8Y#lVNvQfLEx?`Lp>fdbc`-nNgr z@xti(wL#tds#^gSQkjd00>QdCAEt5#G0W!PXmpg#W98A8V8zdSc4>k`@qa#NX89GA zN8f>;Q1<L@3tGqfsLb;BDUbGop8ydL1k>Yv^k(@@l}F!z{V01O{0idRy_Y(r)9fly zw(nl<d=9cCZK6L#84EozF|2raXY-<rC5euH(P(1hoFy0@=i{lCJth3eB(UP4wAI(} zKg#xlU@5f1ua3Ap+!84BwSOS1ho>cphGuOv@h-e4NRI!~=u(+FXpKf1{fttxCoNQ( zI95c-7AURWgjc57sy$G!Z0Ar=+3Brxscas+fVMPhJXfH!+~iPMX=@;6l^6L*$^LI} zL9d{&hm&RACi-!siEm+w;6j|wWi@-U?-P^D6)sX%h{z%(``2Kn-hauQ27G+2>Cy+I zE|IC6;yk4V(DE~N`~`H5QKQsU4mD5dGf2W`@i%Xo1H@8vb16T{#!=b+9oXr!t|P|8 zzQQGa5m6+#xz$??EW7HWHf2LMzc5T(*t#%Hai9L|E*UOYh5i5otuybJN~I|d$XmbT z-U<^Ju+FnCekef;Tz{oqt91X+TbdLccFz>FkgMp&9#^8TU5v}pKCMcbnwA+g>BFLn z80Wt6WhkmBSij@g(uuUww%5Jx6GLo$!EXj~%u#&83SdqZ<BGLULjVkWB^n6>IWTn( zNglIC*cLxL0p!^mHA%;!VF1xarXo_&`V!BU&Sv+uN~aIK$$vod9a9h?SMfEFeClj5 zE?4`s20&yqYBGaG-(&6`>H-D}wNL8+gR`S1dsy`8L!vZAae3<pd|NuL{@SCbuKOT> z85vfh&0ruo0J9=xt!+~rr37GtMon_DXaN8dk*-**XwAb9V63!9)vo)v0GP5W^fwsj z;vq)Jy4j-mxPKrmFsT1prSFH{zpP2OBrDNQFwh-s`zb=EqAS?i0Ecd`_s||yyzcWz zk=oHRYBGgIvulsOC!iH46|8yQn_QXDE525t9onN<-0n1Lau18%FTS?wY6g<bRa_l2 zv6_%A{+_2jY746KSie>o_QAx<x;cEP60HFP#cPkwLVwZ~+ZC;+Ytb3?Fzr$G>y@pF zdL6GvO*XM;&*JY?aZ`|Cj$#b5vLoQQ_3ul?-!bU&`U~2ls@E$!6k|HJM@`nS=%C{7 z^HfvNrlR#NvWc<t+2ZfH+M}kRJ&&?$mBAlO{H=LH2vz6=7^v*lKBdFrRvB!2s1RQm zC|bRgzJGo2t2tp^R$+3x_X$vyJRX@yRD3*Pg$>dCnfeCY(kqCczHcD=3tb0PrO0w~ z6Qf3Tpel(*nS2FJT|sNFMoS6&>CJGF9X0HildbqDW`&I$`2`>&`UJ&hbPt`YF0#4$ zgNII#)z#1u8sOY`E%<4A?2tCT(S$GZ?jfyl!GD`2n{~j+*DLs9M)?r=w~K5;Y#QJU zRVsM1W^+i(*DuIA!+Ge;cBy=(KMc^G6Sooy?LVAQzYn@{RVgzy?hCM+rzJlNWZRae z0(<z?VW!+hlk1VG0NGE3n*F%s*F$HT!axV}p>wR!#I&{IFq2{d?#IUAqnBqW)U<aU zI)D9r(9|IQL)sf^_G2sG4w3g2kR2XJ&MWBlAn>8{g-d1k)ZbMnE24}Fu6=J=S9$~X zOjQu?2sGgOg<fwoacIpqzhLy!M{RUE@N;&iZKse`^R1HTuk#>LAM|(-C^IhZ3*a0N z{Cem-VbvTd5j8#t_Czb_wbKoj7eEcK1%JzC^vdbK&=Y`)4N@P8`O~}HXcF0aSCBRS zOS(&CwVO4X$>^t_+UQhWrAb0Xlxo5Ec_+ARg>9##)$cG>rR)jtELvf^W5Gac3AFZF zka_w{dC_n5rVknwq&#wIyLYFt_hf6b|I7F<aJAFDhHte#8-?l?9RDV=eyPpY9e=H0 z)@Et|S_L^@L1zcaj3n>$5?p+mV{ab<?zs3ojV(R2V$@C#8@@h-$`w4Mh|mD&^aI8E z0_&`U$g~|JSzGhDS)r_hO{>#IIvU`TyQpDhP=tSOU)t0&Fjs8V$-NTjsj>^(N+k44 zn$m-x?=?Q_@BLH@eW4}HmzQCiuYY{{(@LP(%#(@0%Am3DI!~ua?beT{gxb?50?*8B z&+PBdeF_}-agZ|OXT7Bu)AIIKD=uM6KVS9pA}-CDp18I#(KEMFk=QeJej~AC%AM2L zZ-*b%vmZ}^y1$$lH3_#yI6FJG=7+o4w=RUcIki3xcZ0X~g}WhIO>eomw12XOmw2^C zhnKju>V}tGZ2fer#I*GwI3cmMVX-jrBOUu@pTE7FiF3{@(NOvK&3%oHy|TW9_U9ji zBSm)RhkaUC)e2O0BzxhC4{}@dR&`1rK;8A2MN=m2andOQQj-e3Z|%Q45_>S_<xsj` z{N?wAP<79fb9<1!r3Pi2M1Skh7^na7(=@ep@H*(ZqWt|;oyqY&1Z|D95_>Y5WV(hr z*Tw$jw^Zs_>`9ydjhoejPt{pxJ|mi!t;=Ump5`J{p3>&3`rx*CVtC(c$$Tc!zcqSa ze<qCjBXKJ8$=m(by=(114luF9w9Ss8UEp`4qJ6RXKsifcCy`pdHh-``LLL5a@TwlX zX<Qr2=i5`&t^Rq~2OTLg80Fu13An`gH+Dvj8)l|&wDnhosB(#YkRUyP&M5I%1<!<S z9N27@uJ?=?E^h6t>Q3}5mCGGGU48MF*>cQ|iMNYTftk0j&~Wsd;`e{nI<kTitAi3F zJoaHL=J;fr(Lq>UVSgCd_yaw0M@|`JH1gNx<?IJ+dsU~-aSc|6=x{9>UbViqwVC+M z`oLzv@|u9-Xt&<d%oueoa%vU${W-U$;|zU%Aaw0ujk)#UjreYE(EF*0v0u^=hBF7S z35Syy``<S!J(dqn1l;s*{1zz^5bxjE5-GAA;@?;vDX5zN{(qeKeVx#QB`vl5_umo^ z<P7&)jo~t%Yj14GdGBTGugR@h2JCrJm9XnHWE0CDzJ}hft&w`?BlS+6{<9L8II}Sp zC_S?=9%wrgF%jrI6EPlWKGQN0=r+?b9%wbAGZE-8qca|Oab|oX&|_wNJkWZ^X(G^Z z#%Vmzbf#b;@PG15!FV8SMr0z;enw<8(0fLN6qqpcjT{&>^Nke9H{&-JC^F;s;s0_! z&F;$wC${~X)k)E@zb2g$VSh~`1#kaQBxT9|;ew3wONmcEB8678wo*40I;D8Oz9%NG zeeg3G34C&oi8I)YU$P6oUoG7^gX|U_GIbj|s7UN*6@Q$4kvpi7McXI?Ho+x}VCm&H zr3cR6E8;8&qbpkS$(5=O0?|)<uDbV~zni@EJ;n+9zGr`mU*OMy_nXAr{F)q88j8eO zv6ywRbIa#si0toyA8jN&sCT(zs?uGmO*TY<GC*`ps%3I!uIF=Sj?$r>pqM9#G8nV% zo})o2rhh~$R3UQ%gC}RFcoZ*<jbcqKLA5djGtcsMN<?YWj3|3Vb`(FOG@B7qoY2;B zS||lX1fwdLj9BBuw|Hn{L`^0ymTs0fu`Mo|BPD<+&EUn}%@_yTx=6`PGG^On*>a$) zB_*=hl<U0aBwkM%V*)ejQ!WvUP|q3sS%=w5C4bMchp?OjhiFiyiP1^xOpDCJ{O$~G zCqrZ?FNu-NqKw__ZAu}hDPM>RNmfjSOd7oIY;9^Gx|Cl;;UrZiXQp}{ch)wQ5D0}z zgd_zpO)%B-y0f=wgcwq`iM&Y?OlO&bc&1s}ltZ*A--zl-ZcMFALA=v!ZR#QVlntU} zl7Bu^B-1L-G;13;M3XX0++?z4F6T2~j(Xz1WV<j3->1(lUhp%b$q_S|G#L_jwn8c3 zBvB?!CQqJSd72>QF>x^I-xcJFg3!b%1mbei1amOMEJr72lom~dQb(LhYGqzynC0x` zjyg+|qI3~=k~W#88H_mMIJQpF1SnO+{(q!Q<_ZQQ&RrpzGG&bDpH#t?z_z7E)1~;M zDwq?bwpc0aL`@&JY>q&tkN`>qv1+V8iih@!=zywb9$_fwHDHg@pczp5h=V9+rUoWY z?oPfaL*RXS5IaztjM6MdY;l5H+B7ZV2y+j|t{{z-a-XQl+{3i1LJJ@^F!wO-Du2@4 zh{4P~%)1)2SmGM97gIM+9P5?}4MO2hYG9sY=;qv&p_vn<nG>0Im1+LO3g$${T?Lvm z(Th2ec~_klNi=3S;MfJxMu<HO2VA=nv^8QP!vW{6C{3Mm{_gR9MliDt-w75Q#!|s^ z!ZZ&e9(9rEO<|#E6R)E{s4>QB_J3i9Qh|?*{!GI>rL5;vLv$!Vh`LE~L|s%E<09KI zbE)V#>5v2p6Ok3g%_z#&Ez!n7GbEa#?lX$AYcRSCwh4t?r<@`Bq6QfY+3OkH1=<8d zd?_kKM^r7NGkZOwJE%=0B#@#<q$e#ipJfQ*nC56Z9Ri{}Ar2+EF>12@zkl%xnR-~_ z7`J3;gTw}g9<E(++A=Yip@(x<gf>N7W9Z@Dm7?ts3zIaNy%@W>;&`|8XuOmXqBK(? z%kF6!m{`G-c()?jZ0j9LlfjE6L2OHy;*9cQPLSN<rJP00F(pWBNm3$FbIb|STY@xS ziYn0=)xem@5GT3CMzf~yqkoK<_K$CYD7GkL=KT{}+!Rez57WN*mINgj)x*BexMfbM zNJ?beXWeq9%q1nV?=x@NQhJht8Rz!Bp87G{u$7)TCv}eF|37b#DN2pTLO~OAlfcZj zjOAPgyis~I9!e4Md6GZ#2xB?70e{s0&gNz56pP}fIZ*<MQ>aLWHGh^_R-hj8(>y8h z#2pkr1F)f3;*M`|(CjH!i2W#Ah6<Jht}P+jb&3|zmf4s&PGsu@?KyFT(U^aii55)} zMFIPXAx>b+m*Sk{#rnVSzWynpBT2^W`%GI_l!l}pwtcoOH=wBgx9^Mn%!PQ?Ql4|V zAqFAG&asAAQV_&Q#(!!yKE`_}P|_GfHLDGa4ST87Ipz?vzt~GT&uN8-P@WP$B|T?e zWXNDxWEo~Hl{m*A;z@}kvL<mei85*MxHCmD0c)#p*fSV4**zIM1*3#%ND71)fSO=z zV4r2^6o>-R{3z-~H&iQQF#9ZHCn!pk7DO>5#sXDnjopYL?tj?UF`73;j%bd`WRzw% zVg$;PGR1*djT&Jv=H2C?U8aN)N0^M+cMWLV#6=YFk#lY_(M&0YC@;nY;VlSd0yW2w z0NPTgw4&x16GXQRDVr!wMlZH*CSbcTQ<jNmlY*HNId%nT{lp4}M6O*i+9J`5A(3-e zm^MM2V@TxQm4Bpd{<p=-@B2hjE+d#dgPo75n!$#%lxs_aLL$mgPK7Xqh*O%0XyOt| zi@~2If>@NK#q7^G%vH*JPCtZ?!VzLaaVNe&turjL4zrg^|9{cPR71{ER*8m5u}qsx ztGv_fZJHs*l$|7Y#tIfA_FWyCK5>nyhke(Gra&1aI)5iMFnKZWGyE5YhHY1uCQ9^T zN@U%I(9RO)m=f7{4Qc#DW2OU^T`ig>v4`n^ZC9TrO-y7uV2$HGm&Lk$hH{no7B!E$ zLsU+3V5(;7<~|1r;W)=r%23L7PCZ06M33^DD3+x4Uugi*kRXZyF&eebxX7--;C`&_ zSco^J1Ald&VUF2dsO@-2C`FK{fU;sNWYb`F7il{Y5>4SE3ZqmRo!RP{+=bi3L&7QI zL<lN?ae}R$*<G|vDkPr5M&w0FFrH-#Vwx6e6AKBWh!E?N+?ZP#gSe;p+6+V3DH+7F zBz@*c##OFq-ZuRZeo8s9D=C(Fj(b|PO_~-@+<!x@u}d-RGSJRb*ir0^{A^N820~HC zX(1E=B0pm$ixjJYIM9k+DECnPjG64^3<d&Gg0!m?<-^|0Xv<#CXaI^5q1~Y962nl7 zj3ev`EL-*zR$?ZjG2brBkp{bus$lVC>J*9+qurzk6ID^pj16p_%$*`fEj*oAk`&2w zfPab)b^6}(Kl$7kLM~9C#1zyTn+8)He~3NBhuDX*Vkl(MV09O7;|aM;xk+3=sWLdT z)U&vYwQ+?wQjkP4Du7{vrJmJYqKz-agAzleqa+y4vIMbAA8+FfaiI7Shfr<|tt>&T z)8cKsA#Q;DSV8GCM6#^1OpCQ~hdBSAK7Y43%@AoyH*qg%jd_(n&X~qPVNYUbGUhPg zh&n|(M!^tYCEa7rWJutMlBX$AMu}IF`k9P*4EUl9{%@6W?j&jEgcDnwloaCqBvEE# z&Q6vnW!f3a0#P;TNcZq|vPG%W^eDVU3DjA}V76JNPT?pCS_DOssE>+dTw|MM?tc`G zlBOk4nExljc7&mV71%Fow6H_X#1JR71r(vA|CP4;Ka{ZMv&0d$_|yOWM*V+y<^J0S z$l}R*r2kzh;lyRsS%zSiqXx)MvjGI;ze?wyW#ar-+8A*I3nEKXeaUG&pl@qr$-|Pf z*rVR`y;ozmqP^m_bkv4UHF;vat$*e%Gb%MJ0sKsJVJtO2a-$9DGnExs<>Wavk4y`+ zYN>OL?#Qf_X_5RCfm~2HU*G<5<LXpx{wBi<SD)&fvKl8(zOTspWo8k@uF+SrYULvI zJ}n^^$_(r8d=$ItkINVR)0W}mpHqf+^1SesnpS2SQQ#WgkY}agq~y2Yb$^}i>!9Iz z1KETp4@ltL?QZBbEs+LdTgu`pOs=}iy8dx?jsMe<yOxt$Djy54i>tUj2;SiNq=j6F zpRwuom6a8G{;Q#RTbM_q=i|vwk6izFvN@l>5!19p9^fXH#p#>&W``pe>L@ndMY8ac z8-avhru_AfKI&av24;rV|9>-_W)a^+Cl5qk{9gFS>~5}Pz0<7W>eneXS-CeEcB)=r zjF<2Uv5OH8T%*fsVr@Oa+66yc2){BMH@iR1U(Kw|pYU6r$TYFZHhk>lIY`w&cbRSg z&o|bR@B(J?&jZq@wilGLO`6ci1;d8brjI;VwQ%``J?*MK0XcPer+?YSI<I$vc+(aD z=Jm8grA6aYJ90sovQ5y;#$3HulkfblJ;3K)w&WA1S@C)=6_A)|M4oFjIIlz|a^PIk zJZa#5<@BY-`L`L)s+t8i$NFqe>R+hqEE*%gBBr?dp6;sFbzdf%_Wo<W8zTt2CEpMr z{5s=Q=x{^2DV{X2V}I<S-?;a710Y}xU+Lph)OV@toFOj=>Oc5#ituZ|xzOzgyvd3@ z;AZB*-Z=P1!$CC|9Uk3VFW&*L>wHKG7m6+TahdST=W?O*58b9k(m=;04{+n++j3`B zFWxFI8L3ZYyemN~bzgUUeyhHbi+!_duj(Z`|JB1QyX)$Lmw(KG>$$yzosgO-Y5cAj z3G3&+FKm<ljsSA3K*T%#tgpkdVa0KlpC?n17B!d}L_Gm#h84$KuDGI+mueboo&_k^ zaN-qfKD3Ec7Uv*qR7N49<Oc{X$0ZLf`ym*_Ie!!)?06rc?pWjwvM0dEPWgQh5yyK7 zNyks_a`xdcvVUX#D~OO|Cc<#<msfmaMZ!ww?rm|4r>uRhA2o5^xB{%}q$aK$=Y(}# z8m14$Z3p%@t=9`KpKs)AS=Xr4%b{M=UsJ0A;cYgi*5qrn@WV0g@naPU_d8ESmiDeb z{@4{Ueb1Cv7V;)T|L|XEUHQ>=rCC89GQ3YU3(&Gac7N`X(?95Bkyv(WC6tk}c=U$z zW)=>HRs7^N!(pEbvu^2W|6KVbtJo(o{FMn^hTOkTy_-h0s%h9G^9qnN5yk*ejcNqn z1OO-P_h5AA{4ql%Y65(-c3_1qP5eAEsit$4(Vjmm=DcIV+4H3HaArs0TbuV|vPgGo zxH|SL*?)4ypVp*y*piOHFCv7)_e^*dAPC3M31`wN_$x&It#}h&FvQ*QdSnAm0BeR* zS&q3MlS;Z+Gtl8#X_h07uc=&CMhfBWYg9U<D$R1m>uPG2HIQof#+sUrph_u4`m@=9 z;4woZYab7#@xE08zOp@sirkzOvY)XCe;ymygnwJbwl9ayVdiaeT=>)I&*p6o{~MvL z{wj-omj%6PK0}mTf(SOO?`Aq1x6`J)(Dt_ZbET};zCwTc*xmbxYLmq2Bpr9G9(a3x zHpi%)8?S-x4*X+<aE5z;;-`L9<ASj53fnCU{Pr`jw>{8(LdP~??WT<5*SxP1vsX_4 zDSvdg@U>ru4e@Vr<K>Z3_-MvN8DY~Q1;|;7CiXT?7yFH6HziLLQbPKX)RT2nk4+w; zN|AWmq!%R*(E^M!dQ^Fc9AJFVb60>E082o$zXAqaZ&(4M4j4Il=M^Ckz!03I<`fIk z(yxXF*21j$w#=Fyw$QMOtZ{Oe5j(7K5Oa5Sr+I%Y2o7TE&Q`08KZgIwIA<|iXDEsf z1q_jKiBi(5L*s)Viu5rlSe_fH2}52Yp3PxxE|Y=i0U35D^|Hl<fec20V;1Q=TV`h( zT9UC+T!4|;Qh_yNiIXdGbMt_|0#dzu90<XC1AN~|BITs_0H55XW|sKj{P<R^EqI+A z$9#V$Ebov`Iubl|R?005aW(aWHD%&>R1LL5ZBjS~_?9jfUe>pRGb11oMa@$R;XO4^ zhOhfu*YwnU)2NF0iCuLdfAD?(G6`YqSmzO=4z{2>lE)v9$tEMD9alUmodU3{j^xqD zWSt^Juw$8rc;H(Y9Zn`IT-&j&sjgYoc-nu(hL@^|Y0uiw$F|o}SKtA`rZpusMynbt z^A_Qb<dMf8%Cixoj(Z-KD|{B=P7vbb_cqCS2fuzc;Cu7g<j$0f|M@x23%eswWQr^Z zzxd%Vlk$`F<Re2Q*Y(CsmiF;%FwF4!k)ePsa5*c_Yj#x`{}|m(FJO~vdO&J2nTvn1 zx+8Ge1{qx$-1YeH)Tbv2vZJlD0E1#yan^UY;OnPkK``q}zw2574q($NY>&H%KX`K$ zo(qa!RtM;~@XG(toyjMW{-G-}L%RM$cL@wJ{D;mjt2p}~IxX1HzjU9le&B!TPQb$i z|Dn^szxbCflhpYyoh>rqA36glHT!>`vFJQf)xS@XM7sU&*aOm)f5v{D!}tF?JsEt; zzj3J~*}A+R`ku@$a^H51yZfjrw|rP7_*FV(yFQNHDoAtuGrRT%nO4_g_@?l#`FNJ= zmB`w-`KYVvctS+$z(l_Jc(!YGKe^%i(5;;|Z{48F=)gxG+4O_N>`P#QE%Sc`B7_u@ zh<=c=y&Ei$;8cml1!7w+tJ*_J5%8(Hd?gJeJ6>|!QV2xICD{Zhq@_)oA`1zEo8Pe_ z_!(eafRIfx3s6`UA)rZ$dj>t#bMxzZV6#TUjcB84kPmiaa#4BiqtqvJWJP@Wukf|( zJ?zGEXyT(DVu7Ik`9|i5X_0?Qz8pPV>{j--Xlzf~iOP>MQ+IwlXTQSoO%+)s3hP3t zsjIu-ZI`bB({kp@5u^x>IYwz+GwQ<?_wMsXH%m#OJ1$?R7UjSeW$)+rcM}=%1Yi39 zSAV+UjQ7>EQIiX0kuC`5iB^02#zBVH;xK}VciKsj2d~~SR1qI&G2?&i%~=qYw;4&t zue2*Z*+j-P6o35i1JbqWS8NZ8MXSG!95>>=x_9o*R>DE&8in3#wHiA#>%S5YJZP!d zx1|#Tqee|vOyY9K?FB;jQ&UreYA4N2w2?DSz9nsD0s^7G9hPygLyh3IDXw*htJ56t zfaJ;wSAy<`%K>qz<57PGkp1H+bs+EMwx8y(_>&~|=`A^Iw+J=hadDsFC8|EA+d)Mo zNCv-_vh9g42<6Y-zJQa?LrQL*#7n1a+v8h8`LniRxQaZabfhu94jSk<AQUN$uSnUx zjQ`jc3#$`FcEKgTtjZ8B;CL;=A9R$E%rH7CWZhK_cuDdk7BPR(rVk4gK|Y83zn-~( zyl>~0mzLR4M$(6NRgpf0wr0mT<0A9*&aOJa*Es3r@WuWaC^a54>s;eMJ%lw9qF#I) z(?4TEmBSdpYiy^}u|^`)D~|=gh-7V>;B52G^v|59I$(?(2gD-{@gvFGm+%Rp>#%qY z(xRn8|BxI##m;|ADPJ&B60e!E4acKGCvvteaSeImCn9<A!O7cZc$rY=+-)aZFxge# zGN7)pWn6sBb@1^sFO!d&o#RZ{1YxQ(`Q>ROrf3{0PoSMn*;9O(FfU>xOYgAjSx4?= zk#sOEKaqP$Bx&d#X4}waFXH`np!FW#<7X?fH}p4SW$=H-DciX_l2EE9X8%iq18hYQ zDe8>=8ymq*D5>o|36D*leC6nK65j<~Sx`BhL^8r`gyvhw5WH}E?h4zvdM8PY^@4A) z5gdd&wI+i2GUy6oJ{tONeo-jGE?M&H&)o+k84Tags02&uGrN1y2F5b<R=dR7gaZNp zzl>5O1-yUF+vW`5<|%qLu3N2PLqpdLQY1m%{cR~H@$*p0MLB76YO);|F@J#e{!{#N zU%>kyWZo-#+ZmVm;-@BT4@^olQ1i-4ORdL$uXYNQZ6{qqYNtR%ynln}`e_Idrr2b8 zFGF5f8G%K1+7}iF`17YgK;A=bKWDJ69OT;)bEbbakL}c}=-7Q(vL()GbbInoE+PQq zYQ7xc560_23Fz^OVLo_Z3R0r3p=<>^$w}65_0mtwLTVy*uEnq1kP}u%+-mNQNC1=F zao-N{>4-c#d!&IxBtDw&;G*|wS+%?U>nwN|jE^I?bvvo^+fqF`NYccGJ?Qf#xIbsO z$cTT%S$KRh^_A9#d_<)k+Ca|3qA2Ce`h#1Fz5)X;wLYNp9F<f@EX?6Lm>ULi{#jHo z!niz}51W&0`9%yP-Cia`5;Kr%#OiU8PrLSfL(7n1IGfRJNG2NLkI^$<o-i22wsVjb z0N-`nnp!)LDtbV91h$=%tbRCTMZJU>elUOD5Ivbi)j(`s+bgby;G-~K4x^l~!y?ol zheHbZuw*^(Vf+QEJ7zc?h_AFL1CyeGM7Ck@Megu~=7gcK2MB&lj0up^8b%kY@dt)L zu$n@<u1bJR5gWrz)<2}UL}kKgqyiM;*;G};9Ka90jks;ciL5hCqehk%Ty5g8`bK|< zctB#nu+e}|ANk0RIl4|#24aD`&^f-Z2ywyv-8s&eYbmUfKQSo-znCokGGOH-o;#&I zYhscSelbOSARy3Kxx+5PGhoMpE?Q&UK<L84lP&G%KOqo32TJdhUzQq_ALQKRT!5=< zgUG?WDZZ)Cc!Ink$`y7-<=Kwd*Ia*)0{^QD_@B`9MX~4-1j>%pKrT4D_#}y?Jo_w6 zn9K2v)-N`^EG8|=%oFUWhB!U)h6@f#DSo5XREl_FS7abJXz?QDW*FVg68X^XRok|@ z48$Gx*?rGbE?)s5;@sm5^X1MTA_t$wpTOJ%+_DP-1Fy(y!f;PaClI9wf#QDzfUE3+ z=)eeh%@odvVFGSX=Bpw!oO>2w3!M4=<TYLRALv3DJ+^>kfib&DkG)G$!kC59V~a`G zFlNAb8p#yu(Mw)~!LKBX1MHme`DAeb7Ye_Cxs9v?&MBJrUn-)X!8*t9=C-@w?nl@0 z%0WzV%AMoW*e6_&{0Ub@{B?h*^*o{ALJa~3_Y7FV4kWA06TZnpJa9{$<E2;)0ji*9 z03Un-n!oO9B?q~Td)qnw18bSAVm~iNe*BI1<))C%#pEH%&)p2tC5+#%s01ryf!*^c zgC3c1yj@{Uf?H~_ZlO+xuIvrI%~)OhAL!6x!eUnYMVx-y+@QsbI%$8#AoDqFMvi1? zkXe}1?u?6#mh#VTx5e#5N%`lrd*OC~d*Eb&V~>(jg&8W5?igeWV>LvnH_Q8P!oyOE z2O;Z%aA%Hi)`@pZ*m@zVWqpw^wqB5`QeX5KTQ5RI))$Rgc*v0;?poD3?M}FW7k)M} z;bnFcp+ms&(g5=<bVz?qCcMjTB5bJi5|s@TL@CxqlbA3fAw#7WR5MJ_*W$~UsEU}N zFU6NlsD7BBZ^f4_s3Mr4&&9eZQXO<=0P;ME6bPMp4GA;APo=b%1^8u=%AhlEAz>%* z9m(xhb%cU1T>j@$4CP-+eIe*PAVD!AH6pHPmBxaXQX<~`VcdTK7KXAUxlUK-+!zGT zJep81OMhsWiBE95j||2<1DkvVO*a&g#-N5ndx|+RW;p-0ITrW`7hTO6-Hj~=QFZGD z<1Gv|N$c(k8Yk(u?KDI3zgtsHF`i#lM(>lXpk2L=m0)~fN=#9$2|wJHi!SesUWXYf zkQ|T(Q>oF@7T$jisn49F49;e`%9CWJM(9`(Zo<R<FiE^~N{l0Y%Bd9fNob>(BQ||! zNS0uNQ>aOa_=OToQ5W{Ms+Cg8c2y)b)v#M)3%CjHkXcWjL4BbXXmTCpb!%3P2X5lU z&mrvWF)FWAfi-qkh-ys^ZnhY(@(#ZLto4N#KRzE?_cebZ%%V;ZnU9>7kN9{``9?a2 z+pBa<d3w3sTIf8W4d6IUT|7H1UXFCOGeYVlz0Pj#*W`-|QY19KKVZ=aKF3WrY$%I` z?VTj?cSfwr(5vi>fq)W{Ep)FpU{M1ekm5QRuxJBcPIm3r{~?JT=O&a#ew=~@9z!y( zJ!{RLR3d+w&3Xqb5MVg#&R4Tg<a4`{=zCp7BoRytjV~62k4%oa7x_^F)}>17=zImN za<|>uI$ta^o<DgzDe~hoEMAFpuk+O~n4WDX{gY2WlhhB5f2aQ=9BU*%1<iUlDA4hC z6~Fjmc@NdXgUFAyM`EG#m8U6{8`DjZ+^~duangT<*Pc0NZM)(c$gcMV=tJh-Zl)id zJOkt`_S8rtH7PFkw~ZbhX@u#QJ4YJ9vu-VW+Zs0)Md-GoMq(12_g<qVb@E@fpq~X! zul)trPoDgHk5881fGe9`I}cCfqJy2$jM#las%E{QGB$#faKF|h5#Et9Ipio?M3Ta6 zgyer)$P%1!U4RJ4o&=MO>IHS+i5zrxXHHf83N+Ap{?mnb^I)MCujG}*pSur9j2J#z zRDuchksUiA0_4Lja2IRlw0gykKYI2`_Q$EsW$=+M5YbB;x~BGzE=W0z&EN{p%iNWP z_b2N;5nq$PyTHw*ukkV?Y`!8_Plm)9w5xvwKj5JA^AA>I_l2om<Y517gi(s=!`>-J z#e~=lgd9e^=yyUG3?h=2fFwV;@1mb*?}FvH|Mm5O{9(JE-m-z)Lrsf*V<WPVG6?S6 z?ueFLq%y(^F!oO#3IfH$7EgA?jRVH6O-;I8;H9G$?}nKy{!Lg{#YaF1T1x?oqHurf z6eRM)#PA5Vn3H@d-QPKsPrB;aH35;K`RKenm{KkhQ_}WrY4YK0y`XpFG*lEmlR_QV z`T(3eF)=`|mnA#nx((zcVG11iM6H`_coU3Zw3%Mk8E)TiVraP|B_L=uppuvGFMl`B zT1jFg6^$sh3pJ1%#p-d8|HgW)H-mq}@7no4{C(Km0WC0kIHYi>1r&k)&5Iv~hU+X% z7}UYS1#0fYfG%u$s0FNn_H6~9Nv8UJXoz;r(sRIR9S#W{Du6>H>`<Z<9EO`wZ(xQG z8LVMtawJ`V6r4kP0WAvvfW=vQwz&06K>U?MDbRfwZ&Gv5&hT)E1z!%OYXg741AJsd zGSwG|-**hQ=L|;yVSmiK)KwAEgz;e^@>*(4Fd~^gPuMt%--pr{2@wwwX?8#-;>#94 zNn-E}V2AMvAx}0Cn6Ye}kkpCE1o)j4@pl1%(s%?09$mMh05QSIbdGOiwcFvifqSQ5 zcJBP%fIw0FRZI>!DngXJ#*%;X82wCJYGfXJ0;-GP{#m(Qhdouu5f;iFocoJwVL>eV z{!z!&`lW~ug{IGoMc+Z#;ZBacS;oHRhLrnXWyLdLxT4Goa{o~RzF4jlm9Ju#Eo&@r zvgN=D{zHl-pcm2+ckRT0Zmp27j8Js$>BCM#U%UWXJmeD28yNnN5>S8qM+p>K94P^y z$Lm5DLg=xmJaZ-f!ycc049NmqE&e3`0(yEuo|lrbbC16TYcdxQRg9>&d*QxUE}IWV zNICa_VGG>(BZnPcE=Bz9VTZ3u7VjgkorYJXhy&#I|0)0h_>~m#+VRQDmD?|rpSmOq zue%P)|I@V3Smbj!z6^g*FC+CqJ>CTjnIhBd9HQ!UVb;P(l?Dj=ze2$5A0ZF{_l4&F zbd|6~w%d(G*LheVEA2ple2{^_a4@97h{#!vIXjmWj#{Ac7b70q<r|cHWf#kkc%()& zVM9X5C)BeSN$=dQZSJa?P3OM;zBhY`8jRV`7~SbYBj#N~?;L-t-HesPKY>Eh$%~<k zct0rQK6&vc8+<4QDOEc%Psdg!r=<ZdBfJQdkO~-5_yQ>50T81HuS-S>12F__1UfIW z@B4ZbFMbBPk}(?ajf~ykAb`ypik!12RY|kQyZk(1$O^k1pWnsNtoS|XN+uBG4rfo+ zJ61LEZV)CZME!s3fv47DmYzHba~N%Qc$B&FyI8k`B!J22{SH}&AD&>uf#}QF49;*h zfLjYDDN2n3VE$P^r9uNRr<`qbTq2O<@&&3FW<Py2z)u%{ki4x4L>FeI9Rr^?dFrWE zor{!5RJnBd6=Wl25xIv(PMXkR^-^?j|Lkt!U1#dD>=J)pm##=Q!|Bwpw#KzXy#q~M z+=4z|fv+SZMF(x2EeN7Dh7IHVFjH>fx@}3by%y4T;1i=z%cHZ%&P-j9Zc5rhLL`1i z6Z55Qu7|W&XeZfbxr<-zN!Ghl+iMekpnxzBZ+^A#N+euU@ul9+(S-WdzGUh<^PQr0 zQBV!H^h$p<)$<arzGhDCbk7xXd=ba;>%9#7O<!mk-t~=0W`Ks%*(KSh`mJ`AL37Dz zi*glDfvcVsq+G7`c(^L~<?y%HJx;ARp=Gbq6CVdHB<mI4Td)Egr05#2ObcXZdnCx) zux;)UaIFDv>SrJ{F){epT|NgfMK3jud5eb3Yi562il`JxBxdJ%DCvXgOv=@EHbz<% z;03{fKL2lFN8503`7&G#>Py?F^WZOG&O{%?6z91qon7;?%@$WAoto__mnWO!j2gH1 z>B%uUa)>#X_=6aC!?yw|GEQ?nQVzB^lPw>RHyg~!xhF914#QG`usnrBrxW08dQIJ# zfYN{E&6V7qb|)H|)Ku8*`Ox>4FTi0?g+9Q?jNRrY8w261;CxwxB@i@Yj!d#+J`D1N zGpC3juU+%n%o3L;Jpxj<myrI#u=N8t4=g;zG9AdQ591X;S{&joP@x!&uY1c8M~9o8 zi9qa!WXt)zWhXcv<^-}X{TMxvDTP<m`&EB*op9EGpo$fN0#f+S&NV3F{b~B;CiZ6X zz-RKdq;jU6lxN*s4p8bG_qO}?!&6_Hr9KmwUb_G{!o*FlF~SotyVGk4M~4lCjqCwo zmBQLyPk1zR0bj+NYQJ6d@|UTLk@SkwQI#>@0pabT>0he$vJcN2wFzN;yCU`<O=W+7 z$gZ|#k&a_t<3xU$;493$ub6&x3G!Ass;P1nP!%PF9i&|I?m{G&gyzfFVe>uND#vP) zBo6XPEEq-Fvt4M79S%xfv&bgNbE%4W_f4;v938IqL~@JeN%G_^rBFXVdlxi^>gU(@ zjt(v_etKh{a6nRWZ{`-OkPt>%S}=cW5K_FNNDOP4qT*<-?^-Ie2>*CXK&LEo;{?2r zBOHzVEZgW+?Qm41MBK6U$EaMx6EGyoj{BS!JH8~Py=dK9F8sb-Ye;tlyp=0lb#3Az zY(|k3*y-hEL5;u!E$+o|;PsQ+?`$q3;E`P6;I)Z6Fh?%Ow>$KcaINIxzjuG==iyo@ z#lSrS{CRS5-%dCe-YTU%Z*zGc>&Fc#*<8K~FXRjtTbqDkzi~kBZ7wGqom*xb0<qt? zAcdO&s(96u_MFWCZhUQWd)j7z7QQy6y?Ark1U|tL&bv0jiLDo=y3RK2<h0x1mZN%B zFCxqB)}wm5lSpxxZ|ey&B_w}3)MI2PToZnlBb<3{!Vos2L`v`U+B_MaYj+k;&@m&4 zNT<vwyj%s&9k)p{(3zotAuFmBX7w}Sn@o6v-R3XXL7DIhyUm}jgNorjcAK?TlB&qT zKSCQ>9I>gH8wPOh6jz+<aWHa_U1%efBR1JBs)Do*H5~cVZH~m-S?PbyMZ)TiAz2r9 z`0x`cF=gvBP%1BGmNMB?L@I%Hy(Rd9@U6))_X7sa;KAH<orwZotP>X@CtxrJp2<a5 znkWdzN`a`S>(v8bh8m=KcenAZ7$^?h*78)5j<vJB8F<;0s*EZBGTD@KbO0hO1j0u+ z=sXhztrmu=r04E#v+{rRQoE7R!00<9ZfF;gun-Q{<f6+?6bNHI1*r=4>VvQ@HPWEF zTS0b=DbBgAMNNTVfinf}vs~3kKK1Gi*)fhd=NB!VmQ)DFbAGbvAxRKZ5E6LV1X*M^ z*w)esMZT~bB)f8_P2Mbh>4bjf*g2k&?W#^fdIp%o>I9Iy4FrEV>^K*pU}AC(JI+bS zn3(j2FQ>RRWJGkf-I~7OU1IW4(P(l7tHVtw%G}_GyCqM)H19|!=|e|t(?xYo2i_nx zU2oSP^wsGiD}~<t)cmD)Nue*lZvj30rKrzg@QJOeM%|@SPtS<ZP;XyTpZ2LG+e@uG z9vP2bs|>36+iHKlt^lbFaH|Tc4*2DXia4{Vqd{qcs(#K05nFB%VduO{D%NU68jM{C z?m8vqG9i-b!6V&k<F_qU!4s<5-cOc5!>@cMcYD+`-<mpr{6z{2FR7R0T|0J0OZnkd z+K1$#uqs#ASQFFYOP?;6|5ZM?7#Vx>w(g5h*EPHrQ`dj@$M&}>pZZzdybXDHgN{T6 zn)xj};E9PZ_r6fwp{MvKQRjr$(;#fwJO8mY_REuICN9RK!>1h_8o*x5hBxkR8Ejih z&3!JoL%0yuwwsWt+1>n?Ybf=Ep-)iU(u3<8j2TV8zNC?K$6x$RaTw&P#ukct<>-NR zb#-Mf?-hSZ7!#g8QVP$|mAM`i_a*D^PxUu4gN4o_T$~UFXA@k1HYXJXQ%_Wn`7aka z>Zmr{K>GfT{o)xmf1gW*`<GRk3b=Z>@vT(OjTV-YZLPE2oo$caINM!**Y!?C6R%eP z^Z9t~6vzu+GxWOmHZ<KYYNq@z*9%K|k9>pTU`2mDO^=#!kKFa&JT)+H>9@7EQBqbJ zZEA8+PJ%iteiareV6ArRwZCROgnQ3NNa!lE9WCM2*Q$u{N9A1aTWBhwej5Up6t1|c zaf)o`A~N}=o%)rV&XKdqY=U1zcu@|X>p$21`{_QZO221asO&LmrU-)HkN3^HbhC{5 zV>y2^ZQ7=~M<AhLr+FkoYs3Fz_E}ZcZrlym3$W|d6Ahjg1I@W1e%?hJ;w9a70jz$a zwyLTX9>Ts(tW}A1O0Cs;&<YD-Z|NnGF%i=FQ?CN*YyWbAJ`ilq9}2uoMXG*^(X-yO z<x>q!4R<SOsqWP?FmgWiDu4dhC+6n97h!)N3_rK@l^*xoDo)038OfN0n3;w~KYRA{ zmd{(P*aB<5g74$_Z@saSfBtrFomhSD&rax)f4CZ!&f({uVPp8>@^8Fwp5KU=RP$KR ziSp^v^EDSzM{>QiUgjY5dq2Mwl<~Wh^^~;FrfsYWd7;C{63<s{->KLs(<VpovV?yg z$Y1o|WPd)Ri`S|9>-e8ru?a}y=h@HwAB)>9awMLjjKamGZnZc4sJi_Pu|j^!Ocl6} zkHk9OZ^p_`$bEPs%X`Ll{bRh1VQR*EKW$Bjj~YD{Q`MwUO%l7pEqu{Dh|llE%`;3z zfB*PlcQHUWJe5w8X>mv?-L|udm#=>|%5sprCpS2MJfY^|=p8jWXVW<NO$7C`7JFAj ze@N`z`xhP53m7@~a&w{9VkZ2jz&xkG_lgZ}Og^2#{kGzSWD@v#3;u#k<v`ylo4FDC zvh(tUd=h>>+W});)MWhH$L-xfIZu^gGJZ1@T<$jAiYuP&xTNJx`1jn;zrTOfRf`4J zMjBM88)Z)9V%9#?aA93@F4nJ&akP}%JgeLPyE^Pmby#n87?Ex~OAY&a>!o_NXpmtS zO)qoiv%~`b$LF^_3rALlNcFQ#qy-L-bYfrXOi6%H_%9Jx{xH31Gj9>s>CTc53f<lh z@@-ucTVA!L*PPh9?)U17?vj6ccyy0L2N^<h+#6eQFJnKZ!g!qfo?-Q{pLXy=b1y4G zD`{c9?DaiPEm4l+eEvBvTuYW@``kxb5YHBF-L9kaw3H5eyVxwAQllI3G`w1c7@Vz9 zRHuY5j*Dfj4m~SbE{#k(W%Ns&aoi!or#4I=`j@*=#njS=)f2lx_dI{OYwBfwbl+H6 zg?#7OY8HK>I}<(WNC=viI;JDyYIxzw!gGWw&u{4^@v54;gc|$p3R+x=`%KHWt=ae1 zNk`R5;iuiU)&$bsg5vkVLQ4%9+H%eModoYE8#kG+oxbfPcdhm|ncZ{e63%Eh7m{X9 zmfkkqchzj-sCo=~c{P9S8@4f7dpzs4)8KoCb8%8V5sUL-{#V=L9PeaFy&1QWihN&o z#U{LcZ=+eQ5R|TfZM>`9a_n`k#Ho8u1@8yMUA?`UZ66Zo`OBnLcV>;152x`lsGR4? zAz<*U>--<@{0XX#_*5{>zgWe0z0PX#0e0%F?86{B+jB#k!h(OhBMTfMf3LsTtxt;S z{)_KhbQ9C$<Eu6M@6<irUPTis)i6~^&$zam*RXKj`q7o)PL+U=(MscJem1jc!u+l6 zFM&UQKQ-*8dUsqf=QFQ)j;;LbCFzUoov9gj<ILnC@d_vS^<&xj$Pn|~rQtU`Z0?_C zNu^D{uCqGK#}<F@Jdqc>)v%|UH4hu&`~94!RZ+p;EoVNyzMJgp;%@Q5`1hUB%H})x zQ>mc~FC-_$n*yBat3;)`Q~VYgBRU!MSPu>VQ$uAJnSHt8Z!evVy7A?CN7(H@Mv+ND z0>9iZn}t=f|8bA-KXtLJ9s?!e7sP#!W4wa5nWY+Zddz>qtjK?tfkOV=Y?f3VS+umR zYh;cxY%V_2Wpqxrrt~qNf8DE3&~xd2*L_UtRVN<%jarMo!Hch6U(Lti%XI=8az6`M z*@iWT-qQb`_GxkB+JRKx6{Illgz#m$dj^z#SvUN-?#2oKp&3uVsvCDlByK%<^mB_E zRiwD&7!iK}=a{FJTs&@Uef`=B&5fGiUFNE9dVXI0j+(|mP0#cs^j7Q_^`D%aKi@*I z$jP$Y?8kv42~{nQ=j*C!oPsj@a3d;xGsW}lcKsp}$=K>qE#k3;35C`y_(dm8Ar;f# z@5dK5tBW<QBcIe7$6!_ag-_j+67yJ2bWXQEBUyh%NE~9&%ec|5d?tqA`DcNc)tGGb z{8oA$*L70O++}kW`6XkUs*0iyxR^^9p=FUacbpq>G2Ttue`b$qzTI@{!S-l-+9uS= zHC)lQ7`Ve6`s>(v(yB$zSB_8l_2ldMCBE|N7<QM5?KwwuHSU6xoz_W{`AIRi{!r!G zhSPsx*L*qao)YpO53j0WI-V!54hvT5cRHMXhP-R55%&D2dM65!fN7aR7Bb3s;R9mu zkReG9<sGtu12w1MYx~ooN6t~=jGB+l8D(q@eaT*v=*17io#JO#WlDbYjcGuaUASmj zZ;0aLlW`GO|4R4#)-s-7(X{Qg{jv#9^{s!!lRa~;d<;tETRvywd$HwO>FsR>i#H<q zWIm6BdzWnW4Ew}ir)X+b#8p~=yJH611{C<4`I?hIP9cp9Q%A~Ab;<Vn3CRx2RQ!eX z*on_TbZF|&mgGCm7dJX_%-luXBff(1jecv^W72fufzmj!7dVWPwUG;Xdqj}Moz#C@ zabHDbU&Awx2N?R?s7}R0mSlV$Wb}t$_YIA{{x@R2SW@LXN&T~&@%+iEe%uL|f4T2f zNn5++)aT`T!|;&=b^4D9ctrwcTEc|r#%Ok*v!X@3jyX}hP_+R(Xwzzj-*`EYQ`GnK zvhQ=7a{lVH(Scb!^m~y?1N-H<niPM9JmPW+(p#%-1m7LWbxj}X=y0+#<CyH61oWJ{ zlrwJPTDq8o7e{WxOkVr*^o&VCDo!2q?<I3m@E1;9CUer~7Rl_@4egg^cLEIR?_NZn zE0$sVaE&MZ?#Q*T57>u7I(hC5T+93Z8FeL3Zg8P`EBBs4n;}c&)s$n947Yy>O4Gfl z3+ZzC4JQSRC)yu{BCvR0zl2i`W97ephwMaMUW<vhO!)P*@(X(0FuhW|L{Fc@45?O~ zDK8PW%iJSW#Q$v&>+~?)+A^7LJJ5Eg>b2X62(LE3sTrlI|D#Q}j*2U2`Ua5!1|J-P zdvFLI+#Lc0w*i7X6WoX3Ft~pN4-g1WaDonQL4&)K1c%`A&GYW=InVCC|J>?ZRbBln z>(f1RAJ2Yz^VqBmXzwJ{OPBf+AAA2v9P8uSn{U!-{uDBb!M1lIXlK+dfOU_$j7w*h zXS33|Wzfp&qSmJzfbo^u1k&7hGd^g_g-d4;vN-9~VBBW>O1<VYuDyRbKjp6uW6F0m z&FHBdYxJL-YBV-om4jW`SL>;bIZV{TN9UId>zg9Hn%mh{G+~8eL&@oXKbEtToGn2f zWt2+C_{1Mw{k?U1J@D?K82>EQd^CH?p7ws?-u7`V?av=46ftNVQ~hzld&2$JxLOzR zn$pNfvba^hBI8WM3!{I;5qIc)jwWZ8v9_3j3HiZ~1XbO};=w4s-oUu(<&RhRTn<Dz zG~O2e#m6F!amR-sBlOv|-}!|b63Zm6cjj~7?(=w(>z?6|f0YSaH~lWOHmm9Dzh@!y zQemg#g+9+H+)6z=*c(0%x4KELwH#x4J6Mw`BnnH4*~Vf}^N@cKmnHO|nhy7sPsaX1 zG<G%N!SLRD%AeKEe}8yh{ZtOx=6KY!EEeF}DkwGnzLmMJm1e7k5kw|!NczS1ul{IV zx?GTioM9=spC@5MpI~3boHEDsUx-~h>PbhPNy5l|f{BUJKjDJi#fCmEHH(HAB39-b zsn1S7EjDr6P5*ycC`XQU{jmte9vfJ0ou4lc{=)p&JaL?68~<#i;QuFYXJi^rVBr93 zBaZ%@ix8Jl1Ch+xW!{;_2%qdZT2<^80ZNKe2h3A}r>(a+1d$Fx(W*{9pSJ9*@~)nq zX$r&`4<TRw3UfU^J$L@yFddyU_-9W$_x?ryMN7;HcL9G;Kz~aGCj)lLpOHXo2?E16 zO#mD8w={5kV6gld5wsc@tayeEwIFzm-1GsgfF8rZVo++JH^VIv+ydxLznHMuJ&$w^ z?l%WKfR2>hk>E0bC=iw6nJ|<Q2xAb5+!TQl0iR{g+^UgfLDOi`jDQ3J0U1zCAsf^d zn9Ue1JB5EDqXwu3H7ZV_%a{O;L5)hFgq}}y=rWv85dbwPH>{8mstJ6{cnB@zfVu)F z<fo`*#GwtK+=NXkzyX5@KKu^oPj?toNCsU8a!XHP$XEa{frJEE1co3(f<@4kT+$~x z3Yic98xWn2JQ@sw!ht#jI5O_^&@aFv;0#CvBtn1C3Mye7mQIT4NdS{Ww}ADa4~)Yy zn=+dUyeMJ#VYD(xGTcycz#UMLfjj~%0F491%kYxIMF28@9Rgyytk6P`3<1;%Kt!M} z%S$1H35_FAm*FLW3jn?XM?vaJ?wC+d011$tU|gD)2+j$}WXMX`d;@@hOkrSdC<n+i zW|My%V8Gal4sQb1$noOC0|5(+t;lc`fG|TVCj2MRRmPnIx&m5-ZQ=oZ7+SI6Yrqp3 zcOK{iXf<&Y8Gz5=3xvx8@ELsp@M0j1+?fcJ6(k<B$p+A3^hJj^0Q2R}#Gz!Mj_6Hh z01u-t8k_+TN6-<wDF{#laVpf|z&!yRpbmf7CN98@!51680@P<Pi~tKl1%dMnhEZS% zC@xTlVeu1~AIb$>mpS8x-het1H&Fq!47Wh|5KvO)j14*o3WRN911uPBvEU29E}1hf z=qV^LaT5a|#c+!W*9S;3-lD;4fx&WTVo(?0vveIb91kEy@EEhn184^wDb``Y|GR&R zDrA^8wi?m?KiNZ}MUjFcK}`XEz#0X2YN#J@pRtt&jteBEYbAhl0bLc`DWPHns}Y;7 zfE&72S~x0@M*fT!sss{`-Q)vkG5BJ^Xa6f6B!D;&r(zudt^*hYaVpiJz+VBJ2&&}H zXrMO)fuWmn09yK6ayTncQsImOI!S*J7`CYfu%N$v1s4W(DV$M5PYE7FH<bV(bc--B z2J{JtM<)`!34-<kpB3E!aBaX4h)T&F1ug}ARyd=DMtdW@qPLGP#DppXxIm5aQ&=)i z02ffB!W5oN0AL!Z4zvRGGepZxQNZZ{)}VL=UOX8P+!ufbWTAU4|Nrw>16Y5*j5@5C z6y6iw69)$TS8y%}00i+$??S;SP+5QiFqS}Fo);7T0l*C8R^&y4zX!~M(imRL@lwN? zfujWP>AuPHqQcby4ItB4un=?%G%n*V3S}jzlCL9`DYi$_Ajkss(<{quN+-bzQDm5* zOF-`blvxX?N)h1}3k&BA0y%$<0$6EN{(q|d|81xZSR?Ju40R$fjRMm^?|?N*?x@fJ z&}!VKBmke@FnZGxkVxlC47UcFE1qFN6@a9Sz9eubU<RFGESLhi2h^wYrG`@i*X7Sh zp)LPGg#~v6IDx7Z>hR$H07{Uvd>tm-7GMJE2;VdVoYMQ!!jS>Ve7=8QqI+WKDB(Z= zGT?^M7YWfMCIpZ1U{<IUL6#MAZepP@lmU=RkVgMn=?n>qK_DIvMujE-*A)=?e*$I? zYz3jButL=VGyq9J1A%EIqFu{C;t88*03L>0Vz>~ZesfolrL_Ptz$%1~|I@nIpvUM< zR>VR_(se{KR}@GSa#MfUGW1XtpelhW6wLg8H50VZL<z}`;Sg5H3N;6I0AoSwie~_* z9jGH7%!k-Bvp@F6#ubV}`GA8A<WXQk=m^kN?u-d)hro~6ibLoZ!@=+DI&KyJlaft( z05$^qCIw)U!50sH2{e~IdjmB9amv<_!z+R2a{pc6e}U`LXDok+)O92vz~}+>84bh1 zTu?9IJmX?0m=UT06k_xxg9iX5<<AJ9i3EYYn})^T6g>{UVPu*yFdW8tF=%Kz<R|}m zsTYWsY+Nr_kpEPx@Xw8)%=BroR5|^j?GJ^E;lVlu#G<e5=3QcO-`gA4rv0FX{D-?g zBLN5r38Xs~BBg)TIRct*^MS9nT!?Pyb`}uMw-p#UbzXMd=okc9<^|jsUrw~$=%1!U zc%7U#%|DPz+(`bxh1X3y9T^{pAFLZl<ly~Xoh<j7fSizhYw>H3gq+yTEpN2QpA@h9 zl>2~R-_X8NQ25NSCVC=Gz9%6N+qL^^*}7iAWWVJv+o^y4=~9%-DC`xR+g@6Mej-<| z(B9Q6w%Rs1Ex%-c-q}JO^Qv`mmv?O46*RHJ-_k5}@yRLQkE;DTofZ0)mRX?{BZwg7 zHw~}ekB-f;J|PIlFFOi^N^Pa^7J^KEn=D3XZoDgK{g0J$$(s2>onW<2z6}I12|6j2 z`P!iqbX0$jAgV#l-3VeFl-7zMia`ci;i`Vs_d-SJ=@)PR1*ze(@7c`>t0ER$sN86B ze8=YYZUYNpZT(x<&C!eM=nMX@W1#l`_PHyawUw3c^6{FVFOdxm#u+I)x~M!JJ~5iX z2ea=EI{t?7Z-1&Ue`$NGf<N&^z=6^^HM{XE$uxfyizb?3ot38jZ)aIR8~e$Z?Gap> z*Xu<ORX0JKf&30xU2LC%@^2D@_E|%&=r<nsbM87mtEYTVY#hw4K0Y_J7D<kl&zY0g zDq>vKFY+cSS8%k=-w<4~85z;OIMOwC{;IZ6J@Fv2kRo;xlbf51Ndy}i`F=XyWT<#B zVlICw@;qYdq*le{?2`CNtk<KG`~<6IaCxP78c%$)*WFsF_fN_|=o~YEiIt{`aejK1 z#@6kCbvpsmiD8~%!CSG(i0o6<{ra~r?hG?jO^VA87^p`r#)YJYCbHG@HSXdwqv4NC z!$T<yQfL<*CBuJZ@|9yB1%^|VlM9gVe06_z?PQ)Q*e=%S3Z!EnsfJTkk_#~I<aKt* zr1NECJCJt^QW@L;cT76F8gwsEVn6<2Rs;jtkDcv8KS_&TVIAF5lI=o*&O{}-CEQdx zwgdG8CEG<D-HJ-G1xi~I@tsXlSu#U6iYEcvg=SJ5f{WJniTF-1Nlhi$CoB+Qrjvgz z6QO{2@lj`2SXwfH_)a5<x@6cbEbuMcMG#$qOl+XkupI(DQau77-SA$?Fm2e80GH^7 za+f-52(aGX_<{}nVww1kD2aJC#YOQ#HSdvcn0qz_8)tVt-ESi_P<)t#t~=FfgwloW zX08(cIe0|=h$zzO=u5D|^{`Bv{>*<-CXUK-b)7->vZUW@Ym0XCkacWuriP1Da#O9^ z(xqjA<%xBE(@o8SmPbLaxy`cfYG$PFYK_vzixs+d4YlV{U;U8xtlg;fQN(v<Nu4Fb zr(s8o3ePwnx*bL~C`J;0@2=4q<YYW{o<n?NA0>ye*e)>WFqD&DP(2Y5QcHhwQdi@C zlfttwVi9WUWPBm8Lm{T{Ott$K;X^{fcU=R`E|j+Q#$Br&X85m$N3LOh4K;556ocQp zZqoTS#uw#-vj(w`g2N36wqSmj&hDvnzP0hi$DQ9!>A?#248stOAO(Bg00sMnw`-D^ z*M6nV_#>@^x2>)%@S5!9V9$TS%jZVd0=$R7?CV*uTcLwbPgiK^)5k?hhvmso3HjMz zmLtn-Z{%y1>}$`(9Eat+x|XTNxH={K<>NY@5{FE%R9xvAS^$Se0NRm@z;Sw=lEbpi zqP4&=KiI9zp%(h&ob4@q<dN--e}tLs&2vO8a2!$RGt>A0J}q*%>Fa-rF714E?V9b4 zc1@FgjdXM{)retfp+0Ml`p_nD+*HT&#i2I*Nj&@7e{r(Rp#VLAN8>?#F>|JI5*kFI z@!-73Q|M5D`Vb*-46*EvE)AkN8gp3ITQrzzoQ!(1%DzTlL|CvudiW}EtWam6IJ*vf zP|dyu9c9ioMp;@Y%&va}9!La^2kI<TX3bF^9J8-=7Hf+fq)4s>1&(d%EHq}<aUKLq zn^)dEYZ*=cxh7q#{pyg|6HKk~AiG#A+|<dm^Y1y=^)&PeJ^Na7(XGVc6#9e+l|tQy z`s_OH1DC+D9XuEj9FC)w>Bbg#S4OD>*2A&DG2h~8p@Uo0lV*SRb%SMhT&V=!1CPTp zQQb>yX(z_DMb7fc@a^+6)Kv=Oy4_)!tS=Z}<Dso?Lv6MTaQ&d};$xfpSLpDXq;5lN zHkj`Sf2z>}<sn?)IJ5VeGW&WA{KBR2pt=~MG#e~>)LrIaf%ZUazg!#olqhg)(EAM1 zc<`%xi75>dJPLm)b?C;r?w)F_4ST9Z2>YIev#*`NAz7tQ#)~hSv%%Cyi3sEP55)q< z!adLA8V?C|wZ#rnwAVup%WlvoS_HfASu6Y6eDOtLwu|^WWVVqf{0TGr8XFvvQu-vj zSWx894ZQv*a6D!il3n^lx>&&1WHxcT7OwH*Nq@26t3!V`?)8EF@<Q*kxW>bAT{qaV zooC0i#i(Ti`2i7BG0P3**<iAxoZ%%Y=u^O=aL#ps;=o?au2g~}kJ+*qGut!rHdk6d z#)0E@by`1Zbc;42euS?W^Eo}}w2+Ag{X8zMzmGmRCdC)f&!bD2`018Jbz6>pT}4G4 zYukV==4O9Wci$<kKWa;KT|q_s)%F5g%#idWI`g?<^hOEOMA$8t>b7b0Mkx~w;9M-N zKb$@|Gerb{`{s2FKGav3`5Y9z!OD<tMIqqolaEgGMh2BOg5#g+RJFcJN=V?uuEa7e zb1maK#o%hQ_VOxx>7dzaA|=Qbdq2{)U?sjyu6}>grFXUN2tvsxEdH>HKAtcvd4oLf z_TjqihR?_Tx~=7`wYYw=Gp4N%@eFI5UOF%zTFp9wwDSrJJ*+xqQDz36=#5k72f4ED z!w_?^_F?0aH*fY~qmnm-`-64`0R#{wyMmRlHfzLEL#v;jCS9nkzsKi%Ivpsma^ItK z@ic$z{By;Y!X9AOb&mhio!3ezF%8Q=eKn`4KkHG?E^&6b@@rYyK<>5Bv^kh#qGg4) z$1~nrUv+|gj!TT$O?u{qu>@0JK{RQr{H1S(%iPL>U)H4d`$%}1?1gG9C@si*mP!d9 zcc<&anq$ctZDPb*N-?S@yw390G9fD5fo*@-iLw*Za^42HlUnJ?Fw|GBV~D^(YyC>7 zF@hTP<6pFMc_vaX;yp5EH!<t;I>M7!rasxuYWn5Xr70@!U;42p9|)rxzse<=^2F%o zRqX09Cm!iO@k3=JihGjmwIFqLjNkvML1Q=5Qeuw0emKdiX#Ki)m8qta;zN!)$J~D& z=qR1fEb4qcQ!W_R<);nAs$kN$4@rfl@E`KK{>^+!F}YTC%;QAop#JJyK6^ZCK2Wqv zYU|jvD0XBVVe#<AO<VkDT{v_$@n<Kp;N2fBNjz`)G*j6Gv8)b@_Udm<P%%F{X_7yC zA2?tC7Q=FRIxYVjlE~_(711r7KJb4_lj+9UjoUB6v!w(by38?B&Yq%l#!6f5*4v!O z<?^ulCh0C~AaYUYU3`$%P{i+6&rQPq5xdd4s-T-i^!1+RN!%k;H}J**dIYN5yR7f< zbp<?q_7u7k2s1{<iph?)nYW8khm69*RxKtv6|+ci+Mf?6=P@aAX(Y$5=X8I*|8P4$ z8Zim`rEwH>$hWH8HFzIP->)se6$ElhWMngk=VdpQ;VB&zFO+q1i8>Lp1tpa_;8CV7 z&ZyjrD7&QxU%w$VQM8&=#`-KTW}6Zt^{%KhtqHYme#;=xDuKui)A+>mM`h!}2R&r- z0=z(CzE90zvH@?L9ql#9XM2Bx%PrjXF_-V)9KK9Sy1Eb#m2<)e*GH6T0AKU#Whysd zgiSZq%(^#&MzZ_28hV;J&1_8m4hh^7OjgwZp9Cr!QRNr;7QaAE&2BI@rL#@Ai*sEb zu6G?gWC=X!&T^ZScN>i>X&bJ!xT!028;>h#7tXV|sU~zAi`!)z?zVrpX(DtB!|k#Q zr+q^jjq3@sg_;VH_oIg|(Iw*IS%uR(yYo^SE`ncEQuU(uErLZT<H6y{&hD=%<E_FG z?*f$Zmf_!>d11JCcHxYRo615WvABZ`@M&jv97=U?xb@Peh)~urdg|h)uh2JexT;Xn z5*VHG{r9jOTyf`38&rQ<%G;&FY+Pa?V4X}7uH#~12JWB5!hBrYdN`+0)=zZEBAA<U z+!{3<cfSnksFqKYE2{HAbMkBbyW-s6(|hixCyNKX^!x4L?R6f2P4c&E@3~)7<lNX1 z+iNz>kI<N+Z|cO2w7(U@0<1-E6j^T+lLLzTJEsRaze5A8nQwm-KL_mKoFwS2Qm(C1 zN~}_LcDxD-N{|%5dT<h_53p`aI=O_LRPN8V*uJy)0_|OwK^4m0R2xh?s@x(*sUUN6 zsGN->>?wLoa++889EcRB!)&QiqspTKQmY?oRP#hSz_k0ryVTAiC{?YJ{^zutrRhI| z;M|hQB`@)br6PaUHG|1EH<p7gqrm&LuCAp+qMHRDBs)LT{;M*MgR|P)v2IVA7u9rw zufk@c1tB)5FQaIy?6X6DjF~$fZ`a7SSIPEQ7y8?3KSM0A54wN{T@kqt6Wgl`qiwYb z5Q{X3MLfhp?4YYb^kzWxhFRps%l+i<?&`uon;XGFm+OB-kOV?~Yqcc{veB0Nkl}tJ zmI&Fn%YE<{z2VwhZHd>~5d3}mgRIc~vqNoOURsbKF&iD)Ql%YPO1J+$QMh4Br-2a< zLrA*b@AlDqu)lVOwYKY<<DxW5Qf6Y6#ulL~oH_*~-4ZdX*!~pkz1~`$ih6vG(s}lA z|LR+Z&g*|}^YO34aW@`p7fMlY?IQlyD~*-NhyPq@eBSHcP!4%C8r$iCDx2o4Ok7;j zL#dk=NtEpwfmwx|f((F!dZuqvKW<JMtBZFQEPN`xPdjhcXHUwTPmXz#cXLepw#tSM zo}R)7Ay>f21<2zn&uT!y=?H{hhreBNu3w<EXxx9M;$wS-nI21=Q&DAOQT@1{xl2V= z1^Hk0it8*L7t$!Uxud#Lk<Nn3nr}7LAE_TbI?5`BtuNlIe`ELb%Z(}39$?X`E?d^y z=k)elEgsW2QQct;wD=QLK4d1jY;=SFl!rM1IE@>v9HN?0+hM(?0+Eo|oa*gxdu1;4 zlj(n@F}IC%zQm>UG8pK1c<5>9SOv=F4p=3QeSgb8k=IqTR$t1M<Mn6jsmQf@SxMnv z&hIDQQn{MhjAWOlvTWU|^=?6!x_{J%?EpVZ2OVt(h3Qt*n3B?xGSSX%qV0|la%%_b z7-lX$(3mHQS|d+JTY^wV*Vgy<ZQ?b5z?^^Hm3`THo8!?9V)a4OIM__7EASk3#ZI{V zztz(x8!en6{J>0ojc-*P;0Ao}((AEUv9rBvdZQ(*NyhU)LLRzvOD4(OekG(<u~43W z&(pPq^pCxuj>Y40POhrz12M+(ezP5RZ-Zae!6r3x+l@AZxb-#_strwg*<Y(?)Lnnl zZS5-s%uK#IEVFCO={v+WtEM*T{V+B8%Dl{OR$8r7-K;8z5Y6a26g8_7HR@H&>yH#y z>!dfU?lkEcS5@nLZB`{&W>0sje2>sBN3a|9UR747n3#O!TV_v~*LO&6Rz=uqYt&<( z*DqH#`KrClPU2W8pku;Z)~w2i(5`=}o{@D)k94SfUs$cv)vW5b%+58X?|>LxHtJ<p zR?krVn89j76<Atm%U`hf3Eie#Ca2sLI9Sfj{J<>olkK-d*xL8NIo2zM)b%NC-nn); z6T=;D4t~;T{*|Akac0xHqW-Uxv<?m_s3cO0?L4lWVflU25K(^`rOAUsG^&5jq+&9U zD=V0J@3fleJr=AhrMPzcuu}B?6|5_xIMd_Giz-M$X`^R)Ow=Dusdo2JZ~LQ6XxFq7 zzGJke2+mu-=(XhPqa5i6TWzs{T+ed7rIyg^5a|bfKQUqO#(o2a(1#ldoE2N`vt!~w z473duxR3VP8gU>#+J+kZM*Dxv5zAZ-?i8`rffU${ujvGYN#TgBWq;Yl;JuSk4^}qT z&qTwIGbb&vD=lHWCx8XNPn)Q>v*ozwg9V%B>SOO>PNTgMnf<cM%6G@69&BuE{&o3i z^7ardq4{U92$_#}<x+~BeWMh|j~?pgz{;Y6ox_8H-<zI(^!27Fa2$WZvK7wAy^Ep` zXQGkqYndYVHn8A`VvD`Q1(AC%Sa5jp#_nN@$h`|JII4JK@9;+CJ_z<4S}e7Dm?&~@ z4SW7nEVXwyDRK{iJwuDTcMoet?ww%Ik;UD6ho>U`)Jh>dnw93y_$i%_R6FY<iG@$8 z-&|HVZYdf=kdH0)T;_lJpET-Ya)@TApYxzEg_}}rw>((pT5!tOzKm!u8t|8QXDnFj zoH&*9e@I8>9W@VWhV<3fBS%)g+ISh&$z9d=)cMt?7W>4^ME*=&qv}*bMC3#5AqwW& z&SKQa1D`0nu_2z&a*n*xtK(<W-W!{2MKx~szWotxsx|doJnnzY{p3ADpyKh^E}&O* znG9C_W%GfmzQDQRbqm^V^QTq)q?K2mE7Gne!xHG8D>AMD!^cQ%)Ac8+|7Q6IF(%y_ zr6lm58dIt!n*O{hE8Y}@W%_(z6V*4yqnXl7M*TCXkcX>xG=w$i+LG1qQ}rZ%#Ekb& zMExXoWXSpgGi!epH?`gRA|{I}YD9wfj!9jTB2^2%o1e9covJmkJImW9%u)awu>*V3 zs-MJ-oM$=r?gG>``*(x00$ErJB1Y`g#{O_$QNi2a1q`pbgbYiNc}|9}G7VEBwH?Tv zpd|&+@0tVJ$mD8_=5aM^RAJ8x>NWRL<s~_PY0L?o$4h_YU-3UX_lmiYj_785nYB&N z2*(f*jJ;)099`5k8bSgI?j*Q7!7UI7?ykWhxCVDRK!Ups4#8n?f?Ke_;O+y#-5Ff& zB+vVP_1z!0>i(GC-KXg-tJgU*wd=6=MWVmW-A3(@A{HCR#?ecY&!1Ldd7l|;FMJ0B zS6U+SgvUDuOO$X$*2<62FY!z}Lyr9+1L)+|g9A}SO@o~1q`T`dToc)Yn_mO*a~;fJ zC0vf&SaTwguJV!03=U~OM@+(&0Yx02Re9i+xaszTEZV4gRQt<5m&o>)y$M0f(!Scj z2C_a^h}-Ygn#eEEA2@Wzca7hk_2gz4Dc89^1bhMm+O&g-ai~E<6Pt*gA0&Lx+e<VB zwR(!DOZ8!avdM2}OZvXF&m$@0!}`=F76e^$;`^EFT{_f4HZc|WLT}d@6^}@7Rb>G@ zyo4qrNY~I^(YnL~N0R3yhJeJ8rinpyZ{1yp64ljj_Vdo&moZ>KL$p{<2swmiF>2lb z-7%8%n5Ue;&1gTwfF6&>hh4!I59xH#k-bsVf7?n`L!Mn7J4MHPRdiA1Tf<J4DlT$O zu06vqKi5&3P(*BfT2J$Dn;E%`>#aa;^YstJ0NfRWD(|X`rwgw#0md7OI*BK4|0RX| z5VhPp%~SV04g*s(j|q(?-(1*B)tS$-)cBfRn|GMc(_B+6nM!q?LcJYW>v5a-&O8@w z(#ZQ#8HZa6ruS?ge|uQEB`9ndA`p<M+f@Tr6wZ~%s7eg={QP(XO|xHL_TdAL2jsV* zOX;0~xDk997^jj)@TAOmui9ORF4a|Y)%LY%Nsu^MoDa{Oi=ETe<B#<Iw$}%rxU@UY z$5F?}S8r;zaW2_hqZ9eMI=`+z-$fP_!4-{(HQPRvk$5rjgR(cB*skGPXS8GtlsgRc zkeaBNWY(gu^+_x<tq+jUB@6)loG-UK4Jyho+McdEs78aL61tnI_Q+ui=BmEdX3cFY zKV%mKF;?4OC{TfeSYT4-XcgBdW%?{}`R<DakHY?hl5+w^#`{&ScX>X<_^;!zuecf; ze)*-TpJKX6DVPm)E8h~|nGkO9zPlxehi>(2{>aBy8||smJkG~I_gVtp1tJN+px;<3 z&y=BwRF3${hUifLaB2@MSQ3GH&mCQo*9^f4>1}2W+F+5#Y+7Yhb}w>!OZVdMPO)_U zE);mVDUpDvDqSzN>ZOZ=!n0*R6_A8Hdezy6Du_mQdT-HS6vcN?4T~42XYqG8r<p-a zs$37VT<oo}*Qr<GBQj9`ZQ*UhufD_Wg8XN#(EVb~R}WFwRY<P*0*oJ>XGuz;6fPxx zEKfsS9KNzOu|7j^c8)UIeL7l*OXO5);(YhA$KqVcp6`Mz&}+f!n+0o`Y_32R__t3{ z-m;w+WqHMX!<}m8*5oTmEr+2{)ntCJJ*OGfQTW~`bXSRJWjtyCzl%OR+Z!?)oJeHu zwOXNs%bN?I*<3hrw9!dKeTx=WWydc4QC15jDaczi)!JF&6FiAo;x+VC-TK&I$Ka-1 zt?FR#WNX)X+GqlgOa8<tx8jA|wL9IX_ZC+Pz4i=evURvpp`H)nL?sF$1)M#K_&pDu z;PDl;j%X`}v}oYx?{1bmV1${3u@oH0qa}=JLi+xl{jF2&Tf9`CqpP2fEBMGSkoyUw z@EOtH#UoEllK!l?O1rpvvCT=ygy(`Lm2h>r*R!XN`_uWD$i3Oo^xEVDdOILi-^W^v zTUk2I<ozc$`ei@<dpkeR_b;4jC8yu2v!FFmeJ_tustmmbA{a9r$hK77e&gSLtgtfP z|2&CF^{6H%hp0s!W?YdsDMv<rV^V=TDHj_v$37dkYZFHvD98H3+~v9E@JiD1nsfeZ z>xu9md=)(`n5Md9gFo{Yn4`-1*LKiYIn_dN1*M{hd;FnOG#DEa=Zw&eBR3Hrru&MY zOF_hx9u(_5Kvhe(2l5y80r6YxgqUx*hPQ~$`07(u0x;n#SOT6zaKH&5n16l>V0|Q+ zI1gNUi#Ze=-IunoK=o3A(&~MUH47?uNSM$d7$(ZWs40vzdxJFiq$IWCz|Kbce%m^% z!jrQ+Ri)a(0>uQWr1-ns{sIt(A?{>?SP~pxYI=48WHW{plo-44;7GTNO7^ZEs-L4e zWUJZ(Slho^iMD%1-Z=4ldpG-gCT6q>HMgdGcx%@qurq4p^Cj;`zMaU*lOXr2S7}18 zb#pGQnV5Jl)yK2l&lkh)qnjz2c~(TK4vw9zH=pVT^Qyf{#AGHvc8G-q4TZ(4?J7~! zE+2U81CKqH>eJqCg(K4&#u(;gbV)VEG%f+uyMk(c;{>DzvW9&h?(;+jppCRInXz3u zc>@;rM0*`O_BA=tjQwH9w<hh?_rrCm1vk?j#VFBxeqJunyp(9nB$!iGp~$oiol6LD zhkbcRxRN$Lzh>L$YVz5a3#Kz>qtY+-<r%eZfKvb-Pl60p5(mp%^GE;3?&(e86B2i; zlbQm(#%6Jt306!V3+MLKB!pr8^-Y8Rn|4a>pzXb$Tlw28rL_i<&s$+0TXKhe3d0CW zN#q6D{*kJL+RzzL>r4^qz(5DpNw(8THf1vtHY^((^ySr{qsGjcGUVw^>Jta`Q@G9= zz$Z2UXvXs=EF`4?=I)x$o0tSx&C$C+*Q6(Ul82=|M-2mWny<s2&{}#Nox)aLae=gH z10T7>!<R&1k(n}F*a{uH+saqTYIZ$cX*_j{UT*r4Y8YGji%E6bC>>3|hRA)Bp1&f( zO(nHrG*fg{Wm)Ik<DMeuU{5FD@OY&I*pK(_OwM6xX=`H0tc1Nfn&*$MVbW4Bp{{0X zlN63Tc*f|+U=0<a2XDE)*Ec39{mEsq)<%k9DO9+x|Go=nY4Rb-B1fmKRMqfvh1$_W zh=52L!OR;no?5+2y#n6Oi@xyrZ@Z31tvW+|mOg1d9Vj1&<xLBE_Orlp2qGtSfF;e8 zhVPl0(pc)OztYn#74PQR^Ou$^nhP19{IRI`UK6@G-M;WjnmALxb&yZ*4yhSm2M-O1 zbX^;#(wWe9ucINUW_C^IPJGn4{GPqeFwe&u5kK)O<pA}!ku~e4omwf8zv?{UOvi-P zpd6xzr4iPH95Vx>9}U40GqdIX2r$@=b@!W&w#dnHga3U=`JLSnzjrv63$={(E;V{! z37B|@y3r#j*3H_*_@{ClW4$TgjZcG}RmqZZ>*Iq$U#ryb%R8@uW!s>cPYmDg)dLDV zf~-2U<8--fevRVx_R%B$I9w;^aKGKEy!=R$ry20aD9?6B8A8%H$dlS$?*quaV!JHN zs5B>$wvLb^$5s({u4T&|m^XYD==0PW9$J}L_M7ziF)BvjdEJHTqLYT4?E2BOPEqV7 zc?o0je8v6DquRjr9l)ZP(vHHn+^>lEo;)}2F7jkHxA6iyjy0lYLVCc|Pw2SYVcgK> ziMJ()5P6#XrI8$&!h~?}Mm~@+$EaCxU*?@5L#}N<yYiSJY+a8BJp<9M>^LYAE4R$w z(%<d?EOPwO?(zahO*O*7E*sOSr~R);AD`JaU9l38U83QCmQj+=dYF{sFPPiG_>tQ# zk~0;(BSsj_zWrn9noG5U9V7-jcYA1YMmuIJRSuik!1UO2R9`7QuLsD?MkVX_?LyO2 zLs@-oUGJ}I9ltArS~71ei7apEd=8d{L9^Vmo}E=uLgb(hjl?>OplD<vQ>$ODyF9XF z(|>-Yk1M5pOvXi$ds+=`pXuhUKi$2(uNL*KCbGbYi^XhB5%&SNkUz|Kr)#7s7Me=l zs9ixT1(%hrJi|8<Nq`fk0_HN$RbQJWv&uhPHhjH<gM)cHvIPcHNh84hPE<aR-+VUr z4b$d+Io*!@PjxzUdv+ZApL>mT`+nTG_O9=Gz_J_0tskBjES(;u>hXR%@CjHLZ1E|0 zTas@av&!WZb>tCH)=8lykF|dl3y|^HQO|KT4K9m}l21R(y8t^cqizwYrs<$juI_m4 z^#a6}n>E~@Tmr8fm&&P%<m#ZY!^Og2X(czs*`(p2aNGGO-h0}+WK}4J4tj*wAlq%~ zBNF5ShNDC*GJ=3P;z-t-7lm7aHmDuDeVX?IPgS-qx`M%DLIzU}jhraiKpu%GS@9a< z=z;whl6NOt3EasJT~*)Qe%>Tk!hc(j+e;%ruP<jTDwgMIt~V5PkzHRaRU|IlO#THE z=?-b;jHh2eROu~oZ|QLSh><EYlGz3N2)9A0XS%}rhWj28cxwBSnvt6JN#@o@^P9RO zD~cfM;AH72&Fdk#k*_KOKJ)dLk=<{p7*?W0FY|<C#sQHdX%l$!<v%YR&q|5gZpbu* zY%!UY>GRv?Mh`6=2+pBqLa=Ah1kS3ILCivaB6C9g&F|VVLc~gMA&mRofY)137yqRS zrnTGL*$rM&a@KVPflc|j7ec%-lF}W$bEABZ+T%T;FdQcd{G@7Qo2s*q?tZ@w-it1P zJLqirQh~PGAg2bhcibEi^vmuHc~n1xoY)@(nf34U*)<O8_{hBHRKBt>%+W79{SXs( zevv#&-upW8Iq;i2><>=1#WSZ*QF*arsS<Ra@)oaNgWhhJU_|_QIKyTCbAqR7b!}r- zs4bD^sEnnUhPI{NtB&*jDVB*fpB)JnG{E|%7+!zoEdygQdPz%&D6S46Kttik{&T^q zKaz*s&nl535~MhORD|W4_84Yh(<QH9YOUim6-rq#lh4Qfd)+_&OmZme@Z4_e-BgX8 zTvgDuvrkVe7e>cxhLmM(o?$z6hY!E3BR5}F4zkzk#a|iEYHJof!axerhbtm}={7)) z1I{)en&uQ#^m#jGt{@?j+A+%NQ{UE&;fnaUQ%bp)QZ%L;uBXW5N=|OnH|_YjbRm8x zQ+67yY76y;=X%M#X0-u|ih)=~$MWz#HM~-ueS(Hj@a>?q)FG8>g-k<~Zzn&jl@QTb z8VqmZSDPl$7=>>@sQP+8>6d9l7Bwl<s45Br9Q)`zy&2EhNqy-aXV~MW-zUYqT?=u2 zA1e8qC4rUuyd6fGYT5so)yb%Ha>Z5i-bS%x#qL1*4T~rk16Q5lElbe`tTVPY!)rtq z0pzN4vCf(q^I^cUb#9rB$F!kF?2St=i(j=o7r!=U)?pg9>=C1Tna~@a&Lr(r{ZbSo zz%T98(r;U`Pq^^ukyk~!n6Zc<SLzxGYa0!rXVs<{CF(W)3tfCwPg?1S$0~$1m+jFH z3W}1xdBzC}vn*hc$`t2T%C-IR%0YDoTi>T~bumgqE(~VA^`Rk*pxaaXB0LF|ar>7J zEPIxjCqEKQRvBn`_L@S`Q=?q2Gclm8Kn!?C-kk`~pP~PbgNk_NuAQLHNKhl_Pmh2Z z;mWhVv00b1wW3RX9MM#2<SpBiA}o+6zR+?5My01;Huq|iix@diA9a(R8dMYXg<Irv zYB8aDZy12RN7=Vt|331?DeSY6nHr4nB`=ExxIOcY(x#3Ci=^L{O1kN`GWyaZz}qv? zVR^BEH=44pSBaD9ve<FBze~AH7ymSJ@%imRi^#0acH<5;V-)P8=+AOlY7B$N<BamF z<lEStPVB<W7)D3}ixkS<CS>HS<v3~l<Kd0_6U_?xu8s1efeo$cqqV(q?BNJqHkI<o zYB+8S=K8deTffqt2)lO|uuFRYWM~QEpnWaI(kN})g8yHRhTqC4|DzW;+?QSJlJ12I zeV60}lPV=8Fcu-=zSmmID^+$Q<Fj9<H4_dXN_jbY@kDGA2QS94k`P>OZb6qYY2Fv` z@M+@BEV(tN6rxd656?x{euxx7TP;7km)%Ic{PxY9i?wNB=bIoTtN{EGfJoCo3~6MU z7oK;U=6<|7cQm7O399FvQmZd%x*|bo?rbkBtW1mMpv5UTOEBXe2|XeoQRVu5g5Fe+ zxESAH<^JkuF3$HFkEm4d>2jmx4{@TuDj1hUbG6v8-9@9kj=wb;CHbe(z0X&w_Z|<J z614$=r7$Uf1VM$ur&rGp0R{AihnGGpm}D>eSvlf>6rUpJkA1Tozl`~9D)mIyD*Qg3 z4J&Vh_|rcNC*^4Q@`Be!Gjl~hJf_LSTr}z;Zo4uUO?g1d9q(XPwwI$5)L^d+SUm}c zI{UjlFw=JjzuT%}t^jdfuRq;yI3`uxpAUDx3Ha#hOj^Ah^dmiQ6Cgl5c6Dt1#-1>) zMsA0ikFvT7OSUJG|M{)ZMCgO2(UPNq1-;ayql%x+=s>Ik9s-iK2kGv{+~rL0^Bbb) z4oK@P^QoQ2Q|r~4&m5<;GhQeoVvg7)wBc|a(!Guqa88q*fIa`t_4P5eX*A{*c0HJK zeNJydGJ?M4{`t_WZVnK!Z8h0RmF&v{;gfy{%8`#B`}k@;a>iSA!;_YAlip=j$*;9; zlk8Jg&PePt$z{Thd2%D!7l{gGWm$byVk(E<rD=5Wj!9k-wx%X_P4k#7`#wI@JuKK! zR|FpRr`81Lpt6k}UXht~oQrx@^F1z{+(<Gz#jIVlct-cYXgGoVyHE}E;o&E378WsQ zq26W$$ZW(_FTKb&MSMl8C-Zu{ABMC2SpKa?K`l@f3md9Fex=X{j*p)YGWXIo>1&t{ zFNxprJYf61BTssp*KNAW`X_}epuwJmuT6L52U)<zeXE}R+Ktz}mxTRj>hCD7Nm+7G ze?FtX7#Gxx!rc#Ge>dYPZxX}i&Ju`5Y>K?T+)M|#u{XpGD?fJ>5WMqv^uAQ}^|Nk0 zYRB&q39PC0Xt#EgB=@JthP9MtuBw;V_hLR=$zOnr2_W=?d@S+^3Z6Sn@Me4`u1dWX z!wH^0#%dh>H)&1x_hpR)p$;chh3@_?1avyecZSNM;4$ENv8CPMW_^(#tmq1)pLWlw z!2QdNh+HMcHZFQGu8&pWNcm>vFi6A^05@#GzD0&ySTMaLiOh6u1<2Wyrq=4|QeAJ} zwm3V~U*$+`RVRzAqQ}LgjLScuUmc`lx(qjxC`!q%T&4%vockX(tiETMd8K`?Z(kwL z!&_QD`~WcO2LNMB{x&VSYHhz%=eN2t8}f6ji4t$(<CldBh^8*6@tr`pVOzGHA866I zif<a1b+c{sq5bU*2iMxr=@;}aO#QbywPG#J1N;UZ5Ib(MYTe`OXwJ64T;IvUj^WLV z8wYFG6$Te^^P?CKbHDUzaZ2c9m(`BLO$~L$B_I$kQ=DM?HE4JiclMmEvWNwv#^@;! ze6&<l&0u;g;T!{MX)Ri+5jzF1=47t4;Qj*LMn>|ywCdL0u_$7}KcRgjG)=ra3)&7h zTFCP%EIYb#I4(L>OwV>X?+6rx?wx9TUj0G;(nRd&@Hx%mJ@h4X0C-*c?w<AC)hg4J zA<zaQF(l_956rj;Hfsx?)dMmG-NhR(JJh~O+S6=)ev{xgP~kAAB6V<7qmL4$V$*`> z=jYrjLiz3d!L~M4O&d(5i8m``5h%7Sn2B2TVkp)=YR^ud!>7-6q^*AlG?^__yq;l( zgyc#3v42kg{M1BxMxat#naInC!q1FY4bT#AEugW_=J%c<-Y=W<l<ymJUhWrkBY6ei zQc2+`T4s)f^F(v=h>`ss=@`Llg=xrHKm)Hcl!8ri(Azu{mf)L>pzt|r^5Z1^SG3pb z#EyT|r8i+j8wOPaYCEeNQFhb^>k>7JTk3?-c)k5%4I`#?BWL^AIXmklYt#E255QM0 z)LMP%L8`hDwVu_!ew><-vz{jI;~SafSyd_b-C$qY$?mY$?50<vlB6C~TTCaf+22cM zTat0p)Rrzh8xr}(yQ=YNPU>Oug$NI7(&OQ$&R87<NtFIgJu`8EE;OFn-f_#lRjChM zBrj?mX4@#Ht$&b}d=obD31T&5oc;h@V<wH;fxa)xVMyr}bG^Wo%3kPQkz%*64PK_g zlp?g^a9zD{(Yu<oVSk}6Iq4tTVXm>OEO8n7XYscsEx+V@OXA36wZay<0!0adzM5!x zJVa-WysN2JQOq2P_Ik8(DYUA%w(3sZ`yB*{{$WoyrPGs&SNZNk?*-3J=!Fsi>WeI| zvl9v`Ge;Zta{Lju=f>3tj1)nRs&8HtN`AT^dNEsbF+}YZa?Yytd|xYeLApOi7io0= zAU2J@Kc+eC7ply%lWzp&(1)9y2vxjQ<uZm58sh=a>)IDJe6RWG$;dLor=r#RcwYHK zq)>i6S3dh8@+y}<FM$4LoLojF8t969-gQmj_3D?!kIe23X|oi!Fd69~c$2{LF$x;? za@r9$Dhnw3UR<o3L8!A8ea^d6^jk#1Gam8L*KD^z*aO(QRw_a(xdhmrI4~>Z)eO7h z7g7dvlAdFh`S^*FTHinl{lh74x}nx9lBK?DHqYF2S1LW8ZVj!Eh+r=)_<(9%9m_TZ zk4;J>1m8@ODE?1Hf2hlN2uKPjH*CX2*)bX7vC;L7UGBXA(qrs~qM%TZAd&b6pEsif zNmpCzw+Hooz249_O_^gop+?=d`}&jmGL;bKcF~Tn`j6{2<l&hZov%9{ll+%OMfR5l zn+g2WuLrZqa7{_cRm)unkpKy(gHY1?2PGT|Zo+S?p$KSVE}AQEk55~T-vBoYZOkE# zYuS#!ZvA7)GVXJHj5jCDAEZzzrQV>n-3%CK5{tQyaa^gS_42LGu<f}o!|VuwPrDrB zYruJ&$H@r))x@PnoVQ9ov1`uJ_UBGM&!P>ExJi?<5MO$gFKbGs9{>j0W$F}jF+85D zDW<&|;v4KRg3FZ-*y;S-tA|nYGhg1W_CecoQ{A2g4J*q-r^$YT^6_iywzh|8As9ox z7zv5VnS6Fi>KlnYBF<T}R{MRw7iIo1@jO=oP=9&H#wKk>(ej$GnwPF*q916<=QOm| zlk5gUw^>+45y{j48fb*D6g)_#3VSo%MTc*ttZ01&*dM=>vge1R-0)e!w>ddpvaX)O za<^(gDjD-(z`4J_Ufr)1as^f?AzSh~<>)>|FzX=`&U1?f7O$W0V*0WbID<UjZ4Lf> z9?#amt(6hg97BoPNQW!l_YfpMxpTwKb47)rO8KT)FPLhU0N#Iuin3B@nEG0lqSGCj zhDyR0xof#9i?#<m91tZV4>)w@!b`@0r(Zm(BA?`N@2iPL7KKnLU0BHC^(k53zvDbM z9u!cT$~{tJAndjg`$8o%0_D-gn=lV4fizdP?}YHh#Z8a#QBrsHTY}Oo8#YOpZK`%c zgjah#J~9+e1GB$tjHbWHX57)D#>e$F<M%hMaPS7On6k(2*D{2=Q~dTg*~($o>B$iR zF?_+X7K7NVMWtvd6N{k>SFgX5MdN4?HXd*z?vL{6nccBopC7Fg{DaeU<A#8<{zar@ zF7GNBR)=pX!CG%Z9$8?fUrM`;)aZdN7AQjUXLAN)gM@%4yyrXg$B6GBa0NV5kw<)i z_w3oTSI@X|rsP0EnF!w4f6pFs2iy_AB0Q7I!hgm9GI2(v2OVW1AOZF+i~RNPZvCE6 zw1t;4Z#X}z#b+KgyMfK*Wo`TF@xsDdJZvgTjg~$LXSz4XyN>R@cYC^{HGKV*BFvIC zEv(q(awz3h^@-3kf*J8I*xue(lMkcx*^jpuH%AQ*${yKAfY;p9<7sz{N479j<i1Ax z=}Wr^H2bj+0#SE5&jvimMWBEuKSWtcS?S3_uJY)y)u*x&XuI2AAs2qUSiI_3>{x*( zc^3hphl`uLQFyz%n1rIIN6)95b7)ZU>CIz93v~M!xO<=x86Htq8Xb7TfAUDnRLGJ~ zr!6!R_J3N0Bs5$B{!f!3^z_Q;(e&m?wH@2ak95a(r`?V~2lRulu$S{`-^1M~{bt9L z<!Pme(&JrBNCJ+N`=wFBeFt4_!oDPB+I#r@YF&|gx3lc0yO6Wd?4Ayhhr^@utEan- z-aQ*HZ?&!`9rqzf0&u_I?db7z{qT5n4($V2UbJC$pb|eZ#64EFkc$AfeG${9S22X= z&@;7*9bg+X`|0-KUF+jrQH+THZC?>4;LGCUoBgmJlKr?E)hluYT%i&n6}9fJo_EAM zJltjHf=SfowdMDWMB1LD1%|GUMeeDvzBSwnERIrX;&&!=JcN)efbYoRp`TVJ01vK4 z%RWzw58HPl#{;__YT1pZRLc+7l_8ksK0qcQ<afQ^Kz=0r?#RC#U|>$-k{zjXu32gW zfU`4;ACEZSlnviGCNEAGaH=T{-?aeQLQ8)Bz|(GRbG!$XB?3HMG3JqU1r<qrxIe!V zS<Xz!b<PE@uWvRsA(PohBFUuh(hNEnc^y%v8?S*|%o`Dm+y)RRCe%v&qic1uCvd)o z%~3w{u6TNQq1WuoC?P8!&$AA1b+eez#URqlf>rmuZl&Gh;iG$ORgs6s^}b{IhHKBO zlLto+`iNe4|1*jEs}{RkqoOC@vxWq_%ZB832X2=i2l_)Rn*$>>oeG~;o!eVvT27}q zPutUb?t~nz+Sy(jwTTeS*1$i<09~m_;p+!%j&pC1JhUXBO3^;D1bsG<_rf85cYFjF zEsSZ=AZ{#sGjpG_v1qA5G4rEigEj8@A+NpZ(mUJ*^xLCm{ll!pCzwOw9gxiVEFHQz z4NhF--M4>UIP3u8MOpo2G^$K^zSc5aL*jRLB?zyR^tvjVEwprD@n(7e&>LGkT6O@P z_j-hRfLdEc9UAMDSX@GPJ5){IO0Wclnwyz6i7Pxs;S5rm3P`Zf<EHcXT&yruzm~9j zj-5_}qn8Zs+`Qj%Js<YyZP>lCEV)7^r7s1==koh`wdvxoyi>~hMteM>NiQtF+mmy~ znN+Q388OvlST-{oA^%ej2-i7hqKU~Yt7hbl*qpv^IXr{$nyZ-NCrC|}%Q(ibY&nQK zxHbgnLH#^jZcGI`47;Kh(sa^WV5ns!HDYS0=*ub7t>cNYt*Y9=t>aUotsLELs#>D0 zs#;%LIr6;o^f;`!q2U*FH}-+cJKEp%3cT{Pacyy7QmT|C-$VI;_NCBWMySf;&5;5s zjLWF_Gr{1Ets((mj-skll2KMNCPGPco};omvDcF+Mk*UEp|NoHSi~a7IhMDRPtDCR zKzI)7rJ8PS|1f`Fp}(TUwwwsBKKq)73U}y@XG=TN6t|1~+9C(~jQ!>xuiEp={f#4z zD|(nR?xOR>)`C0$-oH*p>a01{DtcC9zi-H@PR2TP_|^mG72+ceJ8iyftVsd|B7Hz( zHrMMO^=84>Q#{-%_Q_D$98`+M`giQFdBKzW8}AbN=-qOf3=XI$w|XCR5MyCb#rV@X z3do)<m)I>z_C~HL4w9coaHLXjRCK6k|4#p1H4?>s**FAf9gova9EVIu7tM{Zfk3^Q zU5D8HHT7Sdzt0=;+B+g9M+{Cb-Ygav79Y?~kvg@cI%*q;xl0c7o!dJ#Gu*eGR@^o8 zVl<1$My0oKVJRZzLgL3HZtsVW)?90s3Bc*!Q><&&A2_WLCo}-&wZ}vEhE^u}FJf){ zH7tQcjClaXpo&@Uvb}(J3zc<=S4%7722ij>T0;PH@4dHhI)xran9I(yeZ8(<F#KMx zO|r-~psBZ0N`H$fqb^~Wq<kVzsae|bi6CNsO|qS_9t=8{N0?~Y(p}zQBN&X}V@on{ z>DBvOM;kDfhEGUR20q-M7=YlyI*!jQy&JP}?Er((4uiI(jFE=(UQ?g5o|X-chQfEt zi5S}{$+H;(Hmn9CY#pE8CvWmh)z+7$6+-dTa>@uWqNmpk3UAC=0<{N;`|P}4#@YUC zCTL$bxa1^BKU^TSbb9^$j;^J0RuF!3TAuAd>uG(2)af-WusroPyaJ)W{MhTPhJ3UI z9S~AB5T{9JLC%7ALVlC1Hyh`0=bW!M8rP%ArsF3a4{E!pIF>o9!IW->k;v-9CQ#d{ zA@aq9cEcLd6YxINEAIPu2`kZkVaui4Y*(d(d~TLP!mEnCV6WX>XanEJIsC;4ly8lJ z7QrPc@4u?zrB;ewn3Ef1yd>(;7q9&Z0csj>(rm?!%@xq&n}{R~zjBp8N^4z9nM3vS zG%a_hKy7FtEv|d=j}xh0L=pyY`$rQcY(EUEcv7>rt+D*(W43Sps`?kgEC>mrk50vQ z>qMg+R17w(x{aPnK!W(9=d#^>`ESU((}SiI38I1?A3#L2ZXF8@O;YUrAGal=ksw0o zxfMC7CQOAGe=1r5|0da(=6bO`tTdE{68ymEaTr_AoCe^MF{XoU&6A|)^im+ABxPaR z2~Up)1Z8GF&F`$3G!lDB`Cwi;Zc$Tm=vLX9>+0yNFndL>%;nVKxg2QGUiP&<7L9Qt zZVh1+h|IsegDNOTCo0)h>7Yk71%^mzD3GS{qgZaGGcPkaun0WRS_n@V6%zSvMJyOz zO<1%Sr!2JHK5keS9wBG_w0rsUyP3>*^iW1B+hed)O=qqk_g*+V_glv;%jF?$ZNH)W zq12l-$KnnJo{=HK=8;{)y`j-xsoAt}cb@owoisM)99A0faMumKk+jNxDolB}w@}E4 zR5{$N))?x~2Vv>yU29{rvG1*`NZx3<$NjuVYDub0V{RA5P0qkBye=)4y3$l#OvmCj z!pHZ*UE@u`x+U6+Mj8w-@fAx+E2$_uLS8TZFX{yzO?^$^TyQZ8ycN!#3g|f1m64Ub z+e+(bHhbL5W%~h{GM+Us_=&S);#QLQ^_G~(L_Mmuxl!(yzAD`dM>j~|bg#ZRblg~g zJyQ9VI@fg4^n*Zi^Ty6zJeM|T>61av%KgYl+q9$sHl6y2p23;18^`SZH0_l(q2Fu8 zLZp_Xz1)gh9Rp`eH*7$h8n7#M(gH2%8BF1ui=AkJgmessc&13vlM%bGzp?YE8+?L_ zh-!mgH#aM!fCqd2Q=jDtj%cP*D17bcmihu5(X>_h4i|762aTE8RP9&1+bfNkHeV}y zx8`W|O_670kMmTE&`mNGt99BO2OjDFg^YwYV8~MMa+)mv;CTO_^#ujQOM?-UI;%8q z-R#0qiD1{%#B05F6|8Tj>C+STC@5^ShNM}(U}oJaAb<1~ZTD;BGD7Mk!mVQ!B4<A0 z*#<^`97g{xX{;b=&J&U#h4g#l_$36~d_=knj1>NVV}A$M&hh>5Vu0n3`-f`)P1e+6 z@9vADLC1(`#T(!wz7ucX=E7YgYr$`K<e54DbnZvd%-+Q#rq2Fgf+>1=2U#Jzo+xCu z2QCGiQalQKCmIOT!y_8GlNS*x_gX0u=UNRC|5`J~=botVHa&{3&3oJo%z9v=d~XhW zuw%XuNc)E>_YY+&%JDj=XiSCxUNqc}$A&|b#{}d!)E@MUTF55)bndmnyofb^nf!~J zCTO4ti6?arqc(5sjMzE<CpVOf{`;yBg&kQn1M0KsnO5|X3cD78pk@gY<N((&{*{1b z+Oz(bMe<WT1@bi;@385aXefBE!ZA<c7UO(8N;<{JxMLkYWf6T{ie5?wFuVmjke=t> z){B_8MJv#q_O@-<b#xGFpF%Nviob*m6t{{+hI^k)UJw3Rhea<ELWIE%E8jzw>zCUV zNpajfa`%$Rp`V4gA}xbYhbXc>agOeNek45S(|$cF*qnSbMpo?B!hrK(-rqd?iwpZS zjBjtv$L1ldsY#4rjW8VqoKK6HZF?*e)f?%v<)2qNY<-_=MMa^&)0~!1om}~p_cn4N z8x185r+n>q=0KQoksJTor0;f+x65~gu=MiwqFF*2ZE1zQ%Co~C&!>61Pi*d*CFnaQ z*`BTpF7=O5Gw4#(b`Qez{I|AlnZqvc_7_u5?+^`}n|&!&AD|cGz%w&7`;RT!{SM(J z(&^RB^~+F?N*%75H_qPR&GptsS@oEo^5#rFev36t<a{=Jw3p@WAKZdV7IFDJhll4} zV`wpZk7F*g2S`gFe<M|O<_pr;L&Tl(3Jup{dpJBN+dj||a_(OcI5p4WI<0x|Jv+Hg z=7&;S^OrXijj*2*0kXbCiQG^`|H6t#-GvsjsEoRNk@<_txDi{<vW~fn%C`tJM4W6& zu$N~!lV)oE<-3Nk0Cm5zU4zT<$~`^B<C>UvvDr4w+J3G9w`!9u2YIN=2gwy&p@SZ` z$U$8zsNtz~__z;k2*Hoh3oQq|^uv}@k8YTxmhHKhl|FB*fE(Eom+|gz8b&HD+J1j7 z{AxB4ZHCH@6IfT2KU;jrwtMs?;@Z128=Rd?`Lsg6N!v@mpM6ok3<UK4(ZGI7Un0`) zURg!RfNnv$jo{ZeMP*H|{>d-m(2$a?L*aw*QqLrVCR$i?^qU&c!FO$4XM*Luv|ZAP z*xD1VSp~=BU|?uzoba@jaVX!wb6As{AN+mR5FXidmn|FilQpL;p`pvPG@%A+Wt&9v zFD-?OpGa?_ZK^?S{4rqNQrmAP39G-nyj58zV)uniH#Y^93v`FzTKu+m#p`4Q+s`k4 z=;o7-dU>m`PDCCHRoPVI<7P^)#PmmioU>VXFZpEwX~Pt-Fv(=*<{uiqy8bgUjf#!$ zO=4R?CCE{FYcr;JU$zEO{pPzrngz77&-QaWa2}M$ZV~Nn(REKR#S!*zSaa@{#qO12 zW*niEu-)xwdwib)9pH1GZ+vgw%R^nlS2LnAFlMIn)<r~9HryFB%;*CVZV{Qxnel(g z%G!_wwgynW#)Hlq&%_L10h1nC{u`42EdI~X_{;WltW8Ozfr$P9=kkWyjqd_VBW-)# z9MDe~O3vyLlx#z?&PVv1<bT0#pC9vJlQ57roRh!&C?h|D^2vaDvJp~ME2cFo!^iiQ z*G1ZhBB2P9Z$SAEYy1gA;4j!H)@-TF`9D8v%lreIIsg9xyS3^s*vxGI2kbb)zhM6v zSTvh($s*hM&bcn2OnxS|aGF_!=|y?yIWig48f)RZO$kB$#4+x5@eta2EoCNB?%u*T zICw)RFB`*MheI6Z5k)OGgntJlg|ly5Bkd3sYNau7csTy3uzhAA`_|=qdnh(6M?h?a z5!>wpV;-B2PE_s3ffU;w^E5OcaCMVKi|3ZyTdx3xCc1<Xo3e`AU%M5*Z);-w-LyZ$ zYiLDrDGwBbg`0mFM8Rk$Ue=rD7V-Q#ftQ;&==Jht{9J(@tQd^Ay(>Eam{lak5q^M2 zXg>8Haij;#+7rh?|2t&xM-WqWz$_;*z+J8#JGERzi1$DD>CG=5QH%yO?wI!+dw3LB zB?ip=WZrsc|JGksLt09b6=I`C;lC<?n>67WLHuhIWDO_J@2#}MwI*isjhCF8X$tQF zaCP$@Vo?jx{-E&f^j~j%ct+p>SKRJA_u!cU$q>$khh@z?>rUXjts&e#Er@KAdc*q` zBcq6(F`-3G%JAZkP(`BZ->I8fM9!FSrzT}|`9DLh0mniTj0r(%QX~hd`J5MrG>p_3 z5eham%RE`|M?T1;F2Mlwv46gA_`$CdIQLLMoC3VkILhte&$F)o>Z&4Ae*XV<9#?yy zAJuGtC)HK!v)eSX(qA|IF2L{*<S%W6PV*!G5r10e|04dtf5hkfKg7=;>Pg}}%SGM> zoC@H7k63gp^dOTO#%6wDjybX}_tp(Q>90q`6FG5J213=pC1?b<XVRGWKz_<b^|btk z`&o+2$mQgR=!&k68poL+4A=Lc^9dsYxlgfA)KB}nF&@V&+4`+sr)m#3H<c^LO8=9{ zXusLbzVdhy_G-Mle*_*UE!}93n?Dg~gAGjVMcROC->Xx2KB-mk^;o%q1Um9X#g{2? zdc%jndQY5ZwAiSs5l=#G%}=ZASL9FcZ>~aOItBpXU)oFC16Xbo5zyqx@^8O8HNqrc z2CfS-Xfc8N%Wi02FLd+@^Qs2l+{o=$QHQW!`<?yMBorvRGa|d}fOqU#hZZScVRjr$ zi)0)t4?dAIKDyLrOAZLWuQmKJuObG7BuJ1HH?R1fL)%Z3R43YWVXci%>me)0!v2r@ z8;js{$181c`sO1%7gZAZ<a~9yVRv?LbJN6SIBg{Qxtcot){&VwKE=lFndw>RWE($i zZGQdLEYRL(Lilrsbn7OCHC+9};8Qcn&$hX>GtY9b(<2VOMZEL}Q!gI2qMb80cEu1| zk5@)z3s7IiE~Rdx&{K!=0tOH(=AhRVbQo^f-M@__rDpQvOQgp>A>ssHIeI6n%C_Mz zMsnu+{YEjzmzVpykV)likz~`|4M@imZ1n*Oz|&o~z%g(uBK+s6lKb|eyMtV=N4cj% z$O+45>rtrO$+ql`np>j;CRR*?%+aTYrn%kqnAxSvMfgtmOI5wdOy9?1^xx6EKr2!N z<(&pfV*mME9(VnH{TyG0z><s4ux-@)%jK5nN*?>h_L>4Z;(OUnYo{Fg?{<IaUqY9G zkIE>`bpcs;HEb98SoMP6_KFuCo-3o&1$SVrBV^<4!Na>j6=IwsB8xtaRYobTqimGS zZ9wOL@2x$1x&uWy*hgCt|G=2Ch2*U^HjI9quTsZ9mLN9$2d+5jo~S|@*;lQ<{U9Nb zR0${-xS;?3wd9(%%vmVA_{km+Bmt1mlU(#{9ro!QLU5=}H&7HS_+6dX8YV7VdVRkZ z^z!oj63?`^{VM%_dS-Ll*g%JuM~5)k9)0rr{Fho49v%h4(t4!*t{)aZY;3CDkysE6 z7-=j?0~M!Ey5SF=>+3)9?%sAu+gN{<r3AJ(rj}!tri3rMV`3)fV5YA|ONjuV`zF;o zp0C6q)}b}_ae<5*^*+<d&x!jk@LxArB-_Spz8|Tn+s6zn?2mV^z(_ANb*{VM)Yt1w zfQsLl9yHLB7Zl7njta|(uOZXSHpHw1j5B`XeRTIT-_CE3v^;9)x*ec1=haV1QbfnM zsHN;Kv$0EI2QS=mn(&@?l{Eq3l|%NVC-^rXx#USLhBY(HZD+|}D7NLNg1ZY#QqTx2 zYCF5^cr{Xn+s#svqO?tB49PrGpk*6Ui(pEsZ}zsKW0u6k6eN(}6|*hVDs2plM5To0 zpMzj)Hhewc-=*c9-m2WZ8f-`I@SV8x6Dl7X7tImtlQ8FIKAwv?SYQG_C-&=ucg3M| zDsB8ra+QA{N7mo1MbP$!&KUn4%0{oB7)%b{>s;0H9-mQRZae5g*y>Hcspp5Xg&#g6 ze^Fdt-|J9SA1ouL$!dU9M%G(*VT^uSR*}AN15a+IFJQ!W7wMhR_2%&;qVH(Ve>F@( z-_o4_0vFqw^T1yTzr-@s!~+%G!81LZyX_gSF(SzJKJ&j0HxcW#g;6t)kW*+2tL^%K zp9K&NB4s6)wyhQx*f=&?Gp<x&@UWVH7FU#?pQD&Ob64%ZnnL8MgW@A9#vI-|zimGN z@N}CCy6%?toMc`e$7&4j*|qN-U&nnf|Jt70APu-L1*g)9#<b5HWE}DBmtX5!Q3hYg z>NwA}G0(TQdDbpEIyAIwPM<k{6}}|$;{aSX>uwl?KatOr@s=4vIx%f8X@l!*TvJS- z_S>_Fe*GS8*+9!e$5O`8_Tr|)C$y8f1Kv-9WEbP<W!W;fbBZ&V?S2OY`$d0*-6QG{ zZ2<DN&VXPJ9TR91vl0wexnl0^HH_inXHUl*!+u#QEzkSsy+!GzHj#~F2rHiMEAaVB zcl`K-re#|QZAhlqtitTQgIKv$**X{)p<sE-3SDs3>M&%V*ewI%X<6Pf8$3`GnLu=Q z_p%L9<kS2=Zk@c|&mMd&FD0+@lp&+S4(K*tf|}sUA7HYmCO4>(qMcTdffXtgl;3hQ z_exsjRzJUWmn_};=uuM;D{XEW&Aj)lx%J2h4D6=ck6glX6o&Ft*4N(7u`Xjk*Cg#N zVR6Ju!9IO4(B-ig$V6SgY5#PsjI~-VkJ)v@@NWR>Nn_=9bNR=yRKrm5+%{DJ|K+J) zw)l24decB)oHKg%TX>l}uJwQ_9=n={KWwRz33af?@pO{CL$m3PF}Bp&P9siB@eZ?* z_6vCn4iD(p!isb%UFM&aRvcDz`)!_@vw5_{Ur4#87vwGU@Gw`YDGoZ4xM=eV_WucA zN9yE)TUWAEd5g{p-psx`ApTP<SaJKb-Hf1pufvUp_HzMos~PYA3PsGs5M_Q(h@G62 zJY1BaO361|#?KRwUNT1!bS<X~7_jTs{1aL=t8k0lx?QUpWQTC=tQiA&evpjsY^iRY zCJAm*4*j4Z(_!#o8T`E?w*4s6CAp?`;e}TZ*s|LJ4b2n!Lo#mIQa8;fgDr?FD*K;^ zQ;~emSoO|AM!5glUAq$w8R7Zo!2pl11xdI3R;C4Y%}*q62%KMf{^V_t+wdniz49(U zH|U@)#w`E&gBL>d+nDEzlxDfFsHpFz?e|N3R-`C17$-SiWKx;#c2HoU8}RsNSMK$m zL3=u5q2)Ri6MnIW*PKLV4sTCh{iMEM69DI`fMm>)=?AWZIN~z-AJPt0$%L14hv;12 zqK+JKZEPe@`DcQUm~~(3UYEZ3R%KRZr}-$Vg9C{Bo!BYzDwbR2=YbQfyW*>B%7-_T z_0xhZ!RqkKxSHuyZW9O~GruR1R+YbNviIj^PbdxkyssOPq6)iKJ#R#z79L>fbM0%s zB?=#?;5?;Zc*CfjAg0bt#Juu_jL&k6M0gf1>BmUkYiEk!;zcHaZwrSzq8*LMgw${1 zK)?s3LWFVgZR?a!{jvZfTItCSvNp(%Wl=3fj0Yxxc!Wz}2OUGnso2C$2idMmMFneR z(dK_(3etv@zZDNro&ki9WZu5_Y+eSNB@Mqpw?bB)!u`yYdYTjVUevx{8$x9_n|#;j z;FNO}yKE!8k#5kGi?R5psxtmFeWZ|FP}Gr;Pm*24no}7%RQo5&&l8wYM=BhRe}V&O z&&A$1@p~6@rCCFvoj#c7m&DRIy>(_~M2ji{Gpa~~1BGr#oBqF|Fr*}Co48dD&d^P` zIO3069h;vqq+QJAXm7{bXx0AGY+MF!I}R85`rX=)daE+uZZDx3ZAhRle@x#k(>z%( z)?b{Sm_8ZwHLwAgStVD&ng%fm)*mm%yqM_D2Z#OtXhTXN3y-^bd;(K~{iP5SBiaZ8 zWVmO<BpGWe-ERz#H;S7bwe3ZH9l`hu+CSfL$HU+*1jfa?Etl^NUJH+Q;<`<RwUaQb zdh~S@v<gn<o*%GdK643N_)-~f?gHC<tkxsh`H<g`24G%UXjc|@^YU+i&E}Wc#pfDd zHDZ3=df&cWw|X0X-|@ZVt~r<KEu-Fc*pPlqlC{0Q^evHG1>s{=w1-C9ti2}WjZuHG zfZn>`!mPawcUzQCk(F<H7QBCtlOyWyf$90ihL_ZvdmUe$!+#I(W%RB4A`}#VKljXF z%Snvzwgx<vRDDJEPi~s6JvAXZ^Z$fQU0$}@9v-}wQCs3fDV))^E?)VJ1p~?}2g!OX zE|QRz5>r89!o@`*y-%D<^@amKSgjVmig?yLgh#M?dQ|ia3rbXk5AvPye-oX2p^;G6 zb}H@YTzoaCnb2MMI+Ore5jXZf+C>5tFP$}@eB1RD723K#{3IhpsXwtP{vwD>EK8uv zVOtL~hSSZ<v5>7#Zc3A}K1a5IL>LNB$P(Wj=p^-gALyKHD<9XSr7md6oW0)5y<T7M z#BDFfoskS_)7NxGQaFzrp59#e+`z9A9g16LdwT+3p5JM;K+`ujeG?8r%YgdSXFehB zNy_sGGoMAasaizEh2P)VJb$d>^(J``H&<FgZCCdlo@>*7HvcD18T?P|9teq)#$}{u zeSWAWMJCzXzl*u}9(<<GXHfa~O|c17{}a~mtIs&;M1w>t<8+55;}`Ln8M&vly$sGu zH3_V5n6>HWApks5aQ4q_yr?8cI6qT=7X5oUvOWWn4<M{{Az4v$(_a^3%5SX9h_Fc6 zMo;P{tP+f91o&=x33`lbNM31oOOpKI{BjmyRa5VDx1)%W#G}e1;CeRrOQeC~KfcaY z5xfv-Fh*VT(JLQo&+W~Lvx~jwT+Y^uMcf5`A5}j-ekGB}w#qvY^383R;}FRi&r!q3 zc8Fy$mRl3s{IeSjVJkGtceRDE)ibn{`kj3Hd$v;r0*8;}K-k3GXE*)<OcwAz0N;y2 z*btwTB3#=~cGs#G?>HfBMwiH@iP=c65$hQ$S{KLsB=-$bjYLd-B?6I2<=O0TL#p?G z=HZ6w;maj4O-IUq%jGy-$14%oq^JT!W*+IE7j{7#gzB6lW>_4_Jnhs<{@8hMY0&53 zlV6`;qctDF0KQBbPJDg$hH;i1j?jOgrrlHh1+@&q*7X<E&x-!oL2uYet<^%WxB#kK z=X{~=Svk0_*ZxcW{|hSZ3TD<lRa%+-lCXDzxVfT+B1YzYJm%j|)_pv%y8#kX@E?D9 z+E%V*Wi)O&Cc`TOzoe$!r|sw;^gIyu%*{GV1bwF61LGNt<^O-f3H<-WQ!N~Q&~<Ku zkP_CRGK#@%O-$IXdltbO&V0=4YBb(F+cm5FhW#%`>CsZ+J@Mdk(FA^*Xm{ryZ2xBP zPk8*w53X41oND!=XAz8V85b#TfZoJNuD24A=26F?2}V6uNV16aR*`I=mjZ0o9|<Fq zz##4%RH*?T1^;SE_=-ESUJZXGHmgEpwr3GvRG(;jbl^`>ASp<v;l<xU*v|eBn2_!b zLIW%S;!L5B4ubffY!Hr{*{@&1f66e~=Gr*#Y=9tR5Vi!MGr@??=>3g34qeAi;PtZB ze?Mht;2hY2ucxqhqYZN*cXA<BWsiZuY8;qm_&X~##t>yb83J}%rytHIu5df>h??s* z2=(w*qJ=%X{+_wc4QfhSj%|Qynws@}4hWD3sEc(;_-`b?C9=imQWnfrDTKgjp!Niy z!VW$r)H|($X*=d}8_yiXukg!#SbZP9A*gd|)%$mSWek%(4YDMrgWryec8I+<mHRzV z&kK@Hzo)vNQby*Wr8fUZSorr;ypaNgKS8udXXZK@ZY$CvmfJYu_qFq<*z<TK*G`=# zZ&}2D-%D^0xwYE=q6nNt<PiLaDk02BERqM_6xj5aYrZTcuE>p~VRWM9wXSs!94Pi1 zNy2{<es=m2=AY0p$5ycoy1VK1)@!8EU7^0Vvc;NM5F)K_vYXpFGf7WJTU>IT+p_59 z_29L0+3x0PXlW;@sGe;ZwrQMs7lA<R)AXr4#P$#vJ~I8)>+Ds_g5=-;2m*B^Rl`uz zI<l%JO<}Vt<~E04Gs{B_;v>m}H^X1clcM=@?69)fzqm}CBH5|)FMl0|4;kAQtfSAR zeQ8BVXC`(0Iup3fHhvx9>vAUXbb6%l!7;2T17#pU$kD+6+S8*M@T~UEM9Er;YA&gn zMFOmuh@&-m(ZI%J$xIKf9Vvt>_Q~|h84=fa2U@e&;dJ?QYJ=z2y~;;w)4Csw_ObOA zkAkmri-ff~Jt|Tng0h(d`@gu*61!HI8QE2A9O-iqB8zChh+Ex~6W-B>bj<0eHoElc zlicfLO9ZDgCx~vtb9qfv-B60Ki<*MoR$%6P%$&|)&`6&hm=~8ETy0l%iWA@iKREdm zpW@h~^BUe5uhRx@!w;t0D(kchM0TxoK~bPr#_&U^k$4oy$~NV+)RwfGpk;LNjH<$I z0n1NPt!PBG%;?Bv?Tr9Rwnw((?|#+mFz_H|_IiZ#?h@$fEk*e+Zk~@_-vDcyuTgfB zQ@dXj@fW8DA&;jb*shC%$PR48jct=Z783d}U*=tfPD=6;qAh>sBaDQ?4`|SJZo-~G z!d{rEk=-_Ea5`!(D>YlF1fTS}ftD=Wr02)%#^$rMc6*KO@BPQ+_@u7>-jG79O6@CC z$f6G=I0~T&XuI43Ro>G9<bCRX(OJ*m1g~S|cCYWeE;ZrD_^~P!oh3{fy#6e{vHg+Z zRm5g*I>`CdsRC0Z3y-strkUVp9EC^a?1r&z%%D@wW}h>O&7qS3#?O15Xz}t7@A?9g z$p=qS%Rl7$c^p>HD%vW;XSMa|d~3$Bb_96yS&Y|U!(h58+mp{%VCvNPjpllbsef(b z_0eVT*@mnR&Ps*N%PV|zGeM|k)X`qm?t2$&*bXk=(y_kXmCGq>#^ZWUw(oO?SuhNe zaMrOr%w6)S-^TA%Sx>+Ih{s)s#=%AtrJi>kNnz$y2S)GCLuL0*t|=_m`j$1**yNdr zKf@dU4`p8+5LNfCOT!S-NOyyPG)PEygLFy?NJxupx{;KS4hf}8K$I3xX%wUploW|k zY3>@}`_Av&bN;yZ4`%ImJ#RdF?Y;J_XR~L9si}d+C18<<4(eEARNL+YH{OP!<Behy zharQBI=P|DcSUv_-Ssx?U+wf1c(Z93rDeb)KiVln1A`3;O%48VAI6oYmV3obEf29z zhD6^LCCA==T$T0qVL-W<?L(WoN%#lpei5HLA9o5`U42gG=?mLj%KtEa_jvxb@^zwe z|M?!gAOHIhem*2^kp6KqUkbX|Eq>0Vi~o!F-c2`)9Er1o^PNSyUqM%QDbMx9%7WS- zUs#Z=LlAV))Bj8QoJIBg_r%%x-^9Ni^WZzs4t6FMpDUi(oc**Y4W6!O{90XY*thw! z-lJ4-?1@yiM80Hp>*pM4RFj(YDA@<%Vvo~bB#RdmLeGct@+GzI8%T9fV^?f{Jz~z9 z?27*kKb<pGeC{-eSt?iP?Gq4?-fWVl<$x*o;Cb$gDzbf@T`Nb)j}A_HwSg(3B)V%3 z6HYUfMpDfng+p0`({sal9(?->(Gj+Woe1+hq=pXgxY3DCN84A@Z!AtsO|L}0QKRI1 z-LyB*hbs3kP7N`L1AK(<NNO>s(O?ud3~n?&o`3A$;K)FK(S!$0miiYFIZ!>D_i7W7 z{4M{i{mxk#4`HHgLIO0lz{MuF@RkT&y+Qo5OQTtX_>@bf*@5^}V5J$GcXeu=XYQ9) z&HfMG_twOzxTXNyQ0FOG^GK48Ys$g9dgT2F=(m6F)<K<Tl^zYpM0+*CK^<pryHw?o zV}H}N@&DT>`+-a<<_wzeUlOo+M~G6qlFgYc+qG?c)KX2rcHba6wM{lB-he@K6WfFT z9uy&3!PPrxMEb4de?cS2VPZ^n;#FL|8^EKnnxG8CPk{KRAbx8{oTjSd3+XqnN^B1k z!{`Y>-3ip~e@RdV>e7I^{~a9Qm(VS30MQ8s48x$h@Vy98=oMG*SCG51n!o|%Za5wn zr209dZy4PGvKgB*41>LJ0NJQOw$G&BTtKONSKz?7pl&IE{6HE%(1!^Bzf5n2+xKX6 zG6?S*5PB2dzK5riK~Sti@alBmtK&`k4D>x8bp#q7(K^_v870l_JKZWvmDZxl-KJMu z`+A@vtWxp_srbMp-C;gT&&6l$<b(|Sdxsu5+<#OiJzq<c4Ysyl{;2lGcX*`3$S@nn z3KRakIEn7afkWy;I)1id8thjN6Aj__seR%;AA3O@L25r<Sy1L_X=$<fi_kIAlko02 zE3rVg*Rnp}x&Y6VvKwoa!EkKSnhxNbb?2LO*2a~&YetE`4KMgU+WeFl3#jZk?w`^B z5^CT>u%#b#yiH<S)?0JG{|kp+5Z0Ey)RRB$k4cIv!@NJ#O9gw!c8MF6ac`j-m689` z#hLK?VT1U*WsQ%`-*3(qWqG-|!^2R@QKj#zntUyv^x7R&sXKeUzn>jsNlNGE`uuvs zF7>7+cj)WJ!NJ=6h!IQnHeWlN^hcr_o(6$8x*qTAHZ%Jt&XJvLzAdB9U4yL|a!FZs z{8iUH2%kvO=sjCb%!l5cv|JqZ|Lxf6gEasCz<lvhns=ey&wszf=i_3SsmV$$)F`ta zXCWe5I<cmwSY~TbW{a1bVvt+(P%K!JgDt4Lu&-1vsP*yT@>$JSc?o~BnlAy)TQM9w zbQ0$e<7NjT(#IAKUzzPh+TUAHcTg1P)8JfGhCn{drw174N6EYSg1h<DunQ;Diw$51 z?dH?KE*db-9hAB++CwgY0|b5Py!Z;*g-(R&Rsd0N_l*_ZK0Zn=xAd5EOuMLyhr*7B z66Mcg@2eD_BHCirt9C{0s%6r+YVT`a<676a_GK#^aj{~>`&1)gET1~&m{h4k@rVwz z{jWg#5ju6#?nq_Q>G($eh5Z82I$pOe*Dco6Rlm`7TYlAQEdLUnj$^XE4=+<H3hKLE zr*n>I$F5tCdY$wBZx?u*z5&dehSYbczC(ys;kwnjZjGVqjr*7FYbWr0?4NHfv*c%A z5&Mt!Fzo`-a$mRlm+d0Po-gxEjm$Ak%)T>0kW$eJrR`#J`8$j;Uy|o;obP^{a5taC z{h|xXy?{^j7f_XcE3P^2pp%8vhw~-3q}*S9p)*#3Y?Z#y`71%ts?ujVffe55cbOEH zVwFLnAIlu(7Zs<DWdd2gP7{998(i6dy|wLqEL(H4e~dVwF8Xh{1;^83Hda^3+hV18 zna#g@;h6zNRZLII>1N1c?wc^pq(|R3VVEHUuXOge&iL*W1&KOuL^UvNMjfOFiAom* z`F$D39|8V(uOWEPLGebEzyaF3mKy=fpCq7cNGx2+&nM`)JCAP_eq(w(Jmg-A(kP~h zlH&2*R|8Dzs8tM1PYOs^*H4z_sxfQAq(onDg`8)gu7@3P-%%62X#0X`FTyhpv*+C* z59s2KOSa(K`bG#3H6~|zYjy8E(>i*CpkhE*WrETu&M~ws{srgw>oIwNjI>EVcrm7v z<6XzxGU8od+q}Pyer80og?^_01ov$L-OKxL3-T)x3va@iyen_QiGSaQ%RXYG)}V{l z8=(}Jp$_!nemg2b+Pmk_KaMkWY)l=B=%~!^({a4+h`#Qq`={fn({&G_)3r<5b%)1g zN3Ofz6Z&Bd=XEp>ubDcyY(o$kW;u--ub^-D7+j{oMS}M8G&g0Ul7O(<`xTw|q0l`) zxJ`qZ1Z~qaH(8<rm((jyQlFEk0;)WN3}^_M2U^<^U;f+k$oH9OriJJoN$IeTrof$Q zLTg@8uHNa#UB-0X7Vh_=>i&i{2#3F2s>Eg5ia4<j3Kxxn<!IzdY901%ojmbbKg170 zx)Fr7FUQ6w=7ll=nmb-74pEv*r=u_lusMRC(^(i5ayt4<K4@K)QTmX>YhCr*=$;mY zPkm9iRUnrk6IC60!yJvK1cNhq=4b7NGx%3#%J9bd>fjsbd~x&Fh0?a~Sq=VQd|zp? zA*r*op8k>0_xk}&77N^Q6B$#lK7T)cxk<2BlRbZQzR)wP?eV7AU@qv5U$)u7hMRB4 znYNFz<MQgMTOgH#<aFk5tNSxy2`c!)H2btJwvyAL8m|c_%^xm5r}&JcuMm23AeNh# zk4!Y<(=amm?Z}%(RA{`T-pIUsRUIwu0S4!mb>?r|3*kkE`J-VLPh@}j{|?D(_#L;U zP?u}TV<?hAID*UojR4V5iAfdy?2Ua`t>=^r!M&a5c-<e&8@e8e+J&uoJgEMAyFVav z%NSoYAahgl6P%Vc0RNyp%4wm8`<HdfU%vhWT2@7Tz4j=IyuW<Xz;ImX!5w;>S>%uZ zNbuMF6qJU^iW8q81J3lxSl+WcmJ8h9{#I$8QT_T`Rv{7qIV3hMWIp7dx6cb)V?G*o z+Rt4Oi?8y{V_Dqz>DaVz#C@d6>+tgD@aoQ|R7?Ra+D{U1f3J3_zq{=}n%XbnKai>< z;onL1ewJGSJfwT`D6;5@mxiqJm=G*Peu_C9-#nE-5OkD}3UP?f>a(n4=shdAxjMXL z;S#%z(`p(C&CT=mQ|&x-&y9RTB~ib;gQ4Ws^2Yjw6L>*)1}}E-of%#?-G?)ZZl1G4 z1<8+gG|9!*cEbPHjfWIsj}2!B#6+6F4~qt!|9o)a`KT@5&G*%Xv2YW0>jhb>H0n<W znm-~J=ASHg;`+po>1%WgcX(?oeonbz|Gly1wl-4sjdULIWPHcbk2hz3W-~@ck$KU5 z%TA(hW}uFNsgQ9ne_n_;VoxjKF>A6pktIs@m>A{zXCln~2VY?;pNWuVHlL;~Al+Fx zs}YU=+u>8>cfo}bI!;w|4~3vynrznG16eM)sjXlj8;N~O0U4EJ%d3ms4f(E%N)VT` zH*&HFvi8D5H!FI(Tpsf8w+N!~kb6t1dNGhZ-t?9^8ka#h^8RX^(Odo;scNJ%7;<wZ zJ1V%`UpPZ;ZZGnz#r<jvo}MM(ZX*KYNbB3x^(WJOmLTWn=fJ79cxAz39<2DvFl!Ym zjz?6H7<3h`Gs;=Be4@L`&$<e|{fR{?9uv?PDT>E(_mv4umOV2-Q+TfBfR^9fd|Q-5 zfQ9L>5v;4bRQ5J-|2o~L=t&XQZP7BFCC_P0?tU^eqqxL;=oNX~SpWPfqt@OA^=~IT zQkmo*6JlLuRj#<2AJhk7Qm(FY?z(~E{ZD3$-q)5SknBu+5yoJ1EpZ1m2t{F1xy4dd zm8Hd*C5Lddf8vQlFN>sQ@iPDd5FUa6Y-i#<e<aIQ;B8SSrihcqri4zF-3{30#D@or z*uPahk6i04=Sg1O<7VwUZ)`VR%)#PxL<?nIY<2A*<;_d!j5&F|$cUp|MMG^FvdmR} zsDFpx-B#a&x0Wn2#ILLG8hwy>x*p(>6|b@oSIqfpx#i1X`4<v(XyMgoLHVjJKcw!e zd&e`?j;ioSZp?QdEN1?0gBiW)Rxjfb&b1Qhv+ppn80wgH%cvn<sQ+MM$6{PoiPHWs zL1f_W>=}`;JWhK;f(TZzfks&F$1U?3l^aX&^Y7lZQ_M8G59QXs%|}l4*Xxkkw`cfL zS%`d8wEpzw*F&Dayv@+T7R%^RQA(xUrrl+fRCBP3`L+-Yd7;X(#K+m6YcBhPL_B-l z;s?{^9o-+u@)WFH0x4*|lpzHnL}pNEoT^z3*yT2~j6-+WP7~^~TE-FuPgjCJwN4RQ zMy58*LMUWKHD!s2loioQ$l0aQl<AltBg@><l*`-&*@@g{@uSMttYvgB;JiJX#Nzi> z24kiXX}Jg;RpG4+`7Q1)bTf-zx-5QGJ^>f|;+dRXVKak7a|*a>yGF&_pZs`xh$OzS z$5W&)q3|1oDm`CC()@M*C0e2&3|aG<3b~F=$1>4erj`QS$6s&zJM?->&?Bn#7U|Rb z5LMI!Pb4?>U6@!i@p5#kUHH1BYY!3q;s02-zE?e3>&w2$yRz=0N8cEaeCt(#9c+}J zz+?eqXtjH*=W)`no0U%pM$o!Y18-UVWb*r9#gLa_N*7?Rz8|#i$67-{Zh|3)D&?^I zJf!eDpP-j#mc5lDL`+U8KB6vAF)GZvcJle#gReK#=U8`CAq!93GFgu5n~$H&$W1+s zc*NKH{qr&ZlMY4~W*XBvC^=)_^-X|SM<7d((+i4Rn)mhhj8&#W0vo>2k~(f3FCvlb zO`1H`FK`;`#Ed<3t&-#%ZRA@<RcLSdLW|BWAv4&JDlD|@uL9+zHB!K|l=jU^H01rr zQp)IsFNBY90u#8oD3oLz3a3E2eKW7%qKPr*L~KESUGckP{u@n7=+7m<<IU@6zh{b( zBG}mdea1^!C3pu@Q-qn8H<a_@8e_0VWcNwb^Pp>?wHdU*vcv6W#)A4%z1V2RSC^A} z!<6G0<V1qAFI(}F<T0`yX6iBjVBPEyr;0D$ih}N)IL*vB|8Hg{pT=65LCpA%ahs>Z zEY_5Lp(89h9KzV%eA8wrJ`<pOI8RN3d&b&+a7#C`T5U!!mc@<-#yx5_UaG^rWH$cH zrdS&j^%Ki|5j&ok>gAyqWMsK~#8WSD%`>1zCla+8Gn6S7S47?ytclfOT|ad9+nC!T zB{QBIHFnLtOJ`K0X84RT5#73fzVEXx==T~%ZIB`_QXag*G|#H7|I=*~MSGwkP7{Z| zwD0#R5yf3utwC0-+9X0W%{};Y`cBn==JDEKCfT>3GljN7kbCJ=rv`>n$$^9eiOx+r zhax#+bfWwrYX|r+l2K=R=oA|A7cr!`+6Ra{e-7e)Hw)QgPGfbIJ-|d`*A-8SAU%@2 zQG<uVV{50N8S!_9eraZL*z#7PCR3%Yft-H0_Fuw#i7y!lmOl=?G|-HCt3+-xh8F#D zGIKPO$fng1MF~BD$+|R#^)94e<C{$yj4fs{>xv@ZWLo)Ulz_-9(IqSxhf=<ql56&^ zhxzNEQi1{$&9}akcNtuJi!J$CSEuUdSl#tU4|TvpBWTf^LxFJ-54*8G2xGMr>@ZfA z)Z>v%?VxP($SRX)ER`1wGh!Kz$un}Ub;6(GzTDb>zc00ZmvT}3hDsUK$Wp-X`>j)7 z1EqPm$B0{aTO!?r3e6>#t-;7;dYQXP*xlvz;F<kSZ(YT6G#A7cvsLl$S%n9$v#6|X zUozg{ZW{TNAj)Tw=$$uL;$iI|g8s)tzhXt%LMS>;asRoD1%EWxd)IHGn<rLA^|Vhp zy{QLZk$VuTS@aC3kvl=?TT<!wy1F$>e^;#}>7pwtl9D&$p)~hWr0bwZx`qYc@<_4M z53!!@ta}k)e+{k%F$I*lqP|b(<l`^u<h!-hse2O7&ZmyUS1TWqJcbt8oLH<%qqP50 z#!N6}3U@z6#_To`NKH&WBlUj~fEq(L@=b{Iy@Z&Ej5+od{Vf^kohjt%9p^b}lA=%e znBzzzR|7I4<>#UpS{Gh0e)WEmWq49ZZ1CczujVAp2A1&Ftv{w*ZJL-E9%|yzG^fS# zrMj57{#uMTj)d<+d-ku57n`jKAIU8^Kh(&ft$u<v{=LW`!c<~k3QOG>RSp`LGUsCM zydT>X&-k^&F_)i*+=C6fOfZq5&BBY#iSzDM=l&1!sO83YMn20WY!AfWTZ>kSGX^hB z#@dM~?v}j7n(}mLF5RGC+7MQs+O`^xfj{{Al8svx?1-W>g{<cr6%@vYVeWIK=Yp9n z<~g~^ZL$vGq%+e|^eok*6m5{K+DGz~MpAJ(Lq{hHg_IUq9KI!N*H8DObq&{XEee}F z$Ti2YZ!KAIH45M8kuh{M=W4E2*rn%ctjlSXjk{q}J$g%BJed`d3#1}Iq(U`xv=$+K zZ|~jKVeymb1|#Wf3sj4H#*uYikB$AeZn)Qd;EzZ5tb2LS#YPd@Wqy-+zjd}x{+Ijd zt6W94Prn~N6VCNn_ex2(=2n^5pv=`A2k!Zr_4iz;8U1QEO1RlH%AALtzz@>2x$lH~ zDQ9ba&s|E>1p}j^r#c54=Cq@ZL>=KY;1Z@Jlo+iXPfxXf){=3j=6RME<GkI^703E1 z?zwqN@mp07(8Hi-#8${E9}Kw2E9L3tko!kWKhhJmL&1W9vshTzzTM`5-@YVS-C(aQ zZC%`n{P_frWJbn*BSoNxxvUQNzEiFa1`exOf*m=IXtH|Mw5a?pQtF_IW|k&}o@eve zdUzJ6n1H$`<ww5sl8tZ`&HEJZL$%5jE6BRSG|IdNvpiTJ2dYG#oWT@5YJm`dQ%9x> zzOWi}itlk>GXBgx$>>*LjIONtRc6lr^QRJG4t6nt(ACK*t6ILn3M=arz1N{#s&E-? zPtHr$ppz0=W?6H(VCsP~AEx@V912rUVLeCG)?CY9sX+>Ab1`S3_w4SF#;fB!w|pp` z8tn^xPx$^so2br>CTcQQ-bFh^rMF2KYg)WvfLoY5q4Em`vZ(`OR$ruD%IBHRI&X(X z3Ky$m^C~bySA$Rmb|1KQPPe5-3kmYYh`JzSrq4K75qV6b;8qI67_D@tM5CUFzMS-6 zuA%HzVDcZL=cVW+E&gv1#9U+3tHAA#$HZ$LPO2U_m&V9v5t=V^^2I~h2}Q1e5r$g^ zij`2X*6EaHP5?UN%&F7t4WFD)`_q49p->G9<pRDbCkd;$npAwvf+2fE=eXimT$n?2 znvhV{h{$=zMhgBHDoiR?P`kWz*p2O#a^3#q_E^H3b*n=0?cvGyYIjBoNV&HK9KltR z!@#ODUuJ5C-byXUde>nTF+fCY6sHtkRe02<FCDtC!5xtz-=cgO!{55-7{?S|(<q2* zV>AltDQ?@#bauYZ|BV9+DU*&>aJ~%Y^+mHUI?iaHQqiIti)&gWyOAc|P5BP_`;3M; zWHIO+!;Y2y)y0mP?<JX))okB`*N+?8b26NZ4#8m$)<j>zjLxR)-77`YMNTD9u6jak zu-VR3<Jqgg<DbC9>(NWfqeAdRZq}pjx}0}R+|ExjFTFC9L5(vEkM@d#r__wfgp96H z>r~@6lIxzzsgk<)(l8>90VJPDze6DAN>Iz9$^Y#}@&v}yTiz@T*Ij?9#_l-XkuS1% z8C?96z~K6tyrc}eC^?t-^MY}x059~Q@u%rc;Od;~yK3;XWq_r|W0mD;OE(L)=rw@2 zY^#}h=^$t9p_xt7KNay_NR~t6kX?;|P<Ys2qINV<X`D-*FM}HpL;~bBN!>5sFI3X? z&~seDNzs<Fs*mh23l0|Ic+3b}xm18aY|)A9!!g{5ger$_Hcaep9VWWa*qJ|pmV<b| z_#cc~a#)ud5hEy?Vrc%YC=SAI$zfaS{;yH8Nnu}NWkxQmM7(j$g>x>sfH%$<+S`TL zqO;&c{l=WF{SPJea+Kj_na=b%H~C+UV9p@?*_YIDL~AFdzy|~-P;hZc-HHDhq;r@? zrxRl4_$yg_kB&3jr&O}|77cNk6$NLHUa|dmm_(LgEwwV#Zs0gaVX@1S%pQH`|L*7L z&&0S;`CXn_OL{>v@M1Q%!qDue$g3ews7=~`e<wd^`OO3*b!1Alw4@$;QhJ}g(wMIJ zk<J46GK62?yBIFK>u;GtO=b@@^MZ~~_s*9yQrW;ism?wA_BQ1VaKw3h(AFH0)J#ki zPb?hPnH<4Tl#D6ND<~hqRFq68%quEK^u!hB6_z`o7E8qyO3CSHVJbeMm3#8EC{KcH zv#2FaI>WQgO&YIXa$I?`m1HdkS&6ds=b^q06fnw<Ex44#{hEfrL^A|~I_-ON*xfg| z9p+Hp&Xk+UZzn8GeUqO153*(V>;wbXJ7-F@1I6&@#M_!HyaM;91nx$WN{@$#SGr<` zV~AIVMkc)aXA7^w6*EN9V><p@t)?Ek)TFhLl$RCk#w*Uk8?W{Xi{r$%!SlTAH}`C& z#P`rx{ma^J6KdO0p)@ETb}<19B1B<&X=UXzE6=yDh^ualj;dD@nk-^tg-!6O`AJp1 z(drX|G}ryjl3!Z6Z#NZjal{|LUM!V4B17N5g;uN_#_%xAiUcd3+uwR{DMI0EWM>Hv zH${6b`z(28Zv;!&O)@(w)YO(*+uiOW7db1Uo9VdbMkRK*Dx2F8ml%|~I!4h_i}i*e zzA=IY^(GnOO%{B>`{K{Hp@$u<^Y1b{S|1n~5NR9B>6h<lDcp084fS~4ZeqbmuYr!O zY9K;mkKt>l`13;n2lo5bYC-zFYFsL{aQ`>#t2K%~HRj)Q_kkqSv!e*EpC>gRp`ujt zcQ~dveYzpfCw;niFao{!oc{-W+X5uE*p%OHzM#L5dhVS23aQPNdH>`5Aid?SH}tW0 zM6oPyi5(IhC(TBDq7A%ozS(I=MceteV}IA%cli}Ocp*G#A}X$$u8P-f{4bxjDh_Vi zmcka1-U8z<D|+rNfn8T(vYcDKUC9Z2ci*{AEquSVe*>etUJ7RsDkY{H$^CeY8~FMo zk5aNP-|n;DF^9r$sw<;wB@LLybE@hHr8kJ~VIMJv&Q`vz%DpMW_Oh`@Pw{cr>n#%u zCM3IDpYR!OiX#7PPS*Z*=EwW1^Q#@27Ztp(UY9{?P4vY2aPSjI9QI``?UsU4DvOLh z4^-!t<7TJxby^tz_ClRMRmEUSC~j!7En=kA%Hp@(-*{@Ev~=Qx5<FCl;HuZaovUU} zMdI0gM<1SjiX%ijmmhS@UJ3~d9dvL9(-c(d`QP;)+d#?oPn!7ialQxt7c^x5f`Wpi zWH4Q*lZjW<)_PN6dqmW83m3&dLqw2iq<p~1g8O-05JQr$m?9*wpA(z&W3-53ixJ?7 zf=v0^$rFL5f=ns(-22tGmG-N8oSyaBAA7mu+PN5BQ5?lbOMKFgKcm3p@oeDUt<I^m zUviLNvaYuQMck9~>_U8B*a@LZv!UQ0klu&hhe$sPk!C`L6wzo)x!<41qe43<kS4}? z4wl3xllZfY2dl^usNYVaGAdS)WpDWW6(pNlpENU@RcUQueSNfwOoIZo&%9Z9(XgOn zZnL&+@oQjqYUI^VXDq=nHlJaPKTq4k&e~_XBJUFC0@(W3K=$;=D-9Pc!OvWO@Ix%O z>Dcr3mX6<_hiub~nEi@=A~QaT%z|GZCD9_K8(J1HC@`gVch^?S-~Gu8G_Bw14%M%N zmj-DMmX6h7d7DBbW;MuA*Gk?aN$GjsAAP>rFVqjn-szFbEa(~No$HzV1|+fQei^f3 z_dmLEGmwPla6F9q&|HcnL~C%ucZs;)!<0_RI6Seq@<)7e`}_AgAq<Jd6`H@W9H>bH z9jOgYRy_nidmI|i(`gWjJ}rGtq4X#r{{H)YrH>K(Ps!fVD&f$PD`n)bBeNLky}w;^ zQp|zUKc+9R;#i&R@yYnyu~qy1SohS5<1*@s<I)7!W_x;nJ+TyvPHBX0NJ#i8HPmPp zy|R8(9D$#})K7OS@Pnx4=DA~RCn)<#^trPwHCqPyI<g=n@$~Ysi4LwGB5`rQ9$HR! z;eJde#M}B{jfa!kfA5|b5)p=|3l-h>(NOBmT0Z}FIn{6({8{_N)0ft(hVjXkeTqQ} z)c)&9YmpC$gfr(!sE$ZIRttLysW`frE@Y{#7|##-!^A%J;0CScC+#KbXXw%E=1Jhl zn|l;syT?QCKXm8`wf@)t6Ujz4&c6-CWk`Az<d7xruVz_0qV&6Yjeg>MPLW{mVZLp- zium-<-j5e&)7`w0rBQ}@L%22UPju5he=xzG4zJ}yo7)|^jl$!3_=IPW>6ZsXB^JZY zK$+dvXvx!HwJF7*G_~`bA@qxIrX|K^IE2b$esb)=GjeFQWSbWpH?RR~@p|#6w>9UW zwNph3`DXGKvHOPVQOqN;l}90|V9~&c$-XrH44Y()AJGvCIz$j1jG*H?=!gIv4u}qM zk~I|NL{^^NlO>Rr1skL#>1#zgE{ef94G>*QQ9yy#qSzr1;nRUcD4D1}CL&08d*rB& z?n0l%mKb)Pn_n&e)%J8f5$XY8_{FfB$v2n7J-Uk)023nR(!J^Zx=V_5{#k8+l@VFI zBQ&OA*%|5dQD%~cJepS!EwMw7A@ZRwKSOpj?)vFN*5Sw+)Lnc>Sz$tk(IXj+96U?d zlI0gD+=WrM;6N=~l<FN>r*tc9dO*t!ML0Kq31n|VI=`hkz*>YZ-Z6y8j(p0L3$o*Q z1vL`e^<<!!u_P&_-CgUK(BTPW==_Ylzmk`Pqk_3>hh&I8kQgM(!%W(F6WI)pUK#_t zHAxW362+Y~_*9vFRaO@%5V<qqVt)lHOv2$fKj@JbIsTX!E6Y=|K7xNfH_a<83<fO7 zi{$&b-1?eljQsg<Vseq$VMspNUy&mm^To$w_v5%YV|W8xhBg%vZ-rXG)0#;5_T%zm zsrD4K`ud(e>O-ga5sTxUL&Nhaq}l?mZ${wn(3)fkHlle0IC<_Z8h{?d43ceRDgvV? zh8{Aj=6w+_e^K)Yh8SivZy_r{8QesNg*PQhse)G7-mQB~_sU9h{7JQhi@LPbbp(v9 z)G{c5C++fL@%9uFdsvCiuY6B0edW=R;6-INJ%&iVHzjXmN23J;3ND9~!G}ft*2IL! zqlh?5bOJ;+Mt-D#F}=FwEu?R8v{4%JSa$(I;XsJxZXhTUql~-`_9(Ij9l=}pGa~RZ z^%TlgYO<@;CReG)P)6fuKSuJRx2P5Xj_wQ`$nOZ2HiN+^^+c4Q9`XmjLU{=&-Aut= zibJZ#D7S!%nkiET^CGukUk4z)Lt1)&u?Zm}NG^_+^Qq2WAtH3)Ynaf(?Ha^j7TlCf zSHmyN|Hwjg1ok@}M|!u%<Knx0)51sIv*BJ2)6M$Og)<wa&q=&qllg<Zp6OGsn#$|N z#h64hFoG~1Kf~b0&_LCs;lkwW3d6OU_7=1%91vX6XZV4{+7g3|dlH2Kaoc8K<h*RA z?nJZX3y#sGx2$*UtVnr@BEf`Zj^~HLWrk;j#f~RxAR-nFd+-G*(ykJNTR#OOhw~{2 z8GL}$V`6|xrmKvqX>j+3RVa^8u(ixfWSqCf$Ymd>G5KTyDLZR7Cxau($Aa~m;!!gT z`0%WZOdfRZlSV4$oNjhQA?k_V0-lwR!f0rJ+QS`1iWTo1@ps>SzcL?B`^$dPk8M$u ze88_adyHuzo^o&186A}((O)m%Q?~B?yg*+o`V}2F)x-e32a~D1Sh%(lI~p4vZmJ33 z11P~E@k;MFB=<EclC>WGrW)|u&K|$Nm_~WB8uSi89UPL!8Wm%Z*25X+fx+zGH*5po z*}BE~b6Fxud+Q=EfP>KS;7?yrE=cbhvinbH$O{1x14tW030y*jN*yo|Rps%Y5b}RQ z2@n4h8WDyN#je9#o$<u{-^_p!2_k*~Pkl3i!B2a}3J17iM-1^9s~@~Lkl2b|uXwR{ ze!I7rj^+2_-O_&{+e7C8Kl_f5ZTSuu?HPN_DF(mLu}03iNEh(5{7=u4?^FYm>mnXS zi)e{ZP}=rm)GW#~R!w;J(pX}OtsA1iWK58r#IcU&2A=H$c((YMTdb0_>WB6a^@Jcj zwquRGb)~^Lk)(<-w@vvD4p43I*JZW&j3<DkZ3}|Q8w3*s=Cpl@<*tkL0Vam?2+kG6 zXQjZCxdghT;$5^zk{Fa$`3S+J6fpS)n3z07F!>Y{BmkJ~_g^v*8*_^UOj249Oa$1D zhjsxIv`Z#G<U1%pwT%TtwIQ(IJ;ijAhdTEcKWTV(-KUd;>o9?2fdUfyW2kgOJ3~1w zB0Vd#kmR;b@xrH=00D{3u`pVpA455rB0cwMC0BKr7P5%<hIgZt@ckzJe1G)55s+9I z>!A^v$rT&hi6{6u5L{8W^C`yL!LPD7O5CKx^dx3z3){`c=Acd0#iA!>$T`5Kp;Fbx zj77L+x%Y>VWS#N77s$<0wUNZxQ}AAU<7XJt$2U4DHC-$wh_mesD6L-o$za(-)`VUG z@4V^uQa0g_FXaaw*7g+Bh=E`hS)Xp2oPYZ@JH!4-g!L8X?{0JOJa3x&6%oT78T@~8 zGTO3jcm2a8d>c(lw9a2c%O%|)CMkCmlTEm0BW9?cldzunbm<?4GSwMD`^c0Sf35Ko zgH|)R_mQ<Se(oa+W6Td}N*pI=XdNJPX1MJm<05+Th~)<~;s5f$Xa#$|lF_-3%!gt5 zXL&3!CnM<qnI&U%ADJfO@jeKwIY7ohn7Ghj%uo?4VQuziXunjzda>d=&N}}D!05W4 z_%DJH*zHMX6rUnmu-mL`!g0^#J2gKc%B}X=>!f0|Aj*N=^R#IwS3%qEy@S|2O&2nu znBZJT#P0o*Z0nz7B}hD{y+4Y&v!TpsGyRw$1qYjh88!#JZ9|ba`w+1o*<|34q7M!{ z;s;qPq5`;Vt{-k1P(B*VdQaV-bzjs;;B9$*M%?iBchO;3WU6S_tCH_U0#0I2A7L*u z|KPl7Q0+OE^@_S*tjB#k+*IcU`^{XA4<0!V<eJYdxL$r*EfNqAdwM|Kqmt?bDLhLu z^I~Q0_mBe4lg2vV8E)qK4|wE^+|CiyxhJ~Oui$&^LG2V7!E7_*v0OrPbKa>RPrI&M zUR{JI$7`kN&U_0pCs}5+)BA{2do!;x3X!{gHpI8zwz!YS#qGeqVU!#BF_x0v)HLxJ z%e^;;L>i~v>-DW$g^j0eB8I8}vXP0+R5TNH>Q(Ti^gz|;)+C&W!eM*TvaU(@FgP}X z(`LqfxdabX?EzIC71VEns>?-p%tdbq^%s%e)&O<F<8}m>L7hg%Qp}s00q$eDw1_(Q zdK;IzRd7I^P^to(vDnNTW}+LN3clj*po|IOL@*8;UJJCYi3;i{aM>KYEtg!7&2MyE z)j7G9)3{i4=jUs%cU93F?;=unOufoZgzxr&sza~c#{)st#jC1+$utAp#&Q>^qQtuZ zS_RO6xShs@EA)U&QyiefvzMF%7rIqeZnaJLU2%fmnTl?_0b~5|ij)ryo9pX!%~{t= zPHhjDOSS-~wku8(w{isMi|%M#b83x9%>$g)t~lKSRXst~xvQ!xpz6c1+^2vOf-xRI z-2vlkbdgN+*mW#7XcoE}sM&6nH-OZ`D^d>ur)CA;HrFdoE;wvM&Fh*CSDXS|mrJGq zr=}}TufRCX6y2$6z8a^-h*V9$Y2u1gLbLn0Bd9ua#c2dobs5W*0-SmPCs%+%_JH!& zEBGUsW*dNct_SLCw@NDDWP8Pl7I3Ol@C|T5aMB4gcm_VBvDPO{-xo?Mbk6_=AXyhw zUG952at6;}rd|%+0FosY(ly|yRq(||K)O1p2G34Ryw=_U2!&Kg(?F$00rDMprrw|+ zD=W8t`N8K_TZ1`Ol8tpN<%^esQ$=@%nvgljUz987(tplcoCzku(RGhEakczqxk{Dw z74e;slNqGrJD={EE0i{GcHDVninqBRG$#7}L<yDJ;wP@f?M1wW>Lc23EP=>2yWP%t zU0n8WyJm&9<l4jW@8VkceHVcI`sPIZ-uwuP&e%PR>cXjkFlw}&Fx)a!arTI$F8KVG z4MvwpO@@|!jz3_q=$@j(;B6<PY?rO0Xg80&)vI=R*s~O2*t_*iqBD?n|MX)=@{8Jb zbDps87d|{8*+<=L@aww;tc-n@<ZVXg?fzrKI(PdHI3hgi4q<7KIgX{k2V6Or)+1{( zZhsWJDbJ~R{(kN5R8#VsIJtMfHVp_B=UB0G=$Y`i0d)LJyeD>H?;|!4UJgq$$1xH3 zKoLn4ghcwjPnT8O=hpop_7T3c-JUW&9$E?X57kk__GxS6sz$M}m2ZW51=|5jE{aE> z9uA+inS?twlxB{fJ;a`WpWXT^OZ-QdAmj#tXB`w}%4<r&<K2k~((y>)3iJ|^x+p>n z<pK#E=<H<SyQ|8uN%_KI=5w+FBkFEFCKhetXmPzm(_ouIEUoyoyBge^>Pv3Ou?kEQ zw?N=>xxf=dVA5_0BCuq5^$Y~6ck3~-XhXzl;(C8Uq%}+{8bq3Hs?Q-JStV$6$uqJ} zP#hg*f&{da+Y*sj6j-xf^M;Hwr7F)U1*b5kewxX+yOFF?@{{SVO0vq2ZM6dU0CUP8 z!h(_kW`RE}+5xsi94AQH5-`9axkA7g!69px1i_(nSRcW`wi_a*2kf)NiGKqQ$uKPl zaIoD}pSa?1q;namauqqLWNl`@fm4vnaXfTa;kgt_8cCMz(|2g9iRz3hbjYf)>ilw+ zC@+*)lZ&p{=3lWLy<$6j#dZz#?q0akC5PTqG6+Fpg65LL#QqfrpDPX@t~h+Y;=ppn zLH;T-{PdFj1a*W*Rx)p}Pw9#XDk;YimbR{K%F!VayCi>1cpw@(2mhc;AS{hGOJ4kn zEzcEOiYvCnmM9Q{ZY(|$3p#67B(KLfYU)4_rhCe_luun5q8lD6%*&{vD%L8jOKLgr zT4w%T<eZXn=7wJ^ngRMm8-I~Bb+uC}{t~fCvd7FlMq=Y&9c+Ib(jc($4xB;lAdhT{ zdK<{Ypkg}@@(`-n&w)JA%(^=uPcZYuPZmfs;4ab8A0$l)+MjES)qi+J%1(}a-Wj<l zkc}`C8%uOa>!T6r286b8cCfK;tN*EM{}5m&l#sYTp=&*nrtvi?1^z5aH)Byyn=xmQ zQdr~bJ3J1R*Vr81twuRS-^(hxOF5|CGO%ofFudS#b19G?u*AzQb%8~tmH6Ruc*6!E z4ApRwsamHGKRlFGHa~$>KM-D_2rmKP#f-<{JvafJ<i7%^NH1lTms7wg#T7WcKscEL zr%~V(vjCjDW`Pr{ud>R6Z@@|FVS&78f~3pydKy|?T?!M1vSF_(4BaQ|nB8Ce6ZFmQ zj*_53-`C!BH*?Hx3+d{>#<DQT*c6UBSzNN~SuB}INeOc~?&FmlYZHe5JfW;8k6%~k zb*BFu^_1S__mr|iguDZ%32KE@8rpKx-2{DoRj<-2p`=YYH3AEUNo9pTd52h208gSV zd&>b>)2p-x0c#OhXaE>p!C})36{?UTL0=Y9R93b75>X;Pu}!J`yO15LM&dz9QEZU_ zOHGJAU2N!XK#3yfOLp;zzu!uZ2}lAZ3q4OBq&Wr@;fr+{5_96zD#nzu9up7;-YVQj zcTRIODZ=OIG9=<`Kmg3g1Vn)}g`NZe5HG@~=rSbaG_3;w<1qmt6o^;2PYDppB79hv zAps}&9>B@08x&=ealuP^v71rDy@R4Jq*hVYB+c5t?yS0vMDRAOO6#Z9zBaD+{OO&} z-M8q#5&fx4M6t!(;Bny#<*wqIUB0MAa_gkF9Lu1FG&g~;y^M>yG>~zt@OeE69fY3T zDo@2USN$@fgApA{?C9<>D@h;}uGrm>Ne<1YC7~@XqhxZ?T|Nk7M3<Ikmr&OdQY0_A zhtsMawFQ45<30Yl=ttoz^qo8wo}J>i{9T*b&n?sSt;$G5Gf}J5cgw>UjC4cH>w3Ea z<1@(o<Yn=>V>)*XyRD?!)o>&jmmfV=K?`!^wdSMy`U0I6O5SyP%ZwuZGJ`J@e{&oq zQTZ_5f+&P;RE_*o|0AamCFVeW-k8qB?<}}K<XRg$nTVtkGvt?|_J}a_)>IJr^!z|R zG?1?;7r?q|SOm9|Nfy8APmf8GEpY7R(k&Q|ojvd;emu<?SHW3bX_D$;M^U|kCRlpF zLEN4JfhS?0K+@R*qVKlS+*@C0>bRNxih}!T-0i}?s(3q)guA<uSha*zyYOMTHaoXY zWMLNbe5feq+5DntPV%joXZiLNw<~aM(#Z&4(#f#OoG_YQBErtFR>87}Vj=$__(hmJ z+@i+!HcW?1kIlolNjT~we;_uFqdKfmeYdSl7($0A{Eo4i)qV9@Gi|$DxduA*4C$@g ziogfeh*hy<FwzN7U=w)HU>I5Ro6xFrs<v#j=S#ZSHX$XFh!!?gzfZ^+!bTH@MA*4H zM4@kWLalGK?U0BUnjXCq+TX}O#r#(Lv2D5bqpaUFsnm}$=nGaues_pr+Gxm>qaXOa zD|ZeE-MOwPoaa3@-ob>lbGRCZQ8Foum&zAMKdRqfurhROO>5W-PkvM4xqV!qT-L?@ z^Ao^p7RAf13#LqFs$DnU6@~9CI}5hE1|p)TKZ4}*feDeX3lZ7T-yFvhwbj&&?b6~^ z67syTf-H4peGi2w7f?fmx?hm&z#%TpU5k$Q6H!4{Pmaq}`q0rdWcZ}d8Wg8Xiil9o z;abbqLB|dWwJHh<Xr~+bz%lmHQNixoe0pU@T$?FZ=8J1H{mSgSHlJUa->=O)t0xdB zs(_AumAVK4D@Wv?bLj4tL6llx`afeRS5YAS7ypj^Kvzcr8AR>E8Q2d47*F9^dPN&C zQmbf|8sYipPO5@JV^Nt)&M~_a(i$<PEXPSifh>eU$7LL2Y4UWkp*2k1S{H&W&!4W# zDefA`A3_`XrSf`&PolBjMPf;xP5gT`ST2KNi2$w8iL0vt=HY}idKvyvr!Kxm{&@vd z&Tj42Pz1JgPoLbQ%Re_pOzXF!MPLv1_@eSJHWsUnSu65Xi_SyD&o^gZxu1T1ZMb{L z75#~2hJ4w_!1a`r!|#+dBNG>e6^acy_EkHF|7t$pSiH47AsrHT;#i|zdtCY>BV6l( z`NogCT*MNbg(5^!XT+kJiF=62x*h@`G~6FOZ!V|iYhr-$WhQQ4PUJ?-L%3F_l~noV zycZA$Ig!JT{CIBdMu%(txC;DdP7_PXA|l1LOqK{?`Q5Pma~Qe0D;sc~Btl^a7QJM+ zFV8b0zp`H6AU_8M-@VVetJYhwU~tO8jQ*C*?U#d|;g5&H??`+B*Gf-4;{)eDn97V7 z6VmrDXL3p4aapH3X70(xqH9kXRG%ZhS*LYj%OJHW8F=jA!gr@ac(X+7f&@%idvI*A zB0lnMfZ>i5OZb|9J|A0?&Peo4=x9P(2h89;5#!wqx*I4$5MKWIAefEsrOr~pWc;(c zkze2S{2fS4!Z1zs_z*tgg`G9p$JvYy$;^jzl)H9XE}i^BA$B`4H(i3O^1Tnm5bY#F zbM|XOC&Pm(NCi71?gd-9zTraUCORkLQVzzc?ChNJG{i7sKE?BuL78*ANp3Xz^8->` zc*j>B<zVRXPZU(=SLPH}$-5#m=rH$ub1N&?hRbl)H}uFmbvU?{w|wk^6}lI#ZZdbC zd546sj66dogF%nXlaT&?LB~kvMr037@a{sHH@wMyXq~OXMAQuP$fju)(|P=6&UI7V zjEvYItDJI^Uk8Ir0G1%~eg>`Pv8*@iU;(tkoxH1Pl1-obmFGvFgy(J~cNt!DB_(b% zw;ZOa^$Ja!wd-yGJNZKeOzMYt5kd=JFjAlLejugMPUm88?-8!VW2Ldo;}S2pJEd(z zIX`1Hz8BF}gsSR|7xCgt6#}dWpd$d=F2TMxMW`Qq0l0JtzJ~ys1W=O&L@4@O5E=l` z%}aC!fGq$#Mu0H+ci+N31mcxqns<t+$q~n5qo(jL(Ue%by2JWPkON{PQF`vk_slF2 z#m1n*(eQwycc+*%a2!O1!*PN6q*Lq;Fkxu$RfHMQDJCKZ5yz^a!6y-RLZ_GjfMd|$ zy$JJJrx-6H7!BTtFmpS_xDdhU@DhaiqEn1bju89lpmzAE9=~8FZ5qm&T^=im9`-tn zf>Iq{HRQlVi#V189qxsTUW!@R`32TBM*jSU3LBiBc$$+zluT}dp(30Xl1DLRLQ}GF z6lYEt4U@Ox3SSb%)rcw~2q3_6c|t%PQMi!=m%-l;uDTI=pHGk`MSNX`y0%Hi9WAm{ zZX82W8MT=o>uHC!*XRT<NxD=xa<-=W%>p({c5cZ5l&73Vef+J>lj=NsE4E$AD_Lgy zH^=>oE4keRSOWdS9XwSCz}LSUkQoW0yp3}3gb_$ou;JAzqjBPotP+v?p=eQBC1W+x z<PEa$?b_xFb}|yOi%X=cSuMqgIRz^)j(Yg9W^{I@x{o8p$rmi_s`G?|u+5bqlf~R^ zSk5v-LDi~O0n;Evw@08A4I@uE6`ZEs84}^ZFO;r1OOVkzn?UOISL<xzCP1w|v-|@i zXmIb@pQ`iR51o=M6fd^*<X~=3{$cMquX<)NvYPU}u`AsmtSjAa-HOYlU7aU`3LS+C zvhy^iQWt+GVd!-?q`I(Hu&t@9WCh1t0W)or5?igx6am@-a14N6ZxCRdF93f5u<H_x z2OvXDVQpjkWn=&V;Q;)62{r=I1Ay?i03?nM?8AI^1dec9aO&U-m_h`y3PiEX-&%>s zQ<y1uSD=-I+=4Zusf&e#7}Jq817jjge96|+N%ppXu)U`fpX55KCTj*O2{G0cD0>CQ zT!D^gS0L;PRJ{VTt|PBO>?=?gfE=<itQm|@_+@5x^x=;Z8tkPcqv|}DXQ+Qw8LTf2 z<@w-?PB=Zuv^c}S>bRG(cp+w1=RFt*ES1xRNk*eJD`N4tf-_5TU52riR5oew#YPf| zEIvv|6@I8X4>%X`1ClUZya~Wwh%<YShKX7^hta31O)xlx(Uu^aQlN2XnZ@1!Rchf3 zxYJ%XZAe3_x<&y>=+p+av38#Gx&p1e#uHbkU#D}1Dk(}TWM22SoKELfT+B;#eLU|h z_ONGJ;qMPbTrZD$s_m#py0TwTnFik=8l^^xjKOpH?gJ^;P!-nE2PwEOzc3T!;Xfdj z=l>e??wRxrD%P)AP=RPs#g=~&2b%fqi9^vCpLAx)iD6yOvoYOn>Tz8g+(3mGNqC;2 ziE5@PrD_foen%}P&%=SE^_>%k^{^AiZQ3$U)m0@<wZSJZ>(gp6xzu9z+zoUXrLBxr zcLdU3giG2RtHvu;#S$HPeAM;KZ{cRyF8ru#BjIO|Hh^!Lo^o<($6=jll}@yByRt|= zh@>HHuI#oN>@j^q8aZQKk$id#@NuyRJ`U+bauDaev;mtj-Tk~tZnj<Pp_ENI=~01w zPF)XV89joWlJ-~<OBp{We^QR;kxR@!jCJ9k+GF+g<%3)O)Ai{%L-TSClVd+%@kx(> zNTpE$IH#@-1M-h`W8Ek0uz++61x`+uP6<i1m-x42MkZeYErpi(%0cCKA?y0r0Md$b zC<p1_H+O{;98L0m4I?QjiPdl(%jc+~0*9Z*x)w8eEa*SL#uIkz<BzkTu1;|4V^B9X z^qliGBdGz2)p;Jn=ct0EEf{Y^O_BTr@sk1AyXU*(hn)YcoM61q5CG8=W!Srv-SHy; zu#80|Ep1`(z5oC;LHJ|<>s{>b_%T38$D*Q_oUwSD5FrFll(F80?v9^uv+#9{V9e68 z@BI$T_-3e6v=Q}RGOETm>i<hdF+uKA8l1KN$SCN4=&1i^8TC8d#X#MAoSwy$ba{uv zvmsNi`f82kZqZ!YP3>qGs>A|U6csVmv6gy|SU448b{~C>#X49vzg!y4E>pWIK;cIN zSIej^_q=At?m%sX(&~2b5;Zg>w3f=dTyUj|<<wL~^U-|(GAnu4pL+P~J^6nZSj+9M z3gHz$O8{gdi<I}KDvU83`%YVb_Z^mZ2R(PV+AMFm+2EVn-8SfZX5h?U&E4aj6a3yw z$_~shAqI$Tpp)rV5+99rmQ@PuMGifxvsg&@M(78$RCV?>Gzy~;7_&N?KC7thmy8;l z#}-97+fjD&ZA|GAXj&w@EvP_D-Kp4#4<*=1K*9ALeTIioIOKE7SNy<gQbEjnQel3k zJWe)nl11Xj^B1m{3$qjgiA^HhN0iP~X0prt5I3xAs}`*%=lu*u*yz@89#)1AHk-^m zufF_nH;&Y@Mo!>hNP}7Yo%~TlkE&$}s69nc6ZJ)>@Y@7R%MhWoWMK>gokX%gX%+H! z(Knc$B?h_@sbcA9{+82u{Uf@F;IBL^QEX`(!}(ZMwopJ-wpK)y?~}|_t}Gkm#kKfa z5iI#G?o#_0i-12Y{5ReGr|=O<>pyy;yRk&#yFe|iRw3lht$S&seMW_s5(cOtu6iJ~ z1_4@psil0jfR^e&XsNH4TI$_fprz)3mKu6|sinGrmXbthsf0@{_4o*AscZ;{DPj0! zc0(Yh{{9AHsyGB8rnY}^sjlDXQ0#epw^p$wtvffU54Ny1<##-aUkNlvMN4o22iBzs z%+}YJ?F1@E;*-`zC6NE+(ZN7^_<@@(myYG}SLW!onRJa3AyBBIJnb63v@6OpuVLb= z;GApp+AO$6NdQVx0aTF!Hc)jd8*)0e{AfZI<wgJEW-=P!M#~a#%acMwx750lQ<7s* zIZLmu<rKKl0)aa%S9+>Zo=!KkhWScQrL4;-?|RE0BIMNd72--xaTehdc1;lgIrW@K zLk`ZsaEOf%Z91XSXL{}dgam=AI%h~=&A$X^pflPm1k3*4_@pe`uJ_;*(gv{|BbzG- zT|8^hbkn2}>^?2@WA{M%?2W-9^JhJkNonnV%O{UXpLQJo!KVH1w^#n3FAHN@7Y_&X z&tp)><nUPnkJ%FK9B#B;-CF^AEA`)7E6PApEm=YTTpAEs4BR;Rb*Z=JuJu;^<@BGp z)>{Ra7mAVfE4{UHbq^)$N~qy~zYLVP3fz>qyx)S&2I^b=dpo57Six|Dg;sr=<y@l0 zqvc%Ed6GUuwdANOuKhBo=z+%j7`OT*53-D#7s&jM>ZcRZ#+rY627tm!T8cT<x`@ZK zXZxI+4CEL}4~^GO8T9&6Gi{dlBQ(=t`Cp)!>bkZ|X3A5g&h)_jlgzV?d`1$Up8pbK zzFhB2VwIz(R<$nVKhIE;E!uWvyuCmcf&>A%j}<}WCvYvYZ+X)|)_nle6^Jpta4WZM zAUG#DY-Ml0nkG9%_r^RgYDR`aes$oexBv8Y4VmETBFCXzLQ*5BT>cE!u%|EXjUwGN zK@M&DS&dt(e5h7QkIYjG)YZo;bu|anRR=;{y}nde_CQ@hJ54}dRb9y|M<B1B_=JQs z4PAz3Z-=6_^z-o5Hcwy5tuTb#ngVi5;!?~Fc>^(L3dCH!8PIN6!e7vFye!QNmZDr) z6O^Y}dtH5|f5`v*>G`^1%iUOA->&FE`A2z*%1_LK3*^qk?K`l~S<GS3(+2r&Dks-a zb^AA&HxCB7sOocsr|Tc^P1obnxI`rQu17X?Z53LzkkYuf2$6j8yIhd6g9WK^b;0h9 z*;?UDuR#Cm^<WfpIcp~`=P;H2o13vW*gp%Aq?^1(fP(-m0^o+vCHM<~ivSG01Sz1J z^<Y^G5bA%4!U4Jg(3h8}69B&faN{um*$9qe@i`BKGHJb#*SPUC*g0{PKLq<G0e&nb zsTk`Vl-Rhx2=JW4)Yw^Xj1mh1MKp=Lc8aUP&Wb-eAplG`^4d1SBp6Lam?S7`bGQ(& z2}ku1wjj#dCj?A1N{le|QP$cKCh_P5LS1>GtW_dRlF`&_v6X|Xz%I%vM+iP|H)<82 zjh&O>|6E(0qkzrUkxvpVg`FiYm%<abNiu1}QB2v;l<otKl{*@yZuKgB$q1pbhyox2 zm`efybqo+$g0lETAyovSD+rM#yDmdt`&-7H1R=68B?nNO#j&1tje03>7GQdGe!=J> zL`XR*I6dC<2YS(S*)bWd4j@wrFkHlMn+N9w0<l1kBl^;c^yBIbV)>hxiq4ea;5lfi zypm8~-?{N@_d6j_RWi`7qM@<X7#5rsSa)|z@(>!UT;ft=!6Ys<md};O^1jqq>sK1< z$EC*VztULUml`YJN@KYrG#1KGBoK4B60NKVF{g@mDdre85Ms`9pMbv=4LfMsempwd zRn~0IKFU>d@+qKZQ^Xl(>p948l(i?&8V}xk!#Cqo245uYDn8F1^yd2gSTa>DeFDSu z9v+5idSO^q?H)q&Sm2}gRILa1R29~~pG7Emg;t>NJ<%gF2JJG$&{4dgOF=`5!ED$0 zakXB!CER8lArGwlvdq3<WN52X7i5_MF|~OqrutTqfsE*a7vPI#nSrB8e+6QPAe2@g zGeXG#knjp*z5+R~K-+7O_zGmd0#!pG5Q>Ek1j_$m@2sP$>fU`Xn?_1Xln$jsKtei{ z4gm#3N|2Hgq>)7<A|=RHKthmIKtQ@t0g;fFke2T5JJ;U6zt{JkJI1}|o-@uF<Bt0W z?)_cQ^UOKdUa{x%d8TWVqJt?!`8I{pUTT9x4V+eSh}n;*q#dMGh@L<#)5RcEW-}i| zZ-Fqpgat-HtbA#Uk!<iHI(fR7h8tFY8xNg4;Ys3Ql}e$LC#gAE`ocb0RPxj-OET&A zo(PpZK^a6|(KvSZLH5LP4fN939m;Ro6E=?!id8k6m!)#jZQzV=byaPjCbLq<MV%y= z1)l#%(f>mkI`kh;(a{;Y`{$iEz6u|?FXsx}yxM#J4M@|;E_RNUpw1Mv=d#TP%oF>s zg#q%m7E;E!WkZJFd02vf8G0p?rz`*vpOS}Ewz{7M`dLWpmb>5q?a)#^mx4rB9{X`8 zm-0^S%(gAhgNvp?%8P=5S?@Hjd!%Sy>f5!|#nb7}LTKuzJYADaQOTX{%O<`2PBU24 zXeRR0R|Uc{!jyNp$%1;fFr;o>uc5r8>yb1rI`JVpGshC6Q~R?@z>-4(_V;0-aN7`D zsx_=z+%3tO2BdWA#iV8p<}nw^5mXjzJ=~&FrICAs33KL)UCsSM42FV_)q1VAl;+GI zBt*S`C3ShhMIQ@kasfdF^%yAiQSMR8KFaY*i*i-X|JW(PUurVC^z~GI<!Qjo-TaR3 zwW_2%BHtT+o$H)>hBkXf#(g-_Z1uC{I_D~NzRzpeud!k6aZ3+Lf0D2{mDzb4njo^w zaP63*vXP>T?|#09Vdd48SSP(ciT4@(GY`~z{gnDucvcETKX#o}wS|m)2Nj6$9N+g& z);`lYD_H`3(t*#*0pK$m)>|~#AIPI1IdHoq@`0*pUHeNz5=udBrJQVP#rN5%zP34M zip4a7DU3Nezg*$s%r?2hS-%va!=#}nHed4|S0_aC_N~rWdAVm&a^KK<E;cwbbBMIP zNytpVmQbZUTU2EOQ7Jg~aOYmgHK-@o{lMs*U@7}ZsJ&4EzYP&_E&=;TtI*lVC{II@ z%x81vef@zPsr%G$Gn0GzAGb{nqJ5rKgBK^CcSBx<+)7e6eu5WKsoVCF`c$k8ERNuX zmSMA$UhTs7=DY2039dcn-q@O>4$t{on$PGUIY@;G%`U_n*so1R2`sOP8PC{q5!JQj z4UIQ5=nYM{jO2i3*Q!Qxh<uM?GU%k*qK@8&=Eg0l_Vvr(>Ydm8P8m|SZF=t0W3rXp zgsgL=^n_O#pMTU2KjhNj*^+DQ4@|=eD!Xm_(Bw-_Fuls47yn<MD@U)z(5EBk;uJ?{ zHvDrxJrBhR=5)QR(M+SPSrPdvx}@t|tu-h#;gi-C<UAU!ox6z>=V#ER2C&1|`|>I| z8jCt_Xay;R)kUq?h0^X`&4^oghjB@c%oD`C12Nw&k(`a~X~k3tirXl(Y4ns&!+&K! zPj#6Mi5rK9|I4f=NHA26Tmb5n;8xSPO2U4wyB$2rc$PT$vPHkA{>vl}dM#$wlu&i@ z4tfIh7TT>sela4+R4nT@OO?GqLnUR4jL_g#<#~)tGCIOKjSRo!R0O0Yxz#mql7NCK z!<x$zIdV=zc!NH?fpM)EY*^rbQz&#S#GFDgBl@P$zR^=54Z?S2ys4ky%Y0KNmxR(k zMVTT&E0iqw?R*v)cE&;1xxEU7G<;Wn*hK(#x#XXs4!e-UF66Ka+1@_vA_Kd~!7f+* zQ*Od86tD{g>_WaLb{)8A!7kdc%XR;hTd)gdFa&%kfsYo&9@`@DF@=5Zp?#owdf-C^ zH$%0LuJbaH@Dix@X!34wY%{U#*~-xyQ^aSc7*Fdg$g8b=43em4=T?_)XvyjgjT`5} z_k04k_B2K_hlHtN;M@jdI@o<ymK1FUfq(+$X|o$<Hqe314XL+M3RoucEtnCF-CN`l zJ}M|=5gmFF?)f5iLn*F`&oLv3Fx;~++%w2YCMMh6*ov<;A`LbeI8_FRxeY3IuBpaP zt`<`${2E7<b8EV^GseH`dAw|Le8#VY`q{~$yFqIV*;9O617A$yC#MP+1t6rgIh@F> z>t&3u1K;qHD~*>ar6k$4*LngQo3?b#gVZ^Fe6=#hXK{l==X1W`1@@}Y%d<&)uBqfm z<#wiRhC8ih(0wBZPa!sYOlBm5wWBojT>|4=e@UO!cWebyz6`n!a#Az(zPuTA?u?_; z>KZc_T0Q5?!{ZZz5a|er#qO1ip2*vXnHy&qS=Wh`{fM*%U-992Ds*y6#Q##c=uJny zIp#Rq`cCJT!4JFY{md^PlIir^**0@>T{?RD*vLbhek5+MeeWCf5)B#4e}1td&KhrJ z>)ndJzrUkFYY?r^#ekr7_xJVnW$!KIh-HXm8~5(Zn~q<X7hu9o3&Arrb;$Rnc~yhV zvqi8dJM4<+*k_0**DP%O=(~js+`HvCALA1LnNhQQAy<TPFSpF1Wq9yjp^WcE2bndA zk>^Z~=HaquYU(P&DJGpny~!D*Dl0=tn%9uO1Q3F_?gh{oJ+UV;fe*n71)n)^avvq2 z#c}VO@z}|2v(nQuBA(Q%d8>GWcmGODY)Rz;5nk;A&jsh}AE>#bQ$lD+B}hPjw?4!D zaf1Htg8tYphBqMgd9aA^e#&;CWDKsCwX_NL3*F`5X|*1yMXLli(qtPU>7wVkxJ;d~ zDGw;{Uq9fcfmXimGIBppv<K2&hz7Qr#f<o*E6w?s|8Po-_adcgZ5MqS)FB#ARA!R{ z8#6Cmm)qqw5FW{VOCNOIIWe9Bf3(=*FK7EZ+N_T{pWkoVzem!@T%oS|G-$=3$WGLJ zNmie=%tkq;OHwtSX!e;TUidUuP8@`vpHbw)dE}p)A&{-l=!_$KTYH;PNH?3*>C%f| z@@T<+4u}~YvvazaUdRSt#L&|=kfNlQy`_CYmz@l4B14%t(I#HBi5zVrN13jnO;TtR z1=>WR3tf64x39t(9Ke;sokEbF%9%sRDMV2I{Od*zKSA#Euc>nBeq1?1DFkWbj~n&0 zFKBnLaXtzt!#7dBuOyb39gY9<lFy|VOfz@i$X)V?U3;M$5+@i&5Hl``c4pxe3Mj)v zIfrLQ<NahpIn%z8WAX?D&QGya^|WoST|zXl1fQIN-%=jP{Al{1!ui-tuF<!hfGcN< z{lxqB^-H%%8k2MTNbXdqaJGe3ANgGB3yk-8xBG7X?2pBQx7;TO4>-;utyK`Bav5Gq zdfKfFeLI*!H<e!;k<Su8s|+3h=RrXrn@o#1@?8cQsWd713*A;}mlc_8!&^^f0xr#{ zS3Xw(C#m7vPuR7{FZ2jO^G_he!;2ONyqMl&-FTsEPPoDP9@jkcxk{`V-!((!ZKsUq zxpbYz+u7jdQ-PQ4r9SFF3FW-J<lKyIa6!Dx&(LO+Cd%T>5xTG;oXM3#pMl4!^<;Nm zg>!#yfj*w>JW@m&)J9cu-6X_`)4>ZVLl`(=F9udVPFLZ?4p1})O?qhKrIKhff5^|U zZ7$X`lB%j)a3-x=;E~)=WDl23&gi@4D6@9Uu{E0O>1R{_BcTz$anJHg^7))pf!G^5 z4>1E~C*ujlzUvcGwKy_a%d8OxpyV^5uKQaGn*xV2(5CaY#3mV(#KCcM_dSlOMp&W_ z79vTD6?eh7?+nE!XZmw^PGm8(*@rrq(@Z-9?qv_QZ9-#3vVD5T-Z&H1DjV94P?nO8 z%&(W(2Fg12$K$)d<x#k3$p=|!=5IUfyB5=R8ZGVIbpJ4XW$WGp2l21OUW6-z6>aZD z$7f1)vmvq4X2yr{E^(87#c^-tB0kdxelkdiob-ERo}-oi0k$Qli>Cgv<}|bwe-I@* z$z7(*NnEbnt({g{v_s9>;T)bZ=|{uLLupHcHY)<N7;G*?nIFJr7GOTKMMYl+W)5IZ zzPLrr8kvXFqf1|+)`Of-N0390Gcn$dm>Q1b7!DELwFhF!)X)^yaESP>5fDeF>}!Gk zJD7UlS0p&(sR;<pQi&S+%r9zvJr{ydq_$Rc`uGwjt|%z2o(yM*>@FP$kuYOl3-aH= z(hCG3I@APeW~u58eI}fwcYJMHDPA_pBnITw2&KkxfchnW7nC`^z)Qq_pFrN9gRq37 zJXaM{<yE7WDFLQELH@69Kkdvy4*p;wASVA5KmQa%e~SJ;MfabgL)*VgKvgxfo<bOO z#EI%C6GACFW}U$dHUGusALfNJF&uW<nf0zaOIP$$TPTD%bjA<7cBr|vEC>?gIGl_m zy$Pw<1%lPTQj{krOX8fRc-46MKEYkZPWnLXr)p-g0@(Q}R#6Rz>zRehuMh+6#m$WV zAuSXkAht#V9m@p#+0g!wnG$gNT-<ExY_q&Gf7xo_&|J#4I1KmFC{_zj@r9a%S z{q3Fw+_8pkWEQFe|F>xW!A!7=UB7ox2Xr;Nbmc6(kELKAuet0X1A-6_AzS+09|_IJ zxxXGp4M?b{jPSJeNe~3l_;|FZPuGuT-)&EqDQ5}=CgPFh);@`qsf1=XQO`h}t5M6; zKCv5Dqs+nA?w;XQoz3i5PI?LNJ$<YNe^mbZ@Xu@FZo7F@!)qq@3QFASk$8fu&8QPM zQkxcXiZ9a5{xE1BEvm|+McYNdO=&9x8#m1SC;d7JgedcWp)L`UvlO^Kw@q`jNX~i@ zl3DE+$~>&M!j31{E=+~5pnsRSxle+V<j$sbD}{$6hR&w7Od55@H@`ej__I|a>Pk=q zXARNI1VzlJ(`Vj$mRJxh`Q>;Dr7DpClX}Q+KT@<GDawxm1La5SkMbi!o5)ZmA+$*X zZ9-0PAbRj8Z0S+Q4jbvki4Z0J{+xp!JM-5~fADD|(vYj{2u|b)JAxQDflzOA(7X`I z%YnFx<l#UN;}A^S(o^CgD>)DX$Vd(Z4%BNwpa5Tfo7SQnn(SRz;IeE_pUB`&lOY3_ z>(!``Smz+bb@(!txTx`!lmH99ykTc)_AX3t*|(=pre2LoQ1z$nau~OzCt{ny!M2r2 z^w0AYN=mx0CR%d7JVCKZ*;Ce^ivKogpM;df1A2zrSEES436k+?6e>cUAr0s=B;qUT z<Z(uyJjr+4r<z8OPqZC6&$kWh5VJXI?Pu3rp8@AhX|HH&(Vw@}EPbkgpoe94;08Ms zkI#lu4-^7rkx%0Bv-Duw7!3{K!N(8x8(UulGn$Q*eZdLzwXuU<IW5M)+8x&S?SMp? zMPO+L%lKfW1|QeMqxE~x`a+*^e15xbfpm=@pK*_sRo=iO(mS=p48=zqH13wsKVFLq zX4nuVGBJe@5^_AAm{r<(oATa5kkx`CF?u7>-BRhtDC{8#JkAagavYb~Ly>VlKZWHJ zZhyQ$R`jktx?4b0QDtGA(B{strBchJu)GS_1T6<gFo+5UQK}<^96TW|85tKlqBC6` zLV~y-31*bgcf>po5S33zj_inz&PoScSizPAcuO?c!j=KHaDpvGU<;*p=gU;v)eE}8 z(iXd$-=MU6{w)_2d{sMXpNc#&_`XZaIZL{4FDaP4RGTcO%YII4W|uIxk-5m*`<GVQ z4Vk_%!EA!4mX+04^1;j)xBNO&In|h}nyrW_bFp->r2=!E!j_~`Kp?r+r9BXMxybu5 z2-J}28wY_YEi1bqP!8i(5D0w6Tr~?>;aue+NRgGjH397DEh~q>egorH2-?028or3F zO9y6Uc-X7t#T#p4zb9B>2L5}r99HC%0u5E4-YNJ*#<_$Myck!%cbip8@#`yvV5K$s zD_rC$EHe^s<XAkyUs68pjB%#A$#kw-{+r6@StYU1Y%RQ>ri_-KCk0uv@A$}Zp2MT( z*uu>=_L0l&!u?9mE4{S3f^5&S;!{%^EHGGZ%1bF8x_PwAtsYaoXHL4K``))pn|*KL zfz<R2Lm;V^-g!L}lQI**(QQXQivs9yZQ-CSeGgf91x#VsM>{H9n}mu}T#lw~{Q|_V z4bO{ZT)*ZJF7x_jUn-WnYb0aFk5W9^Ck#)<J37t<C2<>K5q~17#l(}?csbfg7nDFp z!g#`Uh=Fxd+p$Ew!r1%F<IK8AFA3vX*(1vVC)_m429bePW<xRBj*h_4sQk-~O;>y_ z$Mv@OXEBxcAoT?hoKQu@f&JFon~_<~6BEUY{vM()sl%SrdpBIg@qFGNc++p~J9}&4 zc_VXDnX<#goorK1j)X|7f=VAZ*S;A>-#$aH>7;)o92>%=e<mCt!6B7dbzET%7Nza@ z`3VeSWuocI5mkJzQg9czR*|yO2u{Ay#jNMojH4>#JcR(hB>i6>b;yj3(_qXM%! znrMb+M0oTOJQp_J3@f){N|uy)D<Ot4Fl3Q>DhL&N<!w0z>57Y~VM7;`!ynx(a<lwF zS`%2$@;v|bcRX68z7#?PH_+~o#BN3%883w}#SlgAN+I}gNs5-G!Nd~DBaQfka4$n{ zN+Z}2;$>xYG6+5dMp~J=9HJTn!vaa5fVhoWUNwd^S3t-?tlxf2eXc!DBdYVh@53ZW z+T6)|W730^_Ni4oLvi3+`Cny)k23Z>mXrqlmXtmPr$o=G)=|Hp!>5U7AuEo3%Q90| z1nh&rJ`U`G+4vmC?71xMuivtm4Ryyn3B5_Keeh6MF@Z)+v5_^C<s3=I<%}(soJb*v zwJ)Yn5z?j$+v~o{^tR5!HDljACo)JfenIJzULk1b>N}Qp26b1~rSH0m%&ZUPR36+@ zOps1qP#T=diB!m*42p~Y99c3r+x@COf|oA0kzvEo=rSsOYFKi6mFf|wzvTWZ)tR_q z$zxt?pNEeeT<PPPNa9;&=l1P^%04p;#7-2QvmNH+cpHj?cx!$z`koR`2xw$H;4 zY~<sYfiffCw+AYleEbG%Bj0}y+bG5@VH?H%GC!T|2Zl^}f0}#Obc1hBmwi&xO1tTo z_*;EODH`(|*>E0p?}Lb?+*fE{Ay{Kg_`@KBAk&Q98@bCKNd2XlSJBSAH`B<fmAQ9X z)s)0yvq|xPvQT;7znqbzsB4YocrN@{fLyzSnUgiZ{mJL#SNI&Wq?7~=G@tH`sVO2v zI<`KSo(bCx{rnTQkiglL{GQ0z;aPIBod^8~j<vh#d#eUpV?HUOmvZvzP7+tiDSWo) z>-ME&Zw{E8QhyxQ?J{XGhK|#RPYxCtMnjNYHV9FhYYX3(s9nA3wn?R~Rg6aB+_;cG zylTz3_GH>k;v(M6M~BXI|N8Xk;caWiUbXoh$&28+E`J?`BiA13unJ6L0~MpNdg5>j ztL_TAXrKZ%W^*wOJ!20OS9iYmDX>G&XppH=2qF6bFdll%DEU*7vF5<A7^Rc;-N+WB zhd_#xN?mNN+yCjGokxG%zPk>HLBYwdLl2M<EsgoCKIzT)c#ybC2Y>-AWqR$aQ8GF7 z2P$Y-|KzS~D(R{Vv^feRiJ7Q}O-NHdgdp?=?y@tosc+1Ofn<^;<`}dRQ~6jA+N{BB zNJq&h6~PQcBvi7?YTitqKZdQ2?>pj7wB_%|d=!+#^GrSp)??jjG!!0ru?jMMk@H++ z*DgE|wpdn!F~9|bm@bYVMH8htTYxrr|9Y!5r^DA4GMw2RSg5ozkA#@hI_tP;91>Mv zZ+lJv4YWVll`HPSn4mO=ovc#zbI}C-FPK#fXTDLI<E3m1IkfEp(GH1EnbWFtxoK9; z%7Nhhp$qo|9$0~79!j;fBIKlck|Y}qkC2Fx6YH*c`u=aB0)+X#u0GZ+adWLS=tliV z!FbJJMXis5IFwJ(wwGv|3fiQKGJQpx2GJ%Bv`G_XBEUwqNR53<JYB2S?MB-8(1o_F zM)vHX3xNa?i2T<dd^n#4<i5U=-Ng<}?OAf{9w}=d1w-OC!ytm!<MxdM9n{(#mpLs0 z-0@As&6UKE*;@ENS$&qDG0mvGkz@6ULVG_Uh#2QVJEN`xJd`u)`;HMfGdFw`Owb6E zSyM`r2IEW62$s^?aeC)=!{lXjp-FM$&;`k-r^&Z&iuVK-X4!5B-p+UXa$$psPufI$ zGd1yGz)*QBcxiiJ5*s@H=z)QJbPXX4QTBI8WIV9ScwNZw_ucu1%u%XZZ->&wj33WG z3L++P@4a!m(ap%FTI2>y<EpS}8cVsq1MkV{*W~QiF+wfMG4EcVBR#z?c~hLlCYqed zKzx&N_yoQP@?Xb*uQfRiTR$r~<p^4jivi-2d97Ne<3F?nm>}Gg5Jt13Aa?$>XY8+{ zF}38Q`F*u1s6B4SskO*vGf}@Rcgv*HjA}{+FKW*eYTYcK0XDF0`mMLaT43qA)dMT~ z$li{UsULateH|qL;PGX@zF;2BX5-qY13n@`Pnw>Of&|l96S~XKF3bP?@@V-R{6#}C z`MA>UhEyd~8TvLGqc-@`Rc$6AEO)Qly9Iz8765Xn2$Mr^J=1YRJprcBH(-?2a(IOA zNT1`25njc@aLE7z0+&@bc=@DwPj>m)msE$bY_}W!<KOkQ#08V%U=BgJC~%0k=)8@! zNCx>`;<(vaAauq>WdxfFZ*4(^xVM-KB9f8iwleTPGppa~f9Fu>4EBd4BWy&H;U!$# z_8tH!Ho{)W_>sJ0#kE~PNgG(^0a@8|#`DSX_UN*g|AWxj&DTD?ogU7F$(winf);`% zfwHr;e?g0}6Rf35){_4zI}?Mf|4h$ZYrH{<RWZ#$Ts~*RdG~@fj1|sHf5t#cs|F9* z{{YEa+kLHv^}$`*X>NB=shq4Xt&?(zZnjapBu-fIh<T3aII*f~aM3OdJ5~yS)&1>T zIZq$BrQO>AP!t0|@vIh3-3~b^aiNi0HaS`jW1!{yE*;OVYnmVhJNuGT6O-UG<9(dF zVW<?_*00~)R^YBDN;v!VyWJ7McB|KQyQr0cYi*QuNoO2a?N%1X^#H&AN5B+Pulop? z@T?_a6Q0^tV0!M??+i@w^}5c$gl|m;oAA{jN??2A*Y5;unf1C(oY7q4x{M{hJ*dpg ztH+O7N9KVD&ntwEDW?b#y8w2HgH9|5DfSTg-S3$b84_tS<kqTA*oh&rML`JVRJsPr z2O!%zM?m2(jHQ=tBg!GqPKq@|ayJGzAEnBWSc^MhCjjR_gjnimJ*5>l@FpVY^%KU_ zdzm9@ZIt;-n+ZoqV;b999lTA&enzQa$hz}`hipSca0FQ^g3uJd$KV)a4UV``;q^Ck zfwL06;h(6%CFT38%`T=(t(>dfA;!b{?37oyf_QS(;BxxPy)yH;&;29iJY>i#GGMv0 zAuF6nSL}hKd}P4c+Y<pFD&D}Sn7lzCt$vgaADgppCjC_3yp@w2ox7rxG}A-$8H2$= zpEIsz7f#XVLni%5M7SxxPQmBP{v-K^^`SKJ1oyY#bHJxEO?148NHy5`5H$SIkTni> zl-UfV+!)2z8~x<2KuWJL%C5hGNyt^1G9_z)5vnklc}$-{xecP+m?eQpUjW;;-Y$ke zRf)8Vhb&&rpNZd)m5^N<>rr?Rd|<y(;bT5!#XyDWBjDDV)zM+e=r9U&7zYTWXt9sc zVuS-B9a92Sq#8Q%B|1_EH5TkQGTs5h%w~V()FV{*P09vx{;XY*<E2W}e;wMg>y1v@ zAzF-hB@EzW4T;?dL`bGC*W1VNC&kfGv|udbID`jHWQ?TB5LpX4f!@JKkXE7l1S5jn zlLDjix||C~7vc-S8y+$eaBRCTen*C0MQB0vD=WLmMTh&#PR_NlQ3n?^BO6?dRU>E~ zP@xX+1OB;@0fC7xNpRjvIB41>R(QWLLh`I^WBIGXhEx}`wji27DrW4>?d8qp@W+Sp zG;O0?J!ki1lBC3G!g^$_+3BS$_h;M-zk%hB`j$#P9!X40n9d<_wQDY{2i={}jTu7x z3-RRIzHDuT991vwhX_TqUmz0@wNjeLz3GxMot}N6dzxF2Rl(3wX+9L*G6l9+@PjS) zz!qF>u!SY(Lid)EZAg&B^8_#je$*cG+@u=xWu9|^Y(w8RB<_SjSW(3nB-`pynIKh8 z&$*y|hiq0!Sv?5?o3g;BDR>hl*kq8z)V@>k?PY2NFGw<>76;c?@}Chgf=`YdkYW^$ zq{YX)F4;-))9%&ZQi}|YLB6UWlW1(IMM)Jix+4%ua1}AMClHvs_GGCa2~u0Ku3t!y zKPy|5)qP8od*LTs|1FjCE^`&OB{Ah!tP*_45(i9&f7tW8WNWddM)0E&Ux#2+;%guB zO7<4@bvN;Egtny&mN?k<PtY4wztMuag0;>!kTkOw4c`NUxG6(pei=O>E)}ti1hW8m z5^%3n8oVzKBX~7#bgxtqy#Jl}mTH3cf}pkljZ5Au)q?|26M=iBCUC$Lg0OMAd!<%z zz!QStaiV*rj+P-<_DQdzRj>jS363#_Bm|jm&0*drX#msT8h$3TI?H`9>k|i)f$Ly~ zDaPiyeGDw}4SFd@iK{N`3t$4AZfwW-vL?Fb<Uq0PczZy)lE?%?E*K(&95=-2n$v$= zsT^DsrEgSZD<1w3cr&DGaCK02{9Nmj#}Z@Q0Pl{38>t6{Koz|>-c=pm9Z8_3uZS}M zCC<Ae<wm-9c&+&GL!g%6<h@gKT>rYag5r$8c=l^b9x=%Aeu3#aJSW&UA6Y{_v_%Ly z7K7Pr&pLQK6d3P3s7h!<n~y_UjMitsxG^TuV}MzZaUr+h^w;4d3Gcp9uo=|SUV{m? zpn`3;Gw{a2GI3pTtnKv69v%b}=nJ5j1}4LgaTG?sz_amSkCgqy)#LO$Z~YT$5oDSw zLXh3^SY#|~>c_)5FwuSlN)#iYRVMAS0=QL14<S^mpGF!<$_@+=BN!N6$N@uyDo*!D z!ETK~B>P>&P26bvQ~&qX%1FPv2onqv<oI0#Kdx-)F)qQn6!N?g$XQq1kfTNj4rqUk zchA9&Z#uv1@u1N|@u$X{SFYPSCj=B`eKp!YM^L?EF4g;90y`}&{=qmNc77m`Jd)V0 z^4s1ApEr^%9p={}J4U+_=s%t<YG%}YUYF=1QhZr{*&mQgMt8PZ2MhI(qD62Qy_4`7 z!{6DeRV#B8Aa2z*K}M}D?h9WvDgk!1w(-HiQc1bg#N%uZR7J#~U%k<%c*3&!2*5vQ zF5kmM?2;M^j7$oDX~C?iEU$}xYhUI=FcQgz6yDD^`7uphUHEatZ6uWz=@bH{j$h+B zO&6{x(Uv7&rF|sUqnkbX7QW%<88peB7gP_{*|~xfHq~Dg63BZ$fq-qv9DG$65T=Wo zay)z6IDbG5zI3P>*@^XW%yXQIq`ojdylX`~BTM4~?)t`sGc}A9fpHh?&VDmhP4r)E zF_YmC8Kf$2h<C#rh-mWDb}Qt83IloUar4PT|1Mt)1OrnDKLvH83{AjdJqS{yCZII~ zU(2pz{Hbx52(jb&yW+>MIZ|tVB@aP>A0^gwG7=&DyDSk&Ll7i9QfPs$X1ET6AawmA zbNre;2%-o<=ulhhI6W37WQYD$%;2TLi361ae-1lfk_4vv1c?Zp!7dPF2L}mbXBMWZ zH*62U&Dnvr<r4!I^TFtJ20@`DL>PO(5RCg@6_DZ(L|VjEZZPM7n>eujy9qOLbP+-X z%&xGZr|2pK();f!L~9MIz<?@pO#S@3$nkLXUqueD^4)(GIp{{vl?1rRak4ClgD<3z z$qyGuexhRuLBYVQ4DBxud}@IYTnLE<#Sbe8-7m_2;1B<gfBQ@Q;jj3Id*>hS#b8F! zB`gqZ54XRBZeJJ_PBeGWg^)zh7sKyego_;EzjrYcQcIJeu+|*BkzA<mDd&H=E50y- z+bj`14>O|?YZRWr!+t75(<m$uWRC{mf%en5!*L80a~SrxRV~DB9OCTJm_tNvF*Hls z4qB-=B^Q>M1qWxo`8B82n4b4+;GMz7zEiI++q-Fzvg}!8vMupr3jE09B?sSWuVe@h zu9kF?xB4eiRwT0_CPHX`ZYnsB|B}m}4U;MN580K7p)J1<z39nIs!_R~%=R2pWc8t> zCRSsPFv`(VJb$)TYQ?jgm~FNybyis%r_<A?GxiEdLyo>7=RM!Rb(Lk$4gPHjgM9lP zxfQSB&{4{=^tr1HIvM?y5C@)j?#K&<wE73qzvSOP)*-!UTY(d)HGWML$Y7Mb3}huN zBT(`NY!&+@-=2Yzmar9;**eh@aX0ioj(neLy>P2DHc@L^C~Y$*Q&Dnn0jyM*X4Aoz zo7Gki@!IMG=ad8UEyhRmeUL>tw&Sw~M1``hf0vkU>;zTS6`Zrvm$iVerh16s+!p{D z6D26|UW;G7;1ELtBHOYKaj>LD%Rr<9h~!CGr6Jw(8GAlKRd(eDeYT{MVcCdHbF8YN zxAxra(w$UNqrp24ciUdm2a3!48a)u5E6U7ql6QV4J6V3~J@SDQf|;y5UK~t1r}~S* zZN@5rVB63YNpFH+gpS_dd03y4%R{L#&<sym%WOYmK)I#5q6Q3bt}zq2k;>x^t}#B- zJ1f*$>^K~P<9AlJz?G4KW8FrFssqJ~<509>3^_MH=P1O?oQcG^?&ViH^4$W}<yi`R z1j@vZg)%(_rVkbbwEi{~<}xv?5W00$RO=w!Ks8DJ5^gf=cN{i|LsZ0z69l0m)zFa~ z=s+D*palUskOCF>>W@H->zRL!<=>bfcrgFICjV2%0-^FJ_%nmY`CmU4==VYTHy_M@ z(O4k(=>Bz(P=&ey=VmAEWUW;El1pH0|H>CXj$BEKW1(P2*yDkdaw3B;O@`E3+KI=V zkZlGR+e>EH|3O8%C^!w;-^vhMi=YkYO5KABb5SwD@rTxY=&ir+RnD_a!_LsGS)cPP zhGPW_$HPsQ0cS<Vk?|(O6(!=4cP$@B&f$qnuf>al8`61a9(SxpBBAP;$m#lazq@U8 z{!ZNyWh3Cu<r(#$f`wG$<2uT5_#uxwDm{^HJ|mWtv^t&c`z6+VEone|Drr>CDXY2D zhY08O;hoD#9}MxxzCi7MKw=tR9`UkZQlb_i7p8v13s>cy!@0{dIClXRz4w}MfltsJ zfQm2i_+=UfAW|~GntzTQRR7A1QPK~{%}^j644$CC{>fxtUJbWVqGwh4dhoZ&tG;d@ zydrQLW){C~nD6+zwjA9k1SH<1=^9z-6Ia&BMom!6GkIr0hi~}x2CF5XzT#I$oJFP0 z?RP9@XYVL7)Bn^^nr|+nv#HH>WwkR{R0<;0P_m(0Qri6Zp2aNOP?0&#Nlr!8OfjLr z3A8bJjf^RTT>B1@c(>2%MWsQxffzi=r0DK|!I)np!{TH+g*7bao{K_EZ?Ap;sK4Bu zb;;jbky#g@{<eFHceaS<)J!-10B9%KUp6Dx<t7yiG<AETu2n6|?S-eNB^GMx7Ur6L zB>VxNGY+pXMkX}3@X66_pnCBNUjeoD<j4&u3jD$}p!ma%;(^k|FU$eTDeR~ej>9kf z0KtJ_NBwXheqjY17<RM+2ND!Ez=2PXsEUX`&RywBtG|p13JvTV!!4HqzpTH3R)(!8 zWr@k68?3b^^<hq!pJ~SDjU1atqW%`m%V=len@r@@s@&Iz0nA6^Oe#rS0P~e~pJ1(? zlMBzs1T-JDO6tk=F@Jmxt8<iO4dS2PY}N<;mB)PdPG#|L$33`b==bTc>CGXqY=#m% zPF<SVXgbM9M%W;(U>qRpY!GRf`D53S=C%kqOqcCpWVS8hGA3BMhx~4f5W(_-T+Snn z7(m^}__S8ieT#spxp+!Ts_NnsXE3J+%ZUQYsC$=({f<oSWY(<hTBFa8YN*i9r5&4| z1K{xdb7alqev=(H(%Pj21_OXjqi0)9Jg&>}Ro`zi+K1P{xqM(wi~V3J-`@cv?!*{M z{MXNU{vz5|#!rK!wu|h_?KvSBX(#(~kR2Sg{RPDTg|xkc#{Pk{&3ymC!wYc!!NY4A z;jRi^-@IJz_GKey4L8^AODbIO#ezrJrIjWJ^IwwRLIDk{q3bAGZHork9%zuQgtjT8 zOu1-NIohO#HmRdb3ux0G+N6ax-2^6rnFm%B1phPR{s;d4?!y@ru!|3&Xmv_<7yi%7 zD71~P{j9+t9G&W-(KaimLclH>ZAWEy;r(PrIdi{}WA+F|JEPKE;LJ`2xc+<`9##~^ z0Ve!b7tV5<ZLPL~@$nC@a=m-~9j^LN!c_q8T<Es6^^C0*H^aup=0`!2${eWXg#ujj z;W1ttFbO|DzF5HVTxW|qNb*+RVu9A$_JB`|vKOJ8{JtXz0XF2_<<k;#4JR$_Xcsp) zpibIaYFdd7l!wihzsUnue8=e!BLSf95~b!#53I00k$hEHewHDdz+)iJ!syF*+13h4 zD1f+vDp?l35J4&kfHLL;@~r?!30q1}Wte_uAx8v2N;sO2d?SdsjB{R3gyAPPGTaeS zfZ6x?xJ=Rs@c|Pfv~1M{VS<HE@*`fHBoF){^}vG^FrD1%kU1U*U%CzkzMb>nS0eAv z4}BZ{9KmNnRj^(h-uFNPkJn_jO!hXS8AFj_$9-8-WRIbE2vr@s4Jz8R@7yR(B~^7; z`A$&#&rm`^P<xO-;<?|<h}GNoxo==#c|gtmco?iY9FwZ9JXvP<MgF(}D&V#?;`&jR z&Dp~Y??TtUw=R<k^M7?3r8mO_&t@u99h6}&a6Jqn!lDlz(J;eIvs5Y58<ZK3X<nuh zCbe~YbQnwoSH732fx7X?I|Qisj^&F$QTezW^A^2mnpl$X*qgIumY{9=U(^S1q5oZd zATe+3hRMYlu%MA%s*3(eC@8D3&wn_77p?(FQe)#WL_8<-{(cn)<55+eO%y@L=q)5D z7VxGR8M%r*G1-o%7Xw)-SpS&sg&9!br5aKl!2@QoSra%|olT&etqyACSY3ySRZ2|6 zp~WKW6M!J>IT6<VUsMcs4|b8A1_(}!e*y)JZY0ZH#O?nImf&@=Yu`N8YIv<W-9@A% zQ*k+937+1s{u*h2*Q({s2(rPJ(bftYgV?mCN{~s$TUyDaju;5U*?^p`t9yeGk3v#a zE2L-zCJh%;F#Nl%TAjj2sDW}|5oUBc{{g@SClp)=18_kSh708pS2kn{cvtu=Y=(JK z5M@)XZ`Gw1^n}H5`0RMf=tS6?k*kjo!sJD730?v&-TdB)2-m1Xh%(nB2U%(kX7W@` z01^-YNKgqwg7{$mr<m~#`kYDcq5yjscqQ-I^@SF&;q!K$e0XrpU>G4d*ljs}%^Bp) zM5Wjcg>OgeU8g|mO=ov@$y9aNfAJGYy``xMj!aXJ>s=>+g^3V5gug3f{F)Pp`D?}F zC=3Sh<stt|gkZ|n0do8eu>O%82cZ7}qCZwIPJwitNCZyTVKOj^O8<Yaa@0V9fwX!G zoFR(4DSrmFg<!l@GXo@=23Vp{mfs5&iT{KN$5#oVziC3{gTGNg!*>6lG~r#EjI}w@ zUyB}VFa8ZA1{HoUQ2YZ8%>01{oc~4x2Y;XeqrcDq1oCqFmA@B2;==6VH+ldFKo&t1 z!vS-G%0D>4&p+~h$3OD^AAjfl2Y+A!<3HRr|8V~fyF=*a4*(~C*Ji>P;wQia_$3i) zW-uGz`-2U{{>26W!f5`zk6;1h_C54Ig7uH=aOtKrhRsd~Jr%cOQ60=gubCUX5m~4% zCg;!G6;c>M2-*%p$fh2fLNxmg!sw}Z18-5F(N|)EX+O6>qiLL~I2wv<411ia7D6}l zJbduFaiQw(6?1?USa~Ne=}Btt07#%5mdJpD1UL-n-2XZX5-^3LAVCER5;&wz0Jwnb zfCJzHUQnz<^=ufJlSAHjJIVc>awAZlKOz@Bi=w=fOPX5udFMR4L4kmNY}10U;WfSV z=6$bZ>&qiAEWMK@)Fd_IA0*a9SN+^EbN{@|?$zE*k@L}kpfQ=#eMXUeZ76DA>%kaB zP{^Hpe-?^z;z%GTP%;ciURX|{WDEqf2GD}@DE$gZ2Usppu#&tzcbmt3%ftCb-{$mX zT}kyhpWOMIB(+}Pe!AZZs6d5oY#ROJZI}Zn0S=%DI6wo;0UXExK_Kq4DE|y&fC_xz zx~~HOLL~|yXaazs0RX}@00><h(0nb1zveE_1qXi=LYRdS!WcjZpY5N;;M$UO_w%?9 ztxtFNCsS0ucrVGWaK1F2X23bCdAuXqWZ)uk<vSbBk!V_w^gG-F1mO)J2$(2>aP|E} zs%k=JBT+NVDQ}kmfMCr-nLnceN|oi??<P{)US-N1-^Lh4G6jI!5R`YDb}h?RHMnOR z_!s}p7y1EThy+QR1Ugqgi^|oX%6=vMor30|5rPvMAvmMc;Uzy5C!hz}zR(4@Pq2_U z0Bs^fg8*hU2v9_U09Jem-8vbnbsIDZSVN<SH-Bf?=`tXRM5Lj}#{U^Y_-AVVud)1_ ztQ~@3$-k?~|J<=a|6ZK&5AyII9}D#RApM&U=D+B3f&RurLaz`vQzaWv<baLB8exx* zA_wTZ7#anjz-Isq2>vQSx6w5z@5}nrcew%1#ZElrtmwi4vrmxmHz`-h`d{p_1O{r2 z4nhywp|hy1zi(t8M?70fM<7pt2R-WnLQokwLL-DdG(zA)BLp!tLI_471WY189>kmk z8Da7O9@Ea_4(@9|r|zua1?kwM9o+!e3#~dlzTb641^`g;{p^(WX=;htkG-N+W$+5& zzt%5UEy0ig5;O&rpdN4ldKe3|2LZfr7T|?S6kcEfctHck3$ZX>_y+Jo1&kMT;K(S( zi-ZSQZ-7KCCnkaAQ5ukjRLuCrp4EdU)m|TwZyyLco)@W&HmLs6$$PtCow3}<o?F_I zK|l1HBNo5~5Lmfq7Ot<z%zG51U~=$Tr9Y<dt)0TXodDO2BTGOWOgaH@NCm)QVmT<z z*Jn89SKb}P#`uqs3N7P7as3UzV;FdpyRypRvt^V}xMl1U48#;(%4a!uPn79xUm;8T zKt*H_&m+39t|ojAo+orRCx9iG!BBxIj2zOwLoVKZ1Xw~NJ%9>wl%Nf6w~XtnejQlC zBy(DnC(&c{!m@C66wfkr5bHC|r#GSXST9`gERp)5UmeL4Q{}?W(BMDHCJ1kFqy#GB zOd_nJS{%;<6?2A$0I1j&#|uEcIFkq~WQ!vWP_NF=oP~f)Y;mLm^7Wa-vp}V^I5Gg0 zc7}!!sC4k3a2B<~=`raD>;$^fz#8GY2)h8VI?7H6tzMv&FuxpXMR2$S%m+~a<tprY z9n1&ND}vul!F&L{BKW(Dsod`s!5`%2t^ojnUJ|UoMF#)`dPy+%H4*?I&`YGKYH+WS z4f>?=m0~MZOLJGk7AX6#CBZ{TLVW~3MgdY%9{`142S&&VeS{pAh+bU;lFa}R1vjV% zsbzo=!Q2&bM?N(GKw)Kjb=XC*fYcfMH>R25Jb!lF^_anK7Cw(~+0j~}7LqSGSW#c% z2|d3rXH5Ik|EDjZgMP1}`|nyp2kE0}z<<{gItWDrHc{2{|BfYejK9~={U0u&!!G;( zOX&VzUP1>wbOldgTN@oTZZUE^EUcPzqp101{uFu97?F%+Y&2q0R&5L;oR?(|_h5t* zZC|ljXj`%AhwQgRXke1K?;_c(5IztVo=aU_d8M!2n23YPm~q=R^W(`8uJFEJTL&K_ zhvN%fyJGVO+cz?NPRpwgZ)aH?u-!(w?Lf3ryFF3R$zBiN>9HMj`fI&xV4$qicXN|Z z`tfNKL&|XzpY-v}l=L_0vszz=sI-#r{Jb64HX?m}zF@yV!_#ex{*}(;Zi@lr6P0v- z<(%}`37v+D$9yLl()VNHq@L!<(H!OLL_*)|ol{(1$rW#)-3A|elkc0~D37b6zPEqp zx;7wo^weRJt5W&y_deptx+Z1(g1O5Nuj^G^_1&HhP1k$0QnE)$H_3I)B0u}oa?f3q zV}g=SK(7}fKkADeqpx}6@}aq44ic_?GyIKmdyM^*nC((E`aL7GJ?*YoFn2Ue<O?3| zOF4Q!e{O8_ncKhK8PnFh*(~tbqkMeM<oo$oTnFwV>F3ueAIDU@sjIy3xV*~e#KXI| z4)Qr(>FYaAT=zPdYU$fbu^;GWknp_Jyy`sQXfoCkI#V1EnNL=PoX+Zv`KF&9*l<>~ zoQ$`s9^vPH!X!TJET~<+aoVTzny%s`d}@5##K*1rBs_3#TROeq^tqtRF;dqJ{Ecs? z=*;AwJ}0O>IXyr^hh<H5JEK#1dWX&7tF?zYqi32Xi1lj%Mi6-<W!|Q)Tdg7u6PSba zg98q{$5T)<!^yVhsc-3vP%X)<wgDtVZAPbl)w$b>DL!oM7uQIS*@p}y6OMd}uN_P? zI8hLJWcX4nSJSKeelwtZywNH46h}=#@w@Q0^+r#}!dxsga#P4u_}Ww9-t<-y$-H#U zlbozHj4I2h=k|-O(|Rd=-`P%AdRqL5N)%h&wk^QV`*gukShg1Rs~d`~#=WtvZoR;1 zx6jU^K59#`RjPn3_H_<u$l=3}7C)1vsOOJwYW92C=MziU3|pG#@y8UK$X|~v$75x= z#Jj=OqO{mhaqVb}H;E{}@kWO)Hl59}s9tEw&zRzdTYD`(3K&_fKEy~=h+75b@joxV z16`^(+6je_S{rZYM<A<<CTfu5Ma*|~;t>UmV=25`E5~L>C%rCt;$e%cH|fY<*79=Q zXyErn9$e+uE7otux?I%ube;d%SRTO@lbRO|jL_94It`LQJ;wpe@jk=pe(C8?1vXXd z;8o{gLVwvI20{6E60IYTpAjNnl)VeTASoG)vTcl~3^i5jrTGDOc-nE<O^u{v*3Df- zq}a3ly~b|jNX)x7Z#Hc`=2sh&Z`zXVZC+{#^ZMRAfB2l7@>$Prm*``XtAgSxSBZO> zbGKz4lMwapYAF_{>rN^0ytxzAyW6GonB?PC*rAU(_k|=w_8C_y&J&EU;p-2LA<Djm zn?L;tJfhijkD6l7Po-CL=JuIaV^Xe>YG&`@vhjUs_PC{+r|5ypIgXL6wH~Er{48oM zH~V(fDzR>TlX%)>&Bo`wz5J)P+jA>2*b?RBoW|TH&!Sdxv-_i#EiQanLd-vQi+kW> zr-wszfPI--iKJx8^mE7u-@9z4FU=vwny$z|O4Tn1H(&diCHQYCh!fvly0F;%2odrM zr<-P3RR4o*iS*lIM3V*Pe2g3IyFuZhlwJdWvs(Wx72wNFYZdcQVqbHST0hu1iBeqg zkX)RL|JyYJxA5v{1=|MZRgaC7p(}+1>!Mu3Q9Eqz5`(d)(~<tSUN(Dv@GOC(Ye3WA z(oIuZ&MIe6aSKGTo#NAD=aEKj-dneZ!mK_AhbacTC!P0JJPefJ;{7JhSu$0vFFrIj zWAM;y=%yLn?C1XPClZ}c&lX1#%!~5HNABo(-M;(sk*+A{z@<dGzj(4SDP2yiBm71B zaeFf5`Ak~MFAt6FXqPp2J@O&8(|P)tT+&GO4^Aa@<G?p4y-`H?W9`FT?xW9#$D^OH zPvhOrAHQ@9Jx*|QH{`did+DoDl_zHSoy69Ckk1xZz<0#=%5lc+>r@`IbUS%N{?8aH zOw^?FZpC2#@(evLa$}roUOC^R`QVm?G%Za{l(g2=Zm1KM^vRjX33qJBd~b7~`Xp_k zI(TZYJ~U$59h+r;b6+WcD*VQ#KM76eD#4e{+0FI4&F3TLF&Tt&$0(mIbk3$H%y@h6 zDH5}IRZ(|`2Ie&Q?2R~6GY}`y)+@4$2oPKvPjGZ~z`p10H6A#7U+f<COs~G=Q|FMh zB>E}#ulJk?zUG*DQq0iGLt>IiH{(8wQpD-7tg(N^kr$J620D;dR&1Memi_CQG{P)~ zfi(7TE0F-_g^Ko@aXcZ;2l#YPi#+bKuxHWt(O&;y#-7FS6}Yeo0hjr2H{;Gzf1RIo zV*fgs_AE8Yi_wK*#)WWZD_w-*{yOaqEe**)v8Nl@pcxyQr%=&|6*D)R$Sd05nD@+h z?FEJ71$swE&!gV&%O5Ayjoj?E?#`>VBapRTKpxKsKfe)cymy}sPfn+9gKsxdC}C@e zZ&><Fg8K1f-3UMMZL3?_m$6<LIGy2*xe+UT=Et0WS1(unkFOXSD`&+t0uAaje<I=! zWiP4^VOpr-%0W7Oh&FY`h|BL0MNyb(Iqv>59VBUD70XlX5q3X8OGc&@qv_u>jAY#G z7=^ZZ3rD8DTX*v^9tx2rc%2tDsi<$Yr)#$1Kkp&;kW8)E**`W>EV9RxcP;1*>r2ZS z1Y4OCZC1nGas1Cp{Ow<{H5^7nH3BQ@$)84>CcHSCGy>&6Ou}*TXQN<f5aK#7TA@58 zO7sh%$$l-s<~FaB^MfxBFMh*L*0Kxg=H9iyYi}jGplD-Zf-R@BifDUH9dUUXQIv>) zhYcDRwmClt_xzk0?m4mKtQ|Zox^wWb)Q7NzU!Ijn^T5kAsHg{FG;p(5u%MoIcTHp6 z{862f!<`l?SJlHK>cxRaG?-yz3Xhi{03NShH*X=lGq+^e+Y6ojnZV=b;lUpJgE)TR zSr2U6Qt*z3l$<4m`)k34`-^t-#{f<0?$m=Osk?dkO?kBn5KSuBAy5ec;Y)(v#QH)3 ze<phbrX^yVng5-FsZw~oUzkh{E+H2QbvHe~DZN$!Mw1F=NC^(%OPpTAdbj%+!zI{u zSI%Z5{gzb_r8fA3_sFzX&kVo7wCf=*#HLH7w|skMxCCK$1)KefpY+N8Ap-++)W#Q8 zH+TE+wcWSZ3`zPLj^CiyP`*77q7_%%En=l>*ZY<i6A#@x-LgWvAKgje8{=f6U%jul zy6Em6dYU38X#ZdZ@vC<&+*E_)tq#A{C4PYuD*mhx6D$`m_SXSoeEu=(Wqx8;kC<Qf z$j?)J%fz}t=!4;+Qjhs^+2)lLwqRWS6MsHa4SWwQmphr74R(;K>8JYh{8?BM0b)Eo zS*C86`0tIMeW`<>Z%B%t*Wu?=`KMiq&;J~zNqrL88s4uGwUmJUH&~hW{YG6$BkyP? z)`%I!A9H#1Q$=_AvFQ#cP3Nrpm72M?#`62K#f<=Y)4v!#>56F9*94qyn`7GdKr7GL zCNMSGYsFf1Sg`DeflR~F%&rG=3d?>9NHc6r_9h_HvF#UuWWv$R{tTo!j{PPai=)Zj z0>|RoAHuP?n%Q6BSX_I|)qvS)oS#oZcQJn)8#WYg1jZVAu+aY`u{d?a?)*xk;V>ED z;Q>iU)74W-a7l|+M2JjI9LwyS(OY|WG(LUfr{668wiuhI?NO@zjL`<`(KtTkHMIPN zmREnvS+u<MTh5`S>u))amM?zG1*5g3kzVit_%ezIaCtzteVv>Q9X~Z4`Y++<j~nba zv_{mNBU!~Q;wlfYn!#l&+qof8&CpUiPLTY9A!%fUW=$f^a+Xyyf}v!&Mm0HzK_bl- zEs1K;(g7vy;D*EDh8r@!kPKZOyQJAjlB`wb@;nPj;oq_bLhBo2*qyBrwWy9&e|LO< z>R4(5-SHB7$iZA5aKlyT5mTZ^OjL)_NxtBrvC+FHJG@ynt-RMj&gqGkJ7{V9TkfM} z?r(Vnq4mOVefm4t3muH89v7>?7DXKw67+H59Y-Bexx1(%O5=|@qURr?j_3+@q=$!e zbi^n4fDT?h@*4=WkD#~H^D0!I!*IkMz9>GJzhs(Nh-pk*deynmsI@^DV#2Mav3oFL zTIC#Q-$>+fb+A9X!R;;1aL~my%fk0p0!P0Ry5S3se>T-)!Kr#dy+n4e-n$|H%UL%r zzQOy|e$6@G14dQOy5aMTzc<}|#I@IaYPAz0Kc3=ywAq~EyT58xCPFfBXjQkrk4&jO zorvl@nSg$-IOgZCt~l&;VnK&9CeX=h3ben+0D12;nVd{bhUYOr2YZ`sb;+m8KNwE7 zrWj6++ft-XAimSe;bp1ekEi>?#nQ)n%kF%q2S>%rW68&Drw1vH1!F&#AS?fqwJCRo z)14(Js+H5j&d}4%zP#gKB96XC1>moTZ|r1!O8R8^hx^#c#&Q$1J9fMQ8K1ZoADu5v zY`03>kp{!)X?Cm|h7Q{l5A{wKvsR&f(Zf2D(}l%EtFhCyw&s+R<zZ>s$WlSq{biEV z6OWxTu-(I5G<fXsVGmQQ$k^SQnu^%y(}QF8F^24pjv1njek64Kiv-$RU+=UE=O#WK zF!7bjI4FUj<^3?oe(LnY?3%RO=`rMUWV7G7wYHoxu+~;?WtH-}_0%q9jig$t?wahw zg*c|uq0sPS$^A}A=;X)dj<n|9?27U0y=JM?Eoryf#|L%WeY;S#)Ox{d@L1p3j%aB0 zcyxJD=k({o-AZuf)LND~{**XeX+GWCIb<+C+1`P&7*5yLXHy`Fk)YH4PzI8eA9FHB zHS5d3Wj*VZer&s1otp3Vs@LP5v9$9=HB*yNo$=k$<|hB<0*4gHwoz2vIsWduGOEQT zoeyraO4(|6PB-dK%&t7{w4BXLJl>wt8(7WXJ-=Jv_>=DPA)jMr>p`d23CZd4&8+$J zQKwMFvEAWPN@Vrg9x_GxWNR;!0op5DJq4=vc;*m@tU9Tx8ZVEdwSyh-?1<81^_P6R z1FH;UzQ>2io{~e&JP{+~>ci!!;G?p<M3U7N6CB4MV-nxS9+n!_%`E(CpXBKbEUWh1 zXBItJ`!(b6c<<yPw9V;tIuSg~(p&%;6Q@8s%t|Y3L!U>dh6h%S9f;-mypG$#Ly=9J zb05P+_yW^62HaL0iIwUe`?wzenskrqG@+NOIh@2_mGIsW?<853IFt|-%X4=a635(V zOAJ5edK_y}9+uy>B>8+eXIJTV;^=IOPt-Jdt)c)x2EPtQ^>$69Yae?r^(I5FecSfu z%j`62W*%{735vaL$&twaIdb=SN0;*;ll;eAq6TY*<F%Mr<E-KEyfI@RS9{0Do^Csz zt@zv;j53kmY7WC^Qy#A$wX}P$jQQv*ONlc?j_@b;Rdoudyp|pddWB_^!hqB4MpNhg zdMCV7N?QEv7>&i_lOH=07u}b0p+k3uqMyX_Yb)!-Pqf22c0B}5S54kRlgC_s>8oW` z_aPVW%@_F&_Ea98rQ*&HUNbpLDgLbXJV=a*i+L6kl#=XNrx;x(Ew<<z%IJ7%v7&c4 zHacoj?O9#(v#$2|z*N#PA@WIt=!J^%W73)j2Lic{-mcr%yoO&9IvA>7kB6FelZMs3 zcXv2_j`v$U-1jBC<fU=lkya^QfE`Szny($~t+t&{pW9BZzjDT%H=p-sup><qtucw% z$&bsWO^Zy;dk0N<qU!E5E2~XC6(6~Y`J~S)<Lltx`zR9XN$0(}Pd?@olJWiLQLoTY zVoKbX<-X7MTeX|(Z$`&ziE$x0s0mqSV)Ti0)BV${&Yz@~ReOrU-^C9YEBAkS)j`J# z2W#yUE88zRu+!!5E{)OG;z}8hu97fR`)nU12HslaDsUV*OXEGkpR%XdvHc>i=@a8A z7hh+Gt@wL$t$iqbVEL?7)aZ%(KJjsP?&_YC`|)7idJXNs@sEb${e~0h#no#b{nv9D zs>>^l7>*9s*2hiP)(adv(*qONO*MTUvu$l1s)~!}y*4{e(<rKRyw(w;S8E((SnJF^ z<i9e<mDtDSjL6>+aSwHrIvg;0>{`R1*@)bABoXOcY&yR%%V$zkTU8F0_k68$cH4Tf znO<NQS?#<zaWW|V$YXvGs)PTPcJ*jIH7wGQkbYJ`Qb7Dah<od}D!y-T91a2^EeHw% z0!m0XNJ&Wuf=YvQcf+9uL|Pi88>CBG8l*+K58d4z=b3|_@4feX@BRH=ujjAlkG=Pr zwbu@2-g~|Gni<Z_Y3`NaZr1FeC~EQZA5J;2A?~LM2-SN!4phy|mvqu<3BX5!6W80v zug=1>Q|5#k8=#IJ%@f+%Gh3L|S6g*kSEud^7fU=m*1NbbFFvGd3G#nkuvXrC#eZ8| z>#o@p#W5;5za0~-G6#Gu38ghZ%@=C(tcTC+Q1+`_87CSaj$BTjPq^NAZxs~8mNbI? z9=I9q+#4&=@~kQ6DB}a1E^b&__-E^}8VB8PE(=O1_CV*~=zg{&4?oyRO}SaU5C$5t zZ!g+_I>7npaOcM6boyv#cV}{U^7b<IinAV1`hq3l_rfQ@-@p@R*8Zk%tDx=iPhQoV zt*bdQnpGC3{@ISbhPsAE&l8u6%j6`w3w`&xnb<AonLQS*CO7wqrpDNk`*WI2fyIH1 zrhv!6=0Sl|9l6`vy2@gJxKYgGX!myY)W##zoT0pJ%lT~l%Gv+X7U&OSmlCG}>mvq_ zPb`}pVdj8ws>{wbL5XE(y%X={>VE4L?l?v9w30j1=j%h8bDn<qfi@1yjgh_8xW+8= zUQ7<3|Izv7X87&S?MdH46a3GgJh^G2^N)K^Q+vBLPV(Is`-o0w+Urz~Mm?p6wQkIS ztMg5Jcu(Ig0R?|OUFuKXIdbUA=I%v=%I<O`IRIR2?rwK6oVLg}y4Og+dT$xp?CeZS zs^Bgjj{}A>_a}{01vxORJ2lqF_ZnU8Ra5pdCU&q33NPQ5SYN(90_@$pZztFK8^eL~ z-xWDcE4^=_XfDe~ml+bJfl_K6;O}ci2vmRwbi25QdtPkzo(f<7*>WB~!<^K-Ww`ut z3#_?VIYlnj2F5f#ht|iiNDSsUu4<<|h8pUY4)zLdF1FKc&J@U}bp;<b`LPKQe?4Fe zsUO&_Q)$WB_C4@%(*9Z^&}Hz*V_BrQ$n)2`vGbmt5CujK_^-)u;@Iw5;_rko%q>7F zW@%kGo27bsvkgQoU7szDHOm$dI-goi5G5dlYRy81;aQ_E9HHW-Bm}LFO-+N)0<?z~ zB^?w3g;nU-yqD4*YC^7_7gJ%G8|@1pSv+s^i2I#VT^$Lo3wBMvT;&QpP;D}+)^e-7 z>0IcD!X~}hr+qiO19uA_SYo;I7jG#Te7c-UhFbE5!p-BBzVS5w)*q)(F0q08D0Zcy zn7HwDC<53gfL5u5z4?kir5u!r4@?*MkDN3y9(*gIU)+9Fn-(0VCI!u)<MF)8b9A>n z6aEA5)Vqi`<OE#zw|AOuC!<{|ACp0~-K5wWw)W-cc1pCgw&#TEJdWPX4JFy0d}|xr zVA@^CYFM$6yW+1eyk~tN|IDZQ+&{cU;~kuT7sFsbl;wuHntD$yX&%e~fgi69Q`*G} z<^>CaJ(mUF3ys6iV#9=Qz`e;UH$sz>@52$-)J^AdgZheYIWVX(-0h-qJvC&OI9c(m z@x*>uzJ3n_zI$nEx-Y~tgT7}Yj5@(j<kKx|S$W3T(K3@Qr&7&h&AePN*c&W-eRu<S zx}UCg!V`A=Kko6#y5#_~RQ-yU0*q_bx1Zq;2ekJa2ec<@h?m{o!FL@1)%TqWyS~!} z)GPupa_GY5<@dD}Vf*_;O+SPWd)gM>ZfsS*A2aU!@r}5(aK)F^9J(Miw!@)obtsc` zq89DwkdVpoG#PeY@0Y_VkSO`izyT+7m^iuiJj-{DK|pCxEz%!AS81wqwfCUiOC3^w z+Qe&jz1bH*Yy@S2dv67w`$8{!<-0KZZ=D=^$HsBPv?+vaj#gra-!RR5IXR!{Ol^WM z;fA&B7OsW$3ttJtPi8s+ev;FC!6H}3o0WEdp0l0!%LVwXu&4dO8z(gQ;XxP$;93Pk ztv}xfe~MDC=@yt@-Ih+hhEKaquU3UHnIB(WKTr}9h#3)Fq7IKt%6jurA|kqv?ZwbD zLz+&m*cE*Sz64%n@=0i2-t}4V?ySqSN%({t7qWqj{a!aU%6dp8NnM?a^WF@sOJ<c6 zduz>!-kRICHw1cMQrhJ5<&nHw|BhMmeg5Gst&ndan~VUumpZSqUf7qF!%qojwdFVM z?j_S2zqd(qgXrhD2Og1{1wAP#AtK#nP@a{cuirBOx)+6l3k_@u-YEa55gRUC-gXse zikG!SeKEYhHR^Qj{>pPO_r2zv=44T-0rO*8xY}%?3bZ!oWaX=;ZO`H2_kn6p*YgV} z$&&bQL5kS`+RBHy1}MBtx-7j=Rft;29a8-uvVL!9*_JaGuIIh8vTaxPsqdDJ$%$2) zn{k_4)#AQ<nad|r4zJont8t^l-&iJF8!ipu&$R!18@||f?O`xSH;Ym$Pk2FgR&brk zxYWLEWO1tM<PmRvX1mQ<ir=9ZD5co?!(37CFw&j^7*qZUO{jW$)ahI^`lad~si1`p z%hKeig`k^~m*>w+DH1Xl!Ji34>Rrxrse*#j2b4iq?NGLA+xpD7qd1GL&ur80O*bUV z3S2{kf)1>PleJiiRfZ4bVA~(RK?HuiNZ?bY=M>k}o2U{0?sWK&e?+%T+`BX7VSKCU z*Ovr5t-!<Xfw8n-R4IaQFJs=W_6sY$xMj(i{up*#;y7!)IzDG%DeTObNSw4`alWD= zU#>fJD|{-P+SK3yzs>EIZ4<oQI7_t(voPznknIqHuk4<V`_cT)91|bv?=voN>}Z8l zs<BBvVN2|EF^CQ4&QuxJCup!czNoA7pRT6CSOMrRjHyt*JYwSw{3C;6a7*l_J#u)3 zwo}iN`swSU!3XX{P9S^hA<L&VRayGWo!y%m|GAX<2Kd3&Hoxc1YIPlWx963sT~gCw zfEK-zHb#NmRUwQ4w|leow_%fIRJ7X?C0~1yy`8nd^PE2SiiezfNs%54B2Ox3lW;~8 zgaF`Ze}DCik*8?_pXQ!01N_R7(8Dt9b7|*uuIKPJ+jnQ<z)Vxq&00SNe6G$J03#z) zyW2hBCS2$CH!RQa@~ub-UY!vt5McMzdC&6-$msIY(f-c&^>bwh#o&nUF!433j!hV4 z4XU}nH$P+B%>z*lR;R}mw1yShq9&LfIDpS-#n)5%FI76*tS0?ECjHMUQ1$&qu0SQ> zUsLUGgDI~Oraq?y>{FC~Ei+qR8_wfAtC28AZ|z0r#M)~?uLuY+nebAsAbMbZJ1%5f zp}S~uL>DSUA$erU&EF)qf$D)L`LJdtm>Oehw>{#X<=LXt=PI|@$r1=Q;KUO8+~n$6 zsJP38m*0Zmxz`xFZ3QXV54r8Hios0ImjFq7?BtEE%&A-2*XJhu#BUy!CT~$;O#Cs7 zxM#7JF9oTxfBzhLx|Sx=Ye(~;bo1$J{J{A`T`V8Bb=^Ynw~KjT*Cm2>CWP9sUj>d( zmOd?4x%_p&hpts9Y;j8gAmjExAD4&|HielA>v+G7w;B8)8P5=ggUS~Te$BdM-A8z* zg~5iFv`W*C)_&!rxi;#8-oL4a-`l5r^C<46#pQ$DcX3x+FzGL`axm7jaaq{uqUG*w z;wI7#v*2lt6pD@G#4%Ytst$R!8L}HPre;3->*>4xM^cbWj)5Sd^I`IOh;u&Hz-iAC z5_auy3Ub!*e{>2yPjaQ0UEQT%Swc;GoyZWj8&=a)gqWE3Rqwvj-tE;sw=-O>{bnad z@=Ovor>r}ya@>4l=u+nl_i#z911v(j7|(83d$uS8Hx}R!F2P>{GNTX+CT<T@Yh<zC zK(?aXYqU5nZ;>mvUeRU?H|W6a?GHTGX+83arcTCtzpLWWclJ0ik;{u#L6bhvqz*Lk zEC)^OK$A7bdzzC@Xq6vZU@gz=E75VBn7%r>UqQXFo%3&u=?%E&B__O7IGtI$EV_bA zDN9Utb;2jph;@Cm2Ehj9x&TY7UqeQ%kBAY9_7`k-343&(-+qnvNiTy|anRziJ@L8E zAN7$vIP?ku#Y<4cVxQw}dDDbwvf4{Fv3}RL2z6la7>*yW39s$oR0|$@2tBhp|Kr6p z?{*NSVoy1L)|l+*1o-$pCN3hwvK`=E%BU2hBtMj<_#$*ybCK&$X{9?riiZ7|P94*q z7j8b6anYgra_<QUh2T{_tQh%8b&Ja&A;yGWq%)mCO|%;H;8pf!!Pu)j2nn)QtVllO zacsyB@YhbzYKenaMZ4TDkVtPF5R!)~C$Z>mOGYi8EiO@?Z-85=39~rNN5Y<Rk(@CB z6CcrCz+HF05T;bCndE*oz9J>K!K+tzYru{g=Ug1c@Jq5!M$7`t@oTJ{<c17o8>FtK zddzLpH=k><tk1Sq*z0FlVnIAK0qO{?9ztt=r;X{|Kh@1fjDWc!`b$uimY8a{g&i>& z<!;1eq!5$gvO`QJ0x=oiJJV%$a55C&WH3=D;&Rl<5sfx$@NCb5mqeRqN<uJ^otrqB z@4;?*YVd5%Fz=MX2qiBgGYZT{$(B+_XiV1&S9s(^N6wvM9$bQN7c*T1NQ8}z+os-@ z_**H#3dP~0#O>+0S+;cfZQo<V3c#~><AoaHB-CJ(<0D@}LCQFy6GdWi=_kagf&nAv zR7PZ@Gd8H$Co6hNR=M52oqi9vMzYWn8`E*Il_1sVe=|oO*ebT;$C#GgA$@%bl7fP^ z?|bVa_KzUDjV%w5o#zbBAVi$%%szd-ooJX`6Ei(I$Nvlg{FgCedUfm<%F;!#9jg!N z8~*zM9oUZL>Yc>dNTvuQ2=?fh&M=R|oPwbZzjZEqO)}4jhYeJW>1*85MX=sh;-_&Q zp+DW2$4fJ36tng4%blyMYT@YjKb>E|3s$tO+GD;?2FO2^2PC7ceLhTmIy>(0iJYo< z*VAwip2e&cvRW=3oCL$fm8MS#Q{EzaGI>T8tuQ^;)vzBPt!^#jZzf%-w?%cKhng32 zedH(}Z}Ogxz>@f=@g)<h-h1jwW~bW}=WZclb(4xk??JQ>#gTPJ4At*HO6ep*dLq5B zNz!vM6r#aWF*z6G9YToD#gIjmF}WBrh%zD<Ln_*<XgN{DL}|l_s>c0s+dR4J<E40` z3D)m2oYUAmaPu&dpk6aNPerkI+{|<4gFky8e$1h8Jr{|cs)UdOYi6LQMmLkX7mKU^ z7$%0RFQ_0^x3CKAycZ)raHwP~!imQ$k;c1W84#O@eeeEQ!&`2y85Mt3ibQw{y}FFH z9Tv6iD=9zZ7FpE%-FkgC>a@jjSDI$6a9Mg)bY{a8kkH<o-{;iu2b(45`LqoixJ=3x z{VffOd;o-^PFO(Bulnl0iIcFuW(>pC%&XSyzbpc_7WX+R^~h2DvF(1UC1J7Q`n37+ zjV_pq{<28Bt6L<0nnDAvo3`OE0d6)f3E*nNktd)s64N5~&*{cHTR^mL6!!{&<|9l{ zteXKc&)-`uU>D6IJd29{IT*<3GhaWFrO@1a>LB*c5$wWdK<;h~&|vi+gCv9jxSYT~ zye?Gzk%g`m#=(PA<UjD2=-HCf@3{s%jY*!;(x8!&4KkP)G<&JEXA`ShP8qLgL8X+5 zWA1$xi@Mu?m;pm0>3n3bOkYk}xv>99r=KJykAA<^x1ms!XT&n#@TJ{G?riU;OJDNx z)z3%w|2$b)%H7y-?g)+6YIcSUVl1UEpd~Z)&<2ZEh~xwv#%JJJ4nQ;96X?WA9U|#c zsUHlYB~$j$1|X{a4=2;X>e_U7GdgjN$xEO4mrl1VS^whqj_R9IWVy1fYU2OD%|dK< z354wb!RFvF$K;kv0{;|BsO`TAly30XFt=VyFTJ}0ncJ-KaTA?ebnW4~LbzBwNd5of zZuP#~_veO5$30ufD>t_%GZ;^klu|ntK`OR!ZiQ*Dy`!qPSoEuHGFq%6wzfZ;Q$#m$ z-Y656An`)Drg<i$BRVC_2~5@b4>8D7T2KeNuJltZmuwZ_xFz*;{!z_GL$4|oa&(if z<WsC?+5g$;4DP@k{kuG2nYcHl33UK|-B>>2_K?7ZY5P^Xoz3}I*Irq_+_f$d_$j=2 zTlFBZF#~;<rER+5YOie?cC$2S@G#a5u+QFA{n2EAVsfL&J}eRs65WGYh`Ml`EPw@W z494VX2vCfsdy6RKXwYCMlLmUtpD5lT#Em;qo(AoARg#8qsw`H`j~y(toX-eqHp6|o zt^RoD{M7zRGP;|x9J%C%qh~pMGJO1&($KCeIrRgLKkS0-PW*@vML^MF-yELB&bGW8 z_A*Lrm6R5kSkK`tkj05-an{L9T`XniTTYAk6*Szl401UELnuQOH4e$gLf#VDP+k+A zvc+b0zQwe`_8@{@gu!EmP)3A-aUpNH?AMwjF;M#t(QjQ({6GshYC2^~u>P8Tm=aNY zSYwjXrf?Bm)DCW~+A?afY(TP(y`K*uLl;!#j78pUk7dk<FadaW6hwW7;cjIn%wK-T z;+77|SA!DFAEfi;f2VT<*@ATb-<V(Q&QwomQ#U~4@$qG;Nh7Z9?RbjBQOLz;_|Tx? zYU@%Y6i007ebqyd)zBGM5zHQkV0JA8u>*DpW-qv7_HPKSGD7<SR8lDSAn05HLFbNl zbRLDE^O(E6#WG?t)QHJEykq_@gz0N$a55*hprcYiII0y}a1T%ziir=BID*-y5X}De zj@iE=n4J}&1b!m4y^G-1NA(dgWL2LG?R;PC6YkirGtenbl|L5>>dZW_cj7K=ICfoE zZts&h*7kV+^zt={f5t0|OPt1+p_OVOV$#S*Cy@K4Z(d0wbL@NpH4am_ssko#!{$z} z&W|t4T12tA^IAYRFJ>Rpyd$EI$Ue?ss|)}N+RVx;ehHc`7P$&ya%QC)q?ZE<FKpcY z$(-9PXBBvqo@_G<aw}(*{RGDhCFfM3oZlra1Sc-%#G)gTxxzjHwl7uinJIFY`JZDb z)M9ZHlasz2QE+BR9s2kF0dN4A0#AXX`}+sscUA)mme|}LVrSS&Xw^r4FMXz=Vl(Km zJR_|Ed?`(IRgII59!pC}^{<6zJ>Uy{S0!_eO~94dlWaGY!zT6J6=hA+gpl)J2M(MU z+;rJ9j`G$5ba`@HSuj#u>CMGa6QRV4u5JX)FJ72bT15a69&;iXl{X=X%|PDCcZb|> ziVRiEku1vL>fOTHlF@-gF&u+VY<mSm5NaZ>4_kU`d(hZz`*hzo4CIe32c#rI{zQ5i zzQhdvFp|rNF_DHJlz=1wmV<!_^WtC`5tz`7DBlMrq#;WDzyvR_lxckOMLEWbhVFB( zKO5F=x<6ZrsUNm}zIgeJ4Y-VQ(`yR-7A3h9uUUik9`0Q;zle1zg0N`{9<z?2a8;06 zf#DpN1HQaU@6cv=?S8_&K{!P4i^r38|FTCD$Jm;9x}jMInI1NzZXbRNc5AsDKX0I& zd;sqJb_Go-V5=zxc7J42446lfQ%+TmvvF|b%N-|THLD=J$n3E(RS-2`9}Tw9S&v|4 zkWZ=q;nO}|1ZRVMy8I8HM*l;&`u{RO5H1L1UIDrLabb>+=i6`{!2?Thzsgcg)tC|4 z*;p8pY-*FFs$V+EfE!!&K}RX1Qkb_uOk#SiFdxd`Pve;TD<<h9@WOUwAQ~sS_JhvI zrOGSCO_@J=H>(c0W4}%zm?6D8FUv7+KdszxYd}b5pZ}ofUYT)f)iGKlK|n}GANt^` z4Qbs&RJu~ku;P0)Lyb)EA=01D*&a5Db&>c^FI3oxH>n73Bma!32Z$~lZAbKG^VnFM zF^P)=R~O)+GR?*wR6|J79=sn|++mJ=SOZ}s4XG&yD;9S}2}c4hNRYW#F%S|z=6eO| zShX5(KgKm*EJ|46h0vh<YZ)6;KIlV6gd7j6{eQ>YUR;h8`GHT~Vzse4UByDEcZ9aT z8>E(e@ey3`ZecI_a`KrZ?>Tbscr#@wNjxa1pgt=l2?T{>{IMg`<w2{`kUBxP1jUWi zuo?1!ogJak41=aCD|txOkri;4|7T{+qdL2@LN>h+=4-t7s;g|@*I981Sy!gcJZ08J z;X~q>bS)2g<cP$Ju7l>gop2rr%tJ@UA9rVV-)jMd<HsI9U3yjGP`dhuA_uZ|VjkPW z!3T#i%oqAp&Ifx1pW8>g-n4uGxe;BZERJd*7nlG;4RS8+uiDXMJ(|#C+Fz-%;5wF| zfSKZIdGiz*!Q;L)bZbHd)99v~>Unet7?OG7!C2(Mu3X&NRy`Q)_x)ho8~^>|42<?~ z5;xaDk8}Fn3tk6q3vgzUSp7e6c{P9|C_PCABXdCX+O*$9#r0p^E4=}X-BxTJ-qf4+ zufKOiJ)`-3<v9Dib^2z#9@pA_FD&-pvs`jtjN~4A{TvusfFb=A7}B5Jf+4*d{QKg~ zX;0=E%B;0{=>~)A{0+zvZg8Rcz6N1(IU3vAJsy?KApjn9J125lQt<10$vk$N&#^Y8 z&KTrX7yJ=q^*xd*5M4uq_4<Cz6_yU@96x&bde!wK6G3)`FjViyp$0mKqcYxu`E%;f z8!(?Xw-oyh7UKpsf9SGk-l8H<eGrcg{gefHOL?@<V=?e?1(eR7JyCs7i#`e32uh)U z6E*VDCcbC}qhG1}-$YjvX+S3LK}ZE)$etsE@l9LQD3gNwJkRcPcEIGke~3qZmJa>m zZaH{ZCy)7!YFCIwHK^w5mkzxi_pWYR%1aJaFoex(`S8nqqz$i{b^YWSp9{<4b%}@h zDye>6y}m)QKykb=t0FTZI%c?x)u@AzJQP&r0I9nr0YT?uzYV?I%3}TNAXEqzAN%ba zepPCO;BGGaC(Bxe@tBlHqbL@~!$qKUrrW1^zZTtm6+xUF2;xjY5GU9OBu=BdkQoub z7smJEAxs0}!dxFO5}`E+6~^z0CBZ9=``q<IR~eb(r!m7AR-a&nh)sf2<VVhUN9DjB zm;ZlK`56Pq?;fgjh+$tLhW&OIsmmaSl|WE?;a#L2h@f_cJ8FN1Fukn7vZeUe=^JqI z3S94b%An*{$_qC7A5;$9arr+~j)>=j5O+1+YyPJbRDC#y4&DXn4?3qK59{ckj3_-F z+Dg|T<Y5>D4!CUBuS~83^TFNmc?R#80oUzw)Zej~&zebAKp~3&)bxNi(?k-J<x3_b z=7+aBPlGDPvml5ty$BJe1D1%K$&p7>gx{l9HD=n>ZlNrhYKJoq5!#9IBk2BbHiKOa z<)2aHDy=)7Q1-6cM2zV=pbnzdx&)kf^#r)aEWeo}BJ~EU9mnczM5KN<#(x=Ng#^L( zIa2&HOl}Ci=U4i-0c`na3das4=-H<bR+mm@4B)Qfr*#e(#aDlP0{b()AygAGy+M~E z*Yj4UynE~8xJ>`;VG-eU<5<OqkAR$ZGbw$IvxiCSMg!ypAZU_!=1DqsWl8QPoO?FC zea)Ore4KU`mA2FP-?QnED%-uzS52LbxSLl_Ky>8IZk-T#W77?h@guS;K`^Upn9(^q zb}c_&IkhVlxcqwS;<4)bGY7ax!3m~6J9D7eYlQEwHe8Y83d4_qZqLAq2Rj9ei7Un0 zn+y$KoSjwY!0%H)sEs_x$3(8K>YD@9I{i<EZbMmE^-{nu2cDjJdgC7*OWZGO!o>O- zmu;_;TqT@{@+Jws;=0>=aNW**F=tz5tOYCFsxx|LO8lpKZ*T5ahi!FF`u1b*R9au% znM`U!>YI^=A?se8z~YA4PoY^YiZ4BFJDnP9Tr1A)1&%JSWQjEUh$K^Az@Z*8{2z1N z&CTBTmX&;-C)~Qa37Ve)pC`>WU3er4oSmL7buNS19)<AC?fLQ6-mYhzhqLou<NS8w zhC!mD*@qmtIn@aC390#UbpK!dzgp?Jna@XCDGxX{Y=T07hn_n&QrEIlNjibmo-b@c zQ8`HmTI2Z%AsQs<nAUp6fTDmeVdDFXk5agSsfN)hI!6q^n+?i?BkC{jN;j1I@I}!L zoBieqZ0=hDSgVp)$vQqZefVOaa&3EpRnvZXK;%iO_xU~20Q1miujo*?331EPYgVN) zd;oSGp94J7ujZk22>nysvW1$}t_&Y`9h5^n(s1)oR#5HPg~I)O{Hdvf6xww_CM7q` z_)}X41vCLY0@5h+Pys|k8r(9r+SU76KJ4>u{u6PU_B2BxPxc)hEo6)No^PaKoxkD- zn<XWH%`9Zm`kxi^y+LU6fLercF~Zp10ntTTHaNCEyNjJ|U-w&o0|mZ748$OMcZ2xk zkd7zyA%-r4fLa{$zuHa;;=amuFZ2r?eY-(T4J?;sTyB~>)t;~ZU)A|wBRCM}I1!5H z2+Kc}A>H!_K#zny6C1BCI3nz>?L^#D-M>vH;;_g&@0xJa_;hmI^#?Yw?US&#WCN$j z{hYX{Qo;+ndm=!yVvGoxn@S(WZctt0-h|iJkWLA6OMIOrHtwgOk@$SH^$W3kL1R-? z%_>D~kR9BP9QV&(@l60mKxjpu?M>QE`gt^V`-GHcg%c~}LYrB}o;|R7Y0&H{-IS4L zZLK{iC$`@0Y(KF*wtl^<e*I?^1WQpVD{p0AZ|Z>$@i58)02g~ZH{aeO)N)0kPE{&^ z=UtW1(xTq-T&sv>DosbM6SrNDO|80x656M`-)VW0vnqjpiI!*zFnCtPlYd5fE6D23 zJnMe08(n|7UcT6*g}c7y(_|u3Rg!l<?))ftJi*$jY-^1{#tGVpOtXItd4%4rNJq1H zO{IwP!~5eJj%706E8E$p$+s&rPc&hVE{;-C=~&c?T{yYT32Q6v)26EH-uQGCNbp|h z(Tqu)8!J%S99oJO0<rrnYJSb#$~0x!O7K>L`*9XG_!_TIScLt&x|N@l8Ge9M>4Qop zd=0D<mKUJ1T)vd6KVdoQ*=uMd2HLSrCVu~mmN%IRijkm(4hz&Q;rC;;bYv#{3V-%` zGT;lg6xRjCtF#O43-?1MO&Qa<Y-v7B7WR#NI^EnN0J%+sYuv#vR`8j#ml9illD^%F z(bK4cpVZ&Cy3ZV|kew1|tyPB|XjJ5O4)f5)41<0;AxjDMd%X_&>4@BwHqDvA>_OW_ zQ?&D<vMRR3AHPTm`}T4N+nzwp$8B<i+1kbg(&MdQ_rh21z(B5;PiAbnS^S||qIqZ} z?Y0hq01)B#Fl||yZ{qqLXC86dav;yd_B+lTQ0nHI7<tE;4N4fCaj)_F)=}v$+{u3r zgv+hJ;Jwho;JtoocD+yzWt|q~PL7>l&cG%8Y)}rxcqbIwiAFhTp!GS5g;~EX+=7{< zrJLh@sdw(`FFzz+Xd?zU;b>AX9ujT;%N8^sGhvt*GQV6)Jz=A0-vJ4YO3gyDave>| z0uAQ2e}Sj*=pk62m^|U4X#Y|aDak^h)h{z46Bp8K(1y=VGMZ$KheSgfQreQcisysG zI;ry|M1qU<(LJy34k`<}e7?|UNV1;n6lg~Z)cC?`NTdN~I7H35%AvSkI%7%X0N9wq zpEb^(#P3`LX@XAC9>)vK=yp8xM1$ag%-fpo)G#+19Nz&Ii#T(RVfRPG=5C_K5%bGY zCiim`%B5_aYM?*RjmuACD;qnjaxC0>zklbqa_h5onm$cf+OFE!Y3-h#wX6JuDlZh# zA(HjXY%2|B!%$>P+i-9#F}{og9F`LV&a6=FUbj8LLhd#M+;2~XpyzElVYMq+=biG_ zLiG)Tu1<n{m)Eg%)7lhPP5hTOR~t7ood%DgZdbPrwK{u^O;>AeiT-naP4JUlW?hG~ zrJ0;MZ7X5V0||UftD{ku`nK;43{|GLT_MWmj#s@Krz0PyFWhE)cj`2NqmR#0XsbKB z;cK;;<~7X=<5&I^GR?uMR`mfn)5gPFrUL5yMB~4W^S?#$2=NJCYV?Kd^ix<<RCUwd z?CxHrQp`TKzL}<w>^(4Y<Io6Q92_0PI*mOzUOC;o5dI_Y-$pBT1^zgPfCJl4&oZtE zi|K>KRacK)=yNTLAbIf|U{tar7`hRm-%ovXl#^1BcyXb2a_CALM%%a+McSLNwR9B4 zk}7cGr7^8oQ80OOv40$hKI!VlH<gt7^lCR`buFd9NL7_ZR<a^lb}iGox^qo>qr8Mf z;0c$fUAVv#kBz*fo+z=aEdPskJwkby7}~<X*{28nk1eiJ*mC`h0qtG)j`;&2{csBF zwc#z}t+nZbf}i;zr^4(L@GhG<>Z|l^$sZJ5LQj`2^se<N2+sz{Id{_qy<lQ)thIX; zPeZi7rpRp!9?@NHb`Nue#n#Gqq}*<vcdK-A{o$X-mFzT@N2BG!;V2X!!%oEt_1Ah% zKH-yPo0M{yTHNY+2`u;09SUuU2)fw4+OOol8oe0C*c^@`=pW8QKTkW~<ab1yJbHBQ zmQsS3>yc9bLW_~OAGU7f=6$qY*ilK<-G<WL*0zfK_Ssv!w@5sli5CIO2OYT_xDf)4 z1XsMbPL<?+)xD+y8bb1l{jeVV%r|k5pD<aw%O@u%3-Xo2*8uXwuf~m?RKqo<oF296 zm#I&}hl`6lX0JNwJ4f5g_Ph&ml!*t6uL`;?7V5y)wT%c%JkB_f9Pg=q`YJg-+xdZ` z+k+(x$O&bt-CK#6os+$0os88eX&8md&_zynzR7Y)cd?lCZ>ZTMC+BqMjchK(<?+>} zu)3$uN6zHYj|~ikRcZaH$EI|fx4W=drhV_;8dksWMQpk8LTrvejat1vq2s`UUCs!n zU~=LFHP7#HyGrkcJHJ+^bW3pewo*p^O!DOCna4vRS;7m>XuE%SHy;0n|K1TTnlh6M zNq{#wz|VOj#_69-chkTbgmp<jzVcO-t5(^-6-v!n@>~G)6zk(|I4kz_qA~Ke7ftF5 zwcJw(&oqw-fySrj%s9irPxkX^4fe}5j1>!>_?xAFm2S6Yf5qjT68k%5Z$$0w#OUl3 z<=wakk2`~v*pC8@`%~nWDjqVAs{|hXj>I@QxG<<9`Sd%7z>_w=fg`tpqp-HTMs2)- zu2$*n`?B&3P!Oqc{mCea_ubTZ-}Cs|;+#MSfj*MlTH;YyuW*M!1-T_pxir=EpLgeH z6SnrHTdM=kQJyZ&Zk2Je1SAIz^-etBc5R-PH`>&DRK}GM&_jg)u1593&`9p<{6j@W zxZ-<v*%CQ9L#9$2V@_zytQ<pu&6$~NWkAzRanmOnfS2}QaDV;i;-*BhWOoj5J-XQ; z9)%1aoV(kd9rOv$%{3OxHq@xSm%~DrBz8^}kpJB;$dRyCZroqJwJ~~leR;dk$+H(G zBz$|uA}?4Xf2*#|@~32sb}+bQV^Yb%iBKLcxaId&bm)4a`MLZH9U<40_{M~gC~i&} z#T$zoz;cKUaCCB50h}t6-qB76PgdsTE{r+E$ByQV9hf*Z%={{^jHjwk_~SA6OOQ}r zGHQootJpD2bv(gy%cFIpZ`8F+wy|VyI$^e@xKoRkdaS_3HD1Vo!A@6w_{ebe)wWi} z(yUHrgKl5L@}@xT!J&~WN2Ru{(Y-^c-Nn%oz;c@cyL9JG+p{F+=}!Q8hunDS-Yt6y zQ)E+9_N#2GM65km_D``KVLwZLEa|el3I0qWl)Pdmbvsk5hrMfDb|yLq)$5k~XvWD~ zRLh}7HV#vZmsbbe>VwR76<U(335a#8FQU_7wG(xx!sS_%e~2Up2cu{upSSKfn!7py zHx?;a{S2v=SFcf(oXo4btRBt9&t{C1Q+OC^J&oAzCa<k$HTU9LnUVYPnk-@|lACb& zQM|<ThMA-1_4LZ}&~2)lovWoML0y#*%<^om!s-uJ2QmhObMJf&dlZ$n>G)ABLkEnW zUUkmWs?uTD*pX{eq#ecyrzWB8^n3FYkkz+RZAx^J84Rg-b|K+vtpAEZJ0j$ws`$Q= zva4||D`QWW^N3%q8d|5-GTubUW^mGSu18Fr<;<qhZrz4Y{OR&()s?l(^;?bZjh8hI zO8No^6J#~ppFY|tZLmM6b~$2zktkYU<Pz?UH8MA>KtH(#H-+|(2s-Z&|4D>;0_N!N z+{U$8pI8z+QP3Eg;g&!r=9mpVOr))|@~qu5a;Vzc9XL|1B54Uq$oAU&WGCo0eoJdx zZ@LJbTp^38zAh2}GB&pwES^%=C=^ga2Hm|3>O-qLpRC&zF4o1i+~Z=L<sMZhJKru! zAl_{@-5XOs{^(N0SP}%?r5Tu^01RDM+(PvhLNC&?^$dmz=}0=OHHtPG%1h9-WBpPg z8H<}OgQLO#m9*xQuVyLb!Gsqdy9-pn<w2-T#<XUC7BKoXMl!PCg4)71lAP^5@&<us zp$qu}LwJhhIaStL#Lb>ADAO`uL~8VLWIrf|ey=c4I+8UV>^YKM$s7j+mfAROc7}J_ z{CV~`c7?=Z{7*F&-+JEqyZ7cEXC2h9Cmz~2j$fu6DZO+n{%Q4dsTOUosQ8;}ivCQ) z8b;Nl*YeRM1lpF_#xgrPjv_Ooc5D>!d4m`0MHs?-33Kp-tF}htNGt0(Y9qOrDY06h z*J@w-wUf`5?{-J{4C@h)WU*WE?#;*uVO`nNao7Z-xz*R4U@T&msK-Zhf#$mwk<uI6 zJ^ruq#VoB{-Y1f3jjX=GHq+<`?t>j<hPvl^jBXd`YOh>wjcR1iP8H@!X_H;%_p8|7 z${dZwR_D7{8W7=j%!RHE$3TVrm3|84*9xVK!|3Ljn{-|s&e633EU~OSp|^!0RixIm z75U#JryluipSQ7?ij*!4UoR}A-EL@Zl!|8O3Tv%T#gtQPYc1EPA>PU}GkT9va6uZw zI+IKqz-+~Q6jv*cD?GP*?qr%LrDUeSd@_@GMv+KJ+7r}Q+<BDPJz`b({KU3lDl}ET zlZ9kdMt!U=IdUA(dbebRiivs3r&w**gi>(RbFghzmD2Fiy?U4oCvH!^w#=+foi+%D z6|0hINL^U#JNc!~vm&!$d1b7BXR5r|<rU+Wx8x>IftNP;BBOQU?>~#n!o7(nFImnj z8Z}8a>;-?mJpbi9=K-7^TlmW}s>oLtsC{56ITY=6VXJ)tBtF_BLp{3r_1?Wap<`Ml z6Pix@l_Umc@>Y4vp{67b{4caq;v>H1S-lmqdeIiL5GIy9S6y2bg;UWNZHw<Rw|!A& zFoWx2e-PssvM`=@X;eEJ`eY_>EqvJMom`<Z)rHeJ)C|t|dia*3dip{4G-AtFM!pl5 ze&(MwahjE70zUoo5~76^Pd3eP?_i(1rG`P}i#_@z$9>-*Q{{{_^V8_AS6@4Fe>93} zX2eguM2!e|+cn3A7yFo-PB+CFe5u`?w*M<fA;CqNNrp~}byYtBSyK(;{URDuGWpw+ zt-9$wGxY2gJ^g!%W(UHNwiW`PiXKYQ1Rq}G8gBsonc!_0-b*ugxXsnUby!QPr1jif z)6K%+!YMoj9wEti3q8KQ?W;Qy4oyu0<umv-F0t!tzuDQQx|^f*&S{GACV2EU7_a)% z;8BK%ogb~wB+6TJJMRhIY+Z-xUSLDXJ5wcb!GCjVw-%Zi((v@CxpL4rcRn1OT<lT= zzW15&!`uFl|Hf^!$P^CS-Bn4Qb$2;ir9|HN%=EPzm~E(gt15wV<OMyx4pXt7o3#dl zz^x5%bIs;GwQbqyHp{)t!cWv>;c>RKBX+?R5500VZnWSDN)ca$zay>J;eNcQTUOHZ z+?oTkVtz{#PxBDK)~Zg}hEB%TX>$X-mbb;m&U5l-^-QlOZ+=Q03YF_M?s>%gG{E8A z2g+C6J&$*9J9(Tb{=ij#;;aeTwrbDL(i+mqlpW5G?u4D;Hs#2_f3CNZH%aBz>LGO{ zKGgC}NaNMm_9kmag1TPSk22fXqH^zF(P(3H@bW6>;y(AMN$wZNHCYP}0MA=s;q>D- zbT}Z}2<w#ZoX+gmf}a63P4MBY)7yUV4bEw2-?OPN3Q>b=%9p7?T}TsKiGy0es^3N3 zy-L;RkwnsHQA<1iy_0Ws0}W_c=<x&R@B?QLpzPmoI4)?6O3VqhC$tHMV>l)Gw`AUI zMs|k0eOLUZGmw!JI`A116%h~gPfNc(Vn1-NQ0HNaWV4fyOUwK&^dQ^Ukv)(ALpbq% zkNA))=dhxw;G0WVPKlF~xEP|*O!^l^o!%AXecKx9VJIGg2^p8Jzh#0q2csj$mK4;( zjNXf=hl%}H{lih}b~Mj&ZcO}gbG0&KmGby*n(^qM9X-G}>Vs)Ep-ktTY-kF1aV!an zaW3*vly5k){Wlzg*Ft6-D2FYHPr|RbIR;=2Z&CZuLW}2@V~Ufe%kaNxFnCRwOvY!) zW|=of<Rj-~J7CAjY?0cucoicPW37|?uR<ZRF>3Y>6$_ntGn7vaK>2QWi1t*PbiW`E zqn{IQsYx@_%Dv&(Io%P`sLD9>h;%&&8?{|_WW<6!m768fb#>*^Y*D$uczk-$^}DII z66qn0awVm;p%Q5ysd`>vvq!@o_tvdZ2@wzbeZ4Fw*9d7~;{)C0Uc5KUnQ5H??$3`O zM}+y2Qy=0F>ff`d1peHgut=Upx2?jnqVRqFuysp}*W-RF?!qCZfY6sV|NS$(U7`C6 z&nW8!zm(&Ox@<oCQh+0R4i@D&qApv{0_wQW#y{u|E-Ejan3J0E#B0F>J_f~Spe9Gs zt0~mF41Rvs7R{KeF4g@x9|{UnZ$Lp8p^*Ru>1cqF(;AnH$U!~(3-YO1vJAbtS2D?| z=|r|}m>(I*sqsXzuJxF7L?lZFnvV7ueRw45#1qG<Oao*m$>dEswPvy(wUS0J`dUZF z{H%I{C(q@eY^1;FS&XRpNQpIYm@Ug9__98H`p{9T`r*wF)gNr~iL=qd=i9D}0P_h^ zY`G`kKy$|N5}MC43FClDLy2R*MW0zJ9*WPh<h)pE&{h91i}@WCv_WAj8x%fmdYH-c zzIU4oyiGRS;|B$W9S^a}Gwjh>9>u>04QF{xYk#%$tNW(Xm1p#vrs^MdJRYFNeN%Kx z6+Os)aWb0&e`&TyU;>D0fi*#n6fg5s_oUzEH^`SYMj@>91ZkP_P8&aZ%pi1&e{~*y zGWiTrJ8ov<AKg->4vsx@ipeUcHyYk)DD2qhm=W`iUU^Mnyi0WR7VNj=N}<gbe?de2 zK2Yj9RO%GR`FwVY>@y`DYeeHhzHMPT-^U#nejtT2lq>^sL_FP#sT*G)eUvYg-2RA5 zLnr@@=1XSlp6ta#v`;T*>(%P?ND~ugjxVGxv3G0@Tdf~&G*ObZq}}+b+0O9%4%%we zRn|Pkrtaq9%&F4qQ~qvD_(-kLsFjN0Xu(%PIRg@rB>mi2ug?5QlvKx;&`~S$7b(g4 z&t!ngZcQc@vc${+uBg)>?DmlYv&`DL@hJt_&V26W!xA$w=15Sm%L9d^eC~v|xvr!^ zIJJ6WgADehL5APKCgLS#)JUO7#pKPyD7>yn!npIR$n^qVQRw@&?VnBB-yjj=&MyR^ zPUVLn;pD4U6iwZq&j|8`<%i(C3xWb0?Q-?%KFq5YIzrs!A*u2$Z?-ISP8R-2={@<n zXskpT?j)(+7sn*mX&n${OfX@0KvZ#q4=@S8uz9Deb_8>&#*f{5^DCh_pq^7W8%?9x ztW~x7C73o_QZfSuOKDii!TMz*E{R8}T;6=XT;57KcL^A*e-$jDWD7?{A*D^Ey#9k$ zg(SkApdwm?ZGrsR;`NW%e^1yk7O$DWBp2f@_$3T=-_ZiOb@KlFCbEE_S0?)Y5t0>o zb1w=n6p5mf=vkMrEdjE?Qw^_Yq{vSR?+VSkf-;HlXb1@t&j1BDD!VHS!u}Sx4#=&K zn^n^=@km{eTh*J*khQ!@iJD!#h@T~4V4*f5Ey(JK@1q7_*CXjN>2M*lyjI0j6`aS! z`*W`YMcb=XxE}IS$Q)w-z#LNW?k;6O9f^X2h@KsOCeA>SJhCgx)r{HdNcoZ0WxPZ~ z2n1R_BIt6ay-R)If23ka9BQ=R%Q))3M+K&gL$CzX5JQB|QgL=yazWgEFNm{^ggO~d zdRIw7Tr-(wgslpjH-8NkdWjyDm(P-Cx>P?x|5V+TmY~K*4D*cv*uW&+Pj(&$hiN!G z5DABTK64S2kHRrvu^tl;9#${&C8a<&C!|h4rg^KPF2};S`}2x5Di}l01ZkQbp$=+V zRWG&aaxMbnL=Q$^H8#gCPe7;v^&<H{KeFkwU-#DPh!uGUb0>^z7GYODV4fPlv63L2 z;{H^TZaE4HP6%NV6si!yjD$Asf<ChQry_q$Zb*$Ql05GGI`XxES0eiUA<{eY=FZW# zpCsVF<nHa;oCIkMzCl7)Dye;BdC%tkNj`XZpSHc8FgWBTFxBji1(s9In<#$^{qGmL zoJL4T1M#c=iE+r=8c0W7zmZNKh3^-`K-@~Xs8}HvqCc-M(1C~{`#L`YFJ;<3voQo? zuLr!A-d~(oh)P|(TI(KwaBbZ$)_Xtr!Fz3numg7?2X6!KA5;#>b3e<I1`~kDq`A}H zCt18k;hXfjB>jQRrE~~>s+p*HyiB^O9KU%K#l;m#5_f(L87ANri*9p>Bor!l+5H!m ziN{gkiVOme!6n*Gb7MgLB}z|=L}v(>Mx2inndU}ERB;eZnF5rImI&-WI1E{1>7=40 zA5$lG#v^Yt0L@XJbU6&wUP>qe8=ZDP!C4cYXHoQSyo_rO_>a1K|EeRuly2e{dL8w} zpodag>broi3+1Q;n-;PxXUV}Uf&mCh{A)#~_}AiK;snzOB>wd=m|VHoy^qnC=aDrr zK@_RA#5doNI$Oi)Y}bGe4^n4KSh;PdpE5xggDz%`hS*yS5NvNvD7|IpLot}BL9i8L zT+r~UNaW<N%RWlZ%{Wq=<g8S9N3m=XEY{Lci<-NcHa`pdoPSBUcyn?1`2KEXHvMxs z1fZB&{{<*477$PvAbi-;O~m-=2rW(c+cK~%0e@8xP1B%$?9=hzvp)K{UWeMN0Db=$ zDU`gqAEgG0#DY7&jO?yi^gH-AF!BEUf|;#W1%9*0=wZDHwO4RHs_Q?1nqFf-;OVZ| zMER$P<2OWO#YHue2hTSMrkp!4c{C!x)FmXUjX)Flf(rsoJ4bhD@(?nHOs@4J(6oJY zho;jD1e)?agj5tO6z8ElzF8vY^f1~lA*(S8=CPNP;M)a%{n*f+#XXx7q!jYzL6mbS z5)bbD3UZQwSD1d3=b=1I90|7*0TC}By(rHg_{|b!(!!JX7qa4F(c+GMS{nYm@sbvP z4AZFa9+d>8AG!%ongs|!thjv#=Ps58LZybM+G`Xdu;KsNts5*lKZDN3eJBhSI3ofV z!o0LFAuLg&`6VRfSihwKmEbY%O_nw(MH<E~*UDnIMdi=<P|04C#0pa=bkME9QKH2) zVCzGL77{_gTsX!r>n?HoraX@HTi?&0F~k$2FvJtU6b~lQ%ZQ(ZBfJ-)E)a>%23-X% zDq<yigNcd?I;a8wNKexNUDOE+A))L&8ygtQNRxsBt2aMyh2}dN8+3mnXxF{n`GLg; z@d&S`PDz0LRG?Y19h4I&5gty1@6Wx8C^3ZTsqLL9GY)8~i5P$otgm~0=K<jz@y+H0 zf3e%)!T%pV54dxBe<%0O*DdCf_(36t4HMZX8A+9)L0<<4vQJfCwdiQ2g<cW{a|LUX zPYkedE)%7pA>D|ISs~jA(*-hABh@~O{uQI4P@8TR!TmY1CUPj+HEk_v5@{8wHe{7c z#T+FLb(#Cv$IVJ??z=tdrE>E0K=l^PuhDP~;6AqGuTHm~sV(u}FGFg?`Hjv_imWN< zm4j|`jO0n)+=t=<MWV%>UuyoKy_;GSh(wN4$h*o7;iW5GjhhD9yg6Ur>dtutb=a?P zvr>pH)!LD&dZZm_)?yb5rSZ`ru#n&z34-|bkpjDy2P$I<LnR0XqW&0lB%v+j;I$rE z9lB<h;@|h)-21x5PE+bqJOWWBP!&)yLoPsPO<~~hK2YeekI%@+hV<9bpCGl$>&{SG zaF%>gk0qOfP`#&gXY?uop=$iUF2ARsuLBloATGSz8?*>6zVm&|cfJqd&N-X2DBdly zb3<e=7oHcx5Kb>Pd=5ek$S~<tBFSI2JZCmXPC3q$*6g?^v>_Q)!%pxR-vxA(w%J6b zL0+^sCJ$ANAWP|~$L32Y4$_+Vald?TT7Br{%RYl3RZ#wSkRm^~HKf6_d`E+43MNA^ z^@-8ojf&xf))9sOiiy8t*k^$ZT*v^z(gqzp5*)C`2Sr*l9%RqZD^!FP0*lOd3>z$P zhc8>-JBBU#FAUoUGHgqF(Nkl{RQDZJuXyiFiTx3#VCUds@OSdQ!|ZJchxa(m?re?o z(w~qkC#3O?f``D_Hc}|IM)M4b)M5{~HeSLCyq*`|mtC}589Wms$6(IN+*<!(cr3~% z_fUlp#Np?tnh%%?C`gDR{K{KeGY_>3wdHy7$mbqxrQiw_(1czt58d@oJhl!___T?Z zeI>V-+G{H@;hHrNhOa;vj@Dv()cvts*Ko2D1Lmqgtd1pLx!$M1oZo78fA+tV8V=*h z%3t@|90Wqq%Lif}S{s7I{GjmFDz_*v{c5&}fQl8$5gTU;L$&uPI!S-bG|1WsK9R`3 z#nRP4d^VzT;=VwcP-$MQ{eY>;q;mkyyp&u3#NqFIevq#Se2O=_FzZ8t*Fa2gdAHkx zSKbwAsTM>6uAx9I{Y&+i`}K(*aGzZhj*+##Ig-hZ&0L01o3?gB&R=nFIREcE4bY2T z&{|P>`Ge2}y{gEaSDnw8$V#>NV)NnmTNDH!nf0mf@Y!RjbAqok6lN(91x|ZVTDa;= z7OfQ5`yGhS;*nUE6$lXkE);xk+8dpRh=p_CWhjgWL=fvg+#CCg3%oLDIuFO6g*+11 zjQ#ur68HMrPxhIo7)`>JTrvg-Iu36Wdv<RrFwud@gq+<wm)y<<RtaAB-{MH1I|EUe z_t%i0_a7Yo1qbLjy{JGdgs-&YyTj-59q57??m%bi3nFMADK>Tn+`EAzi6J~Jrud!P zv`)gP=e9lTXdrdAf>oo`aBIcX7^B-*Oh9(WUW03k%Io8T7V2|b?B?gpD&!u*%MtUU zuYQIyYrJ8J9tPEr7q-~*;7NdtAT=Y3WzV}aU?;*F$WWPPCpJp8gD0~nBlB_7JH_4z zB@RGY{<~Y$Aqt-!z}*Egmx#ceBj_HBLCAeWxW^sPJvth5d&NXQl|<4;Uqy)z`Cp?P zojev0mhC7myrqi>f0)*sfB<1IqyPjVBFLe?!<#!+$Qt4jdV@|f)^h<u0)Q?K^UlQ; zqJs{R!#n*ip72>ydgJ~AQSJ_ih2(dxu|w=hzhO|&w+8}y-$zjH3;R0ymk!oHG_%wJ z!GkO<_n#?b(Ex>{s~c@(E*v{IFY>Qn2X}tS|Mmv}{}HEI49WjpJ_z95vrzz#LA=r- zoaNt8CCZaGuy^7<>xO?+4Z^kGi#ygQ?KeO=Qg9dw+YyZVOYw-4eMj-=Q8k6Aci?+M z0B#B8K<9DC@NvIl)bAJ`^rZ0^z*m3Ip^^~X0r2912&Rnt#U-ncia(y6R)|D{*F=e6 z_P|xokH!!Lz@AMd$vztbQxBLD{AHiz`#*i^%!Gg}J`(EgJO~rBJHx-8?O$#a`RUU$ z%sX8F4?gGkza;dh;51?zXTS@GR2-u6Vs{exDQT5g9oL=DM#L+~(wbtdfxR)3bGXpw zHQ}MfnZ4fzF;_UHHQQc;RlMNoLE=I}oF$}M3dFha(!`Tplwrz+DO5<+g-R}MD2F#y zYK4XM9d%Bt=^M-SiU+ZysKrZp_kF|HD>r;TVfE(V6=emWh4EIlgTORUiIEY%*F;>8 zBqHw3^Y8e9CWXwQ)g{bU%F`<}jZbHOlRCqsyQNg@IMhgUCiggen=rv!jti&5gKN0e z6tmYZZpT2C^<I?T;OTn#IQ)iUcMpEexWRP0cDviQ!#}qbyHedq5_ZGd{i1OaC35_x zam_hAL3R<?ajBT{oIq{bANX^oh#CE!@HRr=$_5TTMah{9GYTGcbr5{gF5P)?Fx)od z4?djKC>lF5ak*3*tS7z<-q4y~#Yf&I9`^CE`Y<yzWtI734qi%J1r_(Yyuno;h?-nk zQTD1AiK+7!Uq6XqZWRtx*$VbJ-$-9Qw?t;T{XPH?N1X`GpGK^fMs?z1zp@&l9un*G zo`(4Q-S&Ki)p<`#CbYdHM;Eak4@8US@@j<PCSzw`rLjzVH^%Q;rhp9xqB=#^`9-uz zxD1vrnJ0Yx6Oj8KM5kZfGNuP4qy=QrQDFqR{7j&kGB;k*#eFc4<x4{K^9M(daj*WX z*-U`^A@JkLcRPB%T+W&td}g)h3fXB8vcA^DbOO0a2Go^x?#Q2SDTp%dQ6H^8ccj(W z%_Stve5*g1;1$*0aA0R=^4axm>Lan?QT6SyH;K<OC9|6?*!Y-R#z#&mdzi(Cd`$T9 z4B;XSGjid9MD1$-RGIa>lN-u0t>Xlg!ic!YXNPGGOEtkctTL7Fmp)F&w@k1L5jI}X z<$JY)ZaItd05^CrPyI7JZ65z8jjjhlgIwUZb&Rto6x-aMKL(}t0f)*l7ngqW7|1Zz zUWATIf9fOZVGlWJ+>D*q(KnJy3xaNn4`ey&B|k3+0?J=y&$wQABvid6H~~Ml5;Hwg zgdQz4h~?+@^ZKw=IMk^Gtxr3ogs5P_X3b9FFldEpw$?93aOiOb^m-sy<x08Z$e3iQ zs-J?eCipSyPuo<aWqEGu_spXMmYBY$XEQ1O?E~Ts&SkJ!v^}V5=)0k1%$3u#Xi&W_ z?qOJ#l(lQnV3?iuc*YZ174T3Fl6#JX*?#YYhQRCpF!$DBT|M8wDBU65NOwt>bcb|E zNlHsMxM}GwQMy4CDFJCgq@-I#Iuw-<K}7ECH{Z|a{LVS|{GNNC`_FxRX54GmtTnUu zUTgMit^H<p=TpjMD`e9kFjpod+zPaN1}l#^Uf=l7X$<`@tU6995%r?VgK4GP`N>5q zNmkmauM(dW+{~0+!ZU8qA~l?)B)!dv=AfxAk53&{${thfRufx1Eyv%4FBSaj+uAPh z6liLAVd$#B>RnV<x09QFNayX}JeNaXHm*L=3FKf@+`qvTWcuKx+vA|Mo2swp+%oGo zaSTYPa&ILMXl<>%8EmW<q$7Py00^7+2!i}E!64u)!o+P(!P`Va?)0MHxL3GlW|(FS zI1Pg6%}bo>z>dDs6XnudoVHL*Y5h^C+2*<7h(TeOKF2cG1b?D*Pu5Y^&LU|->&S5( z)5HtV^RXP<F-c>ZgV>c>j=H5jX=GaMSu&FIY@=Ypzpc-bN;8BLHkZ{VnjIWSv&;2Z z=2gOlU391{JI{Yag*gcB(M&$&?_w+XRk79BelJ*F7`ht0vA3*L61d`*H;yLsDTAIL z^|>o!%zFLt9jTtASLIiu;a`?DO9IV1*-a{2@M>DLo|hlkduO+#4QE%ovv|xW$G0+= z%Hn&YQjRv?X5nGwWkjxXy=b-m!ej7V$5i8w3?TPla>hp1hLh@;q@ly#9k+GtsKR|g zZy9R1mq2qm+G*31CGs^lA9dj<!`;O2Q}ex%{8P>G8qc8N`JnsB7ZuOEdS+=Yb93Da z9OpX$^OxrMc`(eZ%$Hf?Bs#&Ue=zti8*n=oAOFbW@uP0;AY(RZ^ef!6b?i52oOPbu zH%_vE`zID)>kR8x$=8|ld$XEDJCFJN*`!%D3oz>Ssa7)TkF_YIND4D5?nD{aB)h|- zCl;u1V3DP-N5Aj1ztBp|P^*q7#f;x(U2!zKp<G(=9___?=M2okZB%QXb^cN7r#BnH zH$pCjZ%`tr|CIhou3M3_Jhifdd%5_gDF2eoOr&^1^uC%GxrbFF6jq5IM2h`WuY6ur z5A!z%7go7iby`-5%5zvDF(<JLi$irf_mbp{ygW00G_^XF%ce%_M00UEhC*^Vo`^R* z4GVG5twm{Hju9UR$C<%U%_U+sLWY|3WJ<0&b@r$l)lZnCx-3GMu4YgVbJ<CEQU$d{ zR9~}<AZrRLE>6Nwa408Nq1!E$m#4sw?oi`Rl+;y@isYikGD<fi^(&5#Mb{S2a$(|~ zARwJ;D2|I&P%bA|I`~pz#A&;}#kI5K>*!~+Xl9B1%UDDfJ3n`J-ste1K~M~tzo|(- zukrZz+-+8=(kEZGvOTMej_vA#hEsO88-rLsc+UNS1l_C}nLBQpUaUTxJVCmd5Y~Gd z)l8wLTYZ6S-dZ=peTt6lZsU<AXYvcP)y=UPH%vjne36@Fa_%yccZKReVKr@%{FDIO z*YC=Dv{fsFyyt@zK~5zkuK64DcU5^nF;lBYaSzQeyuFnzgN07}_Wn>Pcr9PFpx=GD zbS8HMax4x~XSJ9iH)zu~xBabut|Z7`6YaXJi}T7ESK}VnO_rk!jkf!KoG~lDew<-7 zojwzqTx<EtrKAsLluJ)4j_ydn{pW-A+q4&gr*lW7W;)Ane4BH#>F6^k%gpFu4YNLK zJGjcYdgZn1XjOIA63At|ZG1K~_06VqDnbuZHmfWWZq7eVCok&M)_pne^!V@Wq|0)y zS?E!#;-FcX!*5H)I_DzS!{HT5GS}psy(0Yj%CM%s0H)QU65IMI!OOOixn{ywXPYac zhR;OIDtp9$wx8rhp}DaMEh?itTQp|cxre+m?Tr<1ckW-Y#xq7Ci8{~2YfN36p)rN7 zk~!b>PwSc74qWrpyfl-}fg&l}%~*bt8|B&s>Dc)3)8bQ{$(iKYvG@zk11x46N>w6v z%x0-YC1;2;tCL9zmc^a*+!w92G_^{_VkNt7x#@W<E@}cwJXUfLP+p6=FeMQyISweF z#djKjl8lv{0~F-9C<l{Lv63GF8L-%<4k+nZ$t^$yEefbBKJ!W{SFSdoe?y-AC^-R4 zV8re}6n-R3DW+p-4=yw3aHYOwOeHV56NKbE;cZ)U<V3sSbc0L3pvE+0K!0mWyn2dh zmXna-<=s0xM)b*Ll)MTBM@WLu<1GZ&?V9U%&D|hHaJ{pynF7~LiMv{37|hhR$;?Cu zc4PWA`(zTK`O*~DtQdc!%R+1L@-)tYhKG2o<Q;#d?E#Ty_K<F=H0j=e;j`OdZj>5X zkC;O85?WNDe?)L$k%|#J4n6vW57u1s^e+vA@L0jw;b>i-U5QeVlH?XqOSSYJ{Vgvh z&`s&yb+@N#K--I4pbaQv2V<&jR9uf4uN1`5=nr3k#?J)tN0Zp8<bFfywNiIjIuzNZ z%na$+p_+a1^lE~FT_-)Cr{(z@N~IFM8D+|YUKv~|B=PH$*eT>c->TP&-C+q<WEV3t zq^YV|7SFE6FIaTabA4KAwqaN*;;Ub#T;Y|^m28kctvltS=lHZz60{5X3YPI^r(Zt* z!~y?pnE#kSPx`U@jid3Ajx+EJ^dJH8N7YME%<&?}!i(<{AIsflw#uo+HgFhXo&>rT zm%F`zNm~N7ri<;D5gCo+IhigWqPfFK6=v;FSskh{o*HgAE1;(-#0G=jUkAc7U8L2k zk@VwqQ}MI13dlY6ldG`E<Z!U}Vd+eiJGF;sB`9i)a<@fw_5C$^)kO;F4Ur(u&8i^` zjgdQ8;x%tGqrl*2CyR3G?WP{@YlA)qpz9-P>n*t*U$#HWxo22y6mvgd+zx!+E}LvT zs#}6({8RoAhSn%IPyVA&69#l$@@#j})uIrmpbF*V@b5U~NXw;-!`l}=guE}>oanz< zMv%%`8n!NmLf=ZZvMI)+y11b<l-<M;j3?hGZZ~(Llm%78L&gxT;k+62H*u;FiM=n2 z7?6x={7ol!8cKE2$b3GyTFn*~VpVg}?_Q&DhL2RcJv;yVL2<NBF#k~?JP)dDcM-%q zj8u-1JP0_$-}Q>bChj~>(|1imB1Sc#<jZ-jpiwV?f(7AO+-np6hQry?jm5z4>9=Ov z#$7(U&lKL)+Y*zw3QHs+O{18Q^ZCOPJSbSW7A9?N2SDIC76Z4Z(VA_mM9ntx2U<NW zy@<>smxS%U6<R$cb!5(j#3z9*qOWa2g~mR;Qy=z!<F+>9pVw8pOz6XL3scR#INNCH z2ISK$O#hj|hA(Xy$thWNV`t_haB>8{o^Y|B>}G?Bk<4dZ+mC)OsP)eh2*u5)Z+fOR z5J<Hk&#VjKs%i=~0h0X5a=7zhdrVoyV{>se(?o4|q~`l1D-OppA)-^F^GoH^`i>+< zLfXX<Gms;4ZGpyx);lNas))!iH%DY<=#9C9g31gF3CE)0Ds^x5kDNT+Dd9J0*rI)} zFa)?l9~9|MX?-POS#16UbE(1<l!Xd((yTLsT#?H9cMh@1##v<KBemD+k&|$Wy4Vec z4SoS(FNE;>LLj_I!jkv53D#8!lQV$ahRN*DC_)-8JVT*bc+%?^v7uXegoNjlak52L z7i@OJ4)q<H3C5e2-r5!4@g$!SN#dHK8|8MLp>RPwZ}~&N*ll-o+-7sA!xwC?c1_1% zJew-OZpg1qp4QcG(TKwQ^W#05oHG^w*OA@uupl4Hi!JS?kz6qfqkEs227>tok&O+u zM$I+y`8^J;-)phyYIJJ0W(kKi4n|1`Jx1c_vapv$8sc-cl;AWw+}z9`NynGeG8BjB z&<QP0PKm!njuO#yL@s}G8>Sinr4CcdF(qY0WEgn!v<2wl?_Ev_U$6B)BKNUeq1Rs0 zh!UnS;+<w12+h+9pEGpM%E_oSGLz1`nTef6?2!j32SD*>V&ASv{uq6_X|PPA;nC{Q zF1C_L?csmpW$4HlhN;1aB8ZMNMwWzO_lqO&<FPV8sY=P2$~fFdWgWzzRn@q)euKej zh^Z+e!*+!{CChdr5=lOU;xT>}=}NC>IjK1%8rJgQJFsLd8pkqMERHeAO+HkCg+)bZ zIR{%YkR$y?1!*`qTf5fOI7P*=ZU~c%z`a!-f!_NJa!^{%7@*H`9@$kaoiL~&3!`W0 zs5k#9RjB7`fF}vo18(8-ECS*joySYz&OtFTY(*LH;-D+TI(4Lht1ZJSk5kNB9I8>e zMMjYysu2lR1-bJqrBQBvAcw{o-W`6#8J}Zf?R3P6eZ3k)?HCaAw!G~<;uL~t1bAP( zo7^-YE=S@*9jWqd4wR`Vs~E0gQA0MwAk|lI?&MO;)KLd(1s+FRhEtGHEL+jEJPw1P zXL8>$XMC2K9a<V?TmNS;B4P;uE5MIX4W^2D3gk`n=X`-Otm*o7iAd`x3*^#88EQ2x z%1F);+_;50>gBT|OyN*NuOIqE6^p)$C6S!*=i0~}14(^w7LPcht9#XhM8MfjGvY9C zGAQfi>g+w(EVqAc^C?s#z^LUWIYW_PQ-raxgQ8Xen4kbf?=hzsUtb-y`^E#Ou-=MB zubZAVZotVoUVf8o0UZZ1X?c?gfmc5&fSvp??BvkhYcKC@KKsx=H9f{l%kpfnQWVKd z$U|mJr9h{>X4~L_?|BvmwfJQKdGT^hvyP=qMa;FkzcH`iE1H(;tNcBWq!RN=32=b( z{y;eI%9kTfd}22<4}5FGvm~or9q0ey<{hCLQD{&4%~2`I?#hHLY#C6qEDn5xR|JXI zKp{ilNs&mn-kOjfLZPz^SRC}R`E<7psCmxPQZo+m&qr?Eq(3SlS}hL`Vzcw{ld0e| zOAlZh)IP4q|F7;X#Zk%D>ryMK;UH7-2K8Z}aFMq`rjGLq*B-Dp;Ix%tSdes)pa|@~ zxeVthRJ3;bz0#%Y6_Q2Mr1gP~>RvPAjq;D;aU7BBiW72;&QUmT*9=!RFSHy?f%D<7 zHDf8%d7O__S2NAg0!)-}d&m<Q&j3xOXwmdqUntdhRcGG$DHC6_IT1y6K^$)GYcPnr z!y^%;%}*|#3>SpYrIpI0BPpqm4&U_3ozhzb#i<1C^i8IDNK;b2>yF|koZ|Q{3E0}0 zsHjUmo?DF6TXdL;irs70)(jW;uyp5&wbMH>8azDv7k$}}oU#I_@$k=8q9P*3R1n&7 z<$t5Fp%p&Qnag$k4gRXNFlnB7LY@7z<6G29>DQ<g*95|EpXIBb+OsuC+7^8v%7DBT zqC$_y(A27V7U>w<?E{>OXS4-L7)ly_1EM$A3nm$U-xfk9=1L4yRpZ;h#xLCzf|*YN zGqP}!Azub&;)Vd`0bqVZFb4pWsc4cxQkEVe8V0z(0QU^R{R+7KfV(U!l*@a8LQVJ> zJ1awnk0XWGE3nu=Q!v-~0!5kdM&C$8fsR5}^D?qz0gF&>?FC9M8PZE6k|SsIK|N*y z0zR@E6#~b~@q@X>e^44AkmYB1zMQ3JOMrLMGR5&SdG2(M<5q~}uReFZ)4l_dLCk<3 z#T+lk(2P~TW=bg>>D+Nj^C*0bgq@B4nwcf{D*-+!YYt3S0FvT+G{)5T$JlAQcL$^t zys31YZm<@?%&ktqJORuT1alEEodMIYhzI7fb_4D?;GQG6Ujerra0d~h4n2VR7cl=m z&V6|aPJ0YZW0;+S6wH_q9y)jV5=9X|G8;9Km|4T@07*ICA(!hfN)}nTX*l+Ib0m|- zSYKZSN-636Zg6(FGk;ME(c$e(Zs+=6p~yqaDYh4yz0z^~T_w(G&P)1<TKox5>@kS2 zHo2FPTx)0fg!HFlAEQn~e@gMQ3}5=)FE1Y#LPbM6A#*P-PjDAzW_2%@V7T<Hb3$b` zdg|v;UQY6YHtpe&QFBBF*ZV#}{b^@Y0ySAO?qxZ3GDmwV&b@LT%<`f<g!pk2l$7P0 zkb^p{Gm`)wEQ3pCDjJeDqsV)Mc2gJ{wc-JzYU))U5)56@JU~ACzBd*3p*`OtQaOWN z=D*%%5A{ln$>b*QO(B;50WXM(h2h5wCjb@6$k!KpX!VgCN>cxhItNw6i2vmWV&=Qd zNIqs(UW_ToV~ps!6u%*k-lsVxR&g6hK5ED(F*3vgRa<0~>!!Ux76yc6qy%|0`mT5k z3=IgiRO@*#E4w5WXUr+PA4SM)z3sYT745w<SoOt41;98CyRV|bIN6LTgkucoH>ld) za>QV5m(Nl&hY$3Usc>ouuvZvUBBNnI&-pb<A|?I-f_gX<W9l_?%1B-ju8I2ZtnX;l z^P;HXTHMX*$MDg2aUha2{oGMXDw&t5|0Myb5ygb8;zwUnmiR*fMN$RB&*h!@3bcxO z*AD_OFOnBubzU8h3xqJUv##Jb#c;3KoYuO?j+G41GQbPH1K197YC14HD!N_H1+`cN z{CsR>)szo|!(Oae#v0cowAae$JX|r}Z=nXixsglevy*dZuYAAc*I5j$#0ef+-tn=1 z`F=Au7u3qZ9rBc%`w!L_Y<NXmkSFtvqIy0$xZ63bt$xd>eVkv+|Fua9SNFhhxrzxx z`hPqYm~sVCP#pxpsy#UnPeLC0PIdU~|8Jn|S!gL!`!m0-a;L$}Ojj;d(Om((H6Cj+ z!$ZhcQFUVg056^b^fZEB)`02-6bnpIkj18`7*YzD`uLI~N!BgNevQSwX*TBP9wO|( zjX!N+*rJwr<JbnqgcW*b8u`Y~ht>}fn9v4LIe_ZcVmrwa^(R>dPa+SFuBDu<Pj37! zolEiq0FTgEYkNVEe>v%2t?=R(MN4w(w^9K`e}H6jo(01$8c%?$;uOP+=@Q2<G!op+ z#q|@CaZ%6?EbW1#xuL#E*LSl4n2mxN#%$(P0J8~2A<17*Au!u4z-%kj0JAM2Fxx4N z***izro{pGrlAA9>!Oe#c{6}4GS<!MQ?l>RL@}UGk|NMnB2G&!44Pof^bBAoNi_sg zguU__c-_4QUcA@9tMwXqSzH4zKjbZdx?Xf%W3AsPBULPBRuLr#q(!O{&5Z{jQS&S{ z>S)y%<ks8V$@DMmDw>{JKhH|Zxdwhzx@rLU)#6<PKf&V90QebEf$=dBff)t@OeGV^ z*?z`>aUN$&KNf?4XV^7ZyN8xW(bjPd*7#83a4pQQ!5TSoIr?)R1X$xvM1uNJ7RV52 z%}*KWZbThUq0XL~^ARUq>5Wnp_N_Tm1V9Tf4<}Uy2<3HpX2wBm&@tynqq&Es;V@`5 zyPJ)F%MT#aehZ|Dst;{wFI<|FUzhwu&K-FRBQxM`B?BE_W<f`?o1V?WG9YH7^M~Ed z5q3A|{(t;!X59x4%wAmwW=Kxso#$CJ0PRS;E_`JOhQ6(I^Wy7!6ho=()0)w$X5EI= z10HMpz`9ugss0VYsz<AY)f%w7VMyf@a*ffxATZk0HAWLeU^MA#jAjt%iUgJQJAS_g zX>Bk_lW{~~w0{Dx=deP7aYumcxcbmX0GLC+d88-U&3I2@`;DTE@cI){feCxJ=kKe8 zmAQ}Jm$x~0x5z&dQ9!_`ULjxbLF@27>e42p={4es$tfpkR1DXI_b6(QMx_;1%Z~9V zp=Y-wak1zinToFG4^j(5HA3_jnASo^X3<Qw=TvIOs(b@`2~z<ubvfcZ@H@!;wPmoJ zSj(TfV}O8rp+W8d?t$3rsm>n9A>Z96I(t8?-{b8VJkY|)Q#I@~+%d>6CDRe*d_etQ zoc_P~JoMi?y~ugiX-Dhr-(YZMqr`z@P6HBa5hLmf1nT=k`M%0GEAY+iwt*xFz{<|E zD1=t624eiV*Gn5oyh@hi1R9FNumr<raeXWmmA<_q$2^K3Mp7#O+7KJ}!5p8!{;Cgx zk(}xcMMas100(}$#(~lR2PVTfFaUuAEnytE2XJ5r0RjhZz&MZ=;J}`197qFj;C+At zzlyXS-AF#V6IH^v>LehLwiJgzm4Yy;#6U&W^90gS2LhDz3HTVOX!IlUOob(og;-9R zqJ&_^(9B$8M~*W;_gp^b$j|)tN<BAnx|4!o6k~admb;P(9`1c|_nz=n?+s*51#e31 z4OlINd75X1d4^~o_R&f2W@Y|2_{z6K{XPndVa~UdG>c9WYNZ}WHaP_cqVHJ4kb`uI zd{!uedknaffIEZW+5m3F?GpLfFa+}qFgF2n2f=&^m|HR>@}v-gc?p<jfO!Ek-B3PL za#kWmV=mylTVquk<|c1;L6&T15sJ`18b%*{$x1-z>jLIeu}OlL05NM~5k99(L)DDS z&=KTF;bxR>yDvw;&8Q(WRV-c`{o`{jvP5+>$GLsfdLD6q)EA7+G~&`t5`qL~4IoI% zWGc~ctR9zPEG1W!X%F%NGKa<D`9N$RSt4&h&vqw6TqD6w9dxBn!<R4JG$2f1zNYFC z6qK-`MoVuY-Mbu7psWxLAa5=~gSYig+6~5f@7@^HXEcjcEJ|v1G@eUHbr}ACv52N? zF)W08^CJpwgYNmrxp)n>);lSnyKOHz>NASP$IPHRg=afb9lHOgIOcNk2LpZB4CK}d zGWEscE(8AqNSe~H-hT+mrG~j1W#wHm%I<1Pp{jNL7-t9MAaa<EBN9`;Z!wp~*ynJT zVqU^2>wO(E*8A3S{S!HloCL<J5{QKa7}_026@~Mr4V2@lDAV3Gfv`nuwWf3c4^M4* z>F5T2<-VbwEd~b5u3JzX#*B9@$1POU46jyThn(VIm<VJjUd)`nv`;MF7xcrD&Wci! zR&0O3qx#6(p5>Qn{L-jK%XU0*(}HjUehRTBuQl0#(Gx+9v51InQEJxKm&@JMtoL7h zk!j-{k!kCyJo`*Q4+HAn{kSl1Rkgpv*!V}|h{(elL>?I*OCm}K{^tM(`u`B&{PzJ4 zGO;ZQ(vaFMbOz}*W4Y3SxC|2>r#TdOdUBlSn=q(fiztP_d^lK(taX**iRdkEG3ePU z4YN~$$N-oxo>~^A-cdY;au(%UpAjlCt4daAqdXC-N}%V*aL}K%$n#oELJ61*kW{au zL&P~CxS&U<Fd<aS$}1Zv9iU}JD49cTWK_!v-M?1yGu#ayB4#ZL23jPf+XBN|-~dFy z>>ykd6PPu}Ev9lKf;P`^zw73Y;iDL)BG=9MZBF4L*UjVMni!_HuAA}NtiykzAe3~4 zkD{CMf@W1UBG&ECXg6>L7>kVIk;K~!<+A$6C|FykRN|q>c700=#>(+a8^b012Y3uW z`^AcY&5&cB#1tUMVa-GUn~6fr2#0N^MiyZ+*s`$62p0j<><!;6giTZ9+BBIErWtl^ zn$8H*ti3kPVuWdqUYq7yglT>T!(c!dET3T8TxFRCCd}|dmw@;XZ>!@aE!{|ZdT2`u ze=U2$3NXU^3Eo}zpF5L2QO0bMY795JVd9>XvTklJpnJiL%RpE8k%ulOxXHTMSdCmx zQtQoKNgOiAJ~{Vv9<04*?|KjYNmuTn+=)6n!&i^mbZ}4Hq@WQ~x6&nf2kkT=&u6*k z{;}PN9maKuJP!rXuF~%)G5HBQe>L{*F@S}SMMwBWACz|%vsF(xf(-tBOVB%>0<ga# z*b<aIi-FW{f%F9|eP87*zi46BVPrm%Y!S%lKQ1~<Os;YQ;_t4-U4b|@4G=#$UV?!7 zb{Zi68<xL><^9=)UWgWr9cJW*1Npq`^gYuqkcHFt$s2zI)wMqtO(4?u)I)zkzt4z% zCT7AZR~xJ%$l)?DYHV|&MSZ8~5{VQhYHel}G$l@umOG1v@*4(xC}mze4E!}<>lz47 z^s%aJ1j<?Ilib*CLY_HFNP2rKqO+WhW6}||!r=|d`P{Y_pzmWY!ZR?UO147{QXhZV z0MDRKka`I7C?nycO)7R?mWiEk3ehGjS;!zgi2&z|ekWS?cbZ)ODYx0I^{v9D#EsK( z4ZkvnX!VwN?w5LXf*CAAMyGk<>On2a8+r0p`Y(sR-a^hi;!1q$71dd8yEAHs!nQR> zmTZR^q(1mJcL+^mB$n`~ZhQl#`SOO)v_|SUsfTWW8FUGxVu#OyKjdkY{rFz&&DZ3o zS#Dxu<j>t6E!EXt3OpkA35PKt0E?=c8^{F2+bA{o;q!rz@WTg?-4YsyA)Lq~e|{F; zReOZ|i1^EM0~#j>qtM=|=`#@A`7PGq=aCoP6*tR&91|7YvWN)ZctQB~;XmP9u}D+j zYSpv$k;tA=R&IQw8-K^sY?e((^oOf|yye6~a#Rx-ti!IeHw=s-jSd%LF&(PGuD3T- z4P+*bjsT=%4fYFr!?R|q8#wRNtm(?xdNcIaGK1vB#T6s{67p}1+Mb`EELT})j4sRE z;xyYM&2+7`nks%nUECCIq+5}zLyF1;HBt8b(B>NV^9#`$F{ZKi23QVX;YXPi44P;v zO3GcbF$mAr3b4bc1+5mhqLD9-wmVKopEPFkd+^nEcPhvIw6aHeRymUUDogm@r}e(4 zkF|=k0(0FsoqyXWV*1<{MAi*%H$7KU_P+aNM13lOoqfSD#ck52wEu1|SM@qH7@P7o z9-s2rT}j}R-}(R*0Vs8t;^V@jORg5MZrI-CLGm?jf)<T5WSaB7ET1}!7*m(GSG%N> zGj6|uhu0`;&JwPlUhn&p2M_-SP`oqieW8!Fb~)X@zE5Vy9{!{o+{8QDwPZCL*c27V z%IF~4o4@`S`l`nH=P{D}Jy|%!qCZgMlwL;e&q#x_;-a5H^hWduN#08u4$sVg_>_}s zK19Px*cgVB<kLmW6{dff<#cIv;FS7$AT6gdB_VR;sUR#&KD436*&m2?qq!~}EC?^f z=~Xp|-h#;RvF?BE4MjHF!*pgEEw?r?F-Vqk7PGLFZc&iA7)8L71de#OL|wr<t%0Q+ zk#FY_R#yXg^TzOuw747-GpCF+EPW(*WL8EZ;J}kCj6~%~`zRU;Ou&JG923Z|pl3xZ z0S8vhj8;lR;Yh+Vp_Ims2k+Z3a-y>k&a52Eh8+*TO4B9ID1)cMdp#9F#8g1|#=-*f z_6~CDYD&O;!T1FFNa+!-c!kkQ|0Gv1bQGBMU1EsjtUv#bWM?G$C$-X-nHHC2W{Gx# zqOJ8akpCyM@`Htislu2X8Hz@K&K*choc>Q{MH+iJeVT<)LWxlc{SI(dc(m(RY#l5t z0f>~!F&kq$aL@)Mb+yNEhJ?m;A51X19jP$7+3PORoD)re;uloDtEh|c(W}X`|2CBZ z{hx9vM{JDlv+8QwosOS${nXVks4BzySXe@Q{jw7(9ZJ>J-h-UFn3^SVhJ2*oQ)}Xk zl75;JKI~zJK1odh>|x!tnc!<)8iL1u3}zpN_Jy>Mr964~$q$aac1i4E(t2MgfsJ2j zhMU^g6xEl!0jJhCDK@3jQP{dcWtS)1H*m*k*C|*PL|}1n1Qw76m|(ZihPS&s48DKV z)lR{SvEYofugaE*(V1!b*FGzVJ-mi2E1e(RT%)egxX^O%Q*N|9e{HvPVRROp4nNvz z0oRmpd(0EuZD`=Ny*qIRA|8uwz7<45uXm_l5N)4eEWNZD<3zhu{6;ATUM>a`@#&ID zXar1~e8J=LLlmG;0hI|;7KW6P)Ot3(*5gxmk#=WU7?*&L?rJJDf|p>#Itm)vh<9Mi zEG&;OkL|><hxOL+4W2}A{;=*&g4h@>&&Yr9HGc`9$liL5JGWmTAH(yh{Yb4sphrY_ z^Ny=E18x8uLw&7_js2j9k7pkk0B73!-b|(u(qUjsvv$A{ly^Z1<Gp$zfwTvcaCnxx z4+1QWf`|y;u;-nHD$<s`b-1Xfjg8-hl!LxIK6m~tn@I3`#2&d0V)%q>CYkVXG7`Yk zySg*+2Ojk%KJEv8A2DAkFJZn~AiepaFY3rmh{TlcrOr33VOfb1`R*V%grP^FV?1Zr zos>IoffL9<0>06kZx~DD`wd|DdepFsHH@jE5EWf{A4E7?$kRj_gz3>3*qY;7;n5@V zH7RM*EZLI~!w4cLk6A9MaO7#I5asj-&hFDD?PCoq>VYi$DXh$!p_ZA2P?1$Q?%M>A zHpQs7D_1LKg;DCXWBfHr&60ZZAC&4fzZP+HU+5a7vWyeMAeFNT0aCG>5FoXK2LVzW zdDb9+QZu+TVU(KAp$VhZ@?n54J#|@zfgA#*QZ*t_>YqhK3Y?yF_+>OEK&dXz$_51O z=vb9)dDi;oP&3GZl70DfQlR8#c=-SVq_PZ1UW3%pSk`|*s+Q@$Aayhn0`31nsd#Yv zHA)?Q2%7(cQpZd{^EFBx4F=8sL8(b5s%q&!_WwnxEUzUIC^eFQlW9KIB5&2h=B7EB zw~elR7%REAjZ=fpGn{q-fns`M+;#!sJT$`?3rb4e9w-j{Ppx%UqriuUS1mRG{=;Yc zWynZ@fia6|%SaK6K@wD(hKq{2f8LOmxOmgshM)UFM(15jSLNQ-=d?udTX^|S3d2|n zae>JN6-7Q#|Kew{7K>jx2p=Ri5<YmrDbp5(8DsH(^8!a24cu{gXtE?ife#LFj;w*f zJW^DMdKPI98;s2qFqouhjJxQ=u)&~~Gqc=e!p3jJ$$(#8n8?#Io-<*AKpYWkTcLYJ zZzeK;_Z4RdTO=DG%HWT@L9KKEhu2$1H&oTSu@szce1u<ayiCI#QX}$~5A>`LhoUWR zu)Z*ztVQS8WV#NwmEXm@L4~IbBICYdtacC#*~%&KA%blMJ`fgDrKRD5cp5(;4Yxn4 z4ufSg5{|W*HY0z0?m)(FXV8#YyB70~v)<~3B|)goNP&29B+Y?PK@U`@#>ZHQLmJmw zFcDg2ueI!7YxxPZcm{eNBDrFcd&~bDXfslPXqnb?4e1L8qPYV(7r(*98qrMcIfdkU z-Ta?88_`VZ*@yH69nm`&ITyFV4D?P(W7_QRgZ_!L!BC!_HQ^lS_udVv(0JS2sk8ro zVn6?{%xBz%?w%oGN>grjSP|z)h^&wH40LtZSN91s__e{I)#S9^NfbHW?CCqO*8hyn zNhy_Wwk{Th!;@zw&Az0UrRb<F%8d=F?<uZ~0~v0e{qxiH?xhs)aTlrA-4?WAfB*UM zy5_g;uFJDq=OGt|zfy}HK%pI%Tf%$6wF_6L``>cKzJE)_7@AswGW#4^DPvwG!Q0!k z9xnw3cjZ4=SmmoaAAMSJ@OmXRU={k&$>h8+1RYyES3DUi`YC`n_wNRONq(LTJ?`Hh zn#p|Vxi~+lI@Z5^IsPHY?_%xa<(qSlfbZXB{+w<eT&AAx|M^x#=t2Kbw&!B_@3G`z zmrts@<L5PK<KyL{;~Dm<)76cOM=NKixl$osyU)7Le_T#@bobnbeufIZGBFO2y-)Gt z{;C!`MB`Dlu(CJj_R{k2Lh#AgKW(0@HMKvzwloYo8o{3;)N~2Hrl0U&GYD`9@$w0h zNIpnjk3oFmPlSD-KsAd0%HQr!->252hmt`#e?%-HouMzvFTZ-XK7H@FU?6n*tf&YY zPEI+v+n2c)dg5+7Uo13P$eVf9M(oIr9$t1hP1<ASUT?Sji;^L8Z)^8{ck8cAXb5b; z)84hW{G?qvp^4^Ab*Q63w@-G<l>MSV15e|h-zUMow~8K^d>Nn_S_upmF<X9Bp`#?i zTDF`K1ofn4OLJTBQI7G`d|leI@jq{0jca%7F)T5gk+M48_O^_O=;<wP?VY@5ZOWNu za`Zb$noirrbvoqY_qI!jgj(%ZFx~Yh5O;JkzcigybUg%nyiKq-i62gH?r%Dn1yz3C z+)DWC?5Xt}=c>V-r_geIzR6_f*uZC4!s`esbPm0pKhyL!$xAirFgX1rZ{x{gi-3<v zng4^%XMwCE&+Q+kWsh`QZ6&yl4{}G1WHY|bI7{kkw79LE@4X)W;(hL+t+$ZqM;Gr) zZ?G+B^DxCwWmq8uKET-OdF#lMb}<q0@x?$t(~b^!*TamzYr(!Ex39jv{ytGyI0yau znR#(fHWX~F{PDm|A|&8qZRTS@z^m_~9`rISA9sg3XRa<!?ds#Nzf}0bN8*XjIOXNu z>4p6X-BTq;$ED55zp~r1_x`NxsPq4|J9=I=vA*&>qePl&B6a?k>KEuEeX_@DkE~f} zz{#I)7tqIte|&{|F1JoE9sLdeet?q2Rkh#Vycn5SWqX@M>!iQFd;IGGNC7?Ug3cm5 zcZ6STCmz%}cM3AwuAfuPh`*p0vvQx9mbA^z3_ZD8X2WOX|M3g@colf@tLWPU*^e@R z!fe$(UD?-eepm0iY7e{E+gRmy%$!^0SB*EJ87TTDd--?9eeRDAIK3@nD1`sw<VuR3 z{<X*7@8v?>XRrAG9$aDSYNDc%A|WARAqf@FYUmd*d8n%)A!!$*A#vr}c%!oCdIX_j zKnIqX;pBrq9SI^Fkb^=o+p0NKh;TJLPB+9qm(zL|dlwc~S7Vh%;(u8RNoHjs3VHT2 zcai@saY*7@g11hyi!@xf{_gzR4hv{%`ct;+Wf8<58**{+aP|F@bAQKAAwp6ia#hCl zGVQXj=2C<lC!YE^y@(eg*fZ+>b#m!=LM;Ma_U^sbE)pyAcmX!bFOm(|whFnq=xTk1 z92G(~UidMQaOY>fl-;MKcFc)IyP3keW|z6zSC>$ow%H1V;RdmU8`rCBzW3Fbiq?E8 zINzL{6B@djLEx$)yE(WinaY)~Zz$s8y?4q=Qm2xWv`5-9(o$q0T;lSpt7_t@V)`)T z_jKgZ%+7&KSSnRPX{5!4-d5&^p@Opcc7h5A<~og0mFy(`{8pD{4ZdwVnXj}h>8Ycd zY^hwF-)URM{WyF&E=S(=S6e>!Ie2+tPO04fzI4In;7j1qD}e;w39=_UTcrf;MwU~4 zx~jNa&Ksj|v&aMDCATEE?k16{)Xf)YLhp+bC3lRq6=R5kwmT-g^(Zt)pRnn^R|s8~ zuG2Kk`@)i@Xq?)y-9bT-O+k^&Ma4R9bfG8qy{vQZPnE#_1N;I*`<`3g`@4Euc9lsV zU)nXB@!7hKk%pvgGF`l?9YZ1g`OYs(=j{VAvflmnlv1HG&E{BloR^;pUp7B8Xuc0U zzx_~9W}o<Hb5SC8l5TU(ZATnasf8)WXVgDO23zKCE=ibSE`-mPx^31@z4$uxX|2P1 z@Q0Z9RPb55im_1=FO6)%UG-V1g}E>@$>8Q+@;1#6KW5t|77DbtmHW&NS$cUtO%$!} zVnX9e63r5&6{;w;x4wIrReBgRrPBW14|?=Lw4gM3ikRUJ*Ufp6{U>FTQmXhoG!pL9 zE>mpn{j=GdKfX;>wp%1f2RFxrC|!DgRFH%k&1PjyOih|<r9bgfr+vwIdwzm?uxG$t z-Kd?Jh_Tdhr+IF(t}Jk5^YCL?U;77+i@Vg{Xz|yK^L4jmzR==tZFoymI^|ua9~VKn z+64+zo*1-!C<kRk?V{v)lEE!bO%{w<oJJ%GBUM>iIX=Frq7z%RRx;gA7sL^-mB5eb zHTXXLZ4&^8^NgspjYiKiFU@0K2))p3_M@iKrF4(F=jLW~xL6ubqWRWjX|+axtM;|* z;G~6c<LUip^;)+~DqZaNvW^Zb23aA}<PpEIo1aU+QfF^glc&9?r%rCAc(6BcuoTB> zS$b<PVev_*TL%+4XUx*fp9HrjDsIYeOPIjnN!;6=j&oDqC#Bf!DR!!JAFb+CNPN7` zn~$&^NGwURDnd>&;>(s*Gris4>J$hD`(8Ln&9mJpcJhAC>}s@Sz?Ms{vquYM??{qT zXcvyf<lJ$)sXg4X^wQ1yu=#Xq*5V1aK>+RT&amdLnb?+8f6wJO|EZMr`K`>MfVj%7 zWwO+w1n%%s92tq&<n)cvgv#bb|B;r~D3zgVL5jP+y_0m9SpiA@&u>%C-CAaa1STKq zXUkH$5&Dnc#CwG~b8bB;C)4);YQa23d%Z*G8F~8%Q@k(fPv4It@nxZf96~nVq*LM# zg|F?J#ctx!jj<Qr4YAhg)cI>&eEFN_@9)Vz5=o^dpM$58od(VPsgF@H2wvU$PC8yA z)%fw<!%$&QEUu57%RS%TJ@iMqiBI);ALumP?q0Z+KZL3Em6k5=%V)l~*vimg=BT6! z<`Q4e7#*n!=1N^5e_E}cf%}fboVX;*!n`G!WvSwq=OZuMx`LIC-NjgPC+$NVat*bq zT(457UYq&mb>$x4-al(x_o93<qT-)OFyZIL8t62!8)reN$=Bj=zlTIwb=&x{TPmmI z$S2Fz93$_b=G2t;EmY2rUd6{idM(5CW`;8EwuhS;x87Gc-K4YguG8Y;<Gm{)Iq!MA zSb5W`TXAStJkwRoZ@n$vK}w59P0RJdYi0N8=r_x0WS7<I?cs`w*@W#$#aJ9C$uQ?# zZN-a~t2xSq>d8nC%6)ZL_WETN_97qI?qA*UrN*;d&U1A}x$h<oS{_abLg^?BI*#I; z(GnB(i26RFc0kmKc78-VH0r63<zqF5s7K)XZ{b?`jqT2-h&lsN=OgMIM19RoM;t$f zYaWCYIYOrgLO<BzSRcaj`Sc#44$-MI6Cv;fuK#eqQD+<r;z!i7aDC2zr~_g%74nX4 z5Vann_CnO^h}s!Z-$m3xh*}v@J0NN!MC}LHO)NkgG<MF0P%49{JpcoTDe(tVmI<Ye zx0OvfL#mujxgUK*|2wWAG#qC4BP>#t<!vI4q~=j(UCMX_xdX@nm`ewfk}%hI1aR+) zz`{O27;6h|8wPE|@DVAZ%QztSpd8g=f$7_zqkW4sN=!NnETRgJw-0M{-2~mAJ%;-T z!F}rCJ}t*!m|$4ZvrND_s)HN-;Kq4)QhNoUvGF0$p;iQQ@ZnjBjseaR2drZQZtRA& zKiMRLIFj7*VfFm*zz{reILwcL`BAVW27G#W@Z723j)FX}jzG9$9Ncjnp?w0@&IEHt z;l_HnvGqON$Oh|0jB5|i$O{&%hWlhlqf~rWgh+Zk#Hp5um0VXsl|iXsaA|QiJf4cw z;9{T&Tf7x{e`fVroqWio!iuB$mXRVml|5xj@j`O0&Y6j1QE@v;QQ7}iBBe1?nzwP3 zY?OS^O9e{XQS>OMEFw6Pgj+27Fxmd*Vp|^H=Q3N^<^%=eMAxfB)*Hvv)U2Vibnl|> zc<*m^;-Lt&(y<y-bvo}VuwWL72&cYpv1vWbKwGWKM1zLK!#Jbq-aHa~O4|SQkq}&~ zB92uN$2|xaN=2A>D#F}#5H6&HXzyryv*XnN_MZa%a{&HVAypB!Va8$3Zy<^x(q4?~ zSM$+YG^7|<eIlUmqF2fbegO^s>E3ABr<e=vsg7Kp=`(b9swt`aDy~{ZSzAo&u1+&W z{H4|0gL+i`n^?<mNu-mS`vWYxy5A|K0@Ej9LpFzLKWxv{r$6-U<XBuf$g}!E<rH`a zckI%&E$v?rF5~}-zinEeGI<<(=ha`TKXc1&`!{En43p=>QdGXksFwNZQ94uI<|9p> zSGG|?P&HG(wb}lbVLw_^;dMDoplAskO#JC57GLXOW~yGW8>*POCt#LYyZa*c{<LuL z$4SbLfl0&PSXVs4R&H%(LWvN5$Ut38GV`=<QCke{1V3*}&md~-d(o595?M-~CGl|r z7UA><4P7Gj@&S~RGp7}&s)q`xBslsdL#R~01Y;U-%@9k*9|_ohg9PAuDuz`?l_KYi z*3xv{$JZu);@<{sqjOqqqxd5sIysK~T4HdOA*1;IxXM~3oAQdv+B<x4IviNcugE!< ziG!wc-X*pwSv9jB_0R0h^;lZS7dYeY#|)+M^>&`$jjeTJYvl`?o5bT%nLZTx_QcgE zNlK)NmYLM=7PvN+T6&Z<gcLqIfmJ^Uo~ceXT|teu6W_L-@7&c@x2$=(Y(PXOmujp3 z88XybmUiA{RRn3uN2c6I+vx9g_|>O7fw?+W@!>Ep*Ch6<+3nAkIDL{MRmnH|^jVBe zW;MDixa>xY+qp8Y`NNldT36M-(P%LJ%U<9gC?2nMhB`TUNMdo*e0o(zf4OWuHEe+4 zN*f!TZXK@@8CgBUfWyrF)|rwdH`?U;1Vns$;);BQ^|O(LXzktu#oRl-RhP<6d`8xz z`QFPPm|EG}K3IwRrW~+!7H{|a>XwmI0+$NQ0}J4+3|<0;44@)Xfo~apJ~k)0=hUCl zlODHxdaUGr5!63nYjV|49XVe-<>~f7_$1-r<{qAOn!93Q;cOJnv)6X0Wg8F?O%Tzf zKyo;mGu5Xzae=0R=YN!(IafC&(({#1ADH1by`!L6&fMO3{1y9j0$DViQi*+eEje~w z?|^^*TgCj8v)>x(vbhHvu*?)O5>mfG`09JX)bq2)Y%=4~VcQy{Ejv)+@^R0g#Kd<# z-ij7y+5J11Q*UAm*Zx4CO@k*1k{6$C`9wigQ{BGFvY&4nq>WJQD<;dR-gG&U_^n$u zG4+T#?0qR7dyK&U)Rgjm3Rn*t23ToGP{D<WM+Pg+KsZMd4`hp^5uEbvEb@#p%v9YR zxwIZ>$~fGKTkb=Xm?Lj>`OjTxtEZ9)r=`&OY&OBr>-mQsyUt@D{wDA>eWN|ts3<5@ z=Qo&1Ti9`W_r*te^QQ#$(QjJ(AaHJqR$z=Y6R=cllEo0gO)PXrG9K%WTDyB9UQ?1H z_;B$`Wxov3L$BAt{`uz4;-i_$6GE%|o(UyO&D7a8Wc~Kb$tfrE`=_&8Pz?E9sc%%R zP8!CiJABWtLY-?agD~~Xm!HJ0pU+I-eY9^tc}-WZ`Fh$`apJBHL7~381!N)5^?V_$ zIV^=w;!vVvb6{sTgQTeJT<pIVz5MznEahVla9Pg9z?A<y0Y-B9XC)r}&`YxALv40z z6p1?~JG4x2r<v|)TUFtmO_n*T*g^qeY^n0wu8({qBaO@GUeZ_Gq<<(w?2!~Q80cK< zF*B+oytU!;e=hLyc?2(?=W)QVLxEpAl!yMcTldhWTy3VDT6R4!uWbIh{2J|j9WJ*s zsw6ZXJ2rn_Vux?(Ziy#}_CluV%3W?Fe7r)!H}Y^kolLaE&;H|jf5X19G3iLXna}ri zoE3kawU>2eyJE4=U$!(~UGR(TM+EXtFU{+e4h2p=bDt}FqFbk+_uZUSW%hzRhUjOv zvQ4>H$m&qu*>1y4S8F{I1{ah8{1Oko>T-O@nV&j>appGz^R1^3V(5IN^ppEm(ev&t zCw!~((K1u@sB+Bl6@9a_>lQn4Hw%VE@X^!~UQt;Sm5i#R5U{-sPH=q#HGoMJ633)( zQ|idy4QitLo`2>}@_Tk%x4Cd!6D}5%SLBZlbD4Z)v+fA^zW5p7glClq9fy~c{V1#n z+Y?b-nIU<LyYWKn(c!+!1+8BT>`Cr=lzJxcnaqJRft$)@EDq|lLo2X{x>Pt_oG%m2 z%&yk03IY$-ahBscrVY7@FWib$j-42&I^|#9yluP7C#5y58T(xD(|SCr?_o5<egwEP z!3^MmM0-0e2s%Wz5o_#M9<}gl6ddtQWLz~fln>j}qmiNcJ)p}O=31R_f5tRl<-6I7 zSpun48p)Z-AwOoFFS`qS_AwaCz`EW%<w)8UV8>yW9E<ONmx}MBYvxiM77-7*_shH{ zid$|8E%oOuYV$lhN6&8Nq>Bl_4k$i9N$X0l!7N=1yRqw_*tBb*Shm*KeEKwFXgW8H zAC(7kULVrh4HLOLwJdA}mzQhvm3rfLq93T$7FN8XWqN%aD|~bMgzYIh`huB!OG-F} ziEx+ZkJjtirw?;v-fj8_vmHxNXP(n04~M3_wFmRO^%yMaT5LGX)S2<%$(}S^D0)c3 z<~x<&;1ovv3NCHCUn>X6gyTNFgu2oFstSfDzkfy2{zda=frgOmlKR;86=nVwFG0bJ zL`8HxOmM$vBfulfuUN^3<v@;(euD6#41<LC^_qi2jyq<@U%j6a{>3yJzbHGVC-tR8 zE+kvKCC5@iq^9oSkw?+0>(B)EP0A*T&1UcEvd0nlfg3U#+i|eo_@ny_?6KhZ?B>gl zGsV^0O5X})M1*g?#$0vzk#x1On^+zBeuMstKqtk%mg0`Jfz69YT+{R#Hx5daZ0yfN zs@K~%I>mF%PiUQA2K?%+-cCLJ_K6l(lV9|tvP7_BWpX&STTpbf_0CYfFgi)`4vpmd z$)d|AAvs_p!rgIR9OhBTM-jRk^bIpBhuZl&%>+(J3>k-+S(y5pob5vGGbbBXcN^wu zrp~EiI<Q^y54Lz4;YhZr!V`1F#Gt&kshiBp3m24Aj1TU)E*$MYD5~ZD8ZMSWph_M@ z_LV2BtZ%(Y#$NYP-hAl<{((F$zUAiy`<~zz*rap^z>`#^fG17eA%#@-?V6*G?1HvX z*DqZz5@U&Yy_D77<nFTZ-m@^%81(;hR#(#H<VUTD`lIvH?`D3>QIcE}FZuxX;MWg4 zo#`&qtn`0d-*+~}S=+LMhT-^z9ufYWB!7@mU43`pb3#WB4s6zZeD_{WOjWGPsOCPr zptbxBE&#Pn0@NR;k_T>xAd?mc_x&y6tjgAti^a$@Op;iAUae;QW_*TajAe|p$m+T9 z>fg!e*@lr6>Z`7oRu5f&VF$|oikH@TiT^PgGiO!M_fd)Bn${d!=5uxSOyTe!64Y|Q z|1fR<i$snF7Ky|NMg&c2b~p^r#STT-Xys|@q3RayJG0AV?0<?i#?|Lp>9oJt@=wn> zn|D6Gq*Rn$jEMPd%{u<1b+rHWiienQ)S>kB=nQ>LaJ-Caml9Yp`1OEsYLbGrj~FZ> zaMSrM{zF2x?hnyXX3V55O%Ih1p50rk_&oQWpSR*=pstgh*~(uCng5SWc<{!AI@p+} z<aW)?pAEG;Xng8Y5gnCdJG=RUMP;rdp()Qfk0t(X=<A-Cvc3nFzC{Y~G&}{PMTLQf z|L?xP0c=*b{qHJb;^w;?65tXd+7s-B?ye~k`{1o>l4f-p-gtSu+S@;GC+(%DAEuWu z3-HX#Ov%U<IZ;66HRrKW>&R;kKgy;*blbhS=++y*h0EnkY&rgf#69&3*ueSi(L4Ef zO0AWrv#b3THCyQ(6~}4$7e^BRdjU@R(*t&nOB#;+3qXyB0obtJ4g9v?;&)a2s$fae zhp$SmOQCQX=$iNpDbi%?v_=H-Q|pj8)3y?IFTQma{jhcLX7$xy;Zx$b$4O*X_79CD z1x@%r>{L?#oVXv82v*fKa96F`IFb-e_**geT-WQg#KlGR^~_C@s_VH`0*mJMN$OME zZyzK+9?x~J(hix#f7|h7hcb9<k}`PO|CChEwPQ38qTfz*CN$Vl3r%^Senwlsd?+EQ zy6z(^NfJYp{2n+;nUEgkU3L)KA&#bYwMbQ{xg<lD2Ke(Q>)pspMO=g7Vrw^<T$cYO zCuf?Vna*8}EbzsU8jW|iol^(CVrnUNGyA8U-@JsjEy%l$dq{>iwN52|TQ^Qj4M0&7 zFpeLH0>}RrV65x%;7%U`0Gl6p13crUf!T(!(02<TI<h6P=65;Oo2S5~t?M(NrJ075 zK13}~K`BW^gZisv0bjf1*4a!#>tN=P<Ds?X6O41F0*tBKA3{?qHU8HE!42Rv4Oqbi zts(yBT>mAVs||-2*#7oM6RG0fs1Fz=hD)w+JLH9aptRB8GuI&;4nC56;?b$HhZDt4 zVb#RU@2+#T{;g5e*;~VM8m+5bG7_jxDF*k7nFHKDtOVeJ-e@{vhn#F*86lJWYLTlR zxU}(_f{&ROYjBme{E&QlJ{!g5g!QxZ`@y8jFUsHH0X=64QWwj-rkHzid}=c{rydPN z_2X`^tNC2!tYB^Tfk$4`Pltt)=)dnmdY+D45mfr%KS&sN4(k}7<c_@~Tv!#N6CA8t zg&Uf3hXUMaz8?~jmJB#X%-WDvM5$gWxaL`4dc4dU(MNVO=)azv(UV9rYOBru&E|Hw z8X=?M$4jbBZ943K?ps86zK5*nNWGj)FCv^`C3q5IrF4eOguaYr{x{UIGj8p9?srk} zQ!y)&RR;x%vf((ho+G9DdqL}>r=4%!BE6nsY!<S-@5DtHkA5r6nRfu`9<^lBC(%Wd z3XuX^>8q;%=c{{R(t3!rj1qjHcT)$fGDE;5e;xvyck4V5SNo3?2?1lW+HChdHkVa? zk}ujn6Ki$MEo{1KJn(WT%qN`Lq2LMY^uzktlzy|M;b!!omC1WX-y~fZSd*vx)FZ1^ z!E%VdrI+>Au7C90F`;m;D*g*EUt`zcH(}b_Z`A!cDluQCzR?cM{sZCBX+eU4^`|{A zAJk<om>W8KFvgvdgH>C%3q5O_64FYo>tAUuRMtG>Y+Tp$Y+Bt}`V@cxS>3avYeC15 z!YOjtWqepNPVQULrQDDgde3(-YA<lZuXk%18Ml<K0l?)Uf<PAw`cJoiG6c_)`53Rf z#E+}JvX^QNt0wu~P|%>cXxcG2X&aU6_tAA1Gm7w&{DQyO>bI7=mm4SX3(}=@FytOe z!Pig>%Fz{Wf}gELOOVXez|iA{9&_wc|8nI6HC#u3mu~ZD#xsF^^;av05u$yXiw91w zs0nuXpC%p(X!pr=W_kQw?zL5<gV3F;4+Ay=-~7P*169;`1%;`Ow|~Vev{$`tHo=wc zi!Bw|BXsiYrK-ELkqhe8k1*N(kMjIV^3?kZ(Fju)W>fWq%sLeV1LiV!ABWxba`Y#B z2iZK@S~8vRFD+T645lP}om3^`i_~TP;*J?A>dD6svh!yj*VvZnaHjJ*-aI^HTYK?G zwxZ^^!127VUn2xt*6FLP*l~ZPjG<cC9;srFX!GRcl0QRnR5i)vP*3E_Wj}XY*oQmM z&T8^kDz<KiynzmM%ADg%EUWQ+9y>05DM;zfbP%dnwEh0-ZeUet06)IponWsTzFE?k z^h#(&L609~WvE9IBTDypz%mfD$HSovr^cA!bXx*kslbW2ERd%|-+6pS%Ul4yz}T{1 zm1y>JX7KjFQHftdN<*QN*z%3{7Y~JF*d`&r)*0p4amnAQKTr?YeLSqbkocX&$Pi`S z^dXcSq?5LTzNH^md^5AK;XbGG*q=*RF%$D(a<{%BDBi)Ri{CdsCb=+>zPFE7Fg?n= zj|v_nzvaM6B9jKPfzmg3?MaHPJ5xNi;>|M1T3ERKoxah`{dp+QN~c_>&+VewR1aO; zqS^St=O6w2)=7zc>o(0wYDrHG-Q!htM~RazUjRxpcYF{BuX2tgI$E+Orra^XQphX; zppn`gu>NMi4}neKaWYI296!^?A5IK>&zszPkW(t+{tzzfIY!-YiLQvH1ZVo1>FDQV z7AA>i3%(5qeK;*z!TrCwxC*eSny(Kmu%MKpbc&QT(v5V9AWD~XDBW=hDM?8|Is}w% z=@JE$5J6yR#06=jWEc2mec$i-zW<-+IrrY3Jm<`rnKQo`hM4|d$GY_D2lPov2D2oL z9kRP8TA~&+Ww92EA>)-G^jBRewiedL0`u|E2IkxwfuG0D(dxs(HE5(x``e<_rC;Ch zDf5cMV?W*{(Y<2H{woHvGDi;neU|@yZv0)Vv&#o1+z&gW%fST;=p_^#@m^2@{wdHF zb|)CvLim8^vlI(_Elk+gS2*ZVEp!Z_RfH|C5i*?_L(e{Lo=`r%)wmy8AYI#hWaXRe z@NhPcxA}rh+Dg)xCh_FuMK1jNEPJEB5DNH|(E-niA9E3(XTDyN339`ucTutOr*sKD z8?$H*jlOuMB5RbAkYDcE*dyd)JiCZ0P=9?+iU%ppdjOARZs$)+i%@$f)U^ISU3)H9 z^80kc55-{nBVmP0!3N68doI&8;XlV?Rjt?$%>z!X*i%q(-_z&{O=Fm5)1rGn4Q;CD z+ZO|o;yJ(OF*061mL<Mz<gPF@#5F@~m&g0ITlqRWwR202@KgCkYKfj>WufpFH63Ta z(a?-J@l)+6-@chviEP3;bf4j_@BCh~L8Q>*^njU9<RW|0nT+_k>e|%p)+YfA9ZxB8 z22uNby%*uTmMT-S)DqKeuC2lwSM3^GjHem6xoCV&e(_8lNk#O1b8)R^h!^cJ2@g1O zYM)rsah5-UHH9?9n=cFhS=MSDEU0J?xCy;z%>_+TRSE_xmG9(3iCTj6)Qk+rI>a1C zXG6(p#;pjkEd*Ygrvy6o-kp5E2CjkbNr$_wp{A3t4FZWi1LRSB>!HTxdgGzvJ=3+H z9-e8hN5>yMlTp!s|A+410F0x13p95K#`gtlPhCcAg4BVIp=~X4sLS>qX%ltKRJB7a zhKM8KN|VieRdW33+Ja%C^C|CjgJ9R26pUjk-b>wrr8&-;Xq+zXwVSIY5u{%mYM6ed zfllae158M_qfTKZyqBa@UUB#-m7(F<0sh2fm!UyS%u0|0@1vD-uX+b~Z}3COiDCTu zEGG*a(*T!nXd}!%o<hvzDDFD}Vl*Y~sNDELP?VR{h_J!^4wg!-@3ePH&3vT?(>)Wn zM;z$66Su;fGq$!%mbJg0`24u$B>_5T4hgWJ^84`Aft)K6ll|<OH3}wbg-zVX-_mVj z>6_t-ld+U~w{Grr%FixoA#yD9gFky4ej^+e$o@18ojfj56Z(+wb7AaU-5=l7?qg8G zNCJv3hyFxdLUPB$(|ZoNz6G30d)3=OgV%wuk&2l9a_<($u&m@oYmB)-LDa0XqSJRz zB|smfv%R-gu1Jg?=MlKe3LdH`kW$Ukw$*U2HQQFdptQf78k>3LPPGDVwyK(OkpBkV zBrmZT_Wiwg$C$*Se9;@ha_*snXQmHv?WXMu{0wK)rJc?3UkV*JK3!f>kf*w0UMe!- z`B-A2SSJOn{44JOri1}g%36Rax}rB8T7l_=sM$1b#|drnMlgTBesg9k&&tC;owlpw zTy<Jgu<w~oAK_3C`f;zIpxGI^RFrnG+guA6>7#W(%A5Nwd3d%CBiB7MM#ndJ^NJ=+ zWmHPrfRbSt7^_<gjDW6S1SFnQ<TP+z5e2ZD2-i4`NDzkHGEV|1^v9H@6nc(hU8X~D z5nvQ^@k5{*9W-XKssC6`b2w1)`N}9Tj(%eKl?}L-u!Df{j{s~yC<wXbOrB@i8|+!~ z!su|dkm9_>mAB*PolPPW$6ffH?z&giM8~JWv?D!D-za2y+VJ`KS_j66{Cj+dEsr0$ z$dMgkfXEJjK{_}tbO-NuT8h@*QyP9}?y!Dv>UQ~SbDPRNvp`U@_kKRCT-58sfx4u< zXH5_E1CC$aj;h}la66hJVfyWfbxjdY21LbH1c37_7LLuIzQOPnKg-dtScN53_J-mm ztxEUI`QCQ7{1$Wx_6aSBF_>Rmz?TSjc-p@0p)WD8M4#vFEAf%kS!BvhEh$Zu_vv*P zx{I<)g{(UWyd`bkiej#<Xw`{z4Ft6nF#;O)OLTy5svdm|2moHAQjL;TX_|YEcY<We zuRV>#KWj?D`g~>mBiDu7B}<OzE}yJlm&_jbZo2qRi(((})|H%S%vP<)Nj69_8!g?m zQ2dkG#dBjj9H=753OI?22AKI<H1ez98>3*C6+AX!2_ZI}&EU>5FIn@sfJzytk>M*N z(Y^F4A-V}68_(ATFniiN1qI)7n)+i6eOSea8+XS098T<Y1}0URw-VM5{PK#1kpTP5 zI}xW50pm#|F=#={aL_@f!O8>^q#E>`7!uy^V>`^sDz?|6$-_{~Be?!d()t|*_&AYK z*hY?wP=-i<8*?zU^u>y|wogpNJxhMgO!E-wsaaebH#%+r_K3Iv)R_*@%y=6hjuka% z6a&mA5eRz87;D2IxSyxBz9S?)ZY0EjAveh}vz8VdWBtayv-O9>^EUim51+34M3VFw zHL{|K?mJ~^vmRFLKSpaBaB>CbmuY|w{R;v;`cvY2MVtNSdorg=g-Ddm$}!fdg$~|X z-YW5zBCVR$omM?f#FNE#FNddyeUo0oRK6O?knga{BIzV1*(q2hZ8f<_pfU|@RCQby z#KZGJVpy6}<*B{M(Hntjx^qp2clUp$l`UHCoqZm&=c_)}k*GdaWP78{Om`xixeNhJ zQz{LEY)a+)eg56gk@~v@ES2#$BoFgkpGuKYY0f<q&vH5be?(CtZ%WHSV*-R2x>}WQ z-)RUGw8(vhMK;~#;#)ssCO?V&RH5**3k>zXKg=4Y&|ASCA3c3JzW6((-f9E#QmD5l zTG$k!7#(L&E;Y!Q7;79D);Gx*(YNPb@(`l<<iY>IksH&rS3zqJ;DFYy1sK0jqrnnB zxw&1hWz)6T*|sN2+orX>q{ok;wz9BiOQIwhV+n_h3KRDDU-}0W!z&$wrpNUhFMVS7 z-&mqHgesWg5wg@|Cs!fpkn!cu17guM^lYzs`(QI4eS9PS!*!Rb)dI=wnh5<vQ;Qt7 zELHf|)kw3W>K)$r<6pdSZO?B$eYE)M>HAKdBi2~1G55t;jrn67aeo{amfhIKCoE)N z8J;FSnI&p`_p~W9zG)`kz7!)t129evVk6rRcl+9)h?w-F;Sz{>*nQ*6>uoImcePeO zez#NX!W3d_XcxTN%}y;&;~&;&?lLPZ{thyfr1E@rJ6t}a#p6Nk*}XZoJa&ZJ0f~ag zlB}`0-(BY{-}WA}F!=b#<Me@hP6#1m76qwsr@Ts9HI&?Vk1ptiCl-b`T<A@rhPnLl z*`HA#K+cCwurTOxgJff=	^PIe(%@V}S}W{ryK%sGz9_tkNqg1T2R;H;YRaI$nQd z>Am`kT|)y^May|v^J=#Nr~S}{hbB?y_f3UGi;v4%8w}x;I0>az#6eHir7q20SDj=r z@f?|j*P&ThZxC}SS^oIgJ2bz#v0Q1qTWEv!bchz5J4Pl#++~W6yEfL!)xw^)zZ9aj zo@(XMo_!j7peRU5({5tNv5l;>^t;m$sg#$wCw}(%`RKSE8$h8_fOmW!1Hy`8a4upc zOY5~ikq>@Z4Pn2iN&z_h3TBgA2eVdS^}|)~sb>owdY)jCLQ$!+N{OL`jE0}ov|r|- zKExmN#{`>GI~VlbjG>Kd&MHP~rULSl3&tJe60PF&!{yq$9^Xn&#D7fyjOXkS*q=-X zzzwv4vxf;%oh|M9(l7ejRm|nE@Udui*(5RhNMh$x2hQAI=hF+*hhf|C4$#&X#6ce_ zeX%HLz$+MXrCjoC$w=1UVKxy8=2%@VCOZyi_<Ih6;UBc}%il8@e-Cs>{XNhj_4h!> zI)k%}%83O4;NZZ?`lAST-{JpDV04<pjDkBDkmdwfTUG#B#7obe<t{ce$6m5ByqGET z&FUkU_bUuuQqSD*>ZJ2lB5<1_H+Q7)=H{5E|HRmgNI#ObhR;$zPEex9mz2Y~oRov0 z@O}|TTyf4o<tk6#=Ck+3CD0;|-lek+-V}ek1)r<LVrxi)jP#e?$n|?xZm|rbulbLe z6i!gh_AVVtc;$)44cc>(Xs>k6_#EW1?Aa$X-Ne-_@vEaHS|uKpU0OxZ_L>k~##G9& zet`y9o2dAGpP2QRCQR<kor?KRLMxs$(CgZD9`F4b>K&N;7W-mveV6Kx8Bgd2g8p;= z=wb@Pz<_9F2Vt(&FE-x?F6aBe5dKJ#b9`}Yk1ABV1(b68B}d#hmE?6_az?A(+{zxM z$};lC!uxX+fu$|XQx}kE8pB<oy!(QB>bo>j#%HvWIO9D+QBwtz8;*;XjHOl;OcDba zbcn`^y??b=<AO3ecN-a_u|VIIpPbt}z&!o1_*0~dsK>2**&LG63-$1u#wi=m!aD+= zz1y@mQfYpZ;k0pp3nne{zyw}xfSb|Z1Td+J5@7Aq!yC$uSyn#?ZC+!nwGi`oi80v^ zm`4%j6o>i&L28(!X5c%*sB<<ZN1whIv-35*xjskiQ@?|=7K6RRdq(!&eLW-C-|by! zhFkV^Z4#k(N`3Kz6h?nv1kC=P!uthw<I4cJou9#^24jOJ`ytT+)QqO$=9G{Upa{n8 z>flM`N)d+h#sYeKg2v`cGv^#%g-72=tDR<ECFCy~;03qt-<pDdz4lI7PDX`=DX-{S z(&#vWDljx0fTzZXfa#Hi2?#`>ni>YzLWdBVX0ovvMGY`x=-I{A=MOi1d5)y<FJ+!U z>sY_y?H;g?O9<hY5#M_za;OsgiRXx<b=xzhg6S6l*qoX0c|Nqd-5an*8Jk-l{6RV) z01>8sCfP;Za)S@o?CR*)y#4x(&6zO=uv`oBhYh<%^;EvqDxQ0Fzu3O88~G1`?Rw8Z zfFh(z$lM!O#O&u6%B6-GYeIoVgz!yeUPq6<=-D~`6^6j`9O5nW9+K<3G_x5~4I}$B z-!jdF4(Yp$E}kjCZ!ILQ)2%8UwK?hWMf>xB&TkeAmikoHHp=Y*zFgH<<GShkG;eMo zoLuN0Ew<)gM_mLxTlc>$969k!PYFKG+w{AWBIi$4!?o>*`voGI``8&7z3KpmlYX+& z^=gdKF|6>&-ULHW6td$Bv+g=a|8AuZwa2ZDwAN!p+0lQVVNmjLAUZ0o^}HRJFiU`p z@O)mRZGZyDUg+Ynz`>9Z&?0i6MF5s*j|;#;8tfOq8xH}TVuHS@;GQPOnDZC3O%o|@ zPBvhuUpW;96=sQMq~9v!9xz%QCEC^s+US~^?C~Iq-69J;_u)Z@9PzAQUByc`FM(+U zLGMS$Pcl$+^9&<M`-9&9NB3?hzslC98vhXiYCizKg!}-4m(>nNo`KZ%(}8~TOnyO! zYlXrtei&MrV&gM4h#}Gtfan#QX|j}p%0*3|+T#<~sxlK7v#hguS2^afIn<kIr!>SO zTpeVOGg4$GN%_hYy^+kc*fZV4wsbD*+U=fa4i&7g6!NH0qgWQkzG``~U)31BR)P{F z_JQ2@+rjWmjv1c&!SFocv%)8^uM`=TF>uFmnUAt@FC!M=4<SWkx$Fhn<u<SF-0O-k zK5aj;tI7WTKw|Mh{Pq_IdI@|)lpn)#!`cBsL74}T>6ZzZyFEO4y<e0@_?UFW<VtdJ z9n7`Q1bi`UdKXA*{L<9HUL?MNi-=y$!+{~S+@NJo;A}{r=*yAB(35QryzvAu2mdN6 z;*N>!<2tf~qH}F#R+`NJAj8!CKVBqzGP~rok{%U``mNr)+Emw!;>Ze>nk<hzK1_a? z_$K9H>)T%B`r9jcMepteCa_s!_J+YqLq{KTD#hwEt`d12SbiOn*CoC_)geE7tfkk$ z8~+ePr;|5?efW4j%w6Pq<`vjItyT+vY1bJ6DU=l)))bUX`G^>V?ZFjL0XpBhCUjC| zSe88wsR{FnMpaMg;(r4B`V9^q0iSyuDAisFgV}rm)1dNiP@QhkpF}OR3wi6GF9r>r zUyLzyrSNf3Q5GqM-d9v)Q;|ZArWM6eu|orSki$okw}tcNjA<;ywf(;Dp(w<}dw9G) z*q!B)QCYnS1`l5)U_NFTcUvEfCzv2k%rU<5MBg=Sw$AzI_vKT_8rzqZcwBtlw6Xab z8P3>!oz7*6wiA8i@|^AI9vh$Zztsqk!ryJ|nj15f;+|~X$apjF>BSh7(3%MBVh2oQ zWhe~XFN4|Q17SBzELSwN#GVLC=x}Xne;Ya@KY70YeWX!x(i`EKOz%=*enRN7dwpC5 zpHGk>1{tSc;M9`w_GpWuL|W4H_L_(1OMuTQ>B^|E(*p!w0%(i|v`mpQkfsdm!3jA6 z-vkr%<743f^vd@oVa~EGo6*Og%tjD+JZpXt5z9qp(iNG1CbIR|t$MrPfp~Tvf4)6O zzp=oBNJiotqR7^6zDSwu=qpf3htS`RyvjQZ;_aN9j}Fn7#*YvG%qt#wT)nd|Q%AXF z6JuJ3`bvp!98BCJbcEm3*D>Tj<=cLAOJSFWFUQYKBBy)<%tRZwI#kejC~%%U^MR*y zMpc$?F9Ey;8Xt*eL2`5F-tn@RF|!7;g(6dlE%e4Njmvw;$m2pJ(U+3*^+y3J%%?ns ztIkl2aHRQ4xXd1tW6?5;Fb#!b4iT_Ob_L**4TAo^<|CMpkVu58z`x1UWs|Xc@WC9w zU~LRdB?8~@ccJ-Nk~UXrDK6tMsaolEEy*V~Q?l`*_m0P}=_cL0d+!<52_aN<sgiXi zWxn=TDW%@m>k8U564Bm$RW44;lPt{$^U$e*^x2yY4D{->W4*1hm0q595^irc-vH<E zHP{DS2fE+31kGUuR@47%mSMges09G@lnSl4Bl>-^#=Pur6*g7V=^*qI3=)GM=y`0c zTsfm4oGK-{kQ}tQ2)MF<M8g$amKzQ94~B8OdWZ44_|1sK6|7X{zx4rIJ#qy|Y=KmE z#|rf_apyh7;Xi&~AFR1Pt~ql@yaWGJ^qVo!bbsC6D7I6;^IgIGQ>2+g+W|Q40wp#x zHXZmMb~LC^Dh7B0gJCcQE$~()DmlT>ZXh6{8Fl44&qju7U)m1}wQQFFR0)3OX=cMU z)6Z?(jZwcl32$2Am+?HcL7{2IWOWcT+LI$@WZPTdgousjv1I?lzJPKw#nDY`rJV0x zzQR|z#+QRE*7@%g2*0dr`8Gxf;a8u|PqemdK6J@SR66hIAgMmOT;H4RuiPHI?1JV! zV7q)}#f<!`ey<DY*Sqlfh-D|1URIQUBafhuCOm;}6RWy>TT?Sq87(Q5_2MGSD>4oR z8(3eD#U)C%Jv`MhXI88*o;ek8$UgYSJ)rUN$9i$!A-4C^Cybi|{g;2fsEN*2`;-4g zzTvT!3Wl7^43b_ojmLgph<Y{A5c8H&rp^*!-%}|<5m)s3{`+$@&Za=7nE&x>u1d$` zFfj?#&Rw&p#BUM_j-9Ys8v7%Cmt1{|j$5${4Y>BS`59>HxhA<$vnvhsV|M1UeeMuT z{%9vbgLEF)7vrXZb^Kt>r+<ZF&!-O!9<pc8&s!k$eAKkOD<}DzdIwA0WOi7tjsHX> zUpzmSn#X$h8GJTvI|$ESG+#6b)*w0dOFy(~0BIv+89=?=v%SYNHaWBKJ*29qB#78k zt0`kVH!c|4lc(v#E8oe(!5n-0!!gu}?MdIXrIFwDB!Jp9Lu2)B#mD1L!UoZ?4|y=t zylqola7F%%ot&se0QG#*`#h}q=xtLXPpc~0qM#WwWzprkfkd7Un@)K0&qJmHaT{b2 zDAIh`w4gG)t|N|Qr8S7alOKT+8DkENBn=_43AiNmr1DsVOJr}`c;X_4*CAWyT}e4n zyB)?$+i{+0Gv#LMfNFT_O$3T}tnxi8^?c;^Ipx@`rW1*L7EoU-bqCElN7IRPK5O8D zQ%Hhs04OWcsb{X%nXjnCdFGK^vrT|3mP7&~V|*V3k%t8PvyR0$?{<Zz?^9P{NyKcQ zldXG$E7IlI>pSAoJ)n82oZjPLT+chk-qd5;GYg5KJKbJZo+?0F4$d<ra$M63+95mr zb}dx)tbpGU=b3x?Z8aTvIF(Omd2w2~5Gd-g4U=X`H=o^sW5HHQL^oI*?IPvu_WX9S zTG<gOys?g!fkfE+YalUO9%wsMO@}#7&IhE8rwC#gTJH>uM1+o526W-HGG=&ljh)Xd zREIKjBZIE5n*b*K0wY=FNUZ}dVTVl5a#qco*LzH6iRDNwX-CA)y|!GR-*x2^%|o1c z97ab8oEYav{ia^P@yGohHqJubfwGBZ_>kU#b+}%fub>x%H|ChqutPQ}MS4mFYyauE z^idGH*zo91v}!*yS^9W4m~fSyKX}5U=1g%QFNoq)Ee<*(+DoCXwng6<;QIsLdq~~2 zLA_Ke5PVG8t!)>$KhZtvdTQJ~YK?Xt7=41CQR*J`K9<Ep)7i=9Ax-QqQ@Ww;tv@$T z{YHWZMtzPXNRC>&XD)H~uFn6_#=4-jL#{&IlwtwASQp0nd_ONomOsKSJNo>V-hZ0u zLr3gLL9pOju4bB3)~Zf)y~(ekb9ivyaQ68nUhw<+ODB`N`eGM@RFc)6$ZC1*V>l?n zd~Iu)Yu8b~dN<U3b>xz4&nYKIYR?RLdSWTmv9SF4l4VttKFYhTCk;ilM@wyvhV-W) z@>RRL!S#}ttKBY^?LVu#UA&GuV5d*3%o1_B=J)w<gQM#6_9ab&v_E)VvfEv<bnm*O zQ$iQFx2(|&1AOAxryW%}jQGKM_4PET=OY(UA+k(IIA*I`cIeS6`d~Rpsr9YPt9xB7 zzadgIv)yHQH>3A9)HC=P>Yo{fPKy$tYkOT1s7oTWz(;c|w40sms<s%KXh~ZF<rE^j zHsZmsSDJH9iUkcUBe9^VnbA`Z5?SKY-y;{O(5|Hsh-j}%9JLF(D0GUt%(Xqr$Ucv| zoNq+LEOl*dby1#jk;syqz5@+l?t%Ps1?e|G1Cj2D(p`w}iRPU9Krr+E)A~hh^DV$G zPZS^a1*zSoY4@TndP_U>a$@F^eQCGlch^<4DPZgJ33?(iqzk##MShARxx_k!gJLhY zhk{5?qed<yL!cicmv{CObIzM#m;K8S{GuEvZgkF%0{e1q2BO{LGkMRqDslOB2IAVQ z{(<i9@;-*{cJ@!6;G4KCilJPvFDfNRXIh?hc^u#E{FZ~{Ub=KUUL-;X3{d8gpu0vc zUWZ(EpR%4Tp(pX2WmiAmz^d-NdRiOzeU1PvHn2_Qw7<$@RufvA5ERuC<S<iQsPiag zD9RAoK|3kc)ga_Bxk*(oFMpZxdG9geRqa6Vm`E$MRS+QX$K#&7G4k;H01ldy)X^at z-YnD~ZbGxt!%MuMPg%o%TEfhL8-87Y3g5i{6_p$n4Xlm-IzF;jj(R%HX1^vg()W<) zexkgPSWx;01xHu^xC;430sc4440bSzNCehUo$nqo4cy8V%SPkJHSrLBe)vrg_KGB~ zdmW;cqiP6G@1CaDBT#U6J==QkD@STT^*vm|cJxD0;GPoEu|xDnbhkgzx%{eyA}Ny? zPS5)#Qe5vI9#w@cdR(vPDP&ZkwXz{gg19%skYw3cNff(>a%1OXy8V4gv2l^NFice` zKX~d(7kbTA>MI$F5fl)KyPalJ`|x@obWKJTt4E12?o-{gB_RzwSYzzEt2qwAg8H74 z*j@Pm8^f(x+-*Ek_L{2+_a8hx74D&2KE^fwTsKX4g$6F8?aJGtC?CKRjtA!~6G0c} zE4FCJ2lPl@F>uWd8!*JbTBT=!EA<vD%<5(XCAzFzuf?!uqn_KlHS9Pn@n+3Z7=(vm zM1ewXZy1MQ$I#W^*9x#X6?SX{@*0nc?Jb4z^}f71do|eDf^x1kzoU-Z`v8Y!X#$VC zCGanMSoq4_q(n?D;h<jBRRdR&a7R1CtvmSJc!>fv3<*|PJ=#RuLp9T{iQ+;YQd40w z3W`aaeEwUI7>oc4wi^?3m4y*3*jn7l9`F9f9cwy~-?3DHZ~nZ9Mvq`4H6)@z-9lPd zpl9P{GGT=Z4Lh-$1Up8l<@rm8s*HHbOz}4Yua%ePD;DQ}B`dAOVM*$|(ixqq?|Q}^ zJ7Lp9`BLPnrqWxUkR*2?hC^#NZ}BD$$MA}t`Iwn1DTF_%oW*~7OE)&uR8;^UC{|3G zT920VOTJsuEKxovp)mBCY6(88tzmW~v8IxQ$lt2xK-HJ{%1p#`4V1bcv7g^?w@^&~ zuz~C*D6R;<UeA5s2ma>PHa+0$0Hn->Z$}6PjET*7xV?}GNqS2fC+9C)Ch`?6zY1A6 zGSzSmuQ){H-+N_7&>77c13t0{W-(#$SiO3x512J$a7#Z50fW#i#f?v~+1Jx__19d) zeUSd)p)4?Tyc-Sr=^rLkdME|fZs-BYHr_79zG}MiHom-S$>i0+6(-FTJf6^vI!s4+ z%VSTcIf|!jk;QAzr@4&>J!n$Qr64kR#X$5np7TSuo+b}Iu!#KzYl}N?1Yw{whcOTs zg|BRFD3wOjK>5ZTbUtF*z-teiv7d9!bw_i@mO?NN+U4Kh+$@4b>^@A4fR6H3f*<GM zlsvt_7y8ubjh&^#;pk?8M>`6qe2$YM{wHd=t}c@j663)o(4&G_W{+)^_$(7)$F>~& z?YZF_t<kj<w4bR|iW{fp2E@`UKj8BZ=(zGsEk2pg$;;SWuQ_-tZlbA=v|W1=O1-rs zrIHt0*ecmP5v60~gRDQ}zq0jfuD$i{>J8JJC<FKkuK4!{@9lN{9{u@oz{%2M1=S}+ z-A&f8_%Ul_whx`Ub!bwaIIYbZTIkOFcsX}3I^M}iCe=8+=$DZ*LEejw783+viH$Rr zxc+Bsdnb3Z4A`9hbcqL+QA{@9T8QNiooh55|6EQH303V~qMwRdRrH#ixze$tSDL@* z^c(pWj2LN@XZ0a1<J9bHd3T<8BROe>BOv$NIX|3~)^;GLt>f0}0_-+1bMEg6qpM`c zZMbfwwu~BNLRyqaZNjiDAGgjFCi_a>5$)@^UnW2NQ4GtsHO;<cA)u*<{q2P-AM527 z9M4oWI34BoNv?KAA^XdgHo>i9-{^OE0c>HaJWJ*Tw?z6h$P}HA$!e)(!kC`iJyVJz z@)q%c?nJLbuGQ;F%vBU~uq(G@@Ayl~7q5-i6RtS_tSB&%TUDQCIHgXw`za^$oV<2y zrq3+W)x>a1hhSys$*w#Z=dgmwyE=WQXeWVVEL=C9fuatkst>-_l>LqPi7R1ZSN;0a zDN>I2$?QlIzXMMAMXs(m_OMhijo31<<GE2ByyA$4>XTGV<R4Ts@G@p^#(6V{2aQw| zN*}-C>YzuyH+4Zi*uZ@mcWn7R#k~^|WoauaiuZE!!PT~lw21{7YT@-|!Rb&Q`=Wap z<Y~E2aZc3d=QLw{9QoVcXI>-qTE(?9bL!ePN<v!A-E2Dlp=RM$)tt`C%2IC}yX<Ug z%5Zzp4r+_7eWwxdEwri+N$0_fWAHiXQNh(8YtNsNt^Z`xmns^ko1g2Ci)wZJ{X8ma z<=*B`HVvs8vOc1s^s5D@gKcdNBwa_Q!}}F+Dg(mbzI@5{Et|7@wLjE6uZZ@RvLZu! zySrGCd~x&PSgwujxbhu0#guYka*fyNbs}GM6>kS*058-vHMOlHd7f!%8rDGKsUU-N z*pxewbn9&-@hlQXI&RAOjJrO0?=7aZ9KkDZ5?df}#nkaVMc?lDWXZgv_NQ}p-j_Gl z-}aR^OOTar{c=z6%6~^vinl4J?>53Q6?z)c)@p4{zfO}<p95mV*iAR@@RqQc8^a}5 z#Y-Tg6E7cjSCJ-?R7q^YpRLt>#R<IoX%%1JP2wMic-W}X`gCtJ7FS9x#hH8^p?AH` z7c+;AJWoE}33(WywnZY=_R7;0o}HvAp)UT(k}ap0u2?$dQ3;*QI`<mW`>dqY@IdFU zN<+)x*{R_bF1j3Rd-D36@b_!QuT#T4J-?blAZoIh?si9t;*fl<)CTj~Ue7OGlDhpt z8eI~`3eGhqWZq$_JHJ*^1uKY})g@tLNjB3Z`N@~;RZJ&ynwA=Fm~3sz8AUpk;?7T* za+vPUACywT2kK$ugr_JD;)Aql$;5!8Y1N^gtw(jWseSOE61vYXSzoJ)zk(iXh@`on z=I5n`$4nG=rH20*w+;a*U?9aLTR5nKihIpMS&aJDn#FZ->N|i3eopwq$w606cs*i} z08}gjDvru^<^@p{x+J#0Qg}+}G~clqtBD8JG^U0-%vjTM!rjbtZ-WG?;OmJlH~8Y< zgpb?n-h$ScLbQ}M!~^-2hvZ*n$ECTG8M2oE(Ve;0n1ZzjKq35QL-OfNnM`X;wMm+( zfLF~S`LkP@x7V0fUpljcqO3XLerd%fK$Dz6bE1QKoN&TC>j1!-canS3V|DLT_oNv0 zH=w8o%xg@GX<L93`4Z3`Ms@WNxbfAbE*41qNSEYm&|sA=iQw}=PfXqv_oOII?+o`- z3sO$_%!qYlYB;V#T`5Q!peC-`#}=R={%MZwE=IE|L-N65nV1H0=7bxrTbpyjV>Yc5 zKvEP)n#hg<Nz2&bsz4b1A^8QFObpwhKv{4o8R%G(<2D?qz%@EGTu8yaEHzw8UeFwf z(^pJa5wAu9SXd(9gi}ZV0O~7`|G@#cOBj%67nM%dqxI>3h#C}d?~lb#wpxp(q`CcZ z@EV_`YC;B!+1sRSCY5#u4)JR$czEYpi1mV$UE{9>Pd&F|eF7(AQm9Y3Yaye}bun-` zi__0+Klm}iX&`&vc9e#{SHkvnYfn`>xFFzDd67ffj~Cod7M~nbOmc0A2@Id64A)g$ zFuyZ(FW(?PIWX)CYWqpRr_MWgAT@l@emwZWFxSpFmy-Qzz0_!{RJDS;jzO%YYjOlf zMZ(~2M$cR6oEiz%E>Om5Dr8%kh4!YdSjK+mQD;G2VTm1^yvQ9cEM(!O#%qG_q}n~i zN(p|8S=?kp@`XP_g<pB+<h~$i&?sA4)5_XqhR@}U+8KO5Y!UIa%gFtHx-gS7>b7_Q zbw$l~z->F8a4_)w8qE9~t?WJ^Xkdw`&dly4dOUqBoidT$16@G`SN`~BQ`6gIE-7yF zHybNEA10f`pqgz*i(S@Dk@IS2#&qTgp029xW5ME@<?r><Hjy`)0$dR9ZB;Gnt6fm5 zM4Zk?GEG-UlX{gGyN}N{Ba3Ukh-xN`xb{*ka){sQBSZwO{`eGfw_z%uuy^A%-OJ@4 z+k+k=Y7oh&>%s94@z-ooHe!AO2id`c=%WeK?WEaQ%KfIXGxjK3hbPS{v`KWyB{3Te zPc7VC)7hp18srD%4YTyRi-_-&Y|&TeycxW?RU3Kxw;=cX=h9PS*3TkYzt?=ENvBJ) z98>}^>?k_}_nQF~*9L>BA2*$gYW%b|nEAOVH3NzE4K-a*3~mu|Ax@3i>IEyD5iV(4 z^_J~bqcquk0(rI9eohU3CVHq&{0eb9!0wf<dpEcGu@SpjpB>4)w>}fVH|V9x+{&~e zI;8$E7{_fDGD?-U_0s0>gqn45hk9V?nv9iaopHLroL!vS8$0U0z=3J&@7z{pqa0dq z>*64087qW!2DeqsC{@N*woUP@XP|B-=5?>`VfstQi5N8{%&X4YC{lo9@=Z!IeSMtX z>t`8TNti_LbwQZe18Yaji*qoQn`QFN!;}Ne3&Ea(NxGBdoPkOD(OMUi#zD<65}UC( zLFc9BXF=VkQ0qfM=3&)F2OB{d@Y)y|Y{BGhyN$4=E*Gg(SN~f?R@@hmRzjLO1yfS9 zwI`;e`IKZLSf>-cRid>X<~OyP8|EdQ^3qWGt=5V9i#km0InICc*w%SrB7>4EF`*;s zO_<6hBxj&om|8mre|D@)PQ>Y@jHrnWlj-ubnhV}iP!(Rk7~CUbp3H^c?h|~j=P{xc zX-iN(m7PH}NTwU_XCWx2pxU@5KbQ~Fq{7)@cc1HNFeDSHuF#QN=bFoi32k&4&9Pp} z@1MGbjcT7AMPL0bR?U&5O`;+4CGU#D{&5OBGzgDke_bs5ii45v<)Nwcju8r5d-#)z z3LEX8Mc}C^$%x&V8N0NIN)FstVW+KL&WS61|D(t;#kr=HDt?roiw)cqkt`$K{@YR~ z1oguprD8WPDdXxVyN{X0KELjc9;|BBJ^K~6s{SK|T(izBLoB_C_OUQ|BJt&0E4s8% zDQVY%)IX@v{Hb^Sm1SP27GhZ=WxVZ`sdUvh9&?t*pXXoLea`*TfHUk`D%8tyD*K<e z2{jYg!4z?EF&7JEJaB@o{qrU64Ac7i)%?O4Hh_mq1EQPt++pSaro{Gvr4wWF(D5~m zY*1if6=oFsz_cG>g0g+Slh$ElVMXI%VZp(zMVLSE6RS8>m=X)i+RByJ_o?#(4Q!kn zu>ZT%C_KWN-!>c@>unP@7W>~U|2&FAiKsKo88CMo`NBy4VJ_4cMgwck#?G){fU)tr zTU-8jVHbr%-mnmCEalvrSRDTr_AduH|K!S`@q>{vVYvN|+m!yJ;$ZrW0zViV=5nR$ zjF_bIB4h|O3=4~#0Sk-wKZ+&I$oGRu|HIv~AB+Y^-|=r=eqJAI+yCYclN0CP>;nJ3 zF|XoKIVVgm_KauFFe2{%&X&LPLMIfc)SU(ki|gNP{|NQ+Z#JHcMSmF4-<HbQ^#?o{ myZuvIj5~}ZLoNWsVT8zd5CGx`y#J9cIRJJOKi?Y&h4nv>LgE+z diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci index d3b69b2d..41e6c5d7 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci @@ -351,7 +351,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_ENET1">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_GPIO">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C0">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C1">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C1">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_MODEM_UART0">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_MODEM_UART1">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_PJTAG">0</spirit:configurableElementValue> @@ -419,7 +419,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_GRP_INT_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_GRP_INT_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_HIGHADDR">0xE0004FFF</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_I2C0_IO">MIO 30 .. 31</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_I2C0_IO">MIO 38 .. 39</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_RESET_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_RESET_IO"><Select></spirit:configurableElementValue> @@ -427,8 +427,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_GRP_INT_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_GRP_INT_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_HIGHADDR">0xE0005FFF</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_I2C1_IO"><Select></spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_I2C1_IO">MIO 28 .. 29</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_RESET_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_RESET_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C_PERIPHERAL_FREQMHZ">111.111115</spirit:configurableElementValue> @@ -471,19 +471,19 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_15_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_15_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_DIRECTION">out</spirit:configurableElementValue> @@ -491,43 +491,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_DIRECTION">inout</spirit:configurableElementValue> @@ -535,43 +535,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_DIRECTION">inout</spirit:configurableElementValue> @@ -579,43 +579,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_DIRECTION">inout</spirit:configurableElementValue> @@ -623,19 +623,19 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_5_DIRECTION">inout</spirit:configurableElementValue> @@ -659,8 +659,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_9_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_9_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_PRIMITIVE">54</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_SIGNALS">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_SIGNALS">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_ENABLE_STATIC_REMAP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_FREQMHZ">100</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_ID_WIDTH">12</spirit:configurableElementValue> @@ -770,7 +770,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PJTAG_PJTAG_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PLL_BYPASSMODE_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK0_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PS7_SI_REV">PRODUCTION</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_QSPI_GRP_FBCLK_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_QSPI_GRP_FBCLK_IO">MIO 8</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc index cea4fbdc..17262445 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc @@ -17,12 +17,12 @@ ############################################################################ # Clock constraints # ############################################################################ -create_clock -name clk_fpga_0 -period "10" [get_pins "PS7_i/FCLKCLK[0]"] -set_input_jitter clk_fpga_0 0.3 -#The clocks are asynchronous, user should constrain them appropriately.# create_clock -name clk_fpga_1 -period "100" [get_pins "PS7_i/FCLKCLK[1]"] set_input_jitter clk_fpga_1 3 #The clocks are asynchronous, user should constrain them appropriately.# +create_clock -name clk_fpga_0 -period "10" [get_pins "PS7_i/FCLKCLK[0]"] +set_input_jitter clk_fpga_0 0.3 +#The clocks are asynchronous, user should constrain them appropriately.# ############################################################################ @@ -30,246 +30,246 @@ set_input_jitter clk_fpga_1 3 ############################################################################ # Enet 0 / mdio / MIO[53] -set_property iostandard "LVCMOS33" [get_ports "MIO[53]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[53]"] set_property PACKAGE_PIN "A19" [get_ports "MIO[53]"] set_property slew "slow" [get_ports "MIO[53]"] set_property drive "8" [get_ports "MIO[53]"] set_property pullup "TRUE" [get_ports "MIO[53]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[53]"] # Enet 0 / mdc / MIO[52] -set_property iostandard "LVCMOS33" [get_ports "MIO[52]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[52]"] set_property PACKAGE_PIN "A20" [get_ports "MIO[52]"] set_property slew "slow" [get_ports "MIO[52]"] set_property drive "8" [get_ports "MIO[52]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[52]"] # GPIO / gpio[51] / MIO[51] -set_property iostandard "LVCMOS33" [get_ports "MIO[51]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[51]"] set_property PACKAGE_PIN "B20" [get_ports "MIO[51]"] set_property slew "slow" [get_ports "MIO[51]"] set_property drive "8" [get_ports "MIO[51]"] set_property pullup "TRUE" [get_ports "MIO[51]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[51]"] # GPIO / gpio[50] / MIO[50] -set_property iostandard "LVCMOS33" [get_ports "MIO[50]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[50]"] set_property PACKAGE_PIN "B22" [get_ports "MIO[50]"] set_property slew "slow" [get_ports "MIO[50]"] set_property drive "8" [get_ports "MIO[50]"] set_property pullup "TRUE" [get_ports "MIO[50]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[50]"] # UART 1 / rx / MIO[49] -set_property iostandard "LVCMOS33" [get_ports "MIO[49]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[49]"] set_property PACKAGE_PIN "A18" [get_ports "MIO[49]"] set_property slew "slow" [get_ports "MIO[49]"] set_property drive "8" [get_ports "MIO[49]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[49]"] # UART 1 / tx / MIO[48] -set_property iostandard "LVCMOS33" [get_ports "MIO[48]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[48]"] set_property PACKAGE_PIN "B21" [get_ports "MIO[48]"] set_property slew "slow" [get_ports "MIO[48]"] set_property drive "8" [get_ports "MIO[48]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[48]"] # SD 0 / wp / MIO[47] -set_property iostandard "LVCMOS33" [get_ports "MIO[47]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[47]"] set_property PACKAGE_PIN "B19" [get_ports "MIO[47]"] set_property slew "slow" [get_ports "MIO[47]"] set_property drive "8" [get_ports "MIO[47]"] set_property pullup "TRUE" [get_ports "MIO[47]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[47]"] # SD 0 / cd / MIO[46] -set_property iostandard "LVCMOS33" [get_ports "MIO[46]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[46]"] set_property PACKAGE_PIN "E17" [get_ports "MIO[46]"] set_property slew "slow" [get_ports "MIO[46]"] set_property drive "8" [get_ports "MIO[46]"] set_property pullup "TRUE" [get_ports "MIO[46]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[46]"] # SD 0 / data[3] / MIO[45] -set_property iostandard "LVCMOS33" [get_ports "MIO[45]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[45]"] set_property PACKAGE_PIN "C18" [get_ports "MIO[45]"] set_property slew "slow" [get_ports "MIO[45]"] set_property drive "8" [get_ports "MIO[45]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[45]"] # SD 0 / data[2] / MIO[44] -set_property iostandard "LVCMOS33" [get_ports "MIO[44]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[44]"] set_property PACKAGE_PIN "E18" [get_ports "MIO[44]"] set_property slew "slow" [get_ports "MIO[44]"] set_property drive "8" [get_ports "MIO[44]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[44]"] # SD 0 / data[1] / MIO[43] -set_property iostandard "LVCMOS33" [get_ports "MIO[43]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[43]"] set_property PACKAGE_PIN "D18" [get_ports "MIO[43]"] set_property slew "slow" [get_ports "MIO[43]"] set_property drive "8" [get_ports "MIO[43]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[43]"] # SD 0 / data[0] / MIO[42] -set_property iostandard "LVCMOS33" [get_ports "MIO[42]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[42]"] set_property PACKAGE_PIN "F17" [get_ports "MIO[42]"] set_property slew "slow" [get_ports "MIO[42]"] set_property drive "8" [get_ports "MIO[42]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[42]"] # SD 0 / cmd / MIO[41] -set_property iostandard "LVCMOS33" [get_ports "MIO[41]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[41]"] set_property PACKAGE_PIN "C19" [get_ports "MIO[41]"] set_property slew "slow" [get_ports "MIO[41]"] set_property drive "8" [get_ports "MIO[41]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[41]"] # SD 0 / clk / MIO[40] -set_property iostandard "LVCMOS33" [get_ports "MIO[40]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[40]"] set_property PACKAGE_PIN "C22" [get_ports "MIO[40]"] set_property slew "slow" [get_ports "MIO[40]"] set_property drive "8" [get_ports "MIO[40]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[40]"] -# GPIO / gpio[39] / MIO[39] -set_property iostandard "LVCMOS33" [get_ports "MIO[39]"] +# I2C 0 / sda / MIO[39] +set_property iostandard "LVCMOS18" [get_ports "MIO[39]"] set_property PACKAGE_PIN "C21" [get_ports "MIO[39]"] set_property slew "slow" [get_ports "MIO[39]"] set_property drive "8" [get_ports "MIO[39]"] set_property pullup "TRUE" [get_ports "MIO[39]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[39]"] -# GPIO / gpio[38] / MIO[38] -set_property iostandard "LVCMOS33" [get_ports "MIO[38]"] +# I2C 0 / scl / MIO[38] +set_property iostandard "LVCMOS18" [get_ports "MIO[38]"] set_property PACKAGE_PIN "D21" [get_ports "MIO[38]"] set_property slew "slow" [get_ports "MIO[38]"] set_property drive "8" [get_ports "MIO[38]"] set_property pullup "TRUE" [get_ports "MIO[38]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[38]"] # GPIO / gpio[37] / MIO[37] -set_property iostandard "LVCMOS33" [get_ports "MIO[37]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[37]"] set_property PACKAGE_PIN "D20" [get_ports "MIO[37]"] set_property slew "slow" [get_ports "MIO[37]"] set_property drive "8" [get_ports "MIO[37]"] set_property pullup "TRUE" [get_ports "MIO[37]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[37]"] # GPIO / gpio[36] / MIO[36] -set_property iostandard "LVCMOS33" [get_ports "MIO[36]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[36]"] set_property PACKAGE_PIN "K16" [get_ports "MIO[36]"] set_property slew "slow" [get_ports "MIO[36]"] set_property drive "8" [get_ports "MIO[36]"] set_property pullup "TRUE" [get_ports "MIO[36]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[36]"] # GPIO / gpio[35] / MIO[35] -set_property iostandard "LVCMOS33" [get_ports "MIO[35]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[35]"] set_property PACKAGE_PIN "D19" [get_ports "MIO[35]"] set_property slew "slow" [get_ports "MIO[35]"] set_property drive "8" [get_ports "MIO[35]"] set_property pullup "TRUE" [get_ports "MIO[35]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[35]"] # GPIO / gpio[34] / MIO[34] -set_property iostandard "LVCMOS33" [get_ports "MIO[34]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[34]"] set_property PACKAGE_PIN "J16" [get_ports "MIO[34]"] set_property slew "slow" [get_ports "MIO[34]"] set_property drive "8" [get_ports "MIO[34]"] set_property pullup "TRUE" [get_ports "MIO[34]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[34]"] # GPIO / gpio[33] / MIO[33] -set_property iostandard "LVCMOS33" [get_ports "MIO[33]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[33]"] set_property PACKAGE_PIN "E22" [get_ports "MIO[33]"] set_property slew "slow" [get_ports "MIO[33]"] set_property drive "8" [get_ports "MIO[33]"] set_property pullup "TRUE" [get_ports "MIO[33]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[33]"] # GPIO / gpio[32] / MIO[32] -set_property iostandard "LVCMOS33" [get_ports "MIO[32]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[32]"] set_property PACKAGE_PIN "K17" [get_ports "MIO[32]"] set_property slew "slow" [get_ports "MIO[32]"] set_property drive "8" [get_ports "MIO[32]"] set_property pullup "TRUE" [get_ports "MIO[32]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[32]"] -# I2C 0 / sda / MIO[31] -set_property iostandard "LVCMOS33" [get_ports "MIO[31]"] +# GPIO / gpio[31] / MIO[31] +set_property iostandard "LVCMOS18" [get_ports "MIO[31]"] set_property PACKAGE_PIN "E21" [get_ports "MIO[31]"] set_property slew "fast" [get_ports "MIO[31]"] set_property drive "8" [get_ports "MIO[31]"] set_property pullup "TRUE" [get_ports "MIO[31]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[31]"] -# I2C 0 / scl / MIO[30] -set_property iostandard "LVCMOS33" [get_ports "MIO[30]"] +# GPIO / gpio[30] / MIO[30] +set_property iostandard "LVCMOS18" [get_ports "MIO[30]"] set_property PACKAGE_PIN "K19" [get_ports "MIO[30]"] set_property slew "fast" [get_ports "MIO[30]"] set_property drive "8" [get_ports "MIO[30]"] set_property pullup "TRUE" [get_ports "MIO[30]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[30]"] -# GPIO / gpio[29] / MIO[29] -set_property iostandard "LVCMOS33" [get_ports "MIO[29]"] +# I2C 1 / sda / MIO[29] +set_property iostandard "LVCMOS18" [get_ports "MIO[29]"] set_property PACKAGE_PIN "E20" [get_ports "MIO[29]"] set_property slew "slow" [get_ports "MIO[29]"] set_property drive "8" [get_ports "MIO[29]"] set_property pullup "TRUE" [get_ports "MIO[29]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[29]"] -# GPIO / gpio[28] / MIO[28] -set_property iostandard "LVCMOS33" [get_ports "MIO[28]"] +# I2C 1 / scl / MIO[28] +set_property iostandard "LVCMOS18" [get_ports "MIO[28]"] set_property PACKAGE_PIN "J18" [get_ports "MIO[28]"] set_property slew "slow" [get_ports "MIO[28]"] set_property drive "8" [get_ports "MIO[28]"] set_property pullup "TRUE" [get_ports "MIO[28]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[28]"] # Enet 0 / rx_ctl / MIO[27] -set_property iostandard "LVCMOS33" [get_ports "MIO[27]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[27]"] set_property PACKAGE_PIN "F18" [get_ports "MIO[27]"] set_property slew "fast" [get_ports "MIO[27]"] set_property drive "8" [get_ports "MIO[27]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[27]"] # Enet 0 / rxd[3] / MIO[26] -set_property iostandard "LVCMOS33" [get_ports "MIO[26]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[26]"] set_property PACKAGE_PIN "H17" [get_ports "MIO[26]"] set_property slew "fast" [get_ports "MIO[26]"] set_property drive "8" [get_ports "MIO[26]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[26]"] # Enet 0 / rxd[2] / MIO[25] -set_property iostandard "LVCMOS33" [get_ports "MIO[25]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[25]"] set_property PACKAGE_PIN "F19" [get_ports "MIO[25]"] set_property slew "fast" [get_ports "MIO[25]"] set_property drive "8" [get_ports "MIO[25]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[25]"] # Enet 0 / rxd[1] / MIO[24] -set_property iostandard "LVCMOS33" [get_ports "MIO[24]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[24]"] set_property PACKAGE_PIN "J19" [get_ports "MIO[24]"] set_property slew "fast" [get_ports "MIO[24]"] set_property drive "8" [get_ports "MIO[24]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[24]"] # Enet 0 / rxd[0] / MIO[23] -set_property iostandard "LVCMOS33" [get_ports "MIO[23]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[23]"] set_property PACKAGE_PIN "F20" [get_ports "MIO[23]"] set_property slew "fast" [get_ports "MIO[23]"] set_property drive "8" [get_ports "MIO[23]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[23]"] # Enet 0 / rx_clk / MIO[22] -set_property iostandard "LVCMOS33" [get_ports "MIO[22]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[22]"] set_property PACKAGE_PIN "G22" [get_ports "MIO[22]"] set_property slew "fast" [get_ports "MIO[22]"] set_property drive "8" [get_ports "MIO[22]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[22]"] # Enet 0 / tx_ctl / MIO[21] -set_property iostandard "LVCMOS33" [get_ports "MIO[21]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[21]"] set_property PACKAGE_PIN "F22" [get_ports "MIO[21]"] set_property slew "fast" [get_ports "MIO[21]"] set_property drive "8" [get_ports "MIO[21]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[21]"] # Enet 0 / txd[3] / MIO[20] -set_property iostandard "LVCMOS33" [get_ports "MIO[20]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[20]"] set_property PACKAGE_PIN "H19" [get_ports "MIO[20]"] set_property slew "fast" [get_ports "MIO[20]"] set_property drive "8" [get_ports "MIO[20]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[20]"] # Enet 0 / txd[2] / MIO[19] -set_property iostandard "LVCMOS33" [get_ports "MIO[19]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[19]"] set_property PACKAGE_PIN "G19" [get_ports "MIO[19]"] set_property slew "fast" [get_ports "MIO[19]"] set_property drive "8" [get_ports "MIO[19]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[19]"] # Enet 0 / txd[1] / MIO[18] -set_property iostandard "LVCMOS33" [get_ports "MIO[18]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[18]"] set_property PACKAGE_PIN "G20" [get_ports "MIO[18]"] set_property slew "fast" [get_ports "MIO[18]"] set_property drive "8" [get_ports "MIO[18]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[18]"] # Enet 0 / txd[0] / MIO[17] -set_property iostandard "LVCMOS33" [get_ports "MIO[17]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[17]"] set_property PACKAGE_PIN "G17" [get_ports "MIO[17]"] set_property slew "fast" [get_ports "MIO[17]"] set_property drive "8" [get_ports "MIO[17]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[17]"] # Enet 0 / tx_clk / MIO[16] -set_property iostandard "LVCMOS33" [get_ports "MIO[16]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[16]"] set_property PACKAGE_PIN "G21" [get_ports "MIO[16]"] set_property slew "fast" [get_ports "MIO[16]"] set_property drive "8" [get_ports "MIO[16]"] @@ -668,7 +668,7 @@ set_property iostandard "LVCMOS33" [get_ports "PS_PORB"] set_property PACKAGE_PIN "C23" [get_ports "PS_PORB"] set_property slew "slow" [get_ports "PS_PORB"] set_property drive "8" [get_ports "PS_PORB"] -set_property iostandard "LVCMOS33" [get_ports "PS_SRSTB"] +set_property iostandard "LVCMOS18" [get_ports "PS_SRSTB"] set_property PACKAGE_PIN "A22" [get_ports "PS_SRSTB"] set_property slew "slow" [get_ports "PS_SRSTB"] set_property drive "8" [get_ports "PS_SRSTB"] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml index 245868bb..1811baf6 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml @@ -10243,7 +10243,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10255,7 +10255,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10274,7 +10274,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10286,7 +10286,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10312,7 +10312,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>dc153a2d</spirit:value> + <spirit:value>4e95de7c</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10330,7 +10330,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10342,7 +10342,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>dc153a2d</spirit:value> + <spirit:value>4e95de7c</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10360,7 +10360,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Jun 20 18:01:40 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:25:00 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10372,7 +10372,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -26287,6 +26287,21 @@ <spirit:enumeration>HPR(24)/LPR(8)</spirit:enumeration> <spirit:enumeration>HPR(32)/LPR(0)</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_239baa1b</spirit:name> + <spirit:enumeration>EMIO</spirit:enumeration> + <spirit:enumeration>MIO 12 .. 13</spirit:enumeration> + <spirit:enumeration>MIO 16 .. 17</spirit:enumeration> + <spirit:enumeration>MIO 20 .. 21</spirit:enumeration> + <spirit:enumeration>MIO 24 .. 25</spirit:enumeration> + <spirit:enumeration>MIO 28 .. 29</spirit:enumeration> + <spirit:enumeration>MIO 32 .. 33</spirit:enumeration> + <spirit:enumeration>MIO 36 .. 37</spirit:enumeration> + <spirit:enumeration>MIO 40 .. 41</spirit:enumeration> + <spirit:enumeration>MIO 44 .. 45</spirit:enumeration> + <spirit:enumeration>MIO 48 .. 49</spirit:enumeration> + <spirit:enumeration>MIO 52 .. 53</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_27376075</spirit:name> <spirit:enumeration>12</spirit:enumeration> @@ -26337,6 +26352,11 @@ <spirit:name>choice_list_3607bdd0</spirit:name> <spirit:enumeration>0xE0102fff</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_369f74cf</spirit:name> + <spirit:enumeration>LVCMOS 1.8V</spirit:enumeration> + <spirit:enumeration>HSTL 1.8V</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_3740015d</spirit:name> <spirit:enumeration>0xE0103fff</spirit:enumeration> @@ -26519,6 +26539,11 @@ <spirit:enumeration>1</spirit:enumeration> <spirit:enumeration>0</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_6885bca1</spirit:name> + <spirit:enumeration>Share reset pin</spirit:enumeration> + <spirit:enumeration>Separate reset pins</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_6a282484</spirit:name> <spirit:enumeration><Select></spirit:enumeration> @@ -26731,22 +26756,6 @@ <spirit:enumeration>EMIO</spirit:enumeration> <spirit:enumeration>MIO 16 .. 27</spirit:enumeration> </spirit:choice> - <spirit:choice> - <spirit:name>choice_list_88a617f1</spirit:name> - <spirit:enumeration><Select></spirit:enumeration> - <spirit:enumeration>EMIO</spirit:enumeration> - <spirit:enumeration>MIO 12 .. 13</spirit:enumeration> - <spirit:enumeration>MIO 16 .. 17</spirit:enumeration> - <spirit:enumeration>MIO 20 .. 21</spirit:enumeration> - <spirit:enumeration>MIO 24 .. 25</spirit:enumeration> - <spirit:enumeration>MIO 28 .. 29</spirit:enumeration> - <spirit:enumeration>MIO 32 .. 33</spirit:enumeration> - <spirit:enumeration>MIO 36 .. 37</spirit:enumeration> - <spirit:enumeration>MIO 40 .. 41</spirit:enumeration> - <spirit:enumeration>MIO 44 .. 45</spirit:enumeration> - <spirit:enumeration>MIO 48 .. 49</spirit:enumeration> - <spirit:enumeration>MIO 52 .. 53</spirit:enumeration> - </spirit:choice> <spirit:choice> <spirit:name>choice_list_88fe7673</spirit:name> <spirit:enumeration>0xE0000FFF</spirit:enumeration> @@ -27823,7 +27832,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -27835,7 +27844,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -30355,7 +30364,7 @@ <spirit:parameter> <spirit:name>PCW_EN_I2C1</spirit:name> <spirit:displayName>PCW EN I2C1</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_EN_I2C1" spirit:choiceRef="choice_list_8af5a703" spirit:order="8900">0</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_EN_I2C1" spirit:choiceRef="choice_list_8af5a703" spirit:order="8900">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_EN_PJTAG</spirit:name> @@ -30887,7 +30896,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="((spirit:decode(id(PARAM_VALUE.PCW_USE_FABRIC_INTERRUPT)) = 1)&&(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1))">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="((spirit:decode(id(PARAM_VALUE.PCW_USE_FABRIC_INTERRUPT)) = 1)&&(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1))">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -31023,7 +31032,7 @@ <spirit:parameter> <spirit:name>PCW_PRESET_BANK1_VOLTAGE</spirit:name> <spirit:displayName>PCW PRESET BANK1 VOLTAGE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE" spirit:choiceRef="choice_list_72f3e128" spirit:order="29300">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE" spirit:choiceRef="choice_list_72f3e128" spirit:order="29300">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_UIPARAM_DDR_ENABLE</spirit:name> @@ -32443,7 +32452,7 @@ <spirit:parameter> <spirit:name>PCW_I2C0_I2C0_IO</spirit:name> <spirit:displayName>PCW I2C0 I2C0 IO</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C0_I2C0_IO" spirit:choiceRef="choice_list_f632ce2e" spirit:order="6900">MIO 30 .. 31</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C0_I2C0_IO" spirit:choiceRef="choice_list_f632ce2e" spirit:order="6900">MIO 38 .. 39</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C0_GRP_INT_ENABLE</spirit:name> @@ -32472,30 +32481,16 @@ <spirit:parameter> <spirit:name>PCW_I2C1_PERIPHERAL_ENABLE</spirit:name> <spirit:displayName>PCW I2C1 PERIPHERAL ENABLE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="2100">0</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="2100">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_I2C1_IO</spirit:name> <spirit:displayName>PCW I2C1 I2C1 IO</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_I2C1_IO" spirit:choiceRef="choice_list_88a617f1" spirit:order="7000"><Select></spirit:value> - <spirit:vendorExtensions> - <xilinx:parameterInfo> - <xilinx:enablement> - <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.PCW_I2C1_I2C1_IO">false</xilinx:isEnabled> - </xilinx:enablement> - </xilinx:parameterInfo> - </spirit:vendorExtensions> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_I2C1_IO" spirit:choiceRef="choice_list_239baa1b" spirit:order="7000">MIO 28 .. 29</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_GRP_INT_ENABLE</spirit:name> <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_GRP_INT_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="7001">0</spirit:value> - <spirit:vendorExtensions> - <xilinx:parameterInfo> - <xilinx:enablement> - <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.PCW_I2C1_GRP_INT_ENABLE">false</xilinx:isEnabled> - </xilinx:enablement> - </xilinx:parameterInfo> - </spirit:vendorExtensions> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_GRP_INT_IO</spirit:name> @@ -32515,7 +32510,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C_RESET_SELECT</spirit:name> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C_RESET_SELECT" spirit:choiceRef="choice_list_ce2e47bd" spirit:order="6103">Share reset pin</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C_RESET_SELECT" spirit:choiceRef="choice_list_6885bca1" spirit:order="6103">Share reset pin</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_RESET_ENABLE</spirit:name> @@ -33226,7 +33221,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_16_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 16 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_16_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34816">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_16_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34816">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_16_DIRECTION</spirit:name> @@ -33253,7 +33248,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_17_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 17 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_17_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34817">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_17_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34817">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_17_DIRECTION</spirit:name> @@ -33280,7 +33275,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_18_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 18 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_18_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34818">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_18_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34818">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_18_DIRECTION</spirit:name> @@ -33307,7 +33302,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_19_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 19 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_19_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34819">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_19_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34819">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_19_DIRECTION</spirit:name> @@ -33334,7 +33329,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_20_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 20 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_20_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34820">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_20_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34820">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_20_DIRECTION</spirit:name> @@ -33361,7 +33356,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_21_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 21 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_21_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34821">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_21_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34821">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_21_DIRECTION</spirit:name> @@ -33388,7 +33383,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_22_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 22 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_22_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34822">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_22_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34822">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_22_DIRECTION</spirit:name> @@ -33415,7 +33410,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_23_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 23 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_23_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34823">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_23_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34823">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_23_DIRECTION</spirit:name> @@ -33442,7 +33437,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_24_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 24 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_24_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34824">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_24_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34824">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_24_DIRECTION</spirit:name> @@ -33469,7 +33464,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_25_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 25 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_25_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34825">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_25_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34825">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_25_DIRECTION</spirit:name> @@ -33496,7 +33491,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_26_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 26 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_26_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34826">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_26_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34826">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_26_DIRECTION</spirit:name> @@ -33523,7 +33518,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_27_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 27 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_27_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34827">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_27_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34827">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_27_DIRECTION</spirit:name> @@ -33550,7 +33545,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_28_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 28 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_28_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34828">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_28_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34828">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_28_DIRECTION</spirit:name> @@ -33577,7 +33572,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_29_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 29 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_29_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34829">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_29_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34829">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_29_DIRECTION</spirit:name> @@ -33604,7 +33599,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_30_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 30 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_30_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34830">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_30_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34830">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_30_DIRECTION</spirit:name> @@ -33631,7 +33626,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_31_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 31 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_31_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34831">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_31_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34831">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_31_DIRECTION</spirit:name> @@ -33658,7 +33653,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_32_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 32 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_32_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34832">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_32_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34832">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_32_DIRECTION</spirit:name> @@ -33685,7 +33680,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_33_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 33 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_33_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34833">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_33_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34833">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_33_DIRECTION</spirit:name> @@ -33712,7 +33707,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_34_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 34 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_34_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34834">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_34_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34834">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_34_DIRECTION</spirit:name> @@ -33739,7 +33734,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_35_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 35 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_35_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34835">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_35_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34835">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_35_DIRECTION</spirit:name> @@ -33766,7 +33761,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_36_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 36 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_36_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34836">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_36_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34836">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_36_DIRECTION</spirit:name> @@ -33793,7 +33788,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_37_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 37 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_37_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34837">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_37_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34837">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_37_DIRECTION</spirit:name> @@ -33820,7 +33815,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_38_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 38 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_38_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34838">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_38_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34838">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_38_DIRECTION</spirit:name> @@ -33847,7 +33842,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_39_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 39 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_39_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34839">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_39_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34839">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_39_DIRECTION</spirit:name> @@ -33874,7 +33869,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_40_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 40 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_40_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34840">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_40_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34840">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_40_DIRECTION</spirit:name> @@ -33901,7 +33896,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_41_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 41 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_41_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34841">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_41_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34841">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_41_DIRECTION</spirit:name> @@ -33928,7 +33923,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_42_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 42 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_42_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34842">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_42_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34842">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_42_DIRECTION</spirit:name> @@ -33955,7 +33950,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_43_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 43 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_43_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34843">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_43_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34843">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_43_DIRECTION</spirit:name> @@ -33982,7 +33977,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_44_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 44 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_44_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34844">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_44_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34844">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_44_DIRECTION</spirit:name> @@ -34009,7 +34004,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_45_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 45 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_45_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34845">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_45_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34845">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_45_DIRECTION</spirit:name> @@ -34036,7 +34031,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_46_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 46 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_46_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34846">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_46_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34846">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_46_DIRECTION</spirit:name> @@ -34063,7 +34058,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_47_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 47 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_47_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34847">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_47_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34847">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_47_DIRECTION</spirit:name> @@ -34090,7 +34085,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_48_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 48 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_48_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34848">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_48_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34848">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_48_DIRECTION</spirit:name> @@ -34117,7 +34112,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_49_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 49 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_49_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34849">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_49_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34849">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_49_DIRECTION</spirit:name> @@ -34144,7 +34139,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_50_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 50 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_50_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34850">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_50_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34850">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_50_DIRECTION</spirit:name> @@ -34171,7 +34166,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_51_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 51 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_51_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34851">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_51_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34851">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_51_DIRECTION</spirit:name> @@ -34198,7 +34193,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_52_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 52 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_52_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34852">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_52_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34852">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_52_DIRECTION</spirit:name> @@ -34225,7 +34220,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_53_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 53 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_53_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34853">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_53_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34853">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_53_DIRECTION</spirit:name> @@ -34257,12 +34252,12 @@ <spirit:parameter> <spirit:name>PCW_MIO_TREE_PERIPHERALS</spirit:name> <spirit:displayName>PCW MIO TREE PERIPHERALS</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS" spirit:order="210000">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS" spirit:order="210000">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_TREE_SIGNALS</spirit:name> <spirit:displayName>PCW MIO TREE SIGNALS</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_SIGNALS" spirit:order="220000">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_SIGNALS" spirit:order="220000">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_PS7_SI_REV</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v index 7e296d0f..f65f157e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:40 2017 +// Date : Mon Dec 18 11:25:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v @@ -795,7 +795,7 @@ PULLUP pullup_MIO_53 (* C_USE_S_AXI_HP2 = "0" *) (* C_USE_S_AXI_HP3 = "0" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) - (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) + (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 inst (.CAN0_PHY_RX(1'b0), @@ -1503,7 +1503,7 @@ endmodule (* C_USE_M_AXI_GP1 = "1" *) (* C_USE_S_AXI_ACP = "0" *) (* C_USE_S_AXI_GP0 = "0" *) (* C_USE_S_AXI_GP1 = "0" *) (* C_USE_S_AXI_HP0 = "0" *) (* C_USE_S_AXI_HP1 = "0" *) (* C_USE_S_AXI_HP2 = "0" *) (* C_USE_S_AXI_HP3 = "0" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) -(* ORIG_REF_NAME = "processing_system7_v5_5_processing_system7" *) (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) +(* ORIG_REF_NAME = "processing_system7_v5_5_processing_system7" *) (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) module system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 (CAN0_PHY_TX, CAN0_PHY_RX, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl index 9e416989..cafb80c0 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:40 2017 +-- Date : Mon Dec 18 11:25:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl @@ -813,7 +813,7 @@ entity system_design_processing_system7_0_0_processing_system7_v5_5_processing_s attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7"; attribute POWER : string; - attribute POWER of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; + attribute POWER of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; end system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7; @@ -3844,7 +3844,7 @@ architecture STRUCTURE of system_design_processing_system7_0_0 is attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "system_design_processing_system7_0_0.hwdef"; attribute POWER : string; - attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; + attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v index 547ae28d..87ab8be9 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:40 2017 +// Date : Mon Dec 18 11:24:59 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl index 786fe577..bdba7519 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:40 2017 +-- Date : Mon Dec 18 11:24:59 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v index f74e95a3..810ccffa 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v @@ -188,8 +188,8 @@ output wire [7 : 0] m_axi_rready; .C_AXI_DATA_WIDTH(32), .C_AXI_PROTOCOL(2), .C_NUM_ADDR_RANGES(1), - .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000), - .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000000000000100000001000000010), + .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000), + .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000010000000100000001000000010), .C_S_AXI_BASE_ID(32'H00000000), .C_S_AXI_THREAD_ID_WIDTH(32'H00000000), .C_AXI_SUPPORTS_USER_SIGNALS(0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v index 90cf8998..88264810 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v @@ -52,8 +52,8 @@ (* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) (* CHECK_LICENSE_TYPE = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{}" *) -(* CORE_GENERATION_INFO = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=8,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=2,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c1000000\ -00000043c00000,C_M_AXI_ADDR_WIDTH=0x0000001000000010000000100000001000000000000000100000001000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_ID_WIDTH=0x00000000,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_R_REGISTER=1,C_S\ +(* CORE_GENERATION_INFO = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=8,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=2,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c1000000\ +00000043c00000,C_M_AXI_ADDR_WIDTH=0x0000001000000010000000100000001000000010000000100000001000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_ID_WIDTH=0x00000000,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_R_REGISTER=1,C_S\ _AXI_SINGLE_THREAD=0x00000001,C_S_AXI_WRITE_ACCEPTANCE=0x00000001,C_S_AXI_READ_ACCEPTANCE=0x00000001,C_M_AXI_WRITE_ISSUING=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_ISSUING=0x0000000100000001000000010000000100000001000000010000000100000001,C_S_AXI_ARB_PRIORITY=0x00000000,C_M_AXI_SECURE=0x0000000000000000000000000000000000000000000000000000000000000000,C_CONNECTIVITY_MODE=0}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module system_design_xbar_0 ( @@ -191,8 +191,8 @@ output wire [7 : 0] m_axi_rready; .C_AXI_DATA_WIDTH(32), .C_AXI_PROTOCOL(2), .C_NUM_ADDR_RANGES(1), - .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000), - .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000000000000100000001000000010), + .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000), + .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000010000000100000001000000010), .C_S_AXI_BASE_ID(32'H00000000), .C_S_AXI_THREAD_ID_WIDTH(32'H00000000), .C_AXI_SUPPORTS_USER_SIGNALS(0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp index fa66e3cdf994825a647140c8b65ddc1017f525ee..774c5b02fe55a4f9d43f4a9fc5155aec62e2bfab 100644 GIT binary patch literal 202799 zcmb5UbCe`+vn|~2p0;h<?rGcBw2f)owr!i!wr$(Cr|qwP?|aTYSl|8Q)>;u!J7UL< zCo^kR)_SrOq(Q+@fq;OZfC#k`q`77l`t6Z{fKEk$fUp5sM|Vdj6Kg$V6Gsa(8$CBe z0|z}udPj2uJCmkN7&{f!jFAo=$&;c5NgEqCFG6!KzfqPgcSOWEIoA_lNmmJ1KfhHM z2|s<Pw7jtCOELF@Q!qvRb93Y2xw)<CNh7mOJbPyDhNjpx9m~op`>c#DPB|{+$@)l| z2+A1G>DTG5=PZFExwneqv-{7Rwx0Lw71wUZiq4MSqqm)v2b13&?HwN1G||r2)UsBR zH>b4GMXn_q3*Om|(gPc=m)BS8ohD`$wX_O~*yq>uN2Yofwq|-}H(l9y_oZeX+JCSf z_*Xh<-zaW1sc1U1Bb%oOg-#I^X%{=X4)?#ay3_chy=*=XmLrMtjVaMAEHv<<i!BSB z!3T>^nu0Qva9WBwJXrUaKdU!oo~>nEozM|ub1)=X4-SYEicdHOe=EV=Ni;Gos!7y4 z6STBxiZ~1&h%}NR%c3IH<PeN7dl#1k9iEGpME8&dor)G%S-7k5-f0PWHRAg$mz5@Z zbs_RwOZre_Eny!x!|fR=<zZkpwJHfg)1~ZQ6iG0s6eAZ%UhlJHqb^v6{1%!oAM6vW zHdHFWfclYKZXCczg)0>#9B(e-l=^C3@GxqZ{hbsixj1)>=v`RS#GX(ly<GE{IL5vA zOD6$459KX0LRpYR*{R1(siz|Ohq7}I<vsS$A@(|2zo(Q{7)G3h2R;t74O-&aLz|sH z4R%`!Guj^a7Q+f9X>t3Em5(e^Th0{lT!fn}QJX(s$|TNE)Sm6>AvwD?Te<V&-7r)Q zxN!&{a4!4evJ`^UsLbz@S$U48phD3@(=7Y`<uO`EF{tvOP2#s&`Zjv(sL;gxVPs}4 zhI*N84sc`miyvxrTy=IJ>6O1za^v+oORC+O(@Rq+S$;f98h8JpN&fx2{AV!jEJe(p z>0B9d6OZtv`lNh$Qs6F)B`!XRJ7_}D4l#<O#%iW@89tu=v6NxSBB2$MhjeO;c&Tk^ zSt>6zk&4!A#AY${c|rD^5v@e*@?#LSJRy9|q7#MuCKqPSs?=H`<|R}q(n7ibrtr;* zi!usq;mSfGdUEO0DCESJDA`HF`b8y>GTH^0Q`?BH=?k>P4HYs4p_B%sO8MXm;W~{* zCee}dprEQpD%>T>=r+u$;UIS-B{sM*5hG#FiukZ=4p??7iny{r=>cxlaf|ce8;Dv{ z*%Ii9+)YGI@hg>Z`BI>c9kMo~(5m@xnq%1W<Q&G(7K;?)8Jb!ovh6*5hIBPT$P3=# z%_7P_Lv#?;NjzB5$4I6;<cxmTH?zl9?H6k#iv4opXDCJ<O9`>74<mi}uq!JL_H86e z5l`@#UU!pjrHgGm=aQ}d{k!sh)RLBNlH_-7BV}sUQUfww^lOpq3>-&uJyu@4GwyVA zbjGk7WxDk8g{ITOZQ_Qr(2~H?x<J1?dz#pw{54|~p?3mv6tv~01?JMCgk#~)QQ)f* zL0}xXKwxfIfWZ}V?~!CWF>qvj7&pvPZOAv<6;=cUcE|(<v|x+Hb^BoWc2yKm<vLxo zX;je@ZoK4RL8&dQz(~ZJ%rVwyYxPMjpMum6)SotVw5ekCK0VAc4BheapB+HdXo$F+ zzj9(_3hkw|=57iL``|_+J1}HFdIb~_SF^g!6~tc7cX850jCn6tRhdovhF+5$h)WZq zb21fI9`kt9Qs+<KlwYYwQp7pfOSZC&Sdk$`I30Qcm&}&yE|AYp#yRDv@d}NrIbWk& zTprb%T@uwwM_wR_{V2{+7H(<L_;KcX5u7igMZ1YyJ&;5k`}1_UA#(t3PUvr3rYpkL z+xZ|i|JuQ_qm~hk(H$ETLMw04xO7aG$D?6)Xlr26_YVB!v$=9(m(3L!$NPJ^mgi<Q zZ{BBbb=U54$?ux>CX-+OE{oNlv(<FKsj0X>|6+Tt35Q8L`d2OngS(c~Y@FEZJjRB$ zo|bkUPNm8<s8>2jd_z)5bsZi)Q;myH>%FXmZo%%6klZvBWWI4t$m)$f?)BfBU;n^< zPWGvFNa>i=$?n=zzA4CqK|Y;~Jb8jr;Ae<|t56Ui7|&~wo!zau5<G%FCBb^oBR~Df z1%{^1i$pfJ8??kWi-Cit%!?(P?X``$Imr9Td^^#zZaqMy%$Z6$J*%6@;;^04Ah72} zY%hk-#5Is=#dVK1U5ae>l6EwM)12iFeNulp^G<Gd+GEY-h&F9j&tH?C_2t-{#RYvL z@4&CO?YfCsgW_vFl3s1cmr60KnYi%%r<%WoGV3c*sbd=jcU|=c4!1rttO0d45ZQdU zZRZj#&)@Y@kb@>K)HrsVQP9lC1|g%|?`ag@+c*GXrx|ieLBNx2Ha#~Z?<?{tFB5f^ z@5kad1G)KO>{A{N+N{qH)(1xvR<B+@ugyF^c!|6|k{-{s(?XV;ZY#)kU0}Q<-naH2 z*giAcg-YN~68K-zlW<_!P0y#?;7%G4*;~&MbB(cAf-BT{p|XfNrMdX+x%PiYUl%;D zTl!?w8Dk~VzGC4fcZ-Ex(k!5l72V-^-GMSi@o?Ve;Bn;g`(N89xjx?^!B0Len!j36 zr~L-GzMm*;F(ZxiziC{yF4Y9YjYFq357_LMT_9djXN~?k@OGXMMB{r*G@GEHPAj@w z|8yR+T9fn)tw)_baG2n8L&YnYgsI|WAFc7riPL&2I-9#)x#ayznP-G-Zd|j}_z9>{ zY60V=rhc-3h_t--H0a<0PJd7%=X5M!?hD=q5h%E$l7rc9Y-rRF)59M+3iZ98R0yAW zZKEMRCKKspb~y<d&c~oEPTI9Y+J*W#DJ!dfT!o?CzdMd2(WW^L5g(fOre{sGT*+zD z%t$ADZQ&++-<#8@ppP}%5_9#H)8zZ}QJxyy6F{(&KCs>b_h!Pn_?6Kn9mhR5AiW3q z>d&E1k~%l_n7GU|LSv_>6gYR1#Jy*KT`_DhDAj<xrNGu>yBvEq!!4jr-#fgx9~JD) z&hl@JFctW9(}_oavw-g3?lA8RLmbiMVH^@QpbzgpTWhHm+36<Xy<PDc-C((gqK!Zt zQRUr8<n5JC{kd+rIY*8Zc4pvuWbui+dD_30iGASmS|~wqe4(eK(cSb=#70Ek2~Z8U z#iryXBJFlBL*BVm;I^z?Eh&}q+7UQQQ;fVKs2Jn`Pl|y#Svw}A(aqYT^j@%woN`dz z2gWN-1Q`P9sB*K{EqLhM1If%SBxN6_>@sH!jc%g8qXjD_5nHLX5=njm6Fb`oxwj&& zMBaH;^w`v|`_xNzYZW+4GAD+?sox)}LMRp&B}@4ngLZU^1@;wGF{~6)>%@drcuTo! zyTM9UxZ4j2oL?wF-f%Mxmc>eZ$SND$F98e|%zMC745x$DyfYjQnKu%<*k*1ALSwid zqvW-Cd7vporq<n5R`5`h@Uo3B#_dKZ_zM^4<lflNjI1ID6>LIY(a$cVlEakrEKXzP zC}c8wO4<;UU&{)dTpCrQhgn^F07@MT6^uus5}d7~;J&(>#lDP3t@{%_-$_H_!>vf_ z12trx=zfTX)aO+AEKe~K?@J{-mr0RZU*fW=T<Sw2a^5F!D5kk$P=1#33I&;8vJ#oU zwy<%<Ego-EHGBsluW{ryatoylxhF>8j0kk*51C5%j!)i09#NeZqUwGs9pxj=c7rsA z>b^P;rMFLjB-clTxLT)MY|wnJ2$@SRDUEJtqtF>kpwl#A{{9dSa}s@|)MbebfPxn~ zUs{0Nk&=kUu>G0;5MDNT4P-JDi4jey!z^(UEmh`rr-o7-8@>EFUltUBaigHvPD$#M zS|Rl@j$RxaG+1K;SRi8IL%x5DB^Gi|oY+~w-4G3#&v*1Zq}WWYr=6zZH*#uf=QE5? z@b%v~>jzstg!8zmn2pz;X+rQRnh)R%DViTa+O!R`a9|U(%fdNssqpYG=4**Fp74L` zCdrXs>L$gopo8Q}!BGv<;UG~B(xVB?1B6d7P+_vKPx200G@|^G6-y+cWqO%m_81jk z<Vo0MkiQmAVG`p9T)2ULO^=@-VU+0Oq5n3h*qx<>S%JzuJ4RR#Nf%Q~lbcw3{dwKr z)bFd-4ZmX&k0w<IA6ah4>k}!e+zNcrzzNM^m@cZ&`s1RO7udA6p5UG-EgV8Vch~eO zgrEU5Em;2L3ACm5=GFedf6e^X82UJ`4-{uo6ew4a5dqjMq|eKsR`Lf7Sca@PrEuVk zJ`~i>Dr{(;TPV)aK3=m>o{-xVF^ft;-vOFRKIBjBej!%3&Ol36H-!+<enoUo119XB zZvE8-*jfv<U~u^0`sI0bt-upOef>zA<Um&80}glak%EZG*R{9MZ05INum*SVFy)9y zH?^Zb6b))+fh>ay0p~Q^zeF10d~R171v`j`7JC5t4iDYQpx7J%=Fco;PHh)-#vqRs zN>G|x|C4N3B!$&TkSVY}4oMaDQQ_1xzd6!%Z4wG{0k*UxQTWo!Fl=?f4_dh3rI#Rz z$J!8V8-CM(T6DOa06`q=pACV|=C_?N%aN9}&H)=kC@E$A5O;VfUj2A4csW+W6{r-* z(iMAhUj6qz5(g+bcfvgLFJ~a^*w>7Opm09WesUl1GfxI6^KLl^nRC0Ln5)F*v`9hp zf<}^*R-%DsMRutI4VU=s!F>+)t0Am84#Ei7Ki5L8sxnHW#P)LWiu#tNbv^Dw3D9p( z-wz@?7q<>8FV4?4+VmaG<SQ7qR+xN^Zl1ckpPU$4luTge@$kCJooqc<rl+@2Yuf3O zyK5q)o=ZA9xR+C{CD;a$$5hf&;5P6UsAYb^KX8>KXR<OpaF?iNG-|J&rJ=K0fL*)D zz@#<)Tt&L0!^>RWXyaO1*=nj|S(4`BneXUmDdkbpxd?0=XjcNBg6z39hNlvi;OpE2 zsz)U9ahm`x0wDswy@4l8hN24kwgdV>SOoRe2TU4<2=_GxTm(%tbX#JU&A`NdIIcNT z@npr(_`0E#>AAqmqpa0@Q)I<=D<~V9+p7LXd?5OamB=OPEv_a&<G-@C)V;e(+m7l| z;>qE9E#v%TWi0LA#RYuKsjI4bT#*6w%vnOmYLU3RUBtkyi(M(@zNM1BGcs1t)9%(H zAEINeH|(co0rXsr`R(?rP{@F`wm~r+<e5h-9GnXc-!2MS@fiCh!YD~YePOo}Yx1*_ zm9b1V^h;(rejNGY^cUxD){tvxo(mqX(=>8j0V+I?Q61XMXT|KF(3&>&SGB0rVCiyi z750H}j$S0!Y};42@C|b?Y1d1+JL`11Je<8U9`>(W|D0l1`-~*>EwB;XT@UdC)84zg zVU0SJsh~AI3EY9{6Z<Od4y^X>Bu=&bseo#@?pwF9zt@`d{i}4dc+DIBHDU=HTsY0% zR997t#{%-hufWZWWmCb9_#5RlLN76_9u8B1D-Fyi8WvYxFXf;y&WIX7{Pw+d2~W(J zF1>XzT)=Kqz#~!$0k;)^Vk1@P0z9W$gfcMybj95kH+~EfD^n|2qZDUC^4(APu;?1- zoaZ2KY39JN2YsTS*<40Q%oTeo$FT8tv;juxEFwPjm~y7mWn#nCw^qlHaejWZ{tNJg zhQ=xVJ3M}2^qLU-4nRme{nocSkcdo@DFd~jVQFMUFM`K$4s}+RyLga@_FymrH_V}6 zqPZfw{CEO`#*zIsETKt}TJgU)FMx=ZO1NLTC=RuLvKQGx%Eqjw<eDOq&`0E#hZe43 zArH2)_8P5WagY)c((DdRdXf-&7?E{e0uc`vBYlzI$B|>oGRAQU2l-**KGNRPecWSt z8|rKDVb1Q?ayVj$__7c9-!Z*i7rdIk^JcO;KM@ydYk`Ljk0=a-eVY3Z<Qy~HQmPe` z<+aVJ^XL+iQ(P4$CXx;gvne?2<Inywh@~*nbBXT$)Pehb?v;NxuxM2-qXnwP+>?*2 zt7-B6OM<IJQ_=><%1Nfd!wRnmZ=ov4mDh>0M3d#hWi{!plfZjgzK-PrqIKX*yP>lK z)Mf_A<x#b~m@olYMl&A$Qm)L=3zXvNjg{6h3fZ6vFJ)ytJNQqC(z0oBGg3<93-(B) zfogJMH(DUN1zv6A5{7FS>oWmK(`iuL!5<WybMi9#DCEp_Qz)~}60w<I-2>MCLounH z&VR21<VIL8a*Sv;&(ch{TsFb4rYY<cE<)Ufr9Yu_oBMv1GSYZF_qH><K`@tDhr;;~ zNY0jyZSiokwalCxecwAL9@GCla&>JA<>}~nEwtL7u(6VbXriS}`i)PkVs1mLV!8H> zpzwb-s$c*cRSR*OrcQtjswRMu{9iVz^ek-jjBIV3Ox&F4-HeTB<mkc~D90Hj=%~w8 zX6b0BWat#_p6*<qVi4@${yw;dKR>(1AlSn?xWXwivd*$FGAe}0L7t5<P*bVRPEEuz zP)X5B(^1O>pUKHgkHs8O4;%h5v+FbiS`{!;Cm;xp%TrJfGZ-u9;u0A6yAa0AlL7<u zUyJ@{cMY&kAdr6?;6((m|G(WeJqrbMcSm_UCweC%E71QX@avV8pb{7eXcP<x2ofM* zY-C68W^EO{sAHSShzhZLaip%HZH-Y3hOSV_il(QT4ka>Jw3aN|i~4&|OrN=4ucr}F zRhc+aYx`j21Xpu1w?p_xOsfr4q5QmxTG7dnu?+_2rw)@4*Q#!PI+$8e{hOpx(a_<< zW(4n!pkQbHCKT8haBl1jtgyR6(fhL();r%DCHDLjP3<owQ+-O%C=iXtT{CH5g+3ME z#YJ&z2sL=H3g#tGQ@mg~tAW1N1fv8dJFgiNG_R$eovR<;>`CNo1n2FGFO}v}IX!0~ zKBZ4w#!HACr6C8P<95Q^l;=;9(IJ2E=1Jd?GwIB9&70hP@V}XL^pKi=PFjm`UDLO~ zjU^;u_9{Dn)jL`pX2gF>BX5S;DWy`hP0Zh0YC=f%43x8bD!?7p4}U8%2)JMhxIzXq z=Tly3bxvKhyA0XtPBzgf9hFE>dqr=ZFFAJ>&-QEjNVn@AEl2<4|L|vLY!)H5bn8fs zJ($%YEI5pbF=}Rr;cu=ZrWwiC)fXq0Cugl5QH(c&F6NdN6S`jK7>Z4=id05X(>^{M z71YDKLwO}}Bffv1@m(}zeuey>ABdKq2&1=fU?9vXTp-N<%Ljtq#Mtz*>&g~KJc0kq z=Nr0@fqptOXhB$Me&5c|NSezx-dU~L)!r|j#5}UjxsEJQY5&Ew_4|`!fp_36)Z_Hp z5>C_s?eZ6Py~nw-j=av6^1Yk0`{Tvj)yhW4Qt8mq`P2F5<Ni#`#G~Qg)WX7%t5Dyn z<ra0074dU(2iDi-p0|bb*rk!{n;kX1p3N!-emZ_zpYK)gk1IX=oo%`spPtW&xdVoa z%8rgDXK8!aM~laEo7c1Z_zaa1@nr4_xtNM`iK!TJ)5)2O%;$@v(n9g?&Nm}>_xsaR z`<ao#5aX|GkB927?T$?OT(uQf@mQai&+~&V#rs!|8vYNThi{)AK1<F&$G+7|dKYVR zJ2_o8wrt<WNFt9<7jqoG-PS%`R{Xvn7S1gn_opAP@@9#JA)k*+kMD)zJHD;2jc;G~ zOXoICUtgLqH^+`V!_RP~-whtFuJ50(_hvQS{JwwBFV70vTwEQWAMeM%-#a!QJ2q}? zZGH55w6^FgZgzBiZbrY}D`&2QZJ0A6S6m5tW4qrA(SnDZn!rnJ`Ds@wCvE_T<mUW& z;u`JNqxa?B<kr&N)@7akA<Sk>K+o^9BeTCWlKH$>3iB=nWr2eNZ1k&UTaRr=i@}Cp zw@a&U)bFP8W!s$qjBzPYFrC>kv&Sa2B^9`2EwJ~&$9e1a+vd*2y~E@E?s&_#g^2l( zC(?D|@$JIU9nUb6AIhpPit*mL&7<X43w7%UiAvu=c2Hwyr#3^%MT`1+Ga=j0HA(H3 zT>fUJ2FEb*oi9=Gn%qCze^M`M#0ltYuzmjU>1^qI)>#r?Dq?1CXmofy@4b~^eP7HS zT<q|7=~U-d`*gM2gk|WL2b!ZGs1xwxf4=Oezg7pkJ{+t?Hl)tvW(a1SdN#c|ICZtZ zuf8zcr+zI*HmvaT`aZV5tKD~1UW9DvrQWECe-@Lc>{Q*v`26(na&NL3+}M6P@$LM2 z=hw~5Y~kNxcq51`^yTyRh{gTn4J^$4y7TafrTvttTl7A89sHWV$JlkP2)ii@yIDlq zNf+}n6Z3+^czn<+VCQcQI~PVo0{Q+}n`rP1@<jsvrs{M4PG<SynR%({B<&6uEme#? z$3G%bL&&mzj9ZJDv&3DuTFD>6wED&yFMZs}?}sEFK4JLMREWR{@rZ#6DImRa-1@Rj zZ1ir^{4U{7EwWU=>(vy@e5lI^N@pTzT@@rgJpX-CIz%uLtDYK3Bj6I~wP9Oh%U84P zsu%lpQHt^1^m6tZ*&v$PpP9c?vn+1Or@mnHTP<W~vKqfeePu$vvQV7?rwy0a<MHp* zVXF9!*v>OY<>u|tz|_dn#*Xdx`}tpA9iOinyt`xR2J@dDi#~?bO1*ZzG9b%}LIh(D zZEiifx;tzaGc|J`W)s$qEsu|>?>$=l(z)mtOMm}f?AKSv(yFi+*!tN2=~AR>pg2DH zJom8oZcu!Q-nM)q7mwYX>AB}42wphy==x|kcv+h(G_#fas&M{b{dz)>n1WQ**rr># zQFo18dh8HwF!rg0<LvBgfPf=e%2=T4cIAb$=$6X&T7uGhOnG^;b1Z$$@bGe8*^z0P zR1dyYjUGt7z^0GE*Xb-T9p+eUaD%|l8{0fbJ*mEHu5Mbzn4dfZF1Rn$$FcaJ<WzF1 z+uyCP*4?f~kGXU%VzIA%|LC~AXX1i0RtK)H6x$nR>ya0u%|O8$X7}ylVrO?po>>G{ zStnFwJ~O)b+wLTBVIRljuJ=32ReOp3{B6j5bXRIJINO!1aqkHwAoIG_5ec&fBud>n zxe$A|3_qA;G}~)Vd8q^CekG;@1?qTyXzjD@KtK@gg2h*BAi+za+lS~QXl!H^KjY2- z?P6f~$MX;STBG#Hz{0=YCC)drvK#nuyj)GG=0JvZ;K#^^nT4{Xr(7$4PFQ`fLr-8o z0|Y}Eq%LQ~(-REnJI8yOl(0DE>bkAEeXMUvWgd^OpC2C&zih+TrQ`Nx#pLb`ahoF? zR}o@vxMObYku_vM1Cl&0aAkpGN{k$gH<y?-l3mnY*d;t)mVx;kO-}BD^T#A5cwB{w zstVl_jyhs{)?Ar*HiK=EOUMR}{ado{OV};O7W?GvALB~4N7h~uCXzc9b?&@#Z`&>g z#xlqCRXW1#+jO`7T>r_Gj6CGsp(b>kO}V+jdi1pV%9%U0N)Lx$`x^;N!m><&e2aCD z0KJKz)vfsA{uSO}vSs#4(bMbsfEtT1Dziyx!_IW6!^s(PgV`!j$lk=Lx6to_3C^as zqA+Y3ROhh~^>~DsTUSf!&=bY=&Xd{mq$G?+Y|D^elsA5K#ZtRA@Pq~PTz8XPXY+f8 zbbVPjmnz~an_DI9Rh1-jr(gn}V)%>Q_qu(sqo9D`JC5x##;-%i)nr~{`~HzcA}0ho zR86aL7Z|;Y>JNULh+r1|B>a(XwQp#KSZqB{PLnHTN5ztu84qNwIQNG7Nk-jG$S<Au z6`K{-pKd;ev$m;MWi+7_cabdNZ#7#vB8W%r-byBEBTqFP&gz*JuJxSY2Os;pi@sOf z(*{eXc(+?0O%20gC1;=LX}Kk#8~Ug!7)reqGa5BQ{wSMsM{~!iBR4kK>lZu=7=7C9 z?cH5kx;<LyYLHhXRu@Y(0}TOJf%aG6pJ(Ftox1POkfk&IEj#P52uA0o;HPPC3x`ba zn#3+Cf6@vS)J>N{nN7K|6|(vo?mvd^R|cGBN_V`{WK9$Ak9*dX?-{u3(&nd+RoQsz z%KruzVCJ8WLy;wwYf~<LWFjPn_k-V^pWLrRep}f*?c0JbT}{=vM#lE+c<bs`(<GrL z?m0Pd=T44~*7At^jVruUNrg0NhfwTzaef0QgD~`RNqO2LZ)R}_HO_u{;r{rti;?nA z&chZBSdLGb0xb*t-5^)bnd>l5bGSPFIP>6E;9Ig_?62a?TS?KRO?>ecghLtM#I+M5 zb*TM35hqpjc1(9YcP$AboAF@b{N9~?Pi`CVV0a(Rp_(WtdMZZr?ejHoNUDj=3|beY zSl3?$FOC_37Q&5duvo=-K7cfwgf{$O7sO-~%*2ChFsW%Ui6D+SQpFep2ozO}asYZM zAOKJmExZ_NgzWIr9_c}ZDy*|WMj!dXxm|+&(En@To8rV&T(n}=C6Hs*Z5VU&<{WdI z`Xz~HMxLyVd7g=|lmb3yJ&qip-(vDCjHr+cq>gwA|E-$_`5RAhxDKHpt)A-T!A|Lx zDl<SHfdV}D-_Wi($et4ow%N~~^ATp*6+h;}nD=ClQ*PN7KW4)i1|w9tWftMQx%7%j zGfmuO>daFG^F-_CEJt-ras`ZJfwixYbU&-mny+MIw5+*g8al;0L-<n@N+anc4CRv^ z@>L`~Agiu>*+XhtMQRF39L_}({^~DLU|k_4-QTFb1!%M`HJ8l74I`D)l+3~pBh?VZ z4Z%gt{9Oq;)`V7xe6HXWFx9l=f4Cm;{~Elw?J*t7*0ekL;<P(V9k1R59Ii6hV%`+0 z0?`HyMh(VIRTn_VDoU)>*m&crEdK+pnw<Jq39X3&yr8C;J7IT%b28*Um8Q5mp-+O2 z*Y(}{f=@BQ+hy!yadVUT;9Z4~>;gGO<~RA(cj-iFPMIbh=Wn!8NRL~RIE44h5fyp@ z?++^npOEpOt8w)u$}6|OK{*6J4#)U}l%#grbMG4{%&vOI{>I~9!3?rQBgOjkk&@w) zVaSu<3BpX(z4L=4WDQ9;3`;tYry5sB6;Mg_X@r-&_wOTTk4QL8OEyN?;1}-elcjG* zG!&6;fPqyxd+42Km=`8&nj#a!K?~woiX$Fy<mY_YeF<+=H+^!@z^XVqJN(K<j~@*} z9}7y9%vUM=%E#Y1(%{6J(pN2v!_1M(-T!m^H3Vdm`y)FKW^JVL+kyw1<J(%1P~k0Y zR=YD!U^?fgyTjAr$A{!|gQ&lN;M$uLssE|z%8bm+#gkbi2p2iHLnjT0Vnu~q1k_;? z>L}oWvQUf@bNar0^3>YAup-rKwg2_mbZOB?b4GEvL&8R|yC%^u@^vqwdJ&c5YA|H7 z@iO1hM)NqLghw7dw^^*73JTRuTs|&a%JU2J=_Pv@v!%l?om!ao8|;ICm<v>8W(YR^ z@kRXkQeGxXP>|alH50Jj5(^VAa7CIfj6rXCObmN%k~AUZZYuL;ezTM3oHP&+C?{PQ zedBEY3uj-Le*wRxS0Rv7w!HVY=OG$GKPpPU_=x4!sfO3SF!*}9`$zX=N9RT3h*f=~ z;9{}Gs^~`Co%(q63oU5$yUbi@@M&mk9PH!P!sy9i2k|Zf8{Zi5$B}BFw~&}WKw{SW ztF)eXnr3JvN|bcuwU<>*Xc{{Yb7&gcg(HS)C5fv3vtmy&2J0*1wDear<PG8IIM5%0 z<FvQO_UVR116En_gz6FuWMPL(9I=i^Y?dRfPcrb(8OiD$27$BNk-cx|j(>W^u4pJK z-E2hl{Y5SP>(DjlBUO><aMq~bkG=m)?sGr!`oZFtcJW()W0w>US!(24HBRil=f=y* zzz|A|Gy#dpjkGA>TY%5Hx;cR(t}NdHuTG3|C8Vh7GyM7$YQXRd$iK@RILeA)YvykB zX}$&68NhmPkYSV6r!S<{`R@Eh+o4`4j~;o#pxdP@)7Tk;u<jB1>W8wXLeHG|oDHRf znM~j8gx4^rW?)$9B5n2TQ?Y=S**{p~B4xEiCFRLrSjkkk#6!l;HY_xNyn%|c;nXt7 zE(T%{ZXFVC-K=FeU~waA<tSrypN*^)Ou_I>+-xLcHPS)Wj3uR|W~Eo#IptLw+!@Wt zs%BMqWSza*-&u{a@#3B-VP*8+p~(NN{xA5y$P!kZS6yLrlN;Q1(YY;1vzm3$DJ{;1 zF!#-JRtG26#C6e0EnRHl%Yltk+Oae15!TpJv5`@zQao+o?phQrr;XOMZCj8pgzx9Q z;uLxvuzaKW+_!U7i}ZmA%=z$MPQ-wV5a?9`N{~H$$^1e{a|WQ1{X?Sz(8`B2cGoD^ z*zpC-Bcb6dp^MRjhmkkE{SX|27U!n{5`YLYrLn7-*!D~=5wjWaK-?sBuYbs{M21#5 zSCnEtoUj34Gbb(zO#oQMSmh$Y$-k6n=#0j$_<A8FWi7jmX>Y6MqiSDo-CrLMrs}WI z6cIvSI52h=?af{yB`-zzv7`D;$=HSB$Bd`BVdix{*wrTkwX7z(g~Y#eviQ?~^7?xG z`L_PT!Fu@#H2w6K%2A|wxHN4EK6qg}HYo;_st(Sl#DS`5Xr1Y;5Ke!}(S3dI$$U!K zy{d)|tc8~)rr+eT0K0FFyI_8#1W&R~yaeV)1)LP6hMEG&VgVl4*}_sx)-bo`7R0qB z`$rV)W;hKtCysgq6_lcs0a}rio(g$xg$vlxAQ2rUJACqdoTbvzW0B3b^|v$x{Q@FI zqxr6tITe_fXL=}v4>pjQ=OCJteCfhjke8(r)T%Wp7eoQdA3@UJx6jv@wj7X{vWfNV zmF60?HDc^!RL6ur;Pj7Fi}{P$__@lF*ReW4E_gb?)d}o)TAX^f#&;It_AHA+P#xkt zmTG)+=D>lpffgk3cChEr{MZhzmmql$%Kg4OEm7A+fPXU#zY_Yem|h?hmOnI7ZACXH zyat3%dNDSS-YRj^)WeErkkz*ghk%5qU+|FDzWe6jqpuF*fePWwQOVE5KKfquER-&k zYN3e9LIvt<$sP4m){aUuq>|GARoIjLu~&!pF^)>;+j|w3y!nYis5mzDW2{d4m^pz5 zqq8n_cBLR;0OU|OGWHqTBcCRT@%D)Y-is)e*CihqZFu+ss2_9eLc*%F5uJ^agZaH> zs3TJ><|^P|is9SF=Q~!7^xM(VxvRa==KbY<>g^9&Uu@v%V=hAu*QIs$^ZcjoEC|1s zwc<3V56VLslg;On6No{riX+s4=yrE#7l!*#*hhJemI{Pe!o>vxoj4=BY0%4>J|y%0 zT%d6@B1irg;T;RK!n|^O{zr%O%S@@fQK?Vc<zYwXm(<k#{ST@P`OKBDWm6pJ;{^in zX(}ai@7#!4HPYuV8iIX@*TcrDu~OElMF&b*t#Vwc1%1eTm7-d|=L3U=E4agYeQY%1 zB(|~sUDX0{yBq8EgJXq^oKmi&V?<aXu42k5d`=-Q60)OCZdm-i04&1g&-I+auYkvn z$I1(@jB-@2Tmh0&#@H``;ZU$6z939HVz}3lkkH_R(FdGyhmli5(S6KD)?<6nbQWvx zNy(%T=}xZEY~fBWsDB(>7Qi8A0-RC?z^SJLTq>sYrnSRU>F@ec;-&?DA4KsbEK^;l z(1wWPV>TfKu%C;yD8qTt$cbPah!?XcV!gP+A5bIvNLf=@UO{pPJkO&RV3Lzu6bWgL za->WrSrUfRT=9chj)0$7CnCs>(^Q1;@bDwZ<TzC4l*Cscx&gGe4<s^(8}B1rToEY? z<`F5%09!BvM1U=r0wTZ`Oyby?a|_HHjyae!{~c2Q9TE%7+g^(?w2&s|BS(Q}k(0ZO z>wEM+Z9Xm4G;jF6#H#<~NVyk4zBE$7HC2v4Yw?l8DJ5fyBx4rQGmSP55;h<QPD;Z% zXdA{QA_r!rU>5aaRs=0q5@#jNdZ-FrsQ#!{Cu`JA{%@c=C}WYVv70{s(HV&od^jyd zp~>QhY9=5clWX?}NJ}&YDM*j9u6P(-JZy4)QhQ{lUW?i!v>TCL#zO?@oKGV)Yu0}H z|8or`(^e`smbM=E6UH+>tAhk$KC?356peXzQ|SX01L}#`5q0b$H}_yKaQaY?p-fsU z&e>~2p7ThOwwF_R3Kw}f7ErmWdzuYZ==d*|wr(yiey{=l2;x@u=4zKJas9@Ec{ND4 zx9><2S17)GvJ;%U5XwfFEqWa+m8}UXuCfO*H*7_NSk)X;Q53aN=-!w#MZ_yK-N>}g zEVTRfBw~lltNevh%b3i;a~O?u`~#b=v%W#KuAvwq0Hp!koYjF}WtmDz7)*ZF9MPn> zM5FwyA+2>$36VsI#GDn>a4@sWY0z;!7iRA7#uJ!q4rIg62xWI|?Pq+emtogF4)e|# zq0Zf_U(<q(e!acGUPlrm@JAAPP+1HvGgp#iNVzTxApQbLsgV)Mkzd4g7?i1bH!*Jh z#(k|%sv?ZeRr(JYSbcVV{H>l;eXah*VfrO?0dsoBV4#Pr4_nedhPPtqh|@Ixp-IC) zND?K0ALIzeLl3v|%h6t~{F-AFx~t`U?JL?T(aO_$RE*jNeWAxW>NP7WI?ax>3=+uV z!lLFdO)YWQmzhz`#?eZruD{2L6X@Iq{o;o2@o8^>@)<mWAVsWE`as7CbA9X<EIelB z;CY7-qzIF}6t6x4jqDO}3Av-U6C4$|Q%a(68PIT4i+IC{LTx*z9rlE9Te%zWC1}`v z<iNaLFy9{9-BK<>zFo&anteQBy>)AjFRY{t?rwuE*Bga2^SCb-s@!bl#rtK$cTmm( zud>^rnt3m|G7&3&xxvopll2QUXbgtQ74|bq5d%Wo&iQyB7n9&_nbYLr>~WFEFrVsi zK^K#Z8;!0w9zqB!3mD#xIK1v=Yt!>w{BNyiLeg9O&!7YqTp-h&eJ5!b0ei#cQ%X*` zyq~Rys;xXB_Pke#V||YtCEuv<k;XAf=eugv&xwUl<Yn@QZD+u_PIm}-Bf^p~V!MRx z4IDrrT89wu18ccLY6X4StdL~-r^{_Xu%`A{88Ylqi)f^rVb@kLz52^xR!9*#Okl1{ zn_)!hHei4OXh!$l9{FgbU@-(*Q2vDUOuKEo($pA`mp`eu-Q6O7K_~!YC=t;Y?dw3b z2*9A1Q;i<#iW0R~a&A4M@07RWY}WD4P(gzJ&e>;+<qJo=BG!T*WVAGvv$T;5urrAj zAVVVZ%1js^9_tJq9|m!|I#X>s)CVtf2M=QqCt`-Zu4o$zVWl<wuxfiH^+Z@SN%$qa zpM*25qza;$dlXKl@ym7)xuKD~4;UufA6aL{-taCEN1u8uX|p{!7g~@=Un4|FnF-_f zsi~I;Eh(qIcNQf4A`6^cky)1KATM(Oy&!Er*9th80_{khq2L4+bDeQAJA?#tU0Tv) z^yZec51Aiy5gyTzTeGyJ2ijs{-5QA0+IHf(wul_akqc<N6gu@MqOx&w$);7l+E$E! z3z&deWHQ%3oJ*D94A@kVxCYVSY=NRHBhL9XZZXpf4OT6cKxSnAqu(Ip8{)1?cRVn0 z*Nm~>x>-=_IIEV!NWXK_oy5=nln5{~b!6Y65gMEJt4Ptu9D2|BcdJHs8(QfYRStE_ zp#%t|{9z?6GK-mMMQkYq!?TeCH}9?+VA{(ruDWoD8{t-m-Y2J{1Sf(^Q@~1FVeS_p z0x5^O#AtgY)~BAMJn?vnn>xQ8R+_$2uYAm688S<9ycZjlm|pljwGE`~=eQNjm3l8B zttTUz^{gtE>Db&qnhxW_X@p7Sb~vNh!fA$JtG|ad3`w7)bxJ}Kk-m$crI#7J208a$ zR9zZ8Cj3<V0xDkVCVnyHNgbwvMabJI1f_%pmZSEAm#*M#FS<AjGDod0XL&_8)_HI# zz!5^j`Z8<C<2)LNn8^MQZP*8tlqum~Fcj)t7sTf}hA%|{b69L7VmFsOe>76wTk)47 zqQPbZ((twtQ_{Kw#1&-2KQ&mAlWgoH;ce5Vq)aR8w1hF%1DI&=KMFz54!k3Kz$mWZ z#;9MIUPgF6nYhoy*}qA`xrB-SF9sY=;_0T;sM&zKHjr2_m2ohNpMz?>3@iS0TLif8 zsT&R>)1+Y54Tg}B)7I&S1D~wdBmx<+(TC7-Q*x?EFpZT82~(%=IRtMKdJnG3<3kA# z!Wq{hp)Ca=6<nZ!{vemA$+_BE%nNPD9E?G<ulP&s;K%lax^YbNRJwe#TyvdKiI?!p z6+BX3Er-6v{?f~a?X%tTOZ;xXhnos<VgCG|JC7_jxV20gEA)CgqXlXshrv9hIZscK z;%tbIWMLlNXM&hu<{MdbK=Y$CfOwh8Ditnx>(VG$n6y_M2E2=BktjxG2;?TeUZfa- z3ACNeZjnR`;rCoDi(NcO=w|jG=}bTxIdFIDA9jJGn=c?O1*<fe6B*VVW*iBH-eAH& zB{Jfti(&z|&7WKOvr6aZk(6`Y?0Y4=Z#M9Bwuz$3g)v|?Kl!d)NgRX0d=U92k!@C8 zq>Mr3;z-$UA#Lv@!UE5>QH{}c0i!f*Lle9eoS5XnUd+ZP{7t<mn^rdw%a8FJjy0^n zn^#nd>D@1kdxx1Tj2mGs6wjX?9Elae^NJ!6eMniJqAV2^qhbo9HUz4GWe|lGKw|<@ z9RyNA0#*3$fU<zz840v364P(2H%?0DgOW@m9eu9N0x3Ha5Qrgk{?Eas)E_aLG%>d} zCM}JR1=89zY-92vRdJZ3a|G>qknGZc;?!3+3bwv>f}i@x9XvFg>GMtLgm;1Hkto<8 znLvR<B#QH=HgkQ6?!&JT_%@5f?8iU`Nynvd{=GWflVWf=LSe_rbB1Bzv`rZMV~~`$ zvSpu%DmY@E)PjNGJ!mnYK<c;Mn}f$j^PJct=i|<fInnZSsX*tB-zfE|?<w<B1(of0 zEPpj0;IS9Zlbm6x@ou#@#68ygAlVpBau;kTSqqp~v-wOPzma@*VyB|!cCXf77RecO z>y>abtJoh29MntLZqhzpm+3xAfHrONF&q;<P}?!Q-W)bAg^3NBew<`6xK7a#fB%-Y zV{7`o=<#WY?SzS<nTPwVnblGb$?dWt+g&=YE4O9R06JR=<n1zThutZ-WTejQCVbC% zx6209Snm?vC(ZVem?-|Mmv904Uxbg1f)4Qkhe9N6`th^=zN5<<y|LB_j|)!rDirU* zFnFQ#tDH&w6wPpEcj=cT^iDGE`bJ97xvO%yLuU0ot+RJ$0nzeQUWQt>=(%Iv2SOti zFzjl@x8YaUnqK7Z@i2HT^sBAO00Do_+wx5_&Vm;!qg8O60i~D0CrUcL#QSL&yczmc zx0HWoSl*>)1?rxzy7Ub<qw>{dt3}QndOZ|iH67hI66T(kb4Sh{9zGP{sd>H?5QWD| zQd5vS3zi$J2+ctLsxl2K9v>{OFxD4BAOawflJTID3S%oFd2Rp#D;*aptuS^Iid6=n z!P0TCvI^rMp&2XqDm5&k3TCE?{QwmW<Om(``6Lw{#*Bn>!vl<WZK(<|A!Q|)A3Y`K zN=i)#83`3KL8>iza>0^eR@EYpOwvlf`1Hz||0a)<(xRo-%|eS*082{Bk^f^A9#*NM zNeQZ;2?>@-N2!CrHb~(8RGOgzN=ooVk`}LrP2&=}G>Khm+HVmwvtqUJ@)zV~fNtlO zLaMPbQmXQ?!6iR7)T9LEXHxKebu`uCiUQ_|hzKq9UG^zzM5(d=7z1%>T#&SBJZ~w0 z4J|2&B^3dZ9~-9uom983Y}!=Xx`fY{p4l9k_FJ5a13E}CAsu%1&?!%ZC4I`6I7w2u zzs9mMO0}A~^4Czc<y~GcG<p-V3$vsSMQKphq#>ZZT^Y61ab7XLd7hnv3{5JVPNDIK zh}xPg34~1chhA}+HpD^6pb8bLdU4(s0v8!685dbua;<WJ5_&drH}*kSDyxl@44at! z5~lWw=5ru7z1+`6eMvUL>L8`SBC5SgIo;BrRw^b{iXqi(yS{qtNTvD!GAgRRefn7C ze-VCT4Y8R-{EndelaI;4#mGz|iTP?MBSt5d{wvDxH&a<CT|~TIhZ*fwvSvGt%UKL} zP66iYk;(A6Qu<P{p?Yc=S?XXIpYTupNe!SSQ(%<_7(!N9)S*!ZDrPm*CQZe~SDFYw z2QDv(XpL-yt|OHPbm{5A8fYn2`tL2g&t2vfQaN}2ocP%#^8CuSAo_6SZ5)adt{UB^ zkx|_)T+SnTy)KXPSb!VL>5=jr$EK3&B89}lZ`Lu&4n76e<|-@&O@QdzRGcSF>!N@Q zs3uN?=v(HM4Af8e0Hn+$vB6m0iUb)wWw61d`4b?h7$JU<$zm5lQIIv_S7(#LDG6Q7 zJzC5Y0-#R)zhM!NMI4AxUb_9ivQt<8>dI&*18|pSgDCzfCe`jDE71`xA~R1lZGW}3 zu%(ooHO_wxzHPlNNs98XNz;AO3MNi*V<;nQg({r1PNT7J!C?f*;VhjlKf<FaCES<K zLW;*%tuyd}-J?Co3}J@fxJ5+oE<uGM)&8lxBO%mLe>X|0R2YM?R1aK#^RE=NqVit` zmkI1_!!0Xw72H#K(+$h>qAqm(3LJoGJlw-DSAIm#DLeEC;8C<j8qB8rgTF72ns0Aj zc^pK9Xz(KJh7v1lP<7Ee-JBO8+yyc5j1pggw|HNuf>TVailZ{+wiKeu(&l$qVXF1$ zpqfbI_o^5+OCxjYge#1eK|XLiUMSRr6p4Rl8f0Pm0hM-a*T>qALkjEf4!TZH;{I$3 zmzZuw1Kc6_<|o5%#X8m`vtquz<>j%l!agAXSGhlhdlKgHDC)45pa2c|G0%=unBC+{ z(BnMcCL_V)ko)1DolNz#m}(4?1jN@?S&4!m&@5YLClVzDyB4uZ_ZRyVNcGJSlGpLi zs?>tkfz_OIc+eBd8k^UBcMA;_DEpO8vPjOqyzGS5o8H1b##4%akNH?5T7K4=2I`Rc zwZ5(G>h6M|xGIei$9S<IO5nKaIj|?h5(yN#{RaBYzFG_vR+$SY3Mw4NQ*MkT-u?SW zQFI3b7tR<Yd7fft<4Q*K;^j(qbOuBS;=eUK(f(7zMWFE12BHu0%F0e_lktxad+Q2& z-(a>%eHh6OB*gsiR%%xCp)lnvWxJX1tsoE1rM)PkIzP^4WUc<b9JS_PysZYP#lf}o zbVy#i*_4<kBhyP>Hr!JgF<?c}TyU^N*F_1UxUbXPaqQRKhgMKhZp&7U;*^01>udT5 zssVsQ<1Fzf`j3GiQ2!bTC%8185&cwa_OF3=@_C)LgWD+)RkIRae`NM=ExczJ=IQ5S zriiUu(^|Q4px#Z^?1J@T!KIJVlw!${V5H^VteAGH4QY?pj->6#7Y%Y4P&Fq{j5E>i zb>?lEa&xYDCP|K%(_XU<Ck?wN;^~gp4%4<7Boe&j=#7|mij&aq&)ST;r%!@>B46o2 z8`8{_8P@d^Npn%C=#D#rh75D2RLq+s=U=mW4ViX^Tq9o}dUF_FFU#@+lL^=!hO){G zgmUrN9?;k=gNEeHT34<5gp%-hCV8(`4G8V(0)r6sMCu8R#StClc>*w@w84mo2Gw<G zq1NmNHy2_^AV4t0C7|w(pkahuSec$13&Z_;MNyE2KCpKJ;_#FKGHHf{c1*!Rh&_zW z02y?EOg%ux7$8$aP6xGiHw2Jj0LbV8WTY8kglv+SD9}17jp$89(x=)&qXj0>*vDVW z<!afiM1-wFJRQ#bjvzAO!_lm`NwsVHFsK)qkkei_85fvrgVST&=_iADTH)_U=MVr% zAV3m44Up{J#WHI@bQG2z=|ua*ecU#?Z<aL#OUTjhBvA#mK(t33Wjmf=F&a&Wcdur3 zmUdzosVAI(DPW^=mex`rHS!Zf)eA2{f{!OB&F*jr#hZyD2eZ26ApA$I_D_G%OVidQ zpbesNc+%q>7eXe)RskU)39Tk4#QK5owo*JfSTOpmIK+81I=rCEJi}`XNHkD?7;4g> zMpbD@BA&)H&-MHvfn6b(A4Jwm^Ma!>Q~((nfK1wd%8*0?WHbRX_5UgJ0+1QO0QHY# z_zxMD@icFGj?uK=XH2G!)K#e=ZE+X|`!Q*4^{2hY!>LnD)Ulscr^v44Fvk2W%~sQR z?w4kwDO37qmuADs*D=BxXR9+2)R}$rYco+)TX>;y^L4psitK^K4Y^qI?L6>%MMnHI z8CDR|X8bHEPOi8@k|RE9q-(G#(>|uSXV;uT@iCuOl=WD&*`J%3hQzbCFDJ*p#9*0- zW+RBm^BkB4^{AC2eZG48fv8t<Ca<bA4E62m25YeM<qrsFLi{luqQ^IvpeZ3hF%&+U z9Lvi`+2|d4XlgMrwe{}|P}3u1U@oYC#001RK0{w#5`loZkRq-M4!-ei63030<ImwB z9A~Y${uAi#jHz)CLePb3IQn1s?@t{h*!1S5E$7;5c;OuDA54eqDRnYt;KqMUR@H9s z*#9zihcwVJ#@RwhLmlF!O`<8diceI*zGrDFUQ$&1aX+!B3vA)hMKWJHqKnq$HiDe5 z&S(QWyEaCR)y`m{4EfAMml^Rpf-i2_YXCc6npOM#>%`$GP2z>3DUA3mLz}Z`aKE?B z4Q~EVg2ewMU@;AX(cuXs!4qx;H=fQRIp-I?M@HlL;F2j>U*35$B7<tuJB-iHltr<2 zuu-D4OZ+0YiEE5nAh*DZm7Hh3jwk{=bd;RHq?Vk}2+y_lz{!{JIabd96>NT_pV{SX z(mIr*m^l4FK6J#~E$HTl6yi<InlFkTd5h{CLpu@0y-v$=Zr(6iRyf667{fjhwJ$_3 znQ0<s-#5$w_18Z`qVO*(3YX*+!2qEUm_tRM-U|u|VOHpIRy;YZzP01AgXa#TWS`M6 z9#nVnh3f_91!*|fJ;2@p21*vr1p_b?fZ>pZqeL&VFkaSb1OyXFs+bd8S1Vl_F|%%; z)fk%;pm3mN-?mXwgyfMS8QQ?Zv>9rcbE!1rqPquZxFu+agb|0KV(}f{|GgN=G?7+O z<_!VavWSD}ycm>C#jY*?p?qr4F|aD)=Qlh$kEi$dLqKB{420cqaa2;wH)tBoxqmbg z8_!;M@igqdKukOfgN=K?vkd8309+REUWtGh6P9ty+tww_<7P`w;;-g1b|usP7sIw5 z@YIbqN^=6~Ai~<S9OO>&E#&R75b?{Dhjif06S2mv--HA>0kuL647Z~|fA^Gq!KjU_ z{HdD$LSm0vkCSidXeG(qSND7N<Kv8wBA47;lsUGlQS@3@0v>mW&repagvYXVRiW4j zEs~<Ve(s@>0_JpM`U)4@Jn<oG7(mKnWuyRv`;jcqvIW*dWz$9wn!4)Ki<X-3N=jhq zn)IQpRW=A!MML;QLq&jO1We@$LO3>JLrX$1xal-j6r6QI20-Hh(C9)@RaT`z4Hbc- z`A`&^uJk-qv`10_x!<sW+>{z1XZw7iXkYUpEg0OTL4>|k4yC$8PRCmnF`=nsmtV6O z0j_rDti;Dm(MOqK5T*>P8Kbx+DW=LiA)v>H9xan%rs7hdm^{fk%TXXol6ZujoNc8- z)&TdwW<57*{3>QbfvY+e1?ugp&C0Af4QLftWxf#5Gsgh5x~jPB16qI1wp{+xil3bA z1!zThSn~a+RosMvP<0Fz%$vXf&<bC0i7S#kDL9LPJ4~!xk1Mjq6-J#Nm}jdKB}P6W zn52A42|zUg|3=w?WeHqRZ$9JZ#{WfSnED?@@|pi$tig!>m(G85PA~lTB7@=oD7viv zQ!HwBjB7WB59qdGN7tmA5VYihESEkeJms+~j30A|Tb~v@|7Q(`+vdi-`BPxV${QE* z(jMLqvORU9CCoMaO<iA&MJwWZ{J7CF$B(7WE4e8TZ>K!*h4Chy-rl$d?(S{NGk(n@ z8JCfugJsq`aM{~bEeyArN1KA#_|&_AFYE^ja$M~u3EEHkC%+)a+Bpe7RoFn%*N4!+ zBF7R?<mr4d5@0ntR^%gmYbnury8n-|w+zVQ+uDa|0RaK&knWW3Zlt@rI|NB-l<w|s zX{3>EkS;+&Iz&>+ccY$je$Vqh{}1QG&CIpdUTa-@t+nqNhMD0j9Qg_<BOXGvj8P1E zC(Eet6vF}#<uL#eEf5JV?-B1|Swf@R$6`goypu$V+Zx~_B-gWi5#zYdjfa5x7KeOD z!J9{_MUjtqz1xWmop_a5Dvs#EHO4j9U8p;F&62#UEI>Fe{v27V1$NFaNXJy1%)e0u zmwM=#Ah+U8<u}Nut(^qVD7nY!j53}<`_xE1vrA(!G|lZ;6Kd?jczQW0K!aQqCw}WY zu>hq)zbdMekA$Pub<*^nfw@mapdNqNFShT_*WyvRFN&W7lnW=Efj|i*Sidvm7b^~K z8lMNsOVQ;Fp!|N)<PDVFg7tMk+4svg`B4e&$H9urT#J;mwm{fb-P(F;7{?0nVNZi4 zg&5k9({=L`xdp_ksQ-{*0FvLAp2#Qh8IXhMe!e|(-OBWkewP$h^tIP(4xhC(!}{J@ zQpNR?KK*rHR1sXLc^!KB0w{Oa9D0CK{H<kEEKok&_m>0Z_s~mhpj=pUXn3^rwUm5R z-rsMR0p)J!B`Q!3t~nH=XfE*2zM<cXH3*@AzdK~K=EE|~j_Hphyb}E2aC~E~blCtY zeMc!TyJNdik3DR+A><WNqRLifYd0%(h%hG=HpWz6EJZdnrxw{Of4~-JtVLKK`iNC` zQgwHXqpDCQws|x(?JhVp?$-w`>h75=5W78CmYY+^-mN3p?I6;@tBVJ$!o_~Uvgbrr zUedFt6%n40(V@qIo0~VNmBcyEppOR^&H75Nl}gNv?x<%x>3uP*DKG>P8{pm(1&2L5 z%~$N;_Qe=x>W>!rmUfz-fD=@K-WRPLl1vIGghjp~39cG$k@ES1tA#?0e4D?aF`X~C zN*H5Pc>Q=Sv0309Q6UVSsqza!8MI8Y_|Mn><C-ByUs5`G^~kGc^2rI4BPOj*olX&} z@7V2Om-4Lp;keulMRh9HKK>IW&6Ec1#56=3mCZ<1htm;o?;B7#q!xl5>CuN-d!(F` zqyH&M4Uno;V8tV!I}Q=<wzuyk&AlUvAa{4^A+EXPKtgx3A;UuW7Gy-;tb-5C>WpHl z@$qY<N~9pjhQ2H?OI7$F2L^qadnYauOok(U+!!Kkd}NJ7epn<yk4{V3E#=I~j)ZQb z&_it0#AY0;=#MKA*IH;4qsfa0htQgf79K=D-KFSk(+d~ollIwgU4d01P&(>tu^5+^ z*(iqzYi}qI6G;MV|7bdbFva*&Rz;@2A)xDK7~nXOZ5{y^Pw>6&H+=UOp8bJ2f8pgH z`0+3N`3Fw^g?E48?qB%p4}|d(9K(4UQlZjgXo~9xX!-r2H4gD7GSZ_SnUWiNP+)Aq zAAtqpfz5<1WeZ6t31R{8rtd?CaDEEiOB(*`(yAXtw&q&FzQ9k@bbSpBH=@CM7&;<A z4X3|Z{yjENu(=e*I2QKpEt^RwST0mejY43#IAoh2R%3+UZ^7Ze6UVxFoPLsVZuUwp zbj=`X=f6^AA{~9lLNEMv2c*p;*Nsk?e$k1DYpmo0veX8r)7^B$jYzW`f%E1}a@a0g zzOb=^<BC<kB{@xpo@?4?;+pA}kJAyKrIh(vf%Y~8?QxmF72}evaX@98pBrExjP(3+ zE+WpEj@UMiA`So>uu9(k;#Q2^osQ@lv8nJk9w+xCTd_A3w-0s*ieV?)7OyxC0%z!F zH6Ew-f`r>pg7~YkiYKrfDZ9l2i9OJzqvUoJIciYa#~11t3|DS+VM$)inBu(HlP{+0 zv*f>7aelR*TC+8qUR)yFu@Ndh=!{Ik@E`2T4Lon&*$p%~k1gI6g^u>_G%OL6!0K&9 z;+L;8g$q7du_T1Ck*<(On5~sUhTUJ`A`IGFpho6%sqWQ}O2VMvAc%D)x2nq%lT62? z;907m{`}co{CyM$os4U}mQr4RWElD)_vDU5>XMk<PcyWR-npCe+cxZ_?oE7Ih$lOC z0VBFk!rz^jeu5W14oB)`&+64>XJcA>=(`bd3BMTInY}qa_&mXs{p;f!54Vmtr&|sd zlF<$)a~ks}(JvZY9_)Qwyl&l^_3v`=Oe!d!>Mia%ylri><4g3>v6=aGv)A{Q!PoO) z!`A8Ht{A+j;LHAf8NUR(#<X0p=CAx;C#S8m1JROA1`a3c*;vWt%jH+|;eyLL3iX$; z8M;+?v21+ax(_uhz3v8rAHZ`LUQU-keoot854_c_>>v=IY+9Q>XByZ4b$&N{fJ{Ah zbu<61b@nq{aaLyJ!{?K&wl?sf$``#ax<vPP(erPA4KP>ZmL$(P#0!5n5LofnZNI<2 z?EJv^Yd&$~R|DCqce8!(b;&&p<^$1E`4yDLtZl$^lup=>@8<1}UsQe4OcOU?gV2q< z_;R{@VT|-@j>D(!&Q^Dw>3F{5X-5*J+spZ$ABtB<^YlFPEr_2r?_fN@MLIJAEgm$N zmJ|a1uoRWE;U6{EZWgoeK4;E4ecL^oMjYF*&_w{3?)rZ0n-Ra?Vfwx-K+I%OUXng= zW!5Jz8tLg~@Dt@urVXO@TZi}6X_HsyP>%gb>$jWxefydg-yJTF6VI<J$Dx&v&p;r$ z%rE(0?4;j(&?YMWtjjNySihRRN{MlAaBFB~D8E{SYlKuS5E+_TX)<DFkkN9&>u<e+ zn|gYX8ymS{EY(jNRAa5$-$q@M`XlcvH4-e!-h`Y-6Xx{x2hOACKFFf9X~j=0n?AK2 z-0M=~rPzJp%K6#-q#@U0Xf7mn@w9NJII<%_dF73OPE43v;g-C3E9NKPGRthT(C)Pk zCN)%(NG7#>7IPg1A`jaGT>gC|>FD@N`Wqfo0<ve)yd?OC(FU#(3)x8v3Us#TWfBSP zQQ1>aEwIcS;yCG1!zuVZB6JlJwIWh#t@Z|)MQ-iQmnurPrc?8wHR!`pHBXOj7~N*q z8UEh0!II=&(=|yZZ(}I8=Z(ko)s>R7lh4<E+WY6tu^Y}$r%t)o=bPac=7NP^s$2BG z__VdOv*NQo-q$`*-gNNo#^&}abfmm*=VG?FytQ0Vy=l$YW@3$bTQFOIqJJivGTLfn zsXXB|75+IKktS7L=cEjQ*-`P^wye)>pW=Vt>>l_q5ALv5$a<B<rxZ_B5@K@3o&7>% zVBG1at&?s2v*(h<ekEMv+3kGs;rTb)N2X7W7ceAWR#tZkh2>_bXJr3!6i9tF-S;xR zbh`P>QMQqb`M1s_T$==|XWJEt6!!M)30;tf+E~VuN%Y{!*I^<IJr5ZeBHTSG84ATa zkyhkSO$5qkY6u@{gZ(Xo?zw3q7_7xYP`l8tXN|E6=)irn5O{VeBe2ZbjrLP_uhOz5 zn2Ls=Qsw1$p6~o@*7eVbf#Sy1BHmGm#%Ja3aQ8<l7re(v(l2n+SZes1sFf83(KhRM zS)4_nKo$Se|G?#vio<ahipZwl>nq;f;?`k@-||w0gz#MIrB*Z}ZmP1{>$nhG4n!7y zjf+&4kK?K=wS(LTiL=sL98cUMv6}>K4xaQ_kkNeaH{q|$%FNc7=tq-C?p^9G2~gRd zvmiq-ajyEsd;B0b|5LfU1i@%mdl#p>+V^<6p{6_Kd3TCScgk?E(pcwDM>k(}ZHW0f ztAn>XZLL;YMjVj8zT7<!F|gfnT|@`M|MT{<6fNG@a2647`o}`bjM|KG-j-1$3@8l~ zXHve0tC>%2Hd&y1{wAWcRrKy`Z+gM18YS;5)K&I0xu%%Hs9<)p3D-~fSGDU5Sm6To zAw6$~bKfc9yG6iPuJ^xF#IuLjx6rogLv*9A-<<lEt?4{m2d{FUNeW&abUQwVH9z=C z=9g^!rUzCuDkksuEqIO)rb0e$+w<7Cz+V)l1aHW`elt8%RYo}oGHHau{VqW;^@iY` z@UlH_y4NpWk%hKRBa0Wvl(8&>Yq>p6q7zw0E<1Hcueka%kSx9<1+Fee`g+3-Aiu&= z7YgqtaIBW{b$n^uTTsj=<L9pPrqFMq);Z>>R8OGG7?<X+#ld$lk;W8gYK~ZWDqp|y zl-Ky?SyepO#3Yr#TY0G`noF{K<_|;Ab?V0=naKRnCTh+<Fvda?UMi@l(6FQzXE(kN zCg3|EqX=R77LSA=o2cI@`W1Z7GyAEPHi%!<k>^yRn`o#U0b-?JtJ2cZV}$VcvQ3GJ zA!U!DVzwxI2&oyNXqKMVuO#@1y}+j+hAlGD73$1Pg2-ot4CFJF>H2Oi0b!Dcl;5ew z3-Pc^H{O<9@Dtw)=j7NfSU2>E)z7Y|A95oroizzA=ed*_E`mLzNVsU7f&q2LZX6#C zZ%~7!kglisf>7J!!%Jx(=%M^NLiT)ML?DCkR)}D!#2|vc3?|+&ha^7@G5LDIteV8# zI1?sBF4~3*%_v;`S*;4!w7`(jqXZhQ6noN<tr@U|+)zZ7{)&f61dGlh5K5+}nJ<PR zroqvPVhl~`NBv^n&Hyt*aKjwlD=xTWXD23#<nv0N{c|PulUtT;1}eL<@)zhQE_f*) zD0kaYO=|+2Hs8aXXFyg8S_nZOlYRcdzlLV<Qvfpz?R`g0x4f_1C-F7EBV$y8HJdS? zm{3$s#aBPtUW?Vk&N+$ecJRVy^VXL<@4MYMV_MT-Qh_<V%Kjui3cgF>i(h9EGrJ-A zI=m+gSS$XV^8DLD1;T}F2)+_8wo1Wq74w)$%N(W~;~fkpyNcK+Zx`V`J=V2J!Q-2f zR%L0ot}2|RzA!pCw^DREIG7M7xNd2a<2ig1M&Z!D<U4x^4R5=&<FIJiDbekpIWV;H z-o_`b3xhdW>B7Xq$Vu)$uqx<IF74#2+7_F*AxC7yzA&G{vSLwm_=U*@`NXNdrz#M{ znO=o=O2Sk=Wq~~)M4&~Ug3jyCP_*q2xpvlU&a|*1P4s%VZs%u1r0C8_3CBf8whOi5 zNWtCJN7F)q_*6D3Q)krOXvjDVS=JwYn>Cv|TJJf91>RW0xz<XG2vg`-s<#A^p=%T; zy#_IOdDPJ1G0&)3sDGvm^AGr!rsG!g65XjPE(|{Mt>hjlDlQ}*@?>}AbO9)0+iY&O z&!!h@GI=7KZ7s$Lwp3kwtiLL=^3&p($Gkfp7Twl{o=Dr%3^yE1nI6x)qB|Z7u3tP1 zgqq1<(T77D%J3=Itq7Nc_Bl{y)c?qY>Cf$JfKp?AbzCa4pc<`>n{jusmAoz!2OmPK zddoAoKEZj|O6(uJk6z`O<B|7)YaQn$MQ!N^pLX5SiaXMWao^9B_MRcGk~Ws=RhyJN zi&`iURW6DM#uOL?Loe>pl%Hd|g|*~%<Ko$lwiw)Cpe)K+7~+<W^&|0|?bkxjwOqG2 z1esNDV;bdsGABA%Snv=X$#t4$DPYVrGuvXYpF+>B@oVNpJtD)N%e5t?k56<En_b3& z;Dn}FO*QVcBL6w`stG^&LGo9&uQSG5JsIeS{l*KmCZZ3kl}L2OM^rZui5IcB@4R2j zbZaumZP=*V&$oZ@;5%m+vHoG8pg>z}HS|5b8FK;Y*4KU(A0Ll<sonV!^<GogehQ8W z8%ZZ2&U?jVqyq&}<{e4veP!&<^4i<`1~gx<%e=Wzg|>2s{Ty)lkyU4P9HuFhyT9G& z^)Jqq!7nIomGX<&ZW`rDio8mj$~TDb5Q+whGIxgrx(<tuojscB4Xmq}>QC6-s<=B8 zmwcI5IYeARKOuh45uxzOXxnWQ(KDml*5C`PPF0}dm9L;K(m5H6j>!m2#8)0vmgCTx zu1(E!nFrtEn(im3Q7NkUFpzGZkP1SnC{ugSCkrLqBEOSdM2%y5?@WgV=lYPqFYrRy zZ+<cMm{5;5x2o|NzFBp^+BM}=Y09po-?}_Yw>HP9OFP-v`b524U=pZoL2NzuNwto@ z+bXH|xKTUQg-XdD$<%|v7hA2&U$<UT{Zm9n%Plmz{<B^|!j?Lraki+s%)pwTW*>OI zI;4@L){3#RjwjkDO{Ql%d{A&wEB93HYpQaKZIng9F4eL<gjTNqUXp&27d&u^cPg;w zD-RwzI_?boM)}gYk*=+FY`YX)9$tnqNCJ+EdRId*yHo$p!X+R3Ek3oqgKxWz_uc*R z*$->}a>b=vRLx-ciksvvLw_1ELu+>!jw<Q7cU}8$5s<JJFwhoUEnqnK#jQ?64Oztu z>wZfK&=$PiVM3-?L%X8T{0V=_8YXoKFm?L2X*DjIvs)>Y?u`?thdY!>-)h4&#(FYf z&kk`C`F5Sw)|NRf-NqyeeBK$6>Et!RHf0aksWmMmI{a={XwXyxT|4|HJy}ehoxI#r z!<1b_-C@WYamc!buD0dsDwL}>O}IAgD6GOd;ZneqJ=Bza4O1)fJ357|`an!Yl)Jb( z>!ac|)X=^uu$Bar)r(^)<bh&<`p9Px5q&mFs_X^3iQ*)p<k2vqg>I#CjCQHs=W?g^ z!~k_JYYTO*d<kLLO2?V;3P*{tDvbJWCEmJjTy`6cM~hKJi<#M+zXLb7k^Uvy|81<H z&b6s7jtNX!YrAoqmQac`T1iWl_`Q%T9ryLL)@Smo9IY*bRZfNxi(kABRuQGtJc&S# zYUwV`#guQSqs#D|!IZDm;2Mrk)L-Ad8s>A<ifrkIoVC`dx1Px$)aE!DF7sINhSX*| z8BX)iJqS!1ZLKwaF<&hDbX!l0DLS1-XyjX_7DIH}Taj?ELl@mrwnv2bql$lbAcWFd zeL(QlW_~3xxNBpRy;7LJ?b%Nw8WR2KwzVFco2wHF{b@e1hZl25u}Tx<-qQKT0(Euq zVIx!Y&CYH36;8KTTj!hCqDn*`_UKxY-zbo@72k$q2edX>VkXFy-H%aXvb9@B?_dv8 z&OVsOBmTD=!|N|P_3R09bGPYo`%%4+tH7g;ROSKyoCS4y#T93IMXqh_>Y6Qj#%jCx zQ@P`ZafW)ug|*jE^{*Dz)S&967S`CI>ctk;Frn&&7K$(Y_vU8W$rXrTkE&9NQx;uv zg0&5^+y(7!M=q&wJ$~dyzWB(kQ>oB&J~%dHed#EhilSygt$Jx2-qK`Ye#lsY$q>vU z>7DiU36s{#nZ2bvRkh55_eL_|xiN(GErR?Ujgt@Q5%eYjJNd+3&JU(P&hPe`ADVui zJjAkBr3p34F;&Vd6shbaek4v<)q4G*+19|6*)`h^VmzuvLuEHQ1g`!_GUF@_t4m<s z7vJ3w=V36_b=^Tfr(ut7w_WnU{~Qw|pyMcS(%)-U80pz!Sn<V-M-LUeS$_Xq`sK|N z*h-s9ILV9>hOJ18x<#kkPLA=2Sck>0oRy4r=dqQH7S7iVO9kmyzAS=4mBb4sW{WbJ z<yh{w4!51B>+`60p5)h+dF4y=%roi<zKEZQ4%ngNweycZM?dX+yE5-jboKFsaoM}G z0>y|ZXw2ri1AOuq@8%L$n>>);vZXsXIgEU}h_2-QzWG9D%`APs+6R0FYJcvXdoMjG zm}J{ScQ~BkJqRzfg`6z6g$NdnDP+mtDDSs3s0m-+yZk`8`}pLW`{Fjc?b<f`VPLff z^4cHIYb{f^93R%B&Ce?HZ8p7kt|fxk+`S#Y4m5Z#n5Cbz76(;7>)vMf24;8vG&4_| zzG8IxI>64f_%AyDzj42aZ97i7H@l*3dg?|vJ{W;O|C!zsqcgm}oW0i+hW&}=6|Ix) z^m{JB=f%i2c#XyUcQDEC|MvRX);Im&X9sH<v|ttc5+j)95%};YjXAK7|H;_DU0QN< zj&ZGBUAq8&KbQZX{6J#Y%rin?2@G#M^*^ES_=T%+LDEAseT<*@)>_q9mh~jvCt()* z#|84L)>-GN#R})8l3n}#Vp6%yr>2Rg*IyT(z{>cq89Uc`oE1b0{1}T5#_+{w9PhgH z&hY0?ieENI>*=~`%kZCQX%_k3WOWMHv2%iS_nBZ7D?WV;UzPCMX>W{inJ-};XTfCg zjsu$w4rIisRF33Yr@@1I;N^G8x}SFa9=lymTj_qFdb!X8aF@ahe6C*?x&-0VLs1Cu zAKQdu6G*szM9#I^o=y6jK;IJEzTk1R{R&!1^hp%*W8HqcSp1g@O^(jli{D;|rnj3e z*fRZ3mhV0haH#|9hAUtKy5!k*SIwjN<CCbSs`HMqXs@py``Q_jpAd4Xd%Xn&F7140 zpa*m!e}@F6{v9&f>P$yxN$5$`>?#o#2k26wi>_1kM}7-kL}T0k^7JO1@fnX}#pMHt zar8Sh2Pn2+3YZ_rz!R6Rn!^8a@h@>c5!dQc0M@@|MoDCL8r<cMwe~Bb{3d2S+Un}a zR`5$u3&Uue!=;kC!Qi~YN9Y0D51Y3(jnRms?il$u2$hUFGLhQfSB=b&ed~LMD{WS$ zw#|_V)vK-^s%!m^eo8`n(LZS(>mBU6;wu1U8~mST<FI?dQ=xluZ)66&-1JlF8J&Ym zKB%yr?@G_GjxI6|Shvw$n$4g?MgrAEU#~b@CfmKxeuDd0U66!&be6}8&Mh>lLc9d? zC2}{a8{>NR@9@iaC83E;cssd0!*F0J^SNp+{wXuvyw_W>e`zOhT>V28MW-|U`0!yh z`<>|Jk@pPru+D3jNk}v3w`{x)ZyOUQY_9cZr@DwLZJWntGeQJIzfN#p7ara(ava{{ z1bFjdZJlj8k%-pVo`~XN4CO&-k+iPv2VjO-nS`(%jWs5=h@jcc_%(`0qkOCM%5D_V zveBK=w(M`RI#7JzvA$`M(+RDecZ#29U{uZxJ-B>W<k|h)qLf{+ylsu_S#7_vv%i$h zP&u13u;1@fW*_cE=viWal3g13q7?t)eT!ZH>s|krK#wdG&kXzX;=RVi8KGy>NB4EE zlliXs0@6)mA5r+F+?gjn3rByByv6u}<??2iq^13B2#RNr{S4J^qt(GAv}?YgU{mEJ z9KV1&v%-Y%vkBn9WisF8$=Mdc`PY?Ce4J1CIXI71Ty!E14rXurOxOJ!KD4Lai3A9~ zz5MYCrQ>GXj%UG|CpBx1YSEGo-o9br4IR8gL+2aJAdMrYRlIJU6Q=+7VOfE=jS3v2 zF}l6LVVmTmSGc<HV!_gyt+McR`Q;nmTwCQM2aeL5|8j#6vequHBf4nX-nnRsY!-h3 zj_d3N;}<UuSpOWS=M*`#P8>K8<WE-ff+^kK?qF$CAD-|)YEvGb&_ZgHAD-YrYLgzG zAVO*rA0FQ17`=u*U&8_~q4;hWcDJ4Umb3GhWca~b`>HC9<T)Rrw;ft*4P3qiTZO;8 zPe<1s&f1fYuLKzWoL1^HR@F;Uh11v4CRYs?sHD2Sru@PdZ=WR1IZ?nnUcft4z&lnj zLZ*^xUF3X{Txw3MGNxLTR{DzlQNl9&%B(DPSha3+ubg$ZVqCS3Cwbx(JJ_c`b4zs} znb~`lI6kxcDmZFxM=*)#15X}x*z$Jz$jAp841HzH(pv#7TR7B7@ugQn*jB8NdIjiD zTw}EfCdJE~gs@8ofPfST(jEoQLdlX?>5wq4%~vC;bqh$uIsf;DEPM<Cs^t~?KR0}} zqpfE9u0=8y)~-b&UN^SjPJ2X5*d?EW4r;}h;{L@gcg)N+x*zN{+~>n($DoQf*)WUN z1=PfjH{!*}nIPX&sUuCZBBD#2yC?5vD`fYNFaCWS4@1(tK`DZ#{!etxhs7MuxO94~ z34=Dz_LQ!b#VaW@8=w1NQ=9#X!qk+JV2^2t9z|A9K2aNfwmxLe9n1Y8^!|Nkp?A$T zNvJM4^d#FNS|X$GYlBko%@AHn4ueNB5-57(pp%&6QN~2Hx(|7`tqIcJ)dn@=g%;(} z8&&n^4pGadlsj4S45OP*<s#7h1~S9wkK+<*gHj)JrS@I-eq8ND_ozSN_GfS5t!XW0 zJU;UxqO=ZzWujjr)}%aaJuBpqu8`O0q~~IZUPHy`!8r^37;iK95$?kRFX#RZNo2Z= zJ#g~eXOx&tZVQMc%YbN=5{QNeiAM`mn(>NjPXH5IjZM|6{!-n@M~O50cv}vCD6__< zb8(HAnI#-x{F;ergm`rJ<FOAZZcr-c=<Ii0*ooN_-HY*Ad*x;e#xIqLIZ8a9>#+<A zo=Zg#CU31DpM6B+czpJ8&RQ2`W8an>t;P3~c)BA~Hqr-*m#(R{8;Rs0D0*kiuDfZW zxuWxYNQ5ohM!S>j&qPD3JaBky$9g`0i(Q8$4`ICWO|=fuh{gf!(PJZtq*s)-o=6tL zs517w1x++rGDIWtk4oaV3KOWJ>Cfy_c`{3<Qt>iNCqLheI>5DHpf!gptgF?KzFwpH z-DOhg2)m?qlsVz58-9$+;m|Eo>?pgW7hFKF1qAUxpoX&hW6+q@&+j*f-+i2F{eNsc zOlxq3gupWU=SDKgqv=)Vxa!`k$Z=K6SJ}%l{(m00bm<<_yEbSlL^*?3y%wHtz+@7O zdE(3b?UGX=gq*x_O9YGWhnOLXcQBD_WxpfZwPgr7{j*4_26(m;jrs6{^gieN%l#@P zY^tYxo?E^*nP)VShZf0l=Nua0G!f4WE$uVkolYBjH0gF4d|^<ZKjGnSc#fsJvolhT zmG>&II>4*Zg)+1b{HX@9iqjG6Ib4i;L<Le#pBPf9PYO{Q$(fKKBz4J7E!KrDMQeiJ z2M+BalAb!vv$|ap>X+dRoI^1yiN%;Na-tU?&^!lHSfjE6&rb+WzH=Irc~sml_kI6} z%afR>PNB1|MDO9mZftbIZL?xds+HkFf742Zh9~o#OJPUJ2CC1<vDH_5%#C;-I|JXe zMvbDTZ+Hx!FPuWWX3J{@{%Y@*PIny@hZa4g=V@_5))%parnbed*yr>jna^9|`O=J9 zeMD}}{T`r~Uf*c8VM1yPKh-<_%0F}_-a#$G=Il@XiueXvo48{*IDZGqMb8_n8V|Zi z(9q8VlA3@YTa)1lN1xx`GaN&GYrLqer=u4MrCp=K=n$G}gB&Kw9Z`1513J|H5UyHK z{<bPl#jMjI0#Cb48%sm|Y*nD+mx|z1Mma7}W9+OAm6tIf;}?sh#~}>MV(g3?RHise zpPMEKLA22O3pJL)k~YZseR^ZavOFUSpue=83d}3>bWiv}4tC~JyD+~Y+vyB>7{A$I z+vm&7#CGvKtkMWDIV0%srs>+m%F8e~5l<-V<oIDs)A3SfIK=-qi7^C2h=qC(xYfD9 zPI)Je=D#7$ZT1Wz9kW)>_8`4pZvRk_0@aUEmKe;+U&`*eA@hs>J;@hPwQ|U>tkX%s zbl4PXA-c8!YhDA9CCUqL<p0h%9I`9w=^~}uyKoR0mqUgaLN7^(czFgYc8RjfkdHal zZl46eu7XAysQ)#8tE@p7zf6o;xx)f-tMnO{y#FfMd>2R%ybPx43r?n>QWiD;O~NS6 z(}L+)2bfud(c-=hLe&nVOW-<VBg>e_rHCgnOF>enavRTScH_Y2Y!z{d3hf;rQRlsV z2Q%{us_b9~BTqSaoG>q1Rhcp5kVSkDIu8{2t679lkUg9+$o1go6cPvp6F&sKNTig( zMF1QC`0@zWT^Xh!41@CoZx&dr(o;JSA5BfuDU>1J{cf$^S_tUBW$9&s{P*rSVVTGd z%I7(V0z2~;mjS!AFi?x;?_EMsfA{kHOkTEsrT1_p7m~6;4h^K;cn3u-*Co{Q7I}(; z^_iFO?<G*z+bsGw)HosD-=3#*JD!C2yB2C(LdzzbMeF;J0l(08;@{1$wXs6d`@5FF zDe~WLf6nV}>GyXPEHsO%?XHk4NrcspjrQoH{%!$!r(@K|e2j7cr*MCJ{(q6Bbv;J4 zo0V8H-!@`;_q%12xZL4i;yeRO3po8p2L3@-5tGOLU85+u9qU;&wpcO+>L7<~25-=x z1@=EP@DH*jh?%@%I#F?rsU8bY|K@$1Pz0$TIv%v||H!~U$f`b;+RjIMd6e%E_OlrR zOzjx@4hjj}rvDIFG5;e2|0esYF2-yd$GnJHq?SNSNL{O&TJ$(ic<2>+-5@#~4Fm<N z<S3pjPCi7!3WmQ+C|<(%m0XyF-4&xN^-feZy~jM7WIMmyYK24a5CT<$Y>Pm9H#sH* zm_FymV}<!@g~Xg&9t-)L8y^5W09qeG#&KUNMD=5zTxrJqH<P5;ew{l?;G=;AD((UE zH=hMNf1Ar}_uttt+xz!!CU3=4kj}LMvHz}g)r_FvK0CNp>0K{d!S`}#@9$ndZx(%) z!q2k^9^NTXri+XDaZ658m_Sa#jNlYB^O7_!hG$a<T^YZcoTPzQ3|+a<CXP8i%3D5~ ze6+))sy}w%gLJoUB-yj7Sa5nKY5z0+_a-R@oP?PMhmeoXa<Mc~Ah@ujAhJ3AuM@MP zgmgI!(`H7us5NrLm(7fcy(-Vgq2j-_EO7fm(^JkD#=SwShH7T4HZk?<v&B`CZi7#; zotAoLq|g2YVw#A#>y9&7D_``)EsP8X1xo@=M2~O-9<nZq3}&245>4b1OB|lB0#*D8 z0epBZ?=FLoQ;?7raa2r@6k1%oFscaQlMQ(PT2lg}D#x5qwGDU|Oo*l02(r;cT2;j` zQu4}_9I|`ln&oHh!BkN(TM4A(7O|u-D4F7DBE@Z+@Gd9tvp1XYtb0;T!jno6i(Q2G z{c3!UL?-?^Z;9bo3f9qs>iblJwr0?R3Y^ig6m}>vNJ=v>NUVNf7;#8UX($FI7^?)i zK8T}jBZiK5Qg`c?PT5Bi7kt4JmnsUwFxp0TQHfY-9-LKiriAa5vnawKp}`G{!9W(4 zdfYFy!vMT@M**#!Y~lh|m4KKZ9JXHt+;%J0Dh*D%zC=MBrC~0yjV!WG(|>YJ__H4H z&1-JWRB`FI81WLyakz7GHuP=nqQASNE7lI{^FpaEgjXIYePe>8R#KZt_#&bheEGqp z@dGQJKZI5kJ%mccY76Bnw6DN;5JPS$$PYa&4+iXB!28iiBrhPSB>17H<}KPH3S(Nw z$4^s)F8v}kg^U4T^2~kcgw7CPA-;d56b+GL{Qj5=U6E8}h76)eGmkau(aG3@9ekOh zMn*;v*Gv)%$x}aiNi08_B+GZuj^N;68s-Vpg(1t<6Z;tA1tf47=}4X<R%96^7L<d? z{%L5>Uo>-y_}}5~9sU_^mGeL0P9lGYqsd2A&CTNEW^gD8R0yJn0ACl6WWFGG>9JAA z((I!_sL+4jmw@_L==$d0p}9X|2hh%JP!{*dZEK1ACAn+=Pm->U{~@Vo_nV{}0iV>- zY$Nk1B<c9}l-D5!iDG9o8BAFuXh}QrC{)d%J~XILj8Uk}W7Hy^&*Df&GbR6QP;R&& z#8Y?)&$*!jVpcB-;9ZKz+&P>hY3JFb-lL-=2q1}}#U%-&#qCR=PEpxXi#W4@4+p%+ z4Kt3o)IPpAyzK`tLO(EPBvX9Y;u_7X=I+e;)UCbcennvT>aMSRK76QK@TxPn@nkC0 z;x_#88FJGg2j_V6n7+^XoSi~M;HpBmn5PKp%yR>5E-f#I4}@xr)nP*FHIr?3i~1Nb z3WqEUWy)V^p>!!ACHb8O{Lj)b%>q?mxE>+qp#ND*yJ=uKf@1>=!Ryrk=p90)VK`2T zE;)*?C4{yp8SaK;_$v_*obi77moVIpt5|P1K5|3aGeSxp)jED{lk2l}9Dw;~g1}W~ zKjwedXjS_u6ZNY+`PUK|;wR&D-WGwp6kVAzT}lm@C>a_uqi~Xj@j}mu>7ZYsl_tj( z=$jrO!llzDc+wazC77-bUg8maRA!Yd(3gqxjAanwatu~x690qjWd4aNRsV^O^#6$% ztp17YT>gnFeg27#LVqLLQRy6nm_$hR=cO79((QvU<dGU%6!+EsLID+mk0PZSa=WGs zN;0+BSWl`Fw9)^J^ws;LD$s8rzhGH@G3W;Mq)KsLTrLA9&2VWLf{ap*#UjAAc`^d6 zxt3fF%XByx-HcQrsbDC8zF9Hu)z)AfhE@y-woJ_XJI%NkPir?thF-?v%PN})n-gT? ztZ~4+r5DuKi_^U+etB-+kZS{L*jR2Pf8WTMjR%*UY=E6y#D<GKA;2)&UZw5I+y^H` z{@qt%A}Vbt{qFUTkws>ewI8bC91Q{{?tR%=KZQbm+EF2TEu%~BI1mmzH$I6mLa4#k ztq#&`f=WX5YD}Z(&4MvQsM(p*6gi~92O>&8ie7o<I&f(4?c>X0zKv?e+tE<k1V@bV z4-b9Mx2-b_8p5c+VWe{jT_Ht!2ODwYQD^YA|54Y;98NV_Fw$;N6|l4KUgf!C%~`Z! zm(wIz?c3!0+Bq<sBcIEjB`iDvJmzA7fo&^+wyna0%_@x<AI|?!e{=5EV9)jH*xogl zvxMoo-7>6wY)B%ieXHs{cFQ}jPxCf3m#Xw$Ltj#uT)%AvFvTez&ej}K2p&1*9uQ%B zmj)U;;Em}2c+P>{66W*?o1Xg8yymc(E{^(gNxK);z%6GXeV}%S5k7yxh7J+i`_#|) zge!^v>M7b`yXPWPmwx-J)8r5O)}cT5DGpv5w0ulDm_6D*Zqf2<^d%a3DMd=(Imt({ z;n3^-?_Wf<m1ZKTGs0<<o!}e%GUzHQ?h+rWwK;F$q`15130q%4HcaEgx8H=ySw^Xw z?A+0a=xI5&8)D>ge~EFDba5u$iRxkvbqW$Y4ay7D7sHW+KTi%PE4XBMBf^RKj%;*` z&Hd8<1+kKASN@ukYr*)N=)MjEJ*FxLtdWc5^41Vn>VkEG+h=n{{AuVhIuR<Z{TP|t zWke$%<fO`=WK&!umETi~<fy0-kW6Y&MWOCO#R;X55h!ocbYmnwLvYcw+hZ>7`}~bL zZK#+VCf!L?G{t2=_ucl41&0wzdGoddyvwmvKU5g=JkHo&HGv3%9!hr-BbjANodj+K zUN@3_?p5Rf+V(J<r-}^-k;odxoXp56^R3L?zJ%odVWu!#I*bqfR^2=^PE^WMIV`4L zFDxd*Ei6X&t71@rXaT(c3lXOv2EuI%c%J9;EcTY_k=#KAFO`B!hb7VCw#Cr4$3wKf znxo=TW%#3c8tD@lQ5H8B#qz$NQ9TdlYAV}WeS9D}k(#U_@oiH??=jT%6N!MIpZ0}? z(Uf)H=8Z^UknEwti?l?5Z`?BQIUozGF6>F-h9T6G$b%nB$RGv1n)#H)9?jL1M}9Jv zbr&=6Fo>d2AW5_DbcjKIh!#aylE*b5N|l`nHbVN_yNX!(Z`2~_1mY<H<0RyDC}A<K zEZ`IZ9fJh3MyfjrWm+*H3XJI1&7CSG5SKEC#2`r>Rtjpa6E1d!A;|>0TXT@IDY@L| zS<vDsH0in~qiXohKi(I_Gk#JDGW}|f01_VJ9Tvl83=)ML7DFFi9mL&Da`rqd=9Qvm zH-Wki&*(LGOT_KxLf0hbou~qn0{Ec{e~M8f_W6iCWgc*@f)7qalz<x4Vmb*WTp3Ij z-2#D?t59|WXl!@mxWuKl9bt?Z_3UQ`)uwgl6@D6hv`_HH7_Y={kYxGNg673H{Q;k% zO54ZzDgT}|0?*Vmx~uCkxC}^RsYtXr-zxUmUw8Pq>p4#<DS5F!IN^DWpBV9m-e{a~ zs5g;fw3%$LRkJ&TH?EZBu?eRpYJ<4l$<N>)8Jjwfqi?U&6!x^?OD1~>jvhUCbyc1~ zH+Phwx_ETp;zQPsmjnN=jUItsYCgORKW4jQ?&C)9DLCYUjTqPv$~TrZy5dF!>YLOA z;bu&kDL)2i7AeHG05ip%#?%XAJ_~7%*ltN*38I~s{dSY4s}X;|EcI6S5N~P#=^Y}s zkG>x%`B)5b!NgP0kyDC6rX5mfjjozYl9QizV5vn|rgOk4rSnbz(hUqDu0H~gGh92Z zVy_3}M+jAJgGTVBMu8}FB>KS~@|_Pv5_JSQCLQ`yNr7g&xr7`99_390o)*VmbkZ}~ zW|@{WQM9<)TzKhqP|}LH2fyEWlK!2i<|mJNV!1VZtp7H{V;-cRje$JHo*z8|Q1x$) z;38^|_e)_(4Hr$Y^!BV#fX#sJnv{kMXt=){Y@h{5LHz&NgvM8vG3bSC=9F{O8^IO3 zvWEf&CRK{SlX!|vKft7;ZAOgw&Bv6Sxx*LA%^j5Uvs*k!QwFHzdVoEFC$I$F9s)z6 zHwNZWm<vDT9ZPDvS6v^SlmDnnwNiZimBK|B&L<!$q6>zQJ<!pLviY;}{KQ@@Zh;+Z zfjR56Wl3ksf_VwmK|0dK+cSoc5_GuSm?RtyE<9AIm*>wK?KCZjY{)c=%Q%=1syr!b zS2HV@s>ib`($*_%I^%m=%1hr@xT}3aKCqRGiD_w^CZBT|ueZ~5Sl(K!s6#%3FFlw{ z@AafGYPZX^s2k^)CexhPXMF$qebdy@h3%XQ{t;{xNASg7Y0yREMb;S4a!_<ki?mtX z8^PG`tCt5&9v126Ds3v5lqpwRScM!XcCx<d?v2j`b6JYEGkU$8e<`P54M&&UJsqYw zjyKs?#Zn2(p?zOggq79XPF=Cz6v?|%=byMCz+EdZ9;?<Vzp}26t|J#I@ZL+warWe? z`^V%U4V4px4SfuQi>IFmj~C%(hXlBL<;6+VJ5QIvVAC-HF~q9|>fwD2Pu>;TjfzD& zDKOgNg0~fnmXaM@J>(^KVhXFjDO^Fhiqo{YWRClY-KTx;Y@P1)5IKW!HB{4x1@NU+ zs29havS&7djg3;p?1yBxkIB8-D*Up*n+^Ck6~LS3W9+%PJk4?g)Fa1<er=h{zs7Ku zp<dDz?5zgUE8)hKzI}B)?c{T58xDw9GQgNO<F~P+L*x9P*m35MvC!3R8u$MQuz&#A ztKE?wi`S@kMlNh;y@h>Aal55iE(Wmu0+^}Im@k&W_om<E1&n?>pn6%Vr<vlRR02}v zmXaK#ZB2(`u8(15BRKVg36hdr!49o^G#twA=*dzVay`u1%r?hp8};~{Z${-Jmuv}g zg9-|Z!TWC8qtL}P<hr1((j!mcEur$BT1PgI{<S#-aAs;FI5=k;LqV>PRT<KZ4ZB!M zWLj(+Q~0qM<>jZ9@PNaaXSFIEgUTx^0~m(K;=*qGPZnwLO%WQXo@Mo{gy$X3L<9Y> za;*YNT_W|U{5>;oVvyDkA->Ue>T&sdF5bjmtsjp308Pr@3-KnxX#dC&7`zw5uqyT~ z$dn;v@Yp5V*2l0e_ASYj5n=cV0SSiA$=_@8nwc<s;`bPQ)Y@41R%A*WGyLp=c+`&H zY4VzwFi?2ye}DNe9N(+`Ll`c_OQ|CBXN?=>`-ZJ)4CbpmP2Mw;qgUuQLy|mzeU01p zz)~vtT--!r7pUaXXmkTBXo{8M{-u4@|3q0p{Fhe{rO(H@qEh}JrhUInSE3tSq$?<h z#{HYI`<9xCY<A)_Wd(MjU6{feTm<LFDQc3um*5|{?*Q(yfcqBuZ?9lx7A6c0-=<kk zBosnGaWijo-Nr+-13Vahl5dO0x*ba{apPMdY`>0+@4kCaJvk22W&zFcscqaTE(N=9 zv6;wX$2Nl^Wu8VJ{Vb1}_p0YG1Gx_7jHgH1SRcbI`kvZrR6;AjBl9cyOD4qH0pA#Y z^FaDfZ8R%XPXEDu?edPGIbjz~1P5Q#2`6pnQ*nXr4mhkKGL5DXp2Ikf|LESCG2BjM z7EPh_8)658!J{u^yDMl`iXGq5ht&=EX7DHVYN>pDT)~#n_d;Iu2=zsd*<kTcy||6N z)I_(ETYp^aCH$wNNAJmJ(z<=nK~cOm29}<K1rdDvxF9ZjqZ_Ee(nY*_TwX`-iEI?e zYyL#+TY4@ymnCIf`AGi6mpjqxKM*9E%C~|)7Odir3hTa%|Adc=TH#L9i}ZcNA3Aec z*EN@7=r(`oRPbMz9F>A4{Fl!Dz>>;;=*&bn6#s`#DWF5~Z#pU<zRdrm^A`d-#efdz z+6JpBmh4&!mgm7sDuOdvwYf<PtkV2hieqB2mow4z#kK_$<k_C+)oJgcj!KCv6&8hL znX^5)a?{>#*s`BO+qndrWGiNDO(S%>DLxmR;?Ur_MA)MuQiy2?Dx>r*v8!@Cd_JWh zkWFm!R88(qb6IzNfvO<e`$tFIMF<#BZ&xLCtssz3Y=a8aZ<cjqzh`^ifLFP{2g+Vx zefNAn+xwv-t|1HvCLaYVKNL_}Yu%J)d!t*&FcE$Y#cIs4l%-wgHZ_y33tnLOQrO~S zUPf-<ODf%D6ZjNH<_GMKG)3iYWfS-YSLS!GDtVt#%RCI7YAo>49=_;niY5gNA`K9h zC4=wt#gGf)CN?#?;gpS@&~yZ2Q2g3b3FyXBHhM<W@gAe^t@9lwM=WBi)X<U?#ejhk zera_y#+p>vhTw%R2uzOY7Pp}zt#n7l<ZD)0P?nRd+Q%86cdZcBS!=GWHYryY*AOoQ zfExe`WgGZ1VCaB>mS`#MF16YzLm~XHR9_K;Ips1$PZ>3D6&jI;J&SWQxx%uXa@D@3 z#K%dzHM0Zo18|)5$8kUKrB$|}Bdc^1@2zYwrwxo{)oQnuOZS-$7}Q|L3TZo9F+TZP z2j<Jn>6Rh3$f|jJ(TLo}#}jF}m(p4?slC6ErI>$VdIbD-s!~`pi?@%%nHykv2BN4W zmh@p<V4<e?Xl)^TzEbm!rwO<pOpet&9D#C}G%MR!euZ`z|KZO6XEN++&SzDMeKzq9 z5BM#EIcvZ|PA$(|Ws6ta=)ugN(~?u|^uCDtA)f3*#kwk{YetzVLvftwhl+2inCAe< z6~~!;sMrIV%raBE;<%^JY8zG5Cfz}n6hM|F4YW2vmiW|$v=t~q4YUpb;8z<;QvhYq zIs-sJ?fsHMEYn`_&*Z+foL?Y6IUqlbe1mw3YQj&-WM%@wy#1L+JLw<b_)BOz5HKH$ znaG}77Qm>QSb?ktKmz~*s+eo<Ks`M+_2%A{A@V4xdDGF#yp6-|tW{T4n+z}8NLTt( zG}bcOT?w_JC8b9OyZ}h5og$Y~-#->R1PqNWLvJV`U3-mu#uVNutN<7!gn2`9^mbNI zsbR7emR(n=2HnIk4ZtoYi?8L-sr5A#<}D~hcGOk@<D{~UTV<u;_?81k8(B42pHf;a zlQPxy5mJZAWRMXRw3T8?f!aneGPw+$y-0?EcW_BrP1pcLNug!mi)|<@3+Mn-NePSb zu^uKn06rp1X**akNp5F?B<E7V%v998ZD<2-XIv%cQp*sv0dxRx%eu3I6Ywi8yFOOM zxGf!us^C?_tOxKxHRucF{EgXtX<3f2TAzE#Bip806g9QUys`~1Ri(pXI9;u9kO(EU zQ@JwgOBJ%J3Q6FqwCtKu4Y+#ks}&El%4(-}k6M$e3R&P8Xayd%!Wwf$Wr#PbYTo&@ zVfQm7bO$Pca~W-i3V5W=InrRR1aMYU^DckXqIXuP0Nb*%YyC%C3OKED;8{)WbnMY{ zepQ7Ah+AHEZLcOaoTR&!%wAp=V6TR`RuyI}*wDswpsogc1Y8?n7V?!o)zfMP#u}h{ z<$sI~fN@3Hb=0G4C5^di5LjK!dxTcz>e00c2n@7YYC-4mbhouhVE%QWE7ZaKt6rP& zmzU*Of$UV0CQvn3GuddX!RnRMcGzL|`C88;zOF0_Xaqc(!j!XSx;rZD)G*I0%dY#? zR08gkyg1Q6uZ#rPPd0%HYtUqPG2CJto4w@R)loZ*EQcSPM^He#s*S<_V-d8y>rEBx zrPYgk1ITUCinzG8#CNq<H~{ihWn!7-u8r~C?ewc~nIQaY%v^4sMd@{YEc?JY5Wh7n zrk&=nxS9#EiFQ}7@Oo7(Xd}Jb7x6aFu{>Z^E$l>3d^hTU4oEmx*{rN^Pek<80RPAd zlj45HkIqJ~FkntC%t23FT9AEXm`9^D$0c!tR|BLXL|1E*7BEq+?N=*(sWI0i1M5?c z0VY0mKa)deqf-d-5ayr*-VcNK+Dxxpb+SR`RIeQV+soj4j7u{mPD|~=>ms$Fy|hPG zwI%rH70TWde;UI^t1uu57;F78CIrScGDAG-g%WyNJs>6+*+VOH^H{nMGO+h$2^9uv zAomUB%EGO*eNE-0cO5i`RMnsh3PDqZov4e`C;&Y>(AUxSahB&5JaTF;Q=KfAIc+b4 z-}{{zz@ffO`A_?S=2R^VkO#J^;?&W<^Az49oDYo4{uuiJ<6@bi8`VPl;*llQ(j2+O zjb&A(DFw_9`dv7=GK{r?Fva_s2+6rT8CV7Y^B&h}H<^uMVE`PMnxeR4cG}6{l1ga~ zKd@9mGfz)D9&7=SH*up?1+7+L%*}KR{9DQlnbWaS_+yoLLM;bc%=04UKZ_W&7AL5b z3XPIO+19^72j^qiOeN>iWnkM&G1k(<;5CPWeg0z!k_M?UmnH*S3AAZpalY2QiLZ;4 zg)M0N+>5|+M{cg|SEaRlRe0U6n((8bUsuZj1T9qdHh8QID61?et7@2o?BB8if{CE4 zrSO;WPj73BfT;|qs%p?4CHAEmKhVa@obs3cS#%VMh}z0D9Ta~TN`bPlB#2s&=PJm) zKa8hbnnRMffv*fw7}-*rgI}Mo?9EFna}B;Wuv`MoK}-p8r))eIGZIcq@xp6$)r9Q= z(1N|lcuF)KXqXhYGw<l0eiX(B_0J_W&DNbx?pw}5NJMAPm0{W&`S`4xVJ#qRGGi;O zgMJAPxdda)FD%Z-xjxZ}2u7#l9s}5W`r23MeMm|+h>m*E&i!z(g?+o!b=xFu!a#pj z$kevpHa}5?)As4l&0CJ^MsduB&VixL`QJLhn~`qw7Cx{nOhmrej!J@NJ#={pH#RV< z&c+uQC1iMJzOX}p)90J{$=Cjl*}Yyj#VGsC5aV_l>Cy%I9dOVC96Zfm$bK{s9wn@V z4K&KuVNyJtU|pC%CfSaf3;R?(!GJ@384U!{Bu&}NsLEr9IL^1+bU`b=Ze)W;794(x z2&N*@P{?Ghs|DQd0g^r3*u2!^&tD|4W$d(8g}6}Jvt_s#1<JJ7k8ZBwnsQBCX(R8* z4_b2VkiuPf7bJNg?)*e4Utf8Tg}kF%{YHO1!zQ)$A#|H>O@lpy*FSGPBm6nWj`lW9 zhU`iLJ{jJ4GpewaY+2ThdIH;~ysIV7P5`u7PN{X4&a0^iokH?4E*&XS9ECTRdaPNU z7?wCqWm0KQjUi&pS(EE>Km>1@A44Scvlx<#LBUWDODGV2I7BhvLbWuUwB#Pg&nPo8 z7v=yVk!-AmI%p{x0%vZKczDkf>L3rtn&=m2MV(TDA-~#w9<epiJwQeYiYcn4I})EC z?;vDcwq29CylC*h*tIp7Ufk>G=-Ro>!T}fNg*3+AR&Dl@8wzcC(z<YtsNgngDSVr~ zwQbzYNHF4^mrZTe(jTrK^Q2*NA4znp3T1Jx<4Z6~zfgRQ+vw6B-<<v}6KT10l}1C_ zng0=`A}v=~kS@r_x`k-4T%a7q(H_OMJhgf#A=}STy3z=t+8=lyPR@UVTgSdKXr6K* z{G#Wdw^bpKN-xc^W(!)xyG>DE7Rc3_+il1%2W4|A=uP*b&a4_!t{QPESvK{*I=5Hx zO8OY9tH+sFSJmkxk8$?nEM!LHJj8^;V|`@(&&!|aS}*o}$dEPB+p&I@Ol6my3fEtL z4g6XW0GX>SOg?}_B!*w$gQ}ZhE;Lh09Oo1FEDw260G`pBmAL2;#{>Ko;1E_~^Y_G4 zL?40u`pT0};;wMLe3)X+$U{#)s~>x#>Rv=aI%Ob|HfL=GT9&^NfUk-h@Z*w(jn&op zAzY8iPXwbeYa*0U6d<MF`jdERKkHdQ`S+K^Wzw`^TZI|XBFQ)2IJV8vJ+XKV(?sJ4 zj($cuI8Eg<U6~IF@_x`^E4CytK-W4=G>_mY^eMd((V0uR$Nk2I7ZQcqw~j&tMba8Z z;jJH47i6$wqyFH!6!ONN!Veg(9P05#q;<!F{?l_wPbdOKVhgWOM=oVikDEGvV474X zC&ook`kC*3Qrr(3amSVu4K7qBa%WGH*_)uH_+50M9bNaj6c9XN0oHurFMPmy)8pne z0^$kA#G(WlLDbBfEMHBsF33KY#!dK8GWM)uqA&1{LZ=ya{J%{%9INnSV3>o}bqhmW zoY>|wrJ!)SEvuCCo4HaBlE@*EjF>e_Kj{*Tymp9#2w_etpV4GQ`PL#W4Izp%d@!R3 z-a_7@^Zr?&oo4qZsmQGjp;Z+0ZdAA*JnS*yn;-2B(7RCkPkFeb!i|KCGLB5aAmq(v zrIieplg7J48|d55>FVZWW3?}R{J&HoP8jwcE_+;!iaKz7)H}b?hV!iBx60*gJty#V zn4ZFq@HXtawJ(DY36$~NbY2QG{0ImhjK*Viedi4Sl`8nNip20`H(4Eb&Y-syZv@jF z>x7ju+j0h$>o^(fWX(r(TbF01ONL@N^$Ly5j>jpV{9rMmpdByy&;s2CvEatBS#hf0 zi5N~!G>0+ovL^=n>&U;vsdfYsg;pSeeU!)o2_}iZ@KrLZ(PTNzQ|P7`V`jq<VW_}k z7Lx9qWMwQkd(1w=uDJjhxGy#$LBb#M>5*2@!#?>jz#t&CYzD!Dzs+dje7txb2&n^$ zj^nz9fCg9BY~^pr$$^Wp3&#MNPm5X}Xvh#b$Gxuo;=|Z>QKTqN&1Yn7#85u-^f5X7 zP-g3V{CW%&j}?yebPg1wEeTFb8iF4Sb{w2`1l_xl_LIt7Tc^vm_DftrXarw!3LOVc zJ}$1*JHh93wFt|u#|$`uc$=sa&aQz;-6YZ9%w4AYNg}pE>|oIs6Chx4;FkqcM{q=g zL7DDW3h9a7IqawW|JZx$sJNEyZ4kErjRd#gkl^kO!973{+=B&zyAwzn0zpE8LnFZw z9D-Yb;O^SEyL<B;a&PYM&NnmbomuPsYu5bHo%7VQ_p__&?5cBe=u-uur%y{ZisYg6 z7qb!+5T+zMoaJ--ym+uITvyt)*crN>Q<sGep&6L^sER8uCnorY+Cu2YvwzRdS%_z; zX7ik-b+N<6-8Qy027f?xU7idVoL26WOc@#BY40uB?RasRGB>;8_^Qoi1V{6C$i2Tp zHb&D?T859b{IqxA5gIhqLxODLvHsQy_^mawE6#eaMUnDbt5)tWt;N5zz<+5eQwZHx z*!9zj;9%2j_~|>#mH)J?$>v=1*!B0BGq0|hDDC~1;o#9sy_Cx9kp227YGIEmw7X)c z(apqC?mA~b@%li3h^^FYAq?0d_4MSc2y91YE8yf#+`sDT;&9{4miOe}n-sfC7g}u0 zU9Fu}-fER=suBa++8WLMu;WA06&xA4@jksP<Y2Z;uPcUX%Y)MzgnTY<Y&^dIwb%(` z<k;{=sZmM;eDm`A1Vst?z~76VG^Leib@ZHiU%F-Sx3*TCmDdN1?LFrwck%jR)KFJf zTO)CGNmG0Wk6OgFY%@w}Qhkqkb+ov)ytTVub?4`H*;JHpS3LGJnRDV}3e68_digQL zUunEIR3tU+8>X7j%{b!hBYoK4`>6hS`Jln&GG~`^(P-bD3;pYW@q#3G!)7(Z<k70V zQwEI}4LoF3-RbnvuX(5gSEjKF8_srH;~>beL#mfVjKK+#|BOb?)b6i+J1Iu$_C--$ z-ilRHceEpGR^IOd`l~FJqoI#8YJQH-xUsR0N)9H|kVRuG#v57vF+f!kJ{dx@ygKvX zY9vbAVWQ`B;%pxjuN^+H{asz#Lpz|rzUg*6uKQoBbda$~c@VeliVPXqSm8EYs^57U zj(9+R-QDj-84OGDJzjkE_FLO`=zuXNyu4;4ya|V)O0WsWE&=yH_wxFY#`>8!dF|?z z^H!rfzortCsJp&l1amfK<jJC9KJM4&E}BU_*?ds{W7C&E?o?0is_qz(p}*J9KyhL? z5QrA)e-6Q0R@YcqA23Sm3eujh|Mdm~IZ3?L4{a6wa1P~laSHs+(8c*oojdXT&C&ka z`CWRvG8i{=IH1vUt)%J_rhM+xIQ<IG>%onuC(||m!DBR-7Q1`|YJ@`))_0YgImXv- z_1Po|Z!sS}6lKBqfYCbWOwe-@eY%)(ZTR*k0mmgs3y~--=sYb$0pYoXYGE-(1yPsa zaF|H7x~Ql##>uBZ1S!E4C4|xhhE~TP=1#k8m9+&$4NB4&uO+$92p$GvsH(P@xsG?3 zIdjqy_eN;21>Sx&&zEAmdi)VJz!f3d356(-NfV_Dbb8_3@f`u>#s*0}&f?NT&2p}2 z(9Zitmju1?5`u0Zy-yyc$4Fy;_cl>g2IJO+k0TCSwlYyrF<q;8DFGy62E4j|gE6>f zslPcwDu!rb%ebb6z9PO&rX>(Mc`D4;q=FlyLKH@DTuIw~Q!{4-mgn=J_2X5Ny|jcV zt7($eJ>F=SKAP?+%-;kC;Obtcg1hS8n|PVT$9}iF_WPeEbG`*46ux~e6vnN_7)c;h zX6qm>Uwb7$EH4!`4(#lGI=9r?H`lTgnbaM0{bT=aF{<pD&(gHEp{TcaP~Ds5=>tBu z$;=NMAkE-b#)m&}KO!e_`hPAwvqNl)6E-X1uZ%cCn?Qa;l~_ow8#WOnT1(T8^yIZ! zFUjsZKJDZt_nG&PK0EXsFHtESPpD;424szelQQ8I6gO3n`<`?@c-Wt7o+t3yg|Kan ziGGSQ0r8n^u#e@2@l4O5`TN-Tx&{Ml<%6!+F2%bh#pB>wV!O2s90sIn-PW4#d-y?B zCQv@`Zd0EFy**Mgaza13jokwB#bMBQyqcwS_0_@emx44~G+VK+s;7KlYrB?+)2!%~ zvtm$>JKKQB4rJJRk3HYjgyo$*GCyZdSy0l*whzK6pE{4QFGaL!R(AVK3S*0a@%L+s zyac|&qW=GSk(E=IG|b%&A=x6CK0=o>!d}tpfq6ToITMLoVdLdND+rtd1y-^#(!p^5 z;uAkm(}wS*{;{oRC4)LLBfkXl>I}FeQVc<yUv&`@B04Y_2^2a$i7a?3rGPy*MaTGF zGloDqpCwGA)8Z-iW4H|ka`m$YX)@1eQBNsnoA_t>7No-Rq{$7eG8Q4CdD<-K=d8#< zMgI7Y23yI#4re{T|9l=s&*Rf8?c7Kueri&&DmUOAL>O>VgpG(OKJp1Ig98!q<*fhr z5QHFVJr&fL43(mf7m#JRoAprZTSg@o;^uG$Q*L-$huN0iQ#Kv=dox5|eUUiUP+8;b z(gjPT4P_J}E?)fSfxvP+@w1w+2{B*v_ju&wQ;HeDPGW=49xGYXD#au`e3Sz}z~*4` zUaLRvd{j{75my$ORu*PS#aS$4miLKU$4SBC9JYmN=zaUXO%wX~MQGGd>9RfUzULZS z75%8tq`~Z#gYxN7MqD<u#&Z-_G%b?KU(Ig(hrjS_`usT(*~ABKzr+~HRsYDIU>Ywv znf#ojJI&w(b&8u5x#1Ct?fW5PY^EMa9Aao|wX%?n@OG0DZ?!sPu_#rZlxsy8h4wwA z5B1)3;&EWm56eNeccjh*ud8jXo+G1;=QLl?wME<Lw`bH;S`=l^jJFG)9ZlNJaUG?{ z@8U~XB7CyZq}mQvbSo%lLT&H7U_mtN<jV)v^Hl`a^Nr1n{mO7?rKj0(Wui#6UBDP5 z^^ygSt-OUYo}_V&(z5n63Fp*I-6sjNirQHfq8Vc=^7(__NQ^q2>1w*^YwtzNgkOPO zaV%D&3{5&+%qxPe#Ar6tH)Uul>(-r*Au?e^!B0Qe|JeDZrJnqts%NGrMIKs@xH14X zq!h2^+V6i|k(K60ar>}GJPoP%g}7+qa!9MgBZlQhh;|PmXVvyXtrg4zttfaz@53a? zTZouD8r8+Z+cUys6y3YiwaMP4F8dZ&rlD@H-3QnFVaB}#Sp$6$Nqc7uPl2DP^zRM$ zp-eaUm!VHLa5+N*-Kl|n?r!Uyig#%oX$DdeH`Dde`g7~b>R=teNa`nk)Ri$`Y>-s# zJre1haNWP$HBc1{5Z$$@UG^J>4A#yNoU<N$rSKti?63WlsXvCY9<j8EsH%%cWKcDn zo!vOMizQ@@Ri_uHuQmf^<;zb@b#E<l(QcN~nnXROrJAc!#BLEKnDaoT<O{Qn4bls! z&$qNh`|iGTD>=0~HBNIKsyUG%;F2G%`S)@Yn;`+OovM3S>VCA9V8D>iu?mCYw=H+- zOi{<+sOMeNM4zd}z(gB8WY_p|SMM7B*<RWkSjd!mt@L(Vb?$}T7W(1V7apM|A)!;_ zy2ue^Qqt8(rj2CdvrJl*@7Bpy{nOuDsiqaN1lUveL88#z^W`eFASt%?rrCl3X__u~ zEANEHEgjX@tCSdlhiB?$mC4f~d;?VzV(Cfh>*ZQjQPd&B6gt-n3#(gmuN(I6YHq7< z%q=XnzznZB0!VzPr!j?R1Z4YV>Q07*i`1D<Pez4}B(c_FJzmy}M`gx}uGf3|j1kME zW3B43o)kLtCqsb?RqU&=XJ16bKMp$UAW3)7pAULQpyW7XR!}*nNu;Kv=Ur;Aj<W3D zD)gq99x)P6a42>$j_37qDE3goj=qi=?V5=_E@T(c7hYQ6#{PJ*D6kkqkDFFK7)oO= zNDUOSVt$-Vk)7jgds7!Q7+LonG!tpX{J3#dGqA6Gnffre(wx?8BGRV~G#&Xa)KGK} zA8aj3OlNP*O=aL`4&!ZrhFU#Znxdvp3l3fPiMSw@@vZL&RhMjI7LBU@WoZPOZ$SEL zQC`ScU~WteZkF99z%<uw>He-U8apy|@cQZuy=`uwBLCeM&T!>ZQ)+eR0cQIv%1<ta zMM_To{{G{)#ka!OcT+wSOv3gI$|u+G4?KR=Po|70lph@_*17jh(&<a<3ouIX&AC{J zTgaB`sS?UMf1fn79Y1TDk3fiCbbN(&6ZA}tC>=ESLMkMlra5RCQ9i{a>b7E>w(!BM z%lVLfx|lD?)`xe7zT!KRI#o_CMMWjKb#zaV^%O3hOSyXdKx_kJsB@bf7Y!1|v+~Uo z5(HPFLfYDF>K?!LS@vdyf1ce2%*<Ck={>X<eqNzMUHiz82{d<b%@OM-mST|@Vi**s zI7!qr!(($+{xhZc!5|N2-GYY!(;Zh4_WCwfg-T1&1yy|4yIp3vyE|TYSx>UFBP@#{ zMcKW<qod`qzQ*%2aO3U3w%~)O#C;{%eVMy01$ObAL6Oy9LML0tEvLk}Z!;+zyN&3@ z{&)U73mS?kt{oSTMB@BH1k=2|Bz%^2W!f+e-#I9XgU7TlMb+J2!uz!x_Sg4!COzJ> zKal8mWTsiK&Sr1WigaOZbYX0CVQ+MyZ*=il>fc|Y5EePpHd?vbx^>VR;j$-DRq*xQ ztPan`IcdIu6V7ZWpF<UmK)ZZK%xJHSu9y2pI3RKd1FET9BAZv-(gaOj^CIa5gSklJ zb&~7$Vm0=wz?a?q*Xz_;?mD4$`&Z_@9DOwuzev?NdTS`ui+X@Bj{C2oZs3c(+kRSx zH@JF(K@iI_z-)Q64O)#VHge!_>3c<d0a~y+$kO+4^e}9k2S0GtQr4K)xJD0c08Pa2 zb&WKIaXo(|lNIzOhXX>`lT_NJD>k;fQ>3TnMigfgu3gERSmugl$02Ok(_Wg^5>wRq zU`17cDJro!IFo9vLG~*~oXMEmu;sT{3}b=C8o?nC0dCnnQGty@V!q*iWjGcxAAxf! z=)@lDL}LO^smwOlJaNpyAjI;gTqtol5=P9gHVvw$OyQ_6$Y`qcN;YW@YOVSuY#A4x zLS@o?v5|TE@@LPLi-RsU%#O!WVCtIq<5_Xfwpa<2>otBRFoZvU_E1ESQ8uY%!}1+> z%}p*r?adG=)O;<3yC&T{$WbKX`P<Ln$mROvQe>v%QNElK&YM%v_P74fH~jC|yPhpP zj%X3&_QxXMD_Z=n9g`2=DwUVwsOb8|<;I};4KvY8?AfH`QXJO6$~U3DM9L~PoPe7* zikk9H_-Y&po1xiMMNnHIb+wyOgp`O*J7=fY%vLHK#4b!E+jcjrX_h+W%WW9!pYZ9T zgh}=p_EiT2X`f$3BtJM|I<}LZBhTbWa9KN|X#GjIGf#LnoIBknjZ?wylHGQYfXr`O z*_4`M#Tv(FYLfL6ji8Fp(>+#;rQE(`avenimEAc*t)B~vvGaf_PCZAYoEAFEoZ!g9 z?M`W$Vw?4%tm*k9DHtn_omvgI4H9H6|8+~)<l6h*=ke7~51!Mce~x%2a;ECZ;RrSY z`M7P-KOPxa(^8+@kfw265Px{Jv9iZB087cR`)sjK51za!G+ropaG`!z?FH^a8=>dp zuat*lH_S87f~*#EYW<tsCvD~u8h@SqtZ{wQ?Bf)&y1MdMbk%P$ZKF(_oASHF93f_6 zHXkdB;Lz0OQ!$S=w(?uPlp2XSbyf^mKPeaAj|KtMEXVkQGQ+YZcTB-5@dW3ZyyE3h zdbN4xZ98Z|?sW)Psw0VNjca|?aHKj^DM!?3UMty7{Y{R~7AXe#ZEAv&6?XEQO5V!Z z{^m`40f>+#5;|(oXe6m=c0DsX`Zdp3_UvNVoVx|oFBe3kiGH^<HhLA1NF5oQoU#1W zgVObg>THygN+4c<23U%57|TTTg=|`zJ433KxeMkxx1HKh0Yaq~M2xwVN->1FgzBh; zRbGmIXjH`eJby}j(e6%edZr69*wIz1<jT{au|QM3TBd%@OsSf1{>t0GJ1Xo&$wEdN z>F^2@h6E4dJcB`qAZC<wLhb1R!P23=LjcTUW;@_!*TKi6q1?va=^Jtv@JqNxc^_jv zx5Ucs={xmYD6dwv1Jid`R;)whHcX+sELlhUYeqO<=uVwWuG%QHo_mx<eaQK&T`MKN z;qYO`D~E^XVyEMhK!F3!D~Ep{zOePbq@yKTN)dNv4#=V8rc0pdfIR>gteK;s%;Q8= zSRC2l!OF$2;v=PH!Ul&#g{Pk37Nnwy-ngK#>6J#p8HsfCvFrqK+%ML#b=q{~?>=GK zX=Cj;lkXf1kd?5kid@A%HvyLaf7Y|unes724a0<a&5p@58G+(b2Wuxrm_jiTuUV~k zipS)I3o*F}j}`q80n%icus&MB>;9+G@@sYs%1;qQxvhEv83%Mr{5+>fl$h$(v<6Qe zB6ANl&s<OT=BujmM0YW_Kg3YexzHWpPQKulnilq?8g%bp3AQMcj^67VnN8dvuYV&t z$E{Uu-=f{egcl@t0#2&aj~SL|s=io)*k76)POLBU9KL{8Hm)~$?yc6Tx8lgFLXBN} zie;kd9~d~^F6=e9ewtz6yapL=*1zK19k`|nYKT{3N?x(JyJSj@x2yDnk@%b$iP+eF zikI7E6h-uw=+pwAJC8DOdRe7M>6`dWDJy}`35FkX?y7CC!jNWqjL@!X;{BMsrUHhZ zt*^%ITX4?Z%qsK8)~_^Zdd%J%*k9JfYu_6PtE^jm{i1U0Ea+L2<x`{SLuNBHRL>Xb zi=FCMkmOeo;s-oXzU=sR*@1P@A%w)^idOa-?L!me(~}X6=nR+W7cS96j?qpGuQu3T zjj_E#WqU=5LnZ<u;|Gx;g2?1$m^x%GYQ)umh0irE5&~Z1@*?5#Mz*O${yIq0ia1bE z45)WGVz)M%&r2M^NEi{?;&a`jY(y_Q)@n{|kIBs_&($c;#V^Tq+p*c)u^HX5LD{jP z^3fCVA?NoYNA%IVyO6U|&$3jfH&Nf792uS($(tI%m>dx~t8hKzdws@-bXE~5&Ymhh zw!*rgwp~54R_$^_{~GDx0n`mxvg}3>j?Yhonb0Z1ROZU0Nf;9dDOjdeUZ>^9!PLof zVH+LNRZxA3gu6wF?&gZCsTCa<B+?;Cl^QgP!mt4CcX-5eA-|m+=qJ)4L6zz^y3)Fq z#^OOEa6rQbCpV<@8`xi6W=8b=EGQ_gn7#P5SrjIrVJGgF6YS?BR;W+~Ei#R)Qh%tf z4sA4@=eXF;9l$Sf01vrsqz+V+xo_DShu#Q9e0i{5!R?Rp#q#|5D!Vxvr`?pcY-I9J zSjsp5w@{mK$s^P)J4_r0m6Q+JTIHT*I2pZ5VKWc<m$dphZK|M2@7re7g~3ju7Wj`~ z{+a9L;m!FjwH@?&iSa5FPkvyS)V0VWB(n<m#1`jUDfeiV$MYaE<<M9l;W>c$5t;0B zRS=eTnVAsygM`N1+OpS2jiJ@4B?lH(`}wU$9rWZQNw3e$%(E>yE1#q^OsbSlQ3Pnz zLmO()bMi5AdSPL}mk}WF)N#wb8(93SC<UBbYyVb^wcKOrjG+8{l;Q~>0`9<y)wGiX zYGa;FjA*A_jbXz3i#Uh|d~-A{KIw57e0#uolzR=|bA3m~VHKaa^Sw5=h5c4P{$h5` zHM*pBf1gI;RJ`hArOX+LMd~;W|HmqsGwm{cI%X@&y&qM0mYeX(M^8IE$`gF9X5n%J z;eMdiG?H@h&p!4F=i_eTA=h<|pkKmX^U^gbVl&b;vutw{6Q_84`z_)Ri<JOl;;(j~ zRK4hS>K1-fL(n3eV^;dM-*r~HMs*7yy!qXBXzM$^Zqv@rzw^l}?razT{G{K~XJb^f z+8ngF?)kTiAHUFEhJmTjjC8J%0JRSW_{pX>#<|BL_12RiC(!DL;VEj7)0d}{(n2w# ze?=eF{<%!uP1IH{?z(k)xF{aG0V7aHNdNBG5x@IQ78+*n$b@CoX`YiA&PQVSDt0K= z%V*=g0ne57+KE$)rF^)Z_h;EJ%2CvPDT8do5tcEkHf4FvtbJLDvC*=?tJh^V3dAos zaJ=|jmP!<OA+iE)otfR)xKWl&*RtJb;cRnO8mk-dp<a{*1v2lL><zYWl(OKYchB!G z-5pFle-h*U@{H*sT>cou)c!;_4uK7`eo36j>Z6S}iyaSwN{#CKW&4A;+X8MPCUsu~ zt;qApx}W+d<?YWC#C8!?gvUkq&>Xr3$hAz5zM^xj3hh@@)T4rJRo-=JQ8#}!ufKP` z{_X4uIPc#(@BDV21Dq=X=Y0)kTb0nRE|N!;6dX#E{hJF?l@vuKQ~h2qLU-SCtAb?h z*14Rf`fD`qArVujJ1$}TZVJV_Ne)si)3^T}l8oux3#H9{FEenrJ&HYq+F85WZoT1g zt2>Momv&6x&C1uDb=vT&MzgX!k1XtP>WF+UMB>wg1h%a?mex#k^T#TIvf@lk;ogGX zB^y5zun0{wqQbf|VL0`lz9i{cOkSF(jA;j^`WbUiP1R|<PXz(phal>sqT+sLzmWan zonm)CHKB<W;M31+ruR;<w?A?gUlc^bxU+La(%H`uuvk`p{0&bO-8_l<gU+bwQdyo$ z7G5|S5I9<viism!L=dagnk%OTMfd-PG`uIL`T@^Wz;g!m-gB3diDO0>@cciKbSlME z+H$Yfiw<l0=g{-|4NZ7b&+{;bsO(s;&<0aFTFr&`fwvJvCx;ivSo@b3xl_(FFn&_m z*&IZitQ{Uq_FX><nZ>Q-^OSpi?CIIN=Ew5X4qcyIjK0uIOzh>c#6#L2!R$C`F~efi z)LC9MAMmDs#-R-;SW$zb`szWlojWGVAJ)&z)h#ShYj)1ELc8C4oJtO0L&X#K67osT zarbZWuT7&uikHw+qpWQ&;K8$(t@;q`_0{kNI7p82hlhl`hE_iz%uO6ivT<wo&RRyv z2SHek&Tno1<hn>6u`|Brmgb|5HRzH2Q!NCH{cCE}N5&Ip0eqRM;(?UgDu|Ejd3+xR zTGEDlSpqh^&wrrFVU)f@&A7ua-nktZ>i&G!!rB~183MmPC&PuW!z>eypa<jU+;^)W zj_anW?OUlkmzIE0%;tojN9bSR4rW(<San+RfkBe;KQYm*6g`oG9I>B^i77P8W0a|g z;mfwXP>^j47V-^wr2MOm+oac=h!9kEi2qj8pjR?Ib4lu%#M5ZT<uKpKN6k*yL7&6t z&h+Y~1PmzfqoI#y;j}svvuCFEhSH8H@)pzwQTs)&ZM$FvpBoJXgMN*;Y1to&Z6WXF zXz5Xoy!<M&79A)%O54SrDeuQpj=W^2Xw$cdsQj%pR$nvfD)6vVPEN@I7gI-%>eKA7 z_b7V(xrX)Cf^I&UBP*oV9%;L3J7@OFZxlU3USL6!!%d-C`it}@pKl^t$kdK~oeGI# zi-;gyT=hNH?K}`^l-;WkY@g$`t&tb%CGPxtGdLud*rKy&815ITUB$p6=)8GaLY(vR zmMaZNLW8u68YYg269OR$A<Bi)JkIITEm_SANR}?N1dFdXq9b<JqYpYcs{^OhLuIPT zMHuL>g&D+-9&jvJ7y<>jK!Frc;Ex3qJPVa6$3=SUtl)XGVyLsE{-HCP?cH?%ZkK{8 zE@o8X+Xp)4PXQl7KvgsdSJ9sNp!&guOfX*9K}I(%**OZ(__PHlk^@~dAU4oKBzJVG z&pUeyPR!jUH<}$sn0?`%{<`ni;~%G?i@v8058aHnNbt`$^Dgn&C=IO!sO|X2Md)>* z0JR%$F$qvUB~XC60I2==$Bi@+W@M)L-2U3{4@HTVLD26JC80u1Pg*ZiKO92_l;w0} z3g*9<-m3k&YGr1jXQPAdcOUrYF5NEje!+Wi8T_S`(89Ax>kxjx+Jg}udS1s<KcDXz za4~BUBHMOtNCw+~UJv#q;BBE>x-G)q_jnAWkw^vt9s&W+MTz!7&~YH3ClK&D^}{&? z<Ol?;cj!52d;v%%_TNjsA%j)jyRF^3HL|x}zx;LG$_&1*OO=J^{5K#P7fahyxQz9V z%-^Oo<o`02m;1NrL!0}GRbub!1Hoz7J_5n#;}-qCFWurE`!g^3>AycO{BSPXdz~73 zu1W?Id<@&?+V`M?2?C+=JeykU=fgbbKQH=a{c+HGfBx~qd3?fo{BI-UE2YSew_X9P zOMU>8?Xcm=_CHkFzp4G*e^Xdd|Moimn=<~qc>C^;`hNu43Fq2$u)odzD}VqdSVvbs zpFCvpv%cxmLE{wB;w>x!?ZMjZmyq+mB8>fWOFkX0{bGiC2jC%BlVDbJS$lm`+`kQf z{2vS%{}}!R`iOneulz~Nbpsg=aqqP)=6<pvK5W0wy2J!#tn4|z^WnhXK`t2e7_QfL zJ;PJq1f&G{|1*Gg443=I=f4D!ff{HW!v9kHkAV0X{<7`*Z?pdr*am9w@(}))+J6MN z$8fc_>%YzZOW-?D1I<JDUuypeH0qix%^Txqu(sYP`8A^Ez;B80lXzx}M>cpLsKBqE z(D7MJ8t0B!5huXUwPmck51Q1z53o5Rl{C>k)nY;(+&oQ0RYN?xr&bU|`XtJm6`SE7 zP*ln08etJwIBLj$QHUbD02KyM7^;aXlDYF%#6m=q_i<w%u<+k#;)7#8Kv}bvCXzKW z6rB-cA_1`c2Ydp+${!F1fYm=B2>@$<KpFtn|A0&YZ2SQ^0NDHkz5!tC4=4h__C)ef zx?;#}gu>LoXN|M%zPv$(nm~n_fzLz#fH{CU|HI4!%s*feU@rbJ%K-BaSOu8NKg>G7 z`~x-t=IRf#4KM((9%L{Jko$UO)tz^HYWdvylY!*dbF1!+f53%RHw*j^b7|FW{SUYT zeEtE~fX_eR2JpH2<8ur6`~%>C&p+S}@BsjyH36a|y(R*m#X|%i-p7239FK+p!1o7e zBJx>0{{w~r;Q9w>BJo*#{sV>qQ1J(7BJ){{{sF@PIQauKQGhszf8qdu4*=wRVJzwR z`AA7T-vS%Rh>{pc@HPQZ`v<ThC-HRu0X6`b{sZy>u=NLQ0^sToU`2_;#~1~QWF8HM zK1AxlK-oG$RHehSmca_LKtXc53S`2^;G=uj%!3pp;wKr4fkGbh)PyWZGF2j75e4a^ z0&PizWV#y7AOlj6+*dpuxkt}(LDmF8Kv!X~(c|Tfp!0=ErsMzrVg5hUX~`SNdNC3v zWL}b~BI&B5h=mGNB@sgDY7~P^(}6F);^@ecKg-3oCbW=zqKU>vkDWJyS`o&PPPB;> z7lS&Qk2EbqH2C2;Voxa279Zl9OiXLpq(BP>M7Ixt0vae4bh6DnZv#cdC6hN0^<t<^ z$b=<R$$>Bkg$g(&5v1vA4+fd^fH0^!a#+uDQLG6WfiOsH^eA~Fh!tVDKp2F$7{t+h zglQR6APhoJD8d#W!kbJ)Yguw241yaL5C)-w4i!k~K#^N;^2VKR4BVLP5}bMkRUN%6 zP`E9QxPYo%4=_y!y!?8rEqA4!dt*ho3Vxyqe?otgJ91kdwg)BJgnx;-9m$7J$(#;+ zcz)L%3g6_rv&+1+l1&P*V7PPr5Fns&Q%?7*iRW#ANCOb&RyPJ_OjZL<od&|d3lw&X zBPOA0+XGB`K$uf)xh?hF6Dz`OAk5Vh`jgy|%kr>(Ak58|n9Gs;n<<%9APl@a^k$O} zZkKszB})#3fxBV>VJ^z)-Zk+c1&H_oVXk#!8jZ=kz^S!BnA-w{x#EawsM-wB8vmDH zceUlF)pK{O2rYmxXHV#Naz{?f!-}9^Hm`^l{ZhX{RiED$sEie3>~Hhk+GTE9StR+( zdK%|$SP{yCpJ<%`cRC{CK#)t_#57}k*hK#2luX}1_vp=sgGRL;peg<@b5^xO&fS#! z1vHMzc^I4M>WfDX%flj}L|Yd`KQotaWqu8GKmTptI>1B@)M-&W<jk!Ax^b=><8O>V zJmKV@IcFt1?I+7(+;I_R4|Ks95v?!PJadxY%db<~A$x9i(2Y~w7$akRU@c<5%rPrj zJwMqzqmGj>HNg0Uh}N5GfSKIyWzLW`Qds0Fp`XN);q@m^k2E8jY8t(dXMX)60ozft z!Lf=z3N@Nd)uQw)I4+{E0q>#>YigBbZhTQL!4_fYeKB~Mo}FVN8;rshjEm_)<SJpE z&#E)@2(btFzmx%LDoF1&)=NHxW}b~`E^Vpx;JBo>L^uTho2%HC5JN9B)gSgCZ#kL^ z>YDP~_p5?E_-ypm%jjf#@Q1w3GxJ`RDw@l-3J>`PDw2ZEI)=4uaa;lRQ|gU)Nh{eP zdb4}T3P6(IaOo=m8Sh!}Z}uM2-m|~qQWgNI?pcZ7>^&sg6(6*(^P<Okh)=kyQ4(go zAL!oGN1>69Kw*!JwdOvv5C~7S<jRyp6qrGK*jrfn6Y=)A*F+`=sZolzd7)8~xB6K~ zr<+(BUQI|>I9|<%j>TkNT*#ke{eK-(0LP(S$_vj9g?#nf7o)kn@af`@E{e7HVU1EV zwyi(#(J#p?t3eWN*d*2J-2L=o)nLP>CSR8Z;2HnT{BGTM<6_Qhfv-l+mf0&S=|QW5 zQsx|xe)Qeiy#fWyZd$|#nZQZFO9f4T5kG;TVd@Z25v+aM@Et7L%>V-@B@;L)B(m$s zMygn<l&lWI)+VX0g9+PTkEN6iettm1^jvXLNEi_7N3XB#idLxUp+$@m$HhFZS@*2& zipH4QE}v-(pX@PQE|0GRmBiOIzvA4-r#9YYII%o@>~?)PH=_<Ma^NVI;4Q!q9`qRO z3~CJar1V7U8cP=Rc_>&se`cM~KnJ{_VFi339U(CEdcH0>xy}&kF5rV1g{l5%C?X;n zB%T%n5~rr7@uoRIdSU%|iHw87k@ou)F1hZQ2VT?*zzVxMS|6~|2dt{nNFAp-F>1!> z$$-zI(>5kUQcMg_!SZ^7Da01(y_*-hiB7x+7umR1NY!HtKf?P*Oaz&&tqd~j$gqmh zg%|)JV+<{t5@a4Df>&N2DA)`wB35i1aM=u92GY3kdwvA~f}X2qLdAwJOKc!2@SrL1 z7bP`QxG+ukS9ajZ63B!b0_igAhwv0o0?()rI9xmv4Ay*ES}lGOQ$%I026gsIzJen~ z{kl<2Yq@dIg@4$45*LmpBlL_Ew2-S3K}I;%wo1p%Bi@`Mb&O3>o2*uhNE0b8MPf>r z$<ZR$?KYGN+CjzMdB;*l;$+RaQ7S&$B`IGTO_dwwqmF}@tIY|q|52jPX>scvCUV9z zU#^I-$-4JBLrYzuzVSouCN*t9=FvFb`;4a;hOfowzFM{a<c1M6bGc%fif9|Kb$f;m z8#{0sizdMaQg?%QjX@+jyv(yJ{XatH%X0PFues#R)S&{;s$*|lE+-ZDkji6k{Db!y znx-T-7y@Y8752XpqA9r#??xXR&Yh^8-j1FoQq*^DKJlC{A#Q!J@=M5>6@QQJ4905y zVUKJahJo*P_%XHl`v)w1QPn8CQ`<Kop|z88Y7BHn<TAeO#TXh*`1rJ$_A+g13t8_U z%k0oY@H73N&^?uz`w*J?Y;V=noLuJCQU>rM!xzn%?Q3o43%%M61$0H}+@ID!As=n< zPALfR>9*d>?1VVWgD6HGZEcD^{cL`)tj2PFQo@as38!=j3H6Q^bVmZ`=i!xBozls) zCc-cXE}uE<$N@{%6vK(kl}6AsV9}ic`COYv?-vUkA{0LhGw_&@tN8MlkZOGri3Y*j z?LYTYU3@d)&SCI+M1vGL$C4<1F!s|-Me#~f0*|szgC}6&d&sYZPbhB-GHC!9ywH?J zYObV-QI_Nn1Ok3t?;I-i0^H8fP50@X=tY97Zd-a0jXA+8epg-iW0hJlvu9ILTrn@s z%mtO!@NXu_%vBVrrC!cN0g>bnjNe3XGkT%ux`CauUXf??(4p<#lE$!vvn0yg>jr`4 z7`kbda`0-g%?1~v@WXgQKVgP&1dxtin9F3|WW2gc@1aIhg##`=EQw+p&Jd}@dlxFD z9NgMo)4}z77s#iuU=9b;=U4PHufD)L!!vDyaWy{iNB_8@=Tu_CSxQ-4?t`c=Rv^?1 zEM-jOU0r9AzX%92%pw<i{ICfYm+6p+H5LdF9S;V*%bb`QUI^M;41^?8;YvLGVCbM6 zy8bL|PaRK|gmK7+1)HX}<3+a1Ve%~JCaImwBs~NfyF`8xLYhgDMePJ3%M*9L5ko4M ze~Ygh<xBli{&@%u2bRdIYS3ASBkc?o%SNj5yAKEGK$-<9r%1OMEY%ZQjE4ks<It6K z3d{7$_6uv|VFYmHNTH+!QPHi@mw06M@*ez5K*RS$a}Q03YKDdJ3^bzy>9s&MNoyv7 z<ip%8hD;M-gBN6F0tvmu*hytM#`gVxDIiS=2>hh04{l>`@ex$A#95-N@A#a9lUbkf z3?FWy@{U!JvRc-2T`q&}26(s+B$i|N0qo<an&4`TeQw4s<SLB)`@9MbKcLq7B=Hw{ z`4@?a@)s%f7y0xrQaEI26|V$v4l4_NDti&kO_am%ae;WTfr9ZE!wDZ|`c~Ex5~d$= z4rDKVo2+LPYZpCGgMGO!v8M(h=Lw(0-s*>V*nd``chyB<Yw?lEWXpU-kkLbHf)Ar7 zSjA)1!Z1Wb1gaCm63mh)xp9Q96LKCZb1B_*A{8&*Ojj?@&`qtx1gf1yBwiG!)7X2) z<2Go43)JYJd<q0=5DEk_dZ<d_8v3aq=>GV#3VEAwYYg3u<C`|=VvR-M07#_AIw7FS zhkk@F@)&?#lFrz8L9|Fkoj|Sh;h-P#wDkMqmZ;+3;7`1FUk*0fS$uV6F9;nx2u0B- z@XtC+>FVoPHe<eG?2kp{iECy8XP<5>W<vgHo8j^~qz>c?sgvCKcxdlsMQNvSy)^FU z{lFb{zej(evYH;l7<MHfN1a^jd$hCqy~mSLsPwfEWsB_KZG)4lN6~uR3FZXOyxXF; zpi)Sn4srjkMlt;fvXv$D+4aJ&ve!m+Q9sVYbOIW4L{A{suWUa@YSgWm5S(=XG^jLR za-yEwKFE@NnQqhaspz}pXjHXxOu#TjN_v+Q=14jIM8Z%+wWlJ^0UGmbXM+}^*KPdv zJ|C++DU6#bQc3`u_+tEt>P!Bmr8fZ|VGQeE+p51pzRDRa6HkVQS7D;n|4jY@_!V{o zR`>#d)jLFogSc$Uc*j;}Knij%W!6kl@5I`{I9o~S^fB3to%dVuC&-0g%Z$SH5I zJbo`Fd@q#%NU`_glL%$-BAHVUBf=l{2z`~$N8oY6PUKrxP<zo8lkbA-sE7V2){?4g z>aj47+c;wX4#KP9dU|0~M){n6(dI6yK$RpfhV_EB&aaRHgfjDd^kWRrL9Wgot@vxy zXewoi1az-J@UJq^X3&$s9i6M6V*SWBtZ@MfQHF@GItcLAGN>2SOR;6VFR&ho#=Yf= zIztHfu=+?YH8^lYoStV5kp`eCWxVkoJmT$$R&<G8k%^;B6hTL1_Cw&Y!Tprd^NC(- z{n0`jNRp{f>eGm>^Q$dNl$0C9&ac7>r4MCNg94Ai2=RQ#tZ{*P#~?>6jD=5YG{1&H zZfA)7NQef*J6SqM8U5nTVUGfplYAa3i;pIv3z48WD)L5|g+WC25psj&40@5DW{Lh9 z#&sJXqEK{FERQr(govzfM6Ob%3azueQ%-eq?cj-2OLBUsEcErVJ;ofQ>GnY;<!!dA z#?z#wkdJn`nROQ8USBsjUi?syVb@6_W@cc|Ye;`wMI&m%C~o~FrQD3F{IOHFl1Jy( zB-xWq{O>CvMB9Nc!j508EWa?m6&y5iSY2!F*iKe&GW5M(>Jp6Sq`o^mnra1$H(nf_ zjr7f&gAIL$X5fcwt-HJ1-;;Zcce6LYQ$ik8X;)pt-Ublp-1LlC1=RRntxPTVfF-=H zsyog$uX1mvC#URxh%<z?-r?LGuSQMm!whHP?$?`F@jZeQRSp5(0ha^tVm7ppFp`7J zy2}v<nqtmIubZ92aAsqlV`KQ$+0`-XBD`_V@vgk1I8DOqatq=0!S$MhHrU9R(h)Z` z!0B|k4$9f+dwjNb)uHYH26p^9+Ns;`xHhOWu+p*ZCAR#stPYQwHJCRF@CIMchaq2W z@rrPHVpt|ofH~Xou5Qjj`$fig%V%I%<K=v*&t0zI#p3aGLxAzgoiop8e?tZZHCO@y z@b*&875h)YluC)_<J=}1Y*Gj>_c`i%-S#<s?=5F*N5nb&Bx>y(b$NH@uAW-ztO=)M z;X0#Uo(0feI~&1pxo{Igu07whmt(^n1A*a`>>{`Cd@6TtJWrSBr3!GH;Db<~+3Qho z-#keQ%{2)UF4y(^-ty-gV;Xz;=xgJ>GX*-wyXuC>FI!g+JlsX-9ED7yjKivr4-U$8 zZ*Y4t_Gk8u=cIg{oW19rM+R<-h7eQc+iE=$H`g0nia{)Z!qC#?<=hy%Z?N;lZ9kd~ zHqKCsxY@gqhkJy~44)l~Nf+I22u5S1>UgUo+2i*7$^-iww%m7q?)L{q3}rA{T-@&A znnd6fvkpD*_MoORdY-9mvw!iy%Z(6BJ4;DcS%<VUnYjeMb`11zIG1!-ptip25uZhF z2s@L6%<nC}?@_Hs-|`n%d*^}5jQgSjUBsZ;sz>d<tYHQe(Bb4C;*$=SW<7UMY7rlV z$JdE}!k~m9Q*q2rZH6{4j`G0;dQu?}7p(xsAP+&UeIa4-xMUcaLC5%)#f@3SxlyK# zx%ZefI}qbYD_#$@R}r{xf^nPX=Y&3%(!Lhz$M$$gVfmi0%~5{H)J*8-1CMuSOKz(q z9d6M1#+nPWo68Hr{Zz81WxTmF)SF%OI=#zPm$9ZEXNPoLQPPEYnsJF9qYj%hD#)e) z_ksgh2jq%(RV^raD<OBX4QlV$JHWkY2%2^<37p)m;@w*|TM^{XG~U<kCNJ@$JmX(* zICqVM8QhI#2}!y&&5vkMojEmgo<FpN=0piWzTQf3$nUMjA*;nV&C93nePrU2y?zd7 z8LUeg2zG`0TOPv)DK1vi){fw_z`sTu6|G@a$i1fX>(prM46)bUjND&l^_jxLRvM2w zx1AWSrJc_~&>3~~rWEgQjUz0JwY)dZAbB1=_nZ8hYY2~?8?g0T7R&z0(lj(xbY;V@ z@JQlmL}k{TP3?7J%N4TQLi}|N)$YLP&-uM|Ic=erB)8rlg!Y9-twpC(i|V4nHR`FT z`X}dF(fb^GapCUjR;<&Jz)!MAG~Ue&?ddEwgpj?Fuj6GncM|?km38a%=^BF%7J0_3 z9EsG>6UF{06`a^NZ{zDIIGrjXme@USlj2y{Mgta0JDs!n?8rHtDpenV{~+wuTlqb{ z_E6azg%!1|2$okLq~JYTtBVlMfSZ!0^V{5+p^JE_t%IdxtEiM4qhiYo_|-^V)ito2 z(&Z`1`vAZ5v(vt@K8M@=fPmw(qvgICW0=49_4etmwy_jMJ~=}<pw=H8`mp`eyZG>M z&X%T|2Uqcp(r<Zh5g5vXG_Hr#Ywc-y5K8>uj>j%27?F}<jwXEWb%k>>PdiGK({)zw z+-hhbGwQcp6EyIvyK3m&JoSOiyKaYewbLy8OAqVwY?4Ntu&5agN#m`~3QPV-qVK#P z&B-=YmUZ-nM}&yk`uX~O^@(*YX=UaoI)AL0z6z3=f7w|P3D$|Wp$tHMG$Ir?KEM}w zx}`4Q4!l$ro}M_qp=?w$-Uf3i93sheE)2U}*C!Mmtg<|P<YstK7{>dvim4xEK1qo1 zrt?@1!hbaCwX9c;md3wVU~2VI#CA~Eyr0~8JT$Ag%MvJ0;fHN(7uSpzNXZyK?)zbh zLx1(nvWVZ|Q5bzqfaRmJjz-2(&NKF~+Y$%GonSv@I=G**h`ZUdJ$(ZDvSN5)SlZbe z?UYIx18IG_vJ7_QnNLFNkjgeM;R;5%#lbjIh_t>L1MZu!QlSWLbGEn$ZX@R%o_5bJ z(&b3*b}I7-(RNag2<|;n^I50%grTOtO0<qQGuX`|@@K^>EF!qS7(yx|xN~^62jh6# zQzXoRl7QbO63C}-^3^4!_1j6cp#JagjU+Cy-;kNtFP$I*?gR3FQSMij*wzvFme^e8 zzGSUEWQJ%Q?F-KBaj?(aWl!246$oWDlfEZ%qr3{1ejadL`R79!kpFYADqBu^K8_sM zPv1=J1YII8QF1=6n?+jxJ?Oa{*)+lWD$qtzpp84&KpS~07~A{*DKPIPdjQmoEZd=n z)T|wY7cGIiF=Ejog2UXA%v`yz8giH^Xc582A4N0GgBFq00eV9wE!VdUsZ5cgk4yq< zDHwYCxok~SBoU$y3g#9yX9zoWO1muOLDt%WKz(86G)aUIFdws=q;)?w(i+#{+rV0h z>$Q5)g?9*?NS=tz6alb<V>zhc#b~x@1k-nZhmCw&PwRdPwJjy;q+_(twP}#GURuV; z&4VSZv9rO1+Oq0)O2NFI5L=aq&l%j@X25xjCZ8r)wy{>m&dFfs{`9`GjmsIij|{h8 z$YsY_E|Ir$^m!})nodp*SrIpLU>@sx+g?ms;6*8N!eDD55hYhIYvmJ9Yh_nY>z>1S z(hi`XC_!(+76mG@Ws^yK=y6jW`d+JS3(hBuJ2i3_t|L8FGdG$q#UrR;tGFKu48w^I zs`^pB=F{FQ6eCPk4l2oWMhB4>3mf``B*%kElRoeroYHGwTeG{%@iyF(LS1nMLlR%x zC6#{$0{EzY$n>L_8?1V~Ajs;YsO_X~RzJDxctZL66#k_)`8KZiDeVP^OcFHjfib`! zK?VF{${<1Ue!M=2A(G*e&0zl1B*{v-qo1-FP4qy^Df{MRn3ST#13?U4!hsf?H(^$b z2KsbG8SMB|gK<G0J&F5&F!uKVy@dsIpAh9V0lky8a>Osw2r_diu?OqZ_vxSDNo;Se ze2;z~_x}L0{E#ihwuj7I?v7xfrRF}B*G7J4Z;g+252^X%I$R*HRV>Cc*sUUj{n~+& zyWb^#Xj?Oy(7?Zv|MoulRs4>~{??rWbWbm>?*+C-)aK(5KXSK3AX-LnntKXo^)&w? zHRzulPUew|yRY?X1d=&vsMX@EAXOfG_`VX)12*p)_nK?)9*sJtL*G$UT;wM<J5C3W z#4RF!(Et+Sex&~^>Hj?nT1j2n-*UG<m)o4-d7to4XtJyxQiJwMP2N4z`VCbwdgc3^ zk3h^?I|LdWt#Nz-v~e&ri&$+6Po6%d%S3<~*F?xlIwEs0MQ8;W1f#$pXa)vBDKH3H zfk8n3h|dZQ(IvrCO41PO=VF9?@HCJFu^wUJBiP}>D{FwlcWanRE_N(Q@MM%<jBg!> z*^Lp@=Y_0fI3Vq^7ERDGN`*}~?Y?fQ^HJFTSv=-M0x7Gsxmg=9YtixpjT-?*w95T7 z2Apiu6|^K?$`q%y$<+uRtLXfEAmd9_RCx`|)etnauqP4-pN^ii@H3&|F9YXO`6R*V zzGp9#Bh1@%g(l)i?N7=bSB`jVu2eWAVr>UjhIwm_R5-+9xBK_D^(xXRlZLOHt(CU> zGFS`2kemu?Dxkm>C>R3@j5kN1+}C8WQ0{XwEhzUf8DW4ktd8@06LljUcr3k&dv1lv z%KX_$AD{4%RrA0?hZN`WR)+^zJ<O~&08*Qf>na!Jp%wrh`;xppv#q|*+<>Y25LcK` z23oRqd9auq&Y9xMS1+U#?*EI&ed@{QmIq+$ttvu4Ji22q<AaG48OkN<WXADl2DZ|^ z7%qh#lp}4iaz)%uG(FM`60}~SqLFW;**nWbW`5+jSK6xX*i9r%P8D&NmW#`nS^0#v z^`8}LSOr6WM&;(F{nQ#5%r(;X-y&Ngeg{=ySi_DDlL>_|2AU^T`;g{Pci@*VPYR5_ z7XDs-`zrG^6sp1(VT!qsz~t_p;7uu?mr9jmG&=>n9JIWdZ*@3Yev&^C@G$pcG^;Sq ze`_d?4}5C<Bne8xcZPBNc^SgEmv@0X<t)ZMicD3|f2I|MHmojAE(Zo%<F$&dUP$fQ z&C|B^<7#p4*&Xm+9G;ABAB=8qXbtpopISdihk92q_Nj|U(zI<!C#bNEw2kbVZaD#B z#~Q!I)+zxD>cit;G;S59I2$u7NPfp5iif}j*^Q_77W?6-{%|hL?0vqx?+pgwmrA6o zFeQBiL-Qr+Sg0yr(c#Cix?9ss=XwGCBxYK7>r^VH$A#s$GTAk|<b<NYUz>1cURy!J zx(~_!C>9=!>c`~5B-9g3w}yz9xK6EYlb}GSgo0H4{RgX=RK(+KmXh@VQVdfoYJ3Vf z5SdXIPnrRG#=C6*^ji?9;{ON&RUFARx+5xC(u%6A0BWkAZD4Cgx1US><_*qq@JNDA z*jZs1rv$(pGpLB`0WFl@wwp+roVu4}=mn{nn^|3t|L(#wlpAb+G4WFY&#gBbS`NG& zqgm{QK!4d05_$n=#nv`~CZ)a2h02tt)J|(&#L^8op%wS%WErEhy!B>*@BS34u+8L^ z{{&KaM9U#O7ZxXRdbK}940Ln$SQV4y5fGJF@?PwFlqb1QNPY+Rn@YBs(fAA9)Lr0a z2xx31J&<nr>f6y=r$RaTK(?gq={i7iVUd86Lj#~xFy5vDQx~5`+5j4PdyKDc9(8Zp z7iW?DE$rvk4$!NqySYFf0u2kzbyEPcZe$cl5%u!|t53^p@BPz$^*g5h!aLr@v#of+ zuJU49ZzuP02j5{SYp=vh^GKWW?5VR-xt$$=rpDCzGCt-1%~^#|RP-<QuiR~0Q3h2E zs#i=3t5x99=(Mb47228}4ZSo6Ukc@ffz)8OY7m{JCwxGe9i&Tsdxz`NTAYB12FQ<< zWne5+o+pja0=0_eti<t01FNYtt|)|OKy6B0Qfz1?%0jy2_4DsVi~WzBR0wHO>uLBJ zF=L}Fyrs*j?p0b>vQ8Bee1YzdjWP$$CJdwoc_uoOESLI6?inEW8jyQUD^c;k%ccD% zxu7)Ck3iouLG5!#7&`Q@Zw<mzmkk~q{-jf3p8i0V^Z1S7actB`!o|TuON^{i!vmF( zznhr~41=7<g?BeA%fIu1HliJ9W{#UrDwFV}*#@(IFD0{nD2VvJvwFEMh0^e#X*c%` zh1rtNhjexDG-OQS#@^@t(U6F!iiF<XWmkud%8uPWf37qzc`!jetB&uVK;MQ364#?o z8dKy_--<WG8#jP2q-F8uGEfvUj~RO>KU2<C5%(Kk1AX#x&nV$H!iG`?H-6?zzALU} zwkkWXCKATGir5Qd8#+=dE7Z<5tW$R=I#RKLlaZEY;}~Dj)a<!iyc3&=Ed2m!KEXV3 zJiCaU-R^cLLpJC<VI(e2=(1f#Z^5<9L1p_NEr4|^yJyF{8cRRWWL4c<J&35f>6RcC z;i}+p`)Jd!GCfQ;^dlQJ)3yguo=y2OE&VXqp_dlJjHa%=Lc;xxjo;4n@YUpx?9)<c zY=y*`xYV}!ypC`0@!Hi^pMxJ=En?V)qsFH&TtT_~4aavW;q69W#HGmXUW+_l@<;!u z1TUqqP~SjJer)Yn>Nc47{mqWt6ydX<IauVga~^|vb=Lqt5RY=t?~h7l?WF>L(I|wW z-(#z80IU46|Lj+fBk*Ey;R!n~`Dtq0<j1lB!g~Q<i)N{;Mqa!+4S}Es0`CU>8@$iY za4fqPGea056!dYFMJ2k!UN7PpgH=`KW!W~<opgt5H0~i0U*{)W@_5En3JYDH$>&nR z{~eNyPn&Slmi+q|a-A67s-t0ZY^z_qkF>clPQ3rdn-P(1uRBu1GKlY3M5Q#I(&vf} zf8$+xf79U~OljQ5j7rl+S?5WWwuDNb*+wXmcAsT_b#rIY7#5k)z79>@)dMD@corM+ zrG_<Ej34FFVVX%qA)Dx*YPfFmre=>DIe1%7vfdE9-|Q$=6%!<0dz{OE7_HmfX>CXH zTaN4YejwbB_mE6C<Am=i_j4>M+5M=>f%3WFjDW-(LpJ68mONn-#+C{G6^!FmOlg)! zBfvENZnMKiRm^g&A;(EA!T+}$UJ@|W3&Vhkji&Ir<z%_yf2NQT^($AR92!p++>xlO z=Qs81r(`)a-}w*4Vxj&O+3YG{HQOaA-hKX$E-feKiLgOC$macg1k~^UQmhskFNbEb z8gnG7*5w!w18Q{92^75<JNc(>zM)4(BSOq7;J8hkK6eu|;IaZ}+JSGa=SXsBa`{Wk z8zxe4Gl0v1|FGdVuG{7{vjqRqxnJwz#REWKWjmm~FGkeC)5B}b58sSoyL>TEy1)h+ z0o*(Q!++#{_+Q;$`oMZo7nriGq-((Z3|wPQmWAGmQUF(}lq7!>WHA-+7VgDy+Jx18 z_X(iz{9VP%O?iEOz&0UALoLCN65N|)3C!Jk#t@;L(;ra33f#l;sQ28x5|K7)0ne_d z&(XJRfqMt1*GEAFstLZM<bB6!V^+Z2iYlNYG0n5VO!LMRnCzB+><Z=Bgb*^(bb!ip ze$P=8z#MfotuCPlx#*H_B?TD1TyUNr6_c^uUzPNgyya4nsD)>+>JyzJO6eN|({|xo z|Dz#dfr*3*g%x(*X}u+n8nG6tdrhzV>qGJV%>D!F%NGsYe-$@a$mzc}_6ccg#En(= z_I{!wksbiVF+_BV)P5UA=9l18Av-vL2V67PX(tlo9+~br&2ouG(pah<GxUlBO|FsO zwgpRDKf0aGGIjkZjFIn0wMN|mnw_}60c)H6U+jH#Sd`z|u8M+6jHq-;m?$YoBO)LY z1`0?c(jZ88!+<m>-6+V=9nzz8Bi-F0-JCT;$n5Le-}!l+z5hG^&COc(b3gUYyz7B? zko^jkmt29<8HO2CjxQ_EH@CBZ-L)Z+mRYN9EOEb~k(NIe%$)Npf5VeBBb<P>8HRNY z>pAuF*k2+o?|Y;$Vo+wM!h1(H?6--Lb+Mch4#eC2QcH><?>c1_WJ%idBc76XU1KIu zTXe*mVV&)L&|$FS<RI8yM6j0keQf5N844;$oqiSL7<!Lj%pX)_{%fV2<cbP#&wx^G zGKGbaZyns`z&62cj)Jv{psdKweJSwq&Wkl;+4L*LB-u@VPMsV(Hq!jQYcXT&z3fDI z9q{b?foJAZ7l3C@z%x15&HPC7EP?t)uI`ZWn^$x{fIk3g4cJgkVOVCfA#AUVO+Xk* zPTZ}FRbKITLvL=pAujt?3L0Gb8_kpj+#Ry9EVsb#(QXX|us7sf$~5F%)^HC2CRq?B zho{5Uva)|b44s23mmF8;G_<6)gN0_az$QXqk#9wux%=gJjykRYif@UCTcw2HzzFR( z&5hZ0ACjDdLcwO)UpsZN$(lCf&G=FsL1;~vOKS1dK;7V5M)$K3ZW(8TAU(kPHIOyC zUnw}uh^%QL?tv%k4D|*pQ=7iKj}*q15Km^I52_`!5=YH{u28`1`_A6^%jl|=b3cFV zdx4+tuUg;dLA)b-gTJ>}73MXGoy@F6zS##DG=1}anNA|${~hrL9+bqP@k@@yB=(ji zxehF<Ob2`x+&}}%kX|wbzTyP<r<7*}n@2<upC*e|0gi&)5qRHUtfW<y#a#-qM59O_ z)qhd$C$4=e<4Y^?V8)ka*j*9y&=9YW(k*-=8D9)z5%7+N&vml<bRrxNYBJuXB{-nJ z`Hw78X{3@>3erra{AO?I!?@J~9zpuD{*8u@T=Y)C`KDOtPZgmBeqjTypkJlL3C-|f z#@O{}8b)$aEhW#aN#)<t&D+oYu0n3GqzOJ<Ni*}9w7w<mis4TzO-*JY&BeOH^N9xT zD2$!tdy)Gt`4tO_r<aGyzM!{1aU{q0K34>}F1)Dp^5P751j%0Q9Qz`81nG<(Zv~dw zw(m1LOVf`v@}#0Q+c(EcxNouUu99C>cpff%?wjlGT2$v~hvjoKcH<j(QB?Cij&CIt z7x;#L{tA9&_c2Hh@=?sH2*Ww>rTWX$cZQ1qc@9B-f+Vj3@|WE8s8M(NvY0b3f0$W5 z(JAlYe7<Dup!DLjrq%5xzL;X%^JKSnJXob)JtS7lHt_kj@P-fLB5x!%KAsPj_;nAO zz*~_|Cz;%6KKqPb9+SB6`o?J<_60s+1Gl9Fr#t?qBA3>pX!0L#zIyao*zOsP<?|T1 z7`9u8UEDK=yYBnzhlc#l8P(T4D`0cOFf>=`y0K+$-REEC;JbDmgaru7Dw#0c^8+|2 zKDH<@B-o#mlmIXUz{`pV*p7e^0KP;*$yWfz0O)tHihywlE)tSAk=`A@60#h9>ohv3 z%^+oc*;}5k?0~GqaNEHc`Pk6L*~iz)hNvRZpgpI~;gm2FHEm{^#wkHOS;yqh-fAiv zW>nIT9*yDXepO0*`~MY2nJT|A2sFq_uw!`{<@i&jbnsDicujNMnf5%XDW%_QcN{UV zQ}hXjUX&iO`Re$yCl!nCla&#P?j0q2ZV{+^zB*A@5M|@T$d`j>L@r~$h)WNnY<)<z zDyPKOrZg{)ep9WA#b@UM0iif+&~{*%8&L)}4ZQB0ODpy`xtji47b~>ey$1F}PLBEB z2ty+er=tONj3Fj0Hk5MWVT}hsQw%Xl0L?tiFa>CiA*K+Zg@++;0s74lQwPxULkBTY zC46;q$xr4+%<ssbK%kgdf6#lUlc?VQ1e#Per(*~+mwqwcT<>FkZHo3JQl&gZ%IZ~I zKKhshgbiFtx0cfo3IvXaRyv88AYY0r&bcr{XluA;v4Sx!=e|8Nqd(s4#suNyAGgnP z%q#tazPveslwSu6L7Bb9Kba$}B-U_ogqa(~)WI8k%%xwCHxJdtUQs1CajApDn7nb+ z$-&=xFaT}A&*nyK%af0Tp}~~P46wRbc(4%ovyhKA2{u^?r(KZtuI{35Xh@vaabx!W zdTaUCRza7B1R6+*$cyn8E1xr)<Iq7YRRdAp;X#zkKE<Zv_Cs}!JH`v0ZorYL@9N~p zWihXVW!r;gf$oE4PK|@*EsrqiIv?}9uYxf){9-U})NtVg_a+_ZKxLM%*~ZeDymhHV zv%VOLNY&_q?N4#AJIWi2+1|d9mR)JooA%%*3%Q^Nfc#hp(*BCBC?fAZyqK3c89@Sz zIja!aU6LYr3;KzxNvIEzLO%R#r1K9I>xR$3gE8JPa~;Texgz9fg~yw1zh>NL*clT+ zSWBV_7TUj1x>WiqxbouRvSKn9!|U<%^5Dv+e&#C_sdpfvpUsm#jHd^@Bj3%nuR)Vg zCD#Vj>wr4-8A&BpC)Y+;?utQDD}pO!5LBF7C#Y&tJj;{1T6RNqD)*|n>Qb!6n|pqp zf`hG}%ymE~<-qDxJej?-Z!LF%LhHN^u0&XUF`gbyx!egV&C<Zt9#f|RdMEw0Dmh7* zxz6L@O3(!=pg~yKmOEXUyyvijD<wah>*$ZC<EoPP5HWct-&yV)tW%+`;mQFukOg6t z1y>6G5p-ns_WUD=u1-z@1VR6Feq-{+Zs1}Cg3Lhh#j&6o5R5$*tOtUi?{q+N@Knh| zfuJW49IjIVJ<kdRNr2!_;1du8C1M2;x-)wx13^%69guQxZOZ-;yw|{$^G8q?L?{qk zX#oV2f%_oBk4)aHKv3pba0Uow9}Bi4x@`Nms1j$G`7Fo{V4_(^AiInch(v(*14Z6( z&=i&6%1QzmZwLN>>kM2!(v3dmNg(6kYC7ENV?)u8_Gjyt$bmJ`UEpCu@<br|8Hj>9 zg3C)1h|+>654VCSCI46j?;T06f}w8)f2r6CoYi&d<xhB1P+DCH9u?}w>Vk^r{<^Eb zm0m*Sz{<ZD-Ac!T8D=+|>Kaw=V?VhfQhz4?8hA)agL(8C2et%w_^1j=d*doz324L_ zB~<pTjsco_66dgQQXx0KqvGHO8xsyT=DUiwf{jdIBe2gJ^NU5ySxlfNP7PhPwLk8n zjGw1#mRLPjcU+MeUKR}rZbE1X(JwJea3dqkO4ag!g?Tb`4IHQabRK`v*HU}VHm3`V zy58mbWaL*})Q9e#S9~HFxV|+B!F*#`xd{#d@2IK5C72x>5Dwj|7JU3PUJd>0v5<H@ zmP~w+7<Sg<*<Y@|0MY^oDLWM&LbM`&DEpF&h51&*k*v9vS`*ii>?)A8IFg+Qvg=2( zV?cK4NVXfu&K=4AdRC7$eJm6Sgq~T35^K1fg5lnd3L(1s{3zOoEX*2FN3sqeTD~J$ zjJCQc$0OOxuwcFm*@w~Id&TUCuXPx$);BeDmS2ZyU3p%QW%2DWzS}WC=*5xHV;~f> z#>&!k`Nb>hmjW!z-vByDvO9=Y;7FDT$a);fvI1F>BUxi0%W)*T1!S#{WUs!g$GVts zD69D;gy{auqqGVGp)W^5{y<20PFE}H`lAHFFP}iPPmg42f$ZlaSs5UE??|=?$SNGk zeoRn9|9K>PU!oo><VZFluHjk;tW}i7O#_De|H1#y!9VMRY&SI+o+jv>V3>qxw%c16 z-faMaV67tAZVE8Gsjm&!0$~yW$iVOt00@A!KFxNM1RMZ9!z2KB2{-_Jg0%`~yNLtN zmxgQpFbM#j0uBIvu+}HpZi0XVfG<n}fJcA>fDf!yDBFz(aAF&-dBY?C-~b!|ykM<@ z*>0?W1Ar$?0stn!0l)*+Dv<3)2RJbe*W6(e0Neo_06xN6A7{JW02~0^U=jdO0S*AJ zuvY$TH*&y<Zny@8NdQ0$H~_f7S|4S*;R6l;&M*l8E&~n#POw(KY&RUhiE6m!2$KNd zBH#ev0Be1i?S>9G0NBGM05}6U0NBA=d9&U267Z%X8?M>HBmme-z)JwY2G+`x?Y5SH zrwM>HOag$V1iae-SixGkv)$$aC!*n+B}@W<X}|%%0@liv?KTcL0GPuh02l@w0L);m zoY`*ufD_(u%@ig9KsVq3U;=C9$aZT__^cTo(8y)<G@kVZM>f;f)}>&m_{6Up9PyHI zhB7?MO$lM$kH>!1yb`ZfC+F~#2-OIa39iuGtmBW@*Sg{?K`X&_FVcK+MNBtFPfK+x zZj2ccUXUR6ODtKmFUx8?x|KECEhhm_D>MKju9oYvDj={T2u6UQttC(pH+BUg-|wpt zBI6sUxtYZuXiQ@fC;3HPhG(j2jMOav#1tIxjNOPxC`Ls~fCFsDkuUbu2$J!2(cCoR z57edE`YQQFQHH0hX^hy-1Z)osc*bHBEEprJrCRXyr}EU2$K%XjAMcCQ#uK&N9YM~z z2?!K-(#+E~XbV{#wmz!PT)qo~J5vN{!<N6@I*0E6k*?sZJIwK?oJ2T8;5Gv|x1afc zf!^<N@RzIWH=lnx1J3bx7bnM+7$bYbkfuMC7wmRQM7`m|DcrpkQ`+Orh}Lg2dS$Rb zJfkP5>`S5T+dXhJZ$%u<&$S_r=ADS6xeelIE`dCn7lWhuD&lA^iaeTcf}{Bl#JT=9 z@?77KAS(c}GxA(7jUZcCLO#BivT(on1b1YPGW~+y!o%j|r+P0=U(k7l;}g{L$q~&t zvKbw$EBPDP{pgJ$CB3y{g*eDN=tW@%<<UoB(|vk&-6;66-_s|D$8|fs2=?cGaxqqS zqHAadWz}&$A<y^Um@aHo1fyNAx&G1drRwEHU1p4@ej<SUCHTkTqe_0@QKhS!!8+hk zrBB%4Q6<%e*qBcQh{*|00K0Tu_AijOH3yKkv=9#Ix;6ydK*9(BR}pXv31a}nYXfix z3F81{LBKr(T(m=^ya}ZI0<xMAO;C~BhZVt1YJ4xjJp9nqv(bK@r__G_j6YhD&~<h& zR%r(R*a8e)Fu>5oU=T5Msj>${7c?+*L9C)_k3NLBSK9YO{YcHgK!L>|3i@;8A(-{x zAZI=7P4M9lQDD}Cft>Y_f>{q+6PWd&A!j`}VAewlW<AyR82(JsUVJJzq$wBJn!aAJ zLz53F9ep_Q;sp-Y4Ltd?>`i5F4Whu{0Ru63utOuedjkWEB@C1hGYq!>$fBrpF1%0b zfs|f<?+R&WF!zOWchbvF>)15s>3xJ53=(`+F61OkezixZR(eJ35q^t#t1}K=`k50h z!yQ~f@vDA3Wvncv7I!@CUL-J>#E6=cfm72=HNzRf>+nuDrr;Yn38&jzmCt%Sg@U_t z12G*5w4|Fq&J%vV1a8mYg(7Xa0bAEA#6ML(NEgFS+q)`q*2BW%Ixtq@1`cGa>Tjr& ze!=e|=;DB`!`6J6lA1!(tuKgjeK0ZdM^nov|0nCKe!OLfTeTHOtHSFBu|B6R=78H? znrox{bm=6_@2**4e<GCebMWyl`0>8Z$8quX^S2tpmml#gUjEL=k6+%w=N5fk^ZXk{ zb`upBE~ovAd|3B{A6H$hMUbg>2rhu#cOJD%djVB~p%U>+{33q188rs86<A&8_rE%v z?~ckO<)fatTM4XtE-K!0jlSM>{*5NWoQ~7J129)DSS3^IOTtV;T_E?aNyf|G&A^xF zC9eDpCXIWQrH_NFu-shfAVgUKDoQb2?_BOb4s^znsbyq%fzQN$Ii{8mJ$Z~U=oUsW z<+*0nYmV&(SfZco>wN4cm%RD3+0k#*@TxuGHR`I2$|gNct$j~Oq0ZN8((Zab#v5(+ zrME7>_`}St3O5%M=*!<ccbrM}Oq*oM+bjNt-l3?}F^KM6gP#2$VKV01nFpoAUOR*Q zT|R4IEU8q(UOKE6<_J+m>khqIZh(=whFf$Bms{?WQx>N!x=J_M!`gGk1|>1JY4?;X z^R&39gN9<hsPsmk?PQ#PbtYQ{XPsa&NJ*rfF%o+2YB)b3-Nh}{Jcvmxc+iGc<04yN zO$0w%=c|>=a_7hxz+<A}w+a+Ub+qj4jhjxZq&POXWlUVc0S#6fn~PRqwX`OF)p~`e z^Vcaf?|vM@<?0^J7c0%%N?=IBWx=UbcT;cSakJ2ZqZl^B;^dqiV`O2`6#<GO$ln== z|Aa7LhEqm462ZR+%4zA^NNJ{_xkOpRbKowYNDE}1{p^Hbm*IKDR9g5<IX83=bOsu3 zB>!TQ-&H3|oHEc>I8;2)!RYJ4=hsOcOjTU&KDNRs;}>bU1h@+oSmv%9qz=#k8meBy z^ZlMgOt`<<&qD4A3*=2)DkNbZr5ZLoPcGx<p%26#s8tF6n7BlGA9%%g=#?kZD`D0{ zX_5nJ9fWi~BR^A2t-v6#C;G{~&gbJK6E0w)T~VcCrlBg}It$_|eQaKZrRhqCX+Lp^ z>$t}bD2{bL4wFom4k*`{X|5IQpdl%nl~_|yx@|k)3IiemKS`^hIMRNWz(+QEwI|#^ zf-8Lu&x5yh{33K{BPA9=CrjSa#3jT)RsfH!8Zba#Nrv<5gT}he4jr_*MbZQ_Jg;!= z23_uVcRwHT21+x^FuCV9*J-yfdM=yPr5m1~2mRy+_K?|kGoEXtB-L@_7+>|Z`_Qq` zH$0D4G)#AiQ?*dG?<R&s3thgkB7;45`L^AKynFMI3JlSx2iK(<lwS0++u@MXkdv@s zJWUBHi@|E~{aPk}#e+?Ou-ADuXyiK{x1*?A!4K<m^gLnr<wn+Bw|@<EQqJ?+=I^;e zJGv&98)KmUq)(^1XeNHKT_I)Y7d=(_R2%~$%0{tMNrcOwpmzTZtp!{4Y5xeZC2>ln z!Xma)NuxGl7&m&o4aGgbf50KsqsKW#n@=%ee_?$jOk(7H7+OV-J6h?}`AT!8@?Exx zUwI@GcE8t#Po?>7e;^Oi=WSqTnXh2~8&mJ)&6LWMF(<CFg^c<zKD%*RW6Tf&d<IR$ z^QB9u87@p7a%cOLkU!Ub&Ak^Z`hJc#xI;&yht4{gGhKK{8)^Tf@rJnu+d1V3(KAgo z6W3ZYg~^G<9|hg?Kb5ug#&t!<59-o-X}p@|RI(L06_Wb+km`0o#XF=@9Z&@is9gxE z;YCt0gQgQdfVyPj9@t_%u%!cRX*x;r5WN_q8r1N^xKS@q6Hf&bJxeOKRQe_O$>!t7 zpP_cK!sJ2sA-5x4zGYm}zh8~!uSPlMf9W;O8Lu1WN-d;ctS-jXJS=Udfry6Ke|q-t z)g}hWhQ08z_tF#;89XV&c=Z?DPONI^MZyMd;E*8gm;^*~{KFRpdc03hR%oORE}v_o zF?xG>o;vS^vP~Y%w9hvN-kpd!FY4HtfYg|oz&MTtef#Qb-x|>51On;B-1dS#y1sf$ z(|k!;*!AkGOU)Ntt;q2M^B(hpR;tn`ne-W2*RgdPT2)m2Fq`#J;%jvU`s;HyNfroQ zIvBT~<p{LxSLfh=mcBs}N(Rq(^V9o-unOCF3mWG8pvs?R*v!TsT!rYwR{>#|#xP@! zLtO7hPd6T%fdiAVt3Ae{^_@zliZpBDMl`D?DR!oQvJsqXUOG=X?!EL6cwLYYXGO0x za~wLyEMuR^PyO<k;T}Lx%~O^PcE-lZTw!o08UL_f0c5sskgHWZ?q(dX2w`MtY7U&r z`If`hXZCZkQ-|n%&}%h350^K2;bSi5z4Zog(Z0HmeX4b8@MsR4)-hK);)1&SAG>2> zW{pPh;3}E*dAPt8!$$1oyxa+w;DT%d2(t<+2~OLGAUYwVW{r1oFSzPR;j}K5YM~#f ziPeTA<AEFTt-y^~X5hwI7E;&<gS^+|aI;dDz|XCz3X$Wj6{%qBKnK{`^Wb2s!szi< z3<8kGdzDK}X!O7;YaZB2&<nO+;X1Th>nnA?E;s?#m_cPTeezNzNXD47g4&<}{O;Y0 zdCKJ4XWj=TT(>t1BD(TR{~Mi<LNkNmI4)xE!`hR3ee;yjD+T)4{Jq@;C)qx|3k;ho zQ?4NG5O`4*Y~s;Y6O;MS-?N@og`HTVk4HKK_eS@H+TWsFO<@<xhXiq0445v8KT>Y4 z{#IR~7Mf0qouzdXi}d;$+P!YfT1GLjEodT*8cO<x{hWHyqlq6ND6tAAQjMuHE#4+G zW)G`04swl(!b}nII>QZLe($6thfIZN2}2-x10mV=o{&v#9z!OJq9Rtl;zy=P#o1~` za-G`hJ8b3-@@ZJ=cZJZByCG=M`Q3-^CO^!wYJ<#7s)MevSGc2dFb9IK_?~HVP%-mI zdM1Qb=r9Ou-8`^Grs6P4NKZUjC-;#{ElJ9xjIpp<+6!GK`zE?V)gp)hZ={({Wq9n# zU7U-8D&mr5)mLVZZAoDjMhpX6^cBi4;mYZxZa>lLHR@{a5YBv-*T>Rh3W&9roO8Di zG~NMzRj`R_vE2=zPB)7p@_h5=oJvs!rq_^Ig~9zMSUBV2v>%DaQliL*D&`vrLut}p zKZfnq?vJbDt>paii5XpCbP4!GG?EsJ+!K>tE9%X5i_nE#*jb*TkRjA#Oe5+wr^;Y9 zD{oUwI3o@xF^)U%9mKcdiV>n5lrGnG4t^oCLE2>`sha?eesfb9f6o0wUb8;iT_NRW z2K5_4Ty<D*kDoPkgyKQQph{|ODTHQY&5%qDDG#`Z3tWl)jH52Q@EMiW^sv@`wMzZ+ zB<A;}%93+#_5|QhIo#KNn8{TJJz?Ma=)%tYKuNY@T7^BnsNQSH>5Y1?9XzQU7cCXO z1yo%>1U2YS(pG|=R3Aszsg<_AJE4)+#Tdpg<3XYy^m<!)a7>A}E@qbjr<DlDdaRR{ zc)YIHPM0XrdyEwO0jJ9qweSyUIGI`xunO<YLEmJNR7BI`SdLZE5|7pWa_w#jm1$7c zgPW7ojVfR`#wK-NL|gFOeu1y+{GrpGUx9u8qaYnKb4;|#{jsoB*02YaqVsKxY&9@z zhQ-T8B&tgyE50S9OT+q7UIj5P8tOc%lr1D`*{5~c=)Hn_Lsj%Yy$)4+_@dN1L*t4E zT%L5ghEeK%O>pq(9;N{DTJ|Yjwgu1NUU#tXE2omsDBhQW>L)z@Wm``L%e>!B7W@9* z1kWAL40$f43vG!w;7sW`?l$j7%;8+U==k7=hdTHJdiZ#Ei{z~i@Tcgy(I!okVs81q z+M(%~l`<i7Sa3}IDrK|anb;Yb?xo4@mH-lr*&p}W+XM3ad9%JIH@zn%6k}IoHW^xc z+8U;8#;2=9sGz1<%3d18{$p7yMfXO9vTQ|6sq_`suF&Ox{`-M!WzC=C9>6CZ{7M-L z>OR^T1~7hbh5nN35p{*<c&s|6TQS(-Ug7Fs9XUfPuPI~r_?FPt=fqKJsU$r)yqzC- zo0wAn@}MQx*5c;wGjJG7iL_cDtzX@KrnkSgwpAaQ`o6!!dcSq<XW%x@z`p+PpL!j+ zijQqhT~Sor`#lsH!L_@dzG}O-wr4AL-*#;`l1`DwdPC29eSdo{G0>Xcs$g%5*cSX3 zfr)1j#bS${GXqzJ-WavQOStl_mL``PpOF`BNwp|W>6vXzHe*_0T8KZHH(yz7<Dcgq zDB4(S%T=`9HY!vZb|K#z>~x1V7MqLCWbk6!jzb`dJml}mpz5l#wzfr8yXw9BK|}lJ z_MNw1t&=eCSi5;{*c6{LD;YE~;vINsR8nfcu{T=cwmEeMqrveKyGeG0N7xnHnMI(o z?iOLmE}nnWyF!?len@EEY&DghoY%_eU2;fXX34_X`hfNNoVye~eTi)sy~nd+^R>m> z(xgnLygSSKB!=czlzGBSP#W6_NS&qJm;KG3{!-rbC3|aKnU#tq7E5~16i(l%6|mZ; zxUF?#Z<~I9;R^18g}!TlyM6p#=yWOfSKhsG;mA%Os`g|8`be(r@hO!O9fo;B-@V0| zR0`Ym>7mS23g-7@E<xru!xWw=6z|w97-M2>47^gZ3vSz*ud<b}o_Mr2<jy;rIeT-U zm=K@l%<Td?+s%!c1x2oL<F%rFy_n3Q0^7}aJqr8mp?8Y5rkit_dyF?$ep{>#D6Z$j zrc3;JY@k;%;gRywLaf_T`>WLt_O~qe+I9!z{9Kd<(x{V0_~G%}ELJ*}OTw#7CeL2% zQv~t%k*5b6Y*lBbmR-@>x8h(4vx#w;HB}1wJwHb%VnpI4Y<`1_C{iCjtJ|dKwZAu7 zq^QVau>)Nwp~UV>Q`FyFE#cYQvi0kRJhS#Dw<QxBaa&6vpeQjLQn!)4UuJt#Xz_b( zymzGMuB8E{_Q1UCaB2G5;M%8+dY<7uv(4F|$kM1)&X3UgO2h-eEVhF`{n7WAR=O1F zB6-Z$HkQ1fMU*?26JMi!ursj8tGH*jJKy(nP7iz|Ie0eM(0Y3<em!u1c7M8jw>5r% zM?ukQd82ED;92q71KUmTR08<#FjM=Qd1GB8QqR__SITP3d_zm*cg?In=v#F@D$3jX z-PcH<SZr=-xH}S`OVO{$Wxm$8K4P8Hce>BUy!+;)t<}bA1W&~7@1FF^z0I}0p7s5m zK*aZbN10Ae_c>AwSa1BEz!+D=Cs5?wjeNG(xldox5uip!rb1}D)G%=Hj4*WHaja~g zfL?KKcVJ)7e7~d+@#rtME=<uHeC^TrjoEMC2g01T2^87OtcklL38;%3ZTA=Fw^n)I z?~lxd?vq(=+irz#K_Ukh`gUXX1O2br%F~o;JlN9_L_A_Vu|FxgaF+OD0ZAz_VK%=N zZ>MQDeF+xYx<UG+)R;Tec3#SGnqs4i%9P-aseQJ2@7!C{Iq@;NG*<8LBBS$?2d`*H z=LHX5X^+m!9K0eKoqu}pN_KSq<-se?(f9EN1k$0E@@N}ciyg2X=W#UyLfp{TmwRUG z)GlSeA<O17WM=oMs-LXF4hgMReyk@bq}S9dibL(d%;DgO*!?1``333y2mWx^Q4g=t zsQh43!Tah)_c7}0M;WL%VyQVa-jhS`z2%5i0k3XAaa)PVT9HPB8YY8q5JrC(al|;b zt1S`WhI>s!We4vFaK*>6Yv|sv#jT%`#*qoFR{UYW;fLJf8|F2F+(H^3%ch}AZp*pD z5Whr#*i)y^VXJ;K4+l5QYalB7<(?O>*u3#V_{RNt<MonVrCrH&DO2lsS%MWm!fA+v z#Qv%N$#&;L8VBkAn6tt}i(^G%!(`_;Y+jcu{$6<6bcPHKKWZZv`Ye)*ql-~JOgMiJ zuGh3hE4**Ly_@)kGmSTDap5NJzSMN73TBEWHE~f+|5NuCy!FOi68cx$W@Wp5wC-Gb zjq=i?=9cpXRE<4`fq3Tq5#M1|%WJ&?0ui|rDYusjxlM(AC+0S3KZ@Fdr$qc3#>X?4 zJFW!pMHGcqt*p<sJ&r3;r@z6p%WZkjcWR+a#7)$;Q9=0KmgU+bs>Xrk4&30qVKGUv zk_$DizyeON*TC7=fHOfy#ni`bitRf!pD*GnYT78z_pZQl{tQ)XpJ4|ics}C0Rh1zy z&mZ?asKaQ!K}=gcm2AA1%r>OEx_C|??X4SAu5aH0`M_^$oi^9=!xLkP1h!G#l|7vW z)wf;A12Q*!6~~gUQNLw(zf9Hl<LW3sk-EQm^(Dtxu_qn@kRh-32mVXrZ(yOOg?XD> z<6CPglchs}6jr*-bh_du!$f?758jG5CCgcfZ@}Fv=}Tg}E5o8>^EbkL3|TKkvb|rd z8Kc{2@LzzK8ckVv2GW^QP8GfB*yZh=`#dv!!(zg6Bblu3d6EJ|@txOALEBUGiY43C zSaIJcctXmI)_c{NgXSZ)_0d7Jkqt^9@DU=A18W{fkPe6jLm&741?&{KI&VywG2ue{ z<To*WLB|<}{`6+w&_N&cj-kxRmO&1yH5FnxXrqm|<IG|gL`$EHXImJ_f|VI<?5MNk z;f(CwBx}8Zqm5Y71WOY<DP^ncy%S)Z7O`E5-Z5Yp**UmnIZsU@XWMA4<~XyE57A}V z<=#%fPALf*GTLhp*H`Dw^<6dH(KJZirnW-FRkS=;==0<Gc+u!2WYF`ye3b~5>)2fW zz-EJa=nu||MsiXsQZ@rEop1uvth78uu0_s)`KK+m%lK*(#d+Xs*GM)R))#9NT87{8 zM&PO$Q7e3~UGE-tulxP8iU;w!1<{I9_=r|aL$snXq7~_A5v}+dv|_&dM?}|3fUe#1 zqiR*$ITigSGk<e-=bQj&#nhXKR%A!CVj{8?MG>tiL)AKv8F(4dwSHC0>pRY%1I+?o zSL!)%01JfzaU&1-hyW))5!s555v@p!XvM%wh*or{0wp{57*sEXVyTzga?@vuZk#3j zyJ2g0mphc*v@$X-Cu4}j22MYR&AZ@y&5nt_USRDvPY#{U*&+WA$cE9sKL42VJ=1#z zIUW~I8CQ{M{hKxVnE{LoI=J%$QUxoD#?Ih9K#I1+BDsBqjf?u%q+p@VT=O^lb6XeJ zZc<#Cr#?%N5;nEJuwPu&ujAEMI8SaAV35mgvi^Qmspv~@AKCYw&U(kb5QFWd$+^Tn zG?m;>+fqUc1Hl+-V$%hy>D$S3<UwQ!%dFsM&(O33;aZQ}uGR^de69N-JE*FsXWxNA zPUoF!)c9(pJ^<g$7=xT=Dy@Z^tTne@X0VOSY@?~>>H4Rq1E+NDrA!BhmW62hywI#^ zzn@d+vxj>7-805xv3L=KK!ITwf3*otjeR|^GMNACb^erdIRYsdNSY>arj@cK^*l;l zYJ&fC|C(Xe3?ta=k)6bjhSm~@$ZtA)a4L3I7>kBt5{k&5cKpry7_+E`9}>tAP;eQ2 z<YxfB#b+ov-P^my0$3HCPw%bVfpE)JNKe-gdUVvwOui<!$n$BQx=7^l07DjuJ~dB0 zCGrS-cz}YMr#=#SteeYDwvkzE)a&$2!H7JhG7lC*nh_{>_5{Kzb3zKHs2LS#q4V#= zAYDr&3J24<OH7*4Qs$FGS}re71yVk3MTXRdl^pURQEgODFZzKKd5YStuL(qNUmUnu z|9skm*{S5f%aG`+p6af7*-eR@E0@`M#t2RkI#?a;vuUuWOzAu{IF`#!_$c^zN#k61 z?IVq7DPx54QY?Fu0{$b+A{akJiuuz3uftl#B_Kd%krxuZ^9e62(7EYR>U0yl4QouQ zI;!X{2<J5v;TJCh#+)i;R#PI!iarbfX7WP~ddz5Nh5G2+A7HOKMf8ciC4(1E?q!rb z*xMEjO(d#Wn0{pW=KQ@C7!J2w{c{^CqLc1vcbs&Of)4t}z4WHn%qJYWYt)8y!Yrmd z3)+Dp!^vcQ7M18kwTFLXq$goSCk*3*+Wd*s!#O47^dEo!IhDT+E3@^4Q!uq4Q0HS) z&spHJ$4-Jg3I4@)kSZ9FTKtJ1Ue$N*e~_Qd))L%X!?)m{jMl0kx1(E;VWGCQ#4Ms* zK~Y0yTJ|_4h|*U`O4Zw)$Y$G-<1EmAbSdT>)RZKjhy!FZ@q{CC^_|lw+59UiY9Uxr zRXvYY*;jJ%LeiU$d_=aO&T%r4;gO(*Cx{x}-%w>xsqHALNybNg21*ET^T<B-5pf}? zB%@?TdIlvk?Wp1X4OJ4A`WaRA>yfG!4tHkhn8)XoFkGTK2aPf*)5Rf&il<Udu2^b( zS%Zn38~Va3UuiWTqi0@|S!^S-%KMhyg2zJT_~z2RjcFVnxpwKP8e-qFyRP_F#uyZI zI!Q*(o>fZtk1>l293g?Mk_9b-?-(#+&MEelLETA2<Udd|1)XblNSz;qk9mGgDf}aQ zrt+oK;GrzX23Csx-OqS98b@4OQV~^WG~y#4FIK*MGh}z{RC)R<Nw%mXFaD0&e4CcE z>BwU#HVzaSq4*^Ihsa=II6UsOq~RImr?HPR;~Xo2qH0ug5##<*ba-CkC?5Vp8AMKp z(ohWHBp&(jFN@QIGy2Z(J1Fiap*Z*-Tl7FRdkaPL&mzZ0Kwi1>CzQGgqNL$Jwup&R zr^-htD(TOU3iBFc;Gz^8K1xEpoKd1tiAKq<G-`1Foce00^EM_a_?X~#FDg)h;IWz1 zY=Mu7pG|L5=R5gVJ;O5!!FxMnR%ar|TKl)cbP34^;Pc1@-_p2}9QJ<p(@$&-diUs` z3VrF&k0hL`y5RHZtwy?(>1=d&h4`Cp@a9V>GuuXH`7H}jS^rRVW<NRqp<V=3`9IWx z3duJef2b+xuiIJvP_=bF8y`~J(sTKYJ(Dw14t?ZYdoHh%n4}Fp1+ng}O?z>_>gc>P zLwp=w8%s`2!JqK{j>?Kk^+Z*TJyL~v72Shr>wG%$5oBhXm;^OELDcYGpoaH1R7n(S z(s5>xs@lh@#<O!EGs#CjA~PdRi;|gk)bO%V!}}ZRXH@D}RMp!@sv#<kbik>viQ12k zyCfyOrHzH^PxYjyrip!z`%OE^$k?;$s8`!qBnt`z-yOBzG%>BbJaxuV>l%B0PD#P- zI_k!PikDIyuCvHaMs`U8s_d^LS(q2M<213zappiL11@U4UZEuYE^5;MhH8YGb8!@z z=HpDkR2pLn1mjWiIEmUN;V3zel|b?EAIgC1zl|jc#Sk3z>iM(CvBvSLBMrrUY}5<y zKel*$Wg)wk0af#O5nOiHVcLW!b#p<zu>NC<8A+$>k;ekgmFI8x-?Z6{BhC}xu=EWU zeOK$D;lZ7L5#AlGTiV1;a)_fx0YAi+S&qme`HOE!k#htl_l_pE>SXpu<c%%eO4^yv zOe->ch}&9j0*eg+#G1w7nm#u_;DsIXUNt<IWRA*5oKcLO`!`y_@Uz#dU}I@|e0)0B zon9|xKylZ=`giTvp0}KWbw9K<-klzNncb|l>`K6#f!=O?Pv{H<Z_)1J-Z1z!LF@J1 z#4BYR%$+)$f4(JgYqH<ccypyXvog@uYH5X$J7;}-Ykos>poOm9qVM~o{NL(#3!-W@ zuQ&ci{yxFRsh90{96_P+YE*15@i=~=@wVN4=JFp7xx~v#LirEsV3Yl0HsUYd<4D{o zFkdcBy7d6MdDVD%*q%~soFO<S^gBkoX#JO4G&U7A7i;RW&vpK`oWW+e8yQvm?0Vzq zy;6e*15>Bq-u(r4UoDOHow;ke9FD`VW0%ayPHkTN{&c|iO>qoD9=vay6x8M)k8r^K zrf>(}upRBg_4a#B;l{pU3r86LW>dI^Z`kl54l28Rw!o3LNv?et|E;kTp(OG1_v5*J zJ6F~vN#9C8f0!E%saNgOqm&eKRqi|0=fZP8(741|nvBwA?6i^W)-kX9SI68GzxJC2 zS*JE!Weq9C+yqFnYLOnUq>xjzu617uPgcNcv4AuguFKl0anDwhO#3#zvhicW?#_<6 znFHc$TxERS*_pM~=<KBRd*#!v3|XnEJ?+r;jQ-s7iPYtbx2@%!vgLOXzA2kYDH15_ zO6>nA!V@Aqq2AYep2Rl9>h?-UqN(11hn_R|{>e0RhC;l8-RXvstFYenE23X)EjL!G zW8Eq6;kQ=zMF<BO{9`QZ(fIQph<=?^*tWacqD&{SZjyDIbo`~!!qVv}?gfU=F_uyg zY3ADnred<3<&Qtk_i=TK*2mtWTBMCG5aSh2=_;qSUFgH6|D-u>vP0vhhMBrkWcr}+ zc}POfy#Kr4dxcBu{e6p%CIpQvt^HJ}4{<p~v7~e0Xx)>;?ThYFuth&oLd~JiCF}Dp z1RQiRJ;VNC_()9W+_BL*iat=k+7>!cfn7Y*F+yVXEFycisFnX}T(f&6gktx*KC!?$ zQ~V1fdc*(mg<9N;tGE*b1KI}y9bN0|GG=27Mc;>v*LI8W6*esw-kXJ6+on28?e%{r zQBeP1x4ty<<Q1D;u(mN=ow_qSk6nUA;h|4qES+3Zu#B;>rtyl|t>owWgb+lLyU@p) z^?GsOX4|I?wQ(Vd;n4g6Q9e^c-e8CK6E=+3i^F%zt{PeHS>Ar(G<c8qdkZ(~@`GKO z(l$K(jYJ4-pW}U7lC*Pj@~5FCXVP1^WtJbH%OVyvVw+NoAU!+D<@ox>=ScfG<fh0= zHbbHJ2B$BwWd&;la`<xXnY_i!D>#FrOW*xfoY}jitn*AtiXH#3gh>LYR;5&=)@doJ z(xFt*&ad+;;e*Uq?v38TWS7&CzT=La+|6KZipjo%ck%nL&m?Cfqctd{-c$`az+Xz~ zU$P2_ah6l1go@yZ$XD|%27kmi`0Pwd#{mXV7-gokGF7kf4f1Bwetjl8OObIvEa#7> z<vL%i)BGqU!w3EqDlTPl$!hw*j5{PeW#ih$ngC{g!6O{oi*S>IX~#ho!UK1#Cym-L z$~qVitZp?;!5|Kes#!jXcSrSCqg!87oE>O9(3s|rPvkkz^X)*R4QN<{yUO!`NMw+Q zB!W9bKFs_({5Vn+Nhw>W=2UTk9VTF>Y#Ijn9~T)%IpnO<3Z~Jr0tK2LrPTPq+b|Ln zdB7d#*?XffEcXB4Vl0YT22{=CMJ=rS>nS{h@~fx2YpFoos#QbNj?J$rzzwqlzQJo3 z(*N8d{1Hk5)0$9Jz;Fr!90sDC<vb~K%iEyNC<#@LMu`Rtr%vV<A0@cIpuVQ4J6Y9l zTS}l;j%&l;h5?3EhpAw3=_US)?I3e79x&Vb(-qHGmG^UvDLY`0R?}P`+1P1#zqNc- z_B4E@@7`$pzevN|pvfn=`m4&QT>|DwhY29&fA5$GkwqyWToN_YZAU>M>#1XWl<Ciu z(7&V}7YRuvK~)tzQf(tNkd?FUL@g5QiOhoVJW<0tZb;-s@K@Ai)Yh0e$_(<lY(G}5 z@aY+vhRYoJh`fl?+EFqC23LnYhzw5~HN3x}(xOtIqN=tWsj|3)y%}7BKb5ipQy|8y zs6^&T{nKy1(mn*kCqkK~HX@_Ev*|6LuYutc*1gGTEDkv*>8X#G!0^ch-^du9jE+Ca zNYxb#pZGBgI2>^Zs3Z#>J$}dF9(_(eoDV93ODlhyiYe%4lS4{F6#S>_*Azl9e0r<F zC#BvrWbosql-D0wzPAaqPYz^X6B(gP(FD|dJbV9$i|6(=g9?m+4t*4On~?Mb42ce% z@|C_rdl?Lr4!rm~>f|T93mQir(_Riok%^IzqyaN75bSI8@Sk{Y;%|Jx1ne*~RA9bz zQk6qh;0*CmbRQqbqj>laWk60-o=Bq@a(aE_!@n%5HW}wpDSrm;FYc=^>{|5Y`RO#S zZ(e=GU6*YyNUh>uCwj3JEl<ZdTfZ-7h__8b<rPI$!29fqoG+GNGI~rI2CRefnIY8F zNtyW_Ofpd>8_`PXq|rrY`1v@7zP$J>PE+0Y!*yvccRiVO7Qj|6U=>p*aeK^q*+TgR ze^828YnLFEucwoO^sh^C+O0-8zE9p!M-%)L+M_DLNA!2K&bMZ3a`Zeof71R1N|Fmj zY2PSCJHAY<<@jF8@NL3$CoVVA4QXJ#{OF|iKQa<Gr9(}L;+B&R%}RNU3xj-UT&Cw^ zp_3M4sE@P}wC3a3T8uDyo*1E6;Xv_=>|_LPUVpF9Y82<o=I89xFZJnCgm$Z8oDZ9y z^&jNT=4X6}S`3R4sJ-5iM6csrcbe#43DX3K=osAwh~OAaQF*<?J4OirT{%XY0O1~^ z+W<k1(G;a%2DEAW<K=QgU5kd5OVnNblhy(khVogt)h%3oXDL^TW1JS{{3A$2A&h?9 ze;kDpR+B$kpnZD2F?))=mEYs<ZH7iLbN_g<cF98V#Ury6vBqHXx7RGs7p2~!<m!l< z0CGMka#e?NEK~W-E0@qv{Ioyv6KV6|U)w~6fQ1r*1xi}DQRE_y<oqKzL&#%pp7gre z|D@NGC`nrRdj(`bsNww^<Q^dsK1GQm2TAWbYAq<6KKBo`<Ma-fu3ifLQ(UwTex0?$ z*7_?VHwtkLMX}THNKv@aZHjUYCF1`EGC@)JHz;I9j*0t+*HPJ!N&PRN$5baf!S|?R zk=;de)K6j-@4=Pz_+*b#`JU{VCnynJM(MnNOmjLJs}stVbm@dAdKTv?Px?%WqWw>d zAm23}os8xYO58pyP6|@5E(K_}8pOF=lRaOQ^6lE)j<_*VOum3i7V<Cnq*H`z$wV*k znH(aq+OCCfH|`PO{n%|)&NoU^#O9NsBX-@6hZ{^Oxru%mi?PeI<+vM&v*vgS09ynw zCGM2*N}^aPh;c}h3k_giMeE|3G!{6goX>jKbT1d8P*iBWJm`2cH}{#1^<vpvCc!fs zi?zY{(AI$u%eB?41Kb;qTl{+@;+CdUS=&>~J?aA`=6g#&9UDtFx7_uLZQRe<J`WVN z+4K(N<=LKJNZq$yTA31k5XrMQ+g#7fySLIj=Eaj7I`3W*zE_(SSUjM}w&5sn-LP@2 zG;t}lcyGx&KJul_tJmD$Rz?>WV=#U$xwiOILFhA9mdv_6$i=;(B5Hv`t!is4(gl;g zd0d}XW~Y38=n7&3Dx1|z%!23&5(6-r$1ABJ&mj9E^^1<zsr(zel#>TSbX#Tc*-Ba9 zrHQj??Yo&B+V{2%LbUDdrCODfx7AZSWbi3US&B*%#}8LUE({XO54L@fp4sb~$qsP7 zY*>G9k-YG5wU&IGSZ1)u<cP~y$C6%ZIbANZ-l&k8{UgRHzvgu+Z3Iu<YH?^SlVdgJ z(g%UP2kIm&fzD`#fupMh!&7j%h41;Z@eBFxOBV9KSCmuM`{dSC)_PUVi&D(C7&^A! z({0<Z&X*S|NO4&Q%~(GO+#TdH)l@h(>t~VgzI;r@udbDk=$QE!4>2rS7|)oMpFdM{ zz~p`)mEj{lfAJ6kLtm#1q$ZDjxXT*&{ZVQM-ZP4Ei-CI8lqN%h$<>_UA-L?q_uRU3 z7V}$${gPZ(W;5370r@<QK&9s+6rxwO(9aY&k)OD1A^UrAD0#h4c6^%4^n-#PEjdr& zYO!UlT-ZcP{fzEUI5fB4R4-V2x%aDiQL@>_V!P#ha=7&}*Vw%SxIKT*QZ-^(QZ8t} zzDux3m9EaPW9-m_@Z5%d6AG=_iJQDUwicDdq5DQ#oApWQzu}2Oo*$%s+ufYtwOyGS zc}8y4Q_mYSWlO&?MCTn<|BdUDh+rVJN$oy&;iPgJmlJF3cj$NUBcUcWBik+&8}n1T zf)EHK(1Xr9vR-3<F$OxfwAkf=1A%UnbCcYZt|K%*O|P>W8avljWAwE*n=|STwrn4- z#n&7rmfNOXI2yum$#rjqgk(|v8u@gzi!EtwyRlMQwC;GZI_HdHl@qsxQh!OVNr-$( zwv)N9W4u54xx407Oz(A$C|sl=mK=`#9_zq~_mSM@TQhxQ`J2y5tj*_ttA8+_pW}@j zD_b6m_2${cTpR52Xy-O#jWvcu)NAxPsx!;1qx@*7Eb~J-X9)*EPJ!*pQ{B~+)CT9| zd5WT!vPCnAhKG(UY)#8`PVY6-X19GW{@=D%NKV*(pP247&23aDk)dy>w+-rdW>XCF z--BzHa8w%f!zj3Icb1x^6h-?Rz3o0?G^!bxcA;J4HDUFK){2HvaD-M5F;R~THK;9_ zb&)76qp4d$!Xs$t3cQ!7)j7X^U#!pAjLr<_=K5q=GGa1<X8FPEY+;*XIHpvQHMkN~ z*#~=T`?lN-hhVwS6jBrKo(i+fmbKNTlUrS@o-zJ>-PVxaWQM-pIkBGyTqzk<dh^-u zcR%OrxAoji?RY(2Kq{n{SVBh-XR$rH8d4+oc_dADRcfsKm0o0_f&`Jx`E`X13#%tV z({uhE+IP0ILwjYb?ezMvWP$oeT~)edf2sD7mV!u~7Rm8$4pU9Cjkx+WgzZkr@nH^A zU9t_YV?51a`hjf2<Or`Vai}Ne70%xb&H9{Q+#s)jQT&2g2e_@B(Y84-Z+Wu|9Uid1 zqHEQ_%xf}D!Lb4Tk{kPSnYC_CqpgP_H}<t2yw^*z2-}cTX7%`o&bi9(!;}V|R>+5; zDa^SX7Ivi3+$H%U=(j}E9rnhw*UE^(d+|;X<=pWAJ=y<zf(tI`jHou}UYL3(i~;nm zMP=kNmMorNDzd~2)4eX`z?&YaiD8k;^Sh_}<hulUuNRReTdoX7`t^IYb6YGv6V?-& zA?MzR2aY-mHC9|$*jf71MqI}oN8fndakYOs?tj}#EE~Q*7g1~Y?0qDcwZ(UZh+5k% zRx<p{vK%@ubfnDP=D)aDV6`cJ*`BNg7r|&PMr%i1Lqw7V28?L_nOE^-vk%1+wJkHV zPQ}sb9ciXkKNo1F`)n}9Gm{;Ct6K@fVhJXDW)?V8^aV3Z)U|c-S(djd-RBE;7BiOP zp?pu*i}{R4u3F;KljRXqtOourH`66<lp7bpnEz_H>s-vB5L%H*A;PIopE5&#&gFOa z{fPWmK{&m&3tR5a%7v_+;Lm@_^T69=;1xKM`CfE;U#nP-FjZ_M7}HO4U$H(4b0k}n zi$6ica=zYVHLL(>lIDBXM87vi+HARnr%Yo2fn`Ra>skyKd3$KG`iwibl;SDT!Zd~0 z_RMn?5wr}a-YZC_zL@E{sM7eF@RTflQpdMd9k=v}r&x9+1nt_tEOIJ34O!4jCoyMO zw76y8&E`?@BTgDKEV|sXs<U}_{vfs4Jf;JbtyA=_$Ahdx4RL%?E{aQ5|L>q5*+hr~ zi*%~yOcyKl2VED$L9Si9+ajm_iCif1T(v5b)8CLo<fhGxI6S2(w6<sJ3J!N;A&xdl z9a*b7p}u*n$1(J%(WKNPPhb{evW<U1JAX1(tf7<kDNlIPpF>oB(r11Y?SEn<Bqu_b z+3937F8U|qo<y-|bfk@>aU8{VPj*46$HVKS6~W4re#MTQjG!4Mv;Rl|WG{=zVDyg_ z(NIdEBl&+>%viN(Rjcy-;|;T}3u*qJqWoVL!}bgsESl9mRl+SYS4BfVTrPb>-|ewv zCasqfp~*a2buuoM6CUY=G8&xB9O029=-#p%BI=VB^*G^Orf$XG+XPojkD3QcHPBC_ zBZmkLMb7>x8MW;sx}l6H6(&TfFxKDOL|g(Dr6<!8JMpJbI-$*mC(|-{A}z7H%oZqK zbE9}2apX1fB5V9>1!R&)QNsH-C|ienN+<lo;Iv15d5kNAV$XP{bA>(aeO63&s&Xsc z&_+pOjFgtnyLUgHU(VL$k<}v2d#RF{c8jX6BWF`5`NN===P~goAhP};l3=8C{~^Ag z%{TZ%EJ~l4{zE)h89~c-KokporUOsENrF>!r19TD)F=x724!vf>9U!;gM*=<fYW9- zYvg|iUGCBc^GRIg;+%SQ60gUsq+mYzI4D(jxyyyx7aOV|eHC|HyW5JhN1rBR2uu?X z3d2h3ro#p%fd@sy7NR;Ck#Cg?KmB3N{(?q{606fm`%s@ho>=zipFim{4&_Phe`KV` zDre{tN;Hp7#vO}Nk>=wpAZU|Ev9ahDJL<A=pjaV0>6e$+$q0mm{#pTae5*cbwFCHA z(>lM9J;yBpkj*h_)g!I8I6y3OMg6}>gfjZTXC866rLJXT7C^nn=o3Ia$H)kv?ql={ zpsr(dAE3@-bQPeEW3)m{-K7m~1+a&Ta*EU~Jbh<bz=yHRqC!tUb5X^UHDd4~&Tp2g zxquzlL0GNu$5CyMcd6i0oW*Iqdo5y$Mey%!>MjH;sL)tq7o~oqEbPcx7fogKDFU|% zzb^G__gDhCs*`e9M{*%+{TddY_9%WnJn|E1^V(nAM23Kl5&}0$S|U!$2|hcL(_A1E zOKtW)>GdQ^l2(qqMk-kRy#gYj5tQ)$9a3HR+OPuNT01&t4_m8Mn>0^BCG$AF9|kQv z>;DwjeujnhsI~rz7=c2xL{Xg0KT^zE|FJ@Bi4yUD1974#{2L@@Ne_BW=%{SSF8MDY zYTuKc@C2pymyh}>t|*teNRaAekNTo?It@xhZYZ7ik7<ui#>$Uk|NIG09u&EtTyvLD zwEu|_#JdJI<;iF$QR1$W;ZH@JNwRghCA58#T@;YVfF6suwre8TMJWe&`Z#gFu5lis zoYh%V7yowmr?KE%RNJ1Zn9L_bPwWY98tG*lFMoS7BM|+995SOi10MhLX?N#2+-8lg zS@ey$W<<G2hg(A3NB2;u)?&hTd$6sx=K+Mr%)oMcv;%{pm&fw=XuLYarht9-`h#ch zpP5)J4??z{4Ma4wi-orCW$pe?lT9Mq=LE7RCz}TAQ?F1aoBfE%rq|(QGt$eTdJuwN zP`WGbUg)(yT-#W}v+K0fm@q%|RR{tx4fIIyzQ@hMQ#k3H&XczCtqMMJJ#!{ji}^b* zcN`s&0>#VFp`mC}#H(ka-}5bR5pV}}V6>{4tus_xdw=n~Zj<)z+|q*%hEBc49Bl^o zXM;Ap0t)`nvZrt<U54$J(CvFy&Bw^lX|WZv%Vsfk=EIq*z`ya3*4%($s}0~)74f2* z;~g&FZLzZ?z*=f3Ib6;^*J~;7v%c<pU<~u-V1Khip*ua6Byq8pqnp4beLcD6nU9qa z_iSZG5#np~<CSeYxpk~MjF?vk=_Y23e~v(ZcBci6q3aW@fH~uD_*-4+y5ZA#B3ocy zxuKlSdRL8Z+OT5<4Ed(u%DQZIa%~fI(_mKE3Way;2jwR<%C~_jV>|?!J;Y!rANf5g zH5be$akSye<K;Z2@``$3wo2OW1oz8NYn1Q!H(S@_x5D7VusvBd5|f}JSR?ygy6F<t z9A#**`&KWDH5fi_>33RAr!ANCZAUjnM(%9vOl?X;FH@9|kvBwMB;zgIf;jti?Al?p zMDlK}{Jse(o*R#BSt%jdvFi|OQIjOE0VU(tWDX@)csI40o)z3DzjjH1LL{_n7w*n8 zQN;6!H4_Sb?fs=*$F|F`a_eDt9df=XL?YDb?PNf(>odRtR~Q5H<-kob|L?=lofx9E zJ79ubRF@juJH|7bTbCwfzGg9}+W(+jeulrF_42{;EP<lL+M|BvBZ$Fw+mcd`@Pg%M zFv(UJpQGx%&NJFarJTAn%hENoqqnXw_}zMKbSiZM(`uQ*cKgi0NaOHUe~<0s8Te$a z5QX&;!J=|jaIzJlt{N?cU}#qjv{@}@3FSxSaE%dHQ(J5L+1C+83YJC7GnNSZtKBo< ziUXJj$_qPN3Z02umPKn86CLa2$j~}CONt2&-0t68EkXnoGHbk-Ynigp$78)TUTtBl zw+G*G#@!Sl!`X#)*jO*nxL90kQykh}^7k&@n>@Xie7mQshF+`-N6JRM#w2fzR-(>m z?m=A8?{0e0E-xut#g9bvw(>O<lxrUqIrLLQW>Pnuhq{@<c3Yrm>l_7pca#WI?!mk0 z?b-BGlV(yeT@Z`;;$WboJ-0>ctelsFrJhPko+mZ1+JOVt*ClF@6A`ua@|Ch>VZ;L8 zR#MmYGi$=fe6@oBxtJE;5@8uH&uw)Fu{awj`h|OaoO4k2M!A9Kn}z^v%M2aYoT0s` zw6NXFAG0Q=(FbL3A(!xREK~GP6@R9>+YvOTGnO`S2V+q7&H)Q78J#N5Iar!Yo6y1- zw7h%3f^epooht4;SXxaRfnf}W(jBnClGUl=EwB_YHv2&N3t0!xsR3u)0PQ-19P7>x zb7+fWgv$99ch!5K$fbajORGdDm*W2{Irbxe6V-BH;{<vlw;i>PhvzgFk`<;)ak+yB z+&O|@9^JSH?ElDyfO|#oYbt@-Ih}2vyfwZB+B@fKSv1*ucxY0r!5!ztasx4$h8&2S zHU+rH1iyju56GP3AMVBgcYxq`U0=rjg660{cfzkrDE7-wc%r*~4aH}tliL5th;VJ! z8XrnD=TYMJI%$!=@<<y&iyk?OEoKZS$9fXQiV=!m94HZhTAj%3KT`NICc1r1=!BIl z2$=C4r}pIHJ(-jTbB?6>e=5|<17<wOMPdHm7vWP2<97+>;D}#4_GdxjM+^hp*AVS4 zr`L_aZg8?LgeNq5csx)d7CiFxp3M8SIe68{#3`TfTxXl%?`<Ocj2b12_J0D*oP(F5 z$PFGP>i@8J9zaoT+qy<Yr9~yFfRdrX01YCNvqYPysGuMMjesIZ28jwPv4I8}$w>)< zl5-RhXi1VYC^;iJ!<!x0Tkk#Rl;^&Ct6tT+Rk-&WV~z38G3Q#){mnIK;z#9r#gG41 z=aKz7ahiwzeNF6r&GXysI`P}GEdMs#v;E<??#>zazK;I2>T6BERvkCKUp01wZ&tr9 z{2z^go6yny@&1*?byr3Bo&;UNrd~F#5eYN{9kBR*%oA+X;M;~yS3OT<0{boawj?z9 z(T^TrqXys3bnhBn!CC#k5-BXcd;gty3na4qok-#M(f98}`I$oDzZ01=$B%j8M+{1& z>Q$J*{Ms`0KVtpXlr{5@t*a(Qh;v@Q_dEShSbx%G7S7iXdcwSZ>$YO~wSz10w>hx= z*0S}-Y5$}<?YG7<PziXq^55Q_<`M8~ciqHqv-v0OXv*Pp56=D9I?(b0|7Zlzh{s1M zpA`UJ;_&TZr-0@Em$ghGpM}I*%FjLu*i;3Yvf{hNK!44XszA=aEyJq78J54!=~M-# z{cYU>d4F5VAn$KW7UU5+&~QCFzjuqV{o1+mcXL{cmHQudwf(s!=+pZT4R619iyhhT zslm0w{MDSsE+!EEXI*XopabonXyO|4e(M2~QT?_3=AX5rwOGlwUi&Y0p#9kh*hLcj zt<~tCS<O}{p!e_jG&9(!+3!3&`tdc`;o0veq$^<1&;F|w5bW@Hf*l^vh={vnf1a2* z{##=7ZzDSHk9c)b=(1SVUtN8;G5#m4KWaG(iiRh??)t4G?0>@gqb@VWrdcJjf6~W> zyVJdX{r3E$_P76>_D{Oges3%Tl_-<__jjj}{MubdlJ)A_H1^&6le>*=<OtHZPAn4i z<bc0nT}vsQj(^u*=zf8@_9WiQH4)mPZwF0iF9;oJsmuy>vWG18tTkcuoPl0B+Na3Z zR9}y_d~zXwk)yp#hBZ_U-Kz^+asR6+&V{M>D84D~)oA11>ZjDud~*h;pChZQm~=An z0_W8sjA|3Zwzbu!h?$vrOsUJtcd{w6q^;3qfymYCu01=;;Pd?E2Sr^shCU59m939W z=L`NkYV&0zbkBZcID39~cXN;f9)q-AnjCIo=-*x%R%O&Nn`kYX{J7pbS+3+bxwZ4s zU1Gaz{y6ddVAqX_RBn}l+LI~IlqNC#pM88YMPrvoe&{tMD5{<$d(5EtY;iqfOe&}F zwF+6B&s@SNMNXKQm<y~Z6#csC;p$JdIp-Nur=Oqaw+G6fhD4Y)-zuLk-f|1=0DUhi zpzp<HaBcoTdi3X8=24&ntou%F9~|-huw}v2hoH{s_k(L<_7#u6KW)E<ZF(u6E}n5) z>w1|oAnZ_@xT!NJf3(C0rnJ(5nZDXSzo{bh-PuwPCz~>2=X@m++s@VXp3mV+9*F&! zn17m#G4G=C{mc(8odUU&*p96a*MshurVg(7J;ru->7}-eobH{nbG8cqJ2fk`Q|R88 zQ5%Wwx7fU}{k%>@D%en2Q^~?_sPx~%j7dbzRd0Mi48QK&RpFiMq5Ju0t%=cjb3N$j zpzV^X@#Y|@OJ1FU(UoV5ZP0`|(BTvgbL`{tk8YTl`!R=V9{rI`+poL&Mu$NnqWSaZ z&miWr(Ul(EReN0qv54j$Kb{V{8x0e0-R7Me0@?zEW~-9EQCQ=FbX!87R(+k$zdAj0 zr%o;J;9ng!-RAyrriV*nIQPX8e2WE-mL%Zp_O2<gr=k;u-&2`#k4}=<S#2)_O_0K{ zrqZ3G)^>(l397p<#GZk!Eiet^{se5-)&#bZ>o=GEvT5Yc#(%$i2HV>8pBimaGu!*i z`*-S&4wj2@xh{;YbaOF^x-M)s1W^x4IQ~p(5_kCdsi`Su$8u}NRn&fAroq2Sw_HeB zbZenz^3}%P&ccRWzN(pP)b2K;YRq6sk@Ifrl0cKI%j)K5vfM*WB755lHET1GdxKL^ zt>uxS(Q^qA^X9r`E<61}y%903etil8x+dD@qI)*!uFgAS-Kqkr;M;IFpITr~=!EHB zYkqwy)$Zcr>g$HgW%t?PNqOs~W{wyqhb41=iH%L&#yd22DLhM~0=i<BH*e5v3Otzb zuc-If%Vvej`b%U|y(|ZR)l_0{bID&~PeTV?>Pl2tSGGCk!!5qIIGt`b)}79<H<|4( zzB47--!Gx#=<2$?VH6{_xjtRv>ax9}Yqq<xq{^VPEa1F2;Xk-N8Nai)-3&flbD4Xb zV|{OB+GlU0gKd3%OgBob^ON<&Uawt$?;eGt`^I?b`kv2PAEU(PRI}4s)O>;aTgUl= z?WU6Z!WZa=pX{YswUyLvud#~cEv=ke7UeM;o2E7$dw$4tykA0gSShU3fwy2gP+(^X zUA{UOle)LZU3`eqb){XvUt;TKpWSB6qVrmNf`9qOqI!Sn>cHO3lFr@Px*A;x@0yu= zdl$A~gYFBlJASTn#Y^H_W4cS}#=0)c-7yR)gUa(8Vo$?2I{nKwpKXS$wl<aDzBasb zP1kv|Ifk)2%yBoqHHBeka$%WTXF0|-w<K?4crtXWCdO4r!V|WBSkS+r{E67^TYY^M zFMsjf^=4{a*X8b-snFRWigIDC<yLtC-MzY;czqbzcJ<?^A+4o^gsBYOwAVVipX)^$ z{W&+x2TS`kV@kG1zYJm<xmMSGYQQzOU)pvRbzNN^jP5HF^eYpeUtj5-&i}a10(SJ| z8O`rw`m6?8P3%H<UvH7lm260CTc0W2%{O=UvtJvGb}87)FAB9yGGcVtTH2$w;eKCM z;IIv<TB%rm^nF&sgWF8pdAa*AS!1i~+D6UZPP`*bqEmcxv2Jw5u%UIvaj!{C!gJIv zVI?k@acw$EAeuq3P9ij&$G^JH)@iqU`BZu1^6Mq??ItnTUb+hcjC*wnqz#7Ap7Y4U z@eejl7nTM$x&8N+x%XCFr|%uNn7=t~-M+GYL}ukDL$OP_1MKGB<|iK`^SJ?zjq*XK zy~Qun0{so{5>8v4d#rm~dqO&$JFfS|T^D9jHXo1YYc<|6NGj*hCDVa5nj!7L$K?KO z&5kJ(p=SrzyLeoc@i?QIe3EOqwe9BJlh&(}^(ylXGDdb)rYsL%zM1{jT@D@_h-_0u zC;f<75IwOMJZ`c+E~@%jV$$L4u2xg)PgTcgIoE;ws$qw4S=WwM&ds3chs`ldd_KFu zNm|QBq7Cs9KTOW-Zrt!62kE{kHCEBDTpQblg@DH6sfEa-Y1e`D@kx&S!JVDem2=<C z2OEc7_tsR)_WX@1#LA=6%jff#3U)<Z3wLeiHd-TE({-s&c9$>L7;(EDO^N>K8Zb4v zNjNa+yV%NS7Ho1hAH%0(j$+hx**l~cw70Q%)xu7>b-n+p@~~YepYuk)VUn)Io^Jik zN>OBtGei0I&*`pZQRH5lD|1Xu=tiCYlX1-Wf+53h9M@8T%TI@G>M`(d%E2l6RZI<7 zfc=BMi)~BmqJF)^&lvVzI)Z=C7-EtZihZ#E<1R0r;ad}pu35-7Xc{ip5ceO?EJ_MA z9Lp+K+$rAd94w+cKV=zv3U<^bbLSrRM_ajb+lq?L{j}}%kyE@YP4>@<c4<{rJ0*rE zCpV2m#dP+_J6c!#4}k-oZ>_ohFLV<xd<_TdwD5XsJ9KZ)G2eW*7rxiiYm^>S>R>TH zShCAOy*s)gQT}s&@Wt`yK5>W5<<(D<>O#(kZP)HNPjCF#&}+||Ov(zNSUfS<sO{lM zY<?m$a?(21M{J?va8;D$6)~+R2om-~&I+lAeSHyzY)VHq`{K{=@Y>$4J{j~}9Fqdv zr6GappW1o98Qsfu3$)1KJ^9ABE@pMG$Stt>9<3Ogfh(I~BuF`OiPhC3@ou%p$2f%P z;I8^5gB)Htpw}C7x1v_?F0DK`ew+-B$*G55jIKvFEQo~-i`Qnd4Q4Brjia=+gGte& zj{J3N+GrnAt4}RXO^F-Pa4QRove>=Uml+Oa<BQtbv83n;NB-t~bME)lLo7NON`skU zWy8EW+R3EoDG~m5JMH&AJ~p2$oomK6k##l}pJ19<^IeER3rw_j76)gyooUv50YVkl zO@*Ej;qSHs&d?bMe3d?8Mg-O!1Yh-lZXuOz!Jn*u#Qd*<9QA|5_BE*@X1w65BPcOF zOmr7QbzicI-Ej!zJdSdH4aG;7Sz*dPqr@^X(FKUXLd4*H_`G)3d<H_*G>Ze)Z3n(I zvpD#>sX}K&z!zsXUOftwh@PorFMf)_%jk3=sQ1H3BW5b0TaD1IlW<$TARVAyj2JY) zz)Z79LuVYp7iafNW!oPp=XsQ~KeRXl0|O)KWX)$HsQJ5J!dFY-t77}&c9^mn6kdD{ z*1e{g1y&5K5<VQPBv?JX`amXTzyec-i!U_@Dji1cd`IoLK^<$@9c!RlpP*X@;HyaG zRU~}X9lm-9Jp-1QK6K_N_~PsaOAnTt41Dbmz^I+Yn1R3#AW?vjki@{`dApMi2a|(@ z^WCEg>{~f*ltZW;B4{xk1`%^cO&VmxB0^ciW?B!*Da@lvU!!!8h%8mo^We%k{*v-v zI8lRgMg%#Jpi1p90|prJER47%W}p}WR*bP5!B~g@%K?_g-!#i#I}6ML%t)TUTOJ&Q z&VZS+M$E8=&VX5i6$n&b4TP_P)o_Du)v|BZLbtx7oGS?O&QCGo4+!$&1qiSJj9myu zZ~#;_v&c-d$oA!r@^>HImp>FSb0~Bs0lrEBUwy8;`W(L64BhgCZdI^vRY12UP|j5- z=LbQ~U>s|VxIRWa10xQ`DMB!U>hbbAS^oR-{;+O&&TdEkZbxtsI>U;d5sR1++n0}4 zUX3QmJ2tU9HbJ+*P+(ZRAC&M{Jjne^2WSHQHPfs$aEWxXz_|0>i1|W<L=i$lA2X1R z8L+~*SYuqOP&=SzP(NM>%n{5NpCFNeaRIl}1ZoFN3``PCxTVloqTfSNFFVSx(FWG1 zDxi0x%bKIms-@5#=U<9KG%1cB+_k1+f2nMblHiE)S#MQ-bBcdh@ko?4Yy_7O%pqjm zQus!qpIA{3d;tDI6>ri_T+pN<0|=VJ1*LI>fuIbWYHRdp%g&KECs|t|@WhBSPj5K& z7?=v{b>nhX9eq_eIHSz&Rwyo*_%BR)jJ7J13SJPOy(}G-;ZbCAfa6P&SamWLT3vwa z``h)Ln)5c5H>MH~N1lpVrAboJRO!!g3mR%=U~PxM-xI{sg!Qy+S`S|Le%%g{OQk~J zCZyWiR4(qPW~ylF6H*O2Aa!Yk)HY$g6p*Uo4eGkb!I@8}D{$Ha+DWL(HY#I=P?uaf z75Y9wd>x2SCms$yMV3M3`+$S<6Cw5TjE7+tA=Nu7<5V$WN|{t>1462TZ6#`kFr_*b zO*Emdgl-5tn^2dih@OKjp)O+%&gOmbSr5Y=WzzE(7#?xZw5w>oC!`Mdcx9MyBYGS& zq8=bb^f3FWPdGSx38~Gq9`AYyb(KYBI1%cSE1*I@CDcV@SIIj^sB2V3^XtBNAEd4@ z;*8o2(FqlvmZ*%k`%@~SLfa5hjqNI1_EYCoGzSQ&tOF2u31Le0B6?wVgeg6%k)Br= zi?W}HdeA0v=9xV2eATsi;)H?BZz3oM4u)qOG%LuL1A+A-3*SUOCEIZ>BM}2$uLmG< zr3P_!Y)dMl%PKrm^rWS%VRl1tb}!WDH7DpXB~)akRKEW!{r}#A6pw`2K@0{mYei7@ zp`r^gy(*D2_VSB!sPTTNuU*a1oI;PzVotF~^PFZEJ*EgAZ4=7VLGM$<s%VD^nxm8} zGLW)k8;3bG>QoBaagHJ1_CZw(;WVSjmwkc0#Ur#pyf4#J1obpj^ao7OL*&d;`Ndh( zcrVn~yk=-tp-5-Z8i>zo7SLnz;L%S)dGhFe@>nspn4nooxjchUwrrg+hmSgy1-6_W z$hSRE)m%7DJMv{upc1Iu7GltosUU(f4i#;N>B)$kF_vGPL5+7qeT`~{W)#A87Wshq zjOH79Ocp%a5PUo|y-yaaA`qXUl*=-ZvSq7*IUqshww%?-w_Q-xOgPOK<jbzW<DhaN z-jzurf_e}t`U$2-EOO?7{Nglfyc6oHTQfAR@JwfMxyYk=TGO5$^AR4c6Ut*t@AHur zV}l8rrj+|=@X3ZPALd{ND!1XxL%!{Rs(yge<RV{o1lAXggxNq0Ix=g8QJSHmnPAO@ z&uGdoPNBxzp}u!&hNcwmf;9)?Q<|FenD_ALTcMJ->BDB&QeX}@bPg)oAhLoYi$;WO zAR}#o7?m4SsH8S%zzunJ5lk{XT0K-!4jUW?bCA|K2m!&QVKdRHp_1ZQZxqZySm)rR zHIOhZ8tJx%7`6sV*CN&sMZ~DdS_DU-HO6X^vicpXdsMwm(_1)A5YpF%w_VI1rY9=Q z+lEnE<1F&XoTydcpz61ppsbE#Jrq@M)f5Y-aYy=E@ivIL!t}O<c<V8oYcqu&nd7zR zIfDAE#wlx~h7kcmb758xgT}ziCzIAwdqd3`MAtu0%C>%<OW&-{enpmw?w{Z&oqT2f zIA(E-m4f<nhr<v<VY8}3wr3AVi4)28<-Eo#EXz~_objuZFvf$f9v_x`Np`FSe3G5B z>Rwkc>Q0NYN=tM%usn*H8oNeLUEbj!=i%}`Lbgr3dGy-%a^`ewyrtn8^(}oU<H5Zh z`zRxs3F=EgKlI`T`MP-xR-jxXOt!5|4fDFfRp9<OEyd=`uV6sK>*B<_5evdio?Rtm z_P5n{_`f?H=gnTI)7E-L_T+r7myh<eDD?9e+m(B6OP6c=s<|x;X<-GagG7=3QtSdQ z&o9+IZPwXY9o(QnSX*IA9p=r?95581?bo(6A6Sc!mF!lQ6A0~#SlfO`p=KM@+yGr0 z+bPo`4(`W?r~eW@F5;8y5;5;|jJKe$Z=j?`z{kxp!uJU*S73g=fhD7DOy()rMKQ-_ z@Y8lb)gKghTwLy)eAE#Od)OclEvxNv^)W-Ac4N4CN?CM>>dTr&;ikR|5u|su<Yo;x z84r;~+eD+{7!84$08D?pX#~t+?AaLXSyzEZ-bdg+H5J1~QAvo2L1eaX&meN?@=v0> z;}>W**M>P0R@a7|j*GtNaJc?z_k>Vg^3Dk%kRE5l5WKJy#26!ydx3Az^X)g$0>!uu z^wg=ZNtHK^qm1T)m5&+H=3WT)f1dPBSQYc_La<?5qMG=TXlby**OJuG>Jic#H1@|n zECnBrlGc)Ya%|M#`-Pmwo4nS4n)t?7cP#&~(wE&IPmysOx0zm!3DHY0oyesPZVG;S zvdiIsMbZTMSKf<PjKvOb=h)q^s8wSQHq?0d?b)$)llc<2z&Ppib;<RyE2n<84mccO zz4j7R6(%)(;d@f)(m}ab$Kp5>c-=d0R;W6TAND<d8dPa`ge$3{Tla7CLz0_g1UC3R zX_oKTya!;Up;4^#F?Y^{EN)HJ1LgKY+z${Fc@opfPqsR(GK5YVl%HY7Qf5sG5DF%z z9?kXJdv=n)PH(1@rRt@_lkxIscV#P@ty~fdi~GHs>EjQU=&D_~q_tx^`S+%Ux@2Bw z>iUpVPv*|<S)PpCw7D+{v533Bb<%Bx&h-7~u5?*XpOqfF18L(Atmtuh8J%TR55$f} z%<!yUB5j^OQY-yv@I(d}#1=OQ=KdBEo12Bpg|GJ59R|5U_}r|{vMYq##}q@T(leX6 zza*0G+->^AF5<g$*P{TD{?dhOyaGR|(3#wC>Az^+o#+Hp?8Z$I>d3YH_^)!>(mCJ$ zYtawbVs_sSvsC?+`7?>08Zg!4I9;$Fh}RMe1tb=j`-Ez?Q)*;A;j3Us%ahyg{@6AC zyPOyoZG5SCRpOD~RGG5Lm3O{MKib!Y6#lIXK=)$`Sa{Cv1^;W&)L7c<lrHbE%D|j- zWwHEaZPu>@`usYgH(^As@d+HQcJ`&qlI+uG_;xPIClnr6b+W;ov5%jRH}9OeLAr-s zUqG+LW3F7%`!w1~obijmfxN#3ZdUvvaI%Yq_*dW!p0Q*La=r`h7L1GAFJwxlmQ@$1 zv}$qyS_KgKx~&ijBhK}}WBLD@S%1UdeINz&56~VDqyY07_JMQ&>gfMxK#JpCJdpkg z@8W?J&%1aa#q%y6Nb$V;2SAGFT|AKf0q>R?1(kxG798*5`4Z2&c<uy9`G-7;*TG}w z9|135binI)uyuJ5v;zPfPspHX0PJ`Y2GlL_6a$O$S;e7duhy9Mc;ya`Q|(Y9fK%6S z{z1jnNNd=49D;gqYyhzJ#3AUFXv8<gacMl*I-stBgpF3^fKzB$EUy&A;(~&rN17GK z<8eU^&rpgSQKVZq)mbfAzq#8a4{uZkVxLG8snE&<)|s%bY?&lrQjChG_&)2jLEy;* z*6|hAqus~K8yuYP2n=R1<uTeuV6Ynir1r(%Q=xAOaK*m0*Nl3QBdlk#pL&ObGo6r1 z0f@ANkoqtxqkf-AKTx6X66z|jsk8({iX-Jh6-`S*T?U<yx{rjqmWA~u_r-NNILpoM zN-8c8iF_ilt)$#1($6ZIuL!9LT@ZLCA(c)<PiP-25geQtLS2~vce@F7g-2!Z?XzJv z721eUmnRUPCDhfbqL~Q9QA2UIFVq2%0+_|Y|Hmkjh*jyt(xbf)xjZVgDIvAXwlZr! zwO>WEh)~yXFQhJ?P?v{@9%LUYEjT!b33aK@dA#c*h&M)MM1s1YU)<-2S^FXIB0_4K zh@RGdsx1fSEFpDa&SSKnkUA8VQMEs%5-PL<p|1Z$(*M609Ukov$}>jqQ^M+HhoPFM zlq)fKZpStca~RO6w727&L%!{Ysusg(W|1%Z1JjB}v;dLzXC{lFtV2a7VR~;x&REM^ z0^IF``dZcK&nbM>Sqv`rP@mJRrN<P&qb)*ts_A_SSRp_h;BJAz0Xw!qm_wOP<+?5B z0P<}wR5c$?(~o@F8)#ZQ(hZ=oH`7=IWg05l4bwx2oH3QR1i0G+^?h8UKdbOwXHf%) z&uS*qV{+ipCZRlu^gcPPUbYx2fV(*c&u!V7U=Gosa$C+u<lAnjYBrpv0r|2!kP}o6 z#Je-ki=Yspq8OMSo5&f2yd}WhE~u|TjsA>+x6a}zAU>n%Nsq~ZN9%?1yrTEXV1)p2 zfV&w62W;8OVGb^!avRPv<l9cDYC4>z6#23<a0ZYdAkxmv31O6WsAwTfZ$$Wvw!9_4 z-43Yly&C;#g-1GzZABjH)0)Qgm^65_Rw&OydY?2_FB=RMz}+;1=QeEVFo*k~avRQ% z$hYlK)l@jm2jt84z_g+fEgJ};9gr$&3dPY54bZ@nY6?91W~ihJmPy~i9Iopeynw}8 z-=Yy(5RAoIN)QaNR!yG0H~=%ZVGS8+1>TlPR8lK6Km{w22#;0{RpY0>;Gtxznh0kK zLn_&#;Gv?SFg<Ny-Y$&xno^O+<;hx2j+^~UfK?M%uSL~cH^swge2~7@yv<^-VS04J zyx%aQYsW$0M6Do4Yv0m1Wpxbe=g4}?rf4{gBhuHB7b9i|d)_CcawKdxS_s^1bhN`y zy~~T7H^x4>OGMu16Pww6Z!Y?HJ>yZgpG!-G*?QjU1#@vqi_4}_9CLx^c7m~hT8RZz z1010Kzyj*rBJ)rAE`XEYRPC^QTMYPiAs5THLHz(XQ_RGPEfyYQ`826WOJ<fz2jJ(q zMQl9xore^;+_ypgpnoS8a5H&<ehP~0`JQbFRsbFX5=cXUpxv-dG)0_lay`o<-NX?< zz_fsXJsY;%j83<kA8M>&x^k|(KE!bS+p8N91+}?=ptMczlphvkbgzH1O?e0X<3;i2 zi3&ihmc!HqS;wjS+s*f){J|f-!-DGW-=OMT{x_&L{Q|1<zi{p5FX3g`o>Tc7jY&-I z5p}Z-j!xMm=kqaLV>cX^b_X~Q!k?%RhjvG-t%8h)L4hYYR%f$0R9&M!K0Gm{-{CZ@ zRW*7id+bxgXd0l#X{`+n`<b+*`lzhJ*bu`u@#@9PKfh~X5mX%w%&)+lz?l|Uvk{m- zai$#>Q0=gQ>VgB*SwR4(vw{FnV{v>df#q8Xz_+Z%IKB<Q@@)X%Tk^Z(QnV5h8w(pZ zBczx76pl5xN1OsEtrF*SQS@bp!<b6<+y4$m{a0{HhFOOhb+LOaK3Sm+9@iiqJ$I4H zScu}Rq}^~;f83B!pjxPS9qqTDh3AaeA``HtC@{a{OarVb49sshlND<U0<!{V4nG7Y zKQJ@!xmc4An6da=tjP<^fWmX~&=Qxcvc7cI)g>;6W$UN!^m;h#ycd6<QuBO}?5O~9 zkh<4N2$GBpog}WJy2rplY}zu)7AvfsNJXyt*ddZU1A`87n=%elkrYiCwUDl0*HSt7 z-rZ36z(O#(267-m8=D-0O}>jwzJX2FP~nj5-L(l9++|l+sYyQ>+<M?!v}+VQ()A;H zX<^!X)QM>5YPF~`-JbTv@!`%}UpI)VrhOd31<RgsXo|azp8K>&Q9v?A*(J+^`lL;K z6OuNtlS?AW`^M+N#pmr?WoHg39I>KMf@VCc>0}}L`rtZINX1nDeIFt=_d*gmDnZ@l zp8I*><|3p8>sMvV0+OPe7i~(u8s#{#2;S(h7@GUWeJRW1>v)dS5y9IX7IH71-L9j& z7}LH;`~87d4J~BKfeW7ObidBXEy0l9h%MPDk27vDj4S5A$xxffz}V<sY;qn*zK=_O z9thPatE@G0JM<76O--vMJ(}tZPV0AAC{)h2a+pWgGdhSyrr&E2=rF1mO=NkvYh_qD zvc$IDtKPV@-XF)p4o`-^Ss|GS>UD??c#3?(83)trV2xEZ8+VYd6w=S~DDu$qcM~s+ z8izo}Ju#G1ln=!YZjRVSbFf9S{-b0xYsH|G<!`4$(w0P-e>ibnf+rWFa~TdePg-El zryChUY&x^SXxw6rOCH2F%9kD|mn7ygyr?%?7Ew7v0gH|EcvU_)BHHAcL80UD<gplW zSo4Hk1SgBB!kznrcgds@`8G@0eMru=hIAHmTniBLb4kLmR35PzwfVS0j<}I9Xq4rd zp{}zLS3OGp(=IF$?AGz8U6N=kV0fYQc-c|u3<dv3yjlNvSp;il5YCz|Z^5%jR#K`t z;}@^_O*7Y4$(0u)DD<d`|1$G`H?w~?)A45Ubx@a=pUWLk7rX7~T5#HHCaQBg(I{CD z<(aSZ=e15AaFxe6MB|jV6!F0l_+Yyre6SfI7^+1k#m>K3%BJP9c^d@^6CuQN5X1xV z@#2Jd7F@iyuC`29)yHiH6j&1dg!M1@k(quwxf}S~Nd{2XFm)5y4UOmu85GF^%Vm11 zyudTVOJ^gB6}K7-K0dgU0)&%qWRuO$VOTDfKSqGd)HN~A1y(cjQn?Y%l!oSQq61}# zgS&p#z#0j<+_==`Y-+p`7v5YVn3wS86&n263t4pWtW?Dbl=qyIYFQ3mpFt|kAg`OQ z__6qqoX-^D%@nzq*}mq*$r4*NS6elgTy=Yp9VM&b5?cF=1b@=*x07sHo^F)I1V#T} z6}f&@wDbI1QM{{4oXLwz9+sH|URIT74xzd%M<^Y`jllZ;!xJ1b%lw&+Y?-6A&{aNg z6MOZu{*un1XewmsCDw3-#Z-SyLBB2#K4XHr59BHDA+_~YajPWu%NTQURScLahLozg zC;Dq@`u+%ge+~ULFv9svdEQL<i<yoQU1GsqtYKZOAzkjuGY78E1S-u0UZ45IzDfjL zRbXFLfUZ8Ea&)6~WTSF?OX-;5B_`=5hVl}-;U(5p#Slx7XE4<#+m|Qf&pdiQ^C)lT z(TkZ!Bf1U+cO44rI<znUTxsU{zPu#+sw8yPn99+E(vgYEF_zLX$4gAwODw=kOwCKI zr-}hoUt7hHT*dH2-(O9i453e^q3_S1DZei-AJN6SFQ1@1Lvej3T4^Tw`b;zXDhbq9 zmEBgAAYaTuRh&eS?+^0ozv<<i<K^r@iT7_AQ*Hef4|4y~0h&NxEUZf`qzjA%#&zV) zbi9}eY5?`DA@tWY^ckP%Gsabk2Y5NhQsRYH!5qPS@d==4#^fsTo+@!^FJ~sIElFse zHGh_Bz9esLJv*&Y)QQ<vu7Kmbxk8O$*8F)mf8Us<QA_uC@&1Dtrl5%7C`F@$Eo)LX z_j)s=1AEkod0av%c=BP*U#6PR#H+B{&8LdVIN(xr7$wCnSR)bCD7QX&M&U@<54uCj z!smvP?lI9Kyo2cY$fVsBjHOZE62bO4+`{uEI~(bf@FYaRsn^EF(k=V$*X(R$1h=QL zG-Wd(+KZiyir`K*mcFs?_F-qECb(;X_P*PXo$cI{a3h4EV7R0;AM>PfD|nRgPOwUz z3gyq=0NxdPU-RH&hL{lC3RI{-f;*Ux`NF<ikqQ+=aKGhaR@`@Ar$U7g+&O&ACi`wU z6)Kd!;R-Juk1~^fctBN-Ni^!m4Lbcq2u3Ml>;f(93-hD!fHs1A2)LCAZp-k1k^Sf- z2u6kAeik0EydMqRss#6o@Brdmf_5?lqZTnnOM5b&om^H~!Mg`sil-M&TC~a3o}z|* zp;u65LWKvg?T4p8FgFM);o$*%1osed-z2!>!vkdYqu)a?8U*+I@BoedXyCqu8#9fa zTuWIYi!f$py9Xjw89c!V9U|9JRwyF47ur2W_T75Q3Y7%+<qi)-8X?-y&m+yk_o_v} z)#v9QvmyKp(!AW$ATnC4%#Y~|l<5%4OkdAJw1#OO4>3JHsNSJad$vZC>Zlf#XO^6F zhhTNMU~RZ$@KwsJ>dPHfQXRzcX@LP(k(ul#v)IZ1SNi|K1;JeIpsdnlrFcxI$0byG z_XWvgwg-O0snD7`aB^M0s#FW>+>wb#koeTVr&p05&~*2>q)e|;ey9#?N3o_tJ=5e~ zv<rT`YpI<}Y|*Zec2@ot9Qhv2^!_a5*6^E0n9J>yRT`{;kLc97gyQeM@O;Fk<~N)I zt+@#&SM{q(vEa)cF$Ur(fjn1{@6dE`E-B8dl<%qo+fb}2P|vq=FWLl=cP;6Fc$<Rn zS$TChG9Jz3eHNlVOasK*D67<1DIU>DaS6Qul|N#W^czls)~LeCCH$(AES7UdCQKml zNr6B4kWpwl5iY42KFX--z*ZD%64WzN?nSGh*<H)N9Ab-B1=F+g%5Y>jn(5J5i1P3o z6U^mS$|@z+Koh#lTtfPwauYTlzu|Y#8aSMs+pp@KMNZC$F%W+jn8Ak(LDOC0l1k&F z45<!mL9xDrdIrnAXc1JoYZ(c|TNISe$}7T=C^Xacvk=8$8X(?6S*5^AVM52uB_s_h zH(_J)8%}`M$ivAQ{i+fytU=|*kobhar+i31G~GEaJySkdrV#I4OGJ)GU~@s$Sb;Id zqM5Q<c9=3EAs#9(3#U2l2RB7}q3Ni&^pvo{EO#wsay%$N@EKSpJsFptDAs%St|ecN zNAouzp=pfC{6<+TJuLIoYgWM+!Ww7c)nM@rH5?1|bgw?lAKHn0j%GS?mbz2>uE?&| zi*+MyO)IV0E+dG3jD>mwG<P@}>gia0_-be?(gDphMoZnQeO+Wg>xI3Mwt^MytR@JI zvY=>y<_t%I?JqgS?~C1+8!4*<hlgmD$9s)bqjxvIe_|}7XRvi`&yPCnq?tP{Csx=X z;B;@J53NcpUoWiEM=vmi!BUMGpc+;jr*Py0swo6igM5Rdn!bIi0oVeN$P$Z0y#R?k zaY*zZl0z8)<XrN{Lk@_Z#4^w#U?8CV&x!LBx7CN?b?3~6P=J*7SftFvA*BvL%FkG& z%)ue0DHbWw04alTNQuHCr6!g~O>jIK0+Kbc$#J;kTOj$`sP(~h%MM;;I@h4{NyB%J zew`%x`7X^65M@!oRmSo~-j03b)YYj9_t$lRJQgQf*wl|^N2W_WzZ_G(dWdG}KQO0+ zL*QX1+Im@@kc!Ql)LKLY6qT?DJS<781c{~8bnRgw#Zf8Wb61ze;iaUptahhft%@({ z7siBk;`PhoR&U9QQ_x+Q7PPRN2t5tf%<8WAA-YS`f~-<vsfLh{rbipcpJt>D`x38| zprIP#>7!RFnzN8sDBG^wL#u7nqnn6c*9kW&s5L#oCf@_e+}PyOidxExx_Tp5Dh_00 zqkVkA#k?g4PG6oDWcv|Xct=Q9N%$Wi=bI~*(KYiYPhZxO)~QUBmyGEH(C1-Qg@D*V zvH=uYiZoGCHc|vZ+o1K&h?g<5qN)ml@=9p6P@ZXuZyfnphNSz4$#e%E`b7T9r_Z3% z*ks+huar|C4L`_B>ORArpVrcn?q5j*xC{3Oa#A|2U(a4lH~H|IX^HlWHz$j><Tc2N zo3dCwIoBN0nFnZ$)=ys+fXv%V>E<Bp=2>i*snVVM!+<`=_`FYR$#lhjENKMLs`Tj8 zB^+%+P6K)(sC0y=Vae)lf@Ywshu`$|WGs!OtEbfhO0|V*;MnN=Z8je@jX=(L%8PiG z!peB*02wUq;fARC=;4iHJKXU4ccf);pol9i^HLlOj>s|rw*Xwj4XBSBP<aLnXi4(s zqGdFeQT|Ls%Ol<!LGhntuoQ)>oBi*)aftixRE@q?p%J(w$_h~UugU)_5e&<zTWmhb zgvsxee8ECAArl~FJh&LKRj(v$Eq<Hd&djfI6XV=C5+$sXgnK4obx$@2diAS&eJJ>a z_fBgeC=Nhv<=JiJp?Uf~f3x3hYwQJrVJ{FCJHJqC$w+$j;{`}q|3=W$2EPcz`~D&j ziNjy|urB(LE<jR8f5Ad$$zO=?AD-~=9ALNgXUn52tco53n;8GMRJl)yrOGo5`;-Vq zAR|zstvOZk>wlXP@em5;1}O2LK`5RQ@eqoqL_CDzDG?8$|0X5kArwc6tN#Q-@sx;% z&^b)iTnYg~@z95d(0>Ml_;@_?{oAw&z!^~PA5kT`QEnB-dEIQoY1whkSpG}ouMrAr ztj7C$>86#0&-LN>&(f;o%A~1vjM;NUKzGCCrnlKY@CgDnVjy8{@{G(8j5wAy_$zQ2 zN<6*}FqA|Bi=kH{4Pq6Iu5Dv6RLKJ6J|<;M4pCO1+$WE39*8&sOPo+vIJ-|C-#kY4 z-4x0SEc@iq?16|UM4whxxJYnYG<%HfyJ?jbc=xqIbb|7d@A0GZRGvt7HhKbVt{Y1) z?!#sPI~y~>O=BX>+d?2#6gwM~;1)EIw%m6Iv$I_wxHV0rv-aI+b~Y}8+ulTa5xC`E z9ZH1AC{dx_5MZ;Ok2#D0n=;B&sBnV2kB_-<->pK0iX^ya_?Wx*-KtcmXo7p2k9lL? ztwx24CAg1YWu|@9D$4p<s*N~48KS6806%0nN!U>3yMjY05SbfPsJDc0&a2E?ARNoo zGB>GEi3GRkRc42Mw+0m|nc!Bs${e=uzD0$4PjG8rWiG^x`MjN&B^9E0n=ocxcz`JZ zHsgW&4#8a(9^gc9v!p>3H3{zO@BrWa=>H|Z|F2M(OQ`zp3!2Al`hKiwP<c%_xt<?! znuSyD$oeD5P->w4RpduBoer0j^;OD`)tT)m13-@-<l@@}vjElP5(l;`WSp%5^q7if z`gqps)^PG8jCwnz%q`ZqM|3y2gc9$*c=L$uh9B#DsJsT8T-}fOy@hD*h&>Qb2^74F zOhnTub4l@CrA(~OY(p6UdVD7r-zJE<Ysm)0+Y|!M)&P1;Kr<oFdZ`be2jXp%GU}|% zkLa#(3B3Z9KVp;iV@-z2tHH^o{D_k+wsS_-O&~)_f$Mz8Xf&M|m((&JWps6BE6M=S zW0YKctDxmw%aI)7z*dE)XKMgGMxdF@&Uz^iC!1i@TPbCfS>sITu5bxG1eKex@%phQ zLgkg<<d^-36D>+|M(lz3yTAfIBpOY}#U+))M~SY^Y(W_SdJK_^ZxOt4*YYh8Z&6S? zTLb7Z2+gE&)=P2tJP>c8lu=}5HlbtX5|RUzo3OF?vA%`ME5ON_{fOUMIDyKIAwvm) z_IyYrnvNc<IUgmmI<pyNkO1}dtA_H+%fXSpXdd3Pp<Q&;TtcwBl?BGy`c@h_Bgw{) zgm}P#mqBnm)Yl6eECWY+qIp<Aa3wTvI1cLj8tc6VN4`SyoW)9zatWQedr-?bk_3<@ zgiDVNOPVJEw*&h9R!}jv*mL<CWtHUcP&oW?jRc&0&zJNuER}wXQ)=c4?0w-|+rDgK zi>89uG0UFIO_Wul!}{THlNu2?`MfWw2`qtrno}z63M{_R!!|P8!=mv#L|a^>TI&Uk zk+x{Uq|??6rd5{_G<)ZN#`b#WWxJ83+V&@N<Zrw(zXVXw3yXqY#lwItvE=dukV_Sg zTt?7<T=D_AP~cc32um(~fLs800&-C`+b0)*CIEZ>Lvjl%pwiL6{b+76fT4F7@Y-)Y zI?c_>BUm1CjQfU}9b$QSwiR+^r;z`_*zot<4Po%?Qdsxlfsh51D$yQKr-iiJ^-wKI z!-9H_is?n0Nb=Y$!!v5MTpPqcFKu8=6xQSfCb!nKAhYD?SI$`8+l!Fzx|to=+z^nP zJVI4b{@}x~2T{m{Y`3op7!Ehr(!1a}CwQdI8M}Dnw)lbVx^`g|61C7QFfw%(HvI!O zeV45|Eq2wNX5+Z_na?ln(cdd+6b(vF>VoHq{K&@=9+zVdaDVOuWPM_!$N#~|m8V|E z@BGku;WyGlhPA?uQv>V7Xpg@xuu_h9eh249d&sa>;qg#lofzx!zYi=7rv~q|>U{)6 zfq(e$I#}V1AKF`)K2AqqQ9m{v(XkRXm*L4f-(<xPg*HCjh=O)mf843XTPt|0;tL3l zOfl_<$*VmWU^*5bcKzXwq7NsL;zQV*6zz_fEa282(+|6DxC6nu4Pn74N*ysDfm>;e zCG0w42jdOg2v|UhXh+O@;1(SldUM@i$IDwwa`x!OG1dS*B}j&r_LiRa28s5m1jTJ! zC;8Q@YdAcnuM$mydv3hyIl~e|ax%mwvOvW81lU2?6<wnJu8G@kuuBC%YnKW(7&Nil z5u39Y;mO;x7r|}?8oOKJQvQ|lqHFnABe&1EN3??(;I<je0NWMz`1CYvdKyT7fJ?_d z_1xyVgxl;WxluXou!)%sN>VkSe`e-vpj<mqD1=x(LH)XZOhK~q$+(vz0vYD#2{(A? z=(!p59<+BTNo7-5n0zxmdBA$WHk#tHY=d0YJN0ZanH{);NR#KA%7?5&Eg9Vm@w)=t zSbGR-7hr8WoPE#;*cDhiA7`^*?OLq8hO_VYnagNz4!MBw1}kPnS@oYiFQ>4WB;B56 zo^m~!4cb8pDF%}Z3MdB|-0NpHZ#Z50{F*<wN0QqNv{1nExIb14)DRU}h=7gqPtF!% zWnxFd`c@8a+<xUV=W}Us_HymaM{Z0$ueQCec96NhRHB`;dRfSip{=3!PL9WU%ctuW zKOCq2PTe`$YY+f|X}@Ul+qius_=ltsPQQx#V?%xo)6J4H!NJ-$5leo)KB-GU^i(=` z6A%T@He;}&_Ri0N=#A~6W6oB`d8-PK$J|@~Kyv;whGd-5$*d)xC6<`uP-NYFq4J=& z+hT;TIjnSC&iT^8>*l;Ag)`CjRzPquW?~HGXx0ION7%7_Cxtp782@_{<FA}qhlaeJ zt&Z{M2vNYYxj%LbBr&m`Q<=Gw&poIFRk%T4Yu&3=IZ9v4mp>_)c<#MOz=ll0<xud* zzl)D4+oLCD!s$pKx(@sp+B?P%zDrJ+iXSrjYDgCxAW{Cz(lAL|Xwb8Z*Ol$g7OyLN zK0-;r^@p?Gc!daae#Dr{8*`aC5AcVAM3@EgLI)srOCffZ!ms-w<@Ks&i3w5W#B=Tr zDuaiYPJD5!&=g^W%p1>-c{$2RTr;EyX;Q0W{$8`z4}Nh)Ode~iZ;;!|I|?*;s&P|g zdw#v+1<r?h*?~Qe72eA}$xa~!bO&9+?Ekw12CL@*xE3WeTzn+bGfIPa_?do|1$Qf9 zs{waUrcQ73n8A1Y*?#ao_^{m*`C>YEC9{GA9Yn#z$64c0gMjW6b~!%BsRwfDXDyHO zmi|E62L2c-&P&iiN{tEhHN$wd-8yY^>Mqw3Q^P*l$QReT@zP<J0^Fxnk8y)~f2Mze zjuYwOOu%I&3gWW7@mZ%{i}c98f-m$S`5E1hTfja82~RiPNZ+S8HSoAN^7vC&InIl} zhj5225y#D8#kj+)2wyW;A?^?raoh}+k2}QQLN@Z?*Nkj)HcpuuTd`<A1Ve6|LLfeS z?VO{Z1wWd=t>ZasJPvLg@{b8R;Kk0M)s2b%3Ajn%?-Lp*m(w38$VW7}MWCjPsjGkH zMb`_k<XO|tRw~12TN`xkb-RRqs;qxN@(+6UL4bhxEyu|yBHJ80t8Y<1`^{;X2XDJl zgWm`v8#xCnIn>yCYjeI@Z6jS*d8+B~iW3#v?n>&1)y{P9mVB})r?bmiPok>lNmg<q z{dL#UBFWsu6F#(dEw~QHMVcRSL9ITIG(W$~IlKIF?FFs-$YA5(^(^OvFHZMLHyxC` zV)nG#%68PphAYgo3eMHcla7vX#x`Q=AZnKe{iqH$mfuGluqoF8FL&l23(j#6N2bpK zN2e{CDz?*ht{QS+{E~>4WWP<+DDi|%R557$*?6yVM$JyyNa(;b<#Dq}@~36-L5JIP znzU5h?l%?UB*w53mRJc(yo3-|A`&YRiIcd9l{f(;Hg9YIiP!=0z|$IKj`~7}LcdHn za-4y{d;|Gj4GLNafR{B3?TwFKPDj?2sqDc1=(*aE`8S%46;R$y7cW`xy7|=(&S~_W zm)1GGisjQQ%TW<18oY4&mZ|CoJ}@X;)S;}SEzp)5WX)8__@upY(rfg%HZN&N{nRXl z4>4QB4*~f;zbllcf_KWUV25v<*kZ{dUdi(WuNyiPAk|uKkP4(uYHuJtL8@@V{A*1% z@LK95AR~T=x>18aHD8)@|J8Ga-&2+dRj<u+voLMoU?z#AWtflQ#gO)1M$oEs(JD^K zFzea$XBHw10)|SFxoz&KNzCL`f0#F<W{Qgpv@Q7;3MRH_mi9A~COhR{6F*2>9ZVGQ zC1l>ZR{ncDjq=dodCF$8oua1g+s-4@+g03a&j;`6vNZ}w4GG8&ZI8!WF9p5zuCh5> z&T74L@RZjEQHEa_%R;_*zMqR}uk)kgscXI8jq(cpP4{>u4x9Q^ZR9;$S$ab$u~(Sv zyp>R2^W3MZ*kZd(O{MYRRAfmcQSM^DAoKU_p7W&1jwZpXMATk(!yax+j}tg!=iW72 z9$e0C{v{wMlF0dqwSWqVU^)NSgH!j<a&buNp6kcnX6b%eZbhMTb&zkJa{o06jlegj zHO_c}E5a$~QziR?)PT+J2g`z4WZ;A0f-Hr4BR6dA*tb<*SuDVXtThfSP{;_>)?$NU z?+z|XY6ySuC7&vA4KF6h4zYZywloYZh<sjWn4_T0*7e{cCuJ!9O;sw3MW7qviZ<H- z{>H!!Y7GYElsfIDC5_918{x97@mZ6;XS{rfBN{_w^$GU`iv<Ocpl;CM1!@#-Y*(o3 zHDI{I5nk##jf=R$x4hI}HP~^72wrN81`9rxm%3Ji0Uvvrx<=z{D$8ZkWKPN@idvpT z;x0}wBzQt`UM^@|z*}ZHC}qw)<yH**umt+6^Tn&KORH@ak?pDi2ZqMyDem-)r&Dk% z3P@l!_<r8R@cIo>6uE@?>zWL25dEaWfX5`dJK{p%u}CKdI9h9Q4t}g76zd48<@KZA z6L_Qznq24H`)|ceACc&AUPvmE*dA<iecEHWwb-8BD^M=JUT!A7yIm)b{miHFCPt^H zMVDgA*L&S1lp@N@oi{dD>{QLnT~w0fL#>x|^9R<+TwQjTf|9ry->Pi7D!Fz=s&VbD z>oPcR51NZRZ!aV?l|8dwTG1`Dx84=Gzqh&BkR4+dE$+CpZO(1BYqc3s<a&PZ%ijFn z+G_a|%Ecsk@HtqE3F(ZkyJ5{9yUu$KOWW<#`P?zPJ6i&KIqExPt$R!3M%>Y5B|Axb zPP@ZP)O#ELgXP;MWh<@YgZ<)#J1a5K<>7nl-59pLobGUWb6pAWL3%MQ5?{B+{dEV# zw-&qi2EXPmC!aX<V{6yjSd}UFm_K?JdX(zi(~zTC4F;+d2dP>P#s$p5wx&gFr75$d z9$lim(CEbAzvCCQ5e&c2aw6}ZN$eN#?NX9=SLyZSSw(wBW8ve(u37E}EK`<DOJml` zD;C+!D(Ly3Pgf4-_t1{9z)0#U%!%TKPMkma@RU#B>J6gGH->8YL3%V0jW5;p)Mc|G z=z|*eVgbzN3N06OW;^6F7T(uAD$UIrh8sB)DnAiSpP5@cMzr<#%bdoH*%z}rru}0+ z7cENCrg*;(-t+uQ`u<d?=a`Ou6-lLRR=RfZp%~v9aVpU_Pjh9hCzxN~3%$?R`qVH% z^MvNO=6GlN1C#p?eUBYnsaW#lnh5qD*MG<8wPzS1-+7_H^{M?tZNPi^dsh^x8dC(U z70_!fqJ`J4pYG<TB^~L0+bGYyj)n^v1TR69>>0)1A^NYHeT8I-mlU-b-Pz#2e?nyj za!Gw>{tyd#P57zvaXoWtbGq`m&%^rA$u}QI#JxHKwHwaVh@G0hss5#D(fUeN-@+IZ zKLg}Q8}oH}D%$HSK0j^KLtRa#<#fWyP9%4Vys5KCSe~#X4S(#}MSjkt%d+_DcJ5{G zN<UHPD^}f+RdpX@))4Xp6}9D4e$e9`lsdzDe0eE?=U;cOuV1-$N9wc9_Jiv*cPNj% z%vIHtlDHKeYlo3~DSB#j{y^fp17qw1ic91e83yGvg{q^n-5$>+MtFt74tW;}x%C(x zow_4sVJTkg|AWlO;D(IzJ@L}~q7N&=Gh!>^Mw^39#^T!t)ZmARPZ1Fjkq|N6L%}1@ zq)yTwBqF+YjEIN<c&!|)tPP*&A`GoejLdZH_4O=ud33F;ZS-Nb#t73Ww7Pi@4|&bt z(ghXgNAF&c-0SWTz2&Q{V62q$e)C~>Cj3Jpzp#j8*$!p6OvYyH2$%P~o!y?uAf}YT zclNzxsnY`a-n#hIiK7c7(bkC#t7jA0XFuzpKgIJt%}`VMaTntfZ1S9r^o<I85Zl+N z9_N*LMwhJa?KSKAA^YWASAhtq`di=5GNL<z=>u;xRJc#{9z&WE^~e&ray={XSR{2z z>b}(Xg8$p*^#QVs<8#VC>o1qJ`<fR7ug4s4jPzw3^J&=$rFq*=W3ESZ=XKw)4;+Qe zULPD1p_mg)Rk1@^=V>FhnxxNR#5g_&Wbrp9#9sCm*43a^j-MIxmOHN;k3oL-RGXi4 zzE4qu6o3@>vx$~Z(1~c!Pe;fwy@kzme7Jq))8U(<N%zcG)Y|)5Dvz6+iL-SD*p#1N z91iCD4lx;2_*o$C{n(a>0*UT2J#g@NPRW??v6<xb?xT7a6WemPnCj`hY^`n2f9xkE zmd}stKYmJaAm`>anem<vR1HXyn>m#a<voP5+&OMDhK<tFb7rRYUy(U7$TUh(vn=Zv zvPpMZForavNve0Mw6av5%To95nO9!EN$E;mPr`gM_;2>HIkL}QzGs2CUG%Ixm@1{g za&YRK`sw1YKhB(7Y&j`9_9(_te|ST`GSStg!QEx8$t0cnmekFh##?7Qubs)Z&q%dg zTG~`kFU(OBJGu4QhmG=4it?>yw#}oz-&l8o{SDN?4do90(f*Sg3ua<wU`dLpZ>Wd+ z+bMD?`r6fTd#}~QVb>d!8!jo<Thw8=x#3*AZC7}CZ=6@*`l?iqNxRO8sc*{8y3TpM zv6d5li-%90M~&x*K2zlpKOPw#CTgPJ>rjW}dYm~*M0A1UU)<n^dX}aRFnfeS>0M>> zVSe(``E(kDqXJP4a;f=Ae-KNZA5jf2TVcclb($QR-oD!S>(^C$W%nG7&i5*waXhaX zFZEr4@129w*+$2=@eX3?!D$8W?E<QHolYU$9Rz7*e{$XPenIx^ap8~B>p#O&2Lj9; zm{U)1Pcz(K4Kd1{^Q+WrIp?7Gz4gN*t@(?)I}UeJmDX}hHL6!i2JelCm9}0Tthf63 z<^7D;l=J6p!%uD7L$Cd;E@aQaqo4DV6!$ai?s6ZH6`yd|5W3@D;Zx%udu0R`<8!0) z&?eE!jTF?A_3ud+T7-@pbkgj;;?_zyCH{P8&DG_bIU@`5+z(Eu6YJR{UX04_KeqKI z+?i9!UY?&Mb4_{s{^$>cFkC8|Ymo6`<KlsbQfI4{jM0q=&3*ptCO-pqnHJ>*8yYJ@ z+f}^Ui(b|F?2Zb#IK4Rf+~U44Ia-UlB%Y{MYIyeQoqN%CPt<gUrJqo3eN{JA=#-8S zxyD`>uGjJH(~XfX<&1-xVTbf?3XZ<%IUsfO+-*{=p6_M0FYmzyB{V9%SmS748@i2u z3l`*l(4ky!$FFIwt#=xFJGJHME8mAT+idk&_gR&u)K8I=d^&mb4gVWg+n0q8ntC4H zcCz%SU|;1%>VM`@+RmgNIGTCFS6Al~PtX-=;Y)A2)WVI=L&r|M!q}%2Vq&!y^Bmr3 zDk>U=2=0*HiCue_i*`#np?R^OQd+-S{Zgi}v88_Fl976PF?k81M7pi#Sk9FnJgq;q znBI}-`LvUzDtgJ7YcVgf_DfG6DgP8Vi#S9o60d`)&fHa}r0aH}h<NBu@o0wB^D*lq z7N^WZw{Hoa%sXFj+SJI~J;abGY3GW<{0lZWN3wL%Qj3H4m5e?Ul}MC4o#{s&5?r7p z38MPRe(ln?#*s0rHv`WF7(UlV__e;h=J}nO?sd@70@9)Hs|%V}C|l>VscQz<PPkVc zE4VVt9J#*3qx9MMMS=Tk6FN3~@&VNvJ)RlKQ`b<>COQ3v<V~a3hr4+qx6KashHdu^ zL4(e}w6JGP{6yX|aUy}-j|iUa`Z{TVOWfnsbJciE;pvBWUc7Ac(`SPfkg=!4*_=wG z8aXRxKcmc`H}%D2qN)1+@m4KSKh$@Dd*&^6>^4cEMqAepK5O`PHYk`=@KxN614&Jf z%ks}xGhalv7mQWBNSH#NwltbL|256nQvGA>NUC(2eb~ZDy~iIIkNp_X`SDt2`eG=* zJ$Dp@^|H52XYmzDvL`=J-*_w?nSJ7(1#fQKCR;7dMajCZ^Qn)VCzWVUbJIW+xYUh< zzscCurHhWpc$J<0z!eZn)!B10>xz!(U1Vd#DIuO{(w9$_!@phN?dR8&vQ6|V8lq+4 zcWY?zK~k_}rEch+Fz?i#&;D{O^NN(H^Siv4xiXqprvqH?dY>)SRSc8xiKi#AP_8iL zh`S=TZB{+`uuz6OJmG+Beo}#%_a@H?t%wd%=sD}0+Zj=l%o_rc_oRP3io9@<*{Kdr z4yTjK6Yn5vN6vRQs^|&_NzZ(=$Sgi@%eRoh@|2a`)13S2<5$ta!z7wzvYk;><C?;0 zY9c|E#|o*Qcnu7Hig;4c&b!_cQr}HnZked%;#=LkR{dSM8MYa15qLWw@<NNaJCDl% zqTn0C`&?7YYOKbiwVT)PR{ba<DXm9&Req(rFGK}xKz|ToW`1PMrIja}s1p))Jt3ow z)UeH)s1<rUHVQ*2ceU8LS~E=A-7t$B?(#9ho8_Au3A=vX#+f@9je8$>M5q#N^Uto- zaMPeGd5-ISwt8D2C^;k9jW}$y&Z#Mvt@9w_w6W3qWp+~Io0|^`l^|aoZq?G4j__2n zwY~VTET!?`fym8w9rw!*>85M><-NOLDe2w7WZ5`n^BU#F7nkv3Mk!2YBUfGIT8tdz z*2B+9FJCB^^c{o3St1s{^cd*~wZ6S+nS3u$;Qs(>K$X9^G~1c2o=lpZVzK1~j^o5v zn82AOt*%50yf-;;a@GaE(Y2&4OPqtvhErakm`d~jxQ2MLUG-i&)3xJT>|pk&Bp=@? zyow7}>Hk9TwKLUwOEA^q1-<PASz=P)6nov<GaL8T{5q36#aB(SF9|D&^Q<&01+fwJ zz7_Ru&+_ZTuyR`0uH?=txHH{K@4^Hy$YUJ-erGnnLO(Fucdsua;C_~*a2r4zq+U1) zhTvJ5v1@0-MO#|59cR$vWr#R6`=2S|P3)ehD|xaC?o4Qgk=ek!kA~ss@s%GtN}S2b zN82AO*skQoCismrtuSxl==S)hF>6(-x~L3M<ikeh+<1DuD{1db(5};_D!B0wwy()= zT<L~YaAzv;eG>iaA1m07bigXMBN?!Y?WmY%*t_QQtdQ9goFf7ubLd}mFD@?Noc@Uj zSXMm2mlZ+8aN3+({lCwRqY`fw+fjwLitVV5fA|MbO9KQH0000803chEOYjK+GRI~C z0N;%P02crN0CRbBbY*Q{WMy+{XKr73VqtP$FfMp*)Vc>$Q_c7HE4_m>>7Y~r0R;(C zLlcl9ASfy&3JM}sK<Noc6Qm<ex=0f>BGOCfMWl%ImH?rbgqjdSxWUJ#{eJ%6b?>|G zyVku~hsoZb`JOgspUj>aZae$jl2f><d6xLGzkl)K$+xVXoSe@@av(*TaA$f!*XNtt zdS`lUEcxYnvLM=t-$uG@{9VQclP+MM^?yOCF?HP(I!a7G`P@gjV$xFTBj{|ZAF9pF zPnH6ON+ot0fVK8ZhlRNImt8N8A5z{NDgEl8y71M(`q@H-ag8hd+74tXTa4#w11$dN zYB}uuzCjJdZr-&BXong3pw+n<h*K%AHAilasvV~s88<F06OMK~h97E3(=8B+_^Y46 z7C_H%E|XR3{Aym)TE=QCZ)L3T7C@z^9)rklO9B(qD)n^@HJ*3`lGlJ&_1W&?%4Wj! zd|lP%H&F*JdA%H5bdOBV)Yfv}R%>1Pe3EA@|0HC7b2jU!Jj0uDbEdk^^O48)53wTE zg<7Z5?r!6Z%<Z=^2gkMq(Bg3`9+G)1Q%AZY{|uVvpS)R-mWgYVWHP)Co<n{S<E_R; zb$44BU2hEV_`2YE*#VkuNZFiO*jXr(rUHI;xQ^{h=+#m#pU#MNovCb)pPm|<s&(<+ zLF|q>+jm<&EFZf705~WJ%&39`9BONPcSgL{y83uG8y_tVbRh3T%IAte2VcZgR>Um~ zuTLuZU@4O1Dhrj%v*vXbn2a_(9(b-{M|KOd<};LanQUNB$Yk3Yl6G{zEqX19+R5yW z^~Q8J3VGH)UEc52;<+`Qm3mFDxAcm4IyawM@iUK(&s`5bzZ-jQHC$U$cZWW`#i1o= zWx~L=li6@{w=1MGwlCIA#V<HYcany)s?yhsf8}88O;31iFKFAhd?!{B_Mp7Aj>>y5 z*;#7o?1KUW@LaobT?={kvzy0vy`X~);hV%pdx*LF6uQTdq-^E+)H|!P65#gQl~1*? z=IQRf#$!M8r3MEl&k4uOsiVTI{GF|;8u{s&tg3*OgkH-kMil?V`dnMK@KDwB$)DTP z*z(x7p3fd-*DaU!c$GC9YDAxAeG%FAs?EG@sO@sw7X`yUe!1Ii_w}?j1Zi1J<6k`2 zW)psMmYE{*ydF8ld11|J`pDBP=Y%!&LgT5=F>4BjYMWdM)+T3R#-3+k*3&-A{L?ux zhJ1vX6STEim}~f1n6GL7a;}lf-%NawsQpU#jWDywsG*=fi|HT!bBT|7+E)c7SWFXM zL}{B(orx^|!`B!d5`H7fEFW2XP1{KDF^j3^+8=&y2sg|3_!r^YmxbS)V`hkaq^I4w zb>f>Oq-cK;ej~yx75V6zwt?VXmhXu#QiOz=J#D`{w`<artaf(iIwyLXHBvmX<V8uO z;|mSbFGJUw=_7N8bsnvrc}*22%AUt8F*F^9iyff7URwU?LJ_f&-Rcnq$KB?zTkd(k zOhw+GE=>U2f7LF@=}A@(&`N)KZh-XDBmaQV{N>VpKm>eu{9CI3v8JsfvN*mt;Zb~3 zx_)lZ-up|YXEh?og#}qSeVe+-boeiB2PN2*G7H6V`nGim4F@OaMyuE&fB9tzEJ8Au zwtp6h675|E(e-VA6lHz1LePube-_2;(e*7|k|#x36t@uc()QoQ>(}jFPSN!pU0W|G zh1@P~|EoC97+v4oRi^$jp^DS@KMI53`^XSqSe<~^5hV)+%X4jYK|xbN({rZKx!0TD zT=^p|8FOf}=~1(gM843b`EVxwj2@MquAW^W`&-k8WGl_X%bP6>##(~3!sL-b+S<1K z3tT;u0VxXA^Hnv$+QFfQeOWQ7MszzWNZQm3DmPSQeID#~4GKxdy;uFb)pd}3HrZx< zu7s`XZP5*tWTIhQ;3Ls)CZ4UoW$t&M()c0ToDzdZe3^gpeO5oBl=tJa>W*3G=wA1Z zjOJWr$o_L*2)uEjgU7qD)PL0BTVY^2@%PB^dd=c$cX~+8kQ&#Wn|0ql2RD4vr^;ct zWVES5|0rEQ4D}iR*5M$sGc8>p2Nnsd<EpdV(yGZYuHAm^wB#Wj>N~R}(i%Z8AQzB6 zTS^a-IoOGHo~eI&IBRF=sR8)lCBP8V-6GU36r!sWcR}^@cGrXuPn<KW@8%0H+Nh!% zQ;8>+AN8+0S_$J)Np3ddnrc-|&NCCA?+9Tv@v0u2m53<i`}pL_lJ*74E#EG7={r7e zeIB5@mJ<qeZ}H#pe=wi0!SH_Yr8V(TDZBr1Okyj^d_$DbXMaWTZ<c)VXBhHvzXG*d zaZhd?W*og@<xds}kV*2qWyt+8><-B-NoL{sD|Z-@_Q!Xuf5=u(qrM#u-^;#pT{?8n zuk6Np#vb!-?}x-&vo#@C17{VlxOK7n{(N5KpV6xq>XqoAeJ!PC^-Ar36mmXKDWQFc zVXk_md<%!&)o7cn@1^Cts}~xS44{33rRCeJ@9UK$p?zOV%lB8`Hz+wl`zA`uw^p6& zm3W|i9i`=atIiEdme4-Hup+l*V5-A)Ug;~D79d5}+x2A<Gq>5@-bX3iYUe4Pi@CYg z@y^HY=Ko2YStikUo895P{zo;=(q^9j&F!51+Ru3K3m6ai5%c`d?Ts18YxU*z!0#nc zuT{w`oPJT`U<uS?wKNkaQPlXg1o~{XGz<5*sBxkM`efB96USZD*iiy~y6TjLyIZ8@ zFmb1mPUoZhf5+VVW|80u8!;TJMO6Re?TIQHq?7ZJ2q}`Sj_{YL^KK6COi}zhIHvI0 zRu^kIoOHH~)<*eNIl#>IaW*MHhh4Rros76*3Df+lD!!*9Sk(=rvyYZiO_y)TB;U#d zJ!v7NtZnKOK)qd9;?<Ae1xFtxIDP4oml!KXawl8mCpwvX#85h4MshRM=xBZX9`AJ7 zHviJ-qi^!uo-rL(`67V3i`-J4F)1}VJy!YbfV&IaA3S1i)##L3<;w%^E^&YGj2WrX zS+mM#08AjcT|8m{H98qq`BH$1MQ#_*n93TR$(#8OG4O6<X{0iRwc_Hh7qxqi!a=9I zH##wcOGH6V-_@Qi^Ox~z>f8C6bW`^~4G+0|q`gk_pT-~i{GZ(Up8czD-_EC}o65@N zKOpY&Et<rkBR+#m%hjH~CjAo9z9UG^uB$itoPu2V)HhBKIlHEx^lu5`C;eOE{^yQF zN$Wj(FWIkFI>|l7zRu*FJm=&9n)c42-^QrZ%Q*8I(i~;%CtjxS+ZHykOi0RYB&Y9x zHS~X2*g!i`D!XwregB=I|INY%?uk;ljp6kDRYU)$g$<MwPO=;F>HDt@{f!D6&P+JT zZB(T1PZ;`t0DjT&W|V#=7Zq?lp*O+#de>r`^Y!%JlFy1y0Y%-5O}47F@Hqj&@g$)G zyU${}zuUwl#U2=6@5;`Mhf4W-xEpaJk+Pq4U)4lQq?#_tzP9SX8)hqZrJ0Kn=*~tw zW85^{P~fX{olc)xBaceU@U&S+Z*FB7B>i}3K&6v%;1v|!zhJEFrIUIX&v$tNcs#b1 zOtJwMGdX|6NlA!p4L@9Cw06vqslVIy;i_!Ki{ZJQ#96KIxR=nJJ+Y@~Nj5tBh0kyu z0RjMrW``-1y_<hOY@Fl;eCr`i@aeXa^rRrXjT}grzEiuCaNj58#^uY<7lA^-bU+WK zfP}$<C%#;J$moYceCsc#eyrsE$lH0`PdaiHRbwI0KYWpwJjtNCX@5X%5osJ@^QB>X z1KXf7ypUbP;5$DTzcRyp*={EzI%xsvTK)vXQw!gu<nT_mSWn>C?<yU9Ro2PA0XT=b z<N3W-6EkyIzvIBOBGu9;)N{s#rE+s>@^G=lVEbKd$j-rvOUHwozN0bzPDeu50~p~- zUXN<4$1=)4jOTS98Go8`q^iw9DGnS3^apP)`7?R};hwpN2iauP{TSY5aN|<Y2ZNo_ znmJ{w;f>jK;{<{pj&(36jqqy4IGHfCVhr(_JW4Qs`Sz~xuwcoVYZZF$uHKMJeEbt> zz7zSgz_Gt6^v~h|F6mfm3HMfax~20^r>(>N-@AU4F?}y}POS0`yV$LDawj@R?(QS- zpw$?~y!<kvOlb0e!dy0>3vWL9=zc{LjwzE5Q0qJ$C>rVfBQU?G|GWoRVh?pqbXw1O zPp+HNLZx<lMwk!cANEV#u8<0~k=qJh61l{FX)ox`$LS`vHtI{kX?lj&46hm*`3wA& zC300>ok;#7>1Z+d@vZf>y2NMJk3r6>?o3rihwAvvH2@g%lm~WL8v_rV?m#uV%Jld6 zc#7+hPg&8jgs@cG-JB@1mDK-$P|&q-cxq^_H<T|x%{E5HF~fhG*lSsNJ!=kYjcg5T zkM(hSEa^46rK9~{$@%-n>gs!+Uc^(+%KkI|rY0uj8nfgq-;XYKrfK|2zJ$J?y%fE- zxKcJBqHs&q^z0?`^1H6~S7v1xZ>&e_XPK7BNj%jl=qn!LN><9h;b6Y{3qJcD{H0`9 z{sTs3nU<X>+xv>gPN1!W`T8&TlnAS16qqW@+E(K|ea+T3q%(#CBM7~tjo&xzo?6&? z3E293#n~n(@V+j6MN@(Djp62ssd{*akFO+O=xG45x_9II=;tb3U!|{Wag%E!+KVHt z`)He{nS=Yi9~j2xHxZk2F+<CIJtGNO<3=H<TPV{vw=FeCQmCB_+A2i8R*1Z-5LvAd zd0ipm2ijHL5gEC8&dIp;J=AXFT55%u^+>kY9sj|H|8z#PV?XV`ji?Y)?TSo}uMlbB zzcFG{RlT3tS+S%7SnGRaWsytV?poy9Sln}o-{8*bapQb1eiD#Q2B8%qa}z8J6D-3M zEPE3yy%Q`u4V|hO>9UWsC+7*|J2|=P%IE#JJrhaN|8yGny+VoSB>K-vy-^oOvUjTY z1KKN=dccp}Q5WZGNf&BKhiggqYDt|!GC@C7ff?3#iDnwML{@RO>u*g|5;PYg+1~Br zZ@*8QvV%^=G?c$oT}x5*Y|XCm*R@8aPYzOmz*ilfNR?BZ$tlQ*>qjl`X2u!YbbTfn z2lpV#=01ZNm}ds1$bH{eJF8c^JDpBt@J+e<(sf+6{{};{W#!jY5tj-}t&I_rI{Dqh zjF;%0;C5(+Rj2^#16kozW)H4Qsiupv@2qr;xL*IrQ{?+sQ9Q4Sjc!_cNiV~t_5hnU z+d~g}=o6JA-5Q483hFlqXW~+`$I7-^aH;L=xV?ln=C{5PE)*<W1f8EaYZp!o`(FdI zPX4$9bdHox@0?JqD=Al5&sE#X<@DRPO4hIXQ2C9wZ@D72hYnqnRISdp^ZVY9tFP9z zsdy1G*Q0FlAg*37<LmdBPkmh5L9Ao3S&uXnzdxVVrt4spN>jOfh?!9TaPkPvoeYK~ za|ZbR-+UZspSji1!&QxI$b6w#YIF@C=A(FXKTX2_TT?n%?K+F}<Y9tqC8OV$wBwvi zMFThHS{~L??MsGT7n#E&uNb~+)s{CLYSorA{02`nOo`M8r_WC??Yqd$r6bh(=mBR8 z(Y=3JHd-hAJADRC>!X&7-2Nf8Di1gfc>!DzMiUv`3+J8$^^km|tma3|mVHRi$WpAY z>+mk{4Okh6E4;3(QyTg(pNQw3T*xWoy|c4;hKX@%Fd{Ao?%k<2bFjOba**MZ9`T@~ zM@7P;lMr$G3?O)T3AMz_1tDyjP?)ut-;<ENDSPwMO}XKV!;H?CdzhT_;&scipYO4~ zFS@5nu_k`oxY>_(#OxD)toiM@D+RaXKJnjIZSf1;qh4bk5qcldO8Z{yQ#OLP%a3%8 z=EQ9JX++q)crW%jyUXv58OK`WAEqGDh~T+!$GF>1f5hx__Fzf&-mCXT4^&0g#P2YT z+LUES?U}zXvQ=eYE6F@_$GF{3V#KVB|KmG*Rr$4&JI1|!k4MbPvnObt?+v{#vR4hT zYW3qD`SB?`eDCu6qWh{0YmS-Kw~br<s!e+Q?vDKUoSm}w<$cjZRjD<{JH~6FU;S<| zwcPfd?$D)i<9yI6E@DVgC}<{P#%?BW#$YBKZ(%0R8?}el7p>={?k{RFOGFo+;pc1# zH1KI{vLtyT>{oD`$&E8qfxd-@a+V<k9j@Widba+)d&}HU=eg(EwSVB#GZ(4dI5R!J z{wY3biGSB$;d}m%gXtIH5^e7hV_vlIT)3!mv*7)ZwC9{^62$K2-2b;HQCis_9;U3U zW0zCRZD}JU(pU;f%@RryZO^}8wk5rk!1=M}=S|uBq%gYj1rYj{FNhSn7e5!jFL9AQ zT_XKm+Pn0}X|}4oC7<}8=$HK~zI1OhMX=xQf3!1T)~0Q%Z!2N@*p}ONqIr~b5JMB* zZJYV(iEMPzlgta9-H$V^R0E$l`S~m@BP2b<txubtJ*RgvWg^1D&LYpkz#^p``-DbE z+MHB~BiibsM{^g&pT?!=paiODm4S2rm|Y?I_mE?c+q#5w_%ChiJ-zqm?8=Gn;r#a3 zj0&-MtN&}R<)rX<U;b-GrS#O(Tk#!t@`88W*W9sV%^cB13_`yqHQs2y(X{p1KLa{d z*Yo0hn)n|Lm6n(mmG(L<JnhU?lOSSG-V^`gyK^OWdonGTg*lQXl9r~Hl7Xd_AKzkE zZt76xrJ)@hdX_o<h2ASYV*a3aS??Z_GxZ))Q{-PacVh6iQgiyAN4z+-LcPK=$o|$z zPa)ow%JS1npHW?BtaRg5#kaI^lDsG5WvhS8A?Utl{d{GxO>#+(RrfW~NDz&^{N!z< zkP)xrb)V)0K<%Y^L|T+#vf)j`VMBRC2C>QU&6m$~Bz1JzzWpn0GSs4v%smyRO`Up< zIlo5ylAcNA3++hlFzp!akTw&9R5?MMU+9gxW<0f?wy?gigfL}-grC<dRi&@|d=KMd zKkMp_=jX3~H{D-8@Ba&n{2u?MM*bfErB?Yp{!^X!d;FJr`}g=ywf^t%U+V7P<G<9t zzsLU%ZhrSS`2SNE_+PmDC*J;fY!#)bp9e|&PptnZ{{DOXm)h_5_)qoK-{Zg3%fH8e zs@Z<F;D6!2)Ng)||5ES%z6JjW^&j}Z)c-r}{hMPikLBmv#l^;|Dk<&%+d1!Za-&f{ zTkFlwCSU$}>3+X3#qaT7YQ*pHU+SMv`M>d>>gT`5f2q;`sc!yx%75d3ANzOr|3AgV z|8S81x7tKSiT0=ZpV#g0HMF%{JpbLaGe198SC=m?R*9eQsfyC7&z}|h|Lf|`pAqm! z_3xtL|6W}FBZ~j$8~Hc3_VGH?uXkHz?@79ZjyP9A%XxO)5|3B!bV`OZYgDJxr&Yk} zgDU^%l)v0nzk8PNEZ@19y_@km$qa8ToJRNO=Hdn^-ck&b3^EUjyp`1Zv6J^l|6Q6b z$G4ZcQoLlStLmV%s`$gSKRwp3&uhrD174BNKcnxxsk1^lNV@W84@b4pDxcBvpPQD# zG#j*QwcF{4+3(RBcI4ii@k*Zy)qf4F7uzX9uJVTez0~#h>esKH`5$BLlf^IcFxH=I zU2%ecr)npLMvU&SG;QtNuT<@4&SqjJ5_$fb_O+8l&7=2c*7k>AJV(sZgx?4<v!5ht z?JhsFwiDmn=x3Ie^y0PlABkJl^`AbqQt_`WO-u04#EqAU=##TM{+*@$mAENtvV3o$ zjU}P`fcV_}Ff>iwP`L19phe8jD6f9MAx0r5{QMSAUWw%+ezw=<p*qDT-W;pSnvz#O z^tF7>lqFXFwbb?3x|aJ-R|IYPU$vS2Xa8L0I%$BPOI?4idhJ{-`dcbqv&h0D_D1uK z&KnI<2Z><PkUFU9|G(C}Hntg4VmA|3mig2F>no-~nikW=wwWM4C1h5uTj(BVILn{j zqAF`WCBy^NR{J?eb7|nBvf4Di+OtN3zZb}UB}v+J33gV`6X=qg{+-wn4SJz_$dLXU z{-nR&nfaS;XH{RE-fWbZ3cOi;_|6@3b9S?|W!fUF4%*XyErrP%q@)|nr4k*mH)-E= zvg|qa$q(W68qoJT2J+zXE9tXR@r?1Y@zUq4HT<x*55w5)@8o(bNB?{=Lpn2LAXo1h zvgvgN-L^Vj@a$aiJ8#WYu$Jeg`s!oE;NHO2o=G)7?WoqQ-+3#hu(dpQRkn{2Eqf;Q z|AaoOY|x0gz1y*!?LWTh7Ckx;ho5hVdbD?7aXhruF2>=&^n-%=pKFIg-_L&6{9k0Z zKBlB^h29$IS;T)lH?3Z4{VZ?meHE@6YOIy|TJvPPv3w)STEEOd{F!*Wbir5wTiq+$ z)En!+px5u<pUT=HL}3%XD{XWv^{-~B4fi5jxhcTYkE9KBuG!-)pwpv!OZ8nwtbNw4 z?IT$Evt{nBZr7}I!&|Tmii)1-kSC@3&b6R|Z+_JhS8}>7fXvDD>ZNZ0j?f!treq89 zyS#YQV}*WbJWz@@)J<49FUzM>cse~+aP9geGP=O}fvIlQ;|r{<njxOUy{tXj7R%q7 zGs@bT$rzMW-qeNmXnSKD$FUy09jQ)$YOC_u1@6t1TX&vuZ>sQE$IqtNS9Ee#70!=% z4ZLQw{9)>(<ZPt-VNcArQmMOq_8R~Do|kQxvtZ+^(f#2L0T&r$SX*14`e4t3`S@pY z2+inpD`%#o43}O;>)HUpv;u&FsK;C!howrcvqxM*`PTSr3gASN0J3JZTnytE`{0`K zmgJPfVSyNPHmW2mv(n!SyuZo{WX(^yQiGv+rPx^*-Y&<XP`AIoXAGJ&WO}`p{UGqs z5~9z`&k<Lys9gVa?YhLeYQ*boLF?-GhvW`R2P1U1tN_){E1&n>f&Oo2*3p~IS-mUB z=UbG|wh#k#wO$;~0E6v2=W^IP&#{52ud0O#3TP%U<#C3&FRqe6e%#j-<1k%H^B%E1 zS!$Bb|N3yKCR#N0yS;2wjFnEB)v`SjE73a2)!2>RK$6DAsafMHUZp?!YIynKyhTn) z;a79B3N?`118mDu#<y-q*}bZC+@#@=%c5ZAkzIi2&Pe@>_fpIwDYxPJHI>>GmD&xJ z+96Cey+GNjvBUed;!Dp>`xcw+bSpUknBiBoW!OG+JWF9Fnb6P4ha<7FeBB*Ev(tZ1 zJo6gYmX6D8W$>(gJodm0yfue`(u%Ibe9QfFQR5*`OV%>gPlieFy7_9K`g_E!Zx35f zI#;e|@$L7;W?HXLKP&T|Y@c!A7}_5XDJbP>AgaG^st&A9JVo83Y}k0EoaNu$n6!8- z{VCOdtmkNb;r{-O>{kTq&nOnjt#XGx10vjfD6(GgCDjb2oW5Q@FXOW;E|zt<6R05L z*&M>E){Bkk-HH<f`n7j^&dnF~MYf7PO$WMA<h(`~&PuX^vuBG$0zzhs#PC+F79s%+ zv!$%y*x9Vm92e~C(42?ZiO?KJY;9=HeJmFZ$N`&919HONp#j-p$7w(=SVbDpLo6;d z#}!*c1MEe|(7@J^iUf@oV|ju<4X_=JVFec@AKY&-mL*8i9KW4~isH3fK?Ycwa9B2) zJsg&RCTWEmVm(^n`q<W6$A>K7`Yn_(_DMJ_3vI^$IXm0QNx0V%2f*ir3OvNN(f|k1 zx-8((**MXF^w~I(DQ<#KOU$gXuoVyCK}+&1O4y2<VBYc_UBt>54ov#QNpNlno9!2= zzmMIa0ZySgSQ>}W9IW89Ss4+$Nh|0&_FOnD106yya19$A9w&-VO;RKXln5P%%^s(t zb9e|Kn&b4@<1BOzHvvd<965WOffnE)KxmE=X5mIyCWd317RWs;l$8+J3d=#GxCkMk zkWsXNC?3UdY}x{|!^W`^Tv{QCXg4mxk5I@DbdCt#t`(AvcH<<x424XiuN6JRj?y1L zoP!LbA$nLi7a@-3*s}Fld=_Gd1&ZOJ49BUV$H}wDuhFo}csL7zy%my-j-x-ughIyA z-QwXOS(R&84u+g`v<zpXXA98H2h@V9s#%bxf%T&mX^x|l4~ET;nHXRfW(7FGzAbPC zd{ijR0h>%iywFk>LhP)C7~Z87dJStC4$43)Fu<f|-8jL4Eg%KF6Ah#n&BH=?H>)6u zXK!`W$M%H7GtsH^Fp*gqZm?4e=pw!{6zGQCpn>$Em01YyXT3%7T&=`sTf_j9oHgbG zd$;6V#%G5D?_(QiAXDf=7DC!AMhtJ-DxilI3J0d4BN!m(XPLMN?kxhB@jNt;rjrK@ zBR*ifTC0EowjmstjrOO92+n$+JYtkAz9baxi2XqWYehS-fa_-aMe%@E;C1YWa9}Fh zk{+TqtH49hY;lvtw}-+XVGU?t&FEBCaKkKA7_Za{GQw7b!&1;r^bplq3m!smC^3F{ zXkdeA1y*p_teXg)7>d`i55r+eXafd_!fYxh!KOt9fIkWaJ-|}Yz+JHsG;n)t70azw zU=sR6`0=xrDo%njjer8)n>Cw@FdkYI4w0Va;3mdD+!>1!3;2cx>S2p#A!%slR$^4& zZ#_Og%fU(Lq&b#qJr<s|Zauy{yTn6qpn(tdmWp{}J6vsye2Ov*Sk;Dl3upw^7Y9SD z>S`{}64ffh2S29kUt@cT609%LLNlBco^`(Rvuh{CXrH$RM}025)NAMQ9LNq|28Ivz zmPJA;wiGb_X|9b%_|j<D8+gB$r`sIJ5zmTih}k-Rg93H{8{tb{JDw899`iCNjLNiC z7B-a&k_$if+IcnydK~Zr6;`XLvL$n=aRYO8Iv^X%!2`Ji(_LNMZ-aGVb$1U7TwRRt z8y!H|EsJMs&U0>Z1k)q6cb1;4&<<cN-0bO^+nk#`VJ7led>+z>YVClFZ5eNlESnRm zx8hbX_on@_u<2Wv4UFCNL>9JW3$uo?KVcl)kYjMp$cMbZV+8`qQT7MRQC!(QJlWw< z##Yhpd<&Lp&tGxgc%$>h7Skriqa$Y|j$>Y65VaN!(*iz=hCG6G$`LqlPafb~aYxx( zMHsuU*v@@_;5=$9PG(+a0~6c<FA;F+0M^4<xgk1pZkNINaDit|-gDm3GPnlYs#q~X z{MI0fr%qmViz<fci2t)CoE7F0u#QRU$VtXZ&G&%a9P#5vSoy|POnS$$?VLgk^iZ{E z%ljIBCmLb}JHAZFY=hs!`{Nplx0XZ+H65@jcq%u<W)7-A$U5R5#_q5l^UlMEQ5G?< zvs?WD!t~M1FqV$>_`*C0j<Sn^o!{!eOkg<59>Kn3J*J<BkD=URU`}&TIf79n<kJ=` z3F{OC@q|$U2&pWPm@T&z46%8owk&v#N7{f**c?6l%?{YbEsO$TGZIp}^`i~mkM$QO zICj9KwlMO9#5Q6iT-d@~B4kHG-feZVLfqytVg&IHcp}!H3j%FBezjF43WjZAuH%zp zM%&;&u!q8gYaQ?utU>hgLfi4%ttv4Be+OJ)%lI<kY9y>~3uAz1jfOm#gS21|#R#<X zphRpP*Ktsrz(vAFB&>9c$q>KL0lU1#!E>D32AsjBiV)s(uNv*oM?-w)WG)lVMMB<h zLG|zyNy9w5ot(#C+j4*_@Z8BU1;WipU_VwsgkahMQ`%bMhB(d%IO3gHAhBCa#(1G< zh|3(x7OyiWBS&}|37o_VZhhE7>EZ8m7|da~7-`_+?uJ;y)`u;utv6d7I6tyBCe4Db zVPnHHLX8!sMC<QwWGBuDO*LbCSdQK2jg9b*9mkNi(>KX(|K?wb<rQS_`%FwXIHa-N zoUG{G{bnw$p39~~X`*35!c1a%jnuY}i%hgFRPpR$G_B4zMX_&bCg!Ivo{moQB)w$U zC*uU2u8^)j+MM@=FTpWIaY@4#77D62hy6iMruCCVuO)gzod(|)mp--1xG)PIs?&fL z*#ytz_*pEI>~SxX6+<ai-_DTZ)K^P2<BE^@WM(ynEQ0yXj_GiILv`wI`bWmgGG-|H z2Ch9|E>j_a)(5oRgE;Dv2?hzlsAqEUq}Ws2D(GNj4dOde5fRc=-b|I0LnWXIW(+ug za0h)K>ChCB<PZ!J>n9h`$R<%s#9zjq-}XX(M>--dA&Z(i<8#R4M1Uk}DJtv%ya!Lv zTgc8PfyAO}RNl;1{-*y~6et80Trc2r*%yS?K$;>#x(|bx&c%@n=+E%fEBnfz`H`y4 z{)w1VsH-!4^$Nb^KEl2VXf>pDbADG{5Jm(=&wrhtaVEW<)rVs{7Gd439ONzJ#>B5N z!&ooiYe~F97vdFGQ3`0x&;|(m8lX*)6-}3Fn0%Z(+}t%cDXw=rJ(u$^cE4^zHuY+X zY%025saml{*@w$R!JW>-!rfr|F~X&*^tok%1qlaI8u73@tGOq^g0f1~o3!ejH@QN* z1*wd&4f9k=J!y5q6iK~QjcPSt4PUit4f9m+)R}rxUte?-k`k?t1R!FOBZ%wBg(k_Q zR0?lV4#Y;+hbHSTspisn%ODF888VxgDc-5%Df+3HDYmJs%n%DP2LwNot)5bm#LYOi zS+$$l$H;?v^D1LgL-!s+4XK2_i@fU&@}Q^()KJzl*3kG^Z^MmJ(2Ph}(@_`X`C-!W zDW<c>jQn~829+0hNgtoAc~Fyb5`+?^Nua5hJkUkEA_ba+y5J$B^Orb6yo(5YK1|zH z2-R+Q5JnKfFq462_YpoYAU3#6Q-0UWro%)a6-w8Jn*Uq>r&IO7z5XVucp!y<9)Vot zHU1Lz?6xlP2?{)CItMwW_6o0ty}0d<XzfNlk3h@Slc_z&O(KN4-Gbu;VKi#5@svLF z2T#$LkfTj@-8;>li69CAT`)y}%E3dl2{=5pNz4So93Xf=fxd?HMI?25HW$S&1sRL< zQ|9P^`2&;=6wzErhh~Sw91>`M|3x~LSezmH4w4H|-fbMxf6kaHPRy9h_$-h@Efp_} zRoP}m>~xzobHoc!p}P9foIaesv{=mv723uZcxs<FKmoLWEi1$!!}i1HGN3{(Q&F}S zye&s;z`HP813r`^H{hL@tqK2@103)!$X17E;<T4P)JXd=)$_R6jyY>&yv(i2>#ajD ze~G+TF5)5`I-{Q9-L&J#+F~y`VRb6dccPwQCJR8~Tv^zAFfci|D23+#QWDV%_@c<< zC*(3ij=GkiH0ErO;h9&J-^+t&cw|PGvGGWb#Ls`Co^d7vz&_?|oUt{aJg_K_)|(h= zvZtEJBx|5>Sq#DC8L^J7>t&SZRlV&kMVNj$s5=Akp2(zVV0Yo~sCiO$Oiu##?qbq_ zwZUX|eZN3X;|d53xiIxW+1(!eRvt|6h-5j{On~Z0WEG<YdyY#V02P7-b|p)4NRHT7 zF`Dq8rGu3LPyv`}xAYN&d-q@n6%Ll)Gs}bN97!*angA(qYeQlhuoPVPfW<jD&5_df zwE=kU5#Io`2rRg(Py%N>qFfbIhrGnO47j}otL#>lz-f;}S35Nz5jd#<w?goRUGEY& zeV`VY$u1A3dnCGCH9+88Mw!8waUX^{)sKU43<GZY;LE#AB_O7wXREpzpyU9)UF9xa z&EpsQqnfZJ9P<FM5X`W9ZHOs?K(kkx2fuoBaXIG(jC09>V_O?7fqdB{Foag7FY7p4 z)vk!YaG*IBpx4L_a7svx==DTe=EASK1`$*a?3V+(U2^+H;pBlX9!|@iT?(3@h<e`L z8YE9H)WGHpPGx&<;4p!1StcGDGLth!i(}ia7@&%`)PzI_Fzf~+&kP8Nffxc$`*JOt zbz5kF;-+|UFOiaYa3fdBBbsgY0ji`7O-N#Z;_f*lFU}0vlglLHFi?~r6V|Av7^apL zK(l)vNsjx0e3|E_9-G&H);P5BoZ@q}qyXmKn67iXcL=;ejh7UI2=oV}%Ng-&c`$7? z0h}swrzs<`pTbQi`_xRP3V%Jt0WUfQS>9}!&`;`S#7{L79w6#txy?oV*(nMU5P{u_ zd-@z!71DX=f5nHC%MHZ4fw{7Y+8ovUq?ii8R=0D)Fi)0(K+4UFDia8p1@AmYdlPj% zBlVuAnhW|Vn<cIxQJD&Vmkz)&KnZf%V%=lh3_v?w9DA?Vk@FUtJ~flY19qXzp!4O$ zE4~C3S9vZ)g36m01t$>Z=fMRt%Y9t1l#pKS3B+XyaQ@60fa(!96M*9fWzoGY<-LXb z<%OWb=0%nX#I6(}cji=v4cLe}!@x1b42Dhe;~CrCce*-erNd(dXa@~h*6oZ#&)xS_ zbaC%yhnRu-rr}-X_dF?F+_^X`4^;E(U<dMI&L7`0xRP9Dg{697F2l}*_3A&Ea*eus z;!2*l@+yq3H?L;F?P~o~LHR9<cP9`4i=o4YqPn<)3Q6aW`Mr+cSsGK90IsQ55tyP) z!s2MvCs_7=Q483AV!qNc6wI`?=?`Y0Kje7?p<VaRRdt15MPh0;35e0|Pq2i2u~x9| z1dx&#_hK6GNF3X;f*Ft*=e`=JiCTvI$U_+(F`tCJ&vKm!gp(NdaUqS8dl9*Couk{! zb*99(c4MehQ$U0G)+!eZkR7S5GN}{8o^!d*3?_gRUe(othu{JSP;bC&yQ(E{vLpUg zU3GW{ZhAoZ0PeahR05|svY8N|3=~++H^YNoqrFK0SqBr=_dV%d7(;uhC#+~QxK#S2 zKXDmk1l}*_aFKrATmEI78Ia^CM+@K?SkxR-(aqqy=Sk|aAKV-MrREf%|A)Q*Sf*Y^ z!l&^Jz*4I{z@;*z_u7|n9stkxMU}B{dA*gN6w?7zP4?asR-_r}U&grror9FX$*VD# zjq0aiaGn-|#6(rg-A9(&)M#U5d6|vh^L_u>2Ed|!*2~epf?j|R)C_BL*lN!-VMU*D z=L;8O#{8p@g{!7p1d*!jtwXahkkMfZmVPq9o9x(7jV@>D+5{>RGZBiCCxKdr;6{l1 zAJ`l=+Z#_9hW5&-^j-g?m<_;a!ZL6P1DH2zG`PSarZBZAKL)jay<=sHSiI7ioDB+? zO}mpoQLkS8{>8>;Oaa+O2fiJx_7vN<J}JfksG999R#RIS9gkI;?4v<48abQCHUn|{ zYm&=9icyS5=T{@<(hhghESeX^C)P<agd9CNo%i#5hd=oy0{mMRsV8_DGXfnw8Jx3o zdu=}X1_5-N?AcsChV`0HtTSY^9@Vnp?1nJG;9^jxW{zec+pZSAmIL<{?}`Zne*%eV zL0;`2Y5=>SrFlTjK*)0bkrFSib%-eloC~Vbg1o>54=|yfz{^ZG1Q-G#t5sS#hDT?Y zF=j9_9N7?4c%#6cavt3H==Ad84G14@9DK~E)`P+{&(}kmvczWK6ly;X1)3auAQV_H z6#NvivlR%CI3PodBbl1Tl7OT++5}Ewx6F#BK@LC3hOR*$W-h&~hcf~Ax>WC#$HI=A z7Clj7p}ku}qd~nBgc-VwurGH`Wy}|2LXAMO(jm6N7_FAY+pA73g$z%pa4-Ao;Vb|< z#~*Y6rvoQ)K#m_!%sDo1h6fOc-y_X1t_QIJ?20kLMsARXrbRoHZdmX4q0#3?GAFJO z$~&yrbm*|f-iYYhd(M!-!g~{jM#GJaPpY+0qhY<wL!-e)POC!qcY-lwt%a(-)?*iR zXQ%)uf7m5wMvRlx*aZW=RHrR|U!t3D1Q}x^)Q0rd&B!ohXgSKA0(>Z*-#4wFKN|a_ z7z!Y}U#|3YDm<#H0nP)UI+Pc7zIy|B3gG4oRCH$Cb}}9Nk_$fTJR=OH-fZ&k>;ELM zLHv;bg#+^M?}THzTNl+~*TaoGSBW7chiZ-JB>^`uWY~XE<OD#IAR)a3@HAsa;Sf`B zuM-k}|3|C}JwWlmWbB*RF-ZnLlHp#GuK<O!jmR-g#t|M&4k!T$H7~MngFcOqqMYs} z`M{~2ZA6b5GEBdWa{{ItKokI(gba0fC#t-u4Ab4Tc-4afHv=}NVr<Bv0_=2E3}CkX zwcHVb+MmXUI-PP+E0{Ed-$Zm+ua$@DuIi(5k?Ov~!h3QNyz1~QfMu{#1Beb#-hFOY z2X_6GMZGaSpg&1ySqMKtX*EAO^T{`MiVpwqI*i2m*^ZN(J1Z(Rq_-S7gMdEDX|lI~ z&5(KT5LCxhw65o&=0;->up>26K>4F24fr?|*bF&npmDYz6pc`ug4#7ha7T>J_5+3D zyIJ^JYJ3D-tJ$6v=JvcXA9fr95zArBDDur*9PmbCAZRzF+&#H0K#w|n27cTOOY9Hr zy*%+HXl4XD+YB49=XK70W1|l5fLAqJH$XW7X5BrS@Cl*^Ozdo3d;(hvYfOf@g+R_4 z2ld*4QS^ZZ5I7~oSd9Z<hUe$Sogp+b*chrY0eB9v7j}D(UZ2ME9R!T2tOk+}DD12W zqN|W}_jX=jq}1U<(1a#<yi90s>x?c*pzq0HHjdIi`F0&D<+{y{UPnOdk68gsemOL| zF-J<Y_ySC7i~VOzgF3tmTGCW%3L^(F#aje5=7B)Lu(KfAj6=tAE*~)z$MarF@HB;u zb|XCi^BwGojzcEjllunkY|2TJiRf+kGERyos}XV@!K__IYi_fD8t+<?3W5~{ijC3l zttp^Mx065BL=c}JN0LQ&?`fF#DTq!ZEx`NmkO`~o;V?$OkKtX0-$0Qz1lLRLx^<PR zgZklGxe%kko68~iz}9I`;xIBW7iLlI&$0J$fC_s^wks9TNWM!TWS=^soA8d9>F?yu zgm**zvvR&dpJsu2P<fdG-%#*oC#<Skbp(bi$O7Yk$=w^JaLRbQk-}X81v%xtr$&o@ zJQGJ^GkmDjRO$nvu6~J04^sAr(fT|@`IC5W6S&-Ux91Vc#H3v^A?FlS56n_edLZsj zwSC#$f7{DldHV-KXPe&La@!ESjl6@P+?GQqclAG?jL#vf5(P5Z#H#SrU+`tDKHE=G zCD>0^B@8>|ssU!Mr#}#J_au&UC%d6R83`Z?1(ANLrI{T1K0H5FW+MEQ7*e76(t(t_ zHkgMu5l%vd^lq+7fRl|T!Kp?QfTu<if#eol0>MsQ0wEdkK$7F$r}IS!SXWN)v9Qdk zV+|YX#$@ObFJ5`?DgxdG3WA70Xb53=cC5qpC?cl|9t07F&=G?1d|3Z&TeR&q24RWi z0-pkE5}5IPE?`o~+Gs1ntqT?e6FsISMB#a`2HPlvOcx}GBjK1Fa-Bd|ACFhS+JnPI z$0nt7ZO91GRwNMpj}#Eik7N+Tk5mwCM&cM-3r&Tj#;Yk(!gQ}UDI8QYVXarWuzVhj z;EX9Y{FxdqtdWN&ng{u?37TXX1QMzu?-#2gh3QK-Dd0GHvFxio!64BpN|?4Q88~6; z6gaqE#fQH}%163}StVkMQ6*!FAFonFhNT3Dvp4mdf|&6ad_~Z5$XLW3<aI<kGPzl< zE34T!sV=A}$%4dK2ucf%s^`Y~fx|x{#7ex$fEt^O+4?qo_$xJ3*h|~o2ytXKV$J89 zeDi3ce=zhMh7x47N!A!ue+GLWRZ0a~Il?0(1ENm_DEeBXA0ojBgYKi|R38CRtSt&e zVJyr<3evV=3OMI`5qoa?G@1gLM;!01h4c$Ck>wc7oKgv{Kj)+3D}+`=#xy%5DUjq` zoncdnt>?mOY%e0@x-EnH&$`j5O~ZMZp;HM5JY%>sD6?ZW9OF=#i}XxBfopf8#IDD> z-XM@<*P_I3&8A)}@Z9c3vE3x7e#7qTfbx@=Ekz&8iKcdw`i1%L1ImN;%sxC5O*c*J zSM0_IEZ+2TAU-&frRr{l$AxHt5&)p*Rc{!q#M;-s9MIxYhGw6M+vF4EccqG3*>8R; zA~5Xsme{S_s@FfVT+T4<9IW>V!+8%V6vVn1Z^`bp4}kJwrJTwrflHVHg*?V8&F>*N zrU8XQMg@~Hr9FW>H*LO;wQrR7a&q1D_%_?$@a*Lf2NQhvhu%o;fpVGhW8-bgxc5ML zP-DLP?`&_v6ha%H!^xhf?)a;sKNGtM=`L7s#P${HW45f4W5z)0r`16(lT()~__jtn zzJ6&@Zc9QdjUIs5mkpv{f9ct}-Ne{kY4j=DZSccnV#JJ3{MG5fGuHRNS3LgSCo&}E zTe+1f!jy&hjG~M%b5k+DYsVF(fLb@!xDBlgMSZOMz|lw<fJ|3Q=6P0yGj~P15UqeZ z;{{6itXWO7_X!ft`N{wuEOHA$?XOHC`~z?RtW8cMLu3C5!VnP^5&3*JhEoEUt27W1 zU6w&Pf^L)@VE!sDce-sIM0`ET6;UQKG2~vexbOKZLQIr0i(8{)3I%G^c-6gNgg_Tr z6EN{G1R{tbW|B4>csgG`G!$9U3_JMZg%(g_=ff8nU!^);F#FyNIW+fjX9ZWvH_0RU z5wyNf-KA>kloh+Jn@N1--92SLtG`?d#3}AN5DToL>}Q>q;}0Grof%C`czD-JS7+bx z2|L%FlJ?;T4@%FB#wUEgYo(`C==6k{i|bRncFqh%V_J0d_#BI@tm8|A?ygT#(n3Nz zl~-b;qo?M4WM!Ye?CI`Wl)8RasHf^mLi9R(PFVKy^Os58U1y}PpA&jneI+@1eQxfu z?C02*Kf1egr2Ehd+z7eA8cHlJ=pzaLQjdI-!+}SP5+u1*l}xQO)hVd+(1QU@POMZL zC3^irc27+MmZ09tONVQG0l~1DW((XJA3la?z)y?<q5(P>WI&H1>8!=c`UM_1o)IvE zH6_cM=!QFNMZ%bZfO{Acv;$#+N@jOd%_kPN-|Tr*!9>W6em`NGO{@o<6;iJ9Nr<MO znG@OW*mQ`gPdHo=azlUt-K|r`lD>hM9Rr<P#$_*5+K#-EsOob3AW(M$vhA}~uh1CU zs;QGgc#Bv#pA=t+1)7RrLqZsUOE!Vxm-3vQ48T>M#KG@UP?cyurQ1A;#%CiuSpQ{w zT{y7=iy=+svgUvHZ8^&1p#u+<gkr6%`S`eK@YlGHv^SqsI_BPZTdI|u<#ML@!pdu+ zB!f!Av=%^=oC*G#+{Zwaxa1BN>}V1t9L3Qqc$3XBnPODv;h6QF{`Xb3^X-Tp_1PmR zX^lTjRH&{RTWqsp(Lh#{0%Jf%5v1eSaA_tVH`|92?pR+aw$L}vQJ3C9k=4vX=wCH{ z@i^;EFb>vag;CKyjAmfRVMB1?t}70M&qruqiJ~2H<9p!9b6D`Ux;QY4EX?(FDDB=* zD6JfTi9N+i)cgh5uc0Md>PV5SLCxe!V6yuC<DxZoeHV6#iU;})R^beJEoXsLv>1^* z&GRsFTK2-c78w{Nt(<+HrUHzD)^ssXA1+N@F{OObglj4+FC`+MtN`$>*rK|cn%$<9 zf&H-!!2HoiY4bF{8k74CI1_PBrcueMX(e+X^q7gGZro7M)d^Aav`f^<&vqX*OqF&< z&{~J*wd7r@ky(d8Ex657)g3RyjrL4W0?ccFybB&oEgb2&ImIdQa0TaAlQJ2mZ&sUU z^Kp4UZxHSmaW@;qd;Z}{q+d;~awx5a0z)$GsMwG!Bvrk{P=UJ&N1LZP)vK9I`H}r` z-6yBqFZmg(3oW2a=F~^kCMD_$qmmas-6;0zxv7~P$;)%T-DB9K;9MPr1ec<;$;5nQ z-dFj3dh?DaH*#Nf$ZIB>tg{w>nfs!?66F*w5jQ4oGTz1&e1pwDOd^hxzu0;1o4TV_ zX@tb#n6imtmw0f?BRIJ}v$d4DW6uk95t&XZ33$EQ&`2dH_wu*wysv7{=*<~D{BmE7 z_2ub5i=}x*ko#aG&Mu{y{56L0CcmFuu@ts3xN?z<w15ZB`?wAUIjhi=tG@7rD#GJV z1(P|q@};3$djsm?wbq)+tT6>MR5>+wa?PKY6?*Lznrwz1k=AfgQtHnuRob|I7zws| z7!%x52_%<*PYJ$ySxWut<D|TK`u9y@NMENmC#`cWW{W&;u5TS@QUENXlsMwzIxmkZ z^9u`4VY;bPV^KUfYoJ*}&dBs?W>VGs&Y%r3&aX4R;znEKZVzN>)?iZTUKNf?nyfyl zG7&NJCzmKtx@3aW5;2czdmXI*wUA2UrHVp4UAb0n;_^$anoEpyYy@kz;!N)&6Gwz( zgoF_f>-mSM6u+?Z{qzeq8_Q6NG40?RWZ9P<jyObk4D+&g@zveP{k&eTS>qB*Z(ge^ z{c3XYh5F{$v*54IGPDbRio)?ul`dyT*SCYG${2`$E~GWqsSHSdn@c8+D+j?=?ULjY zKvfx&sK6o<*Ooo9f+cVI1yNq^^A*RTL~t(nE2%335%3njMWmQ~Y$hYEp&z9)_XBI4 zAGJPKFIXK7^Z5ROr#SOy&_ufSDQR-OG4;Y5OJ$Qgcq|b#2J0{N(=5DERx-ggEd>vf zpP|&J;$)Rrhn+R?IWAYfk2fX@TLaRtr5s-|!5-zS-`{5<3;O}2W|M#bO*rul>gP7| zwZfoq2DaB=F_ZM6$zc7G8CrAKJ^S3m_X?!RE)D#}U)Czr7eG5?$uAnXi=)?zO$zLx z<Py66iYDC?2Ekt^Z0OB#`<l7i)xN>{%Zro}<r%ESY;MnTJsOtCl6U#I-mRl*)C+?9 zDJ28~L`@=R->a`UtCA%P_tBeIUJ){Joc|I0^?nhBL{gxH$-z2v-q*+d)HhuZALPnT ziIFBRU1xYzTcMN7GSf+xysvhq80oE_djp|MmP`#~DfYt7s*AKUQAo(EshHDjhXm^< ziBU=10iQLIFpE=v*o&c(@NSebDVd@S)_;RyFt=B@U^2d|8mzy?$Y_2y(3^OXA*9J5 z_yUw-S(#kodo~hEw>(KDF|T&P+!vD-{IwB9ZO#bx&)t19OqLuCKU4e)KcX(OSVb<O z3L6K>_`vV%Nf^V3ZzV1!`0KbEwfQ3WQSmlrjV!qx#8GTTm{4B<Op_%Kfmn-&2&?KM zSPKe?UYM*&H-SA^|3HSxd=7FackozCvx2<(vPo%>0cpkQ>MJJQ_EqXGl%kZ6f$pZc zmE;_hk1gCaax1A=Nh`EC1&WPY6od8c>L?!<xIfE1L+3#8xZ$F-i9vXXW`)a@i{{D^ zWSSMeV?gu6h#y)NVPi7pbuR+7lEtBCiVNC`O+JY3(+oeieNa5Y<{$AGROV1zdB#8V zv3Hq&F@Uou>~Y*BLG#JzY0ZisWAf%1G1i(D@G(Vm%h=hxyWZ=Z?+Q8@^X>*Z3%sM~ zD#^PW;>_{xVOM3|-AHHFckNvxd3WQS+24tDr{qnfIP<^D>bB3D$aLm@choJOH}S@q z<(>7{j=YItXSR0@U&HezK02R%$Jg`8M9MNe{PBXUu=&f>=DfSGOaMPXz`x#iBH#S- z?zYp@4r|)qD;|+0-cja?z&my$n90WD5t`u+`wf!~7hE{)%NW`r(xtZpIti;8=cCL` zu`4Aa{qolhN@t6bPlH=pqf&?GvO_FBwqbwpARcx@L;QDMK!ZmG`>CKBVD@^z0lPaB zap0wfOl@lDl6gK#9Fc7rWK(Tk%U-ipXf$H;xw=|Dy(HXE4z(qHR*J1OE*bQUFS{d^ z;LDBs`b8tf{(Ay$*StisIQ#r9vHs_c+y`9fcWM<RsNt;W)x0;72Y1H2&gD<)$Y=46 zXJ2&yeJ0z~0rv&+?~Ja_O@cH~U$k$VU{)uFu>t@Mbnh(cNUfjDoSa?22gHumLgkP` zkbyT4GFt5sjkN3nkI#s!4XNA+c(kCmmFI8Q9+48sCcw=nspe(!9y`!nHm6n97R5Bp zO$3%iut5agCxA;*?Xvi-fMxvctvov0_K3|Wc7fW9MDT_PB8e)F&L}2p7UFJ>#N8ee zLFx%$CW41VFiiv&?L=^LCL<!KAcD~oAV36KL=aPEtEtc)MKjGSDe7hXK5ZbF2&4qt zL-${@vrgowe4mEhXpdlgv7i7H0+hYcD|;hn*B*t_iDHk*kA44YZr<ccetEHwRsmMn zoPNc5wd<C+8pDDEFhWVzBC21rJ-x$B_l!Z=S@Ulzr&qfkiJu9N0`lqejZ3vJ<=L9D z77P6-NaK@`e#-guK?9qoW_vMXQSAFvTi0TrVdkL(60>h~>~%_sN}umXUCo=jt!t`V zVY8)KoHp`($kg|CJ*Nca#c(AF;g_kJ?G3S8qoTX1TJ238H+0z_ZRr>LjFk~yhY=nZ zkB!w3aA9gd^UU=t5GgH)l=-)HABeRUMAaO>P7aCDf(V&k*wBVFXdMfhTWttKHnbpe z=FuAuAOb;zTg5(;;wQ5c8zyB{W=BUPIOV)K_o4%Tid}E5cI0&ohG7{M7S*4n%XbrV z)!2rvdV!;%3tJ-YmsD4M%erLFH%q8}r&c>^=>v;+c9q1zsZmnto6e{uld^^^+xC4h z4f`Yyzhq^V=lii4Xr8TqU0c+~(HV)#Dkma9oq%>CV3w#<5WMA#>L>0tZP&g}pe63c zDPCE1_wCqBu3lNg#{^sdwX1i3w3Ur0U3)KY!rcQ(Z@(+HP&Q(0WcQ);b^Bd6`M8Sz zi<h^Kin@FMy;V>|MN~Qj0Rchj&H+j3?ohfBkcL4(L0Y79kRDQ6T17fX=^BZFks4yC z3Fdsb@89ox)_I=uJnQ^*)*`O=YhSrHFnear;;uQzG^R?a%6DP9ZrX>(w))7d*Ni|_ z7ZNhuXU4`$<GMR5Ih|dvS=Hn~)XLIxA({?pq-iBXujyB#g7rPgI>Rbt<e0aH<->%g zWnb|e)=xwi&#R+LtmII+E~q-uW1|Ud$vmV=p_3i`9eTpQpznD#G{FFy>ucM)vYbE} z9L%SO+i6f0Xt<udYImEhw?{UK^3;rytE(xnDqw{sIb_sYIo2|iic9&}xA^O%Sh9^S zTsc<hdYz4Kj&dw0l!j}AvM*M`otkT`QC!cBE0)T9URqE3FILs{pxUMy<{wX~jbZu3 zj6<n{_ubjfzn{ial{r6ns?}gwEIw*ghdUOj3qdca94?_AK5aIL0m51I+??&I#5LWk z#7C8rSB0@ZV?k5Bu^@Lwt_xvqBOFxL2<M!ldQcW>yPJhg&iEeaYh4xU8|LkUB=3to zz4J&c^5S)s%(<MmJ~F9~J2{8ZmAsn*q;NN<-{ySUI<aY!Su5v`sJ?A)5{XJIb1m(l z^%o(D_xO~$)gcAe-ZbObb^qD-x!=HM&mh<dRoT;8h4W{AB}_dRe@*JjkJ)+)yGW^8 zXys+z;!{n)geJCgJWceW{Atp9<b{+$uB}P2cz+AHdKFh(w`vzTz_PrZY;urK#@ZX) zb+k>l8Jy4rf=9)BnD(M|WKZqc&KI|F{;Rl-x|8z+yElKhJ&v$}o6!jf8YdOy)0)*2 z9j~u_s^E7gjq;ndtBE=SKSYyl-aTaPy&0?++v5Aj6=q-cRmi~NGmD<ZtM{s2??qU9 ziEb#yk_fYqdv#f^p-jU2Rs0)l^vVPJ4wF~uWY~I{MB^!g%UQ_%x@_09O_Tc-Ih$5% zbm~%gY<jh(<0&_tsJO;-S!y>!e)m~7Jg|<daqnIFyebS*j{S{3oQXIZ?vJIQNnZWg zbOA<&toDgbCZ8awjTb4nWzqV@XF8sTa+~I2vZY!fJdzVsr`ef{<H<JOEP-#2U<}q% zLm@nE^HisFAY+;>WVW%!T`DX=MsFu9PPL9O3Gw`@yc~nbF^`Preb-ANdzUz;#rFI# z=o5^pB;Xf>;Y;Atbd;y2pkynhHXXBt;}&w&So)<m4LB~f{o_Y$t7|`Y_%&|E_MEsq z1vdI6^8q*cpCr}5E9S~WL<0l6uXFuv&zW1;irQ@irm#CNg+S@n^(1t8)N%a^y<Z6N zls(JbdUvEwPlXvbn@nU!rv{NDCej<Vp|c(Lhr8zz;yvPfi~6ivd3@hUJli`BCZicX zIHhBBl;egw8P695+a9QvODFNzA_CngxW;YCyJdfRO=}{IEfXZ$WT?rbV;u=pT=|{8 z#Q}c$VVW!Ap?bXo#t5&8hxGwZ3=)6TCVNw^s>&`JIwjR4_eOp`<Oj#3M&DODENL#0 zsnCvd{%n(uXYG9!hMsvp?4w3?y3|u^zMlp8Ks9b9rYDUh39D(tl^N8nEaBU3)`m(O z<p$@edhMa`gqfyanusII{FCx8JP!RsbxsUioJGFH((#Y<z{*(Fb4QfokccU-9{5FQ zaYu?@^5N`qBIO!fz({tnEZO_7Y)tCRp;z%@dlD;RJ(QLU<lJBqsKu-}lo15d+nX^@ zK9pFFPh~z)E)J=mVM9RN>7QEfHmORuAF&}iJL$Q?R?}2lBl%Q@xI(z}?yklqdy89r zNG%iR)3aJzR6S43`8gDsOTh*4^efKo-ef~um!;wI-=9-GPaRhrYR#qMGInq%?iis< zJ`{%}rovmjsyfF1CLhX|DGj|3;@0E+o09CU0r`*`EGeQ_v$dA&t;iHdIShHgl}>Of zHn~u)vpG*vj75ML0iXkb$Yh)I6t><&<`0y^-5|YZgf7)OTrdDoF_gn_exqlEJpi<` z_8xL7#UkKzT<KvdK-ex2)^Z8x0iX_mQ2;Rf1OO!fYym(9fEkrogf$HS+yNj00OLzQ z1ps>hd@-rRJp~f;B>|<M0i}Pb9$?j3dr^Fel-&?oE;tf+P{#p(h!6RI=Bz<R&%&aC zkRt$$12cid^l;$k#b@|4Aj}5{8wbKbPXWky&O@`QN;M2h!7NNbVyI@Aw`R<El|OO! zh27YNzh4$H>>#|^Ldm1jxlh9Ak551QYg1uCX&90TW9>AO*J{PmZ<_{~+cNbla^I$~ zA&Ai|Ve8)6wg{43N-j_V#ksAq5XDpDKR;DluRR=ud~@)q>IkP`MUcJW47-(+Rp)&( zF)3AHA^}I|=FmIDN&8%RbJRxj!kYS9DT`tLcdwA$=g8r*Aqnwx%;v;G=@5ukJ@h`h z&)}S-Ux#olsNJCTrfqrH->r5V1UsW9{wZ=-AMu2dic4jM^MX2zEaxutkSeiwRBXan zHLd+M@v*0+8=oOl&&p)Ck5`w01X<*HAhiN2le>F`e?AOl;{f%3c(>#tGOn&<C|LX* zCW+T5gB!K-vfI&Lpw~d+9?5wp2yLs6P>3(Rh>MFU9ZC@Yh^ghR$>P>ty|UHaYT{gx z8-u@mc{I=9oC2#$Ai{V-y}723x+sxE`Ut}vb!@jqwQ&_=obEC?QK(f_NL*+KImvdV zMBO2eau9(WO=F9&+Sv_NnQ;6$)H6Gb%_{&=J>|63?)Hej(5b@RK|c~^*|g7ovcA|6 z^L*?xsYEo#dT!1~AE*BojM*Q3JpNlORsl{iq!OF}zbH~}r1$oiS7h1z`7T$6@bV3f z_g#$v2?3VPfp@t=6y93@3Sg1qKVqGk^xD)%pF+E<!f)La{Z%<`KRSdlkf*qIxhGQj zchZ;M^%>0>%zUcrrqq`kXQO_2Z{|%_yu_nkNxKntuBc>z(_&gRCS*2U3dz^fUb_)( zsAvk(xOU@eW~ZL}vpTI#`a2;OWe()bQ&7^M(Y`Ks59IT*l?(bUn{=-R%^5P#c9@s- z-9Sx3SBQfq40D(ZW-OYxt_2Ml=CHfXDw6S7Rq7YLKlgR{wy&H=Z&n~?+a%Ce>FYB4 z7VI_Ua0fYO;{N48EstKh!=kK@oNo%s@-xoYh4SEe9=+;FrM_)UtFOx!fikU8PKOz& zeD9I3i^YKjkVx9ROqphU5_+|-N>ZdR)3hv#PH1aVb?vXD$gCWp#zv4Xv@Mn-)LHb5 zMKEZPnmh$oRvGXP_ciWU3bT)H&><yF2`7BLo^(L0{TY!D7q*l`tj+D(AsKIlcGt4f z%-~Gx$W3?wWeCL6=~frqdi4*<fPXu((=*--sB7F>&UY@K!SyGnc^dlpx`T#6POFt^ z*^SDUukoZnGUaD2ZB@4fH`okvUM=nk@(uWZjlXl4$EzPHJ!nty5#?=qV?Ee{<J!4Z z#%H;%aeLm6D1SOKJM>P4=yK*xJ<|YrYq`kztBhCj;GgyyA7TAf0janbdGw7TW@V+% zkW;4a#1YH+Q#V*{TW(xCKav!wvRtn>2=RGHqkB5)yEUn}{*>nG$*8Xdb&O}tT2#&1 zh9s}llGqGXKV`m`S7;F)WO?$n8_|BphtQx?<{%1c-6Dru^eYX7`aH~VU&-12`k@U7 ztY^7Q$pWOb5Pb(|K|w%g7+$WA+!n-C(nJD!{~p|eM0!?i#w)=V@4J%y8M7f-qt**( zGHW2+OzzaBX>)0cPXp$t=^)gVcN@r2+R)W{H{dOhI6LSvh_w9DjJh-j|1m#ZnlLC} z(idS|e~Rd_+fti1Wr;b|88W=QG|z=EP0*!T_Yd_1Oo3lwGgnb-Iop$!gJK)ChB<+@ z7iLW?|Cp~X&HEyN$si4wby=P@M9YhOB;OX!Hzfb;0!{AUy=+Pan5iQLSY*=b8)>p} zyp(a5E#&|$d7NGLe1jg?Rgc%<o;CWlrDK^5MsD(bxlJ|<f*Vy-_<>8UZZ%Bi{^-=V zhjqZUouf+q3^r8U=R&<GAL9o+2^?~H`oO+6K`piO#HDbjX%rB78Bc<Daf)-UG>?a@ zf<<*t=6z!7XY#dEJ4K}ckqfN&P}tXws;74Pg#j@nKuqVyC&BLCmjYOkNd*$D0B%W_ z350=|)Jwq*2x&k}0)meN5UhYmxl9dI7v%v28d%Zh9J0zu2C_K-*-S52UjV)70phZ# zdp?l*vV%1W`zlS<)H*-&!e>nj6!xV{$xj$|#}w(DQXbgnEu}sAy|bq{XHUa1^rZcX zF7=#Dj9@<p#}IY9xNg)edrbWgUd>ceKhwgU+F=U&5X<Bz!`nlOS(e7^LmO?9x;JJz zW9pl0UZg^O-3xcBwjbErSwfzuZ>1|{>9%|lP%jdH8xO|x4zHu~PV?it2)*@oBl}Ne zS9!^WK(XLSK7Xrh#r%Z42lnnuxli;ud<(0GYV6PqJhCTJI{ST-Fi_*{hj^xf-uVlu ze*CJ8AZDDp9gAYdwA-O`c~17jZX;1CFvsTEl=yRsUW^_34o|ZxrRMA--R9ZQ7*-nQ zzWJe0&A!Dr8;SXs=`Ubhx~c0#kmS|+6sRqS8Vp9z%!`IATK!Ul9X-?S+jwOs%*D0* z*!DsVCaIe$ae68?`wlAj)97=e1847ZZ_gP#i>`1hw43<{hgzCaGq<m>Cf7zvaBJd& zn8LnM9G*hdt3sn;>VbU%(-vywi}Q;d5zCf1McB&5<vVm98#<U7hmHFO|4_^dmg0!L z%eRJ_`f?5&u33vB-Ss%ljc=zM3V%o_4hS$s&mu+Ld2wA~(SvTjfLe@!X-FQ0lBM}M z{Q7J$R8T_;eX5L1Gf3VOh$(Cf!|Z6z5<>;Aw4b@s^;`E7roU~t67u9wDNm#jkHb*! zHQg%)0tkx9d);SNnVNbb%6-pLY{E~kw99bQ`KZKd4<NJ5(Z}8T;uoheg}%EFl+w2c zknEQ6`={EoVu;P}9kHwGOcV{;vD$;kI`gXaFzic$LZPY+R#Ep)kRZmCru{rvWCwn5 z>bK7jBbe8h?D#d*w`!!M0WZUR;&~3gxz8CR2pxND>!DWn#K>XN^rD66q&8V#xcF>Q zv9Cw*{{AD~cWt7&sjZ)$=vl1I2#Gs9O_6W&E*$Vx@4g#8Y8+FaA8Rm|+0PyuL|75( z%OhvkoeX$cIN(h|U?9tBGcc_}^~TbZt5TX?EZFJe_8Kw{=BYz=>1!6$3pL`Y!4I$J z@KM<N@Z@kepl2259(HFvOSaZj9^&IzLpY}B9_d#-Tg`c3FXpb)7gBHYrtnUi5N`eo zWV1(c&c6(MOoYB}haThYI-K+3T}Y60_)LXOfkn29e~iyx>U|2fe781PJd`UjUz=AT z_9Csshw`|$CR;$a6rB)b5dr@>RMqvJa3Z1YU3jPGH_q&4KS5kK{Rb4>NsMRf?z$G1 z{^Ymq>q578pTX7FbI5V?cW^<48kxrr>^<%rYzxnZY|Z%oX`!$$yQ8+=hT}~iMlYCn zOucIS?)KS+x!dZ8SNe+odpc0BYIn`s<y=WjH%&0MTMF$k36tuYeX6VI>{d7c698-8 z#&%QKzk2w0rgMUzmU`g(&YD4iAO!r%uYmD)@JWhU&gL9La>(78g)iqax={=Jil!u; zI1Hm`V1-7jJB9s3_1~GJFXueE)YGCdg0(@IV~`tIbKPoLLic2b9^8E;$9f>oQq;Dv zs(}d{b~Oh=Vc*OA>B)k-Z#Q%JsCG;}(qA?8{p=FT`sESegx#gE&wR6weKh+_D|K!b zd8#(itq!bDqsj^#p@Iw<r@_2PILA=A+dfth34c5^;+FD6EkLc%>Ca$HA(-=V?A}Aj zvt72Ngd}NU*~5{u0o%2IgrMx<#Myw*8ryh$lC+TQ;m@-Hg*7(q_<vRaLGiB;_`fFx z&IZ_k-u~_2|0#+Hcl?)}&<uC1J<lY2X6U>6_TTjQGx+^8#pOux|I9b=uecCe^sR(> z3Wc<<NZo-u2Ygy-zXP{Dv+6me>w@nSMR8sRPM!_u|EI*iosj=88<X(Q#!URD6XAb^ z!hhUm|B3mpmD&HS{AZgl6a3qg&%fe7b6pD2|IYSbNAqvd|Bvzif5l}sG1<e#|J3<E z6#sVpKePQi>3@xI=_c|yG2P>NLWwm>OT7td<=}k#*;bIh)lgyYFKUz6V=G^-I7E8$ zH`Ye~{*q^AJnrH2nB__ydzd%iC>LDYk*ai5!t<qZXUQHKHJ_<A8(@}I{5BT19N={R zf^4s-i9Y?{W%Z3$8Z}do;ul;+ir1RW$kx7=81;xRqVk!qc{^6$@L4pg{LVZ!vq=&n zPWTKk<LW4{Ma#-?`qN35fd0-V`sHxb&r|XYd#6L>jIG^93UW;4#|o0u*(OPlAl%Vw zUM~TT2JvTxAMA<@_7;x^X}Qc8vxb_aQsZ^Ad+$RRJ9-9aOH3KFhY;=l+!?5-W&6zs z!FsKMDy7~s?w?!&JAx|^%O`g6zn&#(HC<;&qi=c5Ep}K6wV<=rZ_SP_^QK`;aoK+J znww_34{Gt6q{NJg>3QGT_-eU<I^I%`JstJYWw2GgVt0YsE`H>hD5tH3lK{sQwKoK4 zXH;*^@5@4qLCxBI=v;k?H`h+qPzk@}RJ!|&fyWV#eygA#de121rLru%l|zH&$Zy>z z&s^3p85M39ugZ7A2H9*Lgx-2buG&O3FEM3wGjDHXiYBX)PuSSnsiy0vMQCd)=8$0F zyX=fMA9Y3XOAqc_ZglR6h9s>7lffNlYp79T7$U=y(?^Xm&WfTGD0w8~F9vc7ZsVr! zVU^cNVj%Z!UrcCcTo2pfoQMD&=(~J}U|ux;8OJr6?+bxfiO)<ypReI^Ax>Ap%i7<r zjB{9DxB63tjo3UR{r0DIefay)Kt{OrBkNn><Pg#`_QPH(&8$ol*yGqZ?rbBkS4tE- zyjS=hL#$!M@kiX*pS(Pj^hG!fgx}kSDCtX$L7j&B=cqKVNmLd(v#fDvKk;@@(pMR~ zGR_LIf(d8-=FXn>by1>7(uO*j%?wg$#!Em8oh><ygz;zZVmbUDTEh&ofUrehH>H%1 z{YJvQ+nE4PfI8h8g;8lr%T^S|Sg9BZ=WTq5Ee&{R1uI7OaAzZZos{%l??RmlXTDQu zYRQ%t{<SK4n(gNEQt5Z+-EybG!wV`+%se@+5fWhqv&+%_qk=a$iY?_$s&djBU8d4( zovSP)9SJrP&T>hL<={bGI^CzzG+VAN<dSJO5|#+eh%JThSisiTSEw}K!l8wKC239c z^FBthh)n%Gpw-aN14n)qn&N`f^6KXmMzRP_{YBGK>*w8k_nChx#*vm-KhG>`g>PyQ zM0=)}_cDrwZz|@1c1Le#kMqbtxP&CqEcQP5leW_D27!+yvs2m^P^VAiH)<){&tAw= zY3BBQOSSn5d1e4>gna*#hbtB|Rvtf5ylvSk9T@&Jjx%gyzVeI|RD+0tTw9+9pA9<K zJWPg^-?$jkHuI@|6u`L18&`|B6WtdB2jwut{V8h;r@=aV{z2g>#rMg<lDSXSkM-9q zNTCppO||FvREZhC2$U2&?fW_OP0hP8?Mff}RB&wGQ#|_ju7znaBxrMp8ZRtu+_zb_ z-s&9&k{M4s#-<z9gg~Shn%eiPAuhsH`>phvgy3dn{fm4kjJVrWBzsb<L7C#*VbbZ4 ziFbGlefU=-TTZrGnc^?Rn`Z;Z+M-NxA5{)p?^$paUb&}$j_t>x=+C@B4<AZT5&FU* z``uoDIPsp0XIumZlKhRZwQs-iZ)EpdE;7Z*K@~9X0*UTQYgge=FTI3P+0|zA5p;Lb zA371t3(vlpRc$NA?J#XuVbc8?dZAbK$`o8EFCH=6yBEe$NKTEmPeI+P{yf^acZ2kr zT)8{*L}#Qa9`?JyO8Oh{k78HHO~8EM4tn*giTi+p!_u@G!vA;v;G8t2hCTk$Yy$#B zvLnulU8z*9)-SqoOkJ<59}ZZz8Qoj2wb;ISI3to>aHg5SkrZlP^dbg6<y23{J8Ywt z;P)WQv(>2Rg*|B6iF;mKB)jXZ!S&!d*4jmw>_LXLm+<*1z2+ZWk#frFMHTF)mIb(% z_7E<T?G!y+E4f0EVeNbC$wg(afL+M-DXx$+mkND_gEYgk_*Em5c=k0TlRU*(^H;vH zk31Zw21~wnR8nXj_!^WwT<tc?dmHQF-|J<UTdKq?sCBy4*k}JObdcv;e~Nj;3`a|a z(~rmLUwYfXg^$jN5550@*Qcp8d)<hb<UFoGRIQk#KQ1;GzQ|Abb3Cy7jWc;6k|agS zKsfZx`&f>^8WUKt)ElNME$og7OmRYsO7oY(W|z$qx|I6ZltE;+ah0|*$+xY>DZ}p! z9|bfk#e20Wi9J>Kj9~9(_x>adRz_;GpI-5NZbcMA)5;kj1k$}1k_~xsb9oW)gYR<Q zifBPoTz{JVX@;gF4d7k<m+@<yw~T~e)iR%10sbA;m;TGzlvPfW@JIWhz!<cla;4Lq zzuU7F-xR{@+D`Jiu77N25_iY(?b9AYJUZ1ch(d(V{ab3-39HkA>yRbPCtQm8LUI^! z5(nYWwBI^vsV$*nwt9B}-s;P6ZodEI@OjG(*038FvTGQkj!YcsnbcwbpV~p@@NV`n z<g~K%7|EZ!K~#Iw{d1YqrDV9TE8C&eUNC1j*>WN-9lP)m{wB=!kYNv{242deSfBZ3 z{&BP2=L4=niZD?7)h7{GFJ(Q*7cR!yjU4klyuCO7XxwLpD6pnIeZc3N!a&24uR3_y z@@Rc?(N_yRy~H*!{uZ0!*cyB*u(X{Sc&ZY7@7&p+z^YABtCYX7=f7UI*!c-p+z$E# zd3~yXXs}Pe-oJ#;z-6{ur<8rgik$2E*K&fcSta1UU}YYGi1#$W_d0F`3I^C868+Iy z$1Zgxhd>r6KB0WE%7-$4AOVR>yC>&aW?cEn-1j|PD$-+GN?lR{%qylpw3N9-2dGp~ zfABAL2^Qxvtn6vmYZ8;@GgR+6(r*&zcx1T!<F<a&(+(-axF2zPP3*au6;vy|(0OvJ zPZfwiCD8dhR_PT@e-O}lQmf>O_kYq#iH{ldOEJ}4_V}^Xc3x5TI(QlP=e$qQ^^<4= z_BK!{_h0;ADigtDD4n2TIFB<aJ>2ZpOH(D}RlI3?QTk~sTccz;O@n>5DNC(kTQJRS z#*rIwS1>IgdOc;u#wDlnP6*R+ip3B28oh&lDCA~mV2$_T2Mp;LReI&RbSbz){oAl% zdcF>5Orl08t)^_<Ht$G6KQLICi3p!=QF1U^&P;}Hvp^h;LYc4QV=dTEhIK%{*r^@3 zdmw7E*DyaVN-#X-%y;pN76=><l=()eMa?II(ImO=AVcf?HOfiyaIi(q^wnWK&}?9& zPZNzvB1V49Hn;M+yz5qxWubA6=ymsUW@HDV)r?M92lS1deZ$57i}W!*?+B!?{*H41 zE0N?;(@g3PMcoeFwy36}hbDP1A6o3v%<IDY*>w;uu!($(Dl*c$$qOkL>WSQ5cRBuY z?YOhxbZF|XjnY<d+k-O;9yX)GE)q0v>%7{V;;#0ms{>O(g%rp4c^`d(%JinJFBSL? zO#QI2-)evE38@@aa@=Z{^USEEQl{CGQm~daZjU!CCy!pvtSsrPnDmcW{#d!&=Q8R4 zZkfZ_HL+&W|LwA*F*ea-vL)JT-Q@t5hw<apl{RMhI0f~*-Dy~3#G<VWzY#QSv8x!Q z1E&v)v*1ygF6g2{JG9P!LKk<jqwT$$cui9<)Dt-yE}p;QFdJj|{L1TUo?AJs#b^t6 zJ&X=~l3jJvrKFzvSkvNpaE;&7P^jn5^Bb*Z^;MdoY@6QWQ_c4D$7Ghj+-jzIw{_s( z*e5nz2=%<juXqK-jpH)GlQaG=np@`w&}GYiKIIBE<t^qc-oV(mn&~7KFVka6TIY55 z6do$X#i@HYl`<+lB#MuV^KL3y%#i%?u(ua*+f(VJLf`fQ0wF=_NSbvQk-#g*)Dz?5 zQ=gF6w}#~)AD_yjzZ<v<fH}hc;xbzw5K4<(27}20Eoa)ejTa!Vq`^MOO@x|8C%kdv z>^<&!Vz)|->h!unb!aVn?V6bz!bPC*Y7+bo=F9@t7_AZf(Rwg0cU;(5csJRAC!&_E zcGt`S;o{iHk_4y3NVFDyB+qGn+<l&Yd#peEY3!*}g;CE;3bu{fyw!};{pZ>yZ=JO9 zW3=7;Oq<;gix)7|MAtR^tOe;ZO3!okfsqe8AxsWWJM*01I48Sw($im{OoOK*|K!CN zUWboQ+c2~e-@V2L3>y#Wt<BdSJoHgAfEUS5H|Y#dJ>V<@N5=)*yAVY3P}rO}_x0Fi zEtWFzG1Jyq*L?Ku>(7wP%CBqgObtw!7p=FHmkXKXKqAKSLphTQ8Q-!h2M}ai_tC$- zsm40AWTL=99=Ci1o0`shT6Vq>5YZa26|7#<c6ah(KRdzErLKuo!iNpxt(2=>h(D}3 zW7WUC*g4>C`B}bHv^Dq>gXO{VQlmDZ!CeahrBc84;ExOKKaVwd0{uDt--7LHnmgIb zZSMtp1=dV=wVxCC(3Rdzgrzi$+C=Tx)D3r<t%skjQ!3f#$0nuZyJd}o8&@U;sye@l z8do;vmD_#|_EM;6c9zmZUBmZT@Ju@BSYO9zw$4L$<sSBI#F_gv87<^XM*XG{+jQ~j zcz9e>L*`r~I<TOJ+AvGnaA9sCJKn2Va;g#aGS|0WYC?=m^|?RYlCg+`S@0}17k5Ru zwq{j!E>KSfw;5%%nsvHsg=)^+5Hen9DTAJR^RW6fy<Lb8@|?j48cTiEgw`U=tT$Xp zS_F>4ymTD;l`Y4Ec*|AI(q>PEK_Ux1%~I;uzXypfiQj95fyhfT{W@}RyY**|hWqL? z8@b=0be35k87sNh^=GZ~{ba?mlT?%IF6?5D^oNUTHFJt;HKdC8G;bI2X)F{fl^Vi| zvgRp5J<J~P2)lov&YV_&WcevTvZ)myna$6cbBvxdXPwA1XQ#_EXNt-v*}0IR!4-aT zs1lc}Xpf3!5USYa_{gu~MAoy!<?m6c^X^%c^VC^Th2PKG5*HfW>(XWr@VFrk7BLK7 zH`Z%10!tX0Z)E5-d4UBC7dE2>o1(z{`dH*(mYD|VBh>O7pJkRkK00}V>(Z!(p++ZV z@%b7&)2Ok@D141Z^*pD&%O=>dbh^sl&IJ(^TsmFbV($_s$*GV1Z7$Tr-^s3jdpbv` ziKmlGe;dXi)I{FNqJMj0Td3)@gI9lh-c_h6x|2hH`1f4a{IXRZG<44}YkuD<3yMHd zWtrWw&WG9`*k{eNS!Y8>581Qk1+8<T2}eIQb_*cmlQ!r=jcO^rk;&(T2#xCF@$pG- zLWf568PINF*z?k9{F+b`8pNqzNoWvCxDvqo+v#nCV8R{R4CmBuSy{?=a+96W-~MJP zKgdmYHuw(BQl`!Q<lOjuFiV*!Hx+7$Ysi`(hGaq?;z_gSXP6<-UW`|kSv_+O^gVV~ zg9n~8ILQjuC`~_9adeRnGbo*I8n<%+1>2R1cBt675P}0rr~9MrUHC$rO4A#n?OZs6 zUzeT?li0hgNlWSvBZ-8Xiu2Q;h~rl1ysNhARB3QX#Yy#MvCC+XUxnYQV~LBH46mW< zwvc|)7?{P-buSBQRy3|U<={tuu9cCL2Cc^EPja?qzA2?f9+krphnC(=hLD_!_t;#6 zUASYJ%R@p)Mf1^k34O|eTZJzDcrks8z_8u+=y+<{sY@x0sn(93aNu2fpM}q!p6!tJ z{AtG8r^>h6sRp*DH7DKIdExBFCx6zU%595lVQdz%nl;yI`t=ebTZbqUWaVWiETz_A zd)cgP__BaUQ)d;y`Uy>K>{DMWbMzCww{cCWW-$CJIYNa<{;7`g6b(sPVIYIQJPW$~ zhj7#TB2l%XA!#d5$*f<UdG}<{bxrQGNAZbD!}gV;_=H2kSB}Uaj%OA<6nB!uLK0UR z$RPFsxhq0skXN$^_<8Ek?>i8eOY6;eN&@)P3I!SD<xY|q#w#jGM0$Fb*%mDjl_VOH zS_k@tRH2n!+<(<~p;N?cbM~@_gboW+22Jc=jXD)O;U$cfE$q1lq}IXv_f>m>8L*ur z0;j^F!L-Xu`!{X7b71}=9dRpp3HaAVD7yfa9w}PvZbDbyiaVL7?M{m*#we;qSk#<0 z)TbwhZh3N_BT7uPe{KNDK)?KLpWn8-3l>e!WE1eE&i~y1V&2p#Abe%~PMFIXMGrH> z#lU`a6o?<!wc=0KZF}b4<4Fhpz0VM3Er!cpIghdy!Nsm*kagRgDfRHt<~s(|tVl#T zGWebQcLi)p^o-Mk`}Uvp2$7BR;~H1~MwQWlhxYTLQ2Zh7uQI|mZ-4g?35i?DA%okU zDffucb}jD*Z%DQFF1EN!b`5tQZEik3nyV40?QGtFV-q+wq=5$WQG)%g3;QxrD3K7z z3YHA?GN68igbZYN_Npg|7CgC6*^@*EUfeh6(WV9e+Gjn=3wd>>-eXO7F&_egXLiF{ zHZtLKIlWQcV*Osgyt0HMi81XBaS#L6dc+GXglk^`-61%SMR&(vw5)J!psZ~7JgJIi z=xc;5Ja>qSqNCV)>gu!;^6ADBH1&(bgccX~1^c?*!_4VD?7#A#4AX~7^i-3z2%L~9 zTQ9#h?@8$E%7ZO1?7a-Q-t&~+YLKz42qr~mH8=Z4G>E3BlGbW!w$=e%6V*<)=doif z7DV3TO1tO0Gb0?t*V9RMDsbXT*IB#LL{@9NGb0iN>cNwd^PdnU$PVlWrIidv&liQ1 zE11f@`##utaL>b>r_$9BmeAs>B=!q2;QCeZ*5MW}UBqG!yM}>5RJ2gt+<LZ);jD0j zcJSiPc4>C#pF7^xVrD%*ua%|Dc&~|R+(E@y93*9N<fAQWDmuzEJ2nF;K*6z#{RyZ1 zUhSMdSbN-X?MJ+!mvt1ObSYy6<sx(E)UEzanJY1fftkDCX6;_*!N<HL)Rw@E3&eVj za>lB=aI60=l_99+W!Dzf;7w9$_kpCZMi(2}bAvc8scevS@X@BL3V5~&W&Pu6d~Nl` zx$J@S>wqmG2sg3S$4ZjL+Z)=_{kWLTjV+>Mqng!`79Xm^&Xh{Ax%Sqe=+2DFeN^aC z8SV!z1~g*$@?5uOb&zJ1t8O5d8?wR8o9Cz%W2|}e#NKC!XT*^9+^Ytx*D&gQ6iZ6p zZKqW|?n7nX<)O87&S8PiCQay0t|Uz6wBAw#4P;0v;0!^%Z=$<@QL@haw3Mx=B;eWp zcDKxb**x9a!0o*{TiNmodR%=Mw6V{ooXAvnI?!{<;5+d_Ab8Jf_5%}7cEX%%b)C%g zPTja{5vFRY%zS)0?e6fKp7X9-uGMiRuGIpN4lyRtDmtc(Vczf!Do@jvMs^O!>7H5B zojimCp;K+qwAn9Ry@2eBngUgN?Q?UI?TgbOFaO4`L5;<j`N{8q&j!A`%a7E~aNwaE z0lxi<z?alaoGnRv09QXHSd+ICXG<W@;p&Hk-7^J3Fy~vsoQl~5t~=?$?3cj@`e#HL zj3xHqn8<07huLqOe>L!~ex9lA>i@$1r^0(*<kd}WnvO56!LN=s(%6$UmuxqfC{Afx z`@hLdxAw;dueU>VPx(+_qvK9dXcv~;5E1+XD{`S|bvX2SFHK=do}|5Be$VS|@XjXF zj8{_Vk}dP;?6Azqn<SY<TmRG9DVdrrruMJ>X-l>$r(X+$cm6OfwDvde)qR&~_DdRW z>o?k~dmoGo!@BUEx72P_Y|(hBP+`OyR`5qY6(^c`c*4@c`x*;)C#r;S(sjGiv&ASc zx@+q6A)z}EesMlWXir*d2#U3^!oPzUuf%N;kgH)*z1_734#txh!hk8EU0V=}=>i$O zlBb%k0y|q9rPWqURALNJk_2Zy%s8ss9=zO**QGWjuV?kVl6P2hH$C(E*fSGviXSS~ zUd&B>onIURupQ=8RbyHB-vydr+iJ0LaUvP2wzR0ow91LrTWd>|>5KII_j)@!f?UhJ z;EoAcS=Yr=)3vVgY{EEs>w2w`jOuZBe2aMdboWf@l$cDk+AwZoKCF(=>;n4i;y2g* z(9i35Zcp=KZ7yA6sFSe9PLcO@y|J|h(>3*bgRs#($JPD$By68^JJp&164-_$Rbs6} zGEdH1eF?`uow6VV2;2&b`$4#<XcaksSJ8XLQQ(`*%(zU=8WXmyUv{r9BY5X8Q}EaR zy}i21V2ddkKd+>x?fr>+UP`C4z)AQdxi8s92D|MtW%wplFWTNdJtKGnCql5;CzKJi zhjFE0?Qgm&ttco6Yo1-G^=t)ErUpIr1d#Jjo)Q&JI0ui&uaY`fXqv4dy;Pc+gjGqM z4<L-_jd_~94dP%!y1W|!2&eqM#1f;#_W~&0!~_8|38OwI#4KG=nyquZQDQX!_AUWK zQCg850FnWq1ppJkW6d4_Spe|(uaGq#02Bit8vq~Zfe;q}Q~{t30GUicQg?der%m1+ z39umnv5h9gS0FE29N;7@XeMzu;Dk_p41g2>7y!U~5C9xNngjrN32uf;YygDz36Q7o zvKPR`VF&=+0H^>!9RM~i!7~8V0|0jEF$@4+0JH(%000*NnB@mRF92=}0Ujexh;l)o z%m@G^0dQ*+0Kx#61b`U;VlROx02Tod1OVB~DqO(C#Ur5|j8E;y4!k>3>z?*&h}2lP zAihHTc!4d=6ZAuriyfH`B^zwW48aUG8dBLV+pG|*<xw%Bt7f#cZ}fhb2AqQtu<qrz zA(UZTGvaA0u{SiEW?MUQFmzzN5jrej0-TcgGh5@tUZ8s>p!>{cM@t!N^&`Qlwhbfj zYDS2CqA@TlA;~*8J<wgk2yhADIhyw#Nrw%2nJYi-_sCjHTWc916fySL%lb$p0uCm; zfP-`f(DNIhlNtN2-`;K+=Oc)-%dP4Fx;z4kO<!i>13(u5umCs#z}Y4E1^^;pBdCGB z3%K0OAOE$Pq9eG_;e%H|(GNggPKQSCs!Z6>feirS0U!o|ol9T~fR6xB0l>2?0N4Q_ z6#&KnaJvNd8;d;snOR3&jE)W$Ob8PpV7o_wDiT7#PpXuVA7WMr*b@*?^TsF+H00%^ z{8S|``}B#*=WMKp1ou>jiiFzKY+8}gsEB2vjfj~<#gr4`iSZE=qTKlCd`S1pMmwKM zFMTy5yX$fhqsZkKCG)Q7cqu5#xZ2sIImU%;UQ`$VZgZTBkK0s8)#BzaDox=`qCl&c zXF@#?1}nR>Z5L!|R+PL*M0%FKe)X%9`-PvQS_F5t=0a@ug~*sa&%}F(?zA%z%bu>8 z^wemFC)tq+O3&^Cw({7xv>*O<c2;d^6Bd=$P)9Ex+Bapao>%d=I?7*!`M{~i_D&Li zNBfG*9h7qbA8`3(at>hb!O}1Pcn#{wptb7X2X9nL4*>VAqC2&&U%OW#$$%TIPERx) zaG|{)l_VUJx<W*jB-&B3vUJDu<;6O1>m1ncixLy)fUb<*@$?9g>RDh|{=T0W<u53` zxIY%<FMvyx5b2(PnkK$<CaylTcR&6ss%bEVIRD??KDEiZ-Okv1_~^mk_oaJ$$i@Yv z7xs^$AVRp96-P3VZ9w`;64|)0bkF|pD3BoT^9uQ$ZqGBB9u&RRf8BIF0(8@IoZGb6 zp3HtROF?=nYiHgLmhlnL5R;zBwfkLh`okfcV)kamV8zt?y5Q#ATY$Z5vU{)!_Yd`{ z_xNm_<}*$&?<-T0M~vePTnzc-K62l0>i*E6TS9@__Eu$fRt^o%a8`6eTm^*SC1b9o z;+AU=dV&;eS8m8$WcWJl9Oy&!tDJFUJ)WArh||tM%h?;~p%(dqE{%=Re!V-H$j)Fa zEH#->agw1cA^nT);mi2DzX+YV)3f3=m@v5BczVMVhVJsFerE@8pOdN97dKpeQ0@`h zO=7$LpmM8$W-BsX|8SAv8Nc<i0bN?r-r~8)F=VreplH5*9T6hsKUqCG2vSo<Jd&al z5dqob(1^*gzS>GiSNC1ru_d4Avyl+Yv7N!)Ss!K2Y;vEdcwji#-c<dvi)rji%AF)3 z63Mw-?y^k6fOFJ1EGKPkd683cjy7S2(2<Lu3otqklhqkdPg$WKuaRvxJSGzPUG=rk zr()d09FH6omZ>>dD9K0QOLIAA&Mc4Tpi@UJSscNw_KjFv&qZMOV6MFce3)l?$!mJ1 zJvC*Mp2irCxDtBd?cut1w$;#6;b<nLIpdo9WpZ<~0kPAj{87r5BwITmLc&&i`qWgb z*>$lI<J`h)U2^WX_nI@iC+~b*=zZ9V_kP}jKs<Kyw&0OzcDDZTk*TDP#QpKmss0?I zJk}!bJNeiEPQvd2f~WS>?IF{UAbFQD6GFuA<Kt8XG`;*DNaSaS%a@h#4UqW2zWBpx z#-5oog<~h%(P$>8M8B#Picv?Y7b;Il&P6UvI(3;G6aBI;77(iPj*SWDukpZ{-hTrh zohIP8&|!t{#}^2>$-ew4b(_>c!jZjcBA-V`N6_kCrQ@)Ce}b_Afte(0&Enaxcd<L$ zz(dD~d>)cb(US_<;N*NBl|#{!8d)jb@$|?HhT1A#&S??ID~2QY+)CrLq8x_W3h?3Q z4V0)xI)Z;i`J)H(MJCNaJ)`yFSEFMek0Qt6s{;w4mHl(m9cv!-&-!CUBfi=*9*yUD z+8FUb&jqwc{kTOIpp$N8dJTDM|6B2SCyYyE`^$)%yHG>k=!l=F(8An^o8$i?cBgrS z%v(m>mINDohe!Sog`c!g<*VRhM~B<_StXw>TM!QSQ*xTrJes@xa-2HpG)KE4%=^4C zfoubLt3|%d+?$(z9WBjmVQ&1`{u>Sd*T11dvMz!UiuV4Vn8hlMvf*;ZS3B{gSq+;< zTc$P}$^PJ`<{&Hf4V{=d0SvYWzrolwzTX*z5(sHoX}_Z_7*clmRv3>nfu0t+uBm;V z|MyE0lsz-FUGw{fQOqJCB`Z(wSbLn^?;&RZ59~)qaWa6v?X%+F4?7?437goBr8!F) zYemCwTlIGMu#1lQb{gx~H>|#VX(R4jhgkiZ%zZxncVgtLbU==`OecAd`>l1^H7B1& zqDrxr)Yjjl=3;;EIo{u_7L&EKMCO{}?Mw~4*kBBf1`zt<vaQ9RM}1jSWl>1zH!?}j zT*FY8FZr>HJ7;~471{|?`Y{Xw@H4k#sO_=A1_w+`);M%4KxS%^?1N`W7iQ8csG>DT z6E5D4nS8sY&qd*#^Xwco^QXJ}ZdaXeWlju{{f1sgG_Qa;sYCV4=~|Z)=VRCYmUrK` z6Y!PVp{o!Ki0wG!=Kt<L=nC74N(9U=g$8$M8e&n|uI?vR!8NTn6{ee)YFpobeD^r5 z7^``TMO3G%{nC8k&H3Wf<Nx`g3VeENt2%<#=*F4gj#F5vP5<R_3COqvA%4?z{8Xwh zq%U_(w4c_e%7mM*KXovvm%AyU*G8Tj1j2k)6`+cZFtB>zLn+Tm+Bm2XSSl>PON?1o zI4=dr?M9daR|-dYryM-+i92hA)_teQXtf+lc@PI9x;lC&^J4+ewdzwHi4^S#!SJpF zoxqgGNTKlQ!19PeHm6oOR~dts-lQ0d0ud%|VRlk8mWdgr3OBWT==XA!G6qxY_ZsVO z4xvnmWmI*N*^P=%uXgew-}Gn>V2BEShYE2`FGR|IKnrgteX@!@uI*r$(u`O<(_3^R z$&k8^J}EejP$$3EDTX}pzHi4Fu_GRu=3i7AlfqCLyExEZdXxTI1_QAK{goi*32emj zZC)4ynh%d~$$86rn$~7<b1>?o+s(n!N2VQv_rGqU(=NPyHRE|(+K}GtW;F76mbrd8 z6v?>jBk5Yc5WV9O>%qd}uJmH2NQNoqjxCb>JMZj_&x+|Y*-|IzU${JaJVlOHd4#e3 zGqouTRo>86bE#IlB}DaH@BU*18X*hq+u&z!(pstv`S2-1L+Lf?=9Hr>v~=Sh`*FhK zV^zO59mZT=-wp2wLJWj_K7KN@PBrLweqWf@2@+&>`-lYAWhk6+T9QBgi}BI?4JDtz z^Pj)Xvfwc%d4&?7i~uv&28a^_)#y?}l1z2=oJu1KuxESor^j~K=Q*6~We;_N8=?!C ziOtqO-*pg^&$*7#Dd4An_|vAumdeI3(!gpYM5XbrlH4wP4C^xfr+Pg__eZM%OwdI4 z)zF7XS9xn9%uGS;4gAvy<M0c1Bq*Zm0h&+F`YL9#fR6+(G?5X0!G%<pClI4Y3;3?$ zZ6|0VFNl!L;axY;<nnN0%xQrqF<xpy?Ck{)5){LvOcwj&7kga3*Ik*l+t9j7Q@f$g zFCRoHhvu&9Fl!OvMW4)-J-7c#7kd~}Sa!I&aji|UI<s<CfdyLj2ztMOoCq%r?G(Nu z3Hc;hgj6{^VRaIJEb;)YTL8L>N%^>=vybC)dZheTcEq}^SR#pcDQ52_yx^uQk^dI; zgn8uhEp*QJBno-vyRr$yp_E9P2ypZnr83^<OZ|mf+OgQ*#|uA7nBOAFBQNeD%f9Uf z+(VzdmI``-Cok&%`>Q05H?LS7uGr!b1}pKQr-ALgQ@19={4KD4ESZ+Xz=c6Q=wz6v zx!TEwq`@L3Hg3d%<qF}~8(rl=L}>L8^rwxW*o$bzZU(2B@MTgouWV3$YhodDD)RKo zZqURyF<y^Z25zAaBY%P3lWV_<9xJfkC@LEfp~Qbt?51%NivUw2Gq6Z!9}y*je&Okr zdCdX{8HP$W$k~TV3B<ZIAZ;y3qH~~1LzN`W%@q0JBx6GP#os~|(8mIk#K`=^YUM;a zIh{unDQ5#roKI?O-tT##IiDm)&U`XoN-AKadg|R$$0yG|+4S5$j)|?jI5y4mNL&X| zM+EbJ?^iZSIkd(_j3AvHRgfr^k$(}scc}BiM^OI!+S2Z4>EDS3It9Vfsh(P_PuO+* z$xAfMBUr=tj&<f6S)TCdkXw&(KITs%zvo^o-M@0ih~h-P2v`2&v-3iS>qSU;qeN;y z_9k~;WZk;N$s=SrV@JAle=sR`k<Fmbj+Tz8Z*}AFnhbH8e%+bGUA^%-30V9@P2c8h ze8J&0%gFHq@rXofq*+L!CTYc^3EwluoO`MFkwGDe38dWjkT*gS`yUwS7BC!0h_aUJ z6y)H17^@CV(wx%X`AKfn-I7nczV#unN<)V#eC(UF5o>vcTpoYYdtyThq{fJ?Qbfh= zNxCBwIUTa_u^-Y7I{``s4WUfds(-$elEai=gfqt2AL~ZsKR}XNKl0J=l+qjgZt`nG z`nk?7V|*{dv9Qg9uP`C~XY>j`@>N8>kq)v3dr#ii`(Es#FZ1K_nuxPDg-IcI)kfQQ ze)na6-yoi%K-z?q9+Ot^O|YM7Y~P=hE8soTNMb4fESIq=RIRSV7S7w_Xck>yd%cYj z;_MzlfBVh*uu?MT@@)OoeqzMIgB+DuA=Zy|W!vdvqfCzP_?>RPBEI+BpXoWA@wp1a z^IE#+G)T11%YeySx2Jnglxgs)+N5^4osc%};2nw|avbk;U))rPzt?x0<;?{PdY$FX zuvYg2oGTe7hCfw`*&G=+fZ~u4tj8Olobg0_Hz8)LyczZ4etaM+?Kee!`k0qa_rDL& z!I=Ya6HlVp7Cf474ZK-%&p%-`><qZiqt&P$BdWZd+5Y2U>qCehO`*tWvfdl!^%Kzv z)Y~)nZ^KgZs2do|0yr5)rof*BZ#5D8fk`>#LFQ4~`nHeD+qC+|49Z>6!i0?6aiVcP zG#B|3T}njut%C{?@3_6^l#<P%F*O4_{v{g->a^HYeo-RZ8pl-O&-~ykeNy?!j)(8- zNNIhf+2D`gAIR`8pTwsQQuHam965<^9Hi>={}wPTq?YsP$Ly7O(|p~&)O&p`-vWLM z4P`ODsv_yr7=PmV;K$hwTF(IG>l8G7k}pU0<F8TNwB_NqI*or$LD5J5QsXp!Xrnfy z|BRltHc;8nN0GKNTwqkFA_w&TfQsMhI6iyh3%}7-+X}}?(dc&v?EF>-@ogIg{6^Po zV;v`j!fvkJe%Y}@M>cZxRn?U~yKyHwFiqd)w}3$*`W*ZZle_UR@?n!Z6n&200$@Vf zS)D&jZp6pr!+z}C?<@Nj@Lk9(d+CSCt$5OW*wD^{KF)6e%R**3c|T09#UJFurg0qc zN#O^%j*UW6@9Jo2*QD2O9aZwnUh8Yf_ez`NjaT|cyG=KfY5neig+KqGlb}Pt(#Yeo zUZKZ!dPBsUbKud6Wv*PNybekD#_IaFZQ?w(2`TZy9Ic7-Yop&YFf%vX<O*62c5Uh9 zX>JKKSoc}8BU!_jf6(kgi7lT^JPU~dzb{ZH4*IQNKSCMNc2oGb0%ByCxak3sj66*& zg;Y|vfR3r+r4?&=lU!|Z`8N&L@&vixG6qgojg^VlIyXKKITTv@QaWWvS7g)dR20e8 z29>vEy)=G!JpfZctiOBfbM{7H`__|*r%V;@eG7&v;iUoPHGzo*`qzWz6ply4BeW=- z>Z9p19Z4lE3o@i92jbbwi{w(ICugN?%N|`1f+=W@(n={knNTDb5z?l3Q!2+7Q2w4y zQ^zr!BcQw_Ft(t9IA~d+dgMvO7`0PJv{?=(sibkiru5`={9~kac;9(T%qt1;UrcI^ z>hDjUO*gR+TXIa8RJlfywpkQ7hOG8XDI(?++n+mWV==PNVNv&YhgkLV3>(k(W#dO> zuY_KW_j8E2xPcUs3wwzEThM(2BRpY!J(L?+`}TqtsV^5shaN2GCdNFTuqF;=Me@Cs zy^7wHhup>lOhAZ28Ih>B7tfHk@?kg75(V947`F-dwNPrLXGE6{nm_1y6Uutxs7tL0 zC1__YKhbS~Woi{Xm5^UD#PWKM4U@KMH=&YU;UKp1G8Z`8Ocx&;5<Jy4yz{G!Sf*h9 zbf5{PP8y{1uMZ27WvWZ1Ce-@*L{CL%k_GlcK;F3WM8<m;YZFnUyBoYdf0}f&&FCL* zn)`3^{>d1oI&u7uch|=PhRgCNc%ldogMYlQ6E7A2Qv^lvrr{s&aZV)lKSjcl3d{{J zy+fJgTcH0GX(wK)`N!LbbgSauuzPQ!{|#e7YW*9QQ{eGWHQAhk_J6DKMC=*;Q_Ylk zspy|D&j)X6{|$Rw4#c1DdKSCDA&<=Xrn=NE8RRF}Dnc{bL~{$4D?&GaLJY`GO%;O; zoQguRvT87+`_<aXT)O(%r}whal)}fjF>GoqSV$@CS${Qgeu@ksCF&d^JVGdbb-_t? z1ai(Kyy(Gc({F?Y{Cd^s9m#73t+i{89N!Ud`UIwd<=<QkIQ83auGBtvPV@GSJ?!Yf zLN@i8ik$rKRYMk|pEhh}{o)@_jRJ1zBSIyw^0~;4G@LUX)q12Uf~CH^`<iUN-Zvj| z`UoCLBEf8v&rO$^O<~A^uOnV+Ei5o<Duj?Z50yz=e`uSLhH(BE@V>yM%A?9z%PQH* zF61w&Z_$Z-$x)9<TO47kn@?l^_KBvgR$vs5ePEBm73UjDPN3&6piDkP_nIeHdwo_j z8gZXWA`AKZqr4a%R6o<UaNu0f)swPJ{p|huRbjk6d(ZE=)aQ$(e<;QiT-4EPigZuv zI4-azk?Rk7l<4}^O!HNKFFOS6ZbDM%S-7NG)bN=;FWvS%ZOPJy4bRGQ^c4<&!GC#h zEmSLym%G`OmlI#r6sK`ch)l1mZ;YZ6@~Rhk(yYlFYk#+IQITcAietfAprt$9U4Ot& z^~j240g^42<`&(wYgmu}yr#vraDOy7!7bR<<2TVlX^MMUbEj4_eqJ=v4coX&R*x@r z7aH`FTUdU)!0Vo))Vwr*LOpllwBS~c*J_p=OJ^1-!s6a)h`|*25v_s*!;Xf>E?Qgo z&kKvM!mn}QNinY`lHO`*!`7+)mVBM-dR%N=pV_|7JaHe#Av9g$RxP}FFGaZhjeBiQ z__o8JHoC7KeWh~mM;BjkO@4GnME3GHqD7bDu#e$k-`9VBUwx<pV`M$1`~{Jdd|a&8 z%p&0U+ZXdf0sJ+Su2aE@{Ke|c#|P2c5fzbtm0zGU9^ZXIVLl`pwDIOSGtHZ_B=z0= zzlz8!@~1)ngPH#fYV!Nycu|2+CG;NYRhl3Wq)P8ix*#Pq1rm^|fQTSP2t`1;KoCSa zp#>2E0qMO-NN9@m(4>RF3I6_X&Ybh&+?jLl+?hSY&R(DOU2E^Po+nRcLMD$AnCUSO zygIzc9W!bT<C?NZ!|9$ih5;rsGdIcDi%i|BONw)<BB_`TUKwX)-|^!wXmHNF+w(E~ z$9w&?w}9X@?*|?dhRkX)Wq<GLRhBfp8--99K75B!@>444OdE+e&2jVM_K!rIhxSj7 zW`)t7MXJe(2Z*c~sO9J-9-W>WgeI&TtVs!r7fvqb43M#l(4Qzkc&Eg}MoJrGt!Ve} zm2x#hXdy|DO+BGr`~vPM+e;!664C<O`5os6ZSL}>6_2|{?B<O(L<fP9DQ**r0IP+Y z?%N_q_p|kDwo`a6L6fUQ%I+H-L+<aS-7k}+^jbd4@qTX~^>P3%@E&Z_>}XSLlcuiF zujh?6Fov{mg)Sa(jD{U|R#JF~ZBY3ZHOx-EmO#q^rZ0)2;U2f1TdoC~W7fhtNLo4( zoTrUBhicPfC$lTBI}4znZ`xtKs{bgA21azA`w*Y~9feiYHLl$l4GeF+bAH)xl(?_f zC^x*Vzi`uPmUJwPD3p3l;b$z4^y0=^v&t3w!Q7>#vB^NVY|Lj{SI%L`9K$cKw9re< z72>oGM(%BHbkyxf9KSds>@NAc62s!mKIcA>B|($uQ8d!}r$(5*yszp*F&+Im-;uib zso|S*@}rYS(&qd}A4V&)&*K<RrzV^*2?e%Fhd}4s`XTorjb~}aJ}=!T>^#OecmGnk z-EWhoq!<3%`Nb_}w9*q(MCHmnDy{fO+ML?RBiwh*-e=7*@S;=AoI=<#D$H6{NycBK zq_w*UVe<*%qB{2J`zia1SR7d4-Lp)A1FDJ01xVShGh#aJmcroBZT3c@U(ff-2kr%l zxhEGcKrWdbq!tYq2P<U5ADs($E?CDokYAP;d7K_6T%E-|?DT(8UBYSRZP-}!C2c)x zNo!YTDU7pyI<B1dZ;eYiomJs@w@Y~i%$s@6%S;!$^0tzTs&Kk?Ou=Q~N#0gTdC%07 zxxn(N`k}xmiw2nZN2`*FkPIl}(yrE`))1D*O8EBDU610M`t%OKgEAkb>`P4^EEzFP z!3~~!x!WH=s#u@Bq+}2?HU(<dt3tu5L(tu^pzS3JbsjT&OYxBPKyU9CK{9)~SKKUe zvWJ4(lB~w@^B10upTctwrYEr6tJAbpa_gr}kV1CfOPVI`Pi;;VRhbbY#eW_5%gIP{ zSa*_g&mJ1CWl<!EdI-M$L4$c%pjhv&x;B!$3ExTxEIbz8`<2|Yr-2bJ@=z(m>F$>> zT<{f@sg(WJ-Jx}dzl#_4Stzj}X`x$V4ST%x>ZxBOQ3rmh3cr-nwiMXgsOYP%oO4B( zFCb&H;-_ZYdtlY|e6K_|$<|Wyo>!krav4hu$JRjal(>F5nQMszRr7(RmRE(7l<AH7 zIG=@W=5EOTC37+;|Kw58C1iTBn=IsS$35Kqxp)Uhn*^2fCm`)Mn`nF|+xNzQldBxN z*PFb!<(t1GIL{3uTV7~>rQYP3m9eJ5-(MT>NCnFs4&HV4fP76a=;BQafF@4_53MT5 zvi%e#>I<63S(F6{^}JwfQgXeF{e)QO#+<xT7!#J>G?5X=6>2yg0{IDM@8y;Z3Z8D) zp$6p`pQVT8`w4HSZoK&=kF5RLBO1cgA7Ixs_a{W)(R9GUeSbT~%Ysc|&@)-0FI9Ij zTIxi8llT2~S2({E`9ATR>laKGInY*bbV7*S&X`IQO(P@u67P$!>fKOQPTB5MmL;;& zYN`-lQeVF-S|>WWez!FTIkfX)>MzG~jzh4J8|3#FSdto1GoPB(qdIGFdH993&hjhs z?o=B(_nO(bS`(ImS1-*iLr%l%Gv;gRy|HoHYd4Ev`}Ob2Id}9!R=8OTm_O~>NAmi= zmVxF?O60T@E(&D8nW<e5z4|nhL5U};bZTz8VNJKDztWeiGzeD(naAgF4#?b*W#gyc z{4`J$)RUPb?ago=6MG^U71k_dN7NH0egbMUl-7!O_cXv}=hi}6f%{PF@#vY?s|~6L z72mzRml_+b6+oy4h$jeD3(*ImkPs;lxB=n}0@p%_KtJjrcAy_9h#|<b5n=(dL_rFG zH=)+;(aSLF;b>Q=H75G1<!gJb`X2H!kt<#1*By?9t2?d2lgU47f3|sw4gATr2uyvR z^XN?4sKE0eepJ}j#x+On|03cJDu!lycPfG|>sAK}*W8=tlQqVO^?$SLG8FLtp{l#r z`|Ae$LiDH>J>(<^XBS1)p}U<#;9{cSI`nrZUN}(nN1b(#6A(TnYFT65=R^!&7EQ0Q z?sp=GcZo99Sob;+z)wY2YBC3$sNgfAZZ(;GP9*Sk(c+rSekTfepQu1hX0H<=d{4By zhGoEs5<VuXRm0Nf1b{<CqmeA%oo>J{MbB#2IzPz5d3|2K6s4-Y_s!`(yhSvy4&CQO z3f~e%)u8*GZo-E|!8Pb!CnETv=#LufPA3_-g{Wn%b-R-s+*LHa*1E$<60RxARBQds zNf{m_x>B3j=>`m_f3Y<H4}yNedrW_CE;h3kq6)MkEjQiS`6cGt3WI!VJHh-%fc zv^%lDxkX88SiXI@4{sGcL#_?|()l)$)TPQqYK?nblhNhHr0@{uSd-fYX0m+<h16tq z2{3^kLLF=Jy5yNWtt;GW;=1&hM65+0*Ti?3GU;36PvcFO8q-bd!N-zGU5}XjtOwmB z;avtyQq~5KB@?>Lnar#W+$3YWG??hE;~z^Vc3CqyTgSUe#&zj1@mf<lOGb8aF%elG zJRZx?<Ya0g!d4vB{!|CQ_!g}MLw82c!Jan)n`d^ZK=WRmwy@`Lpm*(0Ao%NW^fY=` z7-SFW9Dt&`qM5O~YM?ytPCwXl6i@++Oa&@ncBMgqNJt0>RR^&Jp->PJ5UL(>69le< zn1R432rmeXgeZZ2G(cdWAN7y`kY&Tqs~M`wSO?yb#*5V}MZKyyr3Xdzd!DOzJ<fI> zopClQyi3SC%h^jW*z@8fRhrmlESbFjl`!o`waSt^aJ5jSrCZS$rHKKDL-`30DGmr{ zm`Jwy!(sG&N8zXz9TYJJ91Gp?#Ok}`RKrsHj`C0~2B;tmI10MsffaEnXDqR5Ds?F@ zhgGLkwxSGqZFyn%CrHD3nEXt(J9g7W!5-`OwlWRXqKX1zz^Tx!`YprSWlyYVZSDt{ z_srovSdJk|s(uSr8}I`q=c1sEo#)1$V!#<tW_QqB;Djc2Z7*}6A%UZ?Ne{b%O#ooD zx;h?}!^~d{0`b$q*$SBXt)WWH5%<g&4-libnGLptEwdJbLT~4dqLTX3$58w(B9kcN zUQ11EdP?ONRHz=x#F$@{*A@ZGPZleN4fGvlRF&taZoNTOa$)l^N5nH!o>;wF_Hopm zzCaVKW%3p^>^u%iS`TXwYsRcr_Z>B_RB~fq!ff?Xp%_#mG^Ak*RJ%2XI&{HS!WL3s z87LSR)(Cc<2yJu6YSnIyqIUYyCxfsWC<mDx(puOwYRKhW6Z?`63x;9UQ27m8g0;)* zn_dlvI#{9X+odopRG|v?JGyTS>^sd@pHS=i3xn#kS3kb#zd1<%MQq-EVq!UuBZ{?h zX`ENeuVH9gN@so3lvaY5u)$YDvrDnEx_M#6&><r(FV;VpwBmZ!Sjt`!>TC`i49(V_ z>Y5w_msze5!wNSZCyuQ@kdaZ6Nh+($DuJ1A87jdFSK6gV*T<G5k3Bh*wDv!s#+Jaq zhJ?5%Im=JlT|J73AoD>3XISB{Cj#yu3*dzLCvC&<Ad~%)b*UbAkdoJa3Tlj3YA7Js zy;i9xNE#<8R-X{9<W`HCac@eOS5lZhLaxj-eWtG;GFob>fCZB5YUJ7n)gF#3Dv;0G zU~w<D8DMpFJFtM@z=lQIp}GNppnHy8?Q=~mF8EuYyi&9MsO>&3SS<ukU70luiQkIE zxJ|R>O`_NWy(}E2tE!7-M#N(i$50rTO~ld<Zfs$;RR;8v2UgN0!~(mKyyc&3A6k1j z_LanCvjhh00~=!5k}Fe<cC2faih~mR0*g^H+*nV{k9W|=>j#Ovwhlv{TBy2)O1YV0 zPurWdx#bfIdC&{#YW|tw2^4+r(HB$$50+TIg}(2H!@f-_EE?6ojg7+mNQW|S9vJap zm$Ii5q25oheJ;%!SWN1cY3}@O7Z4(dy*Drmm8*x!!UVF-RE@6Z8ll3or<0*p9#~eF z5HqZN@|H*Le3lESC@8ltFaedTiF$|$1ke1b-?~+sFpl!u;)!kD4hkI7+8-9gN?`&C zW~wH9?(kt9hnn9&r#-P<E+P6@ac*pae2cgX=tEFO3Jis^(?aFcZ~4~FeVAyDfU3*v z=+$26W2<<uSWKYIjMv1vojMAV{Y-4eYZ|rL3)aR~31ZphTR^>F(<BEkKI~KWV}aVa zQkZP&7CWpt3TnK5aH)x+ZrHM{W&ar3lmMOc4{l7Yj7J6VW36G$uc6ES!Ov2*#D_Mu zz=g19$y*O$%{fr*&4X=j>|FNroOq!-w#5bX38sY0E;ZUwuAM6kQtCT;kDBwg;&0d@ zsI?ehSJFXkXCKqd2zz2XT|m{amR?JXPse04+f!fXUCN7LNhw=FuuXLon|w=8?+^9; zvy{qW)FCex0NXT1b)8)-`P7Dff)VwiRIwx}TedKe2I>$4&V(*J!P3-LPM`{0Y|CMt zy(legbn2ET45W&h!W;o+P###F+R%?M;}lpLDuD-^fjMHBvGl+`sSPcF8K=UcPzl`F zRm>6POu8r5x;C^JHj)C%LM8BGjWI{JW|XH;doH%wGFRFt<Jy(0UX%vbo(tQ90VhLk z>$fy(FG^sky{Ly+dmbz`2Al=Om&LU<v>N~M93^>j{saE3&>9!N#lOFQ+VE|wg$%Nz zc_Wz8|C~)gp;`5c{s8~!i|~SLE$r3H8wW2w|JZR{pgvO`J&tH4J(xr65QYk_wS~75 z9q^s(il7@`U*259s$8)iDC!6@AEY2MZYYr+0M>j)%@Ep%JJFjjNzX_|+lWJz))rp1 zQXMoPstGPUN3CABG9FZ`I1ry@AgTcuH%F~rUCONus9sSXxFW6qXP*#vh%e|zGhSUv zuGy+w(H;yTu5O$OjJA=6IwOuHh7x9feh;*t+Oo9)1XCPIX(H~BBqnSIlB6Al<l(GJ z%gy|Q-{9JU<b~$*U6MFn<{v%J7Z5Uh(6p6&^txgGPdjGD%=hChMin{XSH9*qmP>B* zKOlHlNGPODY?4TU_<z~p`z3ccV?tfU;l|lHVubWUX>>LGQhcp}ux+SJeC<%x8xTxC zniKv~ajjCtn<!XebYJy8@xg@3eWHV5L_X2kDZ&8|%shIB^g?*lPqmHd0Ho5!dSI)% zLlNvgIuK#caX`5ig|H%MkzbRh7dbEBosnEc$+vPlybY8$F|~O^Suf7I_DgEC>oEd8 zqQaaXF0M>u_k!fh38nwu|91@3Z^L`uhjVaU+p<?ErH5FbWJ`@oKSsC@t4zEPFP0-R z^!tC+;8w0(jcyO_-x{(5%=uH*SEGC_k+j8_2O<4i-i9~aADBF9-)bC6D)Y|K2XtXW zwyJ(V+_?FhE_^=Cz~TJFu8;pkwfBA2EY>f^T3@NT@8$l<PeR}ME?@4C3tU>WIKRs~ zBSbz!7OD`CGuNl0JIl0q<GWXPQt`|)HeDX#kbHX8z4_H?9A6UjEeCMx=c6$7Cx7}5 zK5ov6PX~1rqLR0@pIE7ChrAOg8qA5D=U&bE{SBylB5K^Y<MGRzVI+7Gw*v}{P&@Jn zBV8_Fnk~dAcNi!TakEyip8)5MgT`|-%0B9BzmAsAlZNXwF!qHq>_-R=37E3)c@v#j zG5=NQ)_#ty`m9^l^D0b9$(<^BLnLM2`-5PM^Yl7H->KC|>U_gZ-(L%jiw>c?)!|7J zBUK%`Wx#K3=Su5JJTedXRu|xT(hF4+w^R133k1cGaQ|Nm!MoM8C(kO9cEjzWQq7Zy zuQ+y#qBP{~li!CWD6OkE8-3ClZqv;>NVY4YziK78%6=7Q7#i6X$Y^8=qqtOB)8(Wu zP2iEcO588v56m^fyWI&IH*MAtysRX;$_@`33XL@05`JHVx%D@sH#MDhok7$0vSGJq z1jm+*cM}L1UkxNM{A+vjlAZdp;egf>&3IS0f&b(fDHy6+B!%DCvk1Y;de0|uzyF5J zq*~4pOYeCDPo^pUDvW8L^jE#rEfb6gYf*HUNgjT{Cl`)QZAJZqbAIW1ZV_gm9Lt<c zll=AE<gKVHhopGe?(WbUpGE61;}2nn&)CL$dK4eyI8G3TguDJ)@Yt<pKY3Q0w3}<k zooa4OaupmhuEk?lrSpeWI`5#xPTdTDisr^=;W`Q~iZzUX2YQr$Xrm2i19htzBEpP= z$CETLmG~2TCkW#n=fH3Eqtdz{&z1$>G-uLYQT~=NV)l167yTy{0r?X$EbeapY&O-~ zSZ$?sW*)n89dY_k!Q+9=I-l^f>fmvzW;OgV5?&b*T^SwIzN{O7rEIJ(I&d0ebc=Er z`%b6FQUhl+<?&Gr_luMQqm2HZ)BRN-`n&m?7uTTwG{r-3cSq6~qg_;mKM#e8)FbRa zxMf9`6zB2&q>=9LCgo-BGVfnVMit*w-M6;ZJpa)u?dy|)8q2#dXW>sqb}sd@UPSxX z0`ua6+~Pu$atCePsF|q}^WV)?-LM%Y&1>nARHuWnJ)-Lp&87a*2sZg^OK_P>eQCGI z%O|9ENB59$x4KPmnP#09AAV@Jh)!eU`jBoa-OkWSiVMqs9mX6U#(Yi|#86OO6fAji z{8^AccG4K%Oy18;m6OjzQr@4h>fYv262BB9z54PhEaQxfR+ELV)SluJxLYKhOoG1> zcJ3MrYZh@|mwsJWo7TPL<e2s3ncT^<s3enUp7^)s)i<t4f=wUtC>7viizt=eO4bs_ zyBQh_8y5+7wYe@XSS&6~DR+!9K<bNvWlm&!Q-x{P-8EC%=68!Ile>lRS6jNE1>ZQ+ zIv|Yj%D25uk+a|}4>Z;OHuYc7vToX&1312=Q}WESbg=mHSoNot(X3l(`sOZ5<(Vhw zjPx2_GTikMbNMCDSs<^;BG|Yh-}~LsxJ<QZl|lEi`FpAdo%>gwCWEibx(%@hUr!nt z{x%c*Z64DuQKuEqE0c`~Q&%h%PqsJ5_aMGN(K@Numq)7Ll;F7juLZ%yg`vd-F=aKP zI0;SD7UI944P9XDK^#7%%Vph!H_{f@=NV$^%+n%#DMoPRMSSJ8Pn&HLxt_8CTU?MZ z_RuSu#;5(PS&&`3i1qp$mvo0>528<wB~PXq|2B7OKT)N9Deha^T@-1+wfN#jYsgZF zc&pj3b5N_u&k*ufqQ&Q+9@IUJ?>||nLZ4=a+sOy!qb(qfuM8!?&(QUbz%I-ye(L<Y z^AA385(mqTCXuw<IM72_5x0=6@m|m?<mI77Z<9V#iDlc?6^lJc=jjV02pT8X$gb3> z(r8)It$ZW>>5Vx|M{VVk+Vc!bNH0gn?(N6|6|zgY3$M`~;ugWrXE)ZU!!IS)LRG>j z4_Xn&q@i4EuT?n-&m=~72wN1^j@8evxYm+h&4UgI5Y&XBLThs>ZKMYri2KX=gRuuL z^Wrb>D*Y6vA_!$!n^SGOqaaKBTOdO4mg+E1??ZsGa)cmfdukHbG3{^Vh*@nDd7jVo zwC~=LZR-I(3PdPGek^8qmu+g|p{H7UoB03og6dP)TD}1&M52<?6}5QxWuQVkEe4bN z$`$IvFuCrP=bxfPVx9abec-vNLI??xr%7JvM=A!}`MRr(v%Rf$2F9#?FN)`ToUtgu z)%KqvDh&#J{U0l?oAY$x>vmirm}^uNdEk`O-u7o^>$diq4y~SEnfB|jXCdRP8vPc0 zs}97*8yZE5_=_-Mzi3!PFD~r<tFSB_IFI+eCar0T2f@j+B*9$6A{~6Ej0BC#Y3Sjv ztLhJex!OghzZL{`t0kHjev+-4Mcz&E;NPuo7PM0-s*U3##ozLyG=#6;DfaUGC6nV% zj+IWV_?z$iCd)91r0DvT5FU0MY|6~zdi^#vlq$||8jkPEyyK#QSn~&ev1FGPBis3M z9gGYGwM91g``1rhE4tF_#`Opuw>V<Be|?AB9k2>a*^vz4dcrkyb+I@@gt$+3rjEEz zdB%%4CTXEwOA4Q7KDb0s-?%Qh3Mb{6$!K%<OXjuXSMx*%=ZilM04>aGNfGnZ2R;8a zM9k}#ve!jsKA^p1wTF$U-?5hhj61dQy#OYc4ZN-*)?86A{*tZ}5M|D+15#X<=aHc^ z4dn{m)Sad;&3em5;NBuQr&468=0RBGt+=km(?D-3#Z|dql=H?v`)wlWxQ+3b8IHSR zMV2ZyOS+9T>o2$)2*#)L4(^0}N4>=mxHkySc_bBO^rTQ1Rp$AFlB-hQ84-+I<@q-& zS=s1(B5+R=4B#KHRFfytaR?q~KPvL)4j>uVGyXD>*Cbx#_v#=@Fo1VlT}_@-M?`U5 zoac~sTvO$2Hg#KI{04Ub!MLySml&PSNPoGv%KJsz8f^SU<*(`oQ@8oYjsIBth99H~ z9&(La>#o~yg>L8`)4E5z^|~=`YP_La)Tj1^RVV-X_=QHcK#^^D{r6N3u5knI0OIkU zyaU9=2vMJ%I~Q!PC<e!Qm#kFu?aPCrnAmr`86wn0LwS>Mol~{`8|$RT!I3&2qs+;Q z4D%*)be5yciFFo2#tSusMU{;ibU+uaObVdmH%b}uPwh4)M&D*cK1+FbpKJSe0LiHq zW?L_eWN?Ck8<z=GNeaJYhJI8eJj+LLl3dWNk*Z!19S|W_I~!h-p1&MD4sT^XP(tjG zgfg!ws9pgN*b##5F%Ipm><11i3nXVFh*eejCPW6H1+XTE5L8KmZzm$|T$b+uZ$AUH z%*ED8yn4OR#$S@4!U=e)vmP9Qp{W0+&Oamz$mJV7=jfN(B=Zm)eL=mP(*Vd79Sz~o z%aQ>!Fh9wQ8DH^?Frr<XL!68(9>|?8e4Ld#{mWU1RCw`V*L%1-OnbQd{xj7ooI`)i zbRW&pd66U5fFd1XicCq3D1G++rg1y;F@+nGnMCuu2=Zu#uU5s2?Bc}LY`0l#KRMpo zJfM?kWhV#*1b5<;?$APE?JWYItBH<H7GFk@q4*b-2_B^;Ij$>35c@r1PI5d2Wn}YO zKj`MSe`J*4IK_}5$!lF1@926nBm3z?(hMOU>)1Dr?F3dgcrw?L98HxX$Z=!>a367r zh-vQ^oZZiw$?SjfJZJYgTf@N~yQ4|dqm`^a%aAqxTuf)4ZB350Bl+x<SYGaKy=~Ar z&Qmq|(}Sf-@gpRS@RPDnKfvK?Vpln_I>CK9eJJ^U&EnZ#?#Wfb+D$*QL%GPaTWX<H z1<Eld`RXMj_;s2M7}+5>G8m-R0xX!1Mt0sMJ_P?8e8jHO#LHX}zOT*+e0J;r*Hao* zxi^Sg8YWacLZr0sh#nnv^RJNgtNydSDz~7mkEMMVONQwo>(>S>6Rmpk2yvq4KNhrf zR=#k5vNJw<J=a$ciNPZOn|D@f;n$zSjoC2wW@S>N!Qw#Jt!+wObx@4L-KU4jK-eR^ z<q^bCy*WKP5XdayQ$xeN@|0E-xW#59Qe0rCuihMOW_E8VC6MTC<1(k)a8{bgAvfoS zit;4Eqtd>Z+7#*lqPAVKg1kr*W3>`N?sqvf{f1=A0+GJ-YAqB6lu;%<YC^``lZ`a} zmSnCXk+qC!xs(O(qfCa?gdTIhtE1U?O6Dpad6!u&>t;b-jLD^%P#E{SHky71vMsg$ z4Oi*NyKHJ9v}!@Xf-SP^aVy3oQC+Bq``r=^CYz?;pUhQ0@-Dkt7FB@~MFEhapd!ZP zhx(HoHT)<c;+_nr!Bo&}sFOL5GaNu@4<Mh#gd>zG8((XT#{8sEtO{JpCaL1Qe(_a& zxIk+(rf)%LFdhGS+w)P?WXp@S1-1W`5?^7~O&G<-|EELDi_1{8<^T7i%UgSwK3;m# zc9t<SIymS5Jm3Rn?j?=*QPeCok;E5gpBRF@VmWzlUow(%yzO<*9r+#+L^v0fN|H}| zkfHL7wCgIybX=5I$f1?=3#lMbnZK88a;~ReR-e2~c(et7t1u=jyJJHAUfNpC<YxHx zevMvE(w1nXijtCfx2Ql#oyze!U?3}rY=h@C_?!Cj5KlxE^O`EPg#;&^e~b!sP{}t@ zzO|R(97iSvr>_vLgol4PEWtO)*u+P9l`Spyl~tXIH?|5k+>}-CkROy7Ba4HQ5UhlU zdo><8L4X#KQD=@a&w2he=kT-OlDsVaQN8f9Q%@O*9h!qmm5a~{EjKDvTjJo^9uMfe zz>_2uq8Am#ZeUeXVz#vcw-vDnDst&wb+JLw2&$XXXmzon9KglxQJNhs5vf!JFCp-- z#wJIWEKawEb;Y?sMv9CAz_wiwxDpWYi!cEgcLo2{l4WF~hIkxWLA4?i;R|3}b<TAw zrZrc){z})_S3MKm@a}VfLRKkYnd0DU($vgXHIqCQ`WF>-Lktlqge{BCxt{g{LJUtn z#0<-(lIxKle25X3zC+7EF5Ty_=_D14s8_jjYHc?N2c*$Gsf`hrq9+#?8y(>I((zSg z^Z}va-t?D#1Fn*ImFg4g>C9DfVUbaD&P{o#JcKV{%SHic&<~Kt{3P3WS3oKeQAiv- z)bpiFQQw3(yf|ddQYsyRcRUAp%Z|{PbB$6`&q2Cr%pV|R6(@%j4NVGEq?G&{Sq)A2 z!{-l7p6xTJrV(c^7jU>Ss9FGm2NV`26m?Bx!rjiTsWX!S7R+lf&Q0!pfT|22d#S+Q z4L>0c)~1X96HMxM57Gco(DQ)4n--MlBr6xQmP<MW4w`gqkOkKFoRPYbK>~<HcG0iC zQ3~K#+J%FlCXOVumd4034iH^tc%%$XMRZRzvD*y@khpxShBiFtJyI)zeW@lneBBsb z6CtyUF8OxATT$cK6AbltJfr)efh^_#(P#FMc?w(mB_#k2I6D&QD?avg6R$kz$R-c` z(9=y?EDIST_S~!?4-bk&E)grtIMWkNz>(<@0lVm;Z;A$J@`&8+n!fO$H%QBffIsMW z-xQx%H31X`oXv>#U)ibB;vOX#-W<FLB-cdVR0JzYC~dLDIWmVpwH&wW;6f308#P(s z>kz94faVV8Izqo{I}uu(N74|L!Lw#-9&`Xl4t-__jw04kHW=#VxQ!ykkOjhfR)|-` zJB;XY;G`jH1KAEEW*k+L7};QMWPmyQquX#X%0c$X+=AeHRgG}Mi8Q298GPwEoXAfB z^0-Vnv4%gqDFIpMjPCQv)+h24fvkQ<W-L7)Al$#xF`NLA?b%BwU191NhC}Z6>{$X< z+&YGnAlg0X{%^AyXktm+I*To1CWyRQBHE7K!8^dwiwpOG<tX5mx1nQ|Anq4SU|@XZ z&B1_3JG##K#G1qKrgWsfGkR|C5DZvh>kx-S!g}_=Br6^r;z^Jg{FHb_xTER~B&i3z z^6il>I!zMy=iZQ5cvA$j+zGwv^R+U(={>UC8NDuv5|hZF4K$<-Q8MxiaTMprVORn~ z;<v~Qm^-TAkhUK5@V7^5XrLtSgayl<2`6usj8@`w4k7ZRg~)axH=Utjj^cc{6_%sW z_c27_O|Ou)PUusgudl=9@{wU($m6BwF+_eGkj8H0xie13ahM;6VmS(X@3!+<E(VDu z7FnuM_VEKl?BG`_!_J{gE216S3>6V=_E(?R4XkC`h0mT7{aP{T*ruzfO_CMzlBW%P zws)m`_FVnf3T4MOZAEcUbeExa$CsOyJ<hzpR{T4*87uO7w7Q4fBM)gR3XWaPXW#3g zLnCC4&}*O9RjiHM6Zg@XpXH*EqyW#KH8nmHc}P-Xg?8tqUn?#h9CQ^8J>5Nq3LP9w zIKCvqH#w<foBY-xNrv$`s7NIqbSXy;gDi|}Q_NaB$uKeJN2HQ4I*TKRPF9fahc>cK z2`uAfLwl6zxOy6*B<5wrRgv$=91IPft>>#~bIdrAe*ArCFH%Vy?aGnEDl0|?;zFl! z<S@z(k%NTLGMB-1OU0~^*MR2vo*a7F9$K(9GDi_C>y=Fh)<A}xO0RYq(sx8t1UC1q z^cXsKM3V=8GWJuQy`_&Pv$$fhWsyxF3sIZ8KYi6@sNSJfcD8!f_SszpomzJ3^OfJn zEFbycdiK%BED8C^`~u&fzgAc}w95Z+rTXZJvP(%6kJknbSZnHjw`I2>tRwHi;mBc_ zj~N{K*y6$&8ZbM_2Yp`_R&@o>VT)Ayw2{Ag^{ZGOvSe}Lci}K=&Wj7eCxWGswII(y z{AZ+q+$lNhK^K26H9%`z8*j;`k%c_?FH3GnsySZPbJm;>T3Z$t4}<3rcGz1UChZ&^ zeeR<HYvS_={BB6xVgFwqe$o)L|3bYJ#93HeJ-T9+m9Bp1o?RC9qUFNJED<?<y)V*V z#iEdj|AE}Nfd4>k+N0?IQXh{TGQZX%H;Y5cP66Dq&)Xt(>B0I#j>Vs^^fTWe8z&ix zH?QafG|@PgVTf-w5uE@ZZi~gp$v68ooj^WPPV#-%Z|N95gB0X5r+W!~?o|4jiXAK6 zPdQST5_B>jb<D$mY6v)ZyL7zcgTADEd{=Jh0l8TO@_5Qzpa+yIAr~oT;+J*H+n|Gw z>|Djr&G%~!IlUmXAbZFK`ltgC8Cp^-Wp|Y!A=$xHdTP)*9~pTHPft`frO%ExG|1$Q z6tk4duEJ+}pWOCCvGhzvAGu#9(s=T{fU_Ch3JpYmst7-$U*Uq}mpwFWov)8PeT{VW zut{AqG|nW73@u)fxmItZM?Erx`d+#T48H78wvx(uzfuu7qm8DJY3SQ?6CAYfXf^T6 zx#extf#b&M%QW?&XCr3}(ZVv#eeT2hnRbz(jGgO-iL&=0fpjSO=>44QlorU&ZKm!g zHcCJOX;An+YMuWOd76f7xbD0z^157Pz;&1LL0)p>Ko(cC=MEiEUT8~M*e&me9e)kb zB-f3cCt*u|Zgky)e1pc3u>WdR7lquhz!$fiZbiETTzb|M<d7?oLw>G$9Zdl8k_%dQ ztzy>!<-uuRtK>^8(4+7jWaOvb0p-I9UN>K+!~*S6-F2twWdb8%W`{{NL*)BnkT!ge zwnB9?4<lh#__a#%eITT*4Bxk?$cNf!DvPU=U@W~Gp2Nr83*;MQ>j*5no(T+2M{b$o zJ?6;kvXOK6O=gGCuZVOUU0aU?2ZcM1u05#u1~VeJ@X7-N?U4_4(L2{*4E@T@4yuyt zt1t4QDw?zG@*~d3>fB+b3-l_6cB|rs><z&=n|YInVXCJ@Psb~lf!u5F|F`HEF%10Q zBG1~_|1GLS3{(Gak!vmDe~U{1JC;R~#iF6Y3#;{h%^*?Ov`B1V@~G)&$)|FvR%GwK zD{1A^`hQe${u}SFTNjTg2LyK;E39T2lYg;6(8$W&;a_75|MN6;Ul3qdUS*;8LxlW` zk)4Rc^<R5LeN2C57hsOd<TiDh6eq2JP27KkMwc-EW*Q)Xc1@R>F*6EaCp;<?R;jj6 zpqf!?eOha;JGv}vdHWoPyPv(ntkj%xfNQOt5L3(9tOm)J<DhVP&AZEaa~XlPnq4Wt z4Uw%!@Ccq`Tr1K{Ot}5UG0qa5#l$GG5R83$)v9bcRTV!{Xuw`Le9Yv%0GzvOIqg)C zYfEtY{IJD%?NXrA%kob0g%Sy~_xZEKE%*oXPeM{c{kgwvTVIr^GKc0ONaNs*F}{QT z5oe4UTGVOM)wI$&h)2}RS?&O!xbpo;_0tuLh-fnN4)xnoX@~;C<>x{$d+sNhD&*8@ zU^Dzfv&pQw>)-$&fktT8L{2IT;YHY`Xg>c{W7LlDf{{U;agZ-!n`!M$3<dsya*lU2 zpF>!ho_s@m6hdtQzC+_*trDYXK81gtj8P#~GM`g49t|bD_*szcrl_h!EWcQQS&@s_ zW?p+nJteb57HopJ;3#{X$G^rMeiT#^&Z<A!Nw{@r!n;qY+5*5V6?D5%swR=uW7Q3Z zCIE*_PcmY%v&H~Q!lOpi4HCw*liG*{#mVt_o;Atvz~?1tK`Hph|BH=+A8vfA7sQzD z0@Mn51PAjPGj)R$FBu!xD44oIwv(JqXmmt%&&qUZl38enc8fe<L;SdF<hD)NhXl&q zHlok1$GQw-@(g1hznR941_%ha-v>9dC`Tvqxp_UHN7kKm_CNoz7d6-`X&aRs|2TK+ zdwj}D(yxiMUk|kfBzQ;uTKvs*RNNdTbo-q{_eaL2@A;1!f<c`u6Bhno4>`A`cwvcj zT1JYS6G3k8z}h!?X-CeU=d!vQ@I$^YJ<Ly%Dtuqc9nX~);3y&0pW_@!3xCW$GZ0U) zVh#D57Wzb7io(DTa;r}nmChQqq5=7v75Y?EN;oGq%IDtsnx&h$%%_`6CPzO@2TQz$ z>AKr-OZr(4CLVFc->;>nan*wPl}b>#aQ^Iz|FYr%`I{Gd$tSgu1&XS<e-6-bla^>C zcXl{pjURmCvQ5`Lk29mm8bi+t#w*RN(%da94YFowt}`Zd(URKFln8Xq@9K$XazjW2 zy5&dr#HYJ`mUua!oRr8~IcU~_P7dX{C1sQIIBL)H$j5E)iKjhH_Ysb$D|2l4t7yDK zZPJ00R>*#~1j?1OtSg>s#SrrMeP{)vB+4RAc;e@B;8*RXcAKfVm*9#A4AP$v&bZng z!b@REd+riU`z7o}%=q31WD5{GgRBJFVv%V;TMRM^m@|Vc1%j~1WFQEQ%mZ#>kSL%h z2Kfb8jzQJ}Z^En{J1M}T-krW+QRmKaumM)WwetmNWd>OU^n<cALLRh=+k$p86MWJ0 zE4x?uU(*t_RzFvCL4Wk31=vKp>t!yVO}seEAHVe{zi38)?I2&1^CVwmL__+0!`Kp7 z-tDNb?J)`}{Wm{;g!t;IZ8zX5+_`D&EuH4rYo4gfwjv#O`WBU?gd22}(1>tD2_!iQ zxc!>|3mQO_<7o2xx0#&ymwUSIMt?`fZ|xhq8=Z`d*T0s|b+gKo=W=%Stbm?IfklxJ zVo-V`L=Kc*191mk9=}*)8{-?eY8ViE7Sgni|GcEhESmg`??GgGufpIjE;y0sQ5|}~ zNfw?f8i+&>JAvSpq9`PK(1{+NAPPoWk2tZzWkfCOth=1};f$i`b=KWZx8eMvOm)`Z zow(t|qAPWoJx=0qIZ?N|%q}MZIIC!JU1qnFF#NWtKwai{CmuMtXm=e;kCP}|QdFys zrOSyAPAeK+!_whI11AtYt6LlRKn<T4P5gd(TJ!%`-@PQbf1io;;Vs9S{4RT@=7%_! zn%7-{Ojv8EYfV&_8&i%o)TJi8E0}51x&l&@-6hN<^030O=6%;)CjEz^kebXc9;TZQ zMI9ycyA+xH9u7hzbMQGzJsfnD%<Yn9GJ9wMk<9AiW1@d(;3%2bb&tvUVLU`KyGw|P z_hG!FWPBG5(`#!=$FY1(2c}T#11e}8W+0k+W|shTR1cvB1vdVi1LNE}6`;?Xf!+;2 z#lT<tqGi#$@*sQX&T{DUDBzts2s6kY+IbW9ycIao@N))?gLWE$aZfrYpy>AKEf{(@ z8U{mSqAQ{3@n|t9dNA4$hDJvxK+)f#524o6(G4)`iRdYq^;GoLV}*LKqV3-{1;7(} zVDBmQ2dmg<-Gf`8iyifN+AvO(d92pubdB=O4+R}hJXx>2?-zaetGIV%_Of5A@bbvq zyL5i*U0y)HR<wP<X1BZN%e{pgt8cehuK!79EIYPf%Bb09^qv;j#!0eaY2!|$yJq(& zsYV(b<NgzZ9uw&keW=Zj<e~G1_mq23?`YiXi^q45kEi`5CTk=Efalb13H30tJvNV= zi^f_Kj8?f>aTRK-;B!Fz7JZH^tng66Odoq>I-8Br()TEiMvYOtFRg-|3wg=FLKI^X zVUu7Rw3PdokshW6GaFKA!$YNyuIdw}*e4cKx0ubsT#d?+#aSz3WtHv)u=;*aHcwC` zMGk#^f@QKHeJIc-0NmrrQE8g9f{%<TR$Q+1@tOaDIoC!B46j^92fN;75*f=b7XRr` z;@R28HgQ4QLc0cZK640o%*b!<VaZi<lPi$myZ9@#k@t;YyV1>8_MihB#5ACVWNk{d znk3j_G%2}lYiPA?tCFVQfb~LtD%6dgIrpkXwovC2!Me0EN5)`Fq(;M!_f$m_7Yk9A z{eEH0Hi3S7b_3u3AC1(u)%YeG!I^TZ8NcA5QBQ`=F?lRYl-F{HfV9|8`ZDU|&HXlm z2a!pse@Fu)uUu;r4APYD+j!?F=}y^uaTUo6{p7usp-%gRG|nB7w-oqBVzyY%*4jW_ z^NdlaQ#AJITc*|qB<a<FOkGByq4bKiW-y`7kl1<%R$R#RJ{JtX3R83|n58;Lh;(dO zyXe+a_liC^u3&hJtBifZn|x}Z<6pN-@2@YPdQ}*w!&&<7Pk8-wv4(Bd;IE?Q^K`y2 zi(C7gO<1xJC;-FkN?~r~Nwoe@|3iXB@rN(x3UsZR0W0?#cuWi4;$HW}C=KI1n+^EW z#wR21WL}8H9z|#M_kSvQYkKqi%@e<@tlN!+9!GOmlf@d%69$X{O#~*;ZW}t7x0D5= zyU1I#AcpgCS?TOl%mBN0D8{{6W%<NM#lu~<8H%ko?NFGXoHxMydh8)=`j|_}ITYg@ z;<<b>vUqP{uLYH3Rpjt$GWqJPKarIo*3$Vm!Ne!0)3KKdy~)|RBt0<D1Jb{RAlv?7 zr))J3E8V3e9=O%&=a078{$ZE))ct;;LZ}AU##elHM3^sZPfyuKTc;M=oC@1}KAEa* zsD1z!<m0vqLUUJC`<jL(vz`)%Fn=IIm0OJ*`Ut%ZIJy57ht`<u<bGP%B*0$(`lcou zplB7peN?vPhA+{g@1waVeicDFX*B-t;j~*-GJ=f6atX`IQ_+_MoHJfeU&EPUSeg9Z z3k4>ROqkVd{!3c-rnhVarLBTv-H<v19bmZmNGh%|PP^RJ5A;s8TzfJiOFqt@$7N09 z@?3x?_d}k$cJI1)jsnKS`-h(X3<KLrHv-#hjM1OielejH*VbbE`e!LFl4LIs6plK} ziJ5Y~MOajouCYLoDGQ%{(@lIgUMLcx!A;3a0bzMS*7-(_MT?<B;sZ@IZIr}+rrAs? zlb7-;mkPW2M#-Nxe{+MQ&M@QmHO^c*UKHy1uB8i($uhgO@H8csW(kgIgH~)cQwqhD zVq4E79LP&0Py8bU#~$;#HSoygXFsP4edg3^$+tSOm#4v5l_?YV`-R80?!hwLy*zHs zWbM~yi(=!)gRx~2z{n117M`civ=@G6GL?OCrg!m_@t#q5Xcx?2&OJWoUKhAZ0eku| z8~$ksBUCwO)L`tpSO4&4gO9>g`tM)vbxa<cfn}lZ@n)MfzD6^ck9Z!~vmnsx6Gpq~ zIi@1YC{SUFY55<xg9kJJfed(%TlKyG%?F2ivn`?sl+Y9Xh-YPDTu-th()pi%!khy_ zixDGqU(I03ssoJmitP$h^So<*#G!eMxgPsuHU$Vq^7+yuaa9MQH8^0$JV$@DACO4s zW72rHz4^yHHztGJs&zxX>z+V}|EX)lv$~-Iq4G&hEB@!QSS%p)Bcht_YYq&oTFzK6 z-`+ek&$H%99Gbg02dyKsDMZMS&kr35sCqKigYiZ;x_TqkO+3E^jA(r@DvLLQUn34F zZ1VAZHT^+lM62Ph7it{<GZ~Er?6`#_Aa)oI?C?Pid7l(UfCca|%K@R)h#h)-Okvd= zhWguhS$pm^QsRrZi=D2;H1!Wv4{nYa7FXh{WApLgor;YDG@)k9P*ov4!i^m}OP2kE zjID>vKGmnhlKw0;do+JC5@Kt}f|~L_UuqTIrpi%ihmmZ{jtuJo#6Pt!OMiY(k}<Ih zP7<Fc%<v2!X!tf{<CQwk+~viQF~=Ics759pxkz}Vdcud)j&=M%FsDc~`@hk-#qjs* z=Nq?3GhpNyFoHAk86^jb+4yfm(x0=U<=#^4KE><hep@zL^c8&ClsacKbH68jA;p5o zH!RA(5m@W6_p<I|uCl$D-ItPj!P5FLA)+r|7U3;Mo^P7&w-av>L8klM8VQbe$Y0Ly z+-wd6H;W;u`A$%6f;gKQT<WU}?Fd=VRuNqG3@-4Sa^_+}&JJ0#7Wmx7kyCG1V7M{L zPAO-O106&EwP0TiVm08FPl&ru|Fvl!2(cnYg?2x0OF|23=5t}IANj89ilwaD$euU7 zT-!b-giH>*z4*Po_X0B6?<N{}9FQmB;U=XVc<fsx;o%~c{`p*1n`NqwHUD!6*p_9g zmQ^1%yp>|PcdXRNw?3&f_4F!jk$ZXw(B+G7Tj}3lVi78;s#MfXUVK7Ti09W6E};U1 z27Xc{D5R|_C#U%POCo}fLO8ioy~>kZxRmRR@sDN2FU8{Z%KKJhzJB+TXjOwK`u&0o zXy2Kej4w;_2|1{qiYJ`{q9`crI8p&08Q%E@4e|<^n*9Egsc&MV>Yk(B+*EomsfQls zLa2@9{mCC(6jChq=BOxm)>z<P+Yq_%M{)E1<wjQ3kBsJ+<>Px1iT&-z{enL&7KJj7 zi#0?FO@)^J%ts<>DTFuw%x5BeDTE*IE9)pNq-aRRxy|m2shR{4r#%~yjX@ML`qvM5 zM<6ID$`=2C1ceF_@dM5(CgBkjk2stG7Um|J89i-Jew&vd)N*>-g_F+TSn7@mHz{uO zm()fGH!E&)m&8SseOBBSC@G65`=YqbQ<5D~hPU&Te2FM)R=m_Q0TNF=4VqOoF(jU< z52|m*#<g{Bsy!=pFioU^w?BKHfsR!OXX{y1_zEXy6CU-Eyr*`;6A?}BuSVJ0+58sq zld$1Uds6{3jkFqK$@!_*+9^PZ+ho9ckJ-`PFR${|hRq-L`6kpj`J^bx!p~gwQK9hc zbI&xX$Mlm9L76+s=JIevk443c;{wyi5hOy?%L-qm$k|H%@Gtxf;Cb@)kI67l={2IA zP$n4*`Xey<>(9dhH;sn-g@_B*WlVmyMu;AUQ(>45KW76>nMVCC1>Eo1089<HTT?D) zc>t6$z8|gLeiG)<<ZGMuWGOXMP0Y%xoaW#KU9~MX4ciYeG^rswJCAL&OL_jQk{$7$ zpI<gsaNg!g-n&`8yyu<;ZAHHY_P*QR9J^!dn~F!G3>Njg=#0NMm`r_3`wuw_7irQ} z-20&>Mrd`-h*59+Z*r#vQ1zdCKypgXcY1Fz|CWEc17;Vd|H*A<{AZZ7`cHQB>2a)G z&p+LoZTjYke~`UzJ~}u38k6n}b$aIU4{XMpss6>~Y&OOj>HdmsWU^UTuJO#j1`jIF zzi<Ekr&Eas1OI^`vx0$w{MY%g`{$z@46j|}f8$+J|K)}bb()sH-u;^KaqL{|KNoGh zOXc<1L^%-{>%D(_4Ke@}Z;#!*28B3wi@xvu17lwa^4suTYcB&{{DSTO3r$Cm{~RlN zO_sQP6P)MBxORUB+Kp{A{I{_`gvxs;-G9e<cah#3BzWx~g?9>p{#z8jUi_D^=CzW< zznJWJci_L2^oa41F7T0Z60fLB9ZAgoZ-0R7uB_B^%vNsDS4(H?@p03SR?AK=dbtw5 z+x(?2dBVCW1=8+$GM;&>L3yhw0@uGfMwi0JO|Gk>rk29j_wL!%%bupn&Cfe|$3c0G zBl};Ex9YA=b2q=VdZIlYHswbG9&IWnI_Smy4!nK4sa7_HPR`U+{GP~C)BwKA&sbIU z;@)dcYKRldV-a<+*}6UmMUrK15AKW`xz3|<8e$(=Mw>`-^(SrO2y-8g25G>Soe*D1 zmiayIXukTx`Yx&DH3Lb%x5>*7M!vM8rzE+?lbRF+j1na`9>_M)tP#Ez=i1;V4ghUH zlE0^5Gk;r>@#uzsfhsfIVKSi#Gpj%CgZpxvB}mn%SQ4F=2p$qfZZ^KtSK?r@>hU^i zpA_bb5{PDBw45AuMJA-HSL>b}GmfrPoEx;P@huKy`5qdd>i11t24`C9WAx`$nnVR) z1|azOb((Yq-wdDN<M6b*6$~>Bf=%suAj@oMcB)jnxGc`MmepwZhKRE@Ywbg$@%AbS z97V01k#D%2J37t9FSjV%&J}Ipvj6@!pc#WK?_;{xM-Z3*nSLwgo5GHh@2ujr#qojA zhtYP%sPDRfz}FDjw_*V)H=4(gpuTiDUE=0GWKM6o0(pBsn`JsQD)mOid&qLHrM!>h zGEl@A)vrr|9*UkbKw<jwXQZr&JB8m?`uNgSe1I_a{g6K;!r@ELZ@c>Y8B#CWg2#5} zw=5D%*_?nQ7Ho^K09V|dArWkQHRpxiaslPOzftaF%~)$_^pd2x6RFS(R*<6#`~-QH z0`vJpkG6}R(?m`6Ng_RN_fg^xwC(3_ZDa^#a||>mwa{@2SZ;)x>!Ws*p)6NLb@tIB zv!kc@LZ<tU9UCaiHBl3N5F{pgN&vh3TLBpYY|el-rm8yzP?oD7C8v6~Z?IWrK$B8m zJ01edjZxEmvW`GXPZiWi-?SqT=&5tmlv@3bjrGSHXj>|gV*=3AAn;pXhNB^+r`l0N z>V=~r&{HpPw2#?wh|*Ilu(xm3aR}&X6o~G7>?lU*sT%mbPZ^mW-N2`?@!Je(8Qs96 zu;OyZ+OyLpmHpWta%)g0=56lNTGEP22(0gAz#mC#g-%TJ#rAJr<WY2kpu+lZb>um) zIUlOS)!Z)6@*^B-&BggDK)Ql==8Z~VcmTLUYNkYGo^;d6nz>do90%C+u(qo83I9s^ zV+iTxLQfdPT+s}f>k}aULuF0f8T{6e+=bNIxHCA#@aAtA(mwi-Cx^B-5IG-xD3HV0 zYwH+Fxv35od<%2Dpxo33%f6LuKLh>9huU*_zXD1?Z_Grf*pq^upjB!$!=c0=7c^IG zSNK;FFdEtJqE9$O2>y=bcF`vaVuY4J)cewjYgwV0kj~z8(mxdFr=8KMm1HjTXq`^2 zluF9quE+<`2|Tupy;{hz=mY^<_FjEQXG)MdO5iQf(HRKRM}glmw|BFG6QOoocU~pP zLKSDuRPso39MOPUX2OTm;4x&Z3y3g?3Yr2*?FEz6QbFS&X1!qIKcZ;!&f*jpm5Uf! zy0bVLM*f=>xgKpOh-K?#L$XE>jqcrf`>EZH4Q$raregVe=q@xpF)Un>7>q^E$X^oI zQa}?Se*S-N{?<j3QiiBpLlH_((68~fKLE61=gRsCb1wz*G<s+nuhi4d#Dcnp(qD^7 zLkq5zNKm~<&1)q}9Cs(jI3Ch&X9A(Dub~JfD7y1ni40BGnZAZsqC<X+7MsFDG3^{O zxCiW4gbyiEgGkqFhz9K(tyH|^zy<%74njS`3ou0}d7+oC#cx2PAR_oQU6j%E(Mkn) zX#blf8_E<9aR5Tbk%9qun>gAmTInO+M*XT13~fjZD;OjQ!5}~3ZLVN6Tjvja2K}PQ z$CM#jJ#8j#5tTB~%4_IG$PeV>Ye*g~-}wW-;eKi4DrJZf9x9Hgl!dn7Ap?StF(mUf zbQew13BFe1LuOEh=;9%P2$(dq@EW=iGK0*xh7{2Po#1Pwdq^wF5KTPP9RUMF>+n!K zLC6%+>Kc+pGj*b_m4uL$(J=g}yi0bh1|5C5hHiv(B4zO#cD;wriEb%fauDkLhGIn- z;+3K!T3pe#@|RI}0nla$_F72-jg4-p#6z#Y1+t*j@eoNwi!)l`8oGf~>YSgzi(fh_ z0I}Cf7vJV(aiQ#2YU?*q14uhOG){^m>Qu(}%DZ{TW+3)jspXrpG*0~*B0oxoaN_r( zM~zGZp5qH^^5ylGBs2-1rauwZ6K#JDQ5=;*@;C4hGcq)K>pI-9V?OIq)-^<UK8qZ| zr}>c=%^V$yFP;$lw<8eB0k5=8dOnW4b8S;X6LeDH4?|A@SxtGahqqxOLY>jF*V&Qa z5D?O9NCw^bf3WkOK~b$y*C0Wf*r4PbB?o~f=cIr{1(6I*XkvpBB?;Kj2qGW?5~V?M zvdNbu3X*e97Z4?hjbxBK=Xl>AGv7>2)l|*TkLs%P^xA8!y`SeqihV$bl>-KqpDCFF zbO4g&i!hhjK!D`KF$?dc`~?`0V|SqEwm>Ws#U_D!2~;3Oc>V>;0jy@B;Ov9W1h7mR ztRtEYEVJ8QmFf5`NFq@5ntck)#f{{+7Oo095n^f3IpC_C*|#&IAG`hZwZ4D<H*CL% zw*S3wNL+T}R9R-OLD@dTq}u+$V;WT1t-|{%SFR4D%35z$*Sz^4kNEq=Hum^wQ0_O^ zQhZNe#i~ok`o!)M1Hi2uu8rLjYSgZf=p}x(<Cl2f!PmYO9l3^s?k8uytvT&xjFY{& zj?P!+aAU{tgvPx_GF0Xt--@rfLKN;>BYS3758=kJ@C3S3&1m(ZOZlaaWkCve^%N^1 zyhWGMFfEsXp$no;Oq$k)PSBOPlQCPqZy{^Auw;qY16x6I(-BOt*6AAGRh*M?SU!Od zPq>z33H}51rpR_Krpct-MQh(MnNFj;O3BB1PyaM^g$Ec!T4pKN?dRIiU}PZm$GJ9+ zm<CAwUak!fCScvX^3rAIW=Y#zS>-j38Bh6L=Y`r2)s{20Ur_fEUu#yrTt_#w1(P>X z5G?W4W2S+-1nuz$qFpbA4?{FJHXoFw+-SZ%#p5NdIC;=UQS!`v-z=_1k94VdRbS;d zuHc75xZH_e5W`zo5LKLy?$f2O0PeMCs(hmNjLNZr;J#jNQfBCH{@RtXm!}6}22%%@ zge6hxybq5;!-<;*F$3U$P{_@=&s2HYF7iH;X@BmIJ8Fecq3w~q8>4Fwo8KdQE*MEj z>WX|?zxg1WQ?_H0=nvM9x_Y|0qHj;{e3FX3b_gRY7}($w^}XXOse<-ox_(g(YoNGu z^{dHve4aOX`cra`$ZN+UC)SCTbUMk#$?MQ@iC6sFg>u?aF;At75S~m91LflvM0p(d z?uV+|Glfs3B3@JLdZc_~F7=6)Kcg$%V}71-O`7d&c}C5u9JuuFpB7)eyR|!~@Ydtd zs>_!aEfp3a>f`g|{~T*sd#u5RD{fZR_k~_Ks#LLD)XR{TB&(+a+gqFLsNZ`t^EWV= zkg3C&`DYkQ$khJKyc}j8GIcyNZ;lCpHqY33I7VzSn({yDi5ye&hn8iP{rD_^35HDR zO+B^0&GC%M&#^3lkmpb904D39KP%&Kj}0W%0EjW>1~8e50kXW%S!d%@Q#NAsuEjfb zSsJ?JFKToYWooA85d1}lQBf_10X0k<<k4**KEenu=}oiz@8cYsGAJ(|A+E~^Ar{k& zl7d5`=0(gx?0r2Bj1c4zmk>`|<Ztm!%6#EMhS40zqOM>4;f>}2Ov}rvRCcvM7C+gs zqj9#9GWAEXUMXH`Qxs+QOwF1J9?6y{JP7(pVA_dc#)b10B96KLR4pb>ZDmbuo$pwN zU?33b-R1fKH7L}*H^<0u2=_1cpf+d*Vdn95swjO*!QT7zko)VVdD96|rP!&=^r_50 zs{Xt?Boyu&*OUAa!Hkogf#kvw!7P&tf#ivVM-nB8JM?LUN75x9cj(y(w_J=>mqkSg zx4ezRmqnGxq&{QtKUtZRNsVG6KUqDyQBtaI5Y~vjQIerP6xz5eZtRQ7Jokc27?Yzc z|9Gu%Va_R<M*_)TMOZRVjt7#H2kE&Q3j>DVMDx@u!y3hN8>4^|ZLvdXiJEzGI*^=d z|I-NO_>)ySxnH~b$+_1GK86zFzq{DMib;U@A1-!yVhkbv`->eSm|=+j@nVNQMhxN) z7*JrUApS>-9ex-ui2uQ2hZN>F#Q$Wm!xZx#as=pTF}9GS?}5n7pY#fj_3DUv^{bX? zQdg`x>N2pBXY?it{bXZe=;TsFr9-kDy5<fWbT7v?!V&dwYh;l{B_oGt#uVDO-q|ff zHqcyDcIV^7h5KWN`uNL(_~9GPQZ%W3T5dmU2O~dq+N_fJVs0soqFzP`Au7xm%h@{I za|}qv=d?AJ365YTxrtGf1h1uB3lgI~;WN98%5ji}L8X>3dn(jtWUepNX+m%N;ko9k z(}dkV<Q=_@;yV=xP#twb@tq4ClVb!S273>18)`NbTi-v-jzlywPflr9pGPz^O%7>S z=MwnKmb4@~oDdv|m&7DGsN!$A85<QZPO^j3_n9{cqnKR4EE%?xCPthwPWHIT9&s7l zq0SVxivkFbWJ}s7ytW82_z>umd4p{NX4g?QKzpu+qF`RF%bF*Zn#Ftpv?md0MyaoW zR&3N5g$A@s_{@k=aezvRS%E+U=MCD1ykD#HWCxfmMBZbP>IbOwqpqlXG>?*yYK|1l znx+qyZZLa{ns@IVSno5O2CFpt_i>ThULG;N%Nmr;T3w;Bsoik1MmGH9PWVA(?y7Me zP4Q$seM)TOAYkI{x2c$uVur8#|J?XA#q{tnKmJ`InM<#9l8_*@rqGeAalkx1>6^y; z!<f;Lrq(Ls`+F(ECbd6?8nc%aR~Gj}8$-R`<vEwiKKxj0tJjtp%v(=UFI9iL{zkoA zJ>!vsJKUYiUELku{kgmCR@0<!iHnJ^$p~gWf4u-vd~ed!U9IahMb9ofDA{G#?<yqA zF8xYpeZBPG3y#OV?>>q9Mn?#L6)-yMyuP>=&HN;AF!a*<O1mc~?JQi`YwVEsI`wi@ z*Y0d2T$7zm?0V0DvR-{WOT9a*+%PCsDdSkSSu3vS<JHGY;ar8O_WhqGZSotY*UNLo zXV)s3$41`k1FhFD@05P~c*LQn*ky>waUX#h9my&^=}+|izWj?t_2lr=-obUP_XY0y z56ktp(ocK7S02lMbg$=RpD%@POWHgs6ZYvZtwQ$|H+!*PZwQP0ptg8_>b1^Gy-Q8{ zEcQmL7J)4{{+%}w?{q%v-TwCf4R&GOg;D-tBEE<xyh<bhJ_pkM@2<XvUqG#%mU++i zo3!;sUU4k1LQ$96@ANp{GzG2Goi3kxG!_?A4gGuNlwOU}I(`d>7&u+qWZBir=M6nY zCb;>UX7S9kPOm4)5Z9ktW)aTgX4ZX?$8NQcvy|sU3x>Rq0dBP>S%LL)c|!rn2DbyW zj8*+y{tyBw<5v413p(G5845;DyVaUyNzYeIBT{9A>n-wze2{5wwWe9T^CHuTH!|dP z8O1}^$aPnkUKZ25{sbaU=51XDW=Ia%?Fze}b!(nt0`XFYpYZac?(|U>%{=SOdb*5u zy?p)<97*ZsYn=75ULG^_9H}yc$dw7HpZhSRJ&&74ypvI^4|<%%Ht#uu$dd7Pt$maw zI`27+cq_wFKZhA2pRbrf<j8o`TYMODN1D0SKFp$<7nwn1$mrEu<PSL@>D_A8vV!IZ zrw|D;wRIVJLsG~hSD0=V>3sYIB3|Z09aG+rI1=sZJN|Q{c<AGN_UQUQGCg(jWka`+ zlpel1S*ZEY${~E@vByD^%z52h`4Br&WgO8c(^5BAI@B_c8%4CpEYt;QW>wC6jv;Dg zvg+nah9F4WQAC@}uR4qJA!ekRNA3Nr)cJ~0M3c-wokiIYHIm+=Rws*SesBU&CF5I{ zQ8+|_EOLY0&C;FMA4O!#IMy*04v`?yZm_S_R%}{qYTMe|n%j4`)wl0$Yiw(6t09e$ zdPoDLE>azN52=CFLaJ42S87%oRO(h5R^DsN3g)jTtCy^&uIH{NI9d+*lfzh)ILJB* zd8#&ergRnloc+M&?4QAr4^pa$;g#(l9nY|XsvkM8Td(IjQ}sCf6&gL5WCuF04P^(I z9`|g9ua)!sxK=*iIlLa0%asT(J`jATa^?5QaBaM&@cs1qtB#33(irQr!wHJTBIjr? zi##i{%{02^zr%&)m361}<zMSg-9Hzz2Nl|e__LSVhQ3d<G-f<ept`S6WHk7%5=`hk zH>?6CxLs#>oHuc9_gb~hsBFh=>E3aF^XtL?>*qpI34in5(T#6*Te3@EuIG*Lg0FW} z@HfLVjquJchTh{*{9y<u5a0AZzG44uS-6+oC-}ks?8K|K?t=GbtFotl--qKzkpb)R zSUEpm=4N^5Vm8{#P*b)zFKc3S$Zd07>VL!k8~#7Tj6!l~vV^=BjwRpze;r<J7taJv zjw@b=sGb|jx8;Tvzh7GSU35dL^jx+tH`PI#qr&1=bs6YYNsZ@jJC??`sPGz{WlBxD zehIm|YukS$$dQ}#T-q!YDrir`bK6maPqRp^NTW!-NE4xk&_JjoG}qPGBL<_DNHT~s zs4Va-NGxsyk<>8mi#w67-s*kW`yt6WsfTlbD^b%-?R`W=loF{0Q4m=T^S+c5bd{;s zvbQ$LKWT&W7uSfUZA2@{99|G<&8>Y&Cz@5dUPNzsl4#Nlrxn*n&83J|(m6;Fc@5jX zj1%!HTW?F!38y00j%I+mN(2raN^(lFFX2SBO4DoGTbvY@G{Tvy`6&Vy6G|$NcS^P| zy~@(7-rJa@nY6<h!?moLp!Ph1HTr@?9&$?R!@3V!y|K#B`!Q*mvt9ElB0K7WR99Ux zA9W+?W-^(s8n=#&PJj-jj-d|oZH5AY0>)Kpou_Gd4%HlKM{s<Ov@Q4p_OxYq9$PP6 zk}%g}^(wSAVH3R%+iGwxG>L^vR=r=NU%g+`3vC+lFF`3u6Wv2nAC>~i)c_s3UbZAf zu0ZwQXwzs@)W3wKl%@Ekq@_fq&?bh5#19!BQa$7t#~Z&nPBP9oeq)?=oMN1HoWO^p zfVY6IK)L{0AX>mypuGBAhge5jhrj4G%qlZzY%l^*1*^@>Xdl#fUcU`HPY>$W7j&_d zpm~`gG+1C?bS*jdPOwdX$vIPu#wWvTczn2GWzb=$qJMCIprUs0MSn&1Aa&nOdS2(L zhiTHzizf8<oYzd#%$&C$(YrVwnWY6fUp}UP=}csimhKF-q%U=*vr21nX0xX6cjmQ8 zn|BtqrT^tDZI^cLtZYwDfw&7#V?`J^(2F7-Ii@KiY@Fx~5zfwOwg@DG-W%cXk`|5# zbEQv0M7yP75U<_os}ZRlX<raIp7i60LS))^M5Pz~0ixbJjnJj-2|cY#*VDAyE<--_ z(k@fJX?I-~{pihH*8I~DF53b0fi6dZX)!LB&*;-#h=S8fT%aNJO)hkyX?-qiVf6DZ zywB6NTtvg^&x={`wh1=*)jIJNs7Gre-b196F~S5$n$2>xA4CeU(dNh{N~v&+;*D*d z%{%IZWD2IE9WU4!q`qMEAV{grT6G>u1^-b5T1Kj_H19PO>E(*j%wnD297V*)DAoPU zdrgIW?n>y#H1v40MnjSAtZ0-o+EAcQDX)>#K!4MWpeb$XwiFk<Nzlx~L7CUg(BbuM zGfjs@9y2)yQ64i<hcNE6d<S`MdUl6cE_xb=IZk>K2SHByOL!0m{XRTHXnGqS&7M}| za7!pH%K<K!mgG<^kQVI_%TE6TKF3C)_pMrsU2n7cE4$vVv<n@>v<KXoe%c;hPdEJt zPDDpP0RKXpb`O4wHcb%@r%4lsS5v3)!ttronBiP(X7q6AE&3671}ptn_)ix4Cb&FH z?}7Z@>lgp8Cuk^@Ji#fx5A8nBD&s1D@6%phw|`_f12ulXFdY!tdCCOMmi%2k>?G87 z*qLLtGI*BtZnXX-?dj1t2d$n&SGiPJ@<@NGe{FH^6r(r4!y;W0TM`G?2EJ)j-HZ6h zc&+7@8#Qzt`J5u^9YXOHs&O41d$$G<7s~#%#6EQ1&De!N&K}_O-6!~$%niykkR^vZ z_9r1vW<_BkWCLn71Y5?wDLzW~%dGoY6mZ8jy+%o)&vp<xygDqsa!Z^JjoxbJY|*JU zRVXYauLqVArY$(~LCc3|hp~F+gtLe1bZ-d>oU2H#A3?-%VYUBs!bt}1S3~%IJ*^?b zJ@HM+3QEB}L<f$}o|q)%B;|5#5SpU@C3hociVjnSz++=~xGK&+-_L$zaW=tPNRXIp zBDNu_5YWQBud;fh0Ppj55+dn1X>4}ehs490H4>{Lq!&5uNcX@u#p+p#^}|dFynu5l zCx%r99rXyIq;oE|XL<0(L``$2AH*acjqaik&Df;1OM5?La}_c=**AJ{a;QCzwkCN( zT)<Pna7PLkKos>zB|*bnDXq)cLhzrr^8J-c_q_!B1RyP8kQ$bK;)0u>ZITR=@>)l+ z3;diSuzi}SDG!U1)cUyd%nzYV-vwL-oGIR77wVI!xcIpk@c)JWq{#S84sEd^h3C+i z?c1MPo5eZTuijc0AbytOTX5rtI4*#4)uOj2Np-F^!YtZvCC^-tc>i`(w7Gds^4(C2 zj}4Xb{W9!p)=epq?8kq^o!FeHZWCch3YZIoL%4B!>b*iF!e6jTeB~sy_Fjs<DUHuk zS|4V=xgF3#UBkXl`W4@rqKSC?^|CPTsi2c|RH3VRZY`c@;bxFyLQRf#-<@~jrd?jS zC?%>na#FlFjWW&VgOF%t6`~;K4of+ao5A=)rJL^^6ZT~iAF9PkP;`6QMR=k^$w={a zJk)}B<9gU<)NCWLj8wuY^aVq7Vb2C11ndeBMTc)&<Bsq4E&z8KQ@r<X20BKzd$T`a zz<E#s`AS{NFfRToL1kTF2m6eIW1(7#p*!;zw%9LV1DE$_YMu9MJA==yRR?r1V~ktp zy>MCPp0UaV`k=!l;Uu2Zm$@+t)7Gy(WPee~QKoI5zgXW>_|5?p95kms&%4FSw(e@9 z?X8%(K300CUA~_oFF|_dn}AD=Q4C4DUu)DKQcW`TIC1l?=dO3P6BU_%ja4V6JwGH< zT4r@ty$*5IXImJfNbFplx;pd^(Ux#EG`J`D2|K65>5_DC_l7ne5rpW|%WqFGqnJ^K zTda^2cjK}<+`TGy;Km)mcLG`_+G>J1bKeA1wWUn0rHoxG@}_YBs^;96l_aGT!+OO^ zjJGBwP^{W>rRD}HJoUv9rwm}MuUOCbnPmhPa~(NspFvhqKfZq=IoNVXB&CJDY@t>$ z_~vpwG%1lYSDhLUC+?vh?92F>u8zKg>~Y0dS4S7aSYiU&V!iyttNYOds_6Z>#^KKA zb}vFHPpPT#u_qs)D;z3>Eur)s1aJ|>?(x3pr%^3G+ngy6*r{q&X(?$Bs+V6U-Qm>c zoWqw(nTp)Clci~~CWgmKrbX&~8GePOS3<T9!UOiji8WS68}ZJ=m})roS#A^K^{h4D zeEzH!yS%|El6=e)Zrm|^2VoPW@FJtLb)++tjjU%cdUl7e7hgxl_U_Fkr%BZAe#Yy| zsu=8xh1`oiGQ~Un%tulTu$Z~7PF9L&S>9LJgU6h9>f^ghb2pbHrebyp-lHy6sNm41 zo99EMaH22Fhk~$}(});(TCyE1d&jU{bSShh`a~>Z_xK}zlwBS%_JDz_!r@)qWsQuA zFmk3~Ekl0Li+%lxz`qL)xKQ#{79EA9AK1Z=IrPovu^SE>ESwb|-hF;fUfs?0Yj;9< zh;?0)eO*VORoLc5&cWk#R1$2LeW#DfN$LfbB_k%M{RW)3{beCFSH)x7tb3m8;v9S8 z+L|k($2_yAX27!(Y>%BVo`vf*7jAOhaf5}cf;Sy{?mTxO{F7A8NY*z!F(}<JPu$f! zE~lMR{zl*H6UMiTH{1x|i7j}F;Y=Ow=(%dUX{YwMu46YU7s}e07<qOw9*lPNfh^~; z`fx`GYe&CErpD~Xov*{P>gGlg#K!|=yN_$1sOGE>!c|t_hEW|lUQ7X3e5>NOsg`~` zv!)zp?RemFE8vPOijHjpYd2lej!wODvjoiF#hgmhq6-t@8w;Gvn!{g0TAKu@+`bs6 zGN=T6V(Q3DZo=A`VO!Fw@DJO8MNf%2RZj6e9R&&{-zU`CT{=o4OFCx^+Uw{6b_4dC zv%;|zq{AnyZidl`vNkbBo{22*R4(8Xn-6V=<}zLr(Rgwm1Bb8uh83qsQxN1hgedAB zvELs?Ps#(P9d3Fie!OnKi9wsMQsE3=Fh0%d6JbZ;=mwX}yls8e4#|pPq_G#Utx_Gp z)LxJbaL^CSY<jA8>{lExrt~CYFWPe*N99{zF}nQbX8iri!r;Q@#+@WzsKU(h-Cb(s z5zN7b{JviD45syp^;CMu#aJag0VlA}lCqr3qq=keRRFekumljgZ}JJU8@*O2@<Dfo zKfX>8R->2~SFAyI!t>M_&U~H3AM|20u2`9dter;h0$W2mnG&q3cDL_c3Zd^KZ}8W; zAU1=(+39JxvJMW9dzmbbtsV0FGx?+tgWkN2-rRCNW+{o7_dM--Zz(cUG)LYnulGR= zhJnzPQ=Gbq&!&8hc9#3-Bx`WO#iCQD`h<_GvSmQy1T^!#=C84u&RqCtJga45n&z+o z>fYLDa2@O6Jr*g2nCTB|SjBFPgnS*lDDhP_<n>VAk!#Q|iDArB5b}QB%@Xz4Epz#B z=BO{1ACW%ngx+!abKa|uLYzHEtB%r2Gu(R+9=6|;=K!HQnBfms%JjY}IK8U<LG_rN z!t$G{;+0RsC?*;Jb^>2fbO@`aiv2KDDIC3-hFCXpcr;{$eH7+~xZ*o`p?;^}PkQ`G z9ON?NyQ8GapdX*@9^Kp1Lp=&=JBbXV9x^7=-pBgu6SV^r&4b{e-UHe^4GtQuD4M&# zK~oE~c>x@>1h2Pl6>ie?7l)iM`Ps$U=7pZk^kEDIgQNF<+S{SdiojS54+Zm^{Ko>h zVumuVK5=G%u%`(!WH1)j7pidjQa!Q2waZDR&DwdijJ7g;usCak<uLRwZDfWbSoE$i z-@(t#7D!uGK3L}=4N659ls2E$tJ@WW(y+@h42MabgJkaTmHX&NK}%pB-r=y##=mwg z?JS2Of9;mRe~$FmZdVs&SOiLy4JF<g#C-&41H+sfV93|r_#3$Ob2Zj6)%|m*FoiMA z@9!vHAVpDYJ&H1F=RtAjD@Ggj)H0>K-t=0TIKV-i`OEl1o8JR>5Ur_bqvZ*T`#fcW zp$fUcy+f-$+GuA2dY`|HC$u>nt?qJjibmy9bpiv6WhQYUUX>A$eZaGVi>#$kcvTYn zB;d;&B*$P<U+$bUQ9bCTC5a1v_TQeQ=vszh=}qhW>5e(*29qEA1FIEW%zsTu*=%n{ zFriv(QMLTQ8IxP`_DMk3e_cs(=lK!pL@%33U0kf~M~SqQqiSXUYfE|(&=U=@(V5hi zJO9Cr664<D&t`i#i|NoJjH=a+V>FF&O?nd0*9BR56nxBOD0f-#Ut`kBMT!HOj)!F> zipE?_0!Ll*z)-HU@E>?rU$@)}ZMq(`CB-wFX84UD+?=$A)KD(Ar~uB}$0xrclJyXs z%ANH+YU0U%y-75~6HWhO2l^0lD_ZX*(WzWnYf-SU|7lK=quadSS#>+>SCnsbl_XB6 zCdg1OW7EB}iqGm>RPF032^@3HT|+sxpZ~QdMIEG8$>M@*cn#(9enL9EIIXs#VDG)8 zaQAAQ4dr;Z_&U9~t$s)O7X8<uL}OSc<YF#glWHjUtE?5i)@LTaQdf}$ZOQPgrx{)- zvo|NIkv5d8F8{AZX=U)E6|^PQGl#|yTJB`dTXSS67l@PT^x_HmLdyT{e|nU%!vb31 zjc<{D9Y5V3QIu;m^pS3ST!hcEIc4QMd9jd#Ues;qBIU^a*Ll{)$tq{}sg^WM<FQGu zC4VTVPa^!J#Hf-+<z1<lx&KkAf5!%^G(~xyO1<Hqg2E!W^i=UQDaW5l$%?eINre@{ zlzH@&8K~^=`3OHL1C>jqj^=EjvRL0AT3!w+H;X>Ok3eNEi?nz}B&b9cqS4Z(pt5eN z7kh8Z<X6<lD`u8y^Dy_E-PlJootb~jfO)u^n}BA-4~8f_ia;}giYEBb-!i)E#Vevg zGn%S2bp2nm?&*H48E9s7YRNKf379=WBUn1;K{LY@PY-h|&`kdQHibtqU?xXe*9PAP z&0J!ayk{@BCfG@e-vo$Ppw8-uJA#VXpub8~wj-Z#ySsEMlpBR`W|z))Va5K^Y67Gy z%0XIF)gr~OB9JEO8Q9tUmnOQ<iDmywn>d!LC<bY#4Q&*^3PGBNmv?9IUt0A-4_4(b z?eG{@kqgq~+b^NNK7zD|yLg?D5|AdXG>VP<OQWNb^i29&TMr7#QUTH&_HTBg|I(V2 zCb4;cX);tW&-A~wjdVg;sz930p-$($GLWXM+>d?mm-aYO#<T8kZ8MG(EFVDHi(~W7 z?!UAz%EQ>Fe`(JWWj$N}*7l8tA`1i3lK*IR^8KaVRq4a({-tFYNqENnt!>i;npFnU z^3S9?i%LOSpUNWk=U-a$h`1*@Aw2Sl*oA&4V(SkB3ID*zf9*_9WG+2B5x)Sacwt1s zGXaEDt_ab}m>+hW+1P6goC@T-9FD*|--E&a>(I`1knc<IKMhUkQrJ;!w89lpN5zY~ zXwmV#J2#8il$>TYL8vvV3oSYgKzw3K|8+EV45E3_qGKRSMMT=O6fhN+{r;eneF|h5 ziNHKFK&a)rL?`<M$g&fW^=twm)gMng*=Io3lL~RqXb|fBLE8C#6l6tINO=~5koKx> z=le;J^+w5g)&$Hb@^%Vc2^2wFl&6H8olv-n?odjVMpptw(DKzNInKgBNdH|ux^fC+ zbtrkv)`F0AhCjM;0%T1nxy<^4kZ0Brx^f0&{b+TaeFZ|HIZ!lm6l5K?I?d{VP<-xd zG;$JT-DvZi9RQ*1JOeax9Awe8Im`-!P{oHKH1cm<ylw8Y<sj5rD2hh@txE<e?OC1> zo+p0r)4$X9Z^nCV@L3j+^>3*xG{mH*-8kL4eh7;MAzXPQMTi~<y{})yZiCQ8Wg|4i z3WUDZcVgK<hyoi(5uy!3+{f?XJ3s$0kooooFgN`5aytG5-vM?lS?y>5Q^Q}cqT@(7 z$0h(B%m%PD{Po&A&VzFR#{?N{MMV<F!*;C!FZ;I#9aizfPGGQb!Eu%yglZOTJC8wD z?VgG>&IE*-msC2B!Hfr|Dli-jggTZt&|y`ekmRBRd&lpBJX#QS4qf;NLV_3n^*2T9 z#t>5|VnFErML+f<$Z~tN%y|47T%C`5|1~&8$0jZ_AA?P4;;{WBcnruYeY?zboC89Q z`&f7k$m)By%yJBNrHLyZyo76mte;t`jK?StYCkA}YlAFOOb>-(5s)#v?&2+66)c*6 zh(i|l55Rgde>H->1ZS(yDhHn>1?REVaR+^=@z#{D=j!NdGAaI}p%K1EZRdi;WRGDb znSrX#H66;3#UG1C83GR$F<Vy_?8QEl;w9+tw4T1(($KP>xob}q^h8nar!GO+#wH7x zdA}g1nnOFINqM_%+uHfID^_{OsyFJdFXVlOZ5>g}=f0~IU-%%>dmFt$uMD8>TO)63 zW3!kh2XlFfVY0F%O{&_4D&BBo^6S=Qlgb^~rV23dZL?yY99R9g>U5w0ncAcLn7wT~ zgK1t`NuAjK#bi37*y{5sJPfrJ67!gkRZ6@hGVqymx27hFdA~Nxqsqu|$lck@V@*}j zkkSKb>~TH!G}w{_HUDQMfo64{d2%{DAUkq-7!v?IhT__eA@wJ@Y#UT6F6>#V8@VOE zkRQRQY2WG34ls`h8abDYQvBMB3VcJZ9OS5{sz*eu_`CE{{C9sW2(y<h|HXWNK8@!x z`zd4M->TI~-__O=Bm_B7y3reZ;>~nuW~i(^e6j3x)3(s<&0_oT8#j`7nW{e@s`|zR z-Q=YZT5<U;e(Q%MO6*{x*Dom<l6tt&8=CSElDZEIOfNB=$BaK;r}T9elil<IBz+3b z6ha?hf$=NK#rjM3W-VWGdH9iLk|oK&8nU$YU_yXJ|L$&pazFE3oty((f;M{lTI!)? z+aqrdFgwzlw&4lc7S=r&g{9s1zFEJRO=nn^d;|QIQCmTsA%@$Z#xN{xE6OxtUXq)9 z-p@wj6Kf!;N9YrMc|jLrc>u_Mw+~4@0f6SFIZPHnt$i-UX!->(@i`NPEPjXXWTcsn zVd4P$pa+f2e&w>QE)LU3$l^K>VKSS;^aGJnw?-Df79iqfO@}Ib))|7>T!fFZ0TIC$ zfy{ozKqTS24P<c*&~OAbst1O2hVYG=N|%($wr;EryF(rwpii=GErG)m(9l?WFq)#4 z>vg+#-@}l<{<Z@#Z=A^h2gP;O(}t(OLE*FU_VqGsV^3DHT>87a$)I~SdSSL=I=$RL zdXd0{{l8wI)L5NuuouV_p#ipx?9it-PA_1VK3UnPnQ@@b{&+>YIfOyCezg#-mx9eJ z06}188FK{?ik9$D5P(qnf)L8Q2@r&2q)SQxg2>z;1_2Nn5P!RYB7f$KQn;_Q5F!37 zxr~N=vQjhKB@%_Y|7;<W3$h#S$C!Px!b}8upe)b5;3CH05$gq~Ft$R?pLxNhj6+cK z08Tr430d4}iGHKTMzQs+CHj^c8+2>4C3;0Ihhl5BB^s`l1Kq;4MCbkOjA@DHQnP_> zZM8&yQCp<gT5pL~S6hT`{ceelR8xj-{cMTGS8Jo#>e`u?nh+H?K_poqldh{I9=rVQ z2YNlHp-I8qkz*vg9LQHiA^ku7K>8n;5N0ghQ;5u|baC;2D`c|EgE+QQG_pPWL}I_Y zt=ozjjJynDe#Z>_uDGRtITM+VC(*&`dV@+UaHYLjkPmK+IF=b-c7s7zEm7U4ib%fv ze;r1jg%=N*rdvTXzwOjz#GCYCVy_IdeyTMxN%a9#hSD1Kw_zz?Jxdm#ncqL$UUz&X zQSvG=Ieo=1M%@MoI8`S@{0|;bPfXosnq1V@)#nyP8dGubO1wJqK?R=)#JbfvQ*5mP z$~{}Sv3KzzEluo4sglGAueglC$4rwmZn9mWTjkKLow_UsjT7m=tv}W7U;H6Zzj*@* zrtM%9M1H#{J?1$|9hDPcq94^hhT$@75k!1nb{aJX{Pgfsq+QbiKO)k8CaHe`zc`XL zDDw*7r;E;lGOqyx`Ilsn*8zYZyf9zg@uI@8Xi&-Z``7AtcQ@n9na9aZiY7zuCQb7+ z>n$S{|FjH6Dx813?VC}?Jee4h?oIjCy1;E+ZQ`H#x8Y$YABW9f3&xM|@SO1U>Y4?p zyCp1e+Hg*Ad2pRLd1m_fcQ1dw=ufQvom)XbXio5uX`D`ya9^Y#(koR+|LWofOTo8G z?xY1SHm*fZ7}qb(O22ZQ^vbq(scyShT}eVozmrswERr@jk^bel4*h)w>{M}u3!yo! z4{-splbn-GL5^3dN&2@dZkgF00=bAT&OnV|4L<dCwfSFWO;LR(EZ8qD73rP*d`T@_ zZJbnU>l(jjDu-_(CflQ+Dlxb5UTKzT1Z%QKiA4I1R{D?+ka~WF*r{=U9^jnf4C6ZB zG}^x|v&s<_@!yq{nWgH3m?!576mU4HI4Kvr_3}@^(s%NCVu|*7eS50!3F`Rkg!dAB znyehAn~r@(^y?F${AcSY6%Uvn5=!FLB;D~ZZ|z{F&#L~--aDfo)x-Qw(_I6iLBCh% zm1<{h_v2B;Io&D?UxVXo$t24p^`w|T4;zIzD=zn#r<F<M`?dTlo)Q(vIEgz+*ln2+ zMf>YEI4pW|g^TNPcvzD*F-YFn7Y72-QPC#0J<T!c->9DPtqSSb^cwf_Bq=mMg2NxY zlf`}s92_McBy3`SNF_;8lcG3QDMoMh;u#GKD`H;RUcI+I=`871l6Di#Vp%#?e@Mo2 zSJ{IS&z7ty!Opf<r&sc~R-=$u#rp$#8MzMJ^UoffGn&jA_te>c=q;8-xo&aD{E1w{ z!}E?XjgWc~j~3Yg-~#bGdq~e+9&&EHC6XrcaYjkVz8p|#VYKdBMeG-eX&JoM*lTAU znqKo>GGO)kNni@gT@cl3Gkkjs9TOejUb$YHP@~4?hv{Bt>@sr0?$C%e&Y@XB^=?hG zedWb1ceohN&~q0?eg`itrp~_jMh2cmm*y|C88RCG<sJyXxeop=qBjhe!b!tr^wg+% z>Zn8HyUpx3hO}h7R-*Cb9BL;?C+U*{t3`nd`+a<wKdH{2@q}vv5r7jxP-e(@Wj`6s zhd@WvvbiM1u9=QUz@4PhBx#@|p*w@~S2tNMhM65BQaO>FIb0K*BfD)<Dr4zLufU8~ zZKD@X%}IiJ<r1rRnaT?tdL6^wG*-V0<Z9vM&{T;I#Xp7Yv-Q*rawWR`+F7Zj;^a_2 zRy$Td)}+*+^c5Lk-A^}ZC-mNq!l6S6PAT?zSMgS@C|xr&*=eqpzgX>Pszl+ULn;6M z!TV;9<mKZ*iEA=Md!kg})idd%s>2roh4uK+4V-uH^gpv8^MMxJzmM`1X-Hjg7U6t2 zZ2oNE=LoY{snS)u@7+amX`in@3-<l4o<=J%Ps5{Wt1#Y%BNcJEr+hht@Ac1FE<NJ5 z9upPQ?D-kCS+cjyU+r;7o#$SwpGrDfJ{I_vRc)lk4mI083z9Nz2pRr!$LJ!&CgSZ? zE%}rF(yR8jMnU*u*NPU8R|n!zhW>4XfyMvWt~Z6B86i)2U2AaX|I6oU5&GrcwJ^(z z)QJ~T*E<OhUUf{=hGwQo|LX!hvFHq&ev<ol7*4F(pAmjXwV$*&Fa-O@&x=aIJ+=N? znXodZW1<Gj9IkL(9v_ltYjL9SzkX~tuYa7#T&oV%)c#xbfB!7DXDs{8^41$k?8w@T zpBWT?Z+rOc<Eq_G+YPEM>PT7jC}MxZdW=fS`{ain;SJgH6M6_sK7`1-Z`PNrd;6Gz zwJ*8@n#pM~e4<o(mhA8Al(^l35aat51#5G<1HQ>=96FZov+6GF$M;(c)?$*=82Ck1 zv+G)KyPdu%SS!>WNaPc}qi6YC$Suf!e1AfBz#};=SJ%=Oka&*oHy5ns`gRZSC#Qw$ zT3$)Joi-M%Ex%2>*0EH{sJn0(_qlJ`ic3yI6|9N7$xFM*zo}#86?M_G9DZMy&EqBy z5G==istVQ)3)W!CX(RfU!#Q=KX5;%nX+gkuUCXqBHQ%>sBY+~SF8hv~eE9hOsqO$# za@rjoOR@C2Q24k{UcuU{<TP@AQ3hShPjYTeuH!z{1#82)1IpFe&!M08mYqX?_#XG+ z75?Gk4O`*RYyOi4O!0kPE4SNeY{6Qp?tl@W=*Rn(zmt#Oad_mVIH^ukUw`X~`&m%U z;SsB^YIc3@lwACou5{^*wC$~rNn0;WL(Yo2H&3Dvxm@bGD#a2V!cMtdmARh^B${F4 zI2bJ<Cebzi_iL$h3f>#8S4<xeZxFe8yLfDhj(*_<b1njp)nbMikK%y%T}sziOds;V z_-(>Ak+)Z};4q1BThLL8KX1+j8;&V<if1=;J!aD9alOIxA(eDU1vN&p$l`cttx-E- zZ=})K#fbbHH*kH!_@R*|GYle3Yxz&t<_Dm@FKW>V9ujAgpp{LYo@IQ(Z6GT`)KBKs zm^WzEMZVbUkRl3Ho&I%HN#vf3HlX)lVt(GW`9cTq*U@}i#YmS3h-73AC*#H*0rh>k zK&zNbG)n*?^Yzgdp?k7-0nrDxGlQ-YPhfu7jXZ7B_<j&Xahxf1m4t#IQ9gr7ypR?E z!nn@(yEfBwl!@%swH$viK8l|-!Rx;3$CEHt`6ig`^Y54R9TH`<rNwyqSFTqq9~QWe zXg`OM4+T4#%0D#1XCxbk%ie3$-V(%P(U||<U2?zRJ%RY0f_KRH-!B2Dv=}MO>oFV9 zN#IRYwM4TbAet2(Uz5J~i06nlLY=45!qHR|1Qo`Or0<<*0ZuTTOiXu4FyMquD)4oS zcL%{Ay7RBQOAsJfUclxM?|v5m-|AU1c9#TzV0Xc`ef$={d?I;h7AaB2Qd<0(Bd_t~ z)q^%*a?h#Sc<ti1fI4@rHj#%8lbjEYBJDK2!x%oGf#NQxgIk&zrQ;?|zG-cWt~*T1 z0pVOtZ*qnYd_b6$sx8$jKJy-+Hrd>sahOyB!j5^3`mY|m0?jGfhAiTLzXH^*o0WYI zQI8L4|8N}^tw?y#1sO&1<TaipSp4b@Aq(XQM*U8&k8LgfyfV7r-cx~GRVMmG-bNKL zIDdD^<eTy4V!7kA%Km$TW%QD-0)mZuNAQXGVZfNgFGj$~Tf=*wwbt;>3GE++!|6eZ zU#tN5QDdi&wN?xOBY0tKNsiOffLuJf$ouJFGvJALuiB3#!QuqS{<$oT{foq}TR}$n z>1*S2vIu3K9YMSWIm^WEia?-{Z`RuFqv=OLb$EjZuKP^2pFq=ajvRT%={tZa_GUp2 zV(?5GFcm`|evY4u08Mq?bf-$N0OjF7lOIP)B2Ylhc#67~THT&cKvP#;yPk9?XsR#m z*3DQ82Tecnv(Y-rD1oN*h1>AKds=|$@1jGY__<!t)IJr0l3<|&O<Tw5#1S9BN`I}9 zcQeZHfzu2BWM2Vy1i|y4<lRg%Vj%dr=tJ0GH&`D1zjbAaOtxbtczoZa(i7)aqfTg_ z!44aCC9;xt-xGL8Ee$FoOlW`=KN_{d_IO%$gphec+nxpw7V!i7#v`v$F>x*)5Y18t zzuiwC(tl<Y`B>9il)cu1bVm>?e~A7jk(C2DeT-V_Rzy(U21G@pS>8RKUVu|8YjEJV zbmep)&Z6o4lchEY5bZwP414A%qq?F@BonoyB#R)Y2GVzpDlT<<!a>o^;H>#{(fdHA z4b2@<mf9E~ZXdP@g*!IL0iyThZLd5A)4)v4qgEfgac{sm$s9f}m9WYLGI?lv`!LsP zgLBFlReam+X#tp4khbNx4zlY3rp1~&_{_CyVEGD%-8m8=N}wr$6?`@hFfu1Pj)EJ3 z=5Otdn2()6?F}pE*)lK*0Zy><Jy;l>eL2($oOU8g896%vda-)GhI@cBkpSOp<O4j@ zA}ggmk$`~`*>MGYgb(n1i1kNTf<<V5o@QxK0Z9?c-m?ebvWU-;quY`I&vf;>%jfBB z;Hss6)N=ftj?{T(gs(qXloc>&3uMP%ogODpM6duev{&B@D|bBh0g?<-waxhr%J2eN zT1OSNdT^;=#o~tz!xACVK%#Z@(kpp{6<A^9D2qT3t{#Y|(*)1_NJkm~rYTkhkDd<N z8UaI7w}SR3gG*r6bj5L6IfOS*JDw8P&sCOMBv2~efyMkzH?9&eh;R{A_H}Gf1`-s# z*cSKH1}f3V59z@Mf;&xewgugm1<d2^=Oq}f4NOSVFoDehJjC&FVVz4rw#YsE7K(*b zfb`?DiZ~7hkQm7u7O;yT34+t?Q~{5<F?$Y{<EMamJi&tF?0b+zdK?SS1$Pt)whg@v zOd)c`K9~8}4IohvF1XBo0!d`Y8Sq?iO_D}g=6Y}kAjTSea+)6Y2FQrg@Mhwuy#zCf zAFAv~D1ysRsNp@#Ui%TK9}~XGHsyHi4>%r@w?TskKj{KlKINT=CC+^ToHl8KJNMGV zzzMc#?ohMWY64E9!iUpK66a#TiUgyV5)~0Kz<$DLZyMA&9)|%=epGD-{)5kf#f|jJ zYb;Ng8v~uljz7RvfmK#x0AGj%kC0*Tg-8=n0KO2p2a^825DA=?YQ*3Rk)Xr*m**0= zcR<XQ>bM-<4jL$8RVmJSfb8=xa-?wJ@mq&~K7myQtB^L2Yic(Hu0U$S;x6g0fUO?( zGnNy$WSm4sqoaZAkt=?hySY1XwaP^CQs@EKA`FwclQ}JLbuF@=gAT9*13r6siUHu# z8QE<w4;^3v2KVgU(1pMi7Q<yO*69Nd@XedyKLNWEssJ?H1+-zG%|PdX<YxOO=l~lq zP_TDl3NQmw{U#c4G=C3V>?SGO!ovoK!TKskvxa;0feWZH?9gyeLQxi|QTp>R4A%<q zOo<0P%$I<{zl3Qc*ibMJByAac0S?FlKEXGDE8jOSECHJCC9vmh?1`Yl;7vpEi<lIy z1C-&L^<p(ZHbKA}I0JaU3BY!s=@Nj%&RA|V9e7(p+B2{OJO#=VilmdSa0LclM8c5c za6GY|%76Z!{uKpGTvGPg{PtqtGulVzvb!0JcrCS2)N+S~C(Ih;ZG4AI9<F&aH*Qv# z3vhF?-MH};{SvAu#Lb=0&B@6P{ETa9;o`hMoiuSAwu~Hv_}J`l?CeN;v`%_#`0Dti zXZRx4Lq_xsM_yIpd|6FYT7G<m82&LJZ~qY`>=f$bdo>{RGUqUB9edv?^{e5j0nFUF zrr)9XvPiIk%z~~O_I%=oS!zYutVO#UMfp8516=E})Qd;_<zfM2-pn2DNmDmlR%M~~ zdro@}?=Fi}D)=l6s)e7wCDG&5yV<fOdt_hV=l<&Qo2)<Fzjy29<*KZ|y?@_U+~s%K zdiYWAR_x`vY`uM~Q>??x1s}dyE=8_JwQLM4ztNF~VV{OPVS*dW+c6QD9=og+li`Sw zI(#~Aq1&{MmZ%Obcpi?A$uzs<ALGxrBk$i#y}7S1Y^<A~tRZipXDpciP@_qo+}JID zTq8)|*O)0^QsZe6Q;Em}i@b{>K>>ybVtE8bqyn-Jyz(@PBm}4)u;+0X-4eL-z&6jJ zh)W<rz_8>WH@trB6r+-YQmTHV6uXi--5X5YJf_o=gmj!joTf{YM0B@>1Wd;!@#*-4 zL`>%<A#_|q+@@2L1a!PYLZ*wiBjRqYm<R-TL00=xs|_GngX6oksu$r%w9zczc|>-4 zJGBZt3kUUy{g<)D;F#d_WvE0z7LIhE=F|}<Ge=0PNlW9{*!LUbsWs;S0ML{i5@2}( zO*mTeEhyd7c)E|P$pzGCM^yqKM6oaCdki@fdnZ%^ZbZM-nD3#)WNXRSCvH1CamG!l zG`wAmBFS}d^zNDU8Oj~&%TPJocb5IIB4W8x>*p6l>X*7%mLPWL#TJokFZrzPCp>rc zNpAa)Q>7A~2R#wnYrYs2tuTUycOx&*x1a#Qi^za8^CtGg01U&V1tSRX3u5+T7_-4w zY*r$&)UvTH_%QGmBdz5DcoQ6wjPWQmd*Ul=9>yn#C8BJ3gCA+X8^SckE=`ZENsOxJ zqku3$*!{y&ApByOI%jHZ?bc|Z*7DEIeeq4Id{w}OWOZygj{!)C;?#5k^39P5>6$(i zSW?0((=fd&@Wm64_a-mNl*}*n`j_scQ_{cG>SNu>c{9(|{a<d8NQ--@`^RpPNlSXD z#cIWB7!0}_hvlDX3=M{1AX?BU%k)PWv=%hlG9wjpPwRD5@(`J^V}7>=a?r<^CZA7Z zdr;EYB)>?5ZSbKnEFYnfGe~Xho4>AMGw5Kfm!GDwILK`*oNuO~JlKZ0oqs#e7QrQu zAz)FGXo2T$Flkwmn?dESIeE`DqKSAit)z046Gk<eP?9rh?<-rP{2(MR4<S}U_<%TX z1wmOt^MF6^7DA>3_P{0Y0fN4S`~gFr#JZUA<NPX(AA>m<FRjHWzH~*k#n@(Y1Ib2I z@Xe!}_hB$~<=9{{1Bu4S;9E!3nFueUBfc$Bsl|T@w)7?+Z{G8Tm2f;z&C41xz+7ov zMte!izJT0ZG4Zr7@<4osBtvOiI;AJzs9s3y;#ww)y`YnzL#+)ADwFPsowc6PkA`xw zaLbHHo7ijiq2q=E4HP`(=AKkXSLvb(lMCZSeTJN7NosC6=vl)^Ws*G$W+i9zqh52f z@HTWv)7XFLyZ5R9H9*S0(r4(|EMtv?L%9u%QYOu_V%BmdKKeBe3xC6~w4?oxzV27Z zCqu5YS~al_EH*GQnOM){S<NaY(ky)$3*4=l_=v(cg|SE<10j(d5gX514|HcylEJNo z@0oCHsgp_9d%q#$S*aQ~2cs4Q2@X=j^0lEEA?~R#=U2U9{g$BR4enkwgEfpKfM(9X z;Z75R6NjkD>S}00;Nj?NtiIa%8-&kRIyJ^20}WJXkxq@V+fNMaX7y__929LPhyxVo zwjElmCs+cA=hz%tY$nJ9_~&vQLaZlfWU?sl?+VK7R(S+}UQZgjweTzRH@3;ix9hm6 zhy~|W)6zxxPm!=E`<zlWs_G{}OAL;^y0hvhMV66_9qy%v!Wa4^(VL1}nF-ivC-Sa@ zrXoU|ZB0m5LQ9ddr^K8?HBFTpv6V)H7Ouc!z9TJJlumIiQwHnoH1O51rAXRSe$J;F zR;7!s<h0@nn3mSXraB3C4Yw50dD6`ZRllloBW+2<sZ{G#x#3$GG+5$lJTg0{lPl?3 zzGot^=Y78!TDCHau>MZJzCM3qKr<U$bL61fR3za^I0vb=vz{P1#+xH_Xm2Xw^5mJj zSM5>dh8L2AQ?JfYG2uAAIj89m_(z``2d|0g`n{hKjMeUAr_0)g`NOk%WnzbpG8C{q zz3enu8?btKR-eqPp_2?tY)>CMgViRC6f5dv^_Biq-(Z%;$>^o%UdFT8w@yZJq6Znw z*y7&z)K)97DY(&FWzmLym$EMn$w)e@ZP+9DNV2kU!?a7;=l47zhMqYirf6lO<JMV7 zZt0DXNE}i1YZa4Q$J}$T9H>t9iDvbj?!+p8hpoZyyloR{=yU1+((ndJvw(v+!#^gq zaW{0i^nbSH2{FLw<_ba=3>$24Zn=U)Avrkz>Pi(8>IRf&%&f193BfUBj>X~5AN{AZ zhBY}3cTV*gkNM`Z92P>07(E&0#Ht^M6$#)(YZ|{coa^73^{QEPPz)^+_oSL*ug+01 zAvva;Q*>wvE#k!4)C6_~oa^JyhB)oSZtpP>F6cGP42P?{<v3QEI}f-z$Oy%TIi2G! zHegS&mrm!~z#s@t+)FuoI^webS%vfbGstEMc!^8Oxen!Hz=_Yn6|YbAMR1xmR3KX( zuHBmevNf=Yy(%>axg*c8ADpzokos(o6UPD&BEUL2^?*6#?Q@gD95z&Q`zRF+1O5fA zp#d*&QJ^*Cm}$-hsEA1wcY973$PrI<EI+paA`Ag>xD<fX9T}#691RTCsrWk$-{v#` z*{o4vy2phe7C6gP0|TeDY;X4_%o)gXN*9oT%LZc%vq3cnKrAa4&d@6cl<WQch0X02 z*A3P7(_6>Vb2DI!!~R{b*c=f16ceU-{5t3QVqFL)Ip+-2G8BmQ?)y}Kkh^RhX~Wgx z0P5(EmFG@C-2j%O_c<1bg%X~>!D)kZ-dQ6j)xTEgOa0?o(25(!P-6!yf-Hl5d-A0_ z;2=+R{u(C>(&cA&oZ5kv@*eJ}dSg=yS`qnfvVN)F2!HMiSx;^{z5uMEPJ2Vafnn!` z)ANw44OzR-4!sVz)7<6k7tTBbh!G%*uX#WX?k}msCut1}=nt4g)ab#1F9H2+^7#Xx za$0(B@;P82PdT8QHt`-PfFEmKV-8yO*f;h1fU#4?v@DTE<?Dm24_GCqATUeljRC#1 z8IXR<oYf*5j8VZ)d-r48e#yqbPy6;?Z69T6VhNmxz8;?rshg|Uyl^1CSSK{+s?l&D zzFfzv5VwF=cRsJ>FpH{qIeV*pj#&7<nJKPhxs^)zo|!%_eHj-W-PhsJbGfc;{<P*h zekJWQ2D29xT57^XOOdJE!gQneBbBk^PKAV)g1a&m6c!l~W@I>YDz7jL5NWhu>+L71 zduY#4p=5CiL|RG9)y%jssRW8}x;`OD-NSECbKaW!fbA@PxxSe^5c$|ymi!3nTKGA@ zT)M^`Ob9j0sR#xV+D1=tmfr$wAA`@EpaxQ|i#E!el{XdK#V<PWRA)xNkQq?K>(@-- zcdc!`OrE)!ff?FAjwHKDM=~$66K1TQ|3W=$ZJP*qjHIozSPH>(XhoxB20|E2qe^r} z5kWf<any9sc@b}_62=GBfOZMu%-_!rP`!*P^H~9H8xh$}A|T5G5g9cRC^h*m&V2{S zmV>$ZKmgdvs>#RtK#*yTgtU6JEP(PC##*ZhR8aOCq$mQ68MUC;eSmQy1UzSo0l;Im z)0g{1fCQS73qInpT!b5pslL-QG8M#X2@@Iir}F0)K5sF2&c~MbN1?H3lix{?um6MK zS@F1berskv$2%43F1NU=*uyshxkZ~j1&Laxg%9o7ACZNMgxr*dSqeTeJ?6|O0Cv$E zoVpfKeWJD#6}M19*o83wcg**6))3#K8&hFVR(@Gha=eEDNL>YqC&nBe`R0Q9LjdEM z@zeb8^F7QMYAxQn#3^;&*!%7r<&pPqrH-qZ5vB48Rfbg%2x*$$J2z)CO)IH5e2U*a z@|`Jc5T%l)QEO4BJ2Jjkd)rlIER;=EFM|H2=;&UpoGaIu2AgUpCM5Rv)Lt#4>#Z?v zHq}=z=xIc!_i9O8Nyn(!RLN0k)S^K2rYqB!B=GeGf@TPa;<-|ev9hT`UB$+%*;Efu zzxkT2dPYJqL0SiX7x9FPntqA#Fse~G6I}%jRG%c9i_nWS8qt}jMFb_RNX9k4a}g>b zBU9VP5g*L?&&SPnGd32Z3i<xczxyMmX)0&@A2ZiQf)C6Oh<9h)b3V-(tN$=2di}xw zG3Ifst$*EbvP0rAj)ZBO|AVftjH{|?zowB!5Rg_<1O%i(x}`zslp`Pw(j^@NQqocf z5tJ098>FSA8zhAz-TBTw_w(`pesQk7X03HyGkeeMJ;&SM9q8n>%0-$|<faS0ON~kz z(2|%Q|FxMXE5y|#)7>tW1Ye!??s)%jZPdo*stm0uG_c`>rSsCF!511W7eb&1gG!$7 z*LOo}g<%Xh&IiyFU`agSgdm@xgFdJMFdMkTTtE4p*Vk~^20s2Fb9pv^j>UTl0Jg)U zvg)GlVd_{-(ns$ttj?H*XJs7$;D{-r=sFA-%EJY+*&+Zy6;XDb_&zQ&AhmecZ)3_P z(=x|2!r$4hN=<vXOtwX&+MobuQxLvLV^}hJ|44LX_e06V8;p8+*8w0RkRr4f_+VS) zMipShFj+M&ax5jzkPWU$r2H9LK)V(AD&m!qVTm@y$CyVM5D-v7`r5<3O3fZxHKipJ zU!he47>Oug)ffXN={*MAx@rSfx{ryE3V^~U;8o-+sDt)n)T0ytd<%3TU2_K(FE!}k z&mar5KIM;4_9Q81S!W2q0LQZ-0FX(YM;<hQA)pnaXrfN)Y=6WCC$r5Nn@pD+Y1vSy zF!C3vh2_AtFW@~fnKN*GqoE0h0~0pP$MP?J0TX5^b2!9!9`K%&3@Y7O0|!n57&yV; zA$I`)4|JM@B)H%??*bAz$=8v4O5faYJNn|2-c}hD5QAOLOSi}kxBYS4OD6!J6f@|{ z0RX?4M4uV72OW<SU%FQru+kSl)_)0rKW5`S-|7rX5-GqQS6?(P;$_C)V^a$NYI1e* zU7ISkCwi(C>7_c`Kif%qNQ_D*dU1;6bo$3_GKq@?^zDJgGd<c05kSCz&eOn(G=MpQ zxgI#6>tq)<1IuZ1f*vZ!l3*gPI2Qms<?^r?V71>tmB<gO>BhE|p7=R#LrXF7vG^+> z5EfJF^8)J|$tE|v3UXj>6kNq*``4ywaJO6sRsyu=1j(|=s{kk~hgHA;*FUg|#r7Wn zhROwC_7DSSBDuH(xJk6n#8-jo*}&HGsW<^<zC_!yynNzHRf8|}tSSaS00etmdZKg0 zCX>Cq6lP2d#N-n(#Tj|yA}Qwcz4f97C5HsX*!n~YMQ%^pyc3RHe;91o>Ty+=0IgBT zG0XNp>#9LaJ=2P20DKBRX58KYfUw@XiW>+BC3rx+1OVOgKo~l37e=R+73cx!xXi&p z2V#T~uuvlagQEN)Ooj$9FsYvli~+`wc}H&;$p4lk`FZjdU|5ykg)u@5RB9!G-+-ZR zp4THU3>Y*5>2^Or$=R)4aWT%I!uxg{(|Vv}@i1`AfeO-`@~qg0hKrG*C@=!FjO9wO zL|}GKERbeb0kq-fZ(wf#gC;0HF&|1xED&n<9(2&Reo0Vu1Ewf;RMULG^rXY#K|>qx z@GJid8wG>%qIYMUjsaRk=)A0ZBGBx%Ij}TL1B!=vP2Bt+P=IK;VXp&lu_8hURLp|J zUep4Kc3%J&`cKE_cL2q(T(oD$2~a2yY89f8FR4J3T{57EnpgH(Lbn3Tj&Pa=l;GaF zo-hE_<Tf=A^8h`Sv;whqS%6yBw)3!|8)VisKkQwHG`M!C(`Z0b-A10k3EjTeQw$Yy zpxQ<ZLzU_&K&3EO?nxE`R5UxbX)Qp_3g=`rTn8n+EnkE6LzR$M2ib)JdqZ>fUL6rY z#fJ_#5H)OG!95%FsJBhTl|XZ^G-Xgx1?-=pHe@6Gp~S5d@g;9Tk27?}0X=qM_77&E zr^2EfyN3=MF)4J!0aUtBF~yS{;1=6T$KV4!C*kI?abnN}%0MR^Xvk7iEETGdONf~K zNh;tHwF)HKy8{<=gmXnVs8*k7R=OH`rrpX}d$NH4hCg(^0cBT`pWK_)2IAsCF`1KS zATBaD?5zepZ|M>G6;_ZK)8_+17}TQEp2h0309?q*DSAwxDuoep6%-H!%O?`S3@FL% zCM-VCZDuaFhe0=0AKxbi!4G=K+o}`oJwRrYscl#pbc2YY0}V)sXXY5!v<wF13!P^` zDG%mwT9i(pC*e*_dqa;qc%Xv~VI7X~O>5RwgbsHJcUfkkMMg74S0M)YzrvbiPCfv7 zrP*#m(>CZaE<f#AfF@XN%A}$RQ07p5vJrlu42ST4jI+@5uQKIdaSiogiAuV+f{G2z z>EryMd%#&P0}BVG*HOBsBFcfSV4FD8<uIU7L#G+=Sn#5s+?`ecVwG@OW>IJ*5|qdG zibFF_g}6V>1M+-n7k_y96KL4VS76XBPzuj{APPpQMup(57y|Zb_@YYg(4ti;AL<nc zGh@YoxHruPv@EU74=&q5&wlwRtN>^!u`d%4%AlvKeK0N)JW^Kp2=<CHU_wbCs^C5d zsG8-6unmZc(;(>%EycU#Q84J%D24i|o>YNRbeMzl3z$k?{1bv{K~Ow?s4+_ew9ybx z)xZ|P9p}C89*Te#I9quUOcyf4xXeQMfV^1k{tqr8SJZO)UVpG;3M3G$71^MGzu1>) z&<~}x%cny>UBX~quG63MY%3f8=BUYL8fC1_qim^+O;_nJ@M%!MpQNv;V!APJebZmK zry&S;NMFkjt|xVy<lrJ03x+;6YZuRlWc~Qkk3U<ARmJ8>qDNp^(<=mFkr0fDe?TE% zp!)2mf|*a8Z%rQ_j73T?Ax?-+@Q@1bM}tfCobSb9-$N2E5`xi27jA2z5vKumZONtx z?>d1p8jLN~vDqrGHAyAm$ctJ4plm6RO;&lmey1S;_b(k_zYM$}Jx+0}Nv|I2wN8FM zpyf8NiWc*LDtFs~!J27A(b$7jGA}~@Z#^{;l`5aX<h;=EeK=43PFIl0m#M4Qs$<;& z)pzX^W&M<X9&(AFKGet$E3+QxR?>JKdw{CGohZ2y+2v!9jghX#H$Qs8G@L2x;SDYg z6n_jumwvLRUf|L@V)NmULoR)A7K3+RrQxRLumIdXYhGFc?cbM{n0bRkXN)7%5p09Q z{fC=EBhDq~LiiW&H`zgpQ7`Q(XDdz^<j;CxY`ZRwg1MPO<SC#j0sU+|R2g~U4}CLd zsg9inKEC_%zz%*RUP!1(JH)c(BQ+3_?j@l4j%X~au*r(_*h30T8U$eTg;+-bKrBa7 zHt$(RVG98m`V8=LfW{8I7SUpuskWzO{V1$yMudQuV-O3m>Yg3EnUpWy8V;m_l8F&4 zz-tjTU4?HNNVjwN;Cz5NEA?0QFR(C0SACfNXIl39<?svmR|r5=WlUcOp46LIaB3(k ze!3K22aqb~P)9l(fyx;+S>PE^4@!O7rX?^N3U+u{-w7yha||OLjsb;ZQvf~-D2|u| zOzD4tJ>~E}*%}`(C@NR%5n7NZ8*N_BH3gK&DpeU_2@MyGFT=VT0Gv6(vTuP_f-qf~ zZxXm#4$sSaKw5mhSnDjnP|fj)*x3hZ9zkaaP(Unnhydfh;odZX`-lN8hJoyl9-s}* z>6DEEfFlOy!**7sG5P>bX2Y#F@MhHNXuGt6$P4c&mx{G4maT0w_8uM2^uk3VL#689 zqq2US(Cb8<^q9YV99ATzI;PtJ-X{Benk_`t$#x|``FQFYcIuN}SQb*kXt)6^(W<lT znm~uk6jlWRu<SP@iW6G`9rvo^?eahe$y6|m$UD7|D@;t~q#OVYQ;e`!C@G%LM}#Iw z7Fw<f%Xp?bM$t;g=<^FyA#TSzZ3#Mj%wiM8cHY3niK;{GqJVTBdXen$X=da3Bp{Rk zL%6)4H|qod1U_L1N&x7Wm%t1`;k!X%$|uD@l3BjdlXVD2fPV69`VbUY(_!+!rwwFb zfnFnk>KNQ4dy)XCCFLo-Ccvx(^W-rt^>QN9mSBeoqYr0c<b`VaKiF(AsQHdhCgM1U zWsBELw8tHTUo)G~Cm0a}0Kr!Ggn#%paB%|YXE7<&v4VE@cyQ{0i({UUPa6VY)Z8(# ziFQm@jG#K)PF;iaxVPM+X8{K=a88~~Hvk4}`vRj67f6Qzy+8oa-Lb&xBLM)RDe($F z2xvb^{6_>NSndG`N#HS39t^_<g~bNZGKk(All5ala8ECTdKk^<6a4OjY-H{s2t~kL zhJFi!>cMyah_D7gF7);w1OSG6G(rymZRP4c;-H=^w9Ai(QQ#45#@eF>21$SCG8$0{ z0CY3fUNtO!&0V6)Pl!VRT$>g2s9^#C?=lDx1pq&@f?hRj01$weM?V0X%&d9_fyXY6 zsIt32VWc<FlyJpcFqDRl!Ng23lm!C!K!h|%UkbfDz)(B_!Mno^0E6Yqu#_MG1o_FF zr~=?ac|R-#Vg&dppV$N7q<puh84q|6x+fuQ08m}N+uID8Vx6E*6M-y-9sW$0JRt2; z=+yztSlj$rE`<TWKE(xV0fjl@TqYqVL8Y8#E%DdnW3o-c2&(BS01TMDi@UxH0JdMb z!h>KHIHJAnH3AP=7dL&{(5P(F-CyeKrvSba^)L$RM(LMN9ssyFhp4_g;K}L{R?vV) z?$}JKBBvnI+c;HW-7CFtGBC798o*WN!o4nFWlRQjCUk<9wbdnl?SN0S45wc;d3ID* zj4nBxBNpfg^h_!mfneO+8RiVNX6L;JU=iwuQa)XI12@6c2`COIh!hbI#Y-SN0tOiD zvN}DW5C-ViEl>z<IRi`%tg!x$_X%Xje41R??D9Hbr8RMIsE~svo(r8_N~axM^A$7c zL+eVbTnm;D1j_Bt6O$kUD!YtM7a#}~bLi^>0(UWuzA$KCl^?*?z#@u*4t!u`a-kI| z>Wly)H}tosfFND|4fYZc@Y=t{zXa=$3#CX+XMR+6zSSmf90-PpqFAHABo1L0Dd~)Y zK@%oRCWHMWi$W)=I2jC{PS3t#1`N8++^u&D%+f~CIAammdFb$znUuj`hj5D2bryjT z!&Y*l6&MHeGudu}{t$i!+f~p8@Uz$=fFb%sNO2@Ebl20a_yP>4%?5hY!7O&S8pKtB zN=`cr5~_gVX`4ZO6}Sx^=1MRnz$4^H<Cq?my(aQ1<kYtXL$W=1VOSvmdRqD|?0aWG zO}8vVRm`@asGFi^P07W<U^C%5FmtH*07w%3dG@^`;I`i~4OKI5gGz4lp3Nl}L;D%g zfvaIfDIhKOuh{q21CnXd#%zKcn2%dEIw$3c4v_c#v*qOC0>G|0pfRji0PK{tud6UW zaC=(f!{-u?!3}L;Qm<p)h6<>9ww_$v0@!k1gPhYSpj^CzPlgqNK(?_aTmuURvZ3C$ z4!v4X&<6r6FbD6Lhbow<!7IXFo~~C-06ZGk%8=d(=tm*_3lMOv0zD&;sYCibwtEfn zJE((Ev(~!9G0p5pbW6{2l4RMB>6YdWsL1L^YJwKfKjFQIFN80k)8Ki>`sbhW_4nh< zDF4u{Y$V?FgP-#EA4*<EoG8(!Fn+g780k*f%!{(T{5tJFbTWcU%P8{2YmMIJsp3)o zdeY>oZ|r9AdQwaOU%C<De=CkI)e5dAmuE$v5O<A-#cvokyk#l)%dYg*jw6~U8V^{7 zM;Yrsd#%N~EC+7}SRMA!GnFx2v{rhpow_K6Y~}!@$VDz>GY$m1t3h{0%!k&KbXUFa zjD%+mu(u@jKa^GAD{JJ?m5C7l-FQG<cVF5SeCjE?aE5GJ077y<t!%cniI*mW0emH0 z*CY<_p=`E|iI+)fFmdK^<H08*tcMpWhkcbUWFecM*ON?p<%r=!{AJG=CnY1)-k6-{ z8=*bCkUs1ib-@hT`~e6(a)fZ+blt3k7wMbq)069?yj(#CB+N6{?0<Q=&<+Th;e8js zqG%3qnc<H`vS2BKkM6!4-)G8bl#DD)7e8c>U&xkyVVv!?oHEIJcYN5&<ZShylI;FT z8U@cgT6K+Ux>EIlEn9kLQlDBfP4<6`TYoz;BSmcKHN)t4<i}JmBet%cJm5Ps3iqzV zeTa{T#s8QDI;q36W)$XL5%0*2v3Gr7t>t|<mr>{uO{?xCBU4MHnSEb0Ye_u92_25b z<NmDeAL(+KEP(~WGYA_sg!(T3`|m3_4n21SS!`%5x=H7{?>b12F+n!hjB_6g-#|8# zinWxQm5_~PqSIUWI%FgEc$r+5^A)f$G;HULH0YYz$ME<Wk{FQyVbpKhdu4ke-nHQJ zglq|vE}Si7mbfYsq}$oVsbRLEhSJ@+=b$k51WNbr(Og!cACzuZvld-597^Yq@1y|# z0NJRjErU}7WbKpJZX8kn6Qrwo5!yiFmgGl}KkN1C=?TBY3I7}!$&T{C#a44zhEn@= z#Kqs{FdvQf>&T0KL4^TNI@XnphO_lrH>PI+=>&0>QH7K{;y6T~Ez$F*Ur~{#=S@Gb z5m0<LZkaP8n|G{hkDD&dCl?;dl0zNgFl?U7V=Xe`N=r@^Xh}Q(E+1~n!>Qf$tWoMC zH(6-Ow}Ks5>h;4zWrsOsYc|U@h(1bxgm*|A51YZ;L^#G!q0>l*wEeIKJQrM|5inAH z>5xW)P9wDBHbGjET5fs~*wDG6Lz-Y%5gsqX@d7)Bfhxa4njiY82A7!No4Tk&nrv7I z?hG!mVpyrlI;5GQUu3~0hALBfVTUy4FcVx!gySQ&Doc8ChqSN;m1a62-fQTi8lb4E z%<11cq~8ql!QWJraps!mlBLf-A8;7=D`AE{j9pD_QPY+9w42YhW)>4i5biea4Sa_3 z0|~<jLL2vRK0~zuC(}1)YBuf#e6t~m_e|w^*}i>xFl2#0;-%elV%_-cLp*d^W8cJH zUZ2=AJ__DRp#PCJ80JKzQH$$W^Y|jzX<MWAiROIVb&-z_%X9epLs1p`r0KZA*FA*V zLp{sHnniK6U$T-T6CSa_!oL>4;7rd6r_HyN(jG+`gd@0*LsUM>+Dp5q&M=TNYt8~L zU5bJZ$xDK1bDPR%-xCTAfKO~MI(y_dz^+ot(p7rF2#gfgoRehtK{7E0^&+NklocKK zvYhUzJ$Qa++8noLF4Xq!I4+1hwnA-5$3a3|!L!h9;&B`honnS<-yO$;c%)&Zkt8zi zsRmr#9kRzzz=|C%O90BLHzg#DqzVHmb)X;=1oBV-6=48^^biEMTQ&_12|rY65)=SO zn|vBnk}9YK!Q`+>jnHGNuh`xF!#8ux&bNl1Jp6_n16etKw{pTeaQZPRk6wz^+Ni=j z#O3KIQM;<=GV@Vzau1RB`;+UtE`-vHC6zZhFND(>whAt9oWOi(xh>MOXw!{6nm-Ni z;~^Tc25pa>BA@)}b=y;Fl9+Kn2{}s{xO4q3QNnbbb>q$@`fCBE^qk8Z6k!qOE%>GX zJE;A3=`SIy&$#vF(%%$nOE3NDp*Hu@-w|rRUix!EZT_XdI@Fe2`V&EI-le~X@F$k7 zqDy~4VM~^+vP*wmVKo-2oJ)TSVF4DZ!b^WEVLBG7&zJtJ!a_{XNBmmyz`PJl__YK> zEx2xi+Ht>@OsF08Ybk-+NxzmDFl{lzel1l{`_b5iS+(0!Ud~g@SiG}91EGURnC4CI z%$dF~Tnpb7(D&#aadDFS_@!a>k#H@;^GRcu&!04iRpU(^$*#RU8|6L8X*rj)m(o5t z6E&DruU6A0{8^c(<(F5b$u_X~y*bAA^$ov4zM3+vp!4suF&u3hmFF2kEN)XDkEnX> z4c*G(=Nf&q`aed$)@D&l<6+}Jczb;G!~8G*Z&o!`>g4n+t;(+9W&Yn*pVgIUv!6cW z_dDvr;g?tcgUL1;PN<|DIix3K-dA|5I9|}%^tHumpf=@p@jB~oUfAb+!ZIGequLSD zFS_&s&b~1ZM->Y2l`~SaJ}lZANO#dw^ZOdby!rYjuUnPod^i1Il9{sUtmn8wnd{HW z$&I52Bd6QyX0-bow!bU#M9SAgnde0I!};cS<3=it>-|aoR9|OK3>~ZgmH8cR<!Vvp zo~Jf9cst+_t&$nH&rYOFDZ(V?wpkn5(e>rl$Lc0rfUN`6G@wZN)cnl!B)$G!S(Byb zR{0p8ouSMZ^Vylarb3FEYsacxBKoZ4r%d*n*3;^UljC}KW}cn%7H!?%tTEJL%qx?{ z;{TEZQZybClTu86m)ZDeqBLJ$^KXtVUwh~SXF@%hbKiCR{y1kGv1z5lamdGd9OI`{ zT_=Bszp6h^ambv_|6$|Pq&k1wFzC@QH1ScH&Jp&j6lY-XpQwlJtVq70mdo>kb=t(6 z<A43PJ`^@xx0kp|pDG`!XGscNaz<HRg_AG2wf)G^fJKqTbAFSrZmp!YZu``O`IeDl zj<ybkD7paikil?{v~DS|N1}qqrR}OmLW}c2w~VfCsjX*sUt={WoRNYf;))FqD5&eq zG*^Q<<MEPZpXgE5ndz?vt;OT%fD7un$GY;>dTxGF(Z+GBvK)#Zj5I`Cq|$mYP0tz0 z?LHVe3AnV?^<Zu=QrJZraSOV%h4x@lFjDx^)-7qR1~o8J{E9MiQeO?~kH>3{G13q} z;3||}4PuXf2lHPI!i>k8QSgr?1btCgjJiNZU-#hQfzKSp^eea3AcJ^Ajek9uZVVK~ zkymuKj1*%rSB%FD6io6@?r+PxOSrT>HFoMGs68p+@Z{EPw{mzuOfg!mJxeEd)74G7 zMjYkdZ(8FXs_FJ;`zNc_m3Be?^}9a^g8bNX-U|+0lD(WSAuI1rgxP6Gk~=W790Jqb z*rVUasz;~`ZCk3qb9XWmeLnyAJ6x$y@Ik*Y<@;Y!1r;SqLASoo5A#NCSO(Me&mK*b ze6m?VHO8U0>U7KC{N7%;N{+KpvG;yJj=g&4n4ewgY=)!B(vV4EC|xy<|B`Y2ffp$y zT~~_J#Ss6chXgf8xPsPf<RkrNo5`q@vY9H<4aBCw!@R|ViJobpX`a9wcf%p)S2jBL zsbbw1m$(#z>fH2w+Mhez&5q!d7U6JSxp=fy9#TjRFYF*8kRX<$O>XtExaX$#>Iyrr zutV$9o(8yh{)FxWoKX2;FQev|Ia{n3-+K`bF8KUCz<ylN4WwEPRl)!xdlRdm8J<7r zpbSLcu(Kn8NOd(4h`t{AJ_dy2B6W!H_%sb<9iwuLffKIqQ!ZOUsh-{#0Tu3YcI*d2 zQ_1kPWcfza$II3_2qzWkP)+_a51kz@Po8ZL9f8+%&MWzkW<1ugVpD+9<#wPN*v-8> zdJYVBlDzprrc<>ha!}svKs89X#!^2C5o32hK*YK$d5GA(KuJq0KZ3X5LeWL-eY*iq zF|iI8TnqJhy+G(Vi`SCmCEEC%t5NjO-E-UY?BB21<$=Iuv9y|wS?rEo7~pW@w`2eg zCeM}0`oBGv&b<Y|De+xC<#qHR{&xb|c;N32HPS8+Q;Np{=If_dQh@oTZ?6q7?NgRz zfEzV$M>wxzc#T7t#4kXdqZCg7)N9@=O^DjLHv*`tdCRf9j;8`MwEci$Lv_Ohic*H1 zc>)U7_+A09G1Ti|ed-u4SQjP%ahxc{(|`>#@0Ay1GqCp+%9y##1#$et)U6hFw0~S4 zwA3U%R&I0<^kpe}sV`7x@|rT+^E%t}N-%FFlPK5e%&m@s^-sI%#Aa=#@k(MMN%K`r z{c`b$Oet1bXwn6HwPoG*HHXgDbg}0Ytj7BKxtdK@$n6U8)}3l=ud&v;Vjs4rdApqO zjde1%5Q)I!$FUzoVvoI_vX@SNsv<$iX9m2>v%F?8S#(?zj-{wL@;Bu2p0NG*F7tO* zl26O+wjWLA8Zm;uj#{vYHmK_CkhoaR1#fOE=(azE-%5d^uIHip=(UKg>1yFPd?H)d zZ8iQ&N`-s4;e>-e5pD7ckJsjyp#G(2@kvXwlb~*w#H1(}QC#DvD$(q*Mz6!q>%F}L z$J^c;YdkL(E&mPn6Zn=Sl)cM6bh&JN7IE6Qcz)vLAMvuT{`PIQ<Ko>0Yz3Z!o<F<8 z8#4NZL*A3V|2&I(BhXV@{5BXqDDX}&eK2fJz%CKF{a2gc?@;XQ_2A@X@jkk~b<E0# z?dWm8r`shvJy9(6%|ktvYB#g_?TN;NW-k&I(r)9JPxsRI-I)@<Dw|O4S=>`GrZmMl z`C<5k!B}=<&5cOn^*`3vOvVz=<5MKBXqYGQ_LDwdZ9GhGG+Rw6oEl8L@s420OgW9b zqN24mY1uMv`NQOxdCsY9>iqnD7Q5R1Bz+iT+5WkhGSR|*q~;O#C+|sK6t9)hs^V-^ znD2OWg_!OaMlbtG`Vr?}k!9W@6fMCqh9=pou>OP;LYr=dwmKNvU?%KSLd{|Abj6tv zbAgKH$Co$4M7`|EzS9*q&pr~nZR^qnJ>HEtvg)64>!#cY9;tM&HJ|qna$|SQjqaV< zCV%a(c|<doKB-&U=*MSBZd%u44!2wDD`t1B9iYRkbQrKsce6EaabV=48I1pzy)B@> zTCe)&_|V%c&+UOBpRr}BSJUCamtC{!LcII1>}0`EpIgMJ{+2<p-Nb>zrN+md(aPcT zPt)*qY33&{;fIdqfK%NKKfJ6Q4t@Ufb)=?Cyw+gSs_IN##YLUgt9zO3%uGfScau-Q zZ`@d|S>`4gUS9NNMki%#WG7{Wmb#3!G`ozJyBywBx>OElU2b%4xE9aVzSD6aH>i7O z;+qwel(7pjbsVmalSIkb1#fR-*-vj#7%sgY{a^ol;DH5<ANoI8;<?@%r|hm&iVH=N zd(fDo5GPC5J2<FTLEYNTGL6H=)t@&$Q?Dw(9vQ}DC8)K@n?;BErpig<#b=Uz)aLBM z2nLO+s{9g8d#UfzCDqUFVXQtJ8ob@v`L!<n^ix*WO0UQ6jy`$w6))nQ|7pYNpkU?O zqQ@5T-|EBm2EGp)@vk~hzL>1rkcU^f5me4sG9Gs=w&#AENY|)+N|j0ft+?z-s%V7> zC#QY=sP;$Qyhr|W^V?3ACw=KWs@at3LS7TUzG`DRIM>=zt;^2ERK<T$95W_QqyB1Z z{fYFeql=(CwZr?6j8_g#aJw)=qF;BC!=m-HWk#>M?%eJM>G9FL?WS^w3}fV33D$Yp zU~y=n8ZVr?rC99l-qcXdqoYk3^P*v6d}4fJi2aV>uQ&Z|x9b%O#mik^H0C)~W0I;g zV;A2U9tLDmswtcpt%R2%)ZV<=!X_-J)V1s!d!H6!7j>s*ID{H)u6b<v8(Q1Tm!vzV zC^gw3%6CdF+e<L!Zb+WwI@C>t7-H6<GZ{&zZSyaOp?c&%{oNr^^z~RuwINH7S?2C6 z5hgn+(QPc;seOWzGUL1x9$-jVD}&-8C|5h*XhZXaZi}uxprHWGl60MsFnCvXkM=Q^ z>8LBF3K8kAqe*k`TC*>#ZLS}{pB+bc+Emm7|MvgnX~N4pe344W*0aemc+_t*UWp>4 zTmIsPMP(z>B3<=I3+8A_f22T3q<ehtslgsC^ZD;TMd_InZcCyD<!alr++y+qHU=>> z76Mz_Z63vDF&CoTnOxNTCGsU{zT2%e0V1X?aB3pwvx<acHdh0W;4*68Q;pTx0>%C! zoK4jH7hL1h4f`SIwAOR|W+s8>r;pNiSBHxB$#Go?llZrTttUJJi^EUe2slPgij?W0 zcRaT5sHYpld~Ek!>ddb-6RXw)RgEgjO)Z|FmA6$VPYlK40KxWyj{BC7>I5T|E9Bfh z`TW>4|GdwuD%a`9L-L|;(OHg{joD#ZjA0w_k0%ICZmac~x8Z`5^|6l+7VE!?+II5> zRz!G;o;;!})7}3=tIivl(%PursLxmAGlzQUKNB92{)N&n)pA^Hz15Y+e)LB2>fx00 zzQEo*TJuV)M|h`)Mfg@@QBhuQpY_X<eNCC~efMd~R4KFg<gQnrmGaS4)8+Zzgbw5# z;(zgJbA6U-DcZmk_a)|;)w3^F-Ur|AZGOm8n8jblxFf-W@lLhqT<=Pgylnl+oEWaC zHNCIt$O7Svn2l(~3f-Nu)rw%X?6@}w#uiC8QujYefyRX8y75>=xG$vl(#-l%PHb?J z6}v75WQb_Z(0>q#@y&N<c}_mB(yH|5CR|sBUFUR65b=!>P1U95OX@$rFj9#${qdG3 z8o~d-P(Hobgkc7==91^c7abP-gOzMu(ueie)}QgSsiS?YRIY-oj#tK!!kvGAJwchw zetqAln|h)_J;OwkE}d>nMjKr_s8{B8d4mW+*LgO#tjI_8e*EQN%ozpWDdI2U-lD;~ zl~|p?HNq#{$+|yaPJ!6xt+@WwMP72^EhFKcogKbQcX{I|i9QxM2hxah2NBJ6Ia;97 z>-Auh?*~R+$vbY4MV+?}ol8Dxi80-KFM8IR>ARHTYlcIw*N6S$rll!Xw|EKN<VLq^ zFYxl*RKFMdgHUbjw~$x#-dl+g&)&;7H3id=eP&6CZzW1)K9`il!Fa*EWLnNfMAq=O zyq+cR-}}s5i_6t}A+|XG5<}O;M~+D{Gc)wdeS}VWDfRq{oBT*7GsAZFPh2^L?H2uT zmPtcS<{xkWRxloQwb-S+45=!pEWdy?Tt0~%2x|`5`-=a&@}bziWaQkGYPvAl70r4! zc}Jtk7Oz3X(9?JL>)E{z-nHS<nRFJgJ%4|m$9-FTe|?(p&SR-m3H(EB^BdvRMY+P6 z<li$%X1{B^^!sr<{j<cK$^`G<CIplGAoL<?yTW^Q^fo^~>#6bS$Fy~#j;pAjz1xVt z%8l$_&KbE|)EfOi7(er;SvT!_;8$m_LG-kPOwFn~ylwF5)x``4TI~}(j0paTaRJJP zj+VkY3c@0>^<_Vs0NQ~9ES<wZ-$UJ}EC1aJj-Dknyz|z7zGgi1J!^s@kwhx%=oV+K z&;9e{()L?X`SNrBo_WXI)$uS;ePgUZ^)xXeX5!GeNbSDHRWAkg6N;)M3;*!eGU-9C zXMdj8dRpDpP;Gf~<|iBlH|>8r$F)s`-M-7NFYQ|vMi7E-=IKX%9H^n*xMxNYlXE{V zF{^N|>Lot60j6umDCui4>iO~`S?4lZ+S$Ls4}JNSfAxRGZo3NO_^nHx^6}&5qgiIF z2e=L2O=fP*vEX~W#QDcp40j(XQg)H~x99GW5{71TluOdlD-IF}{E60ge7m~%90qGu zdfF27AzM^wBG<S|q{g^MUZkrmML03{r@AKQQg>IJavKq;OS^?)F1C87cB&@}_6{P7 zruNe4XRBZ4h*-mPig6CRW2Mg^_BU&oyM45=i-|7vho2JOJl`D5cNA_qIj1+Hr;~TP zrW?mlL_<GO=?$`X9lZDP>}>K~5*IHIB3eD9=3GKQJ#48+AiC<qhjpR1@jb~o40I8X z>%e9?2s9Fp>&9l;5A5|_vb;&0*bfx;&B-F-SV8w-MpK9na-_U<5;MEX^<U+lot!AE zudI`#7Axzo(-3WzWEV*IJ{E`<s#n3W;73q-&0<$Z(krm-B--X46@2npY=4j4lWpQy z)6ncjD*Q?sKT?@yg^>-tfznJ@xqP+N0c|RrNsqy-r1Xp+z)vrT8QYz)Rh*&iH%bv% zhM6h$lmfG!i<v3<6h8^a-Ex0Y1Xh4Cdz%(oe{+!_i5dOd9!K-v54Nj%Ob9Dtl`CHK zkY~WU0}!MM97zjP^%BmgJ>qP=S`W8*dc7r2*p!lS^~aft0uiGL9Ap(HiN!>)?0DGM zxN((=70DBQsC#>XS2qCh7V4K{nJ$t#@q*B7rE2z`<_Eo6Utj1ch}GKk9K)HExvbpc zj9GhsQ(Li1o-ivVFU)y9?P=!d{Vkf6iB(aiSaD>dF)HQW#H3v2@C*-Tngsisxm`yN z69hejLncHOWl9xCrC)1NVWugtzsA_(lrX{PU<d}hh0o*sWD@eFC%!$=2}ZC$6%^GA zQNskG5gLqlW=Oh6F)4=@zcl`7|Inx<84RK7Ta=j8i7Kcf?R4S6WipfUZeamePcvul z@9h}u0E8oxzBg}S+k;C(K+zo1i1SlO$k+YagF3yaRPp&+R4tArxkJ#okHV~An^EH% zDp$hI>Y44|IhVmrJ2X^d^#9zfaxW8`cJN(yXSUU3POW_A**0%pm5Jia3;4{Z3}26% zc%ap{OmEk}lDM5W@t~QVbtn_XnkV#`*W6sFob*GTzdR8se!V&Krc4xn9{Z$TX85|p z<W$&|erB6cx~AX61Eqfcr2fg$iMr3c)zpH*NxgdRWlEESge(2*_KDPKWRnAz>uvR< z@bam|N&VpPzweXAX!9mM#;lts)7wvTXC2G>19r51j740ttI8|{@tZJ2_X1=h#`CXw z-8vIIhV^j|v6U93SRW1BoFe+-YfuHy%|>dz?UEQU5sh{PyeDH5%AsA*-`3Bq+M{<6 z-Vs@S(1Mn}9(AhWDX81j=2lqRLAXqA)^;||DfYe9HDg7$pRbzyHwl92*TJ2#P#7P6 zwaT3T{VuT+V}&=1#{-CNUh|VwM7OAu#0R^b4|d`UCIW)pR(bPnml*$^l$DnchwHj% z+P)q5YLwcIDzeO9K*H;6%ek@Jailc!^W{t3WvX4zwDm)?n|s%PW42=GJ-<Hh+p5+p zY;#Mm^(sry8y3ECSig9bD#55%Iu!i3GH6{Sxy&h(w5*QzFwdNh->XeTBzfDyoR0O0 zY~S%YccxlK?U%n)Cco;EU(vCr*FOE-`Rmv>b?9^UcA*XvUY})YgL!kJS=|kKYP0OH zk*-;DrRP9qS~sr56ISQL&4tEZhbOO%bj_Qi07oWu=dpFEkGZ(=uu(T$z0~3FE4ng@ zc3+P+mum&?d6{7&uAuWWob+uy>|f*B!$zkaSNTC}7qRB`DLHi}_=ioH18y7y8(WOl zrLp1Xc0k3-JuhhdOXLb8b(ht;)S^mK_nlPiWLd~LD$uE$d*|e=T)gC#Pz3qV5=z^G zK5V0=df+5)4{(ctYPkZ>bD;^i=SD#4Xk@isY3A=)Pi$%~%(aoy=1b^&;GZ|byE+$L zO8qM~XTG1>A8(%kHPMqOwOM8O!;VQRJ=^BzFZk<kF*C;Ia7Vo;q^?@nhfs(9&%=%- zFZ6GvKY2M(kJEOS$mYJnf0&xes3Jm0{Ocjdzvu3-;F-RnB+ggLub4Mbe#<4_Gc;3{ zccIB3PRB@y6LtPG=OLuEQ<Q%ctA_Q+DnPQG@&V2dNh^_mt~u8Q?|xhw>Q@{kekxE( zbw66zi7pvYU#yuKYTn(YE%Kw@{rlmkx2jm=S!TS3<L^}$(QB5J4ldjZlI@sN!Xw$e z%XApSM?U72Ly}xYt#y@u=Gra~rm6oXcf`YfFj~GpVG<3FRGb3q`F+=gt@OTwwgMBm zlB|r24>#qT-S^=;&-?ruwQ70iUT<lO|9$Tf{Uu(eP4<-}VOKl9pLWOV*ROy76tQ(< z9cu7Qsc2)rnofRFk6085F4Y=KN>XjMRMDrr%^Wl<9BvTXY5kny_GQr1<L4M@%#l0^ zi`8eY-(+0Ip1gKJ)0S%X)%aH{DDODe_8NoiG;hw#y)kcm0vyrKwoz`FV)i!l&$iTb zZ7MBod~qaA)jeViOP;V_eO;a4II|28e44(kj&eotvBU7UewO#Z>cs$xX@_3vBGE95 z{98Yf)(pylV^-8Giyx+rn4VeRjozW;a7M4!V=t9NuU}}RnD$$jUZOOA_-<s1J3P8s zy05vhU4zmXb7Qo@$+$9we>I9?I<$FzfoP8)dhOzAKy&<v|21VmOQcvs(H?3*P37e9 z*E(_ZrFUI=rCivX*}OAl5_o$pW_*)YXpO;5IMM0bm8i|}ATMzaru^lxA?iZ!rth_I z00kv&$0Fh0lP(uOOi4kW^zFAO&1oY>@9381L3#Llg1pJw?5N%%d3FBStu@@<_B)sX zj;~$OEKod&=J!hH?0=qQpe!i2{0mjVPWg6DYC(4P7Ii_vgT3s*<l}cbH(VmY&G5Nh zH_X-b<m!NeB!=Jny=QYKb+#ss>fGr|+q^r?D38y`<*}V+QA}PPb&tCTobn0;7-9~i z0_<UcqXpmOJM=x?`vb>!T8Cg9le*|f9P;m+&N*9$EM1$QQY<;XKEh5>3uuV}bB8`$ z14gcZ>Q9(QVQGSKX8LrdY!P$ssYP8gdCsoc57S+=vj=%~H=+TKR(z8dDD<ybCvORd zgHdR)HizDX2spXn{@8SR&CyI4z!)vy;2e<Mzlr%i1*JK-i83R7Lk4A0$tz1#+p83< z1+7)HFVJ|@C~aq38l^e$d#(+U<YMRMj~{4D4fkokIbfeT$nO%Q-`V5oa=CU0_`sXK zorJR3VO_e5a@IhZnQVf#htuUEhB~YyZ}lbx&6g{$@<%3$MC^#aA4%&ePx7`>K-Q3T zOEccmCFM2cxk~`OnQP1rETAR)yU{M@CT8@>wgl#x59Pl}5A-W(`R=`!DDUVk>cok1 z-gR1+s-vFCMGsD%qFlWKUAkyjBwa2|L^-=wuK4fBS|wUR8JK7Jh~w>X+)LZmX8b93 zf(^pF6xHk43MKE-`guD;uL=TJR>_)A#g`<mL<w%D0S=h@r^1^$32xm|HSdcr@m-60 z>(!-d!iq03Ty1;nW2I_76kpzP-R`a5m8=OYz9e=HhRyQ~+cRx{2y!6RKSkXP>#0AL zs!1yrMXTq8&HLocKA&j9tG9>Ei{{L#P0)f@bH$F~@IR*Q1+4ie6+4{6|5&ycFy`qh zb_j<5F>jk-&x=>=P!IoO-M+!EM~BU4OP&(zpYm_gv1~V^%`?L0*@nfQPh?`uw~cN; z!;)D`dfxx+T%!)&(3?{xw8&nU0aJ4ArBf(Mec~inF7Y^=WFJ-`ma53V^T~@T4xgo? znwga=-RyqK%zS=d%cs_0?|XWI=sw->*5}1~q3E`Knr8G1-Q={mcAbItLglpBCo7qy zgQA2qdQs@xPG(|+cCCR@G*c1i8BS(*unGT={-9)cH=D^6D#ydFUh=aWz&QHaO7{9u zxwnx>PSF)RhKUZ5o#v74{Uf6iPuBx>vsT9XXzh2mw=_qqbz)YviP?C<kvaJ8OX9=J zOolFxd~@6NuzJtFJ#RX7tfxo0G!VM`j$<fu<|ALVxyoQD`|IG5rn-?o8e86xJKP*2 zEp&yZ=vx+innfOQI6>20*?oq|rWdZl{rk3@HulY^RbI4X>)Rb)mDTOH$nYw)6DJ>$ zz0tZ{{hmie{=1!u*u+?#d?82RN)9n{r~R)SVxNk*S<|0txwrFMIaSDFEHhr9#X*J~ z!uj!?#mCZ~WOv>@`cpLSzyFWn<WA<_)egBk1p#~6a=vb4cT%?1xkA)zpSk|p{an9Q z<GO{3OV`(IsCHTD_H$Wc>gTU<O6t}YUA4<`O7m3_yNM({yjFU)f~#qfPh?poiC>-B zC0k6@Zbv^>lr~!}f$bQ5YnjvX=n)&)0{)%?rTyj>>W!Xoh6LAJl-q}E1hfU7nf(=) zd;vER7e<aWy+<5p1_8IVpX#zdExG*a<nFp;;_os<31ok`_h##P=aoz*mW&NXy~`&T z@8(d-5tx;)e=*}-e1$o24?SV}k-8B@PfPB*QC%Vli6)Qpg|*yeg^h<-lq|3rlx`ly zQDIE4S-aKzdgAx!S`7Vr!@0U^7!Mf*Zbd=K%aa-*%ZR>rj;*E#o&vPDY&kDK4;#?l z1UwpPw_K9IsNN4kq^>2;t9gByrL_K%J1CA-io^CKe3WfOS|>?n-4%o8DDJh-2&)&m zN!zT}g^`6zy8L)lLdPhR)(D@!u-f1Ldp~rlep4l_XyGWgXd8YjTRYow=Y6xHbt^RJ zYe^k$GF14i`|ILRrkmGJabI>X>T0q?|E|RB%FyB&*sFteKNF~FsxxrXc1%uWPAnV6 zFL3@HI*-k9YnF_=W>ig`)xWTROL@y2V4Q%3$EOVMP#U>k7JZA0!lfQVab+)_t&4lM zCLn=7G_Ojh5S5M9bF?aB?{E3dbqxRa+bO2{so%lkW*JIcRGn25iWD<7+zDwP>3V;C zoI}+lZ={QTgfYiE7dXukZJm05d~Z=w)ik;EP1Wq=<Mgig;<zQ_UO^meNpq`Vc!_*` zFS5~JzV@Em^6*JF__@5yPT<LkviqCQ<QuK~ouExTp=UvgopQOKBEx5HhB42`_{x*& zjK9wAI%*ex<1hWeS6Yyhz`A|}H=xzl>F&<WPfvCDN~4vBNSrf?43kPIiIyNFq|0_M zcX?r-(DUBo>*Co^YXb$2u#8XL`1ZXw<vUCFJL@;jU}fP!i@i+WORMWMMQ39c30c?B z=wIu8W46jXMvPKsezNq?u5@>K%DO>o&Hm9<OD!fTZ2e(Ww{%CypF4Y>iVl7}O2naZ z@D?KUsEZkTz;<|M$adfNlZ9qQJntd>rq*@hETe`~WrtAhXkf7Di(@j1%ik-VM_e{r zSJg)k`|TP1oVFZB`<}gcT*|YSkVRGcLLw;%H>yvlHqE3&RU)P;P1={jJ0kl|g|?lY zEj+hh6iagBQtPz6SGw|%N&${?lXedq?u%dDu2&RJZ06GSP4}21Kcsze=P~yTa=LFP zI>=fRK}WS?qdr7r(CGT(@mFR>@xJ8fIiW4yz%TcFSv1^-tIvkd_dectL)E0`VCy6N z)g9<hbH6pv$H@$pa4rfR&soxsL=$XV#b;!M9PNQ*p7c@QU*QnW#iA1}wQAAmg`xK! z>N!dJMQ9Dizv_HWqnA|B>Qr?2-mH_V80@8&dx2loE&B+IJLD!-T6K(I)L>_otG4KT zpkwzlP*td$p8kSZ$F3`I+>7-NcJ*r8a%=T7a+;}lkBR*n#LxF`df)Nb-8WvMKdC^) zK3T2fE;~6KWFw>jyYm$%(b_nT&a-H;*wt~)CkKyo@Xb4=iqG6k{;e>?ns=@U?O_li zev12%uxGUg8rSxW>h0qbK8r#(+<lz`cw|kN_+#6c*y`A}ZCjI>*tRE5X5vh&iEZ2I z*tYF|-tXK0v%BxUyVXyjtNZ-w+`4toy-#;loloC*RQStW2eT>T0}SLXr(_U*R=>}T z`&JH1^dRO2M;yM#cecfT)W7Ut(ms{@MKqPDvxHIA7PiJt2PPc;2+J-A+Er+JtTaK0 zDwLChWw=l{*3<836)>Tjo-bTwGxvjvj)o1jb*iJ$(mhD}l5w@UrsoqdyS;Id`hDj~ zo7EiJWIOW&$+}Y({=-6%6w0t&btNhJ(&g+fZSDpV07<kVrKp(LGfWSUAhA&tP42#y zPjz*5q;?-aS1uf1EFg&S+TgH?iP9rbYE~-u>9Rf5eAKjPX7YT1_0jtJA>TS`+cl!x zG?FDl2?-(L<|D$T5sr3gIxMy@EqXbG(ej$hF~#z_!l}E=BJU&;XxOppA-;w}`v}dN za`h6Ql2p9IC>j+=;H^+U5<NOC%H#Un!S?MfAfkD8m#e0brCGl+FC-_VF<l;;qf?Gu z08Wtb_DzNIgDeo&POkXEIz!oxgb;tb(zD<^=n$L8kZ(#AsG1S&p3IqjH?~)sY^UVB z4mP|8*W;!xL~-PF;*#{93pp;>qhU>}NxCyL`Db7r4Z9sXtqAwxtC}n<t(%J%qlP*J z1DMY*l#}0@xQ>$<TR7PHS%xB~lAaIEd9&y<Fy&QlJj!b|GuGX70wdhM^3;-ut!SA( zhI*FG$)(KmlZn13*hN;{xUP4OWxV5W*-@Cc7tawck<jAx?|v6vsp6MfscxlDQ08ym zcIAzeMWzc4?m~H{l4VX5dADbfP*^<??QKu$yn{AHjDqWeunQ=#>mMWG@0cfYR$nu? z!d+4)BmCYR&QG4T$5tAz_Sa`H00^+tk{h0A<c@?@8@XA~;e%`@{jl%-ro=37F5KMe z-VAmDUi_B#hi(dW&Z50d4)V`kmqhx;g)hO32Mj+;eA^LOfA~Jtu2DCxG2p^as_n_w z4s1lFGNI~bc~49o*j4%l>jOJI?ZPDuG`5>|t%qtYqJHW%2&?NNYqD}o@VoCnT3FM` z^x0r=HkbGL4%@uUuJvs@V7lwT(04QFM=&xg6!Zjh&LofvOLwK2i-|v5+r>}}gP^PC zt}Xh}&wXeq3Kk7tZ{M<*UsCDR^2r5>zs24OGQNCx;C>wJy$dqdgBChdh^HLAe)J)J zpbx%NNxUkaJ_uGH`I+>5M3}z^A&-2R3I=^xqS@RSHUhDe!Drihs(U_exW?(MKx1Y* zTfZAv%|M*SGATcaxy7T1?RdnMGv1bZf6dVlo@LyvXh#>J|6?^zD=W7p-nN(qbZ*(K zg@1Rapw7N_wgXvPI6lkixR_JQDG3WqK3|j?Io}w{!PPc|4n3lO;Up?wR|pHiWxrM( zk<jp3MpLBDZ=S&NN_$S{Tu4&yoKyQ~vUzPSy}xd#2GW-)YRD9msK1&&p@dpwEVoaC zwVB=k4~a4PF;!<B@4UI(s{j0s35Wm{B=Z1rL2Ig6dih?OZM^Izx-jyUHkQuzFn2>5 zP7HPy{f4epOoMWX3l7zv?0E3byOR3Bj$G&w70a1*zimqMg6&8_D4q$UU5KL9ky~Du zt3ZySA-n~F#vmLjRR;?P8D~YvB9@!1r1e8ADvkv0yT6DVxsE?VycHrw7V$KTS-6;P zP8^Derc)eAa7Bvf7Bsm|_~)k#7^NiBlS3#G@BTf)<T}xxRb&_f!nyXJ0(3c57!kgr zpL{|%g1AHM&#JQD_RtY2q@v`@2qDf=Y}mM@(-%s=Lc}^ht8}14D5WsCJO7oy|5f@| zvi(=-UkSD&0{INIqZ|Tqs$BeUS_}ZGC@yu9Q0R!#B3OtbQCuH7m7K$%q*342#t*f& z*)mijRI~?F$B$RA+;)qmsGGP1$Xo_d5`4(M7Vzra3*jv?GbyByhj<cP3^?H}_+19E zi3?LR4w|8O47mDVB$5<E%s;^4mg2Tdsp|sjX!GNK&|$>r4-*)Dh_1Qc`&ABW@=c|w zX;96z5p_(8oTfm>=2QNmy-gC$e^W<2Yv_NyuTiLksc>6x-DNIZ{pB{A`wrTjoGO?V z<{j$NBD8F9@0@wC*`ftiw$tr7t~ZNK6!klsam?=$_iecgZqlkbP3Ncns=j5X@M5&~ za>ozY)0@m}Eh@&I9Rm61lq05wJ&UM<IsA{F{py^;?dO-4)m2SE=M$vCI*b9i04CE% zhYb^M3B}j*hI~fh^ow=lTCiGOl|zE@KY3HS0eb|gTC*FC?JD$Kr<dohfNtZQJ<`mp zVaJzU&-1*sCv)MHt(TKCyPC6SEv`tO1WX7yWHwB6!W;>ij{&j>uRb=q5D7H6UX7&S zK@ui=j0=kJLC7J2jDg^1yf`1RApyDKN#q&zDN!tJr43p*U>K2qckl`w<WUlsFir$q z6Zs;_C=4u=C^5$_HPV%Fg3w6tSPY#0i7>e_!XeL>BTr}%>o{D7oM-@B+)sEGu^<t8 zGh??LCIq<DaAIaU6>ns;jUbisYvC=b;<R8HsaFid+&vOedTKpk9W)Vvi+B<O3<1f| zVx>oN7(6^yH6uK@-PzdF-r#4gxFbSQZUItJT57cbi<||-lCD4uV4MiNwipzPSWFZe zDUign84Ar$Vtyxp{sngT27aVm44y(KObMr^jv&94h<sjhKIlgqnHw=PYE5`++#@av zD;A5G1;F15`Y}!%%bC;jiWr{pi<D-l+z4_!e*7*TIW9LHyc7$En1CeZutb6fNeyFy zUMM+PcBz?k9RwAnxdNrGe)nS;19-;zqI`b^b=2$KZc3=2+eUHJcek@xGwqZqQ@Y1R zG4$7?r8+|O0{hSZgr%)lsQXA+9!mm4@N_jmji=srei(3JZ6J4;KswWc(_U=(SM4%^ zoz%L$Y8F@A+(>*Ji0topL1JA;s{r}w($3!^I@1NsFA9MyOBiRhuf9w9w936bD}+J> zsxQPiL=)||)TFEMKEWkGk1Ncll5CnInHxm~e6lc?ow>;pqK?_8(E9X9X@@iX(6EJ< zEL8*oPwwcbMUBoU%kL-Diz#0%k8~N-p6bN3Udom^>lL$S&I?y)R*>@#b~{|(5-cy6 zRvrV29NQ`Lmf<HO>0F#c&!jDjm}szyt`Dy^(vi+8O&zvxmJJ`;qTi0^+%_&Ps!F-M zUzE0auY1CDfJ?&`^j{@jT2$o!RLb7z!p1z#!cg#~U{HcZZD=ji!k$$63T_&h)?hOd z47ulsh+G{gf+1DR5XH{u0ZNTo-^p;DOSh}=ZH70m_`kq({S5XOg4yg4HPTlINe+|A znN{{TQzFGxK_SB)?h3<Ej3+_F7&#C!6Yt32NN2?hTq|U<$c!m|zGb2ttmf}%VZuN2 zwzQLdO04fO@jL|sOD;%m;AF|i2325{Q0$$wf&7BpcO;;m&JG8=bR%afa9nOOe@_|b zA?M$}wkQxCVTaXy78&824Z1OoAWpeU;%|Ntl_%8YO((NRkUE?8us>;t->&cR;B+K8 z|E7qZ-<`K!nSlVjnrk3iSr5O0Nfz6i5$5P{BmUzCLi@f}r6sj8ji|j}vu;PhvC)UX zTX2RFu5<3^wv&^6sE9W&ViN!La-hP!C-Y*g7c4WXtGoD@hKE!0pL`<Km!FEkv-jXJ zY(G(*n*${fK}$*~fS;S<s_aZ@q91P6ZtVx**z_JoLXP9D?w4G^c;d#vqY>#47Y<?a zJZ+Tvr@%rxrtXq0&^Urpk}Y5WR08$<qlV=7nbC;-L3DQm4}yXHhjGt1B@*XSU{Wmb zj)@YKY+@Bs%H;l0b)wJcBy>i>d=+uJC-gIF7+@$vf5_0SBcW4U$S9npkas)0Bgkcm zAB@Slahfkk-1J1qp8$@t5|pPB8pOe?-{N=0$Z@er%SeWY))a7#=mQ{{;t+PRc4AkN zkv}H+8<Dt0^z#KB^Vmfc200R<W7Nn%cL$CGzeIx1WMh>`P<|5zGm!#$N+T9oMkV1C z+Reg>f^dIdVeqmW_QW=Q`6l{4$q=duvTWk3SlS*Z0;@GKN>ezu>#k;4T*Uj((2{KX z(rm$uq{#Q^-r1X=obH)DitgQBq?Q4wY8~`?rdXZOM?!pMX^}a3OP;CZcrx1_{Rx#q zwewN=oenwd`EA*?X0)S{CceiAC7$1mPB%qH?4AKLL>Z1khdjTMyaZ+GA-;G>K_sDo z-dtK5ex3WkP5<Jhb^YXJFnWdip*j18FFVy~VwQy&=ys!y?>DNk6j6X%#8Vvw=<FC1 zdv}K6tv`utSXtoST9D?G@8dtpy?SwsfQ99@3sI}U2tRg6X@O))EVPsyaZ=2_*8f(i zGa18;TE*;WGWMYKGc?6BqiAY-b|{MsA6ZNQWej~8pys&0f3RBFAZ_I(43ju!Ihk5n zx8#?Z?X_e9@1=mXRj%hS{X`u0CMZ&r#*p|c=!=|RMuIHvRxr&7SYdJeILI5ycK$&i z%3ygdh#Q$E5sBj(D(M0iiK8gUJm~@giK7n+=>v+$t^`Q5SOv4lE}>-j>L?TbJXIG+ zdH@sZrnvvXlqWoiP9@lF@Rq|3GkD2(%SZ~7JRs;d^q>~mlzP|PJs5eH5j`0qK=c=Y zz+q}N5CvL3<2wN+=r!2w0F5hAU&{8OCwfX<(A))4aKAV8$vHzcI9J#dW)4wbM=wT2 z4noXO4e<_qWFZc5Bt4B0CUIY?r(g|}Kfxw;MhPaW35N*?l>@U^oJ05GAC#yd_H!Tz zmD88y#()DncY`1YDD<wp6{OC=UWeqS05r02C|%ID@F~z7W+D8Htv|xgynLZA?m!!N z%&r@Cu%9r6KisN6I==o1NiUyu=J0WRT&N@#27iA#yZnu^62$jI_N>6blSYSa41pK? za}Bh7w$GyXkZNMXt$@#`k1E9405_T7EW!ogYY4|3vq!<2{8j*%_U~YLVO7JFv5gMd z60H-qR#%6eQM1DIldi<NRCsW*)byUC!u69g0ct?U8-pYfndq|`U%ZfjtIqLt9<*!Y zN6NgbwQEr;B5eqSTaxeb>CntHP~(b*+lPTnF?q#m{F3u`?qT35I#2J$i(Wrs6z7vx z5wHmPU~h1P_kNtG>pRK0O~sFgRqys6tEt^i>{Nc`_b%p`iGnV#0)#Jf1}7%{h?$1$ zHU@XI^IzyU7Rh`Ga9Ro7c&wsyl<osJ)%>(K0&G-DleF&$&i2h1Gklf|y6d9NS4PP* zRiJlbu7;$XsSFEmNl3md?o`FdepLd^gA_H=SokOewZO4~WwD*^;t;>VVw?4U$^}#z zN2dUdLhKdUgw7T;2QU+*P+(L&zqo=(+v#Cj$Z(tnIwU$5OTv39?d?#ScU?w-){OO; zUo+8Ip*?qdTS?qovdsoHLnMYx5IcVwY$W4~Tw+N5p3!`i#wvg2sn_i)Q>piDFyTXd zh*bWhRo~PxR>K=Bd=Bkw7c<|xZ>xROZ_O{7x(mFj5-hmLW{Q9Ut=DRIVY~8yLOc8f z$NVwpM=<y(Z{z0*rUEiRHr`|<jSL@2sdkYIBMXd+G|UgYDLCB2C~&y~IrWE_sXQ0P z{%7xBEMgwqeXJ;e{t!2DR%bzTi<@mTuT{i2&>UnDSAQp%5>PmBJv9h|`fqV+pjryJ zz2`na%GW%};`WiM9G;&3dsY)EtF@(lkBcTH&(qjnizScMG$%O51B2hNW_NbcXI9mx z6~ky}vqmwrf5oaIG@y^~qF*ykDBmrlWV@3OjZ91RUrzX?vH1RJeN?rmFvSFxhb6w? zx{9Baz^Z!3bQsY;Yq>g4odwbUwt?^6soL)~mj+XWYBG6Yj}>rXv8YdP6%*JRq?Id_ z$^`Kguv!I0<RzJU05j!aw`un(C>Ltpo>skManMCfhM99?S1aqMscaP+Q5-p`;Mt?v zbJ3J{(eHQDFo_EYWle|-y$L16JSv00d?MX5m-DEXp}$T*K4t*Ka(*#zTa<IP%pZ+c zDAzjeL@S-4IGFg;&F(|C!IlWPz030^y}L!6TMwB+#C!?!T@GLyUPsUWar~-S@RVLr zJ22(|ShqGa)mamyl*Mjlj|(ZxYEAg@+*=s`>kzW#sr|<m8)D04s1)-0EsdQZ#Xz0O zyAU-)u<vS|_Aa%j3e8hxzfAuPOo0|+nvNrML>>LGZ>)wJk>9kp;kT<_!&lJlqWF+w zEG!gA8S_w3&X61$P>_C7?8((V6v^;@``Rgd3O;mZE3a*`lB~ffxbgRIEBL9QhN&j8 zZt#19_=qcQM~YX!JP00E$frMl4eixuEup=+gw{QiY-Lrmv;5-*#I7JeyBPn<dtXkW z|2wBdvgFk^Ha8Idq2|v%WNhJnJ$}FZ$a+cV-n#77Pra-(R-FV|drkY=jlrF>?f0ID zYMO_g%D(m`efgz@a)S!p6`0RizG|3iKe6drSDhW(+l~FIM7FykM?$reUMnXfkbl86 zyF#BLW}NFIOBpE<vg%hXVywCQSiLb$PZcIhG1!+<eOC<jlgTa>#1zFc?wGyEl76mm zw=3itnPph&-z|~%6!vJCCCxaqJY1y-AIfiyO1lMYU6Mo7yj?gk&m(d;x`V4C+xd<L z+_9~Qjrv6zA~n)Sjk2{Ud^#<`nf&G2+^}d`*6f(aNOG`ymx88aXqs#{Sd;6@DWTAD zg|AVgYD<oq->bhG7S$d>H3U4xc3c!6C=ag%S!+bWuLZxzOU5e~vD$;ABMH?AZoU&U zs(Y7YgpwdtOgP4w>n}7B$l+!OlgF?sAkWDZ#$%XT^q(J}AZ(Hs!wIn_5kUk-H;RZ+ z!+DAH5hG4Ppt68}f!g|F98N7vpi?!!HIi$@kBi8&opTd{l)@X<eJfPfz02Lj83B7P zhG$U^g(j><+#Q1}EAk~Cb2~bKuNrZPRH{&d$kv$d*{tiQG<b&rh6%`TB#ar58j$^( zXaPPc@!Rj9h7<skSdkUsa7<()Y#~cMJEWb<j8|q`=&ve4b~xTL?p)_E%nY{CM#148 z(NhD-TwQ|!bkc#ZwsOswL$c076CyQmaf-jE6v%f5bFhZ(;-g>i#cQw<l(oMM&j`hc zIZ`DY1?VZJS-DsDIeK8b0XsDza^Y5qqZvG6lx$H#HTq{@Z$n?(u6GFF*po?mSIoqo zC!l4dvJS}G6Dax_*$wnD4C~)i9-NZQL(~QZ9V0J``nO-c4#q3uPO?VU!KaLjuK#(Q zFNMMD!_MLX5T}!M`_yzMGn143;z;=e*ioF2+7Ws~+6rg&J2#Kd%*%C$VcBs?XISr) z#apcPHsZaxN$II1R3(HSjU>o&$E&<~Zv4Ku6cVa|0R{2+(nfoG-gKPrn&UEmn7(Jf z8NG7g!)NUzcmoJwo<a_xz1X4ix>Mtv1=<(x9X?j$kg5*w-yGK+o0RcZBHq%L&AJk; zLiv^DaIGvjb$?g_`*OZ`p;#u2a#8IJb`yZqqmF>{McGpc>G=132f0I~1xb=<BFNzt z5%*t(QT}|yIW8p@TSEyh9tEpHa~Vg;t>6;@-U)}d^uQ>8KJftOM8aE8VU#sl4pqKw z+D=4?6>9hdr-QbT?SffF#o-&lbm{D-fttw!vAG2u5*N!QoyFZDH?6?BcwwgT;FX~N zETHDHqZ;w+u%hJNk#;#5xp1Q3{zRc!|Kc3MaXCQ2v<kA(ft_$FKVK8)$$2UwglELu z_5C#t7nP+oIu0p^8(9c9*c-$HgixqoGN*|EBbnZ)-T-3*EHW_XbC<WnaFoeQh`xrM zW-jnk(Ny}lCx|E6ohRM^S<p#qMiDMmQ$ADyqvk<yxqkh1iST)N5KEE}6a1l2#Ceyl zZxcaL7~)S~_s2fwUluC&{oY^gchx~290KNvk2ViQvD1z?+h>rx>F2nM6K;R+Hh@`{ z%IT1;8KC<l4#-X)L?pr{Z}ef?@#{}58z<`+l*YaEf2)cV8^s{0oo>2O-B4tBFZ*Jv z*|D&ZIW(-=a^(GeBX$y1bb&EnBJ`wfREycKZfeE)snqMW`?2U8>l*0@I=LvU6-r<r zjzZm)I|7EbSs^2h_wcO^c&n&=)0{5$UZ>w+ks6XtHi0O|!9AY#)4BuW6v4eNWM?`_ z;-G{K%fs8Xa^n1|%%$NmLnm$or?$@G{WsG@(m2P6o`uuJa^d3*;$%ly=l+zz3+;2q zrih1G_@tb$aQN(WN*bYVK=j<Z|F4K*ck70aGjTbkgZ%1zx>q|owt<FWxXzFM$cn(T zP-KL&MPl|UfgA8Md=Vu7qeX~n2_6zN@JAY~uXKnF@)9r|{`@hp-rg97ap5Tw!9k8Q zeX;wQM0A;I3HQ%HFZZP4k4JYY1%sw0HlV-ala;R!IlP|(jXv^^-#zJdqqjf51kd1E z-(oJ(w-r;U@-vKB-{Pb?w{lF|hYl!Brz%Sz;Zd#?l{=%&A~46Mj^ssWNkqo2xXP$M z4}5X;ZXNNem=}w3m04m`$+D2~_?g3ZmsB%p;89Amw{xVpk3TSZfnQahw=AI6f<dD= ze&W_NpCJ(Xll3wNKybGrzu|)4Yqd);$YzQZXib%QGhZaa7P2~9J?-QSzNweDi0{^e zkID5!tq^dt3ACxpNgYubHkcw#l44hzmq#4LB^dYHFwa$@q{qniQZa04n%t13bO}F` zsg;*^jSQO7M<s#!S{R^3%VVrhn}A`is^^Rrv>fP6FcVbAcCW{2yX4z^<Hj&IPb^1r zF;l+dTk&q0suJtXhJfr1qu{P3*l+67Ab)NUU%AaI;hyBT*)6BE1>qm7PxMj-!uNyx z7njZ-2XZ42N4kU~dvc)~hJ=v6GO`5aW>7CH5SBiBCZSLgCJl#N<v!~!@E6V)(fi`b z00dj3a?{GYZiBLzY{87kb<$W+1hQX)Bxajatbs&Bh^GsuRyok35c?!ZxhB8D1UTGy z;#wme)9t?r)u27wk7gd`4bp)AtQjn@AI+n7rjEnm|DEYVT6kqB8y)!!IDGHD-=8P_ zA^Tii>6ZVCJ=?9L!a+~D-bFTs9^B45Bf12wyZTJ`=i?xMl-ES?nhbkZR9ihCx9Uy< z%gEK%AW3$}gq{2jN4+9+8;}x=!ZyOS#$|Cb#mD;Ly12vjV7#B$Pn^Mcy^ol1U6ChC z;nVepXT}EEo3_;Kr0z)8-eHq@KIVC+<J4iiy}?!4lONbbi*5%0*5OUC(L+kWMbNU? z=xO%qWkd1Ag6^`P;ERLzj{Yj8AotZIcW@4VMk89#b)q?M0|yZa%qq)G)|$;u?v!V+ zy{K!^DG&&7t@U0A#NV(fcUfGymUIiJJPW>VjcjKJA(?k|2wucqtr8F|Vm{A(EKZ>B zVhaC}ihTTDZBe`zsx*phtUXAn3PR6lRXo71G(TGXXu882!LWrTQni05Dg-g)yp!P4 z)T7}aS=EDm>I4K4ubMRGMef&l#xP6B5#GZIie}D7F+-X{)!L|j&;YX$tfD*ze?^Rf zJOkGtgu(&ki?J8%ngnV6u%krn60n4cOhg%lteIK7(hE>TCM5h;&ZYATUe*UXi?>|O zOt{b)%O*(-#8retzG86wN@K5WiaxmEfc01zPep)r85>5B44yGac!mJRWnZu*-0a^4 z6T{A>Ikux}4~7Lz-e?AiVp$#Lo<Y-vCYnOfL?2KP97~F9ex+P&)H%3Q-s6i*12_u- zS<M5FA?D(r+s55O2Z&&0vDt3e_{2(q*K42UUU&K;!<JrN*h{Qa+<S%B0j5hYV>j~g z%}E*0eB>*qFPAvU%P1uaXml<e=|`PlX`q&4>R{Q6pb29di&Sh1PuH8Xigip=Ic4wg zd6f5RhRwUO-jPg;9Fi!IXKP}f55~mqCSp53mzo;Xg@$!B^S8_|9IUm@|4ar}f1K~R z#<nsxE()|{y+`XlItX`OkYpB=Vs3~!!jFbnB97dw{)j%~HS{dA%6#Kl^pjqn`u_6v zXg+tfpKj@7$ES4al{Dnl$P#1UvN$ovHnZ<?PRBa8Il19{eW9rdfeqyGzStxvJ$!l6 z%8+V%|N5Zxk#!<`ZMdIrZO+ivzm9ZABREy=Ti~?kT$Ow<icCjUs^`Zc0IzXY{2tFk zsE{_~`#V^j175a}45PvJ3uKnD-{A354CECXhf8^u<)yDQq5vd)_+T~AWXr9~aXI31 z>oL5layOGf%WjTIaJ&i}clcz8>bh+$<>0$p=4-LIFgG!S@}{(*JgBVK5B<69*N>Mc zYMHR#psg`@k$A!!LB9;3Bx;4~7;P)SI5)!(%Ab0Nj35U5Y?lGQK(izn;F+-Oquv)O zFV&oj{RacaMets*U6lyhhIDdqE3?X)Dlx}~F*W-PjzU9qf3Y7|=LsXX(pdP!%VqX0 zEvusAV_<O_-}*8z&7R6A*uJAEn{w4tjwxK#D_^5jZpl)nPXZ3mDby018$OppX*H2c z70gEHf#uIgvjP-Uj(#@qC>YXyrK}!|_H_G!9U<2e@bJL&wk|&spF>LLAQ6k2>T5^k z5$t54F%XOS7Eij4Nm_}NuTyrRXVAPv%5;{n{PJ!rAM&)1oZ*Q^8Nnm0hme1Lb;9AC zKONWr^S(!VQUT=g2-24`N!bBB^Wru_iO+^Yu&|=JG4GR@fw+I!BxUs4G`^3CRg2CZ z@D>}u6DOs~PN-WnH!+PKpe33T$YBKY_RH(0ia`Tz?nuJg#&Io(jIS%KM>w=?+H^J9 zhdbGVWph0@b-Te+9D**BecnhpicfM6!>P458}VCP`+La+KRUN&z&u5c$tzxjKaenL zwXI81w>da|&6n8dM;(5&?iYKY@K^5_6NG%oaI$<^wIT08DJ}p~9rvBD$<iG!^`cQp zTqn})+cqljTkv6}^GPS=zi>5O92QiDg~%7~sCFvL7f{ZhAWnJ{RX-J-xFY9ib`dEY zBqBeDsh|_WU2r_2l<s#;e&um*+<May%WO+n73NKYr((+>L<Jy=&l7QIrA#8uyURCf z3TCrX^)(ERe55UP6asF(iyY-1NuJmHX`e11WEvh@>sHv6-9MYz9s0bjCt0Jrz?(7z z9wz4}wg<<5v&)@&1-Far4W2Tc)*Z8*_MCi6_1SGkHjVACAoP2wbJ`y2nH03N4!aTA zgWG;ESHJ;4)7M{IWY}48zNlBltMu`=7IQC^P}e6gHB=|6X;QI|mp#Pt>t|xj%7E=z zHqb4cd-v)SzWw0gs2i|r90cm~_p9%VWG>Z3INvt2EB@hXZvv!FdnzYTsrVb{#nSg= zB>8AXXPKu5fpUjass0>mA2()PTJ%(opqllkA563s!`f9I!cAY?&yif8;4{J;lC<-% zlMCjyVQki{F4@&-yr3m;(ugzCcv^Jf$#fKmw<h5Ydb8eBjd@kgF4ye`tW4D6x@g_l zu$<_TFxc_-D@@v94v90ioh9MCP52EUcw{^z#OgBeTm!SH>c5wf#}nG|Qb_q}W|xob ze3P@-iNMHno29tXWn^J(#Y?c$W*}T{I3B$DnfbJ;+uKdNKl|m@mI`u|n;iRVPpW~n zo;r5rYN3nz+Pd~*O^}Q|^zipbE7jOplg-JZm9T?@{W48wvLZ<r*nyx^KcdIJ%Yj?8 zFmc>c(%aV(O5EGmRLW!u+i6W{+29+wfupBcdCLB12#N)JIlQCsY}uHcG>p8mmSSE% za_&G|7A=uXk|t^q>G+*`?>g26v#2b=@!fZ;15JRPBtb4^ulFi^cnVmdpmp6n{7^`% zm=uOaiIb~6eti=AR~-C~q(^CQ52eO9ZY_51ppN5~U>;NZtaHAt0uGl;hc%B`GlmqS zQ5?(ZVfxV0*<qdNZhACKx$bYnI$ye9TW(Ys-TI=7rC!zCk3>}W;=QR4zo$O?KTf9A zY$GAc227ICD=M7Y2p*9scN5afEjiW7E-tKCI6Z-9>MlqOA9s%X4X3>`xW5R$9cBK( zZ_(w&^feoCcd)?}@1wl`Y0pguHv)I5XJK6pTXxM)ESBZ??69<4#>g=Qq~)*@yf}LB z({~E}q6h4oXa5uFZaHk}D~9#u96pg^xfW@0vU-nitJ86S*Q>S%=}+is?mbO5E8~af zJ{B?ncd!a5R>JBjVW2b0LwWA9+OZoSahFP(Tr0h|A(-#I;F#vX*p71N{5<EXx^hk( zl>j0btLuCuVYB<`!KlTwY=2>!a*~o@{H9aYd>%N}tG9dfJAUWR>UQ+$OxH8xyJKxg zzm9l0ZN|Jy`hDGm_{jPe@Ar7$JfQ9i<<9_%(Uy^YL~hM@3uyNCd0A!FQq27Wy~@y` zVQZ~Jj}Djz0K7tJEte&MK84+kKFN38CY9GKa}9OPr1a(mO`^BXR2TC~mh32`@VYui z$kU%&^`CR4pAu|aOKrT<Z=O7=L-OWG_04VF+D}}v#w+VIKlgT5ba_?OdV5aY`ynWq zN50ase9EDJVEfB`f9Jh#sD}HuhuX}&=AV~)2I!5`bY_^%^yW8_M;ybDS=P#YCs(~= z0>3v6^pj{o$lVeNYn*$wSjyA%2rZSiwZexb4~o04)1dR?jNKS$g=k*DukY+l+FG-; z=&43!c;)qXt`DM#A@=hvx6<0Ztn$a8ydAY?z5)l*9d$HW>biBb#2&ke@yQ!m`d6$J zDujG#Jn;<6hCp);;BH<Df$KLob}Oc~g-vrDCdK)o?T03l26~F+PI~^?TSY;ij}0md z*txHsoey}d7NO2(D;tyJy{Pf1&km!eDS>p+zQ(_?C1)m%lP5d)$fn;yk{f$o8eCy) z`sOYq?X>5(xdbv~q7#`})wuWd{i3OMf2y8?4u-YsH!dLWJ7=(Zvz=(E4>T<ipXi)e zEMTsT$4`!>wohtu9t6``t+ua!E176_*$4LLXVbVPfFTKD5j^XbmOPjg?>gU{s}-Ck zA6z$<F)p`K#JXp3+)T&}p^v+#_7XO_cG#)UPqTFEvJN{6J?XrdoYeJ}cIp5n=A_!g zfUf%lDTt`Yt#HA!l%I3+)?Zbz<D9<b4QVR1_gvU)>u3|?g}AVrY8@6ip|07TA83Xi z7|3X^vXZ?Qk+*Hi4@i&mC-777ofKw!vy5%LRO1=6=;2V{JzXL<j!$1bF1d_W5<)wQ zLcG;X)Ss_zrWwX)S#qT}8eIu0$$~>*fPjF&fDr2@$i@ym4y%BHfXqUJfZ%`DI(axb zn}0VnGk3DKv^8`$F?KX$GIVlwF#)*xsO#ITFk$#SR5sm~FleJ$)}3(NGmSubXv<O; zFh#~QP@t;QlhGJ{_$DxD&yZiMa0&1{tj41Aq<52DOa$6Cz>QQQKV)OwTobNS=bc%D zjW(qAC=M8z6G~~JO7{h9S}TuPT-Fqz4OOUGE;_VXLd2+j|GgsuN{J{6Ml+(Tr7F*E z#TWtMVLO*1#h{kKdV_7%N(qJnL|`XUx<M~*_TRe!y7RFHk_RA3iwn`^_0-KdLR5+= z4R8`a8L*jE&0?YqwC4^=fqfmP`kEBJG&sBcjI~}mSTtAp_37XN{nek&Iai&mDTy6z z&R98Fox8FpHc``4=vR>ToU;RDBWFmaVU|mJE8Gbv=XVzt$o++4V<L1Rkqd=-^f(^| zXJ9)_EKhf`By=D3y%I|BBoZOBiWP)PgeOEiy~f;f2fpxaRSe<rTIi36VrEoMO40%t zeDvRj^iHLjnYNcsR+mmga0JmwuBccDQB}kV{j{wx@VQ~OsPp51p5MjHobf^NGk~7J zDaI7U()0_+LvlASNJ<(|JhUCb*Ec=i^v+hLU*}-9s7i%%{%O!^8~$651{7u+itCkz zu2LQY-vmxvhsV6QC%o>cMn*$}%-2Fs_F9Q-Q#UmH7Qcym?Rit(?~~x-+@lUDE<lqS z&c0Bz=i5fL@{YF{hNjz@jR$-=qIBN<>Ho69vJ3Exi3lHP%or6rcJF2yHauDzkRzuh ziU5vTl5z$pird<l0%mH2nG#$B^_t2dQ$F9p4(gFp%;G?0xR5arzC&Yvfc*EN7y(DW z!}U1?Wj`a%|1uN-j%LPA()7~NZljEm$c_%qqr;4hvJ5OhRyGzDY6XT7xv}AL8T#R| z$=VtjdYOqKdRcWQHf5$Rq~^c1hOZSO)%Dp50qp<LnwyzLf08Ux4-*39Lq=i5&cVO} zO+;U)46%c+UP^hFme!9_pfo!p(YWdA`Omk~JqQ@n#ooo+xigVNuA3xEOvv7j0P8o_ zNH+X*oVH;T%m!_uk30>YQ0)?w>C#b?vrgE)LXL4`yPlb1>N!47{`-!DE9nyR>$B5- z18eWrm!aJqE<c6l53t4M?;n1ax<B%L#3k#z_;9yRcYaGg4^GCi#0d%~1--)N((fvw z?2-5da-nmxw6$8Ds(RVE{88D1Rgwh-!vO!!3!ZyQsmB2g1msX01O)H%`2T;%5BRI} zPnSQy$;#N?{J(PGKMluh+^U81r$qf{B>PMBGk|`^{D6{A`af|Dt!)iW?QEUR-JJpM zW~ToY_?IR63-D=({uN06BmXPQ4=_Og-+)S19!`q(&H!iAZ-2+>Jh2g#eJV5r0Rr-A z+x`{)tzY&h&dk&v;Qsx82sH*rnm$K>g5ZpQ`PUHqOX}Z3c9{RH1HjzO;_ppoV3i#a zd>)D@90&;EzgzwfN_IBrKX=W)Ev%K<|IkP?Db`f^lM(b8asM0Z@%KNo0M@pqj(=B4 z8MZzc>$4kZsQ#{!f9^kH49$%lzj^%AiMoUZWf`;p1=;uk3WEI~D*ct^2h5cGGYjBu z`*(%@e&6{E@b8|UKmq^1`snYVzgvy}1ZkuHGw3fH@^{MLt-*g%2LCt8r)^M@g@pR+ Sn+TsTJ#Y|^c8t#i<o^K;=gUn1 literal 201351 zcmb5U1CV7+(=OUJciXl-?e3Yj&1u`VZQDI<+qP}n)3*Ec`@R3Y_doZZb0f}<$X%70 z&y$r^QL!p2*OHS21w#b_0)hf!%3Y9933Zqn0ssMli2wm%e_I{g92||TbPSCg%uK9x zT=n(rbr|U#O!aJy8Z%%W6NQt9+quPy=T1p=x~^LYP2~gy@K2`#e0?3;?)(e53%CUY zEO7ut*Z?tR;=h*&V{lwtm%cng!&oel&pjAWw@7|Jh{qyX{$8p-O>lB^k7qlXJRXju zX$^0>wP-roc*THFY!~71rhlG&DSvcnDmPzNmN{%F?bv(Si|DlEmA984Z_Sw=AI&|# zE(}f0iJ22Nk}qy^=Cf|J+ezvyw>^Ls_SOjqo0YV;qegrbdS!iNc|AEgPo$?_*te43 zef?&sf6nO{5Z_xpOn*B-b%!!qp8XZDKF;^HcshMcQS-(2Y4=w+PL4P+vVonxJc``H z{4Z>(<g^7m8^v;!tkZ+(MCIFhP3oCW#@Pw2E<P^<z~;}gFs<aYP2?gejzQd7k(>w7 z_>z~}kUsKwT=jlqW!#!8^4-}BllzghxrADkwqf#Vb0AZv7@wv_wzBMJG9y8?Xb#)i zDXk7efvjeHj-*Iqk(!r5ODft4xCot+gxa6Mc+V`f)1We?KGuxZdl{3#j&!~BL`Qm} zl@jF%iF**LIOgoAx#7THH@1`tIC1?(UD#G0Myb*KWR`Fo4P*nF3k{3Hdk<m3Xx{89 zCMAm$uE35%J_$_prk0tPDK^;2P0)HBmnqX~`Kem{AwM;y!=h?I*afFi6oU)(+2guX z$aC{*EjSgCtL8r*v4bj<p3*j}sq_;95l6HK%wbj^-Ww}j1MV>`|J36QIxdo`vJa#a ztHG`qdZBh&Rg~9vQtBzv%I@Y^Tf;A-*Jh0D8>*WqO2@=1KxdE-*YMTWv17tg{FElM zY|}PGv$KR*nbap08ccr9l(!77Qg;^duT6hUuC*-E&T|Ev8m!Q2sZuX5+PUFoRK|35 zaCKpgkKF^xO~ii@OoF)AmXYv{+`tfyhKQIPc2vu6N#94?<~5qZmCrmt`4o={R{%sy zImNHS)zFgn>ndtFJ>#REYMTOw%H(rlevcS9JmAtdEJhjK-2-l7#n?mk!q!wqG5f7& zo#ytX{}?qY&>P>iii#i8p+u`spsPvvCI-0!e@TZQsa2<LmQniwn#3m5elc$#g^O5Z z#Cv8-sEugk%*kafrbf)3OJQ;+RG3K(WMKC<Vn>{K=M!eyqn0nSDaKC1sN=b844p8u z63b7VEk<7pZ{<1%(nR&0J9~(tm&06*0A}O9yF<0)UJY6-78VuiPaHxOhsq;)Z46uZ zHqpXnQ2ORV)lnk1Y7yD<3&|zr;|7v9RBIKrDw{E~qpo?4OqrDWcD($!=2n9->>_t+ zKd04^E#RAvYuR~=Tdjn(obWY8WF2l-?A_Bd##K(#Z<dNYm#U6~Gu8qjO)bg#gx5;U z!h-;<mPy%z3Bfs=MJ!biWh!8M-c4(?Lht9!`A7#g@gjfxF)kTl7CU15e!3w6TTFmJ zR<OZ<%;=$l<<3(o4SSu-Y(@kb^J`K#O+D5>sFjon*rurO?6gPzjOFOY$xu~hJ+8Or zO~EgRU4fWL^V4Os(e81t03ecds==s)%V5+*sf2Z}2NsS2Jru|IP>Ec;R}jFe)rP{K zyc|SM{;{=~nC|NP<sAt_c8-7fa6&jLhJ&d6K0D0*SNk?x-?{oQ30~hV%0SZ<GoF57 zjJKUV&2*>x0p4IW=ep5SXrV~WHEcw>HhuP-uQRnvSCOKQe{uL`Eb2W&wMp-M`u<!+ zdub)-ZgJ2fE%7pLxU1}V;rbq(PET{wU5|1LAHI2MX+D`aX7g&PUb8Q%G3Xqa0|AlX z_3YW6fBk65VLeYWul7(Lv4yu{Y~1<M=dRup(E)OG#t#qCt-)?>d1Fnm`T32zxoN#} zvl!3GV!E|4Y%Rmu>W@n9g}ue_#+s+C+v+dw>Chqkq2KASzYFQzZByFnyzrMXK5rN? zz8X@zT(28UHIn+9F1dI{$FqO*$@4WiO~<Kjg6tZEI7f(bG&_`KaEw08_T8CY%44sM z@vCtzY8_QgX&;!`+Ra$wn4Z|^un1_%{s^E(ox$fmS>4V_%$0;3*uT!T2X9!0XnW3t zUh|9T5AcUMvBNo;xkEoGE+%jW0Y{zT<373K_ZIc1|9tkrrK469kX<pkc<N>rAb5|` zML<li^v!PYqyOjygYUe8OE2{8vI2$ozP~bF-g1VRR1%O~75p-fo{d(_`QdL&nR~S& zK;UWVkI;Dp*QO5awT$peQEw3dnI$-nHZ$)$?Y#|lvGN_J$QR;bzI!vhu{cvu5pCw_ zT<fjTY__m-DmMdl#`{gfW3<6^*k<rMeJYrfH};um1M-u-;;GzGm=mlwT_0;_s}uR- zs?8E#gcZ6q6Q>Ike@>ng2=My~uSjq5WFEgQ2knn#n%v{_h{qip_?e}cEWrfSnY%~V z`>E9c5llA`#t_IP>RgO-gZq7q80>(L?C+_z$pGUuOs`QOcIZic8RY2Lf@qcdYB$8p z2CT!)?7&XthQXYWc`VPsfn+)2=R?Ds7`<t5cOA<cRC>|lhd>(9<f=-`;p4bl>Qv+A zef>C0je<d)BEm7b-VSCT9sOF;6ZMclozi=QvO=jWHTa?{vT==-1@1J?d6(RN{<9k` z%U$i20>Y)A^M0q|`Oa_*zNaD}dhyHgdie<&!RNJqM-`7z9p=dCZR~3>24B$Qc4aBX z`H{;u9BqmzgOl644#gz<ttTKFGsd6OI;W<--L$WTQ^)1N6>SO{$HX><^M<=7q(2}P zgv;#d1hPcOb8DKrI+7>oE_gE+<p_YYaS1nvHbcdI!avD)-|LJl77VGC@4MA7y<(Gn znn2<e*gkiidINLRfL9;IxRK++^<>e|$7!I0>7m1pdy`jV={ofz$YE*dW#?Fj!{jq% z3IdHfm#CfQG9JS2h`-|!3IzN<c{7NQ4dQhVd^kEvnUTN7<y_}L;*jrp%xqZbNL9dQ zU9eqR75BCLSM=~7PjJU6gx6$D^l-teuJ%#Ce5y>B^USwHLD_*^2bs*@K@MB&GXbJL z90DJ0_hWX$Y&r$DTaE2|X$0vcA3J)(z}Vl}*ZU!4y&l_Oc0HFmypGBXU|8lx6i?(| z57tk0{TKtW+Z=z)=@0N~PPxV8-#gZI?@vjcR)S7I6&EcC937)S)E%KXOjQy(QALX@ z6yr3f<k4J3W4PcGb6;f?IRB{xJse-~R1j$3o<@2LDL#A%DU4rBqkYQ}zr{pt*IiGe zJw`~H#kfP9uu_OCg;<cux<f2o0=WDs#%1X!cP^p?okAvVBMF^SOs$7XcnTR@NR0iB z+z5%gEvpE8V^Z!D6Q5J>S3qXEP(YxkR+z$RiWn@Ez=Rg#GHKXH?yYh)D;J#mTNl4# zzk<eaC?3DXNE*lRjlDz^=QPyNjjkoy%_BPXCkh)hNU>-1iL=*G>EkJqz(f{vU#^i5 zf@n_+@XJnYE+7W!(z;PlAu=0b)H1|S?lTmd!vcN40X3o|_Di@eQW7F=rqN!bi*+1( z&Rk(D0pOF0xsRGsBA}K99;3%=vzmw9MNscDg%t!S^zFw}@0LauU_=J*ITlH{LXn=j z^}E|)Dv|Lx#NTHoUZ0mrxDJq=s`o?LEp$o&D9qy#Fynuymjm#3#NCHt2L!c~uDg5- zu5d&`Jp#r_?RW*}yz~FG6v>fwz!RN%h%^sYhEney!ion3YwZD!n8i09V(%Mngzb*= zC0rYY=3EK`Ri(-TIn+tLL?vAF3ng6bMCKHSFb2WXsdstt#JAZYL^qa80ot(f_XVp& z^~prLbfn_4m__01V#<Azvhj~tI;bf*Wq~dgq`cN@43`?p(AAFOYZeP_3Vk?+@sF5z z0FKeJK#V2Q3wAD2`YMKmfsY^J?Qn{NyscV*FXoh#k3FvreHXVCh))iJJ9NVc2Xj7h zv60>BY|n|Eox^GMm(*>dlx*Q#2$5;xRssA*G(SvFkt~M{!W@2+V}pCh?t^P6SnzvV z5MtCZn;Tnb;Q{1YI978SVmwquyf`m`;wF6tV6t0Hp1EGi(mOoxNc3s%HL@N|YTc=T zTK}_RD$rPP<RHDw=cXKgx=0Lydqw*I3n*Vb0`eK0iBHBjnAGtdjj~`)tSS<0V`a)h z5NlCgKw~ct#Ihoe6tisrSm**GhlKA6La*VfNWrgE;_|Rc@C_kwsYS-5*MK*&RPyc6 z3ka!Vj#P|YWA^|L>{Oy|5_|TE0*+Knz2x_3F)>EXCggTlN)iV~!e72Hm^DO{ZzN6B za`fw=8&GI<>9)ot1t8X)x#5<-Y=Srq5!_jn=5g+wQzfk!WBPf18tnOM8VZ8hrZSX= zCBecP3JQ6siGfc**p{=3S{mxbv5Fd^K*<B&K`qDu-w_0k;{MJ<`U66*S5gQ<ZJ z5)4#Q3?c$=8fzt_5ICf8PIY9cCI!CH-v^-HsX|IGfj=@cO>PR?fR!%`2FBIDhnPoc z82Jp%g_ktK5aJ0^c@ZH+3RMKY!yqm3WkO#&z(JPKOS2>)CZ{b18In*VnkCNt)m}kv z4^&YAQbedmW`(kfYMrn+zzM3Nh{5=`_o6OcEHSnKB%5~!L0$SlQf$<mn63}|1rK>g zuhNpl9>j5kK2!=W>o!U(-nQ72#Ga@kfi><{xAQz^#BxR$TuRqArGoqxb%PF8p9FMs zj-A#r7N{24AKyJ7g!bexh*lOWX6zV$uXlMI!Q1tG9wc(8n6JP1l3YhiRragr+$oE9 z^i#=axT4Xk^W(!p!Angdc~Zv7@t#c4%c2c}>n&c*=@+w;jjFx0j!Bupco}DTLe#7) zv3ilwuRDMaDg25+nT^)5fW6mMPg(flXqBPBxF5<T*LGf9{Y;VJa9Qq-l7;q(<qaJ^ zRZ-5Xi)>kYxq))pEI$J6vb~;meYJOi0CtTM0dl}mDAS3w$1?{?MPJ}y@9n*GLW4xY zQGn7=LRbRQ%<XOgx7YYVF1XbA`%D6#^y9q!8i*RsBON9uJZFc8)+nb2kt4@zABl;j zl-22_wB1;l{ppjit*YaJ7m1IwRS~!uS4B}T=w+em><3MQehYs<X<~p4R!RTagzC%h zO0c8i-SUrM8@mlIy~I>s`RiovUp^%>BK`h@B+a5)+>3_Om@SQ)7z9)jN*AYW4eB`Y ze_s`NM-ID!c`N6J`i|U-YmY$_&aW;t*3-@t99Bg6RE%VZdM+y%2KyweaN!IO@PN-w zTw55tQj%te?N=>yfAwMDQAsNuc34+U)G;tPrrKM9Y`C$_D<!cb>z_WU(<{<QYr&36 z%_oAlBP1Ka)OS>)uCZvFB{j^E_vYP)NVSC}MOKGIVa->NI)UDsa2Y2<ZbOB!>sS`l zjH6q2|2DF(g=iS9Vf;YQsZB`(aWQPTXJP_t%piO%94NB4qOQ<<F^UaXWBqX<V^Bwh z`MVZ86@l(lHsb!5g`z8qr9?k#-srwRcm}ZK9EY@fWFt)`baSz60$$_Z810G016x$0 z^WeP(Q<p*pypA~ckPctviNBGp<_d?8Yi@c^<wwy*8l-N9$se03F-(;d5Dlcur{5Qb zm<wZii^_gulX!wOJK3=|Th}w6Q5}syMM9!UT0#QlfueQu*B=a1vl?hZxGojRoEcv& zI7+4tx-QO9SIJ-ef9oi*piCEQXt*b5<7R#6Jz2Ov4qb#bav&{44w8-8e;Qc(Rc43I z<A26f!f}OxH@;kt!x0{`(!%bL$gWu^T}q<&Mc-r1MQiTr$u=_l;OSpOb9$^`BOV}5 z&3+s{Ok)R&DcS~ZeKpr@AGYiD{NuCQMylw7>D)Tc|DuLP0rFNicdUl9r{T&28r^78 z7SM@hThZ|w(SvzMEn^8{B`Ik(Gi;J`%16#3u-n#TqL0KWs0kB)ER!wd-5%P~g`+qF zt?Gv+d+%~pywRZs3r{j9tSD`X@Gi2;S}h|Xi8vgBA(9+f0r)4AmzaP^f8n(!L@c#` zL*FhOA+y!c?&<xne8*m}bLs8aT-;@LS8mWyxVdp%O3+Z;_(NMRFEL$uL6sgHECItk zs}NOY-;sl6fc69sF{Crvx$L%dLH8C!I}dE;qmq5|+VX1mtc2r=CmYyYlYq?F#kJCF zXYBGHaaa8U9IR7Sm!5`(<3GQd6|P-QWsT(!`mvwQUSoZ6LmF*)Ktm&O@oZVW#9Hqs zWuDz$V{^}^vaa<YkXEytu9vX{4w%&uebRu1M55_k`TqgC^ag!TU~v=vm}Q+KLJJ5% zx^)R2(WEP1Kt*mHh?~V^RI&^IxyVB(PP&u&DoCL_X7EK6pvmrRZk;n7@nzs#e?)(K z=;Bfd?c(gRUv7CcZf!0J78PPY`3n(E1D#jN{PGJ_?*FcSVSiV@=3_UE9lxtyjo(7@ zzo~xdm|5!>*jPInxjNFj8XC~Z(1kHjjxmVQQI{&s(9upx(aGCB-ncwQBih2>-n)c7 zJ-I|9+QHeoz{@kT&af~t%7w~6o{ln5Q>n~Mj>j-iNzh8tQOg9K%1BL(MjuiS=~tQ9 zc9;OI2$-l65Cp~M%BhCxjh1q93iRL3hca^~!vg(3N&i!_`_2;x<e$IqAJMn`uS#}0 zW^$%(4zjk6^o|CWp#QG`llxdgMZiEnBVa&4klz6e4Q%OMtt_J!1Re4iQ6aDIUa6Qf z*M3pu{k%})7O)*h@DI?vpb9{eC`x5S`n@6B-L&V3XdO)Rm7=4))uH#eaS3bNqb!Z- z6(3wj%sUOqLNh!nItq2D>o7_VPy>vWQHaMK1rV^X*#8dgFg05PzTV^I!^=5oV^%JB zoAyL*rGKS9n|q*P#8D{7r2&ofXSC_54hG5f|MU1mfj|_cCXh%j>5sFqiXS2g&3Pm| z=KvLG$n<ZO-YE92b!6}Lq#?Acvo)cw{K!OFk7dUT8Qx<en)VjHCEP3daEn_R`Su8! z1t)lMyek+>)=bW>Rm0WOmz3o!n)l$hv_Z<@i^C>*^;nRAYqjhy%RfPuB(~e6y-`rZ z%65tCtejEFg+woPLyBInj0;8k<t6(><4-?5aA1sn%G+Dt@V^&ijPk#~4yvsZD_7mM z45-%7bgs>)L(yd#e-uRF{iymvsq7y?4J$dCl;OJ<(#c&{otV!fXnPP!-<b1`+*kKQ zB4#apl?P@GR7e`)CGt4L-ZLUEe^+}WOL3cX(5{y2AnpdIVQ&BW6rF?&=>DJg0)j_) zYfuO<&}}g;5a$18FVGtq8lQLCIN)%^^M88k1dSn1O(g^d5K)=^JuAc29D7k!H!<SY zR6QK4HI1<NODst*QM-S&*!i>#$JpQ7eF@R_Cr+&D$v&Biy@)^R6Eb)9&d|yGW;!6l zhNHP$HsWq^axkQPFy|`y@8AIgB&6(`j<<TH4SiKL!b0zX?yc6>>2x_m`S|5_cXgLn zr*@8yhWFOH=jz96dpA#OtJc=1-Carmh0ZcYb8~rl^4{a#{Mp0B?ffw)TPXq}`Q6dg z;}`j&An&4qx3-Rt8UsHb&+G7D%HhjHegFk8K@4{M%hTDc%d5v#HNTgJ)+RpB>rG6= z_<F$6Q_QyK`~J??+x}VZZcF-Cql!<Z38at5XOY*!`%OOPV!I7w%$Mi#yX)5H=V<Zb z#qD&!JO+P`6ax0+GKMMv0{+MK&FlPG$TUXz`r>QIF<r;s=?hGL*38f8vyY2O#A1f* z?$6!()4vHO5l8jwf7fktR6o1ByVbk9Uv`gPV;<fXC-Yu+H(wB=&wW~+&%I})MecSN zFNRO5yT0ba`}Et|Ad77H=$Fgy2tGSnI$B=u-b6b1X}>zuI&^flHyWh8<>6O{@5Twz z#lPL3NT1GnK7gNXF=3$w8r6KiS+_MAtmzrHs`o~Sx6N)kpS+QKln^0|rY5XDo$5O{ z5g8}(!HN*e%Y(n3B3#BZ7Td@FK6P&gj`&{DZZRzXwTU=X4z>CutN~~FIkEh5_F=wR zwsR|4;vb?3ZRY0PrA@nhMc-gGxNvPsW4GzqWocl1jD*<r77?w@uhXH)u)K}Hm#xG4 z{@b&yrSrMYocLT2Gh<z?-Tmw4tPSgHy*HtppRZH1GN<~}&2ABityckZmK?u|o&nL@ z{bl2Qp^y9d^x$x`9AkQdQ2M@8&5O51V^f>`bNpS>=kMWYMvhL0_q<p6%hsTB|0RFQ zl`7<is5EW2_BQ^z<MqM2{$^;i*EvIX*UMYA%|!n?|0d!qLqxt0kB4&z?nhSd>~wcm z+9r`?w=S*Iwi{Dz_x+yHJ-2e7i&CG<S>Tma+*OZ$=9gsTr3)j|<8~U+wx2NXM@q>g zftt_*UnrEX&+Gf*C|)1Gr0*BpZrj%w^heh5y{a~m4)DQziKtV^Epj>JM3ei7Ww9w6 z(8b`m52{d;Udb_(9wl_=wA0%*x!Ie0=cm?}c6{03BXA~KgE&FCcq$f(za$>1U{%+U zr-rAxwss~1J#ih*!MeAH*N0nU*N5}94uAK1_24vvb~f!A>K5FGUL&8}U28hNMm_Vp zA^wA5XPbt%2408v;>h97>|a$Iif-Ol`j^&j&2@Mker=tqtq;4qZH(iuzqfZj7op2K z8N&O$`HvjNqSVYADdIg`Vkac(^cVz7<K^pvuV)s_U!JXOlADLkQP0@~Jno;5*VP)% zqMB4)_@l*xx6ZAfHEmj+9bHz<dgvih+8rNs&+iv{(RtV4QP+*BxI8d?HqRPTms#~N zI~CYLEUKK-2>4l@mBk|5f7Q7_5#@Mo8t%MJ-VOfNo+CCbxxkk-Xwyx1(@iyEmrh+* zOmCIp26}>@J-^hnnGbrb2G$3WxOF;sT#vkdJndc$A1tI~+QC;Hh}gqc-FPwU&t{tD z)VwUOx7LoR$O6*beW};WdKMqZk6#wRcb5*$$V?*}vS6Pqwjp&aq+AM4?Qlm`^N9jT zm<Y}@NtkX%SVHXd<%6@8%-op~wwYKy%Q`h}hK_VJt%sOWB{58%rs*KD8zYylKaetv z-aJ1*o}odPSF({2UprYs`agecY&kMBr;TLsS7A(rsiY<Fkh%F9Bem?0pmKXZdsDRN zolX&8x&&D)qow;?2GhX7Z{`Nnd%QgvoB*AW1~?iwGyv_13I9+qut!yMB<)o|?vEx_ z%fH1FtBV$^!%xUZ6<;!o$4#;^$RF30p7*MIU@HGrk8qtP>kOuIYyj!1HL~jL*zbID zCb9fv6>oEO$5WtR4xQayf|1_1gJJV``_|QCrhdjo<*7aL)A-@nn%TJvM6B>|$t?dt z(^H%7*@34XoOa3;gHLmg{*(zUKj}moWWo*YiItW8QE33){uxnPOicZ_Y=zQc<r#vS zGjvWn4wIWER-`!&)Aw^KWAQ?n#VoAju9DaoVMr2~sQNbZ;>5C5v=mhHQ^Ut_=zV8_ z<p{F4U2mKNhTjpNQ~f8wj~MR=RS;bsK0+3|dU*#WBcuGy)ubFqE36;HzQY`xoz(@f zzSVwyXRDm%6Z(JOnpb`p?B4txiCol{4<=(`aOqGSilk0ML3`U^>Kf@+a}zK=v_vev zWk5G#OtHd^n6hKL#D#=wh@|pmvZ=Q+9D2>y%c;F~b@d#|11RoGRIK~o<P+BH2iD&D zPCOWIT2U9?PGK0t{)B&Xa(Ax0Xs?t>&-)?WRnxm_)qjaAgJB$EU##-Rb>oBp((d1n z_jC2Cki%dbf&1@8caw)nf50(?_D9%da@*}Qskalk{Fg;L1yi{F=2jEtQSHa_dfWP+ zvS#}T>gc=j0L3qhb-Tyx#nPUQcMFRsJ6|K^5}VJ)iyC)uxZfwq^x7Q!JZ?UlGuoT! zQ$R=g5#<@{hl_7Xt>@d?dm7cBoEym&)xnJfC)?WTI2IS({9IWOU2jvyu9%8C%aFqh z-IMtBIb@dKr?z+Ok>?*Y&XM%i#h4rPoE!`WoenJW4wV@G>})>%EU5*sOa)bx;M1(h zg&R+6MWb*72TLfi{!d=A$kyMOcc=R?vN_q=O<&U4()T%@e<sS!os~o=!;!+Zy$yb* zjRh%868H!;?msXT+n|4~@|+)TLzHFw_1U7Q|7!W@=uqn~h=nUo*ncrp5ee3EL!$hp zg%LPflRSQ1!c<2fNEHjB#1i{BMcPuz0M;<``SAnreuJ9W2O5{FT-wz7#nr-IE-?8* zN7nf>`a<a|ZE>h_@;0ij>~C;evSh(#YA{(HI~!K6kc`tYWT{Zu@RdkPPqFab?qrDb z6h%adwq@5%Q~G;y9!}8v+i6TfzNOg++yFpC7$$#>K#x)Z)7&ReKB2GVNfc920dxKX zc_<Qf$kHc}i6V$;n?MgYzVFAzcN5q5;~S2f(D!4DKrgm#1xKEe8B6soA(BRcX#w91 zA}zA~LwpGB|Fk(}HLwwqPZ)LW<R5jFCf|SfB;98-BXdemQ?l19873(AKo@eMjDYgz zN#2AP99Z*d@h6mhcIo30`zyvAt%Wq0DAim1mf$Ztit5?MPxbs?YJw)_TYZ3_weI=! zC-^D%)38%~wu28Y#VN<ruvI(`##_D3pK8VC6huPx)QH6+W)RBAc@Nr?43!Xx#URpI z=fqwzJ@@hRF48q^rWR7E<<!j-A!&)cEfjGnadD|9Apna4pq@8wATx2mQ<ikLYZW>r z&~14BQZn!2AV_l0RF>3EN@CAdmQ)Z%pZ_3t<hSO9cvYoXlH6M!ieXjdBk8ir|7lY+ zANCRZOZsT-A^TvBEzM%tBi>@Uz;5A9AFqJ$@fU(3b9wruxC$Ftec5TYq4IyJ!t}qM z4ACU$e?A$)OK^?z-Xs;2Z=lGEbB*!dBpmEHge+%2W^nbk^Dm{Wsk)ITs-l^v8>bga zlZ#&D9DN;+7iX8K)0H&B9H6v6Baei1yfGz>i>BmA%g73(#cI8jy;pf29%8lg14a@$ ze&!wAS}sV>klbRD1_@DBg20h-O2-`}#vAI5XH6Q<pE|O4`rJ$?46x)6D3%=C&|tF( zuC2$zJOPn%$;O>z#+zcz^MVZuN-*=6B_<kX_zFF7buu{d4ho8InE;64VFYo^MUnP7 z{#4~;^Ht`^WT$t_<}i~g;Nhtb3zN=u`XT~G1LMX2DCK|F;&02*W(FF^mdT63O&3k; zsyaOBhZ^NzW#z)IiV|$BM-QE$o=ST^frJ&tU`-B2Kp)sH!Q_9me_Y{%1I9rrx1&j8 zi#KynKDMbgxZW?nZEqFZ3LsPm95S+GAM?Yqj}Xv<bv%EY6W?K|5-+nqH(2Fuz6@c7 zO3S#YiDOBW2u0V4V->LLq8k!iydz)^wC7di<DKhIbkIQ0GpxE|TTE1r*x(h_kP0&N zETKjx%wvga;Ox7ub5jJ$vXxHlpAR}F8@JUQ`J^|*X%A$`6C_T*%8c2T-?Qlaq+9^V z0(fXZX?;nn{IQn#TOl<?@_JE8TUps~ekPGS`!Kmzjr%Z!mAx`Vzzyr^N8P2L9z4Je zI6u95?D(W3nS;P)DuK$qsZ!~DCwg{aa&0D#zR_5k^1znY$FKqgz#2d@11nIzk(fbE zHL~0kKp+%&$D!W!N$T4@Q%UL?^KHz65L*KIMo!1=GRS)1Fv&|RRk8jLOW+_BLF|y? zS3<^Kq7<seW>%SFq+enz00lL3L$e3zoZn`JPVUqsmCjeV&zD+alBhSYU2;_obLoOf z5w7#nE&b;JfUlTV0}x0^0k{BH0rMF#RU;<nf|(xY-_od>kq0HFtIDsQ9`UrO)~YqA zNp)4LK=!4!G8An7a&+iio0fm(F|I*WNd(&|R#_@I*PfuhliV;N)~qCETu``)cil5+ zx>FEAwI72BE*bOKftlNhV)g+4{@W~St+5(@i_la-_r;h@!lj`Dq<-!ed$FPj#Z@vh zQQbS7a=EsLxN$-(g0{e*xOl{)=?cLSse#Ru*%U(|u_?Nm?g-Q`A)Gm!<MmFz_IwMQ zPn}k~!}G(KY1SUcd)AsLT}LjDrhp+~G)};hdYdY2Kt)i$yh+lM#3I9gpy<0}K&qC! zQWU#Vv`)sJ`Y1EN9x%YZPF<_);{B%wZ5<b|?%6)TE;3~lc7X&~-*pay*S1YTTUVt7 zX6kMU0Ry{{Em#qe6yT~2f)=A_x<NsTTN#r0|5J6>xREteeI502_<;p;6ZCQDfKLa| z$qBPohGYeV^l@nZXO#-r&16hG;Jx27JKGy|jcd*$Qgmc#R?8Q$E)>Dj9#A{E6Yx71 zG$#|rlI58&&t)e8^p!mTanBx-kCQeAJli>$@j?rVK6D~$Cm!@p&A%P?TF~>Nha%*A zT@y4w2@KJaa2ooiwRSKPGWfEQN8rgjVOzm_IbDMIlQ%9wJdrXTmQM}*D3Xi|@DNN3 zv)ko-80~S)uz=_m9aPT@Mla}lAoX}%I(gAu70g7|N_|x}T46714^-gZj}M#2{~CE@ zG~-Kba1eA=&Qou|_F7BE8ZFC+w2153i{ec>f%;EU9EBsSI_Ao8p3ct~CzupZ%RFpP zeu+TvA^Ik4f{ZCad_sjFD{wDBxxC;~;Xx>H6%?>0NtSNb;p7)i139<IF9b-pODjR0 zpT+=knELic;}WV&9S($4>d|hoti(!<`*Y&_xTh3Ax4leC{kW|KKq)o_{)DbgJwvN> zyK?x(fb<ytO;o7bxfj}jDMLp1z!SuS^yk7Cm%ETgMWUrEc^6ApW4Uw|`b8!`!ig*G zo%y~pG=6kNQn3K>Gr0uBnt}mUy_OT*DH6-*yUr$#DuE5ow>y=B%8?PyGEZspM^$gC zIP8M}KX~l`*3#Qi$><)_34F-~1BTD5hc4(A*aZhQI~Xp9++2((rIdr>KIgc@EBs~F zQK7i`*dAPWvgPeGAp7Qbk53bLF*#ce!tYF-f}EBOrx;i$=Pnw{S+5}744p`LoT|xo zSd$UJDz^co-@wGNCTUIkRS*DUWvEajkUh^JD;xjptI<a`M>=OSgV9_F(_r%lD!u&e zvVGVo4OIsfF^_$9vD^^so4JC$OSd$Pgmtu-bpgEbK{5>$r;tvBM3tl?sLQsN9M<Zl zq!N4)9W^6m{z_ZmUKg10u&9nH$<VO(s-629*vR~R+yoslTo6<M&m$#iWUWi1pq^!X zP$=Vw;V!VNRcs<f3&f#_@mG_p&*n(2R#n%>#rEX%*KGKKPZdLz%JGsxj%Szlb`$Zl z8B*ux@%S-<N5)mBeK!W{4Z^liKi06#ekSWsR5wO~ASUfSUY}!JbM?}tur@NWPth@Y zqF>y>_Z@Ov9v717=c{EbDAvu1pc+YmbP2!MYorL}4b`UH=O)?vaJ@;XV)t+7TP<y` z{-c*cFto|y$qXV3hdjLF1l+=$JaYP?sO!;F5B>BsdrE0&S!^R7$mu^1^)t8{{NX?i zXw+ui(mrd1RU=XctiB3=Vq!Yj;pZ@I2vfl4=}>Yj<k2Cf6M6jKEay95ax?W3OGe}+ z=6BP6nQ<8nQBBJU#v!b{uD;Gb&_`toZ;{ERa3PTS<hrd1cn=~7pw+ew88c*rFyL1I znKqpt2J$RO5bY&N^`GE_G2%i<aa9bRCfY)+a|q>F+`!$F7H0`@RRl~BZ7mEMBfIG3 za46$3*_3hVZz(wqbd=tfPjmj$NTw==;l<bv<k?R(GM4q86q}I_ZGs)^st<H1k!0f& z@o~q~&=M7o;^)_kPTYtL0pT*Bvd)S6E|7`2_%q)r_3f&Mn33*ukmW^vKZ-MVp*2WV ze%(Z4n<9aeb~4Ue{3&qLi%hof!=yV5VA2=^H)u$N95SVXjGR^YbivMl(8ORgE#>U{ zU&!qe;fqC8{Qz(eFRsU^o=@*TD^sDcrSlnGDU&Vk)(83Au*z+Qp^DZpoWLfgtdFFu zAKNxSI1dPzkbq-mU>ea26O5LCv;VCh3t~_L(5Mc#RrvW=8M;!HtU)_z#$EZpDX#!? zg_N1sw!o!j;T=>=1C5`HMPy1=-;G47Ei^1W(IVg{9g$+y17Lk+bpO75l#O9il1JEa zc;tr=92Ckl(mL(RXV63aL%w+Gf9{`)d${B2A9(iy(I1)VD8F=hcWmgv^T9H}W(1zM zr1t#OS`PK3VI@v0%QoD4DLMLNGBZCJyTMx=n|fY9cq-kF3g<R4x?((m`JcYX63Oow zk+4J-uvO(dtPZjLR#0nuQ^mD#AJcN_s&%cb@VB>Zq7~yt2IA{v3(-~W<X^Ul4AE-6 zp+HLrYXzCX@za=*xCVr6Ytos`3jBO2{OYj4q}D$>9gl8>gQb3_KhH($Agf3|qj)g( ziKK1~cxi_R3FTq;u>KH<E&?$Qo<Vh%yRb#0a@SIVjo^c1B4iB|VIdUh;H(CLTl?%p zqVr-6p1Fn_w}+T2x<>L0w(%tJ1RZ|k8Nl)SJ?@5TC8RqG?0Gmcj4!E^Pi)(@vZ<CN zLF^?Q2uli)*YUfe11trWg|jyY!PU>Qw}zOP&oGM`^F#P2W1Ou&e`liZMvsCJ<GfPu z2?N0Y4<LQ#v=D6nNK_b%MG`IYlb8t8M)(&$FWqkbuw4XjIFxbrzvNYHMF~dh)?g(@ za(X#^v+kwvV5IPnLGes-$3byGz6(&7<PWNAp`}x9)c`&PhJ+(70eezW3coGCYo+w- z`$Yyd*F-cmXl}U-q}T!1PSAHJY;Ujiawu;BFOYc5YK3=n9AAcqF2DRDfSLy~06dF` zy>_`lMV|CAk(8~DABYDr^~Uk~w!sk-c1*O>25F~$9!3D-Kmfdua#}B>HWzpD4T5OU z5e)?W^K|F%bcGQ;F~n_~ut(7X%XANO=a6cdaS0h(+a~}>)+h(Ox4m!zaLolnH1lu; zy|XImQBsnMO}XqGOlLX&#U%sACt{ESy~e*ENxgO?8RVQc7u{}Wb%!;r+#;J%Zd+dd zWE@E^!PzaoO%7dU0=8d#CM1xGCq!)E$W4H38(A4W@@#3lnt^qRDH5<Ye%gC%BMr)p zi9U(zOYN#uKA?bl6z+BXvK}t5>p^A<4o}L`b>x3JltUwgs|;-i_PQ1pu&{LhP7f;A zR2+qnw+)5tm)I(cBmnah(|4lV3K>o)xW?BDzC6&<ar`aRGSmu4=c^Ud9^-YF5T-^~ zSiR<0+kx(ftG;F!H6%(if0C8YPL&cwCdg7T&|k%d2XNIyOzb7TF0kN|B+4}jf265? z!sd8vxe6}LTBKv}3SIwVb888K@G=$Ya+QU9vZlG)K8OVfq)yb+rt-|~RF|p(OGR+} zReb*VY6^wCN_shoil0!$3v|3dR<|q^F3jeU>pl4^iE3x3>jHtAzJLkm5OEi%dNk1| zlrZWk9%VZLKkFW{BR1qI-%^1=eL^%O$(p8(P%<N{F!PejR?rDfnJ^gCMV2%H@V~2* zn7!`KBr}N*wA9H^G0^&PSk?od%wW`NzYwueQBL&AcJn|6+kXDpAugK)#bD!yryqQm zt47^rnx&~d-Vlfn8!T|RbT53%)UM72>8-NPt*IfzV{ApDwR<H(0Dcca^;TM+CD$!M z(Ori|e*|^CD5PsW0C6}Y6~#uO=5BDqDRU}W2oRxUCtLdo&ZfKS35-mRREF*xhUJh< zxfCfciE2AELW_~aP0pJM$gt436zD?<6^WqLyqwAk+~+%Y%yDKQlZ4^~_8$5G<fOg( z&dxW_op7vHPLIGl>o~=NbI-g(g@ECvzC^;>aVxIu7Lg+z;{f6Csg1R=yTv&4Z3s>| zwSnA6!4S|MZg_v0O>@=`200)sAO<5Q+iQ#dm@YrKZ6H%VYE9C(1oC1wb;!<u8sezh zKle!8FR00RO2g1cwBpgrS(ikxCn}Htx_%@AD}@8G5wwZgPtO>0D{Ec>gNr01KFJLN z%{6WgXlc$m!Lhx^yr(N)!F=x3fna$S@a?~cl&}%SMB-|Trv&0Q0m99f1;JJ!{%I?V z4{$oDL0`awayEqXZ1epcifgJ1p5A<q3~+lc2#Q_v#3U=ie)uyW@l&et>{nQ+wihMS zVg({uQQN~{7|ObK-uJmFVd)tLxGSQreIS#V$S4~)N|Dzel@ceHV3v(Hi=T)$jBjx` z7~s*x6O$8!&jY3ICssH<NbrpVggYv+$s-l!+KR%n3i$auze2?>^mlftU}~?J%qTnt z&i;Sp;3CJP-g1G?8PSKO4~xrJQ4^0q_YUo?d1-nn<}$sI?N=v3Xr&es4ulkinxCLb z&VT_Z&LN_XrZ61-EzfBRGSJ4QQsM6V23LJ~*$X1*X}1H_j+L2Hc7Vs7aTEx<<pehP z`ARAq%t}3z1!~Qt-V&v5et(60k4lJozV9SLy$J9+-akN|3GBiFLfee33i+{Xg#n-) znNIb5R3@MnRhuPBVK|`6r3^L+WKiF?2jeIOeWVtH|1z(Bo5KWms{TRGa<<rfo5>)k z^rwY|G==Jf!C+VGQr7$p2DTW?J}f^@GJROfH**!sIcr?J`#bMX5Nz<{0$GMq;56U1 zmAT_N2V%bB`6dyk+W~Kj?h#ejFQ?Urj`-pB5e&+J<nT|ZgATaFUFe^A1@GX5C3nhc z@-y+T2l5RcVWOi{Wd5RpF}d-gg0QpkqP=4U^WU~af6?CXqB&7cdgkvem5@Q@j9jVo zfyzRGONb$I!!doS|C=I!>{J?oDWEj~Bn1JH1t5)4@J}*B&dNZaZT)U&^k;<6TSHAF z#F|2NnKTg;RcHdnCDki4c}74u{zmO`_&-2Q?FBRbwgK~GssW}5!3oURZU)-i-v2TB z!YT_d%hQ7_U=3^p1&&TJ-IZV<5}t?-A71;?Nzw%N5K8-#czO)zJOSc19_X?v$Illl zWd@1Y4<Rl=2z>|tBp_e<cn5!GN=c8x#@12-*P*}&KjYQ@<VWo^s{rmLNkLV4|N3pq zvHwPEF}CTiGE~84$JCm*Um82E^H}Nq2;MW1j9^O2?g7Ph4VU4+nT+?0mrub8rL=_w z>^aYZ>xD==Aw9fpuTL&^b-v%_5OmDCurB6px_ii6)`H*Z>qzvWzJI@-EOse(>d)r( zp$bK}`dfNzm`|0+VTr|boxF*9^ODz@a-G=`=6f4V*9@^$*RITG6Q7dYtkH*4lm}`v znuH5#qd2*))Q8h#jOjWq<DSg{+T1Zo+My>I=hu7?%X!Vd+%ZYkp+}SH*L=Ql+S*m~ zp4pKd`5i73V(Yg_M$fwVUo?s+Ri4qCaEdjW>;sQMA%gAb9O#1za0OW$QWH+GDb{!v z0CaW;oa8+F&`*lK57sQag;noeskc{_x#i<)=qyf6Pvf^xmW!upvF@CH3dahoo3smt zDMz>;BnAQqIWwH~gC<Q^Kjv?CA|oL=J%5U>qUWr7A4m-cvoNzCd<RHI^ej7XpEco< z$&9lj4v{?(*xF-huf9!-#xgOS7}-VPx@&%(m=c^$m)GQrfw_fFLR0fJKF&9pETPL8 z27dvQ6r&TVPnfTFR=YTj2qxtsr;?F6^H&%x0YmTCs9^3>Fxpd4W@hw5Yep}R98pS} zQA&!G)>W?_q$c^HOwOfD){mmbZCN`ODw_l?r#$+-ohT72R3ed-(=e6g1;@50-wqsI zXWJ2a=##n~SmYEeG&2F3ZhMJpG^AgcJ%t0#jJ9K9FY3f(Yv%MTQZk#cFi!*LF9R~_ z^@+(-s?;J~3Tc6bdCcf)@az(>(C7uE;IJ~}WNMzw@`Z&|M47qRv1ic=dEcUcmR;!X z2&2KIFf(?Li<T7F_ZgolrSvdEB}g^<Ewxm=RPu|z;K8FPOF;e%VL7(Quuah}=BuKi z87RxA83_wl(&#RdbmIRYE6|mfP}($;2-TeVE1v+Z7*8IokoPV6<jMK&JJK|g514<2 zjF!T}H7LkS@NIjd-jFNcsjCDuYLLjzMDG!J1=85a?%iATD?*ag5oyeM;bfty)NCaT z6T)Pm&B+Ff0=1u1Bb~a>9`j3`eEeMrp8^!jZ-8XLFld+V0Zjl2_`0INt?iPHG}#P5 zefOV|5BLp<?|Ez@+DK6L_9_09HeO1cdHb;?X)s?jaK2AzPC%h20i+7_&oHs^j>(ip zOvA!gs%}?IGT#Ao3QInyJtgF{L<jqu8KjnP3<(qiDyaTE9b&HAk6DgW*=r&sMJHQ8 zN8Ym|7+{E|Y2gZA?&WS(VT!nS`_^jZPB{A!H^a{KXv2|oG8$D#CaI@T+iSrLwZ~)j zmOp}u41tue!{(;{2J+)8rWA1(?^TmuFcy{{S3w<Qoa5vo5w4aF*H)x*M<~|C2=G%B zInr<uCQ&*{AEde%#)8(e0<@(|oYeg&?6h;vzI#>H@qK(<?4i<Xet1oy-*Ep3uh_UX zpAiT=qQ;HA?)V9bby-mT=hEOAs4BC!&A&pB&?S)#%J51(K%ji2LNM1!c8O4~D4PxH zK)nvaFOts!X#~F>!f!67LJ%er_%hxYULi06pn-UqWeER$N$Y(uTOuTD!2ezMuUf!u z@qLH$O|$!tb>gB9f{GC$waw@oyVw&*@sBgHW(S}^N3;N7KGd-BQMZOKG~=pl@G<!3 zNGZt6Ij5ubg8egUoQ3EB&<v3~ZkeJmZ2QXq)~i`6P=sUcUhq2zzH}rao)6lU6GvZ( zOon~JulWf%GIj$s1v|9s1mCilQvtHd`4tCe#NkiG{3!|0X7gKPjs^H?J5beHa|V9Z zTEZJaHai^10wmZdLyPS|witN2THY3!jB_q=gTDu9D>-`3`nea1i}m^>zKeCK7s0k7 zp~M+pLrpMH;4b2>8K$A`4>Z<gLbNiXQhc^`=s)aW3V+y9l_wo>!pn^xMbgUCFD|po z(-%Z?2&|Ah{lSneH@NmdJLm<$JI#o5^FS3@vqDc$9fwk_UBl1=BY~i4col&@M2C=K zzkm$j&!GFVaqPJLvd>o4dt77pf!wh<TFyVTmv<)OYqx<BypL0Ltj{cmw85|(IT+K# zAYD?lWPu}iT+{Ue=t`WeC;CdSbdleuTD?<9O7tvc<@$RHay>C_N-f0pUqV}Ifc6HO ziG;&Yw%-AT(jL~PuuHf`1Y*M?H_W;g>?1-y!f75FE$sGWsA=~GTuz0|=CdQFL>_0| zEKwOu8jS;_wDMaNwhb^SE(`jIWjwj%6WK3XPb>`yZofm!v0AX(*R#2>mn_o{hH;nH zgraOep?(iT&xNyk2vsZZ=;ObGabYPZI&Js6)J7u`#YI66nW9UOMk3?!w<9~4Ci#+O zgK$7E!`iBDBC<w3)36`#K$eo)K-d*	R?CMizW8c?cVhRj=-KgfsgHppUX@!NqUr zzs9;n|932Zr_OJTnZbWB`okk<Hm4n!EmyfE7`}GCpAz)A1McmNj1bhMsx@Xm=OhZ% zo0ri0L((F`{c3ci;c{lip=?!AH3>8UwKcZ(wh=G%FHNZw^_RH=GkV$DikcG+3M$`5 z)y7nc1SL-skd>4$mg&)J+N1V`DgEq;)1JyX&rt^e@izvgE_GVg@0n|Ql;GPv(EIJi zv<;Yy=b_lpm~gRM(&yvvxpm4$$@=!z7&6HYkz~Hmj@IV~BdAy{q-x6l@)-%kCcj_( z?)(&qvQ3%7qGvm-L8{%Xb}Pc;h6AH^&PAGg;-iJKq_E636GZ~`3n!!uyoU#c1zAVS zFj=!35Xjwwf+6xsvJ%wgKKM-I1EWs=rlb_1gEeVh=A8b@)ra|Z^O}6SkN@MY`*vdp zqJvdMuv-8aYB>m)f8QTXn32RvH~ai1^v*`Y6~vm=9k$8-&853R+x<)5?F<3>uymJ} zfcq?(Wg4CZ?=Cs!GPT%~HIr4B4czXi6}ZS?>vjt7F3}~p{{4Gpen}2@9Hwv|QFWh7 zcBKN_l1PON*iYjD#(3X~Xwx99El*RMaUF(v4pVoHth<11^CgBml5Sll?i4>gN9*^) zphd06Z1@faQ1Ty~d6<Bc!zpfn+Og;<dYnU7x>k7R5lA6G(8T1R+^BpY{Vw7rSuSk# z3FUUdf?`u{U65A1SHB_D-w>t$3ljTg@`_Y4<NtF+@`W49vU!7lK15&;74@g~*!F*4 zms^ET1dw^*3<K=tUm4T4c=tt-MJd5AzQ@_~=JvnU9d%Qu+!S5*YNzcd&4g*?AJd}U z6iar$)$xqp+f@^;!^^M$*~=A^)&uJr+L(>nX~&Ta3itE+4Lc6CWR7nK*Zw35@1uHE z3l8l#ipx0*-px@M{u?dF27K~i5cgxN%u37kF7e6{OE3yM(|~;+IkCLVicX21q|9rD z8_-}Q#odo_EF8>4md6xJ-3Ly&PW-_hHtIX2aS|N3MA1i8>%t;`i{D3f$tLWKl+)+^ zl+0*Suz#0oql40>Z|N#D;}Fr8=tjh0VRg*RV%SFlx_X!i_0v_K7J56l;0oM?8Q`Fq z7$?g;&UCf$Am%z07{m^Ab=OqB{Y_kOJnI1cowejzDHCWtK7$pND?IjF#;)MHN>jpZ zxMbuJZpH~Rp(mm73b?mKb-i;FIwG#eG|AA*uw-GZN2-Xvm1&Kij^`{XNSi2h2F&M* zLxHt9je#dC$FB#U->XnTCd8q(1jsYU`5XQA&#EOOZR)B^hDLi;QC#Mn)c(T`F#0Db zmduK)*M(owW6vg}+hsG!nWxPY!`#iQAJnL_AL}#$uoQ|P3=RK2$J{x(UEr0d8T4?( z96%efknA^tgyaghgd`@8grupv*t$gqUj#^eqH7-dEZh$Hjjm2-5aVyn>5{P1PIWop zO;vM5+hi)CIxe7^oGk9CP-gJR<o~4vjqr^FsLy0s;Ra5Bru!3&|Jnp98l48ubTW;P zwB@Za&mc`IcpVCY;ZwJy)AF(YrH&~fmG&*G--3lDA*KE;X;>1OW+vIezoH1{*l;n4 z7Hm^`Y2kAkIJJz99V4JQ${lXo21&W=CB4x+#C|}*Q>H;vSP%m18xbChsDbD(+ktwL z<ZK`jye3rnqc|KW702f;Ll8#2`}w{S_>lYiK}dy>II65e2ON`d>u1NDhr03ED{9aY z9=(h-0E{zJZ{tq45af1J(T?8QCojFXmkPfK$CuS51BT+?d}h7r^KPo}p4ePR*d%>y z_;Cj$=zW<ifnEUTkG7D|>4?l^E$SAg(9RjN1z;rRi421Fv82$}K!y_6LyoXkha9}G z77v<FSGYG$q+GF%{0V{wZcuAX0xWrEdN7UW56-zgO!v~&=SZvh<_Q=0Xi4VBx&H1@ z#d&k9xH!t~svG%vO<@jlf?83E^1^MxM!DwAu^vhbmv#u$B}{hIWnxRIer22Lm-6x% zW1J<qDx;+(#5Ro60*lr^o=QqOk8qXb?v9j{UcnhHm8@FbW5TJGrUk(p6J&%7b*zU( z7Q4X9M1?zHs3Fl7cDT@zyuGk-l;5_B@zEZ>zn4{#8^2Ie8YWg!&gj3dp{5QR?FH|$ zBtugx#L)FLl<}8m?MEucti#Q(ONcBpOa|^sCdW#noh~^S%BM~<^Sv4q7a<>Kqvl>M zkub*Df%S}!8@-Jhllf5=j|KUx^2gAyF7uljU1qoh+;v9zO}#2PANZ!a`CjQrd{cR; zxnI7i1Ur&{{-MT<$q0N?p`MG%zNxrEtDK?KX#u{~T@u1YhMb|^Rv!@Rqqtz61ggx; z>fd$1&n`g~W=LQiKysv1a==MSiA`+MgkTRx3K>blA>pgm0gfS=1JbpV`RK?$^uniq z>BE};q3c9-{!8ac`41gK|6h9Z?!R=lm4E4IUjNcx9{#1<=>AK0+aw{;@yrT<^F-F8 zuG9EV07L7)B9M^(CxM5he<LJCjgB}X#B1$tkz}lC`)JJl4Y=}^5@t_w`zXD5C?@OV zd)6N_{2!dX1yogA`!6hwNGshE0@B?jDIHrHq>*lvmhO~pknZkoX+fkz5Rg{7zX_ak z-t*pj$GG4Bj=>&#J?p98d}6K5+-uHl$WkU)({fY&`8&c=Tw-YG?y+N3_n#}bl6}}( z$~PwgFx{UGmQYd!xatHmMn}jYqKf$#5tVqK%Q|grzCEuv%A1D4{L(>19#pK4NHK#& zi5fkUh$=}PkQ7Q$Y1R=%U02S5BUR@`5UCJSZ%7dZLHgKD?>Qv)m3|tYVls;!Ps$J? zLONiDv`P94+Y|=VEGjOP0y6@bs>3Ft&^9HGR9NB0lcKmmm#k!D;*o4r;3LiKv}K8w zY$l5|TrzTqbjWlT67gHQvkmvrH|7XMvJq~|%U8pYl01kg4^yFXABtPyBKs%QlMk&a zs8qZoFZEK;VB9MtNqE^P+xtb_J-sYG(qQixY<%WQc#XY#$!hRKrs6#bFFxQxdw9y+ zasL?PWy0dB5ADnQ(=+vCdF(v;^oab)<+WZpT&~)3MCu33w}w$|g10s8VDpO#13BnW zy0cS>R_p}*6+x#;DX*xW+nZ0{p_S=i0*Q=)ES`{OIj<L)yz@kdp~)kir}-94!tg@G zo4Gy%BafOrre@xHBYW|6$s)w0LiJ;M-Ly}2D$zj&rb_=%GyL5qm{n8$EabK#Mv^du z?&>t45<BkB&q<&`l-TVJ?w#yKpB#ZLUFGr;NwbDpFx>6*`SWlw?90pSH&3K1rb&1e zyDR!dJU#2p^k}dz3`_#Ku+`W0Ba{*7))LKl;;#A`yD(ur{ybwRl3q(e@9PY|O#lA9 z&V8T!vI<J-f=o_&gYZW+$tT|Kpj2jWrSbad*}|ylr^Qjvl9#3vpNIRF6yXP@PQ9b( zscf9ayQ2$6u(UT`g_okLI;P4?S{|dSUayQ1tlq>YdfQmJ@{-uWGS6Q)36R096!j11 z)=9c@g~4H0E{K$AZ7w=oP^-O_B!SZm$%*i$_x>u;5l8YX`)!ct1$Bb590{C#AfQI5 z-Jj8QNRxocyv-?@3+ns%u1yA^i@QlY?ep9SFt<1MP3M7J`5Xb}@*F-!3xKITp_o5M zim%JPjXQtcP35t{$lMwE&zzT7E#XlMG(O%r412@dc-82JjPG-k1|3Z0trtiU%NrFZ z@x|#SBnIyeR9k{i3h|BuLQ^y*W@u_|yhifLTRvsk2RE=<4;$H36yc#@nv+>XMm||l zp-_tqBwt}5`PZ2Q-H7LUs;u}FQ@{L$MTo6FKkv}8C478p(?wb_!hwwW$CMoFF*e!{ z$$AL__#nqhy~s!ef&$6%jAs&^{L@U5#r7yZzI;=XV%}oCT$p<m{=)jHX1Ek<nc}pV zbbNhLHfZd~nA<X4q+}6nN>OjQMSyKdU|Wt2*cJk|8x5WXbS{u{DD0St`}ma_%fQDF zZOnWNB}!l#!wEUsyiq3%rJJ0)JE7k}ywDrs4d6Px^|p~$Wu@{NKt0<r>qV78|NYCX z*N|z3IbC~r`r~770qx-gWGddBsv<mICgJ(KBD|OmLkSNjb^`<|#A^q31D1rH<<U~( zop#vq^arQ6_fAQnGK%n&J2EuaZJ8>O+e?kv-frGo#(UJ1Q~~qU@X>=Zve&&MmPd_A z%y=?R@TAg@?tn;Fz&_%a4bqS5@dV;sN_02bCOnFCl?T=Uv|6yA=aC^zuo(9B?!D}0 zM4Gi&MZb3&umxJ;YFxRN8e4s*4uGcX(HC@?!s&Vb<Qjku$WhyrKzov{W*D^uAgSF! zqC1b$^`}I<y2FFLv&<IkM|4X5E%f5PSHg9N^98A$1AWp~E|f4JAipQDc@S1A@`2yG zSs>8ea@$`E@nWLZ-a9F>XJ2+=+_ee(x6mL)k|0&qi+!2U!LC~tW%LR&@k25RbYb@F zbKhsay2H5nh^N%^1k@`+bAp&{GwoTZ6YgZ?#@)>^ld0&3>Njo{i{x|i0q0(6DDr{n z^WzFNqVHZpm0*r3S+|3)rg7ftRog@Dk_m5fL-w&gdVP5B(lId>IN0yG8Y^pJZkp5< z$Rl_AvoRl0R2=`M|Hf1&Le4=@>_H|pMeC0-S33De7(~lc?Ff_yL0AWhMAOJ|88DSe zIci89!=&D@BGH0tpV2CJ$l~~H>;p(0(G5|hH@}&CsUGPr8DAavldFVN(_`&3Y0xg} zRjV|2nHIbc7+3m@noap5HIK64;-Wz@kONA~L)+qcj)!o`)ci`FAadLA*OkpP@#Jiu z2^U%VB=1mMo70LqULF{fb*NAw_G&`-49;1wMB><Q9b<cYwCH%Z&PP|L<?P+>tu=?= ze|{~=p{C8xgC{Qp2>Hx5uC6aG*4G#8TF$cYeF~|bv>1F9yfOYT@t)waVk-ak_;B!6 z<Lc_i&w(FDJ)<w^L+8E)Ks3|XRVRi=s&1rj+g|Le#>XRYE?HTrrekjuC5ND?h6v6{ z$XB1hrKFVMN3ro~F|-vU|K6<|E%Hg1(@AS~`CUwKGY}v0?Yj@`#ffXu&0p&*s-SYt zb#Cp?JsitB3QSD0J<l#*jWSLZoz~%eS4C*Mx>(<DLxoBH=<@Db01x)|c=h<_)&dFV zt-I|_Tj{ggGNRuX+Ys_ziCt@<70>as2Aujr<EIHWXEg18&EM=@ZQ(c!HLKK3I9kE; zsdrE355~Y)py0y}AD8!z4R^4IjF>FE8B@EWkhEpye*cM7?9lj6#TjaJYn|K;*Pr5C z$%@x8`s`For5yOojk8?ggw~BFW;FBHtE6Woxt9lhknQhfM|8~|Sco@0(q|QDW4xRn ze(l;_>lsxyVOhJvPMgJ_eo%!;f&WCm^z(9XY5$50*C|_r%t^OJT;Ia+Sci2Afpq_n z6mbDXbMV;ZoPH#gzGOY!db`ccCxOcTIKxsOy{!nx=S*Vi$tc<z48cvf+$KHhvB2SV zAMA;BUF$hsE0kBXiFqYs0RqsM7m-hq&pHuilv2gdEDEBkp$%l9LJJh}s&@1Eb5ACy z?UmfW?{pXE%^B<#=M9ECPq^S)=0jQL_n`~+PCt8V!9#1XH_IyHyidQkSrT-ktG>5K z_Re_d=7J|^<k4lNe@SgS?#Lcjk<U!3pe6ekA*rS{NeMzmZhX#J(W^n^1IDULV+&L! z4w6`APJw{%GW@>Z^zqjXr`m!A0Uo*9+cUL|lRsS?s~TH0Qu6+!F_sUb$uH`1>b5qv z;E8*DC7C6>I{MSVt!)}%UHYaj9*47Ty*}$&==S8#j|G>8o2i@2)$^VohZjF4dUku_ zzYRMt&1B*A-aX~hpKwt(V{mdvoVIczdwE0?I}@_gdf>kHWOvQG`1)!)8E-_>%?>;@ z_}f^aM1%k4{ZaLKI*$tyEgD<;;V(VM&6L=Rp7CixhVb<#`CM}8Z9GvS*)CUBc4+#W zwPSkB)4K2T^%KBT?DGvg(KP<DA7M!0E>F?kARZPbai1o!_>Ey5dZBjS@YDL*-RRl5 zxbURP{qbW?hir|VoLcBT!q>Yc#_HuagMEc41nJaUJNR-zPwOR4ux<x6ln0)cck-kf zPXBe}kVGH(Ywf*&ZK;thoLwl=+Iz!~DFVDHX=%iZ4MP5()phsAlku;!TBI@=hn#v5 z+ci;4Zzp3&BB+KvSD`pny>{V5o08rM;^Q@%-KcWj*cxuS@NbZQf7yWXar=W{V#++0 z&0OS0_Vc3cr|gL-`As5I@&z#y7Qw6p^;H@E^A`(}6V0~oMhiFc^1dC~`i>hlDC#9F zErc-je*K_?YcGoX87AS2pCWiHpO2fLhTiLC(WB!f?ENx=4+*UGo}7ZW5>HS{nXccV zXa4({F5~18{RuqsR9pK!L)<dN-t>2me{+4o`#eE0PI@vM!t0+}(${y^#M>>H#xI=; zZ?24nUGGVWs)s@Me36ejmRb7<`tT@JpGFL!pBYod3!hvVCZEg{&NL^Mc3MvrGmgQ% zsB^{!CSfc6Q$JpFnj>*-{Z<H16C<3f&O*Em;~zdEGU^jaBR)2NM#?xs3s#@*Wlsre zIE_<DGOrObMR-wLGx1C|x&TB()8B;gB0?A}8pJMd9PL@o-nR6MHz&+Pt*2<L84h*$ zl%PBpxT1aPVKY5l;C#ScFuL;X$7gO7;!kC@E9mc2sl3TBeZ9D$Ls{M%cS%EWy<Z@Q zrjC{vfHERb2amLhGZ0~Fj%r}PUKWzxLMQC7G!sCDKqF`%`!Ba&>QbE5EEgmtV@8g# zu)r!cep?etiu3Y)Q%V}iH@a>uYto(&>-BM}fs{#Tb=05Pq)W0X3(AL-^{opO4qvri z?jz!>S-(e$os}H#OV?izsFb1YJ~}|5$3;p@Y`n&%UtH)zYCwHb3X8{Xg?r<Zlj5UF z_I8A|Gz5k_SkT)*Y=qb`ML?7c3r6iIfdPI)i!y5eim#pcQEB(+%4`J=b;$35p-?f7 zuQA5O(RhS!Rsu;Qim@=A$^4mfCvgv)P^qQEf7LxlA3$$SLXl>gmG(EU2{nq7Cf*Y2 z{6ov)>9q}wDfa#k*w1XxmFoTIqSxgz)4}FsPIB3)JKo{cK+;U+QRMr!zK`ccEWM#F zJW(9k0$70<k)~U|6r7<adkI~bPcYF~EVy62sGJzRR$mg{ut<<-R1epjLNN#$_GlHP zLdb-9HE5G-sMEG32EO44x47axDtFj4Q0EzZ(U<XEU=95U%DXp(M%M(RK$mbPaQ2)@ zVrwsYa(<&yfNZ04$x({!4COkew5}wiQ0^Gj7S|H`S10L&1Y^(_zm*Uu+wWtuQ1i9D zm3j4Nm6z;IKg{i^RoztJu~_7Jbkiv~x4oU;jvZjv)`yL<9!%CZSB;)%eW<gZw)rXB zsz)Au2(txmgwPR68N|r*?$?hHKMF7Fewp?KvmqG~S`9(|Xp8I6uM(ng{3P;A8E}?b z!V8RfG@IxWbEFeGj~xYukXhcS?0F9zJSH-1mo<=4r&m-{<Ek}dUh=~Z`M^>Q+t_E4 z#vP&k64eBM*yOybzQRifH91LH1bG}W*-E}th(~m2U?+`d$SB}r$`{ikbp5v@@0@Mt z5|zQ{r4*;2EvCG6pB-*lo1#)g9`vDiJJZCu6$ZS{_zf1UCJgH;4Fc>o1u_vx;&~LZ zaSc=LRV+m27|5aAyYnB~wietXIg{NL0&6CP3?n^^p(wmhV(c@mYsoZrBs}>qEYB#O zT$QJe6C0u4Qphu1yRm8c<n6>n`ixyt*wp&x<d{tQtcVO%|H6ZAo054m>HNyWrTLV? z+m!0lmMi(1xTaF$f<mSZ!fJV1JmWQ)sB>*c;3&b*ZMy6ywx9JxX(dR%y?(Q0gC4Gj zOd(WExq&jTDxDlhcH<=8f!J!bW)!f{EtV>n{Py$eYc{>ENq?DvX3--1CgJxdyJU5i zf#4I3pnKEfJ-bD(w)%H16T?4yE@Sn6)?bG)OjURo!He*wnS_4(YUm``rhdBkb71VP z-?!D;G-Akz(c#LA!+g`j<facQ2`Dvv!8Y9OYFG1Di}lIQo>zzI!zsrIsR$9x^5ojn zy*~@X?I25ns*q1bm_$`lr77gC;(X+S<&BpJy-kgHKD+TfR9wTds&!os6-#;&1*kZZ zlI=T~9}j=-e)aIlzsYd_xH;Fj&8O8=5>N+c71aB^dJCDyAXVJ-)i15m(+}}Wq1{tK z#;X+DnP{d+{1BqAVwXOaaNjB5*1wK|hh=FmE&Qg7@%FBy^q?{4!lev;Kn-I*BexRJ zB>S;`IA>;@V$8mT@@eXEf@iy#mq1|akzI=W+T2hss*l-}Y+llCznj(6&7!|&fIW^v zTFp<raT!a^0|%iiyV6~#%5%Luo)9YyObblDjTo!A_JH(7UEb!xH^-k}??-Mvx5PnU zP^xk+e<Hd2bD<n<lm3#!ua9~w-P1jLEg#$fiH)**HLsU5ILq*kg;detj<$8>mgsJ( zK8y{vp*-XKe%q|Abz^(^;X_3lu}`%#8510$%(e7e+mDty)Z33O8u_7p-tCLLO|IK? zflmI5LA0~~-7=ybRd}`ueR|z}AgvwsmXb;OZF>9?Ls|ST^E>wOfRK`E4)EAIRpT`c zWuXQ`VRj!$lw8^8;w@XFoNabD^<PvCbEGd5P8bZ0P)qpL6KfkaNsBQ4AWFY+lzDR; z*Kd~878liTh9C3ILDLZO5g;p4mw8iV8TBpoRL04WJz~JDmA<kNK#2UNC-Wv??s*Z0 z#+GI!Pw>E&siq-23_$&+k6E3_I;41=bXU8dma33GN`>F7H$(p=VGaGu6kB?Qp2^pi zAv$5(GY|{E>{=r~R;vdt`^ywXRz)n$m3+?<o2<&<5}V1XVw>JDp9-w-**L6`dR`XV z+D^r}%1%7zJo%nCTxObYxK{T_i>8qzEC-SDh#mc&%9hoNDP@ay@YHq|)EW6HM>KX8 z7`#kz0g}i0FH?Ao!P=AQ<-4Oh0b@VbLX)y%B#94|Utba~c%JDE77{zM<3ft)#|v#w z&-Dk_E!Ej0k{P?PhvzDdiN9ayORtxxGe_Xx>OTPoj1ftYp148HDh*<G)d>1HusA+k zs<5qg#r-6M(Mo2rc%)%uIjcn9riiH5TqEPddy+qnSY$dArqf;KE-3pHIuo1U!O`^| z#o{~UeFx{?Bl_=6nnIk3yLgwhn**4e1DZlqPg4$mCr#P&2Ay*GpT2kg-MhR;r-3y{ z^=;2MhL)qnEMS8(PPTAim<mFdtkRS$Td2lS;KZ4%QemOAYsFEZKl6EZ!9uC0QmUqD z=5w%7jG1$l)L-i32TfI`+iD!@`#><+0{*=-XL7N@mezi`Kwss6i*wZgPn_%&uqmGB zY%<<U<?Ot{QL8Y$;3#5|Sl(3RJN;bG`8#3-W#?Wgy8aViZ4Htm{!fnFP7C_Gm(<%D zJNRN3hh^65cAxK-M#k)ot`wZdgz;6Fc6rpu`jIDpiGm#Vk)#*q$qTa?o(F$vv&vC{ z5dD<O>ZMdP4F_wtQu%n@oeFQQZsNm2nfq7?)e|p1Hx>2bXRvu7e6&kvkRT$F%^R63 z&Q@@VpJ<sX+BMp1#xD5HKUL-*o=+o}A6Wp_ZML!Yz3LG3T_FjFzdbrnIN)^Gt4ZZK z;4IOb(+xwoT`OHivrfUI-{DI|ETr0J*x}k=6sE9kBev9#PyJpkmTBQI>te0s2{U$4 zT=UacX+}iYi6fb)_O?b!C0W5JTYhpA_e>T|n!sHjZ7`A0qof+6&U3FhlFzq9zkI-4 z#m~4U^&KSnna09kaoq~9mpuHQ(t&wcql72gK_2tjMAWi;Uf#rsV9EJJRBT&Y-*&rv zQ(x0CN15Iwc<uWQpU%ONU5#6`_RM$g(|Nj`wGNw~x#}HWyE`Cw%(@?0)_y>ltNVcB z%4ng}b!w^8we%$U`++-nop!EYJ~=?WswOy?vpB@BwLZi@(V1@c-=Ayt7fc#nGO;RK z0X|mle3TFpp1o@!tesiEtfeN~VYc-6V_`Q*BhVb<Z1T4;cDJQ<_N$ii^H!Q57{QX4 z102B6E_m_h4lik@JUEr8I=>v+{f}r%I1p_c`CGIF2ROWQ8ex2Rins9}ImJ&vPI>Zw zlLO$){~ogYAS;50#%R?)LuN~Elh66bFZQW>10Tu~h=nJkMXUAVM!Wp>V%^`71P*u8 zFK4?Z?uT;+Q)~1e%gizIm1iQ$ydnFyp=gVoSBkqg0tW6wI}$Y)*vIZ-7YXApX52D` zI17$$dl44ij9z98RGurb-V`UU%SIC5T&>4Ob6j+*zQ#^EytJ`}uiaQh-WgBPy4*;M zCV*Kww1dAfwb~<&xjVLp7x=Y_)X_G&W_)O-0><M~HOxUWXpRhme$%So^l^Xgs=i>@ zeb$Yxj`=Q!25@~rWoHY<$q`tD1k>3wSNsgd$RHJc^jucA^QuWtUvhE$BUiZikDQzN z&MDRg&<4&qJkuE%-`H3zgK+jCg+JA8Xa!DzVtHTnx$6^$3U31#5Hx{1kqD#1*QteX zw&&XBZpHn8&>LP|8;iG>9D*(#zguS9ikGL`L5<y^IWw5KYUw>!>!_GL2Nve)&c~mG z?+@QWesJpg{y&zBomzqBiKT}%QaH*3{#N-1GoYM-T+Ja+168_;O$a*Z<p=<Za#wD^ z{Kqz&JGlRaq~~9ZhX7Ee8~*ldcg9}2#Up99KFglHcDFL@8S+pmvQ}J*p9sNoj~>QB zayV7ADHe8x>%%`Xh3gwm&#v99zjj^2D+8#HM{q!l(;`^QCG71^O*i0MH+~{tjnB{X zQ*nah4P>{k<5=FF=>xJvFS$yc|9e2ExnO*!_v7214$hU+{Ay7(+&9Gnf0DrAsY?(K z-wMXYV6r!{Zm^B_SGcwI-N5K}=dL#4`c94SqVP{19#XZP$_TRLo=j4=d?pb4kRanj z`ahQ%v)y;`xvuzNjWE&vqK+xg?reAPJS}~3fxs#|(m=;*BUNjtFKp|_-bAkfv6Tvf zbNUVc`qD{HOAkv@s=TTG`B43@A^uqxx@0`g#D+eYO*Mup&H|a8t;vz4lwj=?{OiR@ z_ZgR+*~$94+&$*fJ_gy{;nafZ*n-qHW~?SA_6zrd%gW<v#{yex=>-F=2<<rh<I+j@ z#h`HQ*xB=BrHT-x?4_8-GK0Wr_JWoMN~|V|8cyfW`l_FuZv0htyeAjuBZ9Rf@K2p4 z`FoG2f9AI|5MVXo*Bo+Y>*HjLx8XSI))F^iv+tGUyH+1h=K%iG8Xb2v8En+Eld8Iy zC53~$QQg%34%LXIpQ8@!sWK7U<Q%iK@O86#%@Abknx^*<<g!`qW=CY}mZtZ4%*_)E zJ-i_+sk;n|ACULmoPQJd9uV&_EN0^_W-s)(5_Y*ZH@!EoamFtB`#zbhQ`2=w@Yl`u ze|no8Fg;sPuXdzMF2297&KR~d%@`6XZ@2^;b3fcyrz$Ke7Z)s8cv^TvI5--bc<U<s zz|Hij{-E!IHf1;V<EAF@Kza-(XFqgJQj6V-3_Y+1-Tef`fo1U3N!|SvMacGFYtZZ; z)}V2D;IHM}p8sj+T3UB4o8NcWaMST<YSu|(WT=*W@dR7FC#NXEAy`V4^;fP;BVLTv z$Y)-|uSCqh!V?|%Sb9`gd)$eYhoAkQ{t_lsS-%w<7pf2cj43c?R~}A?Fc1hUn2?Dz zHe*xHj5$6Z{PQP^{B>6SyVeH2+qhRNl#rmt{g@o%M^M^X!>|rP98bq6X>En#^LxMv zd<2Ie7ea8t4xAVOCuk8I_$nunNi$XjA_|NP@Pvf=RI2W1gF<yPc=R#mZ*=T1h@0;X z|995!{sei0EjHzxMEqeDQ~C6gyKi!mp?A5J+GrFolA%YBPP*E=?OGbu7j)=H*q=m( zuc}1)U#dKA2$7GDY=)&sQzMfZWtK!AU((J3yWhm|m<;~>(dF{Nu}MwWy@zU3Z1>5q z<g%MyV`|@drG&hRX-PnDeWve|_4gEdg<oNPBXubrlgW!=inIn(_%>rnA)ddCM*pKm zLAF*UDMF1D<153%v_SrNMt;qVFyVKUuT~h0y+Cwik?q?l2~0b7)S*jB5h|jD^9PRL zJ?BIMljT?a=qfFjYFoqB@HZ>T23;AgM){DR={VYttSe)CLgLGo=%|oqUkeq%Rx3m8 z$_Vk|j1gm2$IA0s{D!QT7$S=tnvuh2eh@_R8LNJ7jGok*i!3rh5hZNOQ_74edr1YK z`3tU#lr4-B7a;8U#?|BTTy^!?zy5~I*SP`O?ZrPsq=sJ|t#u61?bu6Q5uW(~@C)X6 zxV}ROcI+ud%Uaj#g`BEQho733-oK{8<4AiOohYH7W0ydgA}p`*N>eMj1pi@KfU)_; z_0Rpy((pmy*(sdYu%!-HLAX>*tLg%H&D)}5%rm~HDTq8aj-q29i^#oEwTLhrmbU^k zU{obwiRyX4%8G9oVtwR3bxDXkdxr^T@cXP74l?XM&WVUTxk(+aGO$F-!^=ce-r*AP z`-~5)_y?9WEKx-0Ia{tAD<r94T*gms%H+arBuBDe+YQOO?WN+W`+faMS0{Qgj2lBY zic+F(V%BhFNUN-RF(^jBG>VFW6YSjJ#80nqiMS*vScmzIzv?o<?4FikmmYou4UpWG zJyFDc$^JKs81L|%Osa7qn{sv{63Q?8Cgr!cop%ceX?W~#Zd7;Q1T@4xyU8;DjASjE z!Oj(FcPYX4Sd)D*?n&cH$J37m9Y~(zj<?=o%h^)XMIz(xm869Z-&HhgmkJhJ;egdt zsiGQ89UE&7tqL=0ZqdF2$Y*9IOgNRvq?#Ipmslu#(>5|RvwzmdJ?&a`P$Srr-X5r> zN6nsvj4C0a-c|%@Sy#gtZ1#NWQ{;4Dcv&)4qa0qb#$DnIQ6`1-)1hM%b@dxE>m{yN zASUZ!hG@7m!(#+-l{6zDS=(SsW5rMk4K{Z?RS(wI-YbMTs-8WKpPQP$X0+)#Hkl8( zU3TF{7W8>4Vvg<R_jvqsAqpKj++)#OXdFp&HSh6;_W3SB>~ehQTu<Rghe*C%;EWc# z2~@I3`w=7J+q5Dyi4ExFV6VikUx?*}k(Rksjp3I166*;<&mHJKc3UVv5j9K3fS>p{ zU@`Ie89GyeWw6(Pg)$mOl`M*kS@QF@EOTtfBp7djst7M-zNJ2d=KrAjU-FZ$fLWNB z*<8egAr9FdWmq-sevZst;Yp4P9y%FP44yb4{n`S!=QYEKKEK)xYYPGbXL8}GYGg5( z5-qpL0Pmxer82CHadK`U6SRc}Q09LRlr<#&XUcY*&=zlivJV4LcG3TzDf0+G%e0-g zdRdC(4S-RzU;d9qJ&f`Rk?A_^0=o8btVKp`&_YNDEM}&`V+!MB4N|4KP^JV_Fg%yQ z1WU8GN^{SkVrFuQpBpFRCromPfrz}b`XJ`@>+}mwxokcIQjP4+9v)RBB9SyH^V}qK z)U2^@hXcsVf5rJ29q`&e*U~^!Rms}NumJQ3cj$Wp0Qys2=8vMAYS2M5x!8e|9OTHk zF#n6k|H^?#u6jQUxBtL1amn5&u_0P%T%&$%7V1PP5p4BNQn(DmbwqW8#|F>5qLY6h zKgtn&Aw;$SO_4EgHz1>+9g%<@ORM6HsFTsDg*@8;A0-hQP8k^(&kT*xn1~A!E*jp8 zjPaWdK4I)zzgJL77~b<AmdH$*O;J!!xl>9}K)GaYqUk7!TE_e;(!a0KDp4eK112I+ zO__A<kNzsGOqu^2Hok)7@1Zv%hR#w|O}`ZH!;~E2ke(#!#g#z-(a7H$`$wtq70h2% z?Yo5v$!vUeP|0tWJfvTSdmmjoMQ7Z<JpGp>%3po)w2)WDZws}<A3NWYC8XtVcnf-= z_Za~;|0T)xcRKR-6tD_;VUv3^Y6s{}g2yXhF{_3#9Rrx}+YZ?Lm*l^p-VMrP$oGFf zsPc2X|A#@r`~1HQ>V4M#U{GWebcTt9U4}^H6s!HujbkZ8S=JcvJ`GWjh0y6mLMyP? z`xaODG9w8M$TBNA=L<jHMy1RUbqrWY?i5jR1nDZz=2+JsJB=s%6L=TK-z?&!BAid} zQr177K=wSX_tDcvd_1P8D&|+B&0$bf4xB7+p9f5|gfc=GD=d&r*AUmp8ds>^m1Kft zTt06o^L-~OEM!9cbpaA_41oWu4IfjkJ7x|My0OB7X!1S(tFAt8{FS#aWB(-k%h!Jn z3wev5d?WI<))lslQQyJJzHfTUEmVX1rlV4EHud`J>h|AG??!ikB9U(?{$(c`7Lx|^ z|E^dLU5huf!qTo(TYu&3teM@1L>_}k?nfp?i@9x<CXOp5{1uV>hB*v97DXJlz*L$% zl<-#`hWH3U45C4N%U8q(r7-%#XvBu9kHPRW*I_LL<YDMFD4|StEU}`vO>)WNf%sVB zfrVV+{aA9v^ORwcMUN_cIw?A*84U2roO$%Q-{O;*L2$`p!izZPXZqG7k>@L4r8xPj z$!SyPtQ$@y<!}*Eg+_*whp6#rKTk$&kcOum%$dW`FK`Hw(RlPaPQu(3N=T~86pH%0 zFRO6k4nHi!)NxRKo(1O_21_{I^ISE3J%SZbApOsVFEAcavWY#Wj-p8#L-xW<%7O)F zGc_Ij7{+b)#+di%#rnA_0~7RvF6}Y)qJ@KGFdF$(f9$2)i-p)z?hQ)`-JN7p-5ep@ z_(FJ?q~r^b1=7UTGvGY<$ZWEsEdBBz_CobX(t|FqonL9ctyMp>HRazf=4}6Vfv@_* z_(9CvmeQ){K^IeXQ=D)^gzyma9~a1fyGXX7WaxYlgH!#1`JhW+<Hyi$yVu6NPx!Zs zChNaloW1$6n0!A9X=}>8<~!YSE$Ktjn)F&6OKPDs{u%OwS8pC+ybgrmW&5f<rg$A_ zshjOPZA<_TP%?a{Mc^sBzcPG6e}YL(miv{OEC$t5Jq)ulz5g3m^;5FfcW(ht@82%r z?%s|TnO^sQe#Q7Q6MTw&HQ1~uQrepdX9pC+FZoKn?T?d-7PWSJKh2GzV-E^4V((8C zC69)Pi|K+*d2-J$^=)zUYSX{w!JOdS{ZBC-niX#R%<*F=bpDyp<MB?mQ1p`74n*=7 zbMJhk?CaS&`wN8e^$a6e!<Z_`W5jXQ1#>aPD;Fq4nuAfv*UV6LJOVK6*b&O+x)2+X zyuR=1`D($nZ=axxG+UvOuhBlzIRJdDM~r7(h>75nr7c!$k(HL^t5_8EFRQVqh-Air zlD=idi2GCQR{6VmM=LWoSzuoWa;%jiQ{S>c#E6}pWej5SZqWeDte8;rA7pUkqC_yD z>Otk>6N>l8(h}n_iA=s>7TM$b5d}rQHbTC)%q&t0Z9~%kji7YarUpLM^|LpjF3wxu z&3OagO_jgiDfE3eE#CU(qmcW)uMESm%Lz7dMkI6QU>YaISk&oidVxz|c1h#@zP8O2 ztZ51qKPx(Ei0SFbu50V@IClC&fblCz1!0bh*JohX#1*BqzMU3UT}<R~WPZm-^k~_q z{Y@;iD%mpaV=jx;Xy}a^L46-(L@r9G0LxOP<>nh^3mbOm(m1cK69XEX#aIfCdKzde zTEN9uUT&5qwy<G^otJ?|otw#R|6p`zVPg+FuLVety!H=%{T4O>i1n`?bM1tBL2tx5 zRdx`OEr(Mqm-`?irKr;N!8?a~@dDFwn$$Kr=NJTp-m=iLUd6~vexG$-N4sQVJ%Z(c zP#-JxSJMnMXF`yk)hn?EJg{P)J*yWfh!;^}q?BqIGebkt%AAxYD~ON&tYa#M1XrrC zl@mEBEv3X*ZRQWH3T#Mj<xmvhXw1C&`0|qr4aKoi^yHHgfvk3{*DcSYU1+4X{N6|^ zE+ggWAW5}+qBs^Qs;9Q`Q(~kWlFE1-84LA>yg-#6cv9km*3_mxE{vKiiUY11i0@oM z$a&PIKVj0-d~T0blBPH=qy(N$B=0<ZliY$xE0w8z?>D?NXYqq#40hrTJTA+-Xzr(m zgMP-y1F@6^m88lHpQy<{R8q)d8xHtI8&XKbeeU;0Zcy+JUF(ZJQi~+Qc^w`#uNIB} zgk|-p`z)92tg^Y8OQPXuYh8dHO+C$1GbMRfY&mjv_4kB`VBWb$mtKl~m(26S>*5qb zwx>+z+iP_(!m~@`Dj^*F0%Y>F>8WQz{@iAMC@nrgS%(px6OS`F2P1vQ%o!y`@}<e7 z5RX;JA7`Q}P>@ZQ`BV5dnn(I7Fg}V#Z)}hO{~2Ft?>mT`JZ-?=*!RtSYR5jZ)FJ(1 z%`Bzu@RJ;9e9u0L)JUlf#*>N1K!j20;jQ%R<A=sdDO%DxUN__XJnzB&D>BIIJXYSW ziU|)*<ZJHJ6UMtIK~s^}iib7VWf$$@V(L<UHUA79(!8p@O?L=enSGRaB<63+Bri~M zw>d8X>c$9;lF+a09oCCUd;@Fy!H@ckO*My!i+lXp&Q8oHDzvUv$0nGryTpTdEveoW zoU%rYO~Sp2i!|KXoMKET#sxb@oRr)XmqaE<Q}Dfue6jrBF(@j&?`for6FkFp4Jg=Y z(3O0<e_Z52bPS#bc;#~a+V%7IGRgR(FFiF{Wma$MREK#g9hVdey)cE}d9Twv^(0@P z`+3o|<y6t=vJ{+UCA#94K#mol@TC9T_fu>cY$D~4g0*&f+fcq0Bn=@eON9)hGeja6 zl&(1m+q68iBCjy}PYU*5$R>;J5Rc`!0u-$K!GZq^a6oN`NUr_$E4V`CehTg=C|FxT zw=*)3>jLuxGt~}}DC7<ms>XR3b}z_JtSZ<<)?q4EIYPtDgYwBP1~Ee9Mnz-gO_~WP z6u_Eaj!<+g8u5IiFCU(p$y1Q62IW%6{uX`J|KYhau`Cu<KqPNOZhQEves<JX{T=z* z07d{OYLTf{H)2DhSqfJ`WRYD3r??5aU0PtHXLRJDXsKiOA|zJ4f9=yYWPHaz%YZM! zTrvMJz0<+C;}LT(Mi%QwjI03^@xTktQ1nz}@Inby5J6Cf6EW!|Sp7(~YZBPoErv>F z7KBUo^m)8uX>DdEN@mw{&9?EMd$aYrwH~z|qP6d4OU#{<{pWj6T#=wI=^h2E*qJ87 zlgZEI{vL2|@~PEC=W$4epIPjvdj~GJRp7#T{?^x23AldDBpyiBj!TAL%q%i#%nasy zT4jAO>`Y*mayt;mhoH&VzU1ckSm0)M-Kp*wF3+*yk{y0DtqmKqrN>|wwRY_jF!dMp z-a*Xd<wYcNCHwfI6_+fDMb9_C5xAiB#>fg{1TI=}GkwFEL*D-g0md=p-Izt#e)k|I zqBn%v)u5ncAri%%=|5&|EeOHL%6trN5&+f+zj&ayZgk{tQ8(pyiZ#9{-iWhY2J!w> z2{&aNa?w{bQvDr)7apPL$JZ2O8$nvyJ)Dl<-tlE6x$%xRt(3&HOY5&e-GgZu!O;Gy z;1IfDivn}F@;jC2bntFFi2e~d&Et)|ok%RNekz1CeeyvBwmgZ=gGoYi(P4aY(UPa+ zqK?GmqG6%HI|e&zC_0KTE}5Arc)i0V19OaI0gyeIL=L|^6ACgIva~ckU(;fW$K3L3 z8sur{(Y`4!vJxqzK<y-X-w}4ZB=W*iA|p>5PDa1k@;UY(HmnEcmEL3BdVP=80AO7o zW!EpI$tqwG>w8c9-K*hbFzhmahMad^VeQ1Z@Z-~w?iV6!V^B0AX?8+eF`9NFXp$H_ zM_$ino_3>2g0PZxAu65*Vr1!{l8Y)o3PlfF!zJ5-2We-x%dUq|@LhHVNqZ0#mq4P8 zCcX-DgpREse8wenW;uXS3XMD$V!I-D(2^EK8K1*=%|#k!4G$TKzx%WiHJou0p3{UU zx>zU5;ZyI*b4G=UDSR+Y3Ua^?qLKhIFQ)ICzj#0P$JFA1#u?z$Ykgl+h9Hcr@I;EW z@-ObB6C6M#I`Sj>5^^Wv_)+j(Zq38ajM496h3_c|LNwklb{`N=H`c&=_N^9CAEytK zNGbP^Wa0ae$$@!FklufV>?Kif_;0>aDEr-bf>Xt+Q&p(;snAHm*%{$}WhW;$yC-ao zRX$^&PW$z^TC038vSz@?kPDtrbVqg20HYvwbOyfpvfve^2WB7LOc1~a68L+`aM=Ge zg0s_oBk=OxHv*l+W_?^Bp1xZ$RTG@z_g|aMc%LoXvyE9Nyz_3Es-WcMYd2^2k8Ve- zTUX_AmVeTN4-aglu=<;2pDop1Fn%U0HV+%n=WqI$IP9WoU!#{=TrZ;b{dICrqj^wb zu`{nP!PLOD@EU*ntW~9&a~NIBaqX+*VHc}1j$}t7s!FvoisHJ3Ig2U#%let(ZPK)! z#%|4DBUT*S4g8B1Q;wm-dhRZCdM&$L)>U$~i4tm@1s2%*5}1o~xqmqJ4PSf+b*9^o zINU3hW%*!z**n)9GyH>jv7$yI<#cBxjblHSMDbUhslmKS-(&$@F1#=V6EYn13i~vx zyihl2*M{+Nv9VrUPYZSBma`7;d`tz_ssL}joLC2>ZE|)+2UBMllRd;$(e0aciBo;N zs9KfW$Pb-o`p4!4M0=FNQz@oDZFEDjiaqwLyILqlk|ql2_QY)8w5i?cz8m(J0FES! zmI#5PpqlkFdSI&fo3%o1L!NGOsBJotxjCTAB%SJC7|>V7v1|mX&xh!jcpy{)Yg0*8 z1Hf9vo3^!SV9k7(+XPrsD_YXuxwB?G$a-(Bj0OPf*08rp2BVnTIz{K5m)0+tVINu1 z2?D0Z%kNE<tUZ{LdNAeTbZ<%*>)zD51^<Jop_+!grI?UAV6niK^A8>n5o{2VDO!M& zvBmKYXEe=QtS7eXVwrE+Ca3h$kZUBRXq-MhGtqhW&Rp>8SL;GCiimGk-HAAGv$JT` z?0BXa0|syuK*6^5WYt2pS6%dv8vE-{=dYg`n+pz2872Vv)W*I<9I=_%h)Q-mBaHP5 zo<y$0EK<!&;aVymx=ua~dEyP7XNGwmk*U$b*orRI`TQd-)ctbTq`Z_qbiMp<a54VH zpGeuE)(pzpnT)7Zmii=ChSc4F<2O@4Vw@Mv6tbuqXwMj2r7SoiM`?@Q!gi-<n&**` z8vXHZpkL)gqnx%RW(z33W__3VF&`qbJBe1K%rdF(0)p})OO5x~VE0pK4dUr0dkhyy z2k)W5t_-I6coTyy$d%K2-z*-UIYx^F<2>-%Gn+_aZW65)qO%wTyw<P^PK{7hV7DMX z176KdJc?7J9>Oap`nM``(QtUpQ^n%c^9m>NUX9Spc{NR<5dGbuh#FRwDgF5k2J<3= z2R2nu&1Oy6zd`UC-h<fdn{A+&%EFle`1N<^BWmpLHA^2fFDsnn{$==YwgD&1zs37d z=?|@rC=zh|W*bP%HVpH{623=|>VqV2czjNciNe;?dBM#+L=ptOJC9av&ocIWXq)gw z$Opxg)Jt37K;8F4>JLL^Mx-ix!J&}>rVyqw))cyRF~yUyh{^!NPr%$`Ic$(**G+_( zS1kR{hmylCL5&KZjG+O3WKaDa|MVZe{`@xV3s9wz{6{`JnH2p=chU=t8+Q8=Gq0pR zu@jtv*6G~IBr!&#)SI%H->~td4z3FDNa6p~tzKoD4w_?(_~PS<0;cJ3ulrqFx^7C$ zzLNU<peeE&MPe2~kqI<SY(b_ZJc{|FyEPsZr-;uhP9#AG-YcrPuJz55OBFvT>H|gd z2#Sh(#eEO%cvrzGVkyvo^`qcIVIFa%=1KvYbyXnXvVr>lw;_O_4<Ly94}!~z6Se;! z*y)=!_z!{#fPnG=!T+Lo`BQ7}TFsU29>HCY^Pd>(GUJ&?z527m8k0ypNqm`kl7L*( zpD1PZL4^IO*sOuzS7MOeC^sudK*Irgj5y}w9c>Hfo*A<r2(#-?gWW|>X1+b{bW(UK z_?07FVTb(dD1&@tjc*~9N4}*1MtQn+I;r^+i0qZxoZIuaf=z!~qmTUov)WQ1^GxEC zVADx!G<zU8(QGLYb&#%|L%NL#qyy*NM1Dv7l6M-4tqBI)v3o9&lhJNgVGxOPvOM(- z^YuV@b7h?3w{EnS;TO+t6RxU!%E~QOra6PH2>pJi6S?PvWqWT**M)bUjh1wm`IcmN zE-E@4Qp?;{t(KL4P?_crwj%KZKEre$&q?@QXK%tQ;oi>tR-G22-60jLixfE$Y<k{? zSsRJuDmgCund#<EFGyutEZB<t&Vi?&<>yWTB?oev(A%A}mlhOQPSIJKQhF!JM4m<^ zp9?eMRm3=BfcNmWN@FF+m~3IzoRr>`38SacF5spE?xzQ?Kj11BW@)}AyV_9Q9MpIX z5tc6qwxYfFVkDbWm{Fu6Ru>C|b#A}R0<JFL(mim)0JjQo;{dmGGQK9R(0fq{Ye5Ss zs7>QaeP^)KdQ7V@t4W${XG2;S+udgmFm;ghNL-^{rMRmfpv+1`96V`!ue(pB)OH5- zt;ci=vj}9!YC~E?C`Vf9j^K%MX%#~Xc2W`4Lkeg&nIYq{x#%Yqr(@kS@o<Y7gTQs7 zhy#iHPvsO(^<@-%SxA<g@@8^g*43bfEJU~rAmso^TYi&nb3ovBb7>owp7nY<t;MpZ z6ncj%#}@xoaoUWn0Yn-geyKR^#ImOrdY1xXSH<ZdwgwQb%2*5UkyZtHFTUW*LxeYg zP5uX)=KK)2mOR?V^Jm6wAazr2g-DI^kgOBsP4lePtHB@EW1e6*3S<{Ptt?Dm+KQnd zSPmJ5qV)M=s%m}b@jXi!2oOp$5x>?C%p+}dH}Kl|w2dfORCoC_e+7Ya2P6g-fO{3o zo>k~ArxII?1p!12Aaqo)7DACG?nT8Ra0~gg>b!+JKg)`VAXrD)g`55=pd?qziphY> z&%kBD*!Qa5dzUYO%h!IblpMWn#V;UOY&nISr7D3J+53$Vm5d>+!m5SAR?>bI=>+aZ z+69Ff^bpEz=~&k-26JhEsDQSS8H?&#Yi01c^;kR@oHE(`29-c%tqSBHGME3qNUDF6 z#>vTl`Y)6q!Ul!Gdoq4D>B$HE_{tDr6W~<#j@;|#0i-$L%KB}(pIEgOa{`Qcg`0aS zxR(tB;l;cVtZG2s{6z`^Zhqk=Dg<zUznRJ`MAA@!I7HBP+f_MV7||CNW=KH9I14&I zrxVy3@dHu{BIaBGwr4<TsHB2G#&SSgsOar%^lGWc7t)$4L&n_;nNIAT&y6gBusqN$ z?3Cf;-1>5%0ujyzuvGlY(_8u&%~c?9sfDzS5Ueb|8O^IoIbf@>Fv}I9_jPn(CBCK* zqzHnwP>dAgVKo_h3`k=@mOPN`MTOp0fGkBa5Co<hArRpvU|RJq>W)Ucz_BiHT-@n! z$GrgDF2GgulM-|;cxkQ%fg>uSZ8QRDn9qN?4M=)GnqYN$oQ$*<8-er}7jEX>#Y0hb zs;Uqv=?%o;JFVzx73YbOY*Ar`IYg|k1Oy<gv9c6^t}V>Ee53azrm2F_ToYs%*s_fk znYM0l=I(5Whg-<722e_qI_J%2Hf$I}8ibcXs<iz2bzbms&ku@Njn#oFP$iq+c6$-v zs@{!q0o<+9`nsm>8QL&z1B+q6qCHmDDbL)%iVn85&j=WJBT`h0oReLByx&}gBSh@9 zRHUAh;F5bMq_YIcMU|$}87qs&*g!DAU9lb_yiW@RI;X!6$e~_l*%Wy%LW1|S??Pp5 z)Ka7}*7SP3r3j=9rnJ~G7D)qWJ7V>ESarubmMRHv(26;icJj$v^~bUUa+Ma0<*pX& zjog81-Rp7pJ5`_3V#`>h**7$emRPxbcX6wE4X0i7Y@r58Z^>e3qnt6MQFsFAmWX`n z`<osGu!`?&IU3OeTa~ZJ>5G_tZHQ~D_t9cG6e~IO(aL~@lB!eXui;RDt@4LtUqA{n zbYrtFA)x4hY!fY3b&--o6K&{yHs9oc!U*1b^H2%Hg(_pkug8b)Dl)sYSTz>O1wzxP ziq+XVGd-%Oi|y$11`=!q@jG*`sz~o@rQ3o}Equ06@K=!)DhX!;%!LoR7J$%hD(7qp z{8bpaui-3#Y%bEowbq`IFsG?f&L$Nk@Sw`*F2^CXVs-f<hWFj6Qjj4Wi^-n<W!Twp z7yPte+UxP%0`!@UT;9q(71~X?9MGD9iyOwgmFp_Brhr^m3EZ)dAyT)d#X8DWa<HcL zz1#_N{|_nZSP4q81(-?(sVe|u`?oNN!~2)pvN_(1DtFfakY*dB5+IxOdc5RLmb|o> zH5Q3SnWm8yYyE@WtI}fTSR{Nv-d&gG6JBmh=XkdQ)%#1BgOMdrje9+AS-|vj;I85U z;@3Igf`@oHLvUG{3lIY`S0(U|q4mz7i&8<xi`XnzCD6n`I1X^7ayH{s5{~Y|PY&EF z(Wpxlg0?f4%<=YD3AU2-qmc*w4cde#Hfv5%Z+-(_TYU&6Ns*=z53ASRYADuk9&AFF zrFqBl*8=^44_M%$?hXnRZaxDAXep4*o9zD@{9!rf`+8h9A3Y+yEr)Sc0I|;D39ZaY z!^#_MOCNaPOUMuWOJr#@kI)fkB?yiQEE+1DS~Su;dAZ&nIo*68us$(?*<UgWt0|w4 zzgedL+2Uc_vy4*v{`a5bWWOh9(Y{b!j&18fakpSUn!P6mZTjV-$9FUg>yGlx69Zl5 z=?$K@hoXZ%cqcRn{uP2ofZu)%_VA%W1C&AesAI=Hg##!Pz`Lgkj-h*R$b8&epSGUQ zUo4v$^R6V1(vi3w&Ny~(rc0rPie-g96YqL!J#9Kdv_EGf$E_QB7<$xWGvcg`vJt*& z$(47-P&+wN|KlCkhHHtxecuL0iZTPvD&MM8-b%`TIsOQdGf?|5hl4fL5ZAEnX)|)( zTDUU*yBcNVQ+5y6cb3r=tsl8sFM!aw+75?hX_|eVA=~Pdf2eWFe7G>~D8zoxD%5y> zHe@_BhL;&}RpZCIQ&0BQ`7qq!`WlpMyce8$=)J5-HKx7iAzmCv=TM&*@+92!ONWf0 z*a9;Q5yjL-V;iZxXC06H9c_^v#eymskTRW6TJ%zpvp$uYlrscpI$byGrG{o9ZZ|05 zz$rF4EtbpqD~jsb<&1=7>Bhz5Y*^M>Q(j(FeLP;X(4Cn1)e5#BIBmhZs%C=GrqFDH z>Nr+ee;1d>VzJ>uo4i{c#A;#R^65j;&m82r&+&ikI7_P=h`;a+|55O_{QByf(e%2@ zi$k_SUc6eVeP2ml390(D0l<~<-_;uJj^*fjnRt!58%;G!=}5A6o*kZVFTT)*o0d<4 zFqpKHXVlrk-1#;6#8S!_rm>V@a%#gmwNnPaiSu)u7bhW20IM$YU+Yh<%2Y}4^}6B4 zi@YLeZ|%I%vK&VQ5WfgMB~@Vvv>09SG1aa?^Zqjh8_0trT1)a<xH^0eLHOJXx|<Eq zs(`kdM-cvC2i;BgRP?3=jzn~O(jEPi?hBzIdtt+UiZjyLYXTD3nt)ecTtjeCEk(;d zrl)pp=#w6(P(P?~L{CtC(6Sc3{}xto<%JDg)k2@aYX&D)!HG*g*g&)BkmtOar;3{> zBqZ<+zh15Mhs~Zl6G9V?mxBf25Ub^pwjK24Krt&j-oVZ%f~G@jepoL3j^ufQESoj| z{i|&>sBpC_D$ZbP!Uhb!TVhc!a_r6lZE_?DEA-JebPS+sTX_iWN6s0(u@s7E(+$l% z<NXm>`f^w%2`p8l?DPl$r|ahu`@j;{i)0pbE)^@jQNh4d+s!Ft0n|!f7@(cDK{ANI zrT;s{V&YDG<2>L9i1WR;WLMy_Tm5ho<qjN=4)mft3!i_V=IKSU9N1%D>2t3d($%oH zir$XyZy!rA(lf5b>45kaF}vYQ*!qvRrM$ebOuijjKjH0d>AuqwL*sU;mD(9JaDCd= zL4!ipqb{?5)xZ_Kor@-bT)7VeN6r}?0`q}a67dyo|Mt8PL-=ar6VXSnaQn7*xETB~ zo~#<aLPQd`!rc(JRW_ebotjBU*?B>tk!a60*ekQbW~6(QlUHrPyPw#w8K8mUZpLXj zj+SopvF?ii+sVoj#nFa<o$3=(gkMoJgl`RX{2B+(IvFpAVzRhbt1^`L0=-bVzh!*! zAf1?f-kCuZ?f3DI7%Y5$_H*3lKkE{4t2rx~6v6}BA2le$`n0}$(V2ns3>)5zUiht- z8;!T{Qo>Wcv7*OMU}_@4>e4|nAd}6|nGuf(WUzqDln5fcyRx>ga2Yur@+78r`y+Z^ zbmWWHMnoSSQ8nEBW0;Rn8gOK|M<tFJ@GaiuObQ_g&@k1oB21f2+s~2L4M(Ac)3z|E z+b5)8#UZ3`5IQvQOSo4wh|7it(!yThR&-2AAqVgoC&%y;1TdNT<i+5kewgQY;mU!k zw+1i&f|>Bow58mM1!3nVN}8NL*V^y7l${waUkpON)NY%8gL>|nDqF;CuJ=4aE0KM+ z_LU~9=;C$<4wzkJ5kG<VUb4{j<zE#3uZTy1Lq8wBZ{#*!c`=Bn6Yz5WT@f2=1;l<n z7^AX+TzII0X)$>&&rrqrIKx&9xvt*JrEMv4;r^a?2#i@dSmpjuD6#Uk+kS%fL9yaN zar8lP<3Vv@^<I&9=U(wB>OrycK~eERar{Bini~VvzSU%fbo2y~a2bQryUQW*LA?G> z{P4s=I`|IYuLtoB?t6fJuJ-`>H}Cy$c;wkI{b=|lE|E^`d5W|BWVrwTwgtr3ypKg_ zV0k^tSn^=VX;BYOO+I$tF87M-zG_5Do~F<3_ff`M^WTRd@h?K|ew(zK)Jm1)&9;w= ziwcp|h}yC4#V!Abw#H_@`tvE|>vy)TjSXiuHD`wlmHZ0kcrI<Ntqt#P3)Bm!LXt=0 zaq8#^!i1)VkJtotuG+qxcOyGo?jCL}=f%XoDAVEFCO%#p?Ah8DURR?JBmVmbIIEzF zeiz?1xV7<2FMqdpIxuX|VQjOkHm>h~x6oF6Q@BuI#<qT?-{RuwYU}QJFj!`NbF+N_ z%c{>fvh<=0*8TdudxOhq->|1{%5B-p^;>htgS(v~Ho#61?K9_D`!z{;ihUCX>>nQo zr1N+Y7!LmZ&EHqEOnHW-+W3uy(Wa+EP`^UrnG__gc^EKi-5NBtHZ|M21Xus`)w@Lg z*hf1J+r)V)-NjSENM(R1KxG?Hpg<z7XVIXuP;6C(Khd=P0_A%r!F%VM>if+f_Q;Y+ z(wf_>THPF7Swov2iy;WMxLGwD5PV9a{xH)GyXrEBc4W=SxL6XuZ4G{QFHM(Dpo~#K zdtS#)eZlQC)e@&G;r9IrGM@4+hwIRohK$m$MSp9-cUYKiXW&Oi3x4PI_1ymW8N%ta z!R2ygn<bQYa1lSj8FFipcJ#*@W?=YO&>AP+bKXNor`Y6aBzDsJg{j?6t30#fYbqm0 z{i#4D9`7gtKAHld*TK<WwHR{F|3CKLGAyp9YZs((3-0d0J-7vTLV!SmOK^90cXua2 zLK55p1gCKi9^Bonk=f*V@}4s@-<)e^zJGJgk52bpcP*{8s&~5ST^Fa|l9z{1%9l@e zPiZf_1^9DSvNNY8SH8KqeULYAvz-p7IGNn8P~K=S2TsS!UKROvO1<w2U6;o7RBwI{ z8MYCOvh#zuouB)ySf%H|tM2;!^ggO=xLsnp_WC)`9^RtiCLolQNaT(SI?hO??uPE> zRfe;{zyD2Z8K})DhW&tvLm)_j7>(FD=9oBe5g*x+9X9N`m`=J4T^woMjM{RIN(<ed zsAD}Il>hC`&j6m(4yXCK1c~-@XIR)JdU}{{qr?|ozhZk*YM}S3e_W}Nh*xqX8L@SI zM=dOSuN6IVr=@sA+YOz;5l(9)`d-WWSG9<OYB|FIcImsvB<%Ossdk9^1_3@MOW37U zSoLFCinP!XnT@uFwwXj|<Y;Fl`)9gNhx0@qni;0Xp#sR$haY`Iqe2Q|dCuuloazJV zw}O1Vytis9Qmx5&h77M?FWtZRkU0s*+W6i$3x9(UVMBO7nt@pI!1HysJ{2}pF|riW z?GOg7pY2Oi$8tkQmg97eW^7Fz><@Yd@PVFO@yO?<?(t1YT&VV0qF$<-wyC>q7f<WC z?`22mj}8>YGlWm?nr%dqZYqC#(9vZ}-FL%3^cX4W3A;Sob3Hx1o9<RU1T8;q`5r=6 zta7XrlO;0eNx~a#Yf53iKlofiwwvYGwe6TiXntxCEqj(9kKA4j&nPptco!dL&Yy6* z%yudxlI7!qr^9Bc1YgkW-&1JYypo7!QJb>2v##r*;;2^~?rW{mfLTCwS*bq?t!j_V z<b!C0i4OnT(qFKV;7C4J#NR{vd^_{5h~(HDKb(*b=WE5!dLoiw<n0FIQXX?Bx@9C0 zbgXPa(?MOe4sCI_p|LjQkx4M>%Q!Dby}jD=UCGNQrA*O$;{a1MQDO=ItPq<<N<pj> zJZs3d#d*o?lMHYp47j`zMhEK^_}WJc=M~Hi8fV(DC5o`Pv)#Ke<WC{p-K;R|Mvll7 z(dm82;bl6CzwS@LcVGd1CKLO8C>x~!7BjSx-+qUAU8nxn8NtN0PZ~#6zr}``lC1Mh z0~%q(lvJ2%#w^b9&PP^4Sf{J~W=z?;j^CVY9^CBiPWIPKnw8ugZ~4u2_SG80%zm{Y zHB|I6bl%s~CQy?n;A&#Gyu4Z8-Mu)ey@f$Gy!SWD`)=)&qE3|HhDonWhhA5UToQOB zd?@}JPaYPuyv4|x&giINBR2H)A<+gzi3Ovgt{M@bUfM0jsAxpsn1K-_kzxyGTs?rp zXLMg#WI0UqhmW&4tm9kyTGMLMZ9ioup~6}^0LpU`OGjPGv(3Mvj%X8X&vt4|k0u=P zxLwhpyD=zg;@Vzun($pM<d|XzR4-M7En_bsFc@${TgA9}{gR4xv0|nS16dt=y(A7X z*n$vI9t|WqBSqog6X=1lhlO#{^$r$RYcdCgN>J}c`e^3$Q+e@17xXt+F=kBO^pGAt zN%E+<3tXcBJbH@MaHkRQTbZgI6$j?Z=ExldXblrntWqjYS)6Pu@cTfnOoY5ODsdPe z6h`iNWLzTI5F{4K3>LaU%)Qi`>knQkU*kax7$#z<CtdnSSQ~P+mbnF`^+N=-PwuR6 z14DyUPUjBGeS@-btly+Rn~fk^?<QD~Pzocq%A`h#u(mqyf<J@9S?e$6g(gzSGG1dT zBrqQlx?z2_tm<&F5gEMDxq>x+J-f{sOd_<+l0E{$1Sg@Y9Fp|=Q_jTUbhKA(ua@QT zqZ7zl#r|-ngpbvDp>h~)*)BGpj6W0!+~YLW!Th@oaFbLUt924D59`=dM30s7DOhqY zD8o#3@SVY1()~|zQpVPv$>Bx@nd-nDQ9l89OqE-0(n!6@NS&$@(RvFO7)=abGt+we zvT{0l-bx`0{mi-)-)rfc?5yV)Evjq?tDzX9HB!)$d9bT!XxVPaAbS)jiA8mwRMoeD zG&Wv8lm&Id*$v9x*XQNNFmffIxT?4pIi>vk2iLVP8(TqHo5TFuKR@yM-%o7Jbrf-( zdp1i8?#;RN&-^?(nV0;wH#bf~N8%eP&UxhJerdR|8AYmdo!B?s?qdHz0TU9m!q`2X zAGLHb?rW4pvG3QKll5L`Q8|5t<^zAlLx-)>nr2P+juTf=@q%!~zXu%a!v1g#08S^y z85=43uF0FndsO!z)AH+R+TVGM1!fyKbhvd1+=JiZ)(A0?IU%g6S#fb~tlKgAS?q=G zvTga}cEM6*GQ~>%*v2(ZDpzgAk^VM*C)-6k^62|kK}HR=*$8_s*;q21YKIGx?uWgF z-}U}cN`?(-o3<r~BRH8;L(0wT-<`DEdx|Sjwj>08z$6iL?a~$LqApq{+a_PPzKHL# zMroYIarKz1%%9cJc(sqTPi|M90eW9&d<;Ke`^)6P7+-Zyw`W*qk45^`zQLC{)|G=9 z#o^h8F61u{nk`LB_4yYow`F2&IaS1H_4>1dNu^J9zmo{0n)Gt>D#ARhpDyc<T&?#I zPF+87^0f)@UAEPemLRaR53neW@roWuqJMrj&V&DTUM1{hZ4wU22Z3dBWs!yB67n2r z_$q=Y;81VUmfYi!3wEDh1({O=42a?Dhj!ETVe{eKD#sNGlCSbc%)rV%@;LH#28S$7 z=f8mSG=4w1I5pi@bJmpEoo2+fq5Ce(BMB_<z?l{5dhU|UfRj8u50dfo18+ApiW@HJ z1zMfHOfIO{yoUYq=6h1ND#Xmu8TJe8Z@EHv3(B%Gc~bJ1TGBd}62=@2BEnLZAD3!- z%)ihEStF-;_gUEV42X$%B8&UF6B)_Z3pv!~xh9a}%n1L`;$K@C36;LLUtsUYM7U<= zUJF}@lZ)kRBrd?rLZ139zPMD1e%J`PFkCo2kp0a28@bZMb&=Y4%#~U4VBR6_=Ji4q zh69A|Vr8;n%VnoI!W~aaXu+`ioPO;Vjanp{N@UTnTSm28Mmw&t$JNQ>)VFSDDQB{J z?t4j=KDXAqFxG>1>lYDQ&3OUrD&Le!o>2mY7UhbU;F_)rmmhQJQ(*Z+#@{;Q4ptXD zKIG#~tTRwGhu5=@x2G16<+u}1)I`uy8;26CDIpQA#K4EzczWlT%bt!?mlwnOG5A;^ zfl{yQrE&(O#;+VjgniK5K&{3%S<0o9-qo0vluG6YhVye$kn4+|k{m%&9K>=sNl7Cl z&Ut>)B~Rjo>0O2CYK8PBI7!F>Dt4L-@pxD{Z#7)Hv2}6dSbyD&Z+?PQJis(egh^72 z<;Ea(?o?w+$U8IC(k{`D)>e_vrY(lR<6`CECs>~*V3x(xDdpBtHlYuPQ!Z7}TWaA@ z|MW5_w4{!hW?D|8ZxOSJGWsg^8>!&e3zq0+EGa((x2g*~){fUJTUk+~gD%vqTi~dt z27x>pW*yGnL{^=tPnl(zA|9mneoEae9SmR%($PJM8_C;&9}XgVydK*$A`wPf5cF+j zMe&^x5jl6Z)*Wog&7|OLiRwZIO2hHwH<M7A)g#3uBkYaIw|rs3F07l$O8mxZBXh}N z)&tc3XUD9DBML84CDPo6(ie~UO*dymdfo>km<PBJyAkTRrJV8&KBOIh4MRjI_NxN; zytiA$sd|iGGfD_BM`F|(M`CaTkBfI0l)GQ)JFTNXl@}tuR*}LCjE$s%eZY}I{J{{3 zarRakLJFsHK?<Vm3J>C-fJn)HRTs|NU{W;t=SO6X==wTQ<#atgB=Te7b9qdC&biJn zB69Z!yLX*ZhkZh-j;|@5eBh_Um@ZhAIDOOjEOBJU<Oa6T_EGUh(tl`^sG-VOxehay zkMH~{)XvQcboSw_Ke;o2N0$w8-*~N9e`Q@;BUI~ShWMg^U^b*=(+wWKp^l-r$fBMn zIF@8}qw@_t>eN)FtEVBhNCecq+>7{dNq?`bd@iRi4Zw*Ss|7}*czUTX`*Fw^1vw4G z`-d^u7iJcg3D3E>7bjKY6lLyxL);?ZE@cX?>x`Aqv`6B{_J=3BlcO+PG*+!|*OX&n zS1x{+5oa3j$SMTB;|`*&<7GdN^_WV0tCyKVJO7l|+F+HqE?q6Te62ZlVXs-;%9ASQ zJNaFgtG;`B?442s&MRT3kHR05wI(H!GB@5;Wqs3Kc=F|f77Oi4$aoCdnP(J3|E=*l zIE{P->Ifv0&BrAU{F#e!ToVpkhtv*G$mr9-e8%ngmB?v|TCCq!v#YQ@+~7Y=j=ZCs zKRm*kcf{@RMFOLRMR(F-r?#RF-gqz(6Itim4+fgae5cwrP9Lh`x*4GLWhFXd4c&L# zVUG*7!oSwGrDk{VPlHbQM)pb?^?LX-TxwfZ^wXXH;o7+u$E!EfBgG@T99`+i2rPY9 z`0JYdlZCz^>566o<s=*)W~z8h4hDV+%d~{(3Vp?_+!p>R&@{5X&=hw=ig5nsdpN$C zP>GPY>b}%xM~*WEK9sIAavb{JnS7gbP5n+hZ1hT~Ss+IHZI1wEyf;cdwXIV!Bzoa3 z&5JJcn<rRXZ%$fZv4r1o%73bg7z!!pP0Mc7No#EEay=c1612v@-eNthEMR+k(rmp0 z3#UD@I82B5aYrY8V;Q_OB?pdUz4c8`d#o1k8(TYjPun|H=W5CyHVC_M2DJdOkh_|6 zAG!1vO`)(SXhdBds*Z`#2~=%TcxRFpy{$Xy)^C3u!kA(mP8-~v$_DzjXkk@7*{i8F z;`X7x=rj9A;Y?&7vSuA&r9+=;N{ESf+NO7&eW*^erbpAd6i(gsRO&(5;byJ?Tp+&X z$X8J}*(}mILO}~N_rrB?$cuahddBO%+=GPHTA7jKzTAET<&5^ExVf@)3-@~6y%!dv zxdU<)Yi=_gZIZ2(tNN_h8*@Z&_fnj|X^|?0X})unAtX;Y_4#`mpoONc2>hxX_bUuz z6cx&nO1Rta$g?9kc`f0G-IL>R*5H^0h1fvpFJ&%gN1&Cmm?M-CG&a%J)|*90Eh3iU z%Ryq?#{li`q2L^p*fk~PrDaw~Z8S)+9iKT{+ik9o?da<ow))BMdbo%2sReyB<)@38 zr<=GSKaS|a&v!c8RlnOB?IIiKf{*fU6Wtz7mv^-}&NykgsoNS0B2|2IBu89HLo90c z*L+kDKu$|K%$w<|I*U!rs*Q~NG)G9dkqeY>a<6l|=MERGUYOZ^8Zk}c%^f7^BOVR; zc-HGw@hYA-R}fZm$^EDf{y+Hk`eBf&le5WlP1%*lw?8~^t7Hir8s)2quqrs05sj9E zUEMio5l+t}<WWNN>QnpOpaIyAuDvj1dqbZy;Igj$J8KZ>QwEe5<=G4<tAqr;a{u2M zmCi?OIsJ+0{#?JHP*#RNwy%p~`}6lF5ZV_X)4`#JP%AU5XHcQQoP>l#%9^nG4|{)w zyXqEC*)3sj*V8K9^MA#nJ~np7IeVIiREfW3OIkVj!9eBfKn6TA%t45UHUhJk27L3X zW;Yji5n@1gk=wk3c&t*T6Dv=5)aV>)_|2{YsCrS@fbR24rGf~xHZZG5o|7kPh4B}% z$<&5fb~cegCxbOyMKtW=KHcITS`*$~>?C~l0O@;C`QJ9~KRr%&#?3@oHRJI8JULkM z^v4=rMD?1n6^27R8h3xE;K!wwv#G~(p}e*4S|Mve?c8T(`&coXT~Bf4h@s+#*)#E8 z4=wMg+Jj+4TrZlO)g4n*z5Q$=qZQ|6D4X>AD}2?^oXyV%m)(<i-Fj$m_t*-Z1;7ez z4W1sAfQEQcKg`V$K!d(PokvrW7r$(3TR7GxU;-JfgeAO{#H3eW^1VZ9T9@X!wO(W% zrM}Lg4BNFg+l(n&Puvw7JYloL`)wI=yI2uDY#*bWRWR^UR1ecn2T?4RJfMXidQ(5y z@QzSCejQ9<7yDWdGe`$fJeEA9g&)~N3dfNWmEV`daf@#Q$DuDoY~v=OFM~QkO_B;< zJYgM7Wfm)?j2WeaC>={4(|hc&aIY>&jo%3{)Ml|V%9wH9;WD}8aoPN2{8B<^lw=;h zLXKPR8#t$ZU<9iFybg2UxIOL5SWi&vrY2uY*#6%O53R~##(0NI=aR<^b;x2yd525o zl1B{{$YMr#hfC&?M+^nXVupE#OXQM=4H?Q}hNMy=v)rookp$y#m=hX}C6WgXQOaTl zq*6k&++y~T_~V?K5jJeVo`Uy2Q{6F3T)aOkisQctH&%IvN6^qs&i0tBo9$iV20o|i zVrQvg+W3C^ITCU!0X=!xNbk6HmF@6#VRXi|r(xR)><kIvWO05RYHm%l74_RN_~GO7 zcy+$5s@0Gu3S-|w+M2F2{beM_UvX&E!di!qjo5ewI>dGKS2ZG+yP=?4bq;$_E5D`+ ztmrvjz}n!FiR#{j9L@-p{i^E-v+0trEglzsfYanjC-c@<gQ11d;Wd;7F4NP`QvOwe z2~q7$lS3thHbV-m#N~B}2F(LfP+4U>UQrcONj)EI5k<iTC=E_qqakyH`4rbzPUqX5 zhuODIhKDQ6-a~U<wyVZVzNV|xX2(sM$jV$qVXr0+dU`E~^{h4dy{a-SYuw!B2h7+m z+npmivgf=2j}AU6L#-cfn;wTO@Yh^--meZVp>!m1d1W+M0ZQD`041Ve#-z8_y|su2 z#&vigYt1G^1Fm0q0eQ<$n<Xa(ZA0V-V83zE-{@{>OhfJ&I;MRyoulK2>Vr()(bt?x zlB73z$D(LH4TC&VX!tI_c}P}<c%{%Z`;-!DoRU0+*)hK@3cj;<_PkYU)Mq`kyXfY- zz_{#|y~4QMcypw^;E8zcwn;Wnb5;;m`ZfE2l2MT9ddNmN7}D&B{z9<A16?(%(gZ!n ztHx!IvL(!DpK@_I#ml^uZ%bGbm%N`$;2QaOO5%q9*R(`q8`JgJo5LZma7OP$Vdo>= z&9bnQ8vk!$_I{d21qBnC*BBbkv|c-l3Ug}ctCIbHB{qiviJNDM!}HVOVBk=ALe?F| z#Z#ANOOB2A3t-mKn)Y&=PDv}(Eld>71iq}aV%vPIhze7*hbYb~w!RgW!ot8OO|Gzg zDZ&bTlXf~)RS={+L*k$?ngWG0IKH$L<LuW^)MKH}CH_V=Fgf+1!t{OV)8mzap?U;T z)aXq-uP)Aa;mMd?O1mH8A{zlI`0lh`6I3zpJ?U9Wps?#Qb6q9s#S(iJ)7OlU*;TD} zEqF}^sV#<r8EpHZ6sK&5037uPha&h#L;@c;#S3%9KTn4tXqOcB&jG|2lK^bg4wGK$ z?l%8o&Paub%P?{k&7iRGHz2*sWuX|s&?4y*?n;bt*c+8;j(>q`SW8?HUZi{S<*kWq zFSBs6RI|Sv(P1EQ3Y7$>X(w!vZaYnkYe$TH0wp1rVc<BcL85f?iuC8c_~;Dw4s(2V zxguTrqGwsfbc0%y^iSigjj9ZsG{$s_?DKGn6Xy7fr8tC%9Hhl3zo9Qka9A0sx-M{o zrzBP*xj7{(_Jk0mjMK%7ZK{Xl6h^zku_5vV4u!-ng*)TvNZ8?gm`UtwlDvD8<3$|U zbQlg)bcWp#wo?&>GJt-HHA%(JaO~ghOz*#yX}lC5kIA@0maLxD?3Bg(U5cF(2e>3g z6O|sE!2Ba1?Hx9qjdN^zyOVY|jkm2}mjyPRi!)k>u%9go{;P5R4dB3puzM+@oFL5< zDrHX7-2&!Fc*O~NBEWrzM8G(K6bzjO&I?=hNWOl+wdg`n5_cp#FQZhb$xtwo^f-_; zsi6NGSu10Iz*&>WBj|kPp@VoGP>I2cSR{T?D*uLWp7Y`bA`)gCGnFLy7uet;7L;5D z5=o(Ia}1wSEY#R~X7}PZ7^i(yuP+MZDfs5AS28-qx~Zd}$HN$3ub2bZy~rRy82VY* z|5@1LHqPCo-0z}bV9l@gbB`0mQ0wkD(f5Z2a&?8Ln@r@Lj8hm8a8}a9zQ{>Rnzs+% zGCl&gBQ7H%h4!AzXJ_d4Jt6;fZ7%5TOO(}BbkqI24iC%sW<ECS_X}@df_5~2c99R` zoxwm^l5YRpXDEpCEfWOpqP8glm5*n8geVKOGgA-DNZkWweEtb5CJ3F{!>pf$4psX3 z7Au6H;ywqO0<SmlQ6)>c!_RUsq7JHmt5S;-<&J!miTt5NnaK&FztUD6jrF*duL<{1 z5?tPhy)vYoR&qZh`W4j=JIvti-t~lbIT&-r%MPVY>Yf(n1n!X@vWycX^LhmpL>R(0 zWFYmF1${z~o$aB|1e@oveTxIF21^DP%mrI#R7nAyE`CDKl1*8P8w_WhAJbvT#3%@Z z@m&dVRt3kf;>A}p!}6F9qZrvMsyShhNx_6^qL19=FSHA?+B*q<M10^=x$|${T@>6% z`(_TKB?gT*2ArfJrgHbj?3#LZBDB^Yfw>HXUiT^Y0<=CJ6U|c#M`K{|Lbmkt<tKFa z<)Vp;j+zP1m3ac9A4p%93$jWtA)n9*;%wXNs<<gY=xQLOOKz751fiAGgmLL<e*_^N zIH9S@UvlK^WWW39sSk#;X2|u?LDLl_!p*0JLY=V<0Z@XEtkD%6c|lyIYBX%WOih8a z7>o?Ws89m>;dEx+P^q%kf%<V^V6fgJDzdJaf0r!Oc>$Bia0f?Iy^^NWKCTiW*+c|S zqjrHJYtq5i<e%n3i?u005U&Vgq)6x~*?`uAPmyKbr$BrIieMIz!hffQtRH6bdGQ)W zws;IDzK=@H5j42TltAwW1(Rrjz)n%%3Z>HQk8TYNk$-_sv|s~<uAqUTQ4ab)Ls*qe z1o`pJfh9Sm*qfU5^!mXb8rk~4BF>rAvJsj?FryeJD)uDrQWz$JTD!ufaQ8J`j2;GX z_B&XOx(g$($_2)KKSo{|h;kUI_CPdp+}k*NAg4~Igm@a=`qL3N3ToZtq8_P+sy+7~ zbJE+md+twb%mH!=Anz2^rpQHep2>%gIgJ4MaC&ZufdY}RYQlC|dz`?z2CGzl)KYh7 zyUDD_iefm5%d;4)EM4<G9k!vxQ(Gh#-TWiGHn=FyT-+$?d&JUJ7zydQZcfosbnv^U z(Fv8py^gBzW~xeGd%Rg~M2%uR!e54-F--8o5b6qC7lBAPJ)8P@U$aVxM{+%vS2PIZ z;d+xCf3$L?arDt#_ee6Qk?jeVznjx{49&>UZ=ThyP<b)Uuk;^z825kVjko@h@Bdd` z=pXrXy?^BCJwxHZK36ZV9bfKs``m_2axwEAv1tH-egE+s7@NbQ{udywLl;uKTZGyF zup-U>GyB5rIcoj2#y0hyhc&_D=b+m<9sGc6u%3pMKQV`_Kg#1?>*h38_;9^6>iCD_ z-Ta@psN<18=|BcQq&#P%Sks83=K(hs!lbdt_EW#2+b9ykL~^b9rLhgj1pa?4L<u<f z8U8ORE0XJ({~^`u;P)*O@~_nYdn&W>_)25#(V#aF4*XC@chu~Y(kPl<WdMZsEdpYz zPkV%J%B*F-sBg9Qb3ro#5=01-`XUxWthDF`VRZ0gH|_{rf0V|){{2&ak$gxCSk<=i zZl8t<tZM`=JYW&*xE+=c%ex;sqTx{f*J41G0(ouY>Unt9ud%rND*_^@wzv#v{J)}r zmD2c+6iX~b`+rFNcPJacjaNMn|0<l0fM^45{G;%{q*fc-)OsHNrAT8Tf`A+UO8s{z zCBO}!bpBO16#*dz-1tY~e@U%3wrTb}{7VVPLc9Ps{+0UgP%eNQJUtKpDAb>cfLH-; z{G;%{qTEnOZqPN=&G#gIi$s>8!ydbEaWe&~mW#)?@%V1Yzn6|pMLhE4h%~gXKG{o! z6f561SX?&2DMO(>3z<Oiv;q`E`)VYzQVvOb@f;w9#HTZ!Usklho6b?tVet<o)L#4> zpfLG#R13<IkY+C+i_j&|VN8tNE{>q0P<@8AlDDT$F6#I2Ty)%0toaiFaQ+M4o;kTB z{{<5OsQnAxo;$fr{RI;MxcCd+UO2hnJp9!L0Perw?ImF6FPH#;GXU_ofj`_<V}LXX z4EP2SVrNp}zp((o{9jN8fW5z97XWvE0mFTh0J7g-82}Ld1!VwW{0nvgAn+G3JTwW& z{{<ER_y7QuO!0%!vZ+TM(z>>eXe6Zsl+p_TwEhJ+z|S*#{sL|Q4F3h{0QmJ6I0NAK zUyuxd&A*@)0Ed6U6aX&&f(rmV002&j5^6^s+5dYi^ol`maB&};IuQWi{{lt;ApZpd z06_Z-<N<*77kmH!-d|t|0HVLZ698m?K_mdE{(?*Z&;cN@7z56kQA12q2G^e5SX^xb zno$=3_<zAi01*BKHUJ?03tRv|`WN^Bfc!5AX%~PF-~omZVFgme4M+pTvPANkV4-v5 zDJx^e@|DQPaG?W~KVxXgP>dC$?;r<=mApm#N{wMYiQpU}maoPDJB^80y9@I}oMNmD z2YMe7cK!mSONjxbD!>I6+UCyxI|iaN<Nt5w|1(KL@e!+-K=?|HZmx!K5h9SUSq3wW ziBM|+b0<zbR$dF*mxY;62Kf}A?r047y99%5e)#_!gS%kVZ5OEa=g|<;FNO9@VKg9B z70aT_XX6I7%41i?(&Q^)j^Ri8>wQMllED}&M%Y0S2F$>GrA9DUgSiMH0?dG>F=1*g zKzHIOfElQLS<rkklurTdj)pv72FgYNFax?^ByAVq^yk3^%s_djARCZkie<qAW}sT- zVJc%`^OZnj_{e}6C@mSNvErv~ln2p@iKlYvCo{FD^N_nd&9bLom`^npPq*Tb(em1- zJ=v$Z<R|Zf`)!Q@KbPPq>mPnU#~#iZf42!#`|<n|)h~VYNZHdT9T&~o%46exYLP#$ zh~3CjIvvH2^wax%qbYMTT712Y(h8V?lv7`usX@*|<^eMgzc3*+77w@Lz=2M+k9)EY zbIFh11sB^I@_?B~YXQK_?Kxvkn*gUDPYPh>-Xmo|pEN-<s~0fy*do7P5!;=ov^t89 z44665lvx=qKHf(00nA*NQy-hDU7v^i0L(ysVP4l*KyJks05cDJvXHst2k(LdAR1qn z;0Nm;zCXt<&jobb7#)_2_qI_qL@U%T%dxI)G6CY^TtMj$v9paL1rQg{geO3pp9={8 zA-1+rUIE1UGw}f+&dvo`{tz46D6{}^_Dl!>#Ob*_X&a-KwL{yR({lmbKXX>MQC<M< zo|I!<*{HUS9iKBk{A7H+jCYQ5C{i(TRE~9KgV{EA2;}-FBM7+7E6Ol1d{B;cWHbJA zZ2z3G^(SM;65c*=$C!$Vy>hHQn}nZZyFki*G6pQ+ZKK49R7~!a2Xy0YqWAzaw#%_L z>Np;^?k=;I$%O9?z{Bf3c`J2DV0<cVO#?y_wtIRVxFDHnIN|pk7X!Fj@Gw}bj0E15 ztULXYa7h0h=stxc8>}MGfv_K#`_(*Q(U$<vxgnJ4?hxTupf7|{f7DL2FYP=?a7*c{ ziPSRft)*`}rQQ$%`hkK$rBQprw@n0+wa?xs?LVR5nczw0<e%w2fF9KUL$3q$$7lKm z?wMwMrn{e||Djg_n)ZGrz@>(fh$A0LTZ0;TRr=SCze~{{yr2$Xx@Vm95C4qe0sP=$ z%55YDS{5WUl+_m`)Gfv8vvFua+{l#Gqm9p6<MUpoSs9Z&V+>ft0k1aGfx_q#HIX)o z`OucRkoeRSTHw*tNWzZOVM+c7SgqeI>pk6F4WFRa<d7NmT4AGIaSgeXdxsOU$>tda z%DCG<c|RQO4PUNqXI=O;wEcWsxcoI1`b(kXD-R!>xdA`wSn#0XNym@x2u$-(xbgmQ z92A(W;XqOca__nVVVNU95%zMZB3d(7Q{;Fw2CAsC!BIvhpbEMax>z1tKtm3oVc+DW zgQzhP7X|C24?7+)&m$1s-DQ$;y!X35tk4xKO($@Kv-2dSs&s{lA%rzbZ#7fMGXx@b zfs7wK&g2;ko)JJQdfy`d+xO=35F9tdt63q6^p)P&;2@s?z85XAr=3eyug$|r%;9`; z0TffInVi7BmO*6*eDV`Kj?lurD=kfkM4iZhB~4Q;>kc3B(Ioj4n6UOdAq<!xrjZ%x z9=Z>&L#SblprrcBHJ<rP?rS4L`;XQ!?!~i2r+r5I8K9Y%XwG_R8a3+}S(B<uRII^1 zb874G(Yb;UdnHUmi6sz~b)R4`CXahC@2#^>k~QHSJbbsm3;{+E`c1)DpbW7zG#Lg4 z44I)As>q6r1PYlA0g}6z7OH!&A;-;|mxgk{r&s?24!zXI6QYQO6Y8YL^Df2iNn}AG z!{~t~GsHm=nR*XNV&TZe=<N+zjzRHjq0qiuS90i&YfISoan+wj3Ix8=ggQ4GfN!Mx zju(<J7(}_dLLmHh=0rlKGt8r~Gb~2EyMcgygEHhh-UqCY+&@0VOETuqYqmOtj?iXQ z{~VaJ7o*<}iv9vEk%AF=U?eU}i+{4TNI4j^*fdvk#A4kyp>0>;i@bkjxOG5w{OH_5 zk;%JTY|l>dAWepne$@3ae2{exKMT{l|LMkn%jIn&#lfeWc054_k#8IV3`=1gXM(%Y z0hu|@f^?t?JNS}N-w$X7BznmgxGi=%5vy=lZ?l`!ci=d@j<Y!=uA`akLc^Ocwv<;~ z+Jj}Y8$O1IrtnBevedoIp|1^M4&T5MCoEi*W0mOkNgT{+H>*<&?>4LFl<ca}2@QuZ zrwIm(+GJov48j1jES_g&4(71i9vc|rNp_t-PZ3HJG+bQi=w%KM{oRj>>E_1i*4fw- z6!RYA#j2o$q}0izG6AngB?n63DuqdCxEB_u8?<OiI!45`2dCtEK_4m>MBU^_did*W zR)J(=#z}aMr8lK$8SHasp{JE5v2rQ=IC~O!ijJ6tBx(r)l`6_xwo^l-8%C1+#Z(>! zd4)s2OEZM~q#_&@rS95AR5O}Yx%}dzus72qVFOu~asU_<-?p4$%=i|uKHLp3MAA9u z7_K@b2>vuc6^Z{-G2QxoH~n6Y@!L(zo<V&&#d;wloa+(xs-9LSUdt`av+E8(HCYGV z?Fe8=rf>PhAP24otoDJRQ;{i=;UJZC|1n7dV^nE|<Sd}~Mo{L{0HtLyMm?%g^3w=r z8E1GVQZS1jfmFMb<d^#b(>sGfGHHerK*XywLul49Ocg^25FxcJMynq(Ookf;M8Yy7 zf>`VbdOElytsPE=qP|!Jy--bfRY}{)#b!zOVlR8OYZwx@`xUB%XLWU^G!#-z^vnLW zP7#stm+ocr!7<+VA(q|Lr2FGx@&_?MXBc?$ttFU-6``jz65BAGk}!><i0L`J5@_Zq zvMZHWyJtC?aYp4SJV;mv!Ov2!NubWfypId+LR}=|_aS>dOWhy-T}C9PoSLyS4j-44 za|r=r+#=?RK;>g!_7?Ned}vJAJ_02?SV70Ra3s^7+w?UoUX#CUV;|g0M%>jnpfuXK z?8RujVPlo2Jm5u$YAB#b+d&B@n0x}N+k`jfLxG4wFbI;JGAlchIc6(G94J&Ls3aIj z<N-z4F1Z2!PZfkeE6@r?kN+ffv-4b7y1{Yj1GTSHc-0v@fe-Q7aU+6i5y=-z)q_QW z?=KkNB3NLG{7~g`dxO794HQ*8-f9u?s~Q9sOc5i-iGNAIf5?D;NG`-b<XgmlB*X0M zNucposp&NERLc<kxJ^6NKVeQ#c{>-Gk`=IaA}CfOlC#T2os7q1dIbMKBnJv881Ue^ zo=T%mFx3)dWTQ?xVlpj)S)%-<Zo*|#q^1<9todP?J7pxlkQACC8xB$!V2V(^{fO}j z8&Ufa3Xn=*^efE}nXN|93XTg`pOYBg=tvc8r}CEkTTCFpBPxxpH(U;tn=b$lFaQra z{?^j7!Kh5RAOSNz)nM`pCHI?Gj5@*OY~fbwxKStlsRee`$SK8$<ld#-dTN*=D*?L& z{~%p8OkYn@lH}~oieNKd(+gbO)zOyU!v_S`l5^B0kteH##WImp-pLKCSF$lw_v7JT zWPAl)C)g`3k*|u3k*-45@D?{;<~Y<A97Zji98%5VR;%C#ES$v}c>ma0An~x>+nYN- z*4N!+>d4TbUU|bBxO%K)<!PVuVS=skXyZH6OtTynto?ibhL^3eQ|O5qKGKI0y&orA z(3ZnVu&P$QhtFooR}~W83-lf)7Rik<$vBySH`axk8oJ}~tY4R7r&{a6X^E~Dru$73 zXXo!aFih4U%_NU2Hk!!2IDvm@>8Ue-YuWkA#!IQugjm0wIJ**<lU9K=Q+xYrHPOaT zX$fch(^O+^*e5!jNxa!$g?c3TmX53xK<?WBFbk6hm=yxDJ4yXamgd;y2&f8sR%O&q z+~QCM{=8V9ZLgGNgv~lvPjCWnr@!aGz{~bhXL9;k75}rUbU+o$5E4FL0T&3HYy$MC zKFC)qQwGK9hMd8(O|Pu6n~>pzX8!?!V$zhfXO2;r(`gzsasXvA-%`Y9_*uquM6kV| z)L${n184i|R9|h_SEy<=;A>1o^plbgM+L%`aPc|vBEbkA{-VFC(GLTd{14RcD1}B~ z?#ojB%;R)HCM8%Hs|92$Ds`xmUN^`Tf+>NBaaT}&Up6U5vV;65g|RtTLF52UBI$*J zLg7>rZ{ZZbCYef>!H)oX=>x@SgZ4IiaDtj^n_{IKU95Qcb>!rGPm?VY*z9{yUoF3E z9Zq+4fd9EDR2mOVS*m~fIl4VE;>yGp`L79drz_A1G)QOupy<Py;fQd11ckpsmY2GM z@K6Q<A3m(T1y`J9L>T`uR7nt>PA80blHjiiG^c3}0tN?*npjJ@F#c_=+yVa7z3d`9 zR;S)7p%e$^IzpMcV2LcdY`&{}jS~892FZDxSDzS0W+?=LpXu-SV@tVx`yxeYNjXtl zN@-!JR?FwIB=5EOh0lgoz*=MdYsz8iud<|f^Fi-=_?gBz%85$8&W3&cdBc|9(J?R# zL7(9z_w%|q95mC{Z}q-|ly9p%o?MJ?H;~&c{e+wsjlwU8F1NI`KI~o&|60CJA+{t1 zqcl|5p|`?4(Nx}4EDJr&l-uPB`*~hM%$9{nD}7oX&kaL#?lwK$6tTfi#82Djc@=&S zm*a<a4dfM1j+a|^SBH7ax(_}#Oi`GIt8gs@wvRUpVf!-rqCSron>B1jx1S9g=t zy$=%2r;pnz`ab6e5KWmkMa#TTr+3@?GBVl5+oGNi=M`;2clW~!S^IgSKYgB##%s2h zAJ~86&#UIFwmJ!>Yb%TPh&np?cs-o{KJa__@?u|QXLcoFNQl?>y&}%tMc%Pr>*H=k z1Mp4s@YBF=$Z}A}b>0%`J;eGZtw#NBp-{~6suy~8KPzjd4fm#(YevTX6!m5ubKRYD zt6=5+^6oe6`N`nTugf6OE|@!s4tL0vpPmXu*m7^zN!J?@ie%A;%dM+*Sf_gzAtcX} zU0+T-wabUmHj}6k`_%vzLD9CyTTzfESl^FCS(MD1D0i0}c?7-73M7->Wryw{{}^}O zfZf-{cI`!j+1R$Ex9#cD72+jGipiH<xy>fM=;eMh$Lxn~8hCw(UU5YEQ;6TkylJ<@ z@OTH2)%K%s<Iu%qM^j!beV9Jk*xKzbaPs<z@WAP{m%;=I5i$xoJtNNRRmz@VDjK2E z@DsI1#;J(c&Ag4uEUByCYJyo?PF(joj+gw0#tUda-akB|8N|M*V3@P=ye1>nQ?JIi zJid**y`%FJZ{+8&zJ{AElcM##Uqw9bI0{&57am(pLuf?sOgapRZ4+*Pk(T9+&VBDG zfU|_3o&Lof-MQtYJvHagSR47H^7=j0wb=UhTe79(V6XgtBIavB5YTIEn8Xa|DzkVD zN%cZU-!49t2OawsFL^k6GV-<pzJ6m`2mPS_p^%k-O-$!qN$$s=GrUL~&o5B>Lg3NY z&m+@VqF~k8q_Jey-h>aE;D|whgkYpQ1cG&S=Ca8~Iql;K*%~*S94*OnKOVlx2_>j! zTd@<pyA)u}+h2`IMSyWx?0{;!Z;W_<MW<48%s*5a0rG>4%j6d=f`dRr*BsUQe$ENa z>sc%4@Oza3phiJ!GokJtdRt4AHj&EYSB4PwBdhjhvK{8urpI&tHN;o<k76<|i(pWj z(3^CLOp#TRq^qz-l8`j*SU!ozM~r|_-pqC!(zmxsV#iJ*=o7bL8N*-+yOlJA%t5fz z^K;W!?8~KCcCb$#g6szZMKVj~eu@5|7#a@>k5Y$?5(}Cz2}g8v{aF=+wrsC2KWFGy ze{emXLCJ7xyRMZrg@tiyyR7XtCD9*Wt_fu42yX32d^@8QAF40vviK2}(b)^*vXU0o zL`ph3)v-*rC9Ns&F73gS)qcsTbk5b8Pxl%FzsOrqAg9X9A3bw7o%H@NVB~ErDXE`d zei3p*QCC$b0ut9kESi}t*_varoeX%L1|8G<Cn>2)YBsEA9=W!dfFDm*+sw_1tuvpG zJ5Ng64Bx7&oRF`(z<x8}te!Jp(9H<}3QT_ppJN4wsp`gCjHVg-8VpB<z<Y9X#yC;$ z$8$(m>#@j^a<8b$+pxHwzK<I5%fwTt%esE|mxqS+v7WwNA*cF&K9I@b{jBBC<)`!E zg>rOWyQj0O^@TRwW#3acXZPxi9~YxD$I=O%I@KqZr#m$lY)`?DA9vz6hS;G(tPQ4p z&yp&%!%?BE^OlzH6G4I0@#rK3F%Q1m4H=6Z2Uzs)ZvAvgdsna4?awa3q7S00+fQy# z-g*UR`(<kD<|dD%3qE9<c4}+bt~g`Y0^!qzy-l{S#`*Ar=!mwF2PM~a>eI~?y$$i+ z<55cL&*gs@WRDapWMjtoc0P)S5p!+_!iaGS@F{fo{=u)_VOHbyG92xPqGJ!$ZyBxl zzzb#I#RI-JmmqsR-X(>bZuqwhF3Nh-k>J@(e(bfrL1`K8vqcZ=4^i-X+((6m_%T1s z$E1u|i5$nni|hulzDdEb64_n6(E3tmjxl~MUp8H6D7ifS>BAs=B7b6-8N8_<$p=oz z+F0TodRXd^2w$zqnrQ8Yv038TSYm%)!Cix)r$DW)!aS!#j7>&gZ#}d%(^fY50_XPT zY-)dJ6-!c>u{IqxxL%Pp)|i<fD#ajL6RSCpLl3Ffoq=Hevq5wk0FeIyCed&Y%{bAg zZ`peny*;g&2#jqH$QF=$(}{J#^?@8)($iJnOrmLh=m6rL^qGL5a@TH(fMRUmjE8*g z2>%RiaMj~RpMID?2P8zu|Domy=aKV~q_x=F15a^LD@>f>8exN&2(^9HI{LoD1y!a- z2O1DeFFFvQp*cK|&O845kiXwdG&CRl*fo}_5LUpFgx(c^ZcE2ryD6Pq^{pMjR+8}F zKy!dV1%W`_dg~RBqHQu30iua3w#tMV)_5p}UP8MA1LZ%~4V>YEm<Y6Odxc~1+sX_~ znmq1j-?1Ak3i$#dbJ~)L)~BEnIZdr)h#z$lXF1I*O=a`@SMj^SDGz5z<Ysco2){Bz z^R^rzfdIsP8GjO}tSKJ0(=ai?zgYyl#fjEOPhspI4OM-b_M*-D;$zUL?vF|H$Pa^| zxy_*^Th*%@-#5ydti_u4JuF#C-fpGGvVFI=iHm7sQZQ$B2x?Xg?o}*~j>(87UEpMR zRsZqK!g`Qq5?IbpK$Oyk`Ltb>gk}-C{qzd(8CjOWy;y^b+|=Bu7~xq)06=z_j2cUq zD?HtypWLisnOp}Rt5x5+_37e(Dj<uq$#5oK;GDyY{dU$a^^tFj4-B*fm8g3w3Gw+4 ze#&I-Ef?o%X7N5$@3K17B19UltDfnW9wweG7QHIqe(~Wk9tyA3!NwvVd#8s|MC(ki z^n^;g4t~yN&iPfns(~@KQbl*133;x5BdaaNKkX3PV(lzRJ(|N?P-ng(wa!Z9I$jzD zugkqvNQocAckaO%Q}C(Eyd?uYsSN0XjJQPLi68?Vc(SI*pzasp<E=Hhf`hCq0$qS` z5acAGAmU2LFo&=$jcc~m(Nx89zM^A3*bStLig*FJk^_Atq<+YQzy4#jz4hS6Dv-Hu zAahac1Sq|M9`>xYDYLlI9Oo;Gv#HPM&ublXpxOalWA8YDzxeq`mW}dU(!(Wx>c01= z>YG7y)40o@mM|e*knh!+FayfFcli%7Dkh<QggpinQSV|&-k%{A5o7HR0xt9sIH1}7 zZ?ysEv)75L7^pBnG&fMNGy0E!JE{9@PtU>8!u)yq3_LB;FOCkw_7+hl)<cswVt%w9 z%uf41m45w4M>8Py5x(BUDvW3j-a=FxI0<F~!wx8vUQPCvz;RsE?*`UQgx7p}_r-w; zn_H)Vzy1#AH-Ptjjn56iX+ET?Amf-`lz?WBn8k&_PC8&%O%GJQ2T=K!K;@s5@jD%; zIc=SkY$?Kj+7ib?43m?FKDr~x8swuW@{a~_LihFR^W0aDr@aty+f^=Nuw)_jJR-`? z91KlrP6QeOwE2CztjS(B!<a}Jlfx!Vf~T+-i??x5(tOBdU^cyf7Fe~nKxlbDS91c} zn<CKyrv(oD2Tqbiht%1W=xUSrrZQ=B=BgnjE^%X|fJO!Y6kzbSBF1FUV37c8m_deu z2Ba0sFGC3L4|c{T$&S(|GYW_zpD?Iv#jO1}(>_OQ?+TZ#3uQLbHn(Z#dYPqrkG2f6 zez`H5Jd<Xure_zc<nh4Ivw67#43q!^70&|$`a!S?@dj{M%wmC;GL`p;@5npWoIDPZ zmSdiF53|a9etm}TT5ew42(9MW!^Kuoz9&54x^Ym4@p-;t)=9iaeL~X^9W5)(J<4A7 z{m#TY(=bE6tb0NCih~bFk=>^=@@U=6hs*~rxN5aWj_(pBp{o3~AFkew!_-yl7(zrQ zTPQYiYHlAtS(S(B_{&<?p_1M)Pd1KWAtGENF5V?7$t`N#;KsCB*G1&&(i#aXTeGm~ zp%Jv0j2u!TE<r(Y6Ia*ZzJr5VA{U+;!h3h$J$|7}62Co`eHCHwqFO}Kt|GU<T)GE5 z3kwKqa_De``;sQMv9-H?J@r~Dhx8?Oh0L1CnxUeCe>Znc&E!-PU+3Wg_vP6Lyl4>l zsETl0N$$2v>uQqduM#r5x@fhyhEF|ZVD0g>`xU7;ZWUTz_bt3P2k|-G*kIuRs=Wig zOLvO(sddBX^B@F{VYLYI#gSOj&V&C+Wh-s2r^4fular$G5;OszRW3?RWeuT5Cy_3x zkNcd@H`5bKh2$DIN3I4?)I?fB2Do^?@>(%T&Lneg&SFnas3YfvFT7}Oo+p#g<-7Z` zhIGR{4sy{6$<1&64uxlU?PKY;V>o8mY}4JnLuZnirC1feW4Gnj&?;&sB{yAMvrB~< zB#ceXz;iSKeL%FTw0i6R#@qTT*VF21N^k>-3vf?7yO_*Q>DfKR^8$tubiJ=~YAPm~ zx0dfSJ%v;zrq*3tGd){IV%6fdU9&w~$Rf@qpc59JU2N(7>msqxCC_~sulM@byQy`S zZx(x}@5;t9Gy1?l7PTku<GD>!M~tnuvN8ciNKP$o1`t0L5Ue$I{i9OuTw)@9ZVuR! zfyEgV2K`x;R+d~(?Z>Hw5spiD1uGz53qz73%EC+YnOk9>IL;~LGZm>87H2+5<>VBT zRRC!ORuxzRQ5v9Xa)2!J>{$ave0o2%uv*rz4lJ)#^Yijr#C*%h@CE{bV}DjgL^v8n z2E?M+oYTjn=^{4u$BTcJ^||YXeJXp&G5lOD)}x+YLYG(1VfNSGO<~uWnw~lAo#M3v z)v&K`BE;tTJoV6(YC#7RUDd3l?D^g^KpnCR3UcPoP(Ism5)@PtUZ}}siml;$^uQDC z^1uTRHDJ%Umw(9o(o)B#tPx?cWvrIz1MDr6w%{7lrrv{t?pJzopUMURWg4`_Kxn3U zrB&VBM}LCr++aelJyEH>;a9F@C)b+TSpQ~aad!G{o$r>WI0IdI+)6IYeM?Xj7_%<v z2OQ$Qbr(*gBL~v8k(3T(|Kh_0<>H8P5?jM_vFW9I_axwfMJLy``6fHPP2`f|8s2{H z2W#v9nJywACF*`krzDcF%QEVk>D>Yd#?4Rz$^`_KMItOr&16JrNP*Te|F=&K&v3&& zkUXhJ<*louoEOk4i7d&d(2?8u#3ZR0W!|3PJgoF&ao<W7HwZ2K(V`>*#QN;#AOCZ5 zI+OA_8fqptY7U)$tZ7B9=UJUPWm_4FXnor4*5;U0-~49fa3)8@MV>o>iphP2l3|g1 z2!se!1UAqH>YJDP+1`o0LnF#ul4I!$bN#h86_dCK+9XdVQCaIIDCZqifJ>1Xba~y_ zTa#+p-Yu7}JcpxrEzTko?L6uyH=b+%-!T3D7!nlpWZA{AH7n^aSvtJ8KYv_5p~^=% z2BFOqmx2Az?Hk#=fPX05(JPO-*2AOUqaep*l=+$u5$BDi;=eBhC)Ou^H(-6N;&2j? z7vSeMb#*i!+TR#Uo#`JpmebaIDdXIt92;}4{AXht<!)3E(7|ZA(!7@A@Lx@!;7Qfm za<MQUEV9WK7Q&N^qqXsfP_rIFMM(sNJaR~g&IR~uH(eaFg#j&O3iD?I{5(88-~*Pn z)xZ^&wjXgJAk+#431dCBka{7y(0U=N(0VNUp=$L5Bt7r&7+O%^9Jqj^B2GeEk36it zMFh>p!-r&>rXtQ*<>Zc!=N<Vu>Yh}Xq%#WE_-P>>HmTjv+woImLHyy?FJ6J%z)=e7 zcn}zF+mq4XrC#D}bKI|kqHO$ob#0t@_j3K^d6dRhj(q)lfB$~wf6_ejUL_zRw_|R% zBHK%mXDp}&U^7OyqN8=2YKuU4eG8<q#^c%z+bN%1*=GezFu?zza{?A)ejw>omj&Zp zG`~qEVY4jUa5u)I1v9PD6SjOImJd{NNk$zr03M%IIU7}ZlRq7Cz7A656ibrs{{*K= zo+%&?Z_b_!Yd-M?Yq6AAK2Zsqn?N3#+kzg+cIpLfe=!mfDlI6JI~kUDFEr6)681%C zB9s!5d?2xlgj{u55FRo@SMQVO!)!(DxJk=*M7nh!O#}%w{g^ag66<q;{hpJr?`y)0 zEF!K6a`xO?%+|HAjD2}IYfZ_zBVB}+LUk@O8|o7F+^HtDa}U+QK$(462bw6gJ3WW= z1Mr47ed;cZ)tc&z*ifTJszNL<c>zPHlIgpcyxsGrCEf7u)OBLwB5Br??7O^^#zdwE z7Ly?kQ2zh)r7zHzlfV}1Ab$FTZCFFj%GB&-bYL&g=94PTNQuSdeFKvh>&2UFp;SGn zlW`5*z|}FesKec9YCZP@&9@A*s=}F;^rYgvzKc{dLDi(0f40Pnbr=0i-$dYjIaW_W zAQ*TDxU<OnK5+p9DYv+D;MLMcb?^z@eQ7x?bb(z$ZfBt|sRDRL!~`@Hzs21@4F%|M z%>?|51^n}|xRZO1_1}itE%^<SokX`@{Im=H-Q>a1eR($-ciR_VOm6op8t}6A^X^NF zVejxry>lbwOy575!y$Zb_2=E}zjDs43~(+h3UJO1{~zbT+D1U+-!lKi%2(iCZkkyR zTrZfF0&&MSKJRJ&$=%(lCyg>aSueNdpG~(ouN!l5ZpG|m{qrsYPdlLH$iIzX?e0(h z=%~)$4f8#?D`;o?wrFrEiAGrH^~u$!06(-pu`Zbd@9%dT>*<4m7x8=$cY?`-r1aDa zgoX-Zzx<!ijn1Yd@}>~jYqg6!I3zC#fekW13D|j2dx4#Ic5Nfc{V)w{vc*3M*rw1M zR_C5K-5FrJyc19s(F^PD2Y00dOzkJpMxPuyeOuytRNofvTYpJ|OmFW5G0g@>BBTy& zePA>D7U*zxidPR@ILP9#pv-%2fy=2nTc34$JijMY08=hqvb}}k3pi>jiax&9w$+yj z9{Q=Aq~g`hpd><pl}<;lPiG%{ZJI&olj+qW#Xf=&K|QoxFqV!lHnEu0xO#;px){1G z2XH@?od7Q#LQlkco4{QJL<$&=5P@Og2=c~?j?on`ij$o#nd|#=^yVRl>5z=kk|wbB z%o^${i<QIC>GTYsP;_n4*!O_(S;V&MoMu>9Pal}Hzt1NDTzf698=}V0A~yNtY~^;N zFV6f~m?q6!=lAC-KZYE}LNZ25nqF27dlN-E#Wnm4?QOY90A{{uNV{3(0WNA5fk2;~ zjCG1b;2aSq>mAbyj9-|sIFh?>_xbZqnCZ32k@o5-eUPL?C!NsKd{}V|xKR6M3B2Q{ z&dh3!O>?#BrxXLmU*4H>Hk3<PnQDSFfI!X2(2cB_%?A(nxX)>PSHAyQ-{F?C;K5A4 z&X2J$tV8!gBSK4scxKNRT}crQjlFX;7K8WR8bB9|X78X3E`E>Yiktc6uyxAb+ut2T zV3zcWrKWsxDCs7kndr~jo)2`fSUs+$@{iQB*PQd6yGMP<_Go#<0_a^M%E7C>9IDX# zobmEZ;v(Rq?Xz3^d%z@u^`Z6G(aI?Ej?DtYLJ8!h<<ASDz*QkMQ@xdEm9egc!o?fM z71vPOlL6MATpL<v`Cd>3n{VdZ!Z<7xL9Tq^^MBL^tN~P$ZjR~m8vJ@*gZ>PBJ+;wg zE_D1753t`W_Lg{bUWG1{+r`$2#quv+y#E_}Ul|rv+qJELgwhJq2m&f0oe~NnjUq@l zQqo-lqJ#>Fh=4F4QqrY#!yrg^mq-s%QqtcwgM-Zbz4vo?AIJCn`~F>PuQ<=OuC-_H zy@owVK_Xr~U5=768_7x?2~H2Gmx3^vttg4zoD`j(wN~-m6c_>*f%g00GhPW?1RmMD z<b#VqSnsJ|NXCq{O7MHQJqIOrM{(oLr8~XoE(m`NgD3SOH{X>hZ3uLCf{$+DIFb)` zA*R#TDk7}*`QRckRxPDuU!MBn%`J;lcN#zNUJ8CwlTjMaFrXsUcna@MBlu`%d<Oq$ zhg}EP0Y-El^S8M0>p)tWe24y3oQ|Y;2KYsU0S^Ax7Y*eziz$T9!T*@aZbX+UCa4Rw zy+w8>L5ep~nkNmHo~ZAF1U;(;_6yOTpi2$!KUGJ6m-1yiN81??-8ng+OscN@<9Y7G z_{%%@xb3MFH1!qiF4w;C3!al`O||1O_u>5#ge^zPl|aCIxxO>$Oy31|5Ult)2u3Ou zrm0>;>#si1$Duyrb??i|VFI_*;{8h@7qDE?UtXb7fbCu(xPW!<y8x%_J-1tUOn4tY zaoC+=d`0{WD?GAWzw6YaA^&q99ztw8pEU)VbC{nBm#jp;YWIy&IJNvOW*Fu@jgcEq zhyyBWo;962Qda<V#|_teYrHQXcAmNx=!zx%{fUe1hne|xAe*~?VZHgiQV)|lRYO3Q z6z}!Ni!NvkOIkNNe45%#6D83Z0+ex58W~|J#D($+(u_PMxA#?Q-^x76c`S7=T06mu z*`SG`x<BmlRfX$HV&NRd#NRUViQY0trs6ljtvv4>S;e<9)Gp~&dh_c-Zsx@`)?UA& zE(2vsv1P=gd4T8Em=quQ%}C=3qqf{Lf(KAtE_0tVs@<pLilZ@U(=jU_cA=fiOgSB4 zD}pDgg69eD5=hmR&{-#5Qanzj*n1;$jy3lqrg|+e@2`8jdyHYE>fcVYRTGQGp8?L* zaA)D9GwQV|`QIdZ3E#=5>yMVZ?XNoRe7Je`>7=9^E|m>Bo$a0u+39CuSf<RI9S@bq zB`;xMs(-?Mr=41os>p|z`;m}LzmZ<wK7J-aXppBmT3r7LW8jlUzvw{N!|qcSXMIjb zu%a<td~`iMOaVRf+W+e8Xz;)x(*BeA6JEdSQ*xxI!_M)#FkgXSs(0%eKVclskiXvZ z*b!n$p|7wTemcUkimT+_S|_;CdU9ry75)0edt-Y;e_?c-H8~%W7yiuM>aDNMFEu(` z<A`~Ly>&h8JoglZw7lk7+$)r|`^{5zxPzF)8{ufsd4F31Zb43oN}u)n$<?;CbR!M& ziY>40F0si1S9^g6ZFw<tvtWvUUteI}Ha*iwP@>Q0c282Z?a+jZTZ6rSIr=pf8J~$d zXc||@G;S2un?oWr@VT~<-U3W#e0m-L)0v3}QNXkX{e_ye&qNC}<H)oN^EDbYDnQK` z{G&21f^}HI1+q5ba^^Mlw%2Z#=okUMo9lxafWLNAh!^0)gF@SZj-2qfZo>4d@av|h zzx`IYAJRxS%bmqFrO^#{`vqb$gfn0i)<rekKN6E}Uq0i{9g@tvhA^FzfSbxan1P2W zzqY3Sy~QZ4Nq7%8DuCaZMlQyU^+8lX9NpT=#}=a($8KY9-B|5r@>Gmzto>V{QCuO6 zZNd?NSnF#y0p*#zBQ%x$rR|5^Xh-3dHUhiAzUPv(39F{JB*GR<!W7Pd<T6#Fq<Yob zm>{GeH+}C;VHZRH7Kz<44Ge#7g3Y1?hbtyuh4Yu+OCr>M{7348BlVWTZ}o>GwIG*+ zAtxxf=zVsOBf+NHwmsavW3~!x>rBnTca5bwusu7upWxLR&<ksdeFq8~mq~(#PP>3p zJrD<LL>$pW2W=6BwR_`g$$3!FIk`Z1>Gi!I(!=r5J-;Zzt7eIJIPwC%YcDw9dy&i7 zg`flezbt&eYg9k9A%f8sg@RxWnqh9Z<HDJExZYAqaBmBR8P3P|vPC&S!Rn9HdJE|U zEpOd2L23IQ(m+#Q!_c{nX((gdEP0|DR+6K3s^y~^FimMF;jUj35w0~+4R_#?Wm4g; zmbNo_oeycA;d*-NgB^Ru9TLp6X1lu+)u4~q3E(-gxxpP$^YIL*03T>jeu<g9-ykYc z4PZNsOq+!H1l9&pxk5&9qZ-<df+`u)l#z3V#9mt)03DLiB<u|8asyBY7hBbEw;!O) z&HylwJ0y#FZ2<Ja4?q>%Bxr%=h0v`HfF1$Y_rgDbS|BTMM*IdW1c2#WA&UU84*-G! zJTRsi27p<|fQ0~1>ln}yv}+*%4B!rl1%Tjeu~dj^z&EA20RUqGU^G_<b(^p=0K5S* z<Ta+b5##m)Watbsv;g!0AUIop1O5PIQ~m=u(PESWiU0s90U+2r#c(%EkRjh6z!m@q zGW-n~1-eM@@<p`a$rhuWBhNXbc6iSWfb+Z+-g6Pz@SfWQe7FH0PGcGmzy}n-1n@Cx z65c#E4cN4pB>H0-zyHnwoC_fp;4=Z7_n9C|8dDk%kmY;2HFXGAh{#b?5fGK{II25{ zYSknR-t(aW@A;T<Ptn|I?K-0<3SJ!QF!?HKS(<F^(;Wg{H_9jfNdR$9)B1)nWh;5c z${)AxqaKV@;EbTQ(k%!bR-XC0(ld(UM{eSlLLY(KYbXg`K6$=87Py@dAUZNUpEn-` z48gAez~f6=MgzmJP(=JsM2Xqv*DTZT^Eq)}h2VpS;Fp%SldWJT9emW5(H}?#pUaN+ zw0^J_8sNFb@`)(CG7WNT+%ebusp`Ya%zn!J&uZuOyD_r9-cg}QvBnJUuK9?g85*3b zqBx4nobpWJd_Fy;(tJ!QxuYVSkR)HieIWo8iv)54Ktj}(njc6EQxub(VJ7!(AYqpQ ztP2wMJAhq9!nOj~86<2vfbB)XI^G?{Ek(j!iz_96d-peNm#7oBSsW793jm2BferzX zx6nZTO%{xMv9hOoTR%KO!eXXFZlOE<&Xz=l|JhmC?`(xqtud*4erNmk-YBkY>hEmL z<4ei&?;*35aN<slN5TexY$cGeL;&bH5{L-^od-ZZs?QUI!kK|Yf`rupu-B2WO#s#y z37fApiaUgaO-n2#?@>Z#E9JyJmxzRY4YHL+!d?cjp-5O+0K|v{iUmN500=FMP}Ye# z8A$h$u$pPsm{~~Jp8KP?kJ6BPn_No1ejk~wJlNZhNZ2TltvnKz*9o&z1!9uUa$7l8 z_Wuk2zXpHT2kbn`6|&q;n2jnB!*uowK;U%3tWkmJrn9f#uaIST!YomNXr{CG0D;X3 zGfxGgn$DgN1QsXEG!@AGboRGExaNczuL4m_XLkg`6(`In6^Lv)y9y8(oiIaHAa~N) zd4O=)3G;;tL>%A%fzAojM+G7ZaFi-!X`L|LR3JhC2ME+on06`<K7a!RN+(Qn6$lr= z0Rp)brhy9NI=}(KMJG%R6$mrH0Ro8=rjiPT3E%+Xyc4F33WOfu0D;H}^Og#P2H@PQ zkR@=!6jXsw030CTIbm|DKu7@&5Y9PaUQ>Y(0~{dWIAPMMK=1($5U`vu$yFd{0S*w( zIAId0KrjIg5YU}4aa15^00#)CoiI<UKn~K_*Y8%y9y(%fD?@hD*n5Dm?})jo4B1R$ z&j-S;Bj&s^<YyZDTOe#ZVooSSmeSZAfw1L>Ij9VQrLn63VZ#x#QyDUo#?AwTHAl=w zWytq5_6tB*b;PVuhKvCm#R}PFN6Zps$PmB*!lEN)o-(8l;3!roF64X77$^&E_;scA zUyJQ)7q%2isq7Hk5Q^>Ii3(7d?zzaEqMKr`DYlkt9<w7p*|Wf-xL``d=clZ9HO4)* z)_lJO`q2h<&Qm$3n?EbgZJ<ZNGu|5J1pBzbO#mqbAPN=thx0`_v}Tm9Lfm6NAsj4V z=X8{Fx_GnVTnB!>67TNG;89pep)Sl!?BL#D7U|xR31FMOnlE1=+nn#!WY8>dmKvCI zX2sbK{0tU{0(1F=6v{%fi=KZdnMt`>ctNC&jvSs;R=?2X_XGibnbhBmQH%FLW zh)&i`*3cAd?Jyzd@6Q?E+l2rAl{NTfB#az|50!+2rD%aL*9obKXbRzU3YMobR=Bvi z)d>{(IQ>1~F;6|zy$S6cYdUylQ~=M6!6a!Zthv>&^ZGa!;}B|jO?{u<E7io;MQZ}m z^XQ}UtI^s8PDiW|T>;OKstoXFNOGVS{f<GKUjOpp{c{VOP(qdw3e3P^f^>DeT{;4i zBHF3Y@81+h_bVUX9~X1`An=5^;RXD;`w{%H-0uZ=?tV)K9?N6kkL8!vXl~)GEq%K+ z{e|Zp&yyzl@zZYwR`6(4bY-8(pr^7fQQv$49Rq4VggRjisOPAKQ%iF$vQb<zCR#ov zL$!jBuQJ*l2p-a_UyWhQ6vy!k-E$IS*W|g0R_1n@VM2Fo?&kFD=gx%F#E&0X)G>G} zTR6sp2lQr!mn!06ay@q$<)bhjOR_xanj+p%#!+TEt=E3`1M-Q!TJ1C1)d$y=sNY@- zdy->(=J>h&U~{Lx$fo09)YS^@ix(5+@-qVC$uslH8Y`)fzREG->0r<{qp?nC!XL&r z5f9_h;9<O%2NZGz{xGgDf|u*NV7?OnXikoaEw}n5*d#UhCVh*9?*cDxHF0(t*o6sp z_%0BbfnC611J0+I;JZKvf09oIPxAiN5AUB@P#)-MG1M<+3h|i`B}9jwF~AL?=?(Ic z3iH2?#>r6&ULpch7&2GH6s8(XVbBu^c#XaxrZDK2Sv7Ejz!U~pgAopHQ0_xc4lu&O zq=Aoc{)MxUI^EM5PTTU2&wc0=PH}Q1;nb3mfi7e{>cX3#6MZ>3KnChbV0Hv(RTI}& zgM9T7O^FeBN{)>6DZzthlJGIdQ^_ZcxTGNc8blM;&{q><1|p2%#nC6y$9X0RN|!6m z<d<vRP^LFt?zJ{!f=w#t@|<)ffkCr+x8CeDdv2oS%zZ{qJj?D5IivEgPwXZo!4G0| zHt?}k`#%poJ$Wan<%O&v#lXii11lqMPfv>SPb>DMNf?1AQwffiCw9*afVmZpWjC57 zb^tktwLnX3YM6x~TzwO$(G7vx^89fADN1oe%pgi)hbyot2t1nY$Yu0;k>$`EN>?W9 z;Aj%G<Qz+BAtNt@1g{jDpXQ_4|N1(w-D}Fa<+aDx4IX<l<Dc<OqRcpt^x-$OJ2j$M zZ%UM8?xPQb8)Ra_P4m|y!Q@<oCFytYv!rhgn2Ou;n|!@BRZ1b4Pmf~|hub*%j_zD2 zi^WvOOCH~>0Z19A1P#5IMVtf+F;St3%~CyX)bqVMPv9&$kX}(j$vs8?(_srZlN&5- z&Hxg@U+<2acHEgB!==zF;de3ex4aF$TnzyC<CcqK0Q(csw#*(CxCzhg_2A|=k-lSD z@-=k~g04JG&z(eHDF0Apxz#6)E0mm=NQ;ImeQTy##qBGu`E#~&<h>pa`su6>{Tb>a zo&~`w1UVkyb4i>vY`%GCV~pw@GkO%=>AY#$M0Xo}`Ec)+*IomkLX;}_(d$*0Tg%^F z-p)PEVoy-$ZZq=*H!VPD?HBwD%zM6TA29i~A^NKpwop$EJnQ01*)_p5y^Kyg=5q;| zX#BNrUhU0E=*Yrbnq!*EK!&@mGoaM>6;pU_EzU>u#EVZlCnfQcp|{w+&fDG(q<6Bb ziucZCGj8yGBm#T4n()!6HXdVub3z5J$mrFU+T6Q`a{Zif^=B@`N|3QL?--X8I5)fc z{2<{u^Hk2MosP<i!W}33>FIa2BteQQI_$5&mRAdrJ;CX(`F4BM0b2@m%(u=Pr_PJI zNnJ@7b*Ht`eBvXud`kY;6m@Ls&YUNB1sRj30-a+b%*8PQmbldkp4DB~@vD9Bj&;6B z4;lNH0hF8I0fYkxU(2-9-3F~9(lbj)pU<^@&zKfL7d(T_2dq<x+a@jv`X<63s7{Bz zmv{~u!6y}Xm`R?c7YQH)O@*ZtC-J=FCBtoZe7aIj3DN}70K#|@^XI_V(a2x;wkJNF zIOwr?LEkxekL^&2`>H0<cR65p29w(pZ3y~eXGz~lnk7u2<bHS==74>g0<ik<o7Eiz zD|*V`(7{L0d~oQIcXZ@=)ATD;;us&BBu%6xEu*l3=W&an0hapd!Vmp<>LUEA9k7Ys zF`tW~!^xYzbmVf&MEaH``EQrk<ivzp2d5D(dq)22&<Ic9a{3)eV#V;)6cjV_s|euu z^dff>4XkCdPSAIC2qRGM8FZGg{@iy8?R^Jq_$c<%*_avN5q~{dVU`e$^_~5rHaZMi z81axJJ{@z~)bysUlEnOXDsh#dcxjyxn>kubxk1j9Hag$H=vUX;=x#e<SU0E$2iWE( z!`{Uy5;AFrxyTh0tjiWx|9CrZ?GQ($U|u%G_ZWu0`TU0*?YF{mF$}$(Cu2R@f?<-L zvrlXZFEu9I0u$==C|1~|Saph8-Y?H5{phqWHBgOP&5=Kp?PZ)Od$c`~v>IJ5RogK6 z5g#Y+RpQQN+A)2juVNWAif6ADn1(%7?v}@{e#m|LRE8imJ;(Ip=W)9d)eoaOF*0Ej zNvGsJ_^t{0J!#zhekwP5*N*6&z1VdD-o^Se`kyKUPG!z`X=8jG2|1^zP-b`foh3W| zsf-fZi$2%3CP7?l?Ndy{f#}tbmKr4WoA!&p_2g4t{8yozh<vUUU4N?l&Fub7CgCWI z554Uo`KDp?`SjZzCbSO-u1K1F{DDrT@UkO+TdEf-b2@s{^1iSCdBTZChErKym<b|~ z3-J>dZo6{f+{}o3X4cLmX(oU}^j;pV17=D$^jU_8LQ%r^O4zCV9k=I4u0%(!Oh>LN zzg+{5T*-gCUIwl;*KB#N-8_F33x0rzqV3L6tihvLpFyl79L@cQ;CGatB+3xI<GYv^ z_wpSV5pYE7Hlg1*PozwP_KHbTe@HmVi^8x;yt*?9TOQ)qb5~qm5L+Pnf)994XXo1U zYv`w?!st1yFz<_<=A5Pl)xh}JDh5lTMI?W=8lW=ib2HE~=?iQTzL$^A?71tFAJy=p zwp{gILPr>3ql}75SndlM-<&Tde%q^W(j`9C?)|zkNPXoFKdat@es(!0(QjyBx0GVB ziqo&_MG)^_BO%IqE*(!t|AsIv^^)Ov!fl@G*WF%tl`}1euJU!zO)fw5PMQeTGsfvO zk4=%iNO?nrq<p}Youj-l@$gGw>W+6_mK0+|VDPA}{$SQxLvaEpmBXTsA4f~~cS8TD zGJ&bfktsVDDVNt6)(nRg-j8WpF7%^8=UD5$6w;8yT<3H4@G&lGqkR-uKghw--vs<# zNs^f?`AdqnJe4WB*b*G9|L6mhCUQ9YjH0W`<FbK)MGWg=-n`rzgFYG)9H{W(xUa#C z_4pK&^_{dw&By@uM@*p|`x3TvHeKs-Qr92OX#6A5>SsP1s9Kx)8N7G?T+89W|JmN< z%;!NF@mPi~C7<h*LWUH^R!T~n_LBwGMn#uLzWQsFlw9<88yY?bZ5bVE4$$C$#4_~A z&{LDled@gQQAxCg7;53uU@cV~iuc(*gBy1(zndJS+ZjdhS^ue!OlsI|A?A+hL^`v; zmm86kIT$o$)Dw63TAG5#L57t!Aj3i%kRc9?!A^;IKJ{i~i2nyRp<>&-ykQyE9Bfxm zjG`JS$KA4T2JcEB-?ll<F{Ot23NgDHL*?azGoPJl4v`8F0Oh;!Vw&VMJEe)S(k0wA zmGjup7B(DsEj}m`!&1M6<9&WegA+j;f}gK#`NNsGnFUQ3uppKpGqKg?3F6)@HuF~` zezPabCBhII`qGJ<g`rz9SJTarbj}bIg4Gn=Y8Z=!uU6%Tfl5pxmL}INhCC%=3Py52 zhWM4ahdp2Ft)<l4Fo*KbW4<D8@KIg#Qwn9GHN%-@>{KGAk`(lNSRp=J2WV>5a#5Kr z*1!ZhT)BfhbA@QlYRcDGfZeJRF^!}K+%9DXVQ0_R@o|*HwnZ2}|B;wHsq>lh?Kv3d zeP6ofW-(;Ep2G2><B~@}(qiI|!XckG)@JK}-wxM*dXI>m(#-HW_%5R_!>?{7A~yyv z-&_i}{3#)pX2BJvb7fCo%tk)N5tl5t{P3a#e6OLNvnOuoDu)Pn1ylFybM&ksk}yA= zn!3cN>WXJ?8Of;9HJ<-t!-A=M_XV)I7<T*ql^2F8gw0r*9qMl%VE3_9y(SwYj`-}u zw;1RX>Gb_(hI2hbXpc++8A;twA5tDtW0I#EP3#Q*MZVLP9~5pFed|r;==h-)Y2S2? zDJ|?aC-d`ZbT%{AX69d3`^TKPF?FY20zC4t+fqk(^{?L12wubRXO!{N!!Ebgs)d&; zmUBf@i^{CG?Hz-Vkjw?Zov)*A{VSHHzIv|qwqG?)5gjYk4#8~iJ4eRm7lyn}8ACFX z+D`^dOj4pPXwau$n%FTEi}4J|@jsiZ;g<g-3(zQFVR{jyNL-V83UgTY4Mq)Yk};)X zRQH?jFrjs|0pH>apNlsqLA1Jud5RpNsVm`l+?@RSTK|{bbDw?#VCP)N5ZWeFdy%99 zpw3`gvZ#BOPni`DpNp}jti=;(`MA%qVsA@j((Cn(p-WKaS3-gsUrXJ{6RR^BA(2#g zE*?JTttE<22Mp#@V(Ny!f@Tikh553}tS3BG<7=wx`8xCy;@)UrbH$CaMJeZ5jS`=g zpU+nP<kgJAT82(J;_}pi@L(!V?oaXOdBS5hoZs*_P;J1-sEoTs$y{HE2662&?zHI1 zth}ka&g^KMDBlv)V&J9BE(lv>V1FeDYvmMZ`l!J1;VPk&Mgb1f3!OI|l9Jh$Z(l^6 z9zGjE!!9_#$gmtN2>Sx;hNyGT|2#Xi{P03tBcUf_;&&-7%ki(j9Cue|QdWb1rb+qB zy~HQCezwoKr|6880RB}S_au0+qCMBIu$+WBpX67yRw_D=m$HfVg&|_+33ZFlv39OC zXoC1Tww|~;%~~`PT4VO-pTtHnr3`Vo{A>VTLP5_yq}8z+T*S}eYb6i3*n?R=K@x%a z6#Z!wR5*uph?pwC>P+BMeFc3Jn;P(X2(#VO#0&+S`pz?qK6Z|NBtG9V^}llvr1=$M zd@<0f*`ZSx#i)LVqiZHtd`e0U(icP6)af;y{_AV_9HknGnBA9y+TM$YXLtnEOWY18 zc4j7RLMq)4=SSmgbgN3dHb+16_b-c9?uQih+Z_DbnHe2+@vih--;Re8hzalfC|Ve9 z=MsDJf<4FUaEhS4a=R#P<8W_r^su;NZ=1loc;^6e<?!eFO4WGfj@O)DNWXVwJ*@%j z(l#_~Sx?0Cg-w%2#OV5zUYw4|E({jZUg^Hko>|2;vNDyCqx)T}!$I7xUG1)}Na)zw zXtRx;=k9dTxV(#YvB48Dq0OI-YdLX0OMehd#%+&=EyukoQiOhXKBzj#|8U?i;XU%} z@a18b=f~Yx%EQX~J6_%f2EQ&gdk^TY((dg>>$WVh?_W7=US>Zi;}Ao)`z9>ivbxYJ zITN$3)o?g--~tnadG%H4&6O;tT-*AQVg6=D?$?VOy8CO*DR(P{@>F$-9g3HCX)AY^ zh9h$-t9B-K4GbP{ZmeAyfAYnrc(;+ZVc55MQtk`*$5lz&H>%E$oqu=G5ZSIPQnB-M zc(y&xYa2FLCG2rVocznL2{BTO>w8<?dsTY+kLPMaD=N_2_g&J^3F!9c``i8N{J#w3 z>OMaFvE4r|9Ng^xt+IOkik{c@dYRhY_uktowhIDvwKpc#-8c8Q#Hh-b>Yg0x&||*S zs909qb4go9Gmb0Y8>MUZ+FWe5yJd*+rDJ+rZ2xCK<Xz*~{cne59fP)`RXflkJ)eRJ zOFeIwjm5PIPNt1jlYKq!r-KEss?VZc0p~wY#_0@7MeJo9?)_NsK9D--g^p|I`G4!M zZB%b)@E@n@TS;3SywYnlEb)Bju$oGfhOkUdQOx6j(N&!K{atoE)0ot2yVRFk9Jj2$ z6OZ3{p2T&YlPt~-N^QAf({_09#iOcx2R6U#^(&g!anh@%H}Y_Az4@^1k(lU)=f--o zC54_Dt^4YHbEMtOmgM<87iWyBvYnavwKVT`YXj;b&t@utPMQ%q1}{(jk>#BCV%z9s z`36#}#oNF5y{9o-X<b({YrOYowySi(d%D}*8RKHRnAb0ioz^H>J*+?6-kV(jui`oG z4lgz=RB2a~RC?~tx~O^69>D1Lx*NttwZ(RJ=GRi#<2=c24)$zpa>QawjDMx9M!;YE zb&&oTqWkLA$X>%jTn;TYHEq#7+KPkiemxq`gPFzFjdt4cO7E>X@Y=oCyQOoBujcTZ zyXkHn?uW4xOiXSsSH-&jShfK#0xm5duCMJ@3DZ!y?=MWod3zqNv4@O`vC(*Lw|aYT z?oN15R}|Vqevm=el^wya7H#fKmI?0BvV|N}?H%;i96}HKwhq_&`T29aB_OaIu?jF# zVOmTL7=P-!YpRD)<4H55M|!^U?&0=9|3ch>`|h&M_+HM)@S(_$o~pz3rEg27(5jd- z+eMP6gIp%rt$$7~LaGjnk||?uO4PWZtD`46Pi;*~r0h?#PgZ+YspDq+OuXVvrRuOm z`|HbPmy1j;J_T+gIx|Z<6^%OjRx~bZUq@q)R{dW`vyN8$Uq^$FRz+V&3yxMUUq{1_ zR$E_3laE%^&(#vE#-`YL_`{%AbKQ*eAtIjyFASBl<==AP77Tg{`Fw`o%$g}c$<?87 z1+%qvisP(p8|k+XB~es&=-LLwsSu7|1+`v3n=!E`^Aj{Z=g=`CkDqvR*3t(*8q#18 zLLd8CQV0<v$)Qjh3m)U8V;ku_B1UK%>D-5cs69!aHqu$d!mf>U2C*<`Bb`Ppl-fwA z5Q|%Fq?3pRXB+AF4+U;}I^P2X!>T%l<PW}Mo36QRo+`S1x{Y-FLxJXjqL|Lef~df% z-OvJ!*KTJ+))M>Du3}N}It4ynKw63SDI3S(t2qX*ziPd`M2@33KKyj~`P{DuFI*Wb zS!yl|VP@W3WxreLw%I%QsstK!dxO^N8K3U8o#kO#-PR!==xIOi8-c$LlkkNLzWMVf zbXqJ_<frP`9SW4M))s_Z9PxHFR+?GVxkzd}Hs+)QUq+I^mk$s}5laQc(F@?Hr=-#x zyO$S-|L2m)!O{Nneg|W2f=YACv1*7VCw$pY3_p4eaTKwnQ<_;G?I-d(_^zO;^;r2W zd_~O#Rw`gM=0v14x1`fg=(jZHgacoyA(p2RM+x9Zx9`m?#j1hj_hJ(Vm!(|i*#e2C z(fJg)8-qp9uvM=sk@9^C7@hQ}46&jhI^$jGz46mUd)Jk6m`{-82a#YP?Y2T2GZ%g6 z=c{y|6yzmEZwqL($oY^_*@zqF+hcF#S8BZFUrs7@YY&8ojcSj3NmC0P{4~n6nn`XA zjCBpT^qJC5J~vDI=ew1%$h%dCuPkUAFov5LLvY@HKI3UW_AQ)6`xn;T%JI?e<b^Bc zj(+DPms?Ah4wt-gv~z4exl}fc@6_NzVH;D7cEX&y=&sv40dXyar5^oK+`da=8-uv< zWiFlq5+L=sHu&KN#Nlnk;hW&_Xk5o@p~tgQJW2SIqZC?Z$}yd<g@RlxEo&6)q$OZ0 zv2k5+eFM;sZwun3L0!D=ArAK-+<1NQ$M-eBVb{Y(()kMkyl^vfxS6N61Z-I+uK)Fp zM?V%{(!toq3%s-{7te=?!-Md{0erp(W7}!qut4qLUaUy<=ynNS*Z6!&pvNffw;SV= zHv6&5-~9X~>O2|;X{#wm`I;=q7=CVMk8gi0XZ!W)`KyJOt4(5sJeyO~B5bTQqy&3y zF2(oP$IJZ&udpw>*sWq#u8ADjXXm@-(Jm93IA7MaJDe!^5?QzUr9~9JPL_-+a?#Ov z>*mq#hghn?m&S<Y1Nc%Bu~Y`jEk?=ss$ZvT$=YUKnY!rRyRhRiyKy_d%0*WZzU)OT z!EY#d%oZS)vhZd2?WigjJ?RTOfi4<Ws|rd|U{&<h60Gh3b^n9gV0UzGUjX~Ui&%=o zmn4YgP55#{BC5<qSM)+@;AC{`XbE&E_9DSGmz22cR>n~Ny?VVJO0UjyXMCPV&T#Fz z(hk?tp7F#sJ-0JeUK3u-?N=OFUSm5=wq#D1oeGV;25r@>FE5fTuJY|N-b-iMTa>EO zZRoYAm{?oEk2m4}zOnG6KL6^9TCUh_Mc)uY;j_~%zq}8(F8?%ffz7o3T<vmL+Dus* z%b~SVjVX&vS#tK;b*-8hsT6YCbw3=~rk*|6Xx!ae${WrtwRV7cEk}lozVCG#4%?#r z=(Ck!$2-Asrh&eS&*Xco>(pYbEBdOf+hZ$_kKb(y9lsYS2tV#Ju<{U^v4J=tBr{_x z57}v(C(a1zz|3mc?wgIV3qpEpX`GR|8b}=LYIQzGfW#~#_-C^mTp*xb(H=}6*%LRo zqB~s2(gw@6#3IEAaJsQUkwSA_H#6u<pl-zno8=zLw1DP|ELz**w!W3hId-rKwE8C1 zYQl27&a{4k4O<)|V-^E!UCa3%ix~If=Xsw<I5C#5I>C4qMYQYH2b;*A_O}WlVm@TG z^24oxJd1S?Rwjt#iYUDA|BbOjv7$aLAdp;6i&J7n)FwrDxCd%uvYVV0P3Ov6hQNDN z-=*40SZ+Lyz~ePC&oIHpIFE>#ZNh5Ri|g}CGDUZ?2ihA1cO&FFq7rRzp_=4*mm`8n zYi>IE)+0<$kCZWK5$#qES><ze3BN;-In|%3)kCmS;|@w#MI$OwtSC%V`?^YAb?Oq~ z)B+;2XoJ0&XE(L;jwt!B4pX7Uw;;%8kk=Dr-SlAaRjl}!767D>nUm5o?X45KyBa5@ zoHr*Wf|iq#%^7ioUqo6_Z7=SmDQ&z6v3QDZH^>ur@}lrbsX_gu<l=Nvy7K0v)SrD) z3TXZ#1^Hz~pI!_l6xxXXNCWC>*^6ry;@gqd&$D66Yh;jNa+DKbQ{VfSwuow+)8a)R zx=aVQK>-wAeIT8@g9ayFIma9}@u<F5we`uSG<K}Jf-->`H)-J`%zP>FxgPL}UuyKk z=3k0Y?vyI6wjL*N{-r3eXGgi=&ikygLfIyXCf$VU)Ie%~2KoA8to!ASd%Vy^&|@cR zVT!B;BEK6aaJ5?p29fz0!>sIhjsDISQHwT|S~#CbzBoGX^8@Y=$J-wii?UUgsPrsQ z=xHJ8706dmXPf+;En=$%hLEWs==Gt{D@3JNfI=@Al^$v*86oL`PHN~4`a4@hr74lA z9MMDVq<R#3e5mvaQRo$*(91lo9@oYQS|oR0q5U0`*BG6R{e0WDw~VY<%pPLO5XL^X z3L}W*=_`EmN2vsqkNzlm`wBn(Q5pcH<sT(qUt!lDr5*UpwEm;yS1tVcN9hBUwns{Z zu=a_w4NdA3PmmPU1XSzKV(~~notv_NJT9)y<5Cs)aEti%6}{mpD9NkvyxP-vZ!QUK z4>8eZ+hzul;F}>+@iQ{cw7vO^;kjaEVPgOb6^oG{It9Zm$DaiXqS_Pc6f9I7mv9oo za;$y=$SxGfh-1j;$24jJe?$ZW9ux-2C=6JGQ5cw`Fp&EX3<CI1M#Z;5aU4UkQwXzp z!9sN`f-O=LC`TdSih{9noCuux1PVDQ<;e>C4}4P;{5B*$0x$*%7|k?(R!!hoL~MZo z3cf8WKFan$$x-mRQSwK{KZg=jo$RDzLoJdVC{8d7)!*475+Oxlm&b9E!7D#xmWZ@i zPf)+-XJo@_3$70SZ54$j0cWW^j6A_7KBwbSL92+$_yA=yWe3fRc!wPGC98XGMmCw& z$F}K|9!7S5Y)1wtEq>ctEyWMjkEsuVs{?MVhm`|CINxS{6Sz7U&W%_=JW@a9@ssj_ zPeh4X-Qf`lzu=0zY;f@+6WSV@p-H#+5#)qt7E;$y($BYbPshkK)8ZHsMsdSP=LnLw zwSw($ZQ-f(50EcV9Hj#2mDabZ_aD>i<#nzv{X;K1kGqfen4VK`779JfU=(^5NO~Vj ziX{Hl7E~HWp@mH4h#mzBy*?Crg{bs6QRoGu(mSpm&(>yYcHZCFA}Vc!Oa(!Y5|thq z3cY$1dVQ$$_)zE-An9q&yx03sT0f-P|Mkc5W@lPC1-Bp?46!T!&y<!ZVVh7fkCo<2 zf-3#$sEyHtlA0=NYFsF(g(Fi#^lj#G<q_31MyaM8N;TC{H@gc7so>C_SXzH9f-|R= zHv*Lb4+?{16b7uQt!e%r7_6W~oj`GfQX==-e2Gm-=Z^^AB7gpq1n_K7FvgIHAefh< zkaI<;!2iHUDIFALL;R0V#_ka<fPXB4j}9k1)K3T0lR5?kUjPN)7BzoVeD%I3Gw}Ob zD`kRk-k=9~X0PD4(tI2D9zfN5j#LWDHo-3`>Z37v5VyP#_g|2SJFty6@P`!L4>Sk) z{-N#_3Ae__(k`Y3kfa9nES$mH*;K5!DYBOUSH1tOS|A5r_P@QDP02FIJE-6{*laKb z@SBJe`>hR9aaENiZu`TD?Kaut6$i~5iB;Ov2VZ*^?qUgfK3*r^TWok{6Ik;}k2<r; z&BI}Tt)XanTx4f6Ky7?~1%^xReHy|Rcd)jf(+_@=aC02XnYO%iXJ&9MMXkzvvsGEN zh+xKl>DO9(vR+B6iLIZ*B)hs}O+@#j_mfo~+lv`cY(Kj<3hb^6JF9OTETXkm`bLfV zTBOgP8-tBZip-AjWPI{a3lRKLBB+q|V`kB)Xl5j4M!Bywu;CSNRQJOsYhBUJr7roV ztE=Z!RXI$uWA#&(ts?c+MQbAB9=&h8QIiT;=B-&tEMhy?E9~a-REdAw;^_pI<!~c; z>zdOQGN-i)CNfbHvm3ODZZDTG60IxyF`#cS3za#d!@Ohlds?@m_0@~2o%<vmDs#>U zm2T^v#|=C`pqOu_xaxEiO_24L{*7X^*3c!Fmj$;tGkNq!T9(VUO_!|R8LHo_E{r8O zR#EB?cLdBxZ{7GZ^nok_E7xjX!Xarl*3jx6t`%%}b3LB7Yqu?zkIdhB!wRy~K{4-X zs!oY3F}zc#<7pPWUdf$_=O6FsIb0~3f5&~CyblxFQD14LN2__cVj0)ssR51EkKxUY z<0zXOR>Q|ppegHCxC>=Fa9#$kYUA~}m1*8HWB%m+d>d9CM`i=)#sll!Un0d15r1g; zu}B>Jgye`Q&G^|-PrGHkv%E{Y*O<Dhgtun~)k3_qgA#f6*o~fa%Qr1Kg;0ad3jgG> zZ$z5ht=+Vw^Yf!^wA^4tMnmRSdAABUAV%7n^kWRqW>Nb5wKA7oR}XU<<Dlvs-yyzz zo)VLki=NB-$rqb=tZsNk-~QYkSh;o3buKxoa8XntVsKt^+{1g`uPu0BbBCwlj!?y% z$1;1xN<fandRGkj{CdK2Mb&yO`_t&TWI>Lu-`1}J2MT}jq(~yH&sThmyKcQQs$J!^ zHk@C>f8g%^Y<Y04vdYElc^8lS_Ijh*;m4RegB7*rZ%0}F_eC8~6PexCu1Boydc{_x zu1jTA{}Gha?7u$vLbv<w#mz0z3i$h<L!*@5<YHt*qOjbt$9BsEE7TqDOx`>WTn^C_ zaod;*UHW`&yP`Jd;}rinvFJDBtotTsOSN_yFF2O12VUD={7&%k!|?oa@<ZGjEiW%C z56cLf9jDp?NrAoIfP%$anw5A1IQ^s(D{7be3Z*Qz>-Dc_Yxzo0`T^5{2pbY-xM{gl zaiNG;Ge5zNi6MHbA^CL{i1ho%H}cMBv(?5gN>+U`pK0VLLnw*kezFWJ-6+{nfyaUB zMXj(5&)@iB$|N*Rkw|r&4~&QWb*+jYyQEMZob}TiY{Glle$TOq_l}^pt@_|u{Fm+b zk0g8bL0KTF97&GqgD>%4E~_3%&R{%*|B^uCNODylI!o}9UF%44S09okczIXnNP4V3 z^pfDEjh<sufu!KxHQcKF2ecPxp>uC7g6xZTa^aF*!$~Qw`J^=7eo~_CIU#|Yv|B0K zVQ-<m0m)fArxru8g{GsEsIo;}wKh%<T_ytfGJ@KkD}^xhd?|Y1_yz!ev+Bh%3%T8K zt)G56;-tthZM#u3oK67l5<e(s%QQ{Eo)tZy{eyvJlOYOjMV~5`FkK7CAQ)5i1b2`a zvGxl0@zrR{{{y})D!wHW9|1Us1e9#b0{F)w0{=4#z9|ZR8w!353cdhJ{;2r5xhI1L z_@Ow0$<c9f`oQM=oh>{O0|OLx=TI1c$<c|jLTgV@e?U8OvXcKnWV>(uES<pm<SzV6 zF`&vSQ9DOeyRu9f9tvyA>g_^rK(mWWlhwVA8ZasPojW2Q)N0>A$p=h|e&-|EWM#Ku z@OQTGErp_Pn=?vl<f80%KrG7Efa%XkdKM_<)<V)NkS?Ll7X3S0#K{3BMJKnW@5I)q z(H5f8D?sU-U{rd?or9>J5t1J1H83d(_&Zy~c}0m#<%k|?=hUOn<3puah(fObg&vp` z9XB?Z6w&q-{**9zUDqMg&opm)+R%#SCYajb#yVQP!H)!=!o;_al|(=({zpmI2maB- zv62obZ~sx!_Z8m%qvSL+X1Vi6d0Dm4{*Uq&P)Z*u72dQ>ysT?d4+WE=BMNE^s`W21 zc%%*Ik}Sd;i|N6nhyhHBB(CT{lAt6n!t?0Cq=-yteu#lOTN+G?aLkaYNE#YvN`py} zzhWt$OaKcpi;*N61p}vJSAmR>b|{z>5qDgA5g(SV&;=m7P#`0YA>TOCs4@Hz5e#@x z7$l=G0F$DV49rm&$o&TfzWgVn;@F@#jv?78ys>`4LVPTOEmC7BM<L*fg0XU(2%I^X z6rCVfOL?*a{{!C?1-}i6j{uB80!A~8o>gNw77<$@fP!y}ijT5Awd5%H+$i~@;-5na z3MNG-x2_gR4iqPth4}Am5s8qZumh8#lbz*<%o33nm=qnC?w+Kf4XZS`{`t0*@CgQ- zCH629L4$uc&{ohWs1gDuMMUuL1{|_w+N|yg8ro#uIkrtF@-X83V;ck}MZawo+Tu0q z#?)UTzDIDdvd0gn->jF#fPaq=V-e<%N(?4N^xz6CKC2Chk??z7k%y1?nqzioiYi?K zOp37KqkX}CrrCSchNhVk$B;0>8%ESeki3oH?_K}W7M@A~m=qBnr2^;))wQVy9MkKi zbFLTqLk}NJis+8%IX%xpp$8^KC+S%r=`jg{Nzq^0f=a^(wUDVC(W5}2*M~x{5S1P$ z3cX-dddJmcnB7dp2a}?csr-{IqS8k2R1U>FYz7#LLK)6^c~>PU&@RcCSnLvNJUI2z zS%@2dFmc}Fg3RS>t!<4a!<vR6{#Ra3IXfhEih3OGpOyZ=LRhnu>DbSf^{$b3*gzs^ z&h{<3t~3^v40gDKv3=VwLbiE{Ij_HxD7ykW_TLaP&o)iPtkUr%5ybB@(y6qCHwsQl zSW451Z^?<L#;}As#B++)`LEHDp0JG}$eWAwy(g%~G#f+!{tL%*$tnilrV=he)5p*8 zW+hm6{sa=BHSA=^iMP*|ZzHEQgl-cC{$WSi7NEY4KnZiG4^VdytxM1&7N6FRis2Tm zi$;O$JBDPKt@}l2fx^8Hg?pw9k~<=5n5gg4AFfQE*rR{wDC`iHo<LlubNrJB2}&VZ zPykhtfFzA2`j4Ogl_{dGna6cSa0^A@MvuZx@+7z0mrm;FU!U|NI*$3qfn`zx1BEFX zN)|pS8Q%Q&2yoVfC;+}ELt@h*X-c<c1ryLA%Y?`s8-<GaNpN43g#OwM!(3v{Sq3BA z-uQmo0AbR(_+dsOm8NhR!AbGgOup8Ux9?q3W0>Zv_q`;7J$n7chx^&`O@l5fVQ(`? z>qdLS?G23d@NV7D79w;}Q)}`IR(ME%O%3mPSq95=ZM`Tq(CiSvHH&8>-d<kBLPj9p z^>L(iEMs^oTf%j<=x}&;c}%xLY<urJ4EDV!tUc%Yxc1&-x1Vd<OD-{orHQRuSK`uW zzuOFK==kX@N9JT-_jWymEkeSsjF-Fav<7Nj{S~IkwY{-yqo?O}7_#6^D^LNM-|HNl z>|PUlFCruo(^=*Wt^8%T#SYaRa`x1pxXZ^Jbt4j8ZMt1gco({){8H*c->qbpG7l{3 z3*AJ?ERsvqx98_wHQ!o0H$dqMx;yhzN2%qos9$u)Ct0{ii3^xXDKvB@6qa4YA9~Pz zEB}}4)Y#IB*jrq&!P^gi#^|JN#1C<$8KYwfn35GYW%rbpZMvozv!@7RkrhWH#YuF5 zl#9iWkfJi0fYileA*3krLU5L}7%S)g!qU)Wazrc543*|L-7?v%GK-K%O+LeS4u(D+ zFy?}#Y!Tf@FM^3@Sk*?a3wkp4rzl>Bkjr2H+E21g&_^nJof5x=t#QG*ah|0_)X9D@ zWw}Js?bvenok$UD&M;$2&eTp%qw%uoB<YM(+0=TtcAQYjk7sKmUFFu1F_mu?l&?f8 zz@|xOkCm1T>_x(VJU_dXoN+%2dqpu@U&6VB<hKat^RYRr;}3-aZEHW>A~{99#*MVF z=FAR<bL*vA_P?64?#61F_`KJO>mPjsRkQYljc_fjjr=TMilC~rUr?Tn(0D(qI_p$4 zwGyrsCsfn|6D|_SDPs)C8QJM6F(#cYDR3KQ6MQ_~m(s@enXjF#b-}uEf~82*&N}Ny z>)M4PN2KSECgFyxQjWu#A#vb0Q{wd7?@B|~{`k^Mirwk&8yS)O8b*_M%i3*PC>E*& zc2YU5NeBc4y*&;)2f^PvN3UL{I5<2_yX1($COiF}>b?-Qcwm?9@&~BCkS}R+hDU~k zY|3RbrBcx!@8a-3NY2lU&AjfK|E2M^a0_3^G6ia?G&H)fB;-yipUY`9g{GU_izU~b z7``f7QgEZ1IV-=kY+c&i*z&&hAik{(OV-kLk^7qD0dKYP&~dwDGs@&b-m3Dc1|^Vu z3s%(})p1YC|8$p4DId+U^S82|csgS4lvI8fY^f_TzW2b!g{@sjN4I=$(ZtPqZywt0 zU>dRj8(EBNcU%#^QE|A!K2Y=dYU>SG(quQR_5_~gZyIlBsD%T&%Ac7kMZ2u9%AUt+ ze~Lrv>68r*c{#baK{qzzh~`F~+AQ-CMb96-CBGTadi*C-3eCks=LXowmRe;0^30c# z)qsiXb#``&L+r0SonE!NHH*3*to&Ht?)KST#r`VbN*bO4#ed@ZgO!;-AXI=xD6naX zgTDT<sZxo^5C4AGZ>(D#OAK}$qA#V|J~=ymYyGs^q0wi()EK*5tg}*my)E>#&31-E z_=6%f8ukoJd;ymdNR@z^hLgwi>Zc~mCk|?5+ZM;_pZqR)kSZRe`i_@Ff9W<0Qr%KY z6*(4BbzMyc?)|1Iv&k;cYCFR|Tm>FHP2LOJg<Zqzu{2}vVt9ib^X`zsk}B7?EJ(O2 z&~s+BbP1Jw(v9r%G!D(@vRD}D5?cA#Dw{FP67o*f#4c7#3u`P-!yJSr>Tb%+b_iUk z9_D4qvd$4U)Eu04dZh}wRgF}J<#S~lTMoKSPiB5;#m{$U1&6~rE^pWj2fp7O@0}H% z%nS3L`$izcF2^{_*J5nmXZ5bRD|475GFhX@;JVyrYp8aF$*6w`tHOwXiT=}y@F1-i z^lazfjpjKuE6kEboGc}q5waI!n*1OEG~-5S+*)S7>|2^^S)xB3-iNEcfOmnESKH?< zW+l*oJ73$~R5cl8L;O{Dt40fiilhw!#XMb|vMU)T+6d-Mj43^3-8G_J*1z2awANnH z>|L8THXrMJCdOF7sz4=jj_L9G^q=N&`_pIdkNYh0PoMoKQ!{uusGA)ws$FE)(`{{b zSWQ{3HIX$u2Qj`dtaQC|vEyFDy#xBuebX&k(Z|kQ<tENk5BsQ7KNgR2?tDn3qmlmT zURKgiQwMWpje3MLTCsR*J*Xj!ZQOEM=4<h_-aZ?b+1HyNbS{RlD$~}&-dhq3xvj2z z+9-ow^1WemPlw~n_3wUoE(S4GL2575m{wc3^agAr+qTbnhPxUQw~Iy;PF&Aki$r5t zZDM$@!Hi3}^z(*yUM_Kt{P)2xb$Bj5@2g_e5svmo>W6Bx%@4kZ%LsqIMBaDUOYVq> zk<{bI>+)I0OHqeWH>&QljI%-OXb9HB!3QuBSrH5H3+!tNr5ERa%2tHB_f@JpY@T`j zLM=`0>PDtd-V848CH|cIIrm^1=Zso4$Zi(uChNxcd}gYT=H`5|S0P&=o@Qzl#A|AF zirh2Y-S2)o1vyLGSH!LE#><cI3%FEc!V$N+FcJk33zJL%ZVC9UZVyY8drc`YZ9O)1 z;#Q4;9GflxQ~uwk(e8b%>UP%t@H@*iHJ*)3NZ$7mT=<>k{Twrx2EWml5_0&RWwLH( z&u8oUmk!+UJ4@M$gf!F9pz4#lJKs+FUA9HW<l0c}YsE%^$3UTmHfw^o(RY_rZZ9SG z`S%EL)^sQU*eD@uku)27w6ypoP;w_kq2hZI9CzYmLVxWR<bN{AKS^)Wit?=QK#|Pg z#r=OTaa;Ra3jW#Y`qtNMCkj(c{%IPS;X_!#f6$u2$oVA-PgHo)<ZHx<EJuPy*=JML zMuQyPlEEKnPMZ8UW!sZseW$eizD?45JMM?gD0#O3jnvq)kO}E@Kz0#)kN+zYO7D06 zgT%GrzF^{bq5%ILDIW#lAEa>kiYzB?O_aX<Z%BM7WGqq6nt>B%cT%2nz5i!!QoOKB z%-c;&alAZyG4Xk3?=X}a)%dnElk+B6gC(I1&t)q=HJT~}<C#8UrC_?H@-tjP)WX72 zK+C9;11I54j^$4cBO@p|WuU(dVfbU?uy##K%C;eO9{H!KQ!J3jeIx1)GxZ4u#J3ss z2B$u6)<=Kl{{tz|7gO^al4rY4h{~dCq65jtNuVzY8c+Efa=|uJCP0E88FfNE3_rjc z$rYN%M2$a+q!VDIAVgJ)M8t21b`)?#=0W|6WZrfTNmYo71qDzQ2}q()ssERzpd5gk zGzzy+6mIk=+$2wOle%<Lclq^6KceH9(T`acY%x%nqM>BrgOcIRe~$q6X@U?1!1rWG zd^#jev!7X6P&#Cp5V>QcP!T@~9)Ob2U%N?3oDA|$Qs>mLdO+eaGth_s1&KVsNREOE zTu3FRbq7bdF&iS*`N4(Mzp3I=iRoT2o4F0R1mcE!NIK;3Zq`RQ{!xwqrS*~0*rEL$ zrB>H4xU7>gZ#B)lU*#)IDgc*tPL-wbRuLmBOBqveSvO1_VS#wm4-UMk2bcA_dnIao zTS0kwjGlO->@VW&b1aw0^%AfAjbyguRNp@*qxUE1e@Bu=LHGwL+M{BXSE#H1Pxk*6 zNfQO(AEcKvV|~9U*yb&cH|D=1QKFFHOUgOk>`4pD<2pro#B)~G`>*kW$>#6I;N^?S z0h7(&o1X(Fo55hRdDL!?gYjl9z-05NYd|0YJ)_R!&M<;*Q-H}P=ta<OzbGi%`oU!L zcT4rFJ5<(#$>vcLSJp?PK=vI&@@&=pqOd^W-iN|H6Q!rr!z%wYYEB;0$<{Pd*r7N+ zZ2;mr@9}B#IG6-w16WW1Rgr)strhxzX$nrFNsG+mx`LCaUp*9s8$Aj)$&=jfTso<{ z{Q9IH(Q(XZ50*&_3>2nlC|UTRWO(!6Bfwb`q5$}w42egFq-nO46`VtdEE6JkY!oWu zC&B$u68dX5Omhn`*~AWaH&$*Rph(I9lTA7mSh#}7<fGRg{jGmLb@0{qToS<>y$*ls zu!Ie|_=ojmM8Iz!+3&YkYCcQSb>iuo4tEcA=ks})q|5tT*t~`J#=W;)I#LZL3DXb* zW(C|wX7z2CMsbhAvBDM)H@C}*)RymxRuz|){#u+`%V_4mD5m#*?{VcW<LpJX8$w{} zDSx;=YF%hDN7Yxh#IC2KbFgyIJIsFtyb`*)4!KzLdi6@dz|V#8@yg1*1KR#W(OeJy z-tENC4JotU!XA$90!1#1{-djtOM3nV`HLJ)bbexk9cPwqu-Q`|t`CM?EW3NvOVKSL z^7`nA7WI80TCLLjDwmE>PFnR+m8r4K*F)Q>nmySr4Lx*U*60eZE-f|Ay#BuJs`+DP z<~@}oM>|$`f~ynzR~@OYr^I4|56}FBSTGI*STr-f3%>iUGLF7~DqKJ9dm_`jiuIXF zKYFz>q*y((6mu&(ho$EJ7byh}54B4!-njN8Iye!`qK}>qN~14bC!1=klFe0FRXX1? zLu$KKdTDFx!PDfIA<_DLF;B`8&y)n_5VJmb+=FqR@>ca|al$ZTL(T=3XNG*{PqbTd zGPa9)NaZ;xuU50og4yCK%a^7P?^^M`k*<Ro<*#r<<9jXj=(ch@r8@R|vUyxrO-MIN zcs;>@(9>9*9G5#|XC$F=DI%tlD-+j0%GlHTfu0K(Ns_B#LR(5ji!#ArF(n6=<(Ywr zL7Y}Um`HZ3`IFS->XUAkIQ@yTuru=MILctzx}bmWgMF<>=S<$|^v;s0Ufi%rV|!;{ zq7<jqeH6ko0eARtu`NK{yTo-LHhqxRvD&a)>M^MtzkgBc@ZQyuB`hlG@iA}5&6TM# zlfAe8hu+m#!n87hnk82PdfEcI68J`LJnve|11|wnJ7c#ubR{@@E`OLUpOr9IDl3U} zVqkkT3~i%c^T|(LTyk=umO6K5KuWwsQVfJkRx90foj0yDw`cMCda+eD$8mABtNC&3 zRK)IUo2YQzc`#{?EV1#`T9B}&Ok0=jr(v)75twt$oo*{g#XT7eo^>~G-FvC~74I_A zSjSiEyTlSH!uWi}rgxcx&ob=i_i3BmGnK0i1neuZBH0TbMc%j94Ay_FA3&>~1av0w zt#N4fn9$q_9BP4@DM8%G*dB2cBuZM%804oaP~ACq=keY~#Sy!O#GK^^N6@sMo;h7C zIv(ZgJ97&jM+Ew%^u{xeh>Gs)=pH4w(b>PnT+vm~a61Q#bJfSo+}&0W40XXD(vj(r z5$VBl&8q}9H!7&fU^koeCOkG*4gz<t3}`7w6hyHrXpov#avN*J>^5Ccj@_-lp!|Nf z_N}qo=I(uYw;iWz=llmqs&Xf?qu2WPm?xU(V?5`f{$;P)^v-1ZvIN?0G7?fQH3@m^ z<PvAI)<!wi0@H#uwX-a6Qysw(Teb0Ri-2%jW1_Z9Mt|PO(8#K2bsRO)i58flx<jbj z_Cg>+`S327?xg5={Ze7n*6{tz9))eOG;`w{+xc*NKc%*4Jc};NRBI^w8<<VT3kI!& zc-2~0nWxVt#_&@0#Y~(k4^OzC&C#UMY|yHKU#-P_q@wPNnLkxtc%&KzUij4>tVb#u z)tJ>&<pW2m1%odd1l5r1M=Dy?nC(;LJ3z(SG{|q@OEN2ZYPmM`ElZGnpQpOr68egL zkZeiqRnyS`xXPyaq-y7+YPtK5>e<ro@0K!mbH9&Zfg42d-I8>Z#ulCJ(g_`*z}BrT zIeOst2B2Zb?0(oqd_g5$67EEcHY*BlgTR+plK0z6349!A!4(>Or9^)XP^m5<09Wr@ z$=acBKQBpq`_~90GJ*@D*eC$CCqq6vrb$2D*XK#t<_T^Ov6-h|CdD|>`kthMHE|OB z7D_^Y?G}#`<e#M5O;(w^9mmWVrvDpK(C#Iz6WQ9)f+5Rst+|(;SqdVzEYv>hKWN|B z*L#Ao(4Q?VCIDlh<4z#oGT15;Y<jRYu>_MX=_@8ETRkbAG}YLOpZ(+b&(iHCr#{cQ zV|wt8`mabRTRis<QmB1}6RpsRLjHFoO%#NGkYtla`br5-?!|vcqC_FXhdi4R=bGhl zokH!q)OQV_g9KnAgB$K>{HBe9kh1Lwn8+BWCb5J$_B|c2C9MM!83{(6sHD$Kb^hFR zU?M}tJm16+TR_Jbg9j!ub@yb{nC3Hy@+N|wgCUT=Y$=zFY1VT*Q%Nuc;#BDhml3kK zlPSn;*vauC{!O-gm&Ogl)<L2_ki-^kuYW_naWtfU!8<Pv#x6&EgorJ=LcyHp2-1n6 zFX=Lv^BhH8kj|8m68}H!op(@_&9<+Hq~L&n2uKD&k|fDNGLjjTjDTbbA_xdbMnFU| zk|d)NL{Oqi5D<`@bB;q07(hYE;r0y7-g;|)r@nK}-GAJwT{Tv{t9$itt?su==6QR~ zj1W54XuX(-KznpLjNmyB-UK?Lf|;7=1@N5bWF8S;Lmo1L=R7B=(mW&mBj8nZzz`;* z!oTSXmcxZf=g-^}|Hv)+kK8W*p4;W9-}{LF7>A42Bvq=2b78{#Bh$x!%tGq-8OqWB zw<Cc2w4#XnM*x}MB?JGFCeza3U^EeWnNV{N{5=&shTntB{4t@wJ?zyVB7bEm_TJBD z?D<D#U?2V)tT4u+D+GA|D8-RAQ`sX%9+;qte|)~imog#`Oj^q?iwseUnW<F#v(x@- zJpXAYs5fd4=3Ke~{*IoMKRqHg=nX*~-yn;ciY|Z5BtaeDpo*I0?35T!i<&?gOYrdX z--~E^{wNdrD~oAS6fXPokE)h`hxPD}2!COL(xb^8BAP#nYW^M8-zq4(;bec$D>(l5 zTA+W6MexUT|EhwmxxD+*e_d-f{NRsTv;T2<TfWF2<!v2*l(*gcM|s<GXEU#0fj@Hp zw^Xo&{BZ;RqAV?B&X7mq>)&!kRR;c}*zLbr!S+{GYRDQgT=WhHyEyI#!@r?Q?H>{T ze(f34;^5z`ZbQ~G%KW43?r#^xA&2>wGMv_qv02&S_CD!eMZWQF%}jA*P$ZH0bV>_U z<}y*Vrxk3>^9ZrGO0C+^S>$`k!lHs8dNy5}(=s(?-ssr8GpE&Tbb+Af@F}u@%@s$b zHF_)Hqy~<8KI~Acg0R8}i4+vE0O2>?9114Wy?h*9Tz-tTEb1mWaQReU-`(}v7V88R zY6VlNUfDjws|gdJY%R`pXn#I0uZ?c9#$$hB4)LSU;m&y7m-POSmI7bj{k@HSU&G^l zsig_U#mG+D^t9t2h@PdHz{w9(t__iuz7&JKA6KxTzI)#5_}E;n>8TRF6>xbA#ldS? zS9Q0~Uv7LTwba^CmGW9i*LB+|g2|}7D#4kEbE|ueNYt86O`-dhtJ?u}X+kzAI;t#Z zaibN3(jNR2o7&gapJ!LG7+CjoqkCXur0;SM)WR%pSNRf_dA!fB_^|Ov-?AX8T*YD< zv%GkDuoZ3zTnT9(5E!1ix>dEEFKc@L9NBkeVBLd`<^j3Um4SDl>gDa!FPO-*M7i=? zgR7AVS{s#<_&LZ@tx{!ePy*vKL^X-3#?r}cWy}U6!4HstBClR{ZTGLGdqxh1eaH&1 z+ga?OB&KGi!v<L%rktmRtcc+%a4vn@wo+ZJc>D0ZP~sA@#Os|#T$5ZLVEu|1L_+9L z!JWx*Wx=D;v2gf1i$n4z9~O#Ff@cj^eg09qMS`r|N?(15;81o;5zTxk3aXxF3gPyk z9P6}n3oI3~bSpI?9bLNh9h7c8rCIOmDPcuDSh)^m9yVwxYY>^X{d7_h6<0nED&+j~ zCQb{W7Mv1(FRDpwGqQI)Up9)a?K0++?K$^PbBY#erst!zULz}V)>u)cFQ|GJqdaHb z1afX)O+t?~pS|zP<1r3*`sd5XBr}rZR`&^y{b|HOwbpB7wboA#<;{q+8=$xbS<(e2 z<*ooqx*UIUy!hVpH%n+hy-(49<qB%bI;QN8Hg*Xe+&ZQ{*G!hoZ|Z{22^)Xjo!OYn zYa&#aIG!JvFVIc!6?1pqJ^GcmvRxU{HdOGG0PgF3xV)binl}}cetfhsuv?j@D_P@l zyqwUs!d=~sKfZmiRU07Y5pgU~x%fT7yKh25^mt}@_1CX$a^1z6KxdJJyM{I?LTkPg zzZ{0vxqT&k-Q5qDn}imRsn5k9|BUw#m?>~5@VOn9MfmY-y~0DE<C%J^MPCWeomusL z(nf8?{_Fb-ySaJK<$BT|zmkLq$R4C~Dlv$9T3@|TGq+U2!ku>g(S{;t+oX{nqIhxY zy7%7PNa*6C`RZm#0qvCUPcP$%aii4A8oKN52RlD&LrWaG?i|b?tgsNO6Yi-Isvm#v z&^`O>7=BpLMSE!J>wnxeHF?nVPWk)v!99hpavr<U<0Eh9eYzs5_VnYLW6x#vw?`Sr zY2(hmWyc2($G!JyK1DxRj0p8@-0D@d4I4XZchRb9-r0|)ZSxp1-QjMh8|ymksi7MQ z4fT-p-RLTwJXE@1)s1Lqnf}h`u;biTP_^s)^Z2lk=F!%{u8>t^&D(w0vC?|wVh&-o zyKB;?A&Fhdma45qD=Ti;$~DiGbpG{O+WfPtXOG4|Y|{E}x*UXTA&%e$umQI|vFfwu z1&pVBkIZ(I_rJA3wfm=xejfB3I;h|8psBGI8d%b`s^=E{mM)q8{{B(nzLW3P+{hy$ zn(sKm@!?Y+J8AD~g|Ryx*9P?EaIZ=FWK`R+D0o%B{`R_WliZi`Hu$z`e?r3*CaZ7- zg~3AgMbpK5FN&Iunx7vZ9j<=$*x4EqlDuQ|`SW#8kFAZ3j(uHUPnX?|E^=K5*Q}v^ z+8U!fQ=_vsbMy6mXG5<hiY-j2Rf_(kdrvs*4wv-e_fI=seK!;=bnLo4b0lfY{m#{j zuKe)en1B7%^3v`I{Oq!aNf~9?q3k1%qi;WdV4PiCn-X{bE=f4*{KL?54>9u4JJC7m zaPD~ghqUTc_<}4O?e=Epikm|3&&BU#3)a{3n_`;k*?ivH2<>0q>7uE*9~--Q)>l+K z%5Xu>i*F$*XOKp-acVE8q~PeM@v(se!`IZDLDK;jU#wT6pZBwkxH~PE3({-Ky?mY1 z=Z+nZ>c_x$^d@h{bXD)K98(-0`4X&D9Iub*I&c}kH5Qw^EAqbad=1O{r?AkH&>!|k z6N{3@M+b-YFCJ~qZx`H2duYs|^0bETw%F%*talScAL^?Ttye?d5XikF`ap1dKXPSa zYDWE0evl-*i1H}5$#%z?Hg87V12n9FhSDb6pPSW}BNkth9p*p1{WFHvwx`Se%?-(U z&=3Y1NN8<mx)Maw%mof8G@G2kFstQ95d+_?)M&bjM2|PCY4f<>S06VG{PLXs#J%!k z>bSmv(6<JA>!4V|cZz$(@u2AF!GZHcUczE!f$>?g5#znR4T1+z%gYA=TDpsLnH8eP z^aBIJ+CjD{tg-^In3tmIOa)U%-$|rnjvsldA>O~FoHCLXsIClJu6Ij#SMBpFy`9aJ zqsfY{jgZcVi>ed8V|94doi=@$kZx=+X7NWZ?NN8O2esrQ+T%Ep$YbTyCNSyi5<2yZ zKZ+<NA|pYpH=8?p;eFVmk;kp6O}<&3aC4xKZ1mn)D;^kkza9@SF<<;)%-01k;#lYB z9iZMy?w=YkmMo=awH3K}q3yAHLd~zSvxE}Ymp^OfgvJe#i$%9c!k3m0_|o2Y{_`Tx z#pTE`BX5%s%P7_J;(@5vt^UtDGr!c`esCXci&b(Tt-h?;THe^(I7}Av-8@h~e=z?e zoo;78LHf)|C1WZgqIHIJxWo9@;Ni^f4V_Ojnu*VeXM@%o4Fkm>+<4bIN~yk?z{W@Y zhS?VDTWm38c`kipct^>@<Nt&hT$bMuFfqvopRGEBCEk*I20!e>6XMtYC8ilx1_OSN zi;8#zFNM{s?|%|BF)1aJht}#jC|#-qZk=_(k=+*cmtNgtz0@`)>16toOg^$!s^$=> z@$N}cgGq+5tTxt}AYlq})uy5Rhyo>=ZJ7wR-6ZV|ft<P7OhX<{<C5Fe3#EoBFQC~A z_jEdjQj$VrHre(hsc`ca@4>nn@G49~s_&9|yL;Y}AYxhax7iCB_(__xG%IJsqitOD zwt7~}C?y}7y>eA&NH^tuD2Z!rtak(Zeu(DQbgZ~ZYqeRNcLST}gH~D|OXH%}>e*Ov zVN1dn#RYnn#*VGDDaB`1M|AUxlb@ECJpNZ51)vc&f|ZSUtiAQ+REIFX_$+KBKy%BF z&C?`K9B89tu<{U(HMin4w@6RD_gmTa&uMObVfUPl^`4LQesbzw?bKRb7b{-aN-Jz> zEMjSF+DfZuNtjYx0A4(nC+fPe5#1NX&^$yP+lh>;X`B(-oh!c>nuE9sUaBKcv|VRn zy;ozs!`UheTe*NlYH`6eOLCo&Cz@LyG`9q@5q0d94_d2TTdNymjr1(ZVIxD}g%%%W z+o#k#6&JHKHgBCQY@I~ASDD6Dq18R>**)vo_EG*@LE2kEnp@$TTLjq%f;<F44uT52 zR7a?EMyOyTLg4kHSSY_(D5cl|ym%}f^ei2OEvLZC1RcP!wYoO;Gypu-8;h;dPRWvv z@}?9RKyR7AnTwFcIu{HgWFm^M#CcmwVSo{jV~sv$784R&qJO8kMW*38^1M}oKf_Ph z(t+W(A^(F8&8;-;tu)Q8K{n4&HqS10&n`C4mDs9=*ea{Is>RqUm)1$M*2$9AN$1u{ z5zBQR%XNLrb#ReV?DnE~JHL1vT);-eR7X5@Mm)hq4uU2dA)bd2&q1VWZk<QVcd~gt zMau)#`q(P-xGH#T6;L&8oh)jdbVSSRS*{D8%Dd$kyQLIw!$v$+N5phS#K1)kLOdHm zlZT+mK~!mO(Q0l*Xm3SmZcVazMzVP}v3oYLd9KG+HKFC*S|`DcJ&_l)T)$@Npl|5_ zvQI7Eeo_1>zxWec-au!>04>j%hv3XX7=V}R$VvMr*vKceze8%V16pTF)N%@}PI2ob z*x4?v)nL^u<Ep@pUy1bwtJ%ix*~Yd%$hJ=g?q(hWY>*Qnuqmd%PD2gwu4mr|I||%Y z&TIsg&Is5!D)3D2Pj~@!wqnU5L&A<z_v<nWmthN?O7J;U`?6S~I7>Lm&IB|5xxDWd zM=AWUS+`^!*8Ij8iif?z(Wn9J>I>aUa0{PLc>Z;Fdk6@GSz+H$PQ5Yiz$q8ybX)yy zuM+$#a0;k<E1s;f{n$j5BM~-iQSlPvY(t)Syc&z0;6%4y!0Z66N)Cx&s=f6W=^wU{ z^L=>9>O%a}8IL|RU04oOdqYL};O6I1a|)NbyZy*mcUFsHMNKuAgU(Q2EZEY6r51{~ z$YPxj(e>oWg$-w-<+WMtbb!47Z2dB}N*;;eJGA})Ua~G)e<e^Y|5P8GAl@B3)$a%T zE2sMQsfFce{c_mw99rLq#m*I-egTPK6<U4>FWFd#KO<uYB363=5vW#(&fm4}?(nJp zMKM!N#HoG+$lvue{}$LVQ|0OMShCo~qxDNj1Uu0BBY4SH==4_u)k@Ln1Nl(`0#;Uu zepn&+u@cSIQ~md;xOrPLZ>tDDl5mWG<wxt^XR-T;*8fBzIDyt5!%KER>#qf>m7&vj ztGhdnUVb3Ib}HWq(su*@se}+#kZ>%4^+)Twu-FZv^(#pPSJC<tc*$;P{q;b#&*=Pt z{v>+&#RPvww0|a{^I*|irlImsxI6ibu!@A^R|G*7Bj5Vhr;~W`9!)`$(TQ%evg_C? zRpzqm&Fx}8Ub^{bO%}a$vsg&o=@zTiSRd{d<K2XLeM>dTb+h|MljzPO@e@|q{~!7P z9~P(9Y7&QIGa~#mBgwkI`e&%#EDhBog@p;6bT{(C&tigW5vr9?iB&EW8#lXQnnV|J zuR)_P#cRWF#CVsub}}pdu1pk3yIFJ^eHU@VXe&22Uu%x7oYq{!jCX1Z8jq%MtF;=( z;ebbkmobv8`Ky;f_3CJ-9w;n~;iNl|7kY^aPDZGHf=WzqnJl^5mC+>LC-?dY0;~>O zi}8+d?O1>SV@0*D7VnL|m%C!LeK0p)ZPo$-Rx#skn}SB86J2YqMsYa$BEm}<Nml*U zOQCwNXsE0e7DjN=t;h?niwSl{sFpw_I=~EE?OxC%T9SLkg8+zORx#cdt{o;2V5CUe z)gl7S00by9H%ByI1OX73@n%gy!_gEVz%UL+eMER6BMHJ^y%4JBPeWy*urP#^ZcJWy zASzfLp;`cyr~)%^v3o?5XhiPi3IePQe-q^`=h~U8@VhcpRO@144`$$k(e}>Ve5JX+ zVp?+rGyZl{&|q|;ORd!)4o68ucs?V^iobe3RL_itN>5>704H4++yha;_YtajP>FY7 z1}=7bG>O{eUOGmQl4V6pmY8LK^|w$H4Vqkp1q@8U1*1KeF)md#7b=m(<;UidSsX(F z<oj`WUPoxT^1kHS39NuH_eZyKWft#yRX`T{qFZ6veK_eb@-GiXHAY(ODyB=8Fq702 zFd5<|So&~y5|QH)xONOH{N{V3>tM?jU71ilW*WAY%(wg3L1Rz!E0}FX*DI(V84cS~ z=Bs^b(AXXA4_hwp%7E&fp<!FhOxiyv_nYsEHiOxgccnq~wy4?WGoSB|mqQjh9eEUK zwiBH(+EN%Tsdaw1={iiMr+9s=w5}LB&~_L%-?^MOQZBJXKvmr)J|E6V9BS$;UZl|Z zi_HN$+OsZHcDiZUu*<KT<ukF|+7j3K$8?|{OD26yo~WFqQW)FEtK%0wu6Hq&^jz9< zBSUaQL3tX+OLZ5<;BgWoYRu!H>qC6>Kj&L<FK?S&Ise#KGHr!|XwS?Ni058-`lW`Z z3pqTO*T1>y<}=ypsqjUQGlA7NDWvD_WPfG&?$8LLnK{yZ55BxFI4yi8cVvfGH*n?# zmBxLu=zH&TOoT@|t8s)Qq?ZM&zml2?&vaH}0E=Cy`U|j@I;#)er~_i{Vddo8NAg5b zt}(qGY}>QdQ@EJD_8Ju;^LNHKsidVw)s%&D#zXhL8F3XWm6jG_Jsk@iurX|e*d|h( zxYssmq%Ecv#9-3rgmT70J-vX}C#8`EEl)>Z2kghWf@l5v5DisT#Mqf5nHKdS-Y)Jx zHa?jO<Y|WL!yCne>vc;S>l&N}%dU8eUxhts>on{_#5VZr1V(#?HC%fzleofmX^%CO z$0@Q{_zai0vp9|{ofWbCnj=}$mj){^$8YP6z;uIyUP)xHuUG_+Qw>eiwFekU$g%s5 zfzkVi!V!;Ex((H9yQiWU!^Rz&9q|nV7d(g>xycKRt4i7V?d7zrISk))mt8S<GOJZ~ zuH#obO#jWz!00>~;QD02<6Mx=OI$|XC$pE3ofq-n$q>uGKL;`-MMk;(<Z_rHX^8nW zJO7X-PwBZEm&(~MHhs~y1_@e|hVVFPvqn75LnXj|(RA+ZO=>LNm*unpKUN+Yd@(^d z2zpXbe!^tuAG9H+6q>WWnZh<LVzPhcE3D`8MN<pg?&~;SqhmrQCalCEJiG$dX_ykL zt5iHZvA8!bB~tRMW2A3w5nQ^Y9C$fQl^`j{Pu+9>3_ku9kYe_k4$Ut)Ro;LmFM#|t z1G3q^BMSa$F0h$Q-JSA#{1V}h%h<>HBFB&W4pOR>Czyx#(BJ*s&a5S}d*UdVacDJt z(Zq#1;xNhY5kpffwff<x5MT(lE|-Hv5k1bQ<~7Xpuy$whWB2nq9W%oPc)>B7hC{w- z0Rzs$TlX+$vBigWfdVNFQbAa$%jGyn<a<9z=3FqzuW>QRf{32>iO8VyI_3)y>%`Nv ztBS-VEkN5<c<U-=j~kX8eYqP}yK`ad`6eGVfIW+o#5`TK>|)gW0)TbWJaxZZKbwbm zfEdF5%I3M2im^$ZUtC{gOhS}{D1T}EUs-+BkCun3F>t^*`)@<Psr-edi~UNo=5o|{ zcLA942x`ijTmRZ(p<$tijX2BJfLU5TO?OQJ@YO|dn6zjTN8>Z=hzS5&=;uA;EO&C7 z@BqI78JqJ5wN1$7`KM?O-5)Z3f5@EU#q7y7CRRMn_Ipg!Z*vCZEBfmmrazn5k?`Cf zbNo&c{hMEXmmCbas6jp*$R(B}6+td4)t*2{4~lT#JA>Pdrqq?W0z6za%8KqI<xhCG z5_TLS<y4QFEg;yTU9kLU%yq#W#>Un>VPV%)3_%Pu&l=_UsY#)scSywKpF@NI8Albj z#cOT7MBbhKGruA1lvFF1fyT(wGZ(=PrcxZS1RoOa_QU8E{a?fFZ$SXFoscWwH^6cy z<O*;MFrFuhTmkO^0{c7UdIH8L<oY+jSPVt3Ct!R+t|wr8LarxZ{5QyT{RE6r<ob8O zI9_`z9u3AQ5IqHB6rfg}fbrh}*Atx+KK(mnJXP3Q4IZ7K2zVbnE&-27PEcAXzZm%_ z1cl7irg7f&Y?b`5Q52JYEJ<_@C)q{;?V80J0NP1Zdv(l+bFc7Y6sw+7U+7YTGoe`3 z_Ijc;AmClpfDMcDsr;}7w`6)&J2Di_1frbS#S;}+!ejPOPQNUeffE9yI$BcxkPbJy z{`pd%TJ|a0j6AHn+jojK4@FJ;mQK-0BDHY$;VJpOgbfFx$?_J9ojjVHa!CZU(B#yQ zm#le8PR{`tzde=L2jDt@BENiCVJXl@lkuI@LU%N|7Qu$UqV@Gz?CzrV-;oG@K<f|U zB^#ji0T{nWrw{apPSgJh<k4X41JVcbfcy$cIBH?T*l6-IWw8rF>lcv-HlX!~@siEa z`T&fJ(fI>%9XZwK7c=ccgK-*29}UJmuwgm0ybX(8Hjww9m0iVF`9LB#fYu*<R%pwe zIl6BmR{I=~@kez2Kz|Iq{6HTK#?>HywEhfOezd+Li(Ml+{c;k)d9?gEUa~U^>@$Eq zAma*j{(xL3PW4NHKAMatLH>Y@Q4c15faOQ)yR+CWp!NSluK&S$cXF=<qc6Pc!_8v6 zKe%?j0_>YCdhBK~Y4qJ4fNP~We66{(a=H(It7}uxM6^Bt*9jbsm5A^P#uxyu6;Qoi z8mfm13*$KH&g6wDVuA}1s^w6Lc`g$+H@jw<L`QP3Mi5|aI9QB#25=S#FkXb=W>IbQ zeHU=$Cv*5}^Ft5-fUA8|&{*^c;L0%^j<JaFkBl(@Tt7nfifO3q6c$Et((jQM8j1-H zMyP&(N(^wB<ht6up-HqM_sRwVR)-bEczd{Z<UoMYqQ|ZlX<!B*K#4gV(aaA50C2tA z6f_d84+4zfaI{5)7c<5Ha4m-FJ*T0vP*@noNjE1iq!trwj8H9tN;H5OxY`BNB$|?Y z1%UvFVO%lZTCN>z5Ma0n!_~qE%m9FEfjNAo`Dev+9{|@oO+iD^BY-T2a5yR>!rwE- z0C0T|)pMeuGE`U?#7Q?GFB}&Y{1~D74l3~h%)rIYnkG@7-0Lm~urk~%%3B2P0U+bS zqQ@>4cfbrlfIM^fa&svNu#6e6(-britq%eW;PB)j5i}P(Yyjvw0C;twA17Ut{7VXw zS7QN4FJr#YP+%8JyhZLMZv^2(axn?u;x|we8NkId?3G<PP!kCxB8wV*UwVk4ngbBI zJsT>K%#|_nkcmiR#GBU$60-!xIWPHlWkL10XxI>$@Ap+J{49H;i(zjox?V%|XldA% zGqd+OKx0qza~OL?*Gs70c^bBFnQ8m@ps_pJ3HG+UD;=tLM9sF48NZJx_p|JZ)_}2> zccns2)~L@0^gE`DkQcU#Xf&FVf?u-SI&5jNstnEc1m|Ck;z8WSNvSI_lZ7*t<C>Gg z7m6+k4v~1y8K!R_QT8)H*)SB!)*(@LZI)<<SP+S>OZEU&i;)~WgyP^zz`?op)c$%0 zNl22_67p{r?`Z&}+Kl94<M(0oPTV?R^fnv`!rVGQJb~R2_h9S?a(Dte0ApyJF!s^J zqxV))-=J|o&QckG@?9h-lWk)}vKBjFMVLn=g>yUKxOAtKVSt&e90_MJs|W)>_@zvH zxQZch5Xl;X3TZjPp}>k+LyY-0T~kD%>-ul#x*twYzsj7Ithv@8UIPGiBJ-hhYgO5l zkiTDP@(CC3{gaC&rikUX?pMPvW<GGPs48m$UJsLF@54TjZ*R*Jy|8tr7w)(-J_d+6 zNljTWe;8F>LZwq8`r(Cu{dj<|m%u#^Lk_EOgW{nQfS8DOBw}({15|9%qsAgnD^K%( z8Ci_WxXl`BKHbX)IP#|>nduy@6#!5#<Q$LesZHm6gd)tRH&DHw;J|sz5sb}1j;*0n zxKutB3BOc^jRe#~0+G7r!yxLWFE^~;pEW&j`mr~lk|zU~mwVD!_v9Q%Lz@-$1TZP! z?I(W|SV0tR*1Bfxm%?Y>%OGJp?{5+^!=)(&0)yb2<M9R3MKGnC<59U%eR6Lg6R{+f zvMpWM4C>z56gPjAvsuw2vaI~0a<2E`zxh5M%SW_T%qQKfnQC)J>!E!s1+HgHBK;g& zCqEXuu+ThyVAZ%P(MJE>Bn;BzWT!KAcWjw)-Ie(|{LXc#iC~#>^N|Ezk4wBObz>o$ z`pJVs`>0DJ*5TzoXO-H2Rc6&0*0hnom=OMc2oaj!sn%O=J1_O|Gd>9s%SSyJMDGpK zECXiF2c&6@G)s}aD3cXw79x8~k-gtqftio&MVW7q<{JdF)WlJF(k0)Qtd&1Xa4dx& z%bQ&TUw1t3iA1DZaWr8B-wP`O-45(cKQ=k$g&~gK*K|nWd1AG*2Z=)d4aCBD3m1o# zcwW_}U~8VsUpq>I&{MW6@nn5jt}eiXpqqD+AX1d77#SD!CRPP7r1)RNm}otQzZ!BH zu^s<-_Q7|78-~rAR;6VT3GVWtB(=|Z6Tq4q>&W3IE|v;oaa?s4!IDlLxE$DCaytcs zEWSMDaYpAoVwnYZ&Q6F*n_;KaO{<T%44ds_R(=hZTvqgNoDBk_-0eg8zHIO&@DcUn z$OH2Uv6UarU1V=)7;t811Y_F`&r6q~J}u@o=U8I=iBf3cUb|j4)7=9RP1D)y7(QaH z)wEMI%4U}XuRlptkW#nb_rR>X^S?Lc{ieK%3s~q8SD0m*HD9=6KfH3!SY2wk!14yJ z`#t?l+;3S;)oFf(#$6#q8-pba#N8^9-r^Y2?`&@1C*HfgNt7w^dR;hCO89ZmMM|HV zmg+PN(<`FR_wUjA_gnv*U?#!6+MBpy0Q{6+uL~qf=_Pg=!jqoTyHI-Pv|!hrb6F4_ zx{jUO|7~BDnMmg?ADwMVkl&PLae<YTUQwsl8%J7yt$RPkkvc<(gaVziQ6Ayd^%j_> zIAWVG8{Kg=ih8ya<cOAY8*wkA8{869>GRF3B>II3rP&4dCZFR4Y9rd8QZ6zmHpoxu z^FA&NN!`5(2VsZ5?JI+@MSN4*yeQxIC%)UU?XpoJ;ng`H5UJQE&6Pf)?_$bZ;0a^i zaN;^k;!}E3DsM@UKfCKfrP2aQSM5ai&hwL`o`a;`F%ioxh@JK;o%Bnb_D7>~tPZsR z6SU*^aYO|YX95dl_WDOC2`UghDp0s_ij-bMr`K^aGGpt5*^{se*$mS=4c_8d$Rs=! zP)WeaSx~bPLCuD;Mi@2K>S$C-I}NxpRri_vo4tlmO5ZlgPQp+i!x*qO=r~X$N=bzf zNV*mwmmh?zIdLo@KXD{GnfQ?zD%q;bsARiLPG+KMLHUY-wDRRegDOo6Obj+xS$0=h zwvQgKo3Sx95J6gqAPu`;647|rnZpFR!vtBw%l9vX<*AfIW^NCnuU|k$pNI;XxEb<p zl8N`R-^I#PL51H1Lw^@M|C=CH-Y}J1k!2g(*3B-z!jLNIiv}N4%m`6;?O%3a2M@Bl zhO&ON6KSAbrT{Bv@Z0c-%ORWwu%f5nF|sy-?3Ue#Q;2v!AJ|x*g3a3EH4!htPA9Fr z@mmbe%wh4|VezctcVK`9B25dCrhxzvplq({?5^r;uJ=eQpIoeDA+5~0SXmM>AssRi z6EdM0GNIqFM&Gce)Ud|Zu$FJ;7G<`bX|^3}=B865rdZ^uQRJyyB$hW!gO*R#Mw~~> zce1;lWph<vcU53>wIZzyxLC<ZTKO6+A00Ar3oWnMu*TZ3_RefO%FHd(%q`YzTc^lV zu}Dm#NKCoNGjCWtbC@P~m?mquN*h6|fr!vTL}(x;*<A_I@(!exPto$9LMCKGCZ2~( zXoO4{G^{Z+*ef;IgX~fApEAupq2&!UiVT#CKIs&FiZVNCcX(&!fcBrf-7qP0s#EnL zqzbIg71GMAi=OvLJ;AD}u)C_TZ4I)6G7Ln#79w5)0ag~QGL=S=P^{UB&}39d)yvZX zTVR)gjb)HGY@k>qlxgO`+7REUyk!r?4(PYzh36N&sv5(rrPoew6NzbTJ!!wswW3OS zb-a?32w$VT{4<|T{=@Z;Qap;Q3%p&KMYE^@1IcaTV8FIL6f>Y*f@+!VlFk=51Nuvu z{?5Bry?S_4_aB=?QFdBpD4E~z`h?>z{Z=?JU?HG8gNdIZraK6{AJKGVg{n1kl)g;! zpV6XLmL=gOxc>$mI*>ly=nia`MM}aNUdr(^ko26olc+VTqTO$h@RFXoJM{#%-#B%* z0P1LWDz#=i;Qn}~t_NFLfrOU^Ef4$^PW=OceDA3|qgrzqT3(5S_X=9RH?Upl)IAf( zqusBnHRq$<RY-U_(dximg=(4N5*LqJ##bQUcPh`P*4&QvS0mvSK+E?9wyT}W&jER~ zyO>%t0`0C&!YhuJ2kz>p?$`nhB>kuAvTDuvACQxk>Bm;SMZ$XnE#EJvNJ<WCFp5f& zQnHm{&LseE?s8^t#wSJT+scaL)aMGvW;0tPjowtReZYQxJ{K=BN3dU$CnZGo8E}7l z>Ykkxm56qK%WUx$?fw=oF;B3ckH@euNvZ|-=bie0Oo|#o`@d(ls6hMY;UyNF%H!RW zVa`8wZ%m4!xObXOv3yXzBgMU0nL%u2eMZ^AvM>QhhI^P<`Oysb3>%Zmq68jm3^qpz zaG9$aHYZ83-Lq(>OekXJvQW#272vf9Z_^_oFJ`{kN=Z@NXqo>Es!zgd8IG?H=N<g| zBp)yKKl1-SEDmQ`*awJZel+nt!@AoK@a|zK>oH;tmU(@c-Wb4)Es$@_b6m2m&C0`J zH44Yqg%=O_x9LD{ex#&$*LWolCn29K!$N>pFT70~%B9OGRc>pMPnqzR%<%1PKaGLr zn*v;#oMoyYz}x6(Tf<kkA3U?gP}X9^8Ylxl?7q>D8Ji>DnCoZ*0_5Vbs)gfg!i)R; z+ccmz<0&b!8n5KyBxI6hFbVJ~hPT~<aw%|1iP&0%Q6{`1GYkO%`kRRaxMVrY@Iin# z(Zsff{$K_mz)eQ1{<4G8>5V?j*mU{E9LFV_+N>NLR`GCrb$D@~e;W*X)0L7Uwed<0 zPC^P<#vDJdaCn;<luHQAz{bLkG9ih~&=v&fYwqUf;^Qo9FNIiUM@QQjnt>VEU?{6H zV)d1M1_638V-w^Xvm9+efGiwV&TxDccyX_Pn=<sK4kblg<CQF&gjlkSOnzS0@HQnV z7YmqyjfE;@!gDf1We}jZIf|c)5!?e1ATyfS#!v>#00dBE#Of{c0s(q3V<Y7oUpp>Y z*Ji!OVWkPjSAZAy__xVJ^#mz%h7EadKWMSWP?3jUOm4dY<s#=aDYs5BbIt|suW&d> z!!_-=NWdK^^|O5C7}9a&6;47hS)m+1tmuiRUBXkc!t3C&oWFFsw;MA)sF6)D;R%@` z*=<Nkw=hwPStV1c-<6kO+<XR3LI7C?H9xFSq{@}T8lzPTK9{6<FTs~A<A4vAFY?ZH z#0sNT0-l+qX`A3lmNCu;dn1zSng|-N!!43DZ4%tbGCuRcGDX5&^+BU3oGD4uI>DK& zFoo|NW=<CuoI{GjD&_j?WiQmZa}1~iUs)6I9T?k!R5vfXyocGtgVuSw?mJ%AGh1*n zb4$sKLDS6zJ|x|=aLP1a|I|QX@+`590(W_ijKLypY!<)pYx_Jtwu@6J5pwVu<lr;@ zqUARLRDA?cbqxtsMjn8%bZODO6+eTCcnhwdjAad6aSQm`EPt>4mzbj2`zcP+g*~I{ zFNnt4gz^O^FJq2hmS^b=?gZfllNfNYKsfr5rXeu<ZX?Z7q<I^dF(@+@Y3cz}6J<U` znmWj_C{qh*YPphpY17vfxOOCS%N1?~ZMBCyV!QX2xltFY58p{_(}P343N-4eg%yw$ zHU8jBC~U+CUI_(wYApHqT4(w`q)`}?r8Wgq3{M_On97fT#gG%D88p2j7(zs_!1O}H z<nZeaY={jHjvu%zy@Bm#&BIdr2J;y8^r6H~z<vx%cqhp$zmJ?09yFd8B23J|<}6Yq z_S5nPphy^i%3>5$>LZsu4=lSWYS}*{mwgB<T`6kW?;@Ao4lJx8YS}-bmz^86>?X)% zzXW<ski8Yn$Ytj}S$3j8V+CVNmTRW}0!KS3Muy;(vP!CfQ8_$-5nJvfnNj6`ZT8_l z_}GlZ&4d@Y4C<zUHskUEZJO4^lQPV`v+Q8ZNT5Z6uaEdunP!8oBn2sM@vUFj*dMY< zuUWje>5z@u3u_EfrB9KPJx7oef&dTJbYAfdeFTURE^mGVpk5~5&?jKUomkm?LuJ59 zJ+a>K4V42c>%@A?Cwl`RmR2+pu|iz#zCp6kpmlyTc3tbKHH5Oxxt{b5Tjwicztp&K zIk^4i332H~qsa6Bm1Tn~tZiY8M4MC!_7lRpih|0&ur5fy(Tc{s%%^q2m^vt!{eNar zV!}vAz@tV$+Cd>GTt=?^Ut4lS?Aic6@kvi0auNlRr`Z5#%mRby8-nO6hyT!&@Vtg( z*wY#A9W)@}_jJ9#@m)f3>C4M~L?=keuKOD(DwF-jlf~fXN0|X80N{}K5V<Ob$W=i? zX&m=&sCgv}nTGudky0>{;3^gY+MrS{(r*0?JLCBNImFYP)HC2Wh7|e@5C3%sq~~^n zJ#j-kXU?!J3g7>C2>K7C{17q$VCn=ZK?H_Vq_lr$2H<P^zloG5#Cn31e?_b(NQoj= zHxyEy5bFt2o)GJQ7b#DO6@`>*e?hD#NO?l6oVmlCXktAf#1mruD{?&XKLNxOoxhK! zp0ey)L4OlLd-Yl-kx-bVb~i;prxXQ?w$35|7DrI+6&;qTO6E^Ik+8@vl;Fl39=A3@ zhI=M=!f+vxU*|JwfQNHA7_d8eU)m&U#0p6a=XQ`RtM!2E7%=M$idpN+@%e4?gHg;% z%HrJZMMN1J$ZT=p6hbUt;w3&gg%BHSne3OR5JH<2<!*fnA;HWRm(lL8@DiV$y5|D- zSEue=Nm1o!_b_G)UbOpbyu@%65(y_sEdl@6r~VR2QM+jWC}s;8w0|aEV)Uszn~h9% z=BYa@DN4lVG@Dpv3k|e;7G7c;I(gupb?SZxBoExN>T$C1VqY*@n4$f%@e&i!*#rOV zQ-6DqJz746*}@6!o`aW|dg{Ie+;dLdeL(VP_Y7tWf3*7>yu_EN$p!-wvz5tybLt<F zgqu5Cms<waAYoNfYi0syilqEj4H90JQ!?%C4^-7WCE4o&44Y_@y`|PHhj!N@;nh5K z*BA&?)joAM6ku>32=X`+1l1v7)mLk_K>O>E@EV}yfxqslzZZ}P{-|dTrfSWBXm>pl zUURhke~|J&yq%AeP(+pyCctYJuA&F!GUb%QyJzu*GNFLXu==*&#z6BU0WKrXvWFia zS$WYjwuT>XKNzvaXw_kSJy2%xVfr~h(|q|ed5-0_wexRrSark0wBZ^9{wmtgn{O#8 z-Zomk#YxB|%TN{I)d*M7f^yyBlzL`skwKY|LuQx;0`xZv3vj7%mhpoCxzVP!hVi!_ z=z{<njIaC4m_Pu4rkV0*aveoLfHyd-3gKb5;2Qn@Dz~6FLntX;HCn#GNq9+?fiJ)- z6RvU-$|VhEU~Az=nUGFq=mP@uHSh3qiF20yEQMs{M9<h5x`G+lV6?&*U-y;Gl}<kg zXqqB_CdaYdrglCXhgB#%ObxEl=dYp$y=hBHk<@6Jjgyc_meJ17%Nwqu3gx;6W?*As zLYa_2W_Sk#=xr|J=i=lnD+K|vqD^fKb-)Zj0A<G4y=9po06^1N`7>FLA|OB}4l7G| zm=av0*Iz{mdQ+K_;(4QGCQd>$xCi{am%~*Qp<E1L1~wK_lnIe!h7uq^PxB*wE*fwT zK!DfLGuDQJU<TG0ZAjEqk%w|oaGJCrQM25-b{?Q6ITAHVIm@(4{j!j#X$esC8Cjt! zk}u^-r!Vwi#s@dDeS8qIx&cu0DH1h<$P6iOf9dTOZdfSp_HUDcnvhYR^#@!UNLI*% z97kO`?bMAK8_<X+m@~wM#VJK$onq*0ySmYZ8SC5lOdw}~Yo9}E#41J0S$Wk7G<r7T z3FP!~ZE{E@TBWEuv#)M+V#c~PKI6~n;acI4(zi;Hb3V7~)QK7IEH6F%bHp+QhZP<c zW2Kc^z{P#%$kl0M#BHK#p}=E|_6xawRp&s<{qgTc3+0?JY;Z~jLqs_L*Cd%b4vy!X z4N@@TUm%a?VD{j6t{izh*N!}%WBCM5+{VH2+!fRToJu4xTTc$)KyLyqaE?<zjinBF zaCo%5FKwn%JoLhpivF5xqRxw%MZ?DwO||zo%c-ZxZyU=)5;rce_(`^xr$93LEwQ86 zxZv1jMiL1mkl2r55Z<gSFo<zW*E}{Pi-XZm)$|$37vtguCrrQIIAW>n{I7V^1$xdR z7*e^dxb&J@v)JFs^N~vYNF_E$%$=11Lm1>{s6L}#dse<M#=*QT7YpP~Rz9)Rf{acI z<j7V4MEV(XiP3-j?6q0^6teoDM}gB{FWoX9Kc5Z|TqnYruI`f6myYjXDNJxgwu!@p zv2vOVNog7Mlsdg3jGbR>c<lleaCKsx>1u-c9=ydLn<n;;n;(D54dl4$nI8q3JQ3OM z2?fL2W!Or5HZIFZl~M?OSp>95x;Wm%1>8jIx3+PmC0(w`G<$!$-1#M!&&~%(raIG= z1IcB4gDGNvZSXyLT#XdMj@hO9lJ|)*=ttX?__EsfltOVKx9-ATuo(@i>&rocxtxnt zbBlfIE@Mh*C6ZVw$NcIe1?b$8!lW6JpPmoU`NjfvVz2q37U1P?*I1fjDOXt7<ss#S zT49V)W~vy4w^R0c8UTMcyt!~f(&o;Q;0eb0E&4{$`!ZovPDa51q}h=mT}nXAVBwPn z=vN0xzkBwi!2A@#_oWWS)t~af<`~lky8(63TWt)`d8z=waTyY<e-Qu#JqRMT@|#DU z^seiHz2lY-9%%3&$=bUugowAs^5kI%AA@1!z{v-xCw9g?%p;n=Rot@p85D5i?zIck zE@K{Mkzu?g5XU#|98a5%weEvYDi9^%1j|0TdkuTqeaz#`S9$>`(NpCPr{Dt>cY`j! zOJDC|%-$^0KOcSE(Kg6Of2LgDPgu)7UUtrRyZ9G=u=E2m`tzhI^F+H-)pkHFDg~%r zu@aFx+U7<M=UOADKVMa548{|*gYiMo1K_~@Jpl-uEdc^O#KsxI!xxMrq-|ZR*{V-I zDDj2-6L_A^GIrJg9(oPpFg^&LoIjwmi{x&ij}x1sH?Dio>s@%GnmV9=%`3(Wum0I~ zt>CT`zDCt%_{J4{mZ`G_^KI7@4?Bh05_nSl=<E`}KvF|t96FlKEBK1_7+omEU^=^G z(4l>OO4#_zrPNKFuz+`)^OrJ17LylMz$ppg$F2nPDE2gm3~p0lQpsT%<1pzE;hdS* zq1E7%7Q$>ZDgKeLy$jfx)0uwGd*T&KDnwB}F&iDZ+uz=BOG_=Qg$U(Lyv{>9qdt}q z*@<)>M^>tcSF8YM`<UX6+^uhg+)~tbMcmmYH<Gbt`1ehcy|3Z0jTD;IRC?_D@JRb_ zNCn;Z#C_^XFFR3(MImV6Ne`D3zLo<XjB!v$5zDNxh<5t*Ik8*Oe=9Iy3><ebf#VCa z<v0<^c=@<AwaOPcjR$x0N<7bVr$}#6BkmiZE917KDI<ARY2#W~Mm&PoQ#c^TAo}s? zT43^Cdn(V6so2cWkGZ?oM;#dp2^&SLpp67A;wEos6zarBlfe%9#;1iH?H-gA<QKV~ z3=S<t6>bnEF1``QUhA0z=a&7JW}rJxm;l3=`QK~%Qq|;INm)k<zH0tuopVjGBS?G{ zFNplU;s?jbt!(ht*g|aRb-4L7?W!6;8a%i63S!{^f)G70o|K1+9;JPkGF|IwSF!7# zopilD>GC@1@<DasJvtdx_Tb!mpnfKErcA+86P)T?PFv}Lf$eeLvy?b!%bGFFFw&JW zK+AFXbt*V*>p~?&`V^Uv<`2V2!3fmW8GW59B}EBS7S5SQU7mxT6V91JUGAy13gt|q zE>Ct2>hk1sKB&u9HROkRP?r^It%5nDqp!p1oy13lajuS1<K~Q%)80?<kG0b)lAP{| z7~3K`zb%!oAZFjZB_$l`%EjW^REHsbeN-3=rqcR4oam=6&)3)CeAAhk*GJjRAK9ok zhU0RkDu~T9=L9b;6ONOAJU@;{w_y#wg^2}>_jtS2oeJ?N6>{l@?Hpmr1&?jGN#|>N z(%TC^IE%<D`92l<@_WG)!ME)*zsm41eGl!Q9qt)j*xSg6=*zJBP)<2Tyj)7%)FpPc z38R~D)G$2!__3^rJ(h%$Cw&t^OINQBT^YG51@^J6*VUE{`A|m#iM=A#$8^3hGh?4v zN9rBs&}8rgmX%on;;x#;ES2k}34;v#Rs};gs*S*(x8$q#t$2|AfmB?2hx=AZ5Tmhj zHWmm%*Y&dBXO~nP%!fvc*(s1h<AG<8jlqZ6c-;c2$xfJGd~UE{g2#J_%u++nCju!z zfD<Xub|T<}6i5LAG$#TLNP!1HKxG~X_*HmczTEuT(=6$6pV`mJXL$Z3=5paPR4mA{ zD4htt^`v0FFG6a_nyGwxtI2EEI=-;+denTyu2ptgn7Gh~pThilTUnJTpVexT4Rq%6 zdZdHSR;L9cWGC0_ZAH+@V>QWu99eR+HQzq+1wH*J{uH&NW~Wr)Y>K<pSAMLV&Trnt z#QqhWmt~qiUj@l%TK!B5#shy0+r=(tQwY1ruRnc3ujkVFnF{>be8!j6v8)peij_K2 z_%(rKzzYa{YdaoaR@*WevbimVL-TFEy)S5f+y0C<t7UocDQG_V+i33znnT+0c(a<8 z<B`oxDb$*_`SxzX5Z-myVD#Tc_Z(U3$1!GF&m>KlUJ)KvSoxID?&75H%+u~vZOG4p zJyOGSp(#D4yMslE;+eBRHDl=^=A~zjkQa?%(K{~}KlU_zoX{N8C%hlfW#=4ecPyHQ z84t#B(jDq$e~FZ2DdLuF6+UmrG#Lxum$@c_*=)YSkD-jbDPN98SuvX{`9ny)$j_>Y zV3_#czlbqsM5#uSF(fZEkL`E(;TCq3n+?C3-yJGY>;~Byk|&m$%<AFC@VNgFxvz|= zZa~}<<omF{edzh02;7u6lZ&!pg7H1L9Y*<#1e>KXn6e(33s!BbV|Mf<E5Gospft{( zbWWAg5!@|{e#I1e@J5OMLJoznntb?IJb%*plP*hCm;OnY(Mi|BN!Q(%vHBE1T`t*_ z@kcgz-_;<}r<ihgx}QSe0wcC1lRR#s{48>FyonZ;E?iDQCA5r6D6cb7-Yn%YiIsA4 zT(mHTRB2+&UFAg7Wo#nJUF8JS<-^1nOXWD!<;i;_)FppnjD>PE>hfYDiG^|`>hkA{ z7<1+Dxadn57L?B%u{FZjAUdIZ;a^YQAo+e#luK82A<Vujo^VbgzEwPqa_Y0VFpuZY zdS=MM4z1%8Z?Eu2=PGuYnrx;uTeQO0aqriJ!L529Q9~r6RY85;^8TE#&%#iPBV>o4 zR~r=MImCby5@Y5jjOmzWE%4t71{Jw?@tF8<>Mui~9lh;Zbr;_sQ~i>|F6AEkYa7dl z4lKv6N7F4OeVZjszFtlnyY{-(9-HtME7?W5_mg*weSIBWj#P$ejC#Xr>?e1)r>ghn zXXnRax)t{eW=a|Y-|hxTrb_IjBfq~cMZ(9&<7k#!U46R-zV~TmJ3%tN=JRKtU-0Bj z-}5!Oy9qzJkBpD^x26OkyURa+ES2nYccxtze9=9%-L<K^o_43odpDA9(zjgdkh|V@ zcWa}g?USzY(dNL4uEWu8f#l~y_wl`N1KYafx;5Ur%M&|rIE_-Z;luDO-JycX>RsN0 zyf8`0N8oFs5E}`)aCsZE*P%j^NATIEHtw3kzIp|C{9=Jm^~lbzk(yMAgM_n-zMqeO zjl8b$+D)&i+Krr0kF43<JJ@}_Kb5w2bR0w8RTFD7Hng2yBkV1BAK^x|empj^J5s+X znJ(`2Bj<-P0o9tM*N)w2>AAj-Pq&T7KaHhHcy7$L1h7O_Qw!p+Hr0XO8T56b^qn7q zOYYYnPMnoI$l*@*73@oQ*)cu3ci_J58=9^w$svgFnZ!Td7ur-f+#WbGj+6}Dbw8L& zetEIc{cZX5$K%xF;Z@#;-qlY%wr*ln?W%Jhp}tiAu=CDA%naT2<AtR@maaS3C65<& zzZ0i=|Jpfvy=dHd{Oc&asbe9)W9xA^-QH8WJvU#Tv00zp&5{!GoXX8wcvBAHofL1+ zty%7UCGqcA$J^QaWl*2v1+m^#9~Z%!o^lu5cEH9k78gz3s@xM7I;NMYI-agw>LcH# zlN3JeuAw+vy_)__+!gs{W0l4``_s3?R@_#pu1)xEJ)L;z3BC`^?0B6p9QEyE*%@`d zt~9@_ypMjxJgxlrDg85D_2I9MNbpmQ++AsDRiC{!j|Qgh7*!u^HVh<BwCsEMk{z#Z z><y9~Z+-hwv-)-6$I>oc7j5c!(Y>RMrZ$GlhND{x%AN=5GsoUPrX;3P3w$RJ-^X;N z86Dkc`6SjM?*3zIu3?H>oz}40$9rSWfyLM37krAlU@HB3#lif>FRRzVKD1Zn=4AbO zk3zb9he;zZ96t7S+}K@VJzp=m^J{fLz0c4S94mS_9jz{vECvLw!t#BEy+1ysi(CAh zy8RfVMvU!vYLT!@tM+(je=ME*xT#Y2cuGS>BlM?{R9Z7P#9g26&9$on<(Ya@9er2y zrU>+0MVbO4&b4yqaws<NSJM~0!8WzMQS2V~_*$V9Oo&gY@5$hCl3|h|<faN1_C*K; zf(yBp(XX6BmbXNM34zF-gFt9OtFycFL(BWd7M9L7R`$k^%uJn(d5oPOx|ngfT3gtq z#@})n<soXC+GK-y+h+OW8jK8H*A7!tv{o&ByLWe_NabC&ps1Ks_2Gpixx&4SInI!E zH@9Q4sg^3*u+_IxRbD@cj&~%M3C{k&O?#N#u|=89yjpJ*U;B#pLE%l<%<UGRSewVx z&=)Y~7^c?LQSV>tbUwu+2ip(ZXCM9i;474T<yL0cP&GtXxM1>y7L1!<>|BH$Wb_8a zm(!yxa0BX>Gjes@U$A>md6KXYe@*Rs`?czUFo&|(opcP(lrXx5(B8v@OPLdw984j) zPsh)_V<~5N_Rc-~N(%w~*NoX>R*K~PE*Yv8ahCe1V!_VW8P`HYjkU<tULh7j<XP2T zwM0w@-&|kvzC+v;A%s^s!F0Xm8?~4g%}TNyeI^%T@SP4>Eso~(90P}6HwPvz*Wo+Z zOE3*bxzw<3z+?HR@oc6Pzn4jb+;fExN5l`?VPN8yeqInghj>#ka@LeRyZ^&JeLKxF z*N3jG?<b(x3ZGt1;9pdlEY*~iTO55y(h-5HSz32jAyA|^fJKKcah`&PqbP5JPwvbV z{XB8g&l{d`d(@=D>CnP1>9OUix+(=uC*#{>LC?Z+3RH*hCx#XZYK}8`GOu1UaBR`3 z@TiF;$?b5OTJF9@TG={7c7CJx{PhLfbWbz*u325SuTMvS&vuth0lBucW@)E3<&Z2{ z$)mzNr_Ig1TLtB%H^tBI*M~A)u+3G|?qS+H`{%~ejg2(F1vXRw_($;<8;i@v-rNb= z(%#Xo66vPIp%muU#vQWVuEM3<q1JI#sok-yg_~Q2leeFbmsf)o#@VXc-jc7((bC>k zQmo9O+}X^bqRqp9i{~cK88iC2GvPdPJoM!M*9O0Z_aTt%ECd4Hp#Jp+w={LKbLV<w zVP17x%>gb*RJC4k$-+|+(iE}TbAKY{a$7j0iI=H7+2q!xQn|75=2yzfu&^7)o>r`5 zN@Sj_datCX75TH=y(l|9Ghexj7sTe5y>*NFdgOI6BEVgk;>LGQgAjj0k9*}aRm$I! z@+PAk+!^u+xL0WJY{gl9SPQQ+?WJ;8n(ljNtG~{Ebm*>|r@CEgr`7oD^OV7ycvYXk zRJ-&0hPQ}k%ii?|mbLu{vrogF*-F+_(jN2TR!-0!9dTpakoXp$C88VfCA29rgKv&2 zJyc@|YY*~EBlr3Jo#`C5UJ-orp-V?W-1@ICN<2Q?_Vwv@pt~GFHN$bmi;?ooGdi_^ znFG^r0StMBPgs`-eRDJ4o}IA}Rgo^?oT6jz+`zaiP5E`xI==ID&v+!W&G)Dy`V9r) zj?OO$1F&ZU6+vyGNAn^+UjAnvJKhl`iq|Lq{0h=14PO<|HAr*2f74i0=03@O>n%IQ zA(><`S?0DR)4}dqjk#g9LQK6xEK^P4`4^)Y(wbB{Q2o*AYS$+QTvL)-b<Y@IQarWv zTkMV%<~A8rYj+dWb1*a|y`q!X`yeRnZqor%d+{Ac)#Y0kaX;6dKl?)Pg|F+Aa+9u6 zTOBW_z%R^O+!1E=JgNsp<dbKM2*QkwYI$P#$VIQd7`~Zg&3a{lAgJY0Zh1?F;l@Yz zEIlP9%Q)dfsBXq~)`xh%Tmn7zvN{>F##>j5tgW5QIybFu6;u*^w)ia5KYFf|Z-%Gu zyFPsuu4(81VV=@6IR|})4aNzXl`}Q9FIO$Fpkl9#S{jRvZe5@r@gYvW8$fJ}fCk@V zw7u+Agr%b`eEuVA8L6FBNI;w=B<GM%aowNE&y%nKTIGm&N7bqx@>%lp1H?oGmhg`Y zxG^N(nPsnbcg`(1znFY1L|fmS9Nw2H8$8WG{WRum8FY4f>xUlSg}(I?@}@~9f`G5* z%J^0pQg#k`RO_w%%L1O-P%}LunpAHx<v~bYlzr~8#1THLV3)Q7AK^(ku*VroJQ$z7 z62tn$@ey5iEm7|`g4aah5S0?&r#X|H5`h;VtG{X~C%vod|D-?MjESp^kU96I%f)Px zIZF9Q2sK*M<p!H?U5$6}`}D7eKc5ydaOibocF9Sw+E>Q(=;)@5iRBOudZ~et({-=< z6Kf*_d;CDz!WaM7%MqkbR?Dod`PNRi-e=6^$>cvu{Bhp&-aEQ;Gm}O$PvutF69gY| zr{XbQ3y~YD<dY)2|D9Cho=i#!fq^6MhyFdL=1c5SZNsd2w%$vsmq@uU;VE+7vX1SR zb89QOJ}39An)DrKR0he===owkqwBXLI+HJo@T5VXJWxyOX5*a@)RT72epWF{aaqu> zqc=2y_;PXHt}%hbklA`k!?_|p>FeHEAD?`X(-T;U^1U5GS#GS9C>i>S2G>#Tiyg~L zKJf$l#-+REa@<L;F>ZXyDYFmR;~~&b9)w<@dRVGcn7YKUE0kg&Gh>^=#?Ii?rb47b zE&WkqkZ>SkeWVj+EE*$&c<)$L$?D4gqww+rM&@7#Zh?D2X|Zrzz3Ll7sU(YfqWL$) zV(QM7liYtc39n7QUpBzI(;L@5f?ea3t?v`o*t6X@t=7Y}m*yC)6P3c&D-posGig!Q zZ4pA%)w`9UWxK7ZeEaK61#VUQ^JjIf)OSQku5`q|6JcPmwdU0Scq7{=E>Zb)VL#Ne zKLpZuMJFS*<$}CGrFWxVqD+8gF_DVT`{a<z-F~>tW^KD<x-D7;?|8&WvRyw>{%YdB z6ko@KZ(8r1StcxnkODvEWwpbhCtqS@l1yrC_4X$-)LL`Tq+AuR)m^)prfQC-j;Y`O z-A`$)cP3(*S%Y_Ku#5}z!#`%RIZ1_d&^vW5yF7jVjQ?e!KSDK8Zui42G1+u^Jng&n zIZyo6K98TfqH;NTqhZv_NTe@Q)9H;tv``uUSmL`h)udFjqIsMM_*dN*UlOmpdoOqH zvyjLGr$L;Wo)4LvDk%XSL7I0mUMT;Blm$I1{yzX!K&ro8^<F#Ewc}dsVD_jaAKxjw ziVIfh|3dJ!Gu3-bFxBD(z3l{9Vp8A~d)?bJ8~4`yI+HuaS52`m2`h>7tTZbHu@UvY z74>e<^6SH}a$47}<jyL%Gu=w>!UQnLV;uf|XEwh=KQP;OuP-CuewL(g8$cYSUN{Mc z;8~fmYiGhmTUxXoXVBwih&VO-pDE%^?4GA9d9n)bOlXFY*}%MyhT-V(l^;7woXN>Y z+aD{~uH?lg_>D8IFmK`L_V}kUYgMYcs0>l$!$#)ZczV4nY41zWuG6L}xbYCSugPy* z>4sHsXDaZ068-BRE7*>7z$&&Q8L*1&sF-KiyXNz(kl7QQBLX3F=wEa%E-v7l{)q@! zRy@I%6+y&s+MHYczt4@M5^ojTQH8gP?Wm4__y<r+0|XQR000O8F`(2+&UIbSKVty^ zd5i%77XSbNb9r-gWo=(%Wpim~ZeMs}VRBzEE_iO#x(8U3&DJg~y%Rx@CPfesP*Knz zMM4v#caRzs1(7O9hXe>kQ9!yFARrx-A|RkZC?ZAaC{0Pg&|3&Cfsp*sx9oTC{qFDl z=lie!T;Jgu)~t1}HS^5Ovu2((Gr8#zWF@a~T|<QO=W^@f#h<yHGw@>2RC+i=<C!l1 zjSJ^)u-eM8q|lucNmFkyw)9uyOmR}z(6}Lb<IUZf@cj)(^b5lmHdi&?ruz*jE@I9o zsxD@GPRc6w%!W=XF77PsEN3mNlFPE(RRcD_P)q9^<ol5wqWlpN?gb%zQ9uQZu7?I1 zl9d<jZDq=j+~*;-spRqaqtmLGu|+Rb!-J)XyCp{QpDG>+g-n0n-+&&p=<IKn99<9g z&#Ta!B7df7SkEL@7>5rpv`ohVzLO@tzYhrC>BxlcVumY+mji{T96`-3O|AZO=p_%` zQ`Z7^@Ii+?dym%--%1q7N3RqaLpJ=!6NG#ou6~$Z?|nQxsgtyh^gG0UCG~E-hD`^0 zc?<HdL-y_s8aAM;5(7Z)4@VN*N1<Ii!iMCSW#rYP%qi*v{^{|dR<lFfr$&&Keg^IL z2&E~%&PcmT;dRKsy?y_J)JphEsnAdN##mec!U8DycLBa+%!jYZyP9^X>+cgQk@x(Z zn_J(uZoORJh5c|&eSBuKVE1;*O*_OXP;>a)hp$25NBECHKYG4$c_D*Vho+YLpx`ZY zZ^&0Rg_(y(_Xa<<ckVwmn&$O3d~0;d0d!euwddgD<Af~-JISvNi9%uRQ-T>%8@xFR zPG7t20-4?qI**TQ1C->t1mZTppfmNmW~0snStTdu_l0bb-aoK=`MaL8XC^BG9!?P% znLJFl>K+ceG9&VZMuBD2aq3Tn@X@gDL?feD4)U5^S2%U7@4r@N==?aIWaJDQq#t@y z(3P)Wxm&mHmXz&S(L1{ivkPGo=vBP;X2*838K1j)eLi>bVb%3Ry><6{VCTaZQ{%YA zVX20h5y!HGgx&Wa1$2yGB_-kNo_M@Uvg3ZMdHi8AIw09C-Sw1dQCx9wZRHhX9`_!u zw&NG6o--Oy7t<C~7t<FvIX_%@R&)Iny%v|q%VQjLkIt%TQHe4iH;s5it)&)0E6VsO zf{~hol4~7*BywC`Bub4o`h=R+F%GIEY7Qzb+T$F*1PViypmUr<U5l23W0i`7Lrd#- zK}*e?N$bq<WHl{n4kmYcjz0yH2TY=R$4#RiacZTBy!`N1P3t$Av9y?)gZojbniid? z^l{V3N6dB%zhv4|7O+SBT4N$F={c@EDpl8F6TSbGfg)4KUlw^u#liOIo|+boDF5-{ zh)48Vmw8V=y7!sci$>yRyBpi5f9m$f_^6^p<~wS%uYk1X3hgR4E|wjCY7(_!G!)2a z<#RKK>8|2kiV{sLbG4n>s5<Jz?`-01+fUKFt>E9ax#~CDnXG)GxU)sS(ug|IN&X=^ z(RDvz|A%Q>^}-zihL?3(cOOr21{8~$tA%`hcJ>@q9Id{V{zMNfLFISiSuw0F<cXn> ze0G80x@4U{$+UJ#g34r1>Q8dQ;=Y(M5O?P{(%_!~#Eob>PW9*u1iOjJ=5znbJTXNH z->gh0dt?NH?}}A<VA2zs-y~ZPz50~_eM7Z8#i~3p^$E>ylgEL$DQ(B;9`{F`KQqtB zkJ>X6Jpuy3&SKskn1qDpnq&naj-I+Ud4J((Z(xAs>p9b#QRg_$ahy*+XL_N7GNBRy zb~(i?BFy^F#G}Mtil?~MUv!gOb{ZKj^bEJMygO2_yKe*ueP0XZPdj@~J%cghQO0xa z;3*<xWhuMYr#BTiSZ_a@5~Gu%L!b0iC`;wdPEVhhds23;mC16KQLdh^eu#9hxo)l= z&LX8GMI{|mcE-4f#|3LaZ+zDW05DP(;t{-{Xus@}-uZ=HlE&q>YlUv0YfFo8YpcuS z+Ye_jxo+dA=KTTXhL7u>_fiIVBiIz*^5y{f0t?*g4iAQP8)oE`S`;4lG4MZmzF;Hw zVbLZ8o25Opq#k~ypmqV#{uz|XEB9UJT=>zd!c5qz=V;r0mhSYTRA)CULM|+8wn7oQ zk-YmuU|(4E?WLTDcU0X#@qGW@A&)c_S2ZO%N>{vejm3lirB6E@ths&YGS-dR+`i+x zuqO2%?M!Et?0p+YW~JjQ1P2s3YG;Eg=b1ClsidgL1>M={!6X;ySP9vL-tl87-?=Sm zEZ!u3?bqP^weArX`5<XniCkk`sPsHN)n%bm12UPXDjzoX&EAi5--sCO&?FZGEbqb! zdkqU1R(<Oy*C*dMwVu!FFORjkE-RC;cj4>G+uin&YYt?dCtGXVM}CL)$&$TdFdc2p zla4tt)~>T(Y=Zn#tR;hgBlJ}R=r4TDN-%5Jot3AKIl~q5A^s9o1DG#a%}S}(uFGF+ zLi{rvbBe58=fBtl`&&8Yq+7eLf3XSm|LT}iZ|(Zy%Vdy0&@m_Bt0J$RL#9sRsS8)L zt-<_2gPEJ+-mg*=ta=41FbS5pkJ7=;>CH8I|9`>*H^p6FrN~=JStIx=+6Dfb>9otL zLFW^`>;aBHQ_jIlbMV8JCr07DWwMI9;w7z^F>9sWbffShbMW$*wc@U&QFyvJcz(=U zdH0J^c)dAzeQf;d?iHi(1apLZ)@RhXZKY3dhuE)Ikz^ob6LM!hxnAdOgZBAlt~38@ zZ<yY%531l&toqZ%yh20=guh90ckjO2aZk!+Mz%G}=VpRJu`Z2Qyb#xd;-<`8Sy35@ z1D7|Yba<|Anof)5u=d@Jw%7KMAGqwTWY{Y@elOX*uIGv^hF^U8>^y&weL<Rgnr{OA z^u3-d{JsgX_64WM@6{!Iv@f_ce(&=Ye!m2X>3e-wUic;y+ZRZU-*Xc<@0XC#r2Wah z;BMt`AJBH5|Fv&|Rg-pweL=lv-M|&wMgG@*316GE1*Y$%y4UqynZodUo=nKS6eh1a z73aM-?b~A>3*b|A!AH;a4m?eR^GPYln|Zkue*1hQ;1_MmO7@i(n|INE^NaTN|8A6$ z^A}T!(b`{3DMoi(|6xioI-~p-Q;N~#UrZ@R|BFY<$&1~kn0|hmmc=I}FK_1J!W!Vh z+LUz(<MQztoKMs1|D){f@=%U*Z^tPlq-SU6+4Az~(NRs8JJ&}YKiBcduXh=QUK(|j zTQ4#Ql^S*ItIL#IPcLqf8+FWbtNL7L?J`wi5NcW6B0cJu?pD=TXAR$5F$nc9Zc!R_ z%yFx_w0<RP?}b6AVR6ex;j=J>Bc6AB*Hue;7EFMWcl>SxCGYz6c^IYlm(?n|EDL^< z-U8&W_2v^VO{Qx8T}n+q@kUbej<HeCc*ZrwfSBcZjNBWYLVNA3Po=(m{ui7>B#bxj zKJCjPW4iKR!|a?1=V1wlZ?!WkFZdE1>~zMHgzQkf-d>0aez1SxAvf%OQqIliO_dYs z`OD2U1-E1N-5D?>5p8$XU4m%m@4k^X`w6o1vf};dyFurY;Xp8I3f;ssevxJRp!mvL zZ(C>O=}p0ND_6ToM%{Iu80I(_3NKQcuoI_ya9S_^@Z;RAuuuk3*RPJ(!ZKEHM)2|J zj>HzNcO!LUvu--?^xRRr`$xw5ERV$cWZI6Xd7LBrNycSY2|rrN?l!Hb$R@mOPYNoE zn%JHXzWnBmxOAZE^cq}R)R)ij`qZ^Ma%(rkl_xbni%;7)mTh;Xu#9?%T(E<zOv!~N zeNIZFIwM$qc=F?A{|Bm38w$4<7#doN<r6@V#cj;Te9a$|y<mig0egZ_Yo~80dmV_) zFYn0hInBCp=c#*8{spze(TfaIh*(9DPwhMYO8W?0c0k-2DD#o#f#edR6q-Vk+BFWe ze+_-H2XH%ISpV#+hAqoKF|@D6@10k7XR_5FLcJ}U8h;m_BlK`NKKXKs&|zj$kzm@{ zfVUw-1Mzf%IUD$qAR-DZEvM4Ua4Q3_t`M~s%m<-qe+8*ckJ`Xa^f_2cn+GPz9;jci zI?XgPc-EIM?Gt0u)90UVG(DBetiiMIedM+3?^0N2)oi1661~Xuobx$EWqWt<BHcw= zgJTlZsS#4Qy48Nu@xE#*NmG}Cnb8-q>hYz?ot<wQnf%zj;Rn1`bq5J<a{J4_B8aW? z=%kJ41ckh`?(mx91wMGo9c#7LaDu1A<3<`x_|a(9oq;`1_wTZg&W~(dxO6qN<@Q^| zH1DUC@qj2QQxWrH|7a5PbN=UD<0zM>=QTv4s#*Vtn<IY@q}8rcY1M)HFW&hrWU_tv zM$7Xt<W+Zfx6#hb$%k6E9=E>e(gM|(udj)`%}LIemwTukJJ2)4$EPHw>3V1BDeLdx z|0^XW_jJ88%{LkF@9ff359J@l;j8c6^wr1?V-Ip0<g<}?H)g)933||+^e$!a&R1aY zu6o}*8k$O4v#V9Q!6TJ?4t$!w?kaEa_8XO}DGWL|><yt)iY#7oL7OO$axE7?FQEQh zI5{_%+|o366fBFd!o+cJl9^`O2cbu`p4SjE`}BLA?^6@R>{8!{pvVqpFK^mJS!FQF z=zmBTAL-+q@8kT^$GO|bnKQbd686~Aa;PuWsABbI@QB;IZb`aij#chM+rJ1q=_O*X zRF-ee>jLU(IqTkN-4wk$@Bc(*FxWnLz`14R=|@Ytk#g$!a_TST)G{y+tEKGF1?#l4 zRvCHe;vIdQ5AHsu6l!{-RsTk-<;{nO`hLAQ&WiH9pOuf-;0i}6kC!o4dabft_g6v2 zSclT2&c4(O`}Z>AYH-=z>5DRzDqx&Iz^Bef70iW}bbXd|<(70-^olLT`k?LX@K6Xt z%st7N4kPBS94<X&DT@n7cglDj>dpmv1_#vCdD*@Y$XNJT)a2&2;oG&F@{Q0P-SUkP zc+=%b#Lj7N79YIFcYM7GJAr;P{N{UrGd{WP+^No!!5QcDy=;6xX*YQIPRb3Ye4@`x z$awuNM5bY;?{!0fd+B%nl`yuNqr%%h*7x_O4S6O8spKdV>rvCwv`=UK__*)*T(BRA z`PAg2$a7(_W8S{eqw~9~*WqDU^6Z}x?P;T{6^EZ+Ll7mb!t!B#*t@ZV#Jq_$mM>q; zo<&GSmbE#nwP`tVUc70{W+4AicIYBsT<`sdGk3mjx(eT|6ANz}a9!$sH9Gl*^{#An zVBuoR_>5pSm=1Nf=0mvYxn351++0et?@0y&)59>?F3wDynlnimn3x%JA7J9l=A_y| zAlg>4n{+pDc#1P=qTS20gwCymuG5I+W6ntf<?}b+Ez%*q-oK)KeU8H_<A#j>tHe4l zef!qiNncLB8=Ag&*Y9P&jYnv6wr{Xe&qCw6#pG1T!q)a0u^5#sWN?4wuF?hA;=M~p z3QFNGH!Y`>&pgo@HZ}oq96X*dE`+>XlPrh4+>k8ZOwiuel7$@%4~dirv+Wk-LoSac z#U2csfcRH*G>`5b^hZN3=L;t^o97CT03`O{Pmd(#9oC7@COXUFJ_%KZG(tVZ7MxuT z?^kWXZaKUNUD;>P$bZWou-bSP>9VukLI}Iw8ZbY)(CN#+v8Ed&Kx~{z{Z>4ynm4NK zVD(LoEL7!-_#BkZa9wEVNW1+reGOJJJN(X)u}>+rl!mkjce37%^c$8pzTCKS?b9HU z#?!o~z{EH*gn7(7FPD0ktF%Pz8r_PN2|!z~G%nk$G|mK&FQjoTI)rie_{!-q*e$xT z6DGz!>{{2Tc4>dIYQGrRC9Sa&rp8*=hRaxXe`h%;42(@;EY-wV;~LZMnUat{*)dps zW@(AmHSrZGQ{%@WdL`G#%wOhm?xvM8RFs<-$An0andj#+?^=|W_(rK+<6bE<0SH+a z<nr&1m6m8<yRuSdYMc;qU-H73d4bTmvAkUR-OHsV>etv-+)RvNA^c;bSMy)ycGZfV zbZnb7jTWG{_0!`pqbhr3p;4|?u2HVdOgnd9FGe$8$iA16ho*y&=A$Qi>Ps{YtlFEy zi`Pd+Em5nAYo(oyW;Qm`r&F!*mdLk@$GvzN6<CdK{t`@n4#8hw$}>9HV17mqem6FG zxT5hd`Vvj_KPofvEjK<+BPV{5`{I?07bla?bAFAGifAvOzR1D*Kl;FAsp>3zKT%SW zzM(Kp>QuQ}{4?eo*9$p6nEd{AyXnVdKxe>aKt3n<?P}2eSM)h4^Q#t-T&KC8#orJw z)GL%OykGcVxtIKe%0sS;9M5SDeyeEoa3LDf@)B__R+nF*^XIB@*&w46GZz^n@T1dC zClrMreMqHsi|LJ4wN{<h8!flTeVp~;{3n_co_Jtr#XT^0#3Th2CwqFejex#yl2a4D z#d3IH+O>ty#|46`lNtU(nmn&e^%NwiO!bJ3-4aUz;_m%He5Y@`NtP4{{zannJTbl6 zxgNifw*!Birtlf$6zNXe<O7#UUuUs3uzl7Nw$pzeafs{b?+nhYvG}^OJ)+K{=B1W6 zb|O-@Ev?O>ZLICG6)o+JUy%UsXO~)826m>izkT_sE7d7qegI^)rZ=zl#=B!Lt7&aS zZ;INA+WdY#1oRc)ne1WGoA^fuvw!Pz6PwsXkLImJMhQVfrL2c;Bk8*165n^;I{kS4 z{%uk{JjsvMGRS_`2jkN|`?6C!PDZfOw{dFrl$%ix=7v~RwphX`HwvS}uQ<|^tetq- zw@`7GPG<`J5&KkAS~UHcbaMH#^5hlcx2fwq-fUJ+GtNGfia6~={f~FY`Y7TUlh*MJ zDh^naE}y>I<9m<qJRadp7r(E+LpP(moZEEiA4fox@j-hp?A&%;ox1gK{o=a-UI*o; zm4e#i>;>*|!}Xm@f57&C5C35e`+N9LYoEV||FCBLd-zZ5_P>Y!v~K)+_z!FPzlZ;{ zM*lthztQ>Ef3Nu;+<(?zFzi>|l>V>&YGdQizW$5m7d-K66#h>08~pY^YJP)X|3}UL z!N>pG5dP-^e`o#Q8SuZWJFZet=v_e2($sAJzX%DxTKZq4|A7Vxe@Xvt{Ac>l<NR-L z|LuQBz<)#j+XleDOO$u1Tqzh}ue?TaxCiUiKc;SV*LNnm#|i$Sc=}(f*M51$Z|c8w zoc}#9|Mx-sFOw??9<{Aw_&N9Rsxdc=i_PrTvv`;Ve_@|``5R+bTZ0Po+rD9VgHpG@ ze9yA1SW+cY*(u+usjt>A>MU*GJGX<Uvv-r!-~DklkgA(0;V`Ll`?~%a{Wj<NlMZew zOL{-lt@#1(MuLXYKf)?63q35_%9CM3=E<uz=PT^fFLxC77Q%FOQjH`WUhK?eCwN*5 z!Jk|O@;vakFgIcUp{D7PvmZzIf@os@^;6UOb=W0;9c+HW34xX_jnUVde?2vbEQ=_M zwE0{l|MBDl-rsJ&{`o?Tii-1*$T^z7-h=(z&mTAX70anG|Mez}Lyh*b&~LGv)+>g8 z1a?}-sSL$`5A3wgyyE*a%F|No5`T17{r7n85$7~D$M1693uY|~k(d97?>q#5lYLAm z!Q64vzs7f$geWrO*^ytt+&{;6PSGMSFUd5rJ$IrjxpMBGPAYh1m@|~5pHXpCPaQY- zPP;AAUZ`<VgXx{JI_mVz_FMiEY4d3m<)3$8e_nXS()<#C-UI%tHi-V#@AqHvHvx|# ze%^uoaUFI_R_Pz6<{d9mJx@LQEohj?iZ_Uy5u8r^zw$CnVcX7DrP1vp4DRx88E~h& zy2<V1LNOm4?&9I~qUNu{*4k;+*c%yV&kv7YRJ=5^_FeAle<R{;83ZeL6c*@8rd}|d za@?IN4CQ$7zRF{x^-a@Z-@=9r{4c`lTtPaac4KkRymKd)3W@K%-08$eE%B`;=v~8r zn5#i7(&5St9tlGUK?9{159O9e3PS-t2r~0PvzlubZ{g3Gym8GELi$0gNtxigk8I+e zgEj;!89H~J8iiQLVY49@?EylL0N^;Rf7i+H+JyaI*|-0MuJ1a9UYl@CA{@)PrUdbc zUqH~Xk34yF67kG`u=7QD+5;Dm!?C~IFaCB|_EF30hp2#}I@3Q5#IMM>;TCPEY1Hp) z!o#0;jSAZrE@$ta6lOGX5guZDH#YIGtY+EF;~m%Zg|4^yHS1;`@Bf`${#*19wpf36 zgVW%%LsUCQ`|pGPPHuUskSE;ZYHIDW^LERUh{N;CSr26o2!#{m<;gB%v$rf`qLY>K z1;cX;GCC#ukT@^X#|HaP((pVybS3hHxy+I=le)9gse7X0?fvE|kDkUQZ(Wqzxc>2| zC+IjIK=cRXj)&+E^*ifs0y)6I=bu;ZStkU)1eXR@P6gl2y~mO>6B-CqepwQEs8g5e zlY0+<Qs#54?8W*ThGe>rAnlL7kBI6Ub;2ZIEF-9qb9=m<UxOz2_icYb+*R%+9KPPl ztKT?dd{Q!a1k~H&ADqFvN`Rjmz!yS4hK^!YhC$hfar<+Nq}lJwn{0^T7@SB)??=p# z<u*ft?)`92EoJEa$+afef+rcS(+t@s=LQ7i*kb@U9rm<_?i&Aiie>ER2)}r1zfoh8 z_UWF7a8B;f{Y6PvA4i?c^}NrMa(17E*qUbEHZ+6|Outj*tO}3V|9QCoW6ScuHQYDo zN9+0XYuC))S9{F9*`TS(=?)p3=(t<(Ng^}7`*>d`St70h;PpC5XA!VpSF2O2I#D$3 zRBMwq7*BYWHYgo8%nf;XUG<%)u*0RG`yN0Uqqt3?6zm5iO6M>SWpMbQ2KcUR@rk{5 z&)pC9+I@8ncY^w`w;r~3Ga!R(KVh?6P7uCiLbl}0!?PZ@evY>J!q>V*P#X2hNw##I zdR;K6kcEdETr;f9{cPziw^&=Y)85z=>~0(V&xe{7^<y&nmpA;vzPpl7PG--@1&~Bs zkI*$@aCz9#?GXof`1=N94l|B2&a#cvshMrac*M^g*RO?|*TZ4+LBJEj!3zleMU>>& zi<JQS(Xq1b@##2L#H3y1l-IpSPQP!!zAU8$un9Y)IrL3cJnt`_pL`G;V4Lf3JD^6T z?so7!I+X+k`0Hd!slJ^wz^^iIj`|++QLRtEx(;wdo!Je+>H<_2=UUzulXGNcd3rx_ z<9v&n3mpznU7@lWoM6>(TMfs`Mhm?j{glj9VIcqdQC}K}d`ohD>PnZ{I00bYtb-LR z#=93(wR-HQ1s(R9&tWTp+b)VrC%Xo@nv3uf?G4h9SoAa($N{?^@6AQD?=n6?ROvG2 zCWdt>Fp@&L##u=8T@18HwJrZBr0G_D)TRaTPCKdsuN#Fl-dYVb-U6J3L}9^Z#GrOm zG5&r#s<M%TC>ZbFjw);9Bs#?Fw}Y*SLS^J@@u}EN%TsjF>kp0-RlCmMkK$R{g-x0z z@UVDcNxWu!6({jlmo+o#(Ofq(sdTQJk@S2{hlSKQr^7_@>Pq4wGIb>#C+c<qc!*M6 z){LaOxi}`$)45M{P^+!GQJ@mMSv$hI8I0xO0*m6G#LJ!}UW*r&#y^^?IuT~ECH%A) zUzln~Jd7ecD*xDIA~AF!W$?n><U};ojCiG;{0iSdM}9Jg;v`nZL(Q>d6QV#Axnz!f z0gvJ$E3}jKx1iiaBsZC-ovgD3JxPReli#7qCHQe#a^zeUGfA@xDUDC!BFCdaR>V(H zWUVb(rkSECs3{g9O=gKA8*B}-ko>wpl6YAz@+&mbf+!zF*4r{>A-Q*f#PQx-<U%yk zl6WGDY_yfcGV}Tp@i{tqPMACF-JCE7QKSoWE~sj5{1ee9V{V*D)ov@Z@U!>5BQO_{ zvkQEjXx4?`A<A_jP7r;&5ZpxOE(8ZruM2UKDBXqNB;M~ra1r^t5XXtOUBWy>csxh~ z?;j76#_z_1MDc9#pmX?yc+eTVVLa$8zCRu$f<GURlEuG{M@iy6<54pB^>~yRzJUvA zhgIf6>SL9;k>=R<Tu4pqdv2ryHk1o#hz;dNT4E2mkk_$?+(;WN0~b;k%fO8^#d^y? zU}%68qy-I-f#jf*&O%<JlcXUD=p-pfJvvDSl8!b$3n@YyOG9GN#!`?bw6P2%3tc4z zDMJsQg*-+NN|UVG5$446QJ^Y3oDQnK^@I*;x^)zVEW}@rLK<FTAq8~pGm+T4_L)h7 zU6QoWlDWs|O*55~#JgRxTtx1!ZaQegoO2XXXUp`b>pntnnup!Q?#s05U;$@I679%} zAUiD7EUW=7Y!Q};7B&kjK?_?oi{o{;ggJ=?@c?Ghr7n0B)P5@#jW8h!(~%43{M(Tw zcn~8=sw<F@<kp2ci+ANhs$nUOrEjM=<LY#<f8Y}YYM>$>F+NZfkC>(qZ|_C3u7-NQ zvN=0Ca`V?<edQ`?a&~~DC2{R1c|{>%eiRJiLLseXIr`k7f}f;?LQoSwYmvb7T#hYy zyIqb`sM{`|qddiOEAnSCho2?h=2&xsiX1+1ftn};I{GlvpLFfJW0Avn8tNqJFdbR! z@FNXrhj;D+nc~4~2amDc?^_Jtv)?Bu#~@p$7wg<#;_Nz5L+im)yQT!q4)F9kbn1#J z0oDN?UyqscFJE=;5MJ85zCJa)t1!Fjl{8kl?0`Day1aLz1MzKFbGB+@PrqYx`JfP+ zw7F;0ff(5}o<$6;7etebDyx{uAH0mkiDeE*Zqf@cs5p`4o$5K_-S3Y2c!q!@8~msD z;hESHDRS5>Vr;$rXA2gY$kkq;ua)V2AZxtLyB3@GUeeI_Sit6<+d6+a(X#{ea=MlY z8jEXSCii%eC5Tzyc{xa?-yMzcU@MYh2k71OI1@AjH+YhC>AR3IzBV12flXT93++G@ z5c@m8lj}#(AZvmvGa2J0e3ls8hdM*F{GMxq-%f|dVv|<);yO0XNy{Bo{-M`rWlc!h zvroKGXNfU=Aj2RtlIX1Pw*>Q9&4vap(tbKp9Y3)yA4BGzui{aaA|Ia>eo3U7)t?tW zM;w=e=3vutJj`U1`6_ObR3BJ`c)bsF9Umx#G{!$khrYpXT9LwLWlc%C9Y_blb~LJr zc%=iWN$`t7S`Z|e$wu>o93;7Ugb2|+9b||9ln!miR+*E|%|a~+6*15joNMAqZ(4y_ zR34G91Dqdro+yxxQnqcjc@+&!#R2&Jy-(d;V<EfD8}pEo`y^+<rNkR}9Vy`$VHxNP zY?75KBl+Y!WdxklBxfc2Kx+7IX)q&HgJ2$mG$UM@-Lxce%_7T*KcbPwgliq(BBEtS zEl!e!e09Exi*&sYafbK;m&8qi%m=c7&ysm(!LNuHW_1ZVEad(^^0eDXTskxji+V{! zG7G0e3$fmoByhBGA4mgF;Se#h>Ci?jrSpjBsx+hqK2w^U)IolM1Dqh;?IXjm^|(wH zvhO_E5wA`76b+5RK_!V_`oPjenRK$<tZ)?(GD~R@ijhn^FUvvt(nqex8e5QV&w>kx zU?yk+j)#d1oF|*%C8fwbQHWRgK|0X&Ed&$EzY8jjx9x(8;+491ZsbU=hGu|Hk|J=2 z(WnBVFcUO9uvQCio<`Q3^)?|jUn2`+zshOf{F~n$Ur~@(44V4ha<`Ft{%{YuKNV^$ ztLDSi;Gx!`W@>VYgNsAChJ~j6!f{<YuIdwZHR<%}7Hc20y42cf-zy6Z95#<<^FWTE zNvQ46nIqKaEyaN*tHIAN4*SZ>RZ7ds^-^$e8q1|ot(Zoebzk-}*_c+E-Qpp!6@&&V z`9N>Tc?F?{dK_|MDWMd~8t%H1kgrfo;@BB41+l6otMG(z2D9Vu;5@KW7#p;CuY5aA zk9?bViZC_c42V%Rfp{$Fc(4-wA?`BP31@*lj^o0b;O<~A;?7`wa9UVK94(d;7lf_x zPuye>)=@1FQ4}`bU{Q?>JA>E4aiCLs;$X%S!t|;!-x$Jp2`1QCjAon8GXTA?t}5fV z%rFuBSsWD_f;nnG>OG81lGtQXebx-Xi{NI^u-=yTxM%y+!g@0&zQr{&;CXRZ_mxuu z<5VM>1%gC^t+8$xhc;M`GR#<VlUB%JhC?N~nI;H;+e0Jn3+_{s4QAMhEKQPlYusBj zs^?l8GPQw<tUkj}lx$MQ%lax{QJ6h+_GZ3d5Vx-#wj2XQFJP{;RV3TNR(oH!RixTQ z**z0zW5b+8xAa!P>{3?SU-wi*+NHu_<04heUYd?{-!igc)5+HK4U!5pRk~ixj!&97 zgM@ugV5cx2(f&ONZGt^_vF|Xf%|eQFfoCMqyS<l!XnbimwAkBodZ~iIzJfuTzUPC) zeA$AWeVM++*t5+<+tbaY5d**Y&O9aZ1o`0*=<_|$h=wy{_L(H2K%)%78f%3Cw|(yc z!QQ7rsl5$J9AP3!MZ#?i$w&ra0}^`}Bf$=vkMV8`?*YRaX8j;^s!tCx(Ve~Eh$Imx zyJ`xNuTeIbk23CNJ|J8PnuIZK(@6!<3u}@Xzri+VHL*2gpku;1j?}8T&AegMgmCO* z%zm3>GEbzj1d>HHhRBY$z)hp6Fb!?fxNGNV0fi(9{AHW~S{*aqUXsca!H^230h~rM z3+X}VRGt#$g02$8DUR|4of`(&Zg(|-QiVwng0VK3#WvSe1RX*XLLYX4;D!yxl(a3T zB50G&fT&s{h*yJ@TjRRhc+8+&D)A}+l@~<DAXdUH>~%~N`bn=}Tjn!Yn5_69HCQ{B zsWn@LrWu6l)I>XC7}~9e7O1f4m}PWD&uE)<YDrYpX=5s|elDw!elBfmq6$aYwP0#I zJ;iPJNM!ynvE9Qqh2%{-ltC*)7z@D*`x^7Uts!~w2WaEs41j0`3q8HEs0Pm2*N}|> zl=Kf)PsRXUHNDm0i|sl?3z8FSdhpsjg`ovSY;qle1NeS;!C>jU+xEFeSx-nr|3;l6 zO^D2t3XN(!5Y_1dn0gv9^8#oLI~-n6o><d`&kn&y7NmTjQ(-LdmV5H7A@WlyOsX${ zsJ3DS&sYs`7SK2%DZhWbdJ+!Q%v-DrK1HPWY>nzyt>a@2=blno*yz5uE$*>b)W1Ar zUDRK&p_%{$x4D3(Sfg6$Jr^RAO8OV8ClSCB^-VRnPMhS=g8alOHMsHvkEh#+OKoH^ z0{c?K;UL}7b=AT3p-n7;?m&O6TOB!ygf7XNBiRnvRbm;`p)m)qhc<DWCk`scydxnB zyOBfS0*L%hXel6~HR8Z<XtM|+y)#~hVmwk^DN%#M4%mh^OCWMP3T0qGxXNy7UX^Am z`vEQP@et1li8?IKJyj=a{4@gKXupnEp)4Wwr%BztQjjOEJ>zPqv}`qKM%a}dCJgU^ zAEqMDTRSliWZcSmuz)#!z=Dy<^Hyii8<YgIhwJ+a?(p<xs&DF5#*jD(W=nR-?s<cv zVCoiuCfaZy;r7z^-Y-Lo&t#)ouQV|q*yDJYrk{}$eTdI=G!ZvQEC-z!)1lC3<Y*Ej zL1Sq;g&d)p6h^g^i$Uds^n{qgm2uuf!eR(O3lG71Dd`Z!b+Cs4=YiB!c@Eeyh<uQ) zD#Jk{29XE4t}1X~gb~gM8LF}z3}A%wkQ%Bd4$k2C&<;IfZSPa!V1Z%)noT1i<{6j@ zZL?(X#UNSSGI|E1PFY<_BKJ=NXa~;#d^Qn_T})SHXXds*Cl^0yt#oTb;Rp3YlBOW` z1Ld)*$X2l`K(_`o^We*n<S2=E=}9S=E}VIne#y=Z#7`6==uH^x?(_OL8e_-rV6Wdf zH5Z%?7Hf1KL^-!Dm`$N~dMb{l+6BEyGc>@=Vfqp)N1Quz;2I&6bHd(8AUh*C0qoxR zV+d8zR?Nm%^3ZX0Tx8?<&i=*frl>*KCoRXtafuE5&OWfZDFxX00TT(XZFK&E+HPBr zo_ZK*xHK+TJvoG8X<xWM<-rQ?se0g4n~c<n>c3Jq$q1|gAGWzTPpQzt-Q8+Wd+g!d zMJFES_rt3B^x*^5x<uRF937G)3vhh6_=JZUGS+w83<{u!ue#MzdB`E(`i@&dz3Jfs z_i9x=`XK%Dl|`gnHlSy(;H}Y~s;+PTUr?Xg7qnFe-&MQKjo;m{UD;f9@{{*eYI6}s zI(BYNenD-vFPxlWZBtauS{zfV_Ffr(SdHpKo#-H0O(B>M!`+a;H8{avc<nvmP<sNU zeQ5546kZ>X3in0=qr(HIP=Lb+bs)aI!%>LgMAh1OQZ;HA1??aOOd)6wY3@N~ca%#J zj7MrK`)bJ41EwLsONhcw0Lhl>$Y~`|9r<LhTOAaAkTbMd3^})>S%#uI5?bk2+tfS? zSlT~WrP-1CIqQ-_pi6P4lv#H)cBl@@rcAnSa}e_Z2W8T!Muvcwbj*-^2U;sS8k_n@ z5=*J2!c<3RR}?h72RCPZmX1nM$HL{-0k9dwfx47qMLZc?ZSy4QJ0DQf%}>PRZAm|& zIyh>u_Jl|5unTBn?FQV>Y5TJ0+qnLRQ)?{noO^yikKBAi*@<j*c(_xoyyrkve{J0) zJJ5B+#d~5+11^7S`;=!$$HKX(o!I_L%EAU59Cfjq$TozxIMoVxYPT)WP3=VVE7mC< z11b+Ks7$En!Ub>1X9JVek<UZqPfcIzjf(<_Q^L0%4@d(~C*;Cz3sz+muM&!`-$T2z z!3<qDpTPQq>udmvrp}v#5B8lQ*BB4s`0Ou1-@|*}M?fVIiThaU^}ufT_1<y*`0h&e zjl^*!L{&Y=<G>8W12o<W4v6SyoI(RHphge-z8yUWyLXZ}rxdzEClbRLgQN%=%?L%( z%`SJrj`4SlN#W0dp>y^0xca?l%1X`04ky%2(gPPq7Mvzdsl!>Ewj0SpPV&*fxV8nK zDSJkE_q|#f5BkvslZjK>aMSAGG~oO8g@;o+k%q!kPh<O4rq<Zu>UD~&z_<|?Ru7^4 zexYi`e$>7OLbF9-hidF_uBIDTliA?{^xTW>FRN2z26hiE$W45H*{@oy7y~?V^1JML zA+G-gabQ2Dzqf7~04LQoQ3K@(Du?Y0XOI^nRAC3CA>*A@#wCC$=-2@h<U7@o`U-;v zXr{_M52SV^veZrRXWLmG1H?kk?bZyH5PmQmSgeezBUT2lEOnb8g$}q@!t;a;k93y` zjt&G>`l|NZc|yn$$~?^==CH_pP=rnhlnx)Zi8_YR$pxrp5=HT+aQf)lURl_nD3V$= zl_-s8#kr%uh5^n$X!V$_QoIUgM>oAa1{5o8i0WS;y<mk4w?F~F&a#HsewRD;j@!b` zpyR;K(kd$Wm(m}&7tqb4xN1H<IIwzh3?W-hNCdLnl8+9toA8($<e3OtJxZ<SGiVX? zc!%Gn1EPYU5*|h+GeSUtLy8CvB};nHn}SaO1qkkR9<4Z%*+jN>`1uKsrK*N%!pNcZ zE%|2$Q`K%pEeam3SkldjI?*Z`xMgo>r%NE|<YuQ!1kxDRFI_ju07QgBWj%~`_d~0D zj}#f<gUwK0V9AinwTV{-;h>3g+oU@a*#_{$>dA-$Qnig9={Qi55Na`Txd3v^Lv@#Q ze<FKn)Bo0J+CgMB;lp9WEx#zFYiz&X7$B;@dq$WY{^N+!h8HFvUZ8L=S<xeLdmmfv zW+0e(NYvc)j_a?1oIeKF9(%C-8H6PTPt=|9W`)b~Wun6rNGB2P#V2+zRQL8YrY-6> zlZAoZ_$%xlW@KSnc$cc<F?hj|EfbK*pIDFCJj7Fb9E`fiPrNb~Y%nK;Vyc`*2dln2 zoiOi4-EZybmUZ$=1JiU!yo7Lh%<OKlPwecv>rO<EQ5;H8SU9pj8Dhu`k5Ia>N|;v7 zrG|^$tL64sDgCi|8v3al?BsXV^Id!K*Q%UbwL{(RPJX<e?>dWPl}MF1hwv~4AR9@D z9w?4<iR*`B5Kg1r<fP8xDO6|Y0-LXZk2^`>i1kqOD4c(-q8W7zSd|Km>_1I{0Dyum zgKR*S9%RRY6BNY=M;`Ih0;`4>6edn#HbJ+xGr{9+3zkzvb~w#Z_&E>Kj=T6ovj!aG z<QIVyj_ntQ9MQou-L@q?_V)L!s|nw$mYudaJxNjh5EAkj5Sh%-v2cBg$OaETf>L=v z#1Zsx2O|H85Edu`K#Bpvoa7N9Ob0V0B>?HM3NK|1E2a<ehUPF-ErvH&2PfLIgv(MS z@(m$oxT~8vL%8uzNll0z?t1Tp8mbe#*p5n#>s)Y~iXIBw9}mU`VmPXU<ADNAs3HRp zjYq)tz6093*R^dy7n<_`d1c*sVr^x!y$$tjFuMOlopIjg97O`B4o3A4%w$rB`$LZy zpx5EGx3;hDvK|bOgjk8<gi{kL+Hkp@9O4l#o(0$RbEQf6W;>07M~)Xkggh3K1vF9Z zsFFy~KFM`LMFTD|)UdzrfgWr|@&f^*F5*iq#3O;AtbOlJmkXX&v8}I>3N%m+AvU;8 zU2pzA*4+}@jk#1k+1tI9KYq9h#EVVY)55dHtYcaez+y1a889oHug;A<+#T6U4^?ZW z1p<a$t}LRk?tVTDE14Y&>b@=8S$O|zK}-a>2^BT?mmJ}bhqP@^dNVs+lss*(q7wHd zBT$lHD!5x6-)b0`Bs4+jBZgOPzb5RqZg&MEjy2Zq3q&Xfqo{*uHlfF=Hp98lTQf;i z8%yDW=-p-zZFA**R)lg8k~v6lGnA@o{YV~N-wa@AF5cgcm`>fKX%IsJS`jKj-!fFF zzQw9=G}8xjHeLyqYvc}QZWIreZj4l6`xd1l*sMgL^0`J($A)9#D7!n?2zaV%lr?4J zP^FQ}HbB`($fPbt!IOpQ6~sZ*j*nFU-=c|U@s69W#}p($>|R<BYWqF+Q$E@d=Ij&% zy(Gr9?GnMpM+?G!Dh0v7fZ=J|f3^r)?U9Xg@0E>o?~#S+W31c0V659MG2?B}ZBRHB zUKY2C2K9PJkVTM8t?(oVMpDj!9c54I4TFj!X-F}|3wT$YDAt&=&jO{OD8A;%O3H1f zB?=N~unu7W;V9Q<AZn;SJgFV^3`7MrBykbBgQf8LI5{j2X0Q#B3Sxk2lc<}Y62&QB zI2mkC7yt|d6_MERCvXkuq+V1cNE8YnJtNZKX>dtsaSWpE$x%2DJ{%{D-tR$1ZDKFU zVntVwFqAl%3KB<@#Czhv=!PCBj3=d{+69_w&jx|nQzMNksbodQqrCUGbg=GYG}sEv zP#3Z%`3X~sGgco%OB7Y48<ccK^H6rZ+Zb*1VoyT*54_Ne2t-jUC!WvOoChwZ;J2lW z>$~t#XTagqv*!3G+$q-nTUx$;Jbq`Y7UohgAz05aK*js361yiaeAV?jHYnaeJ9xT* zdQhT)eo(ZEsx^IZl0J;3={(-WR~c(a*})663<?vUCGkXfV{7QKyQ)T1t%={LR5F?` z;B7azX~CKsjIA%4Rq@g|dbCV$PMh^JWti|8Z^qW_W)8d@?ju^i*MYK`RG<PI%y5Nq z1j}Q2aSP~S%=xy09=j;nGl6s<^idI-rRRH_*ik*#*6Mhcd8FMQF<h7>)^YRb23obQ z{WX-7n<S<Q?mx_IiyNWWe-xISq^{|`ab$$nyay|~L8?TmYJ#3CWn3aClWf_JL{@H6 z&wHDLk5R(Ko2v8Prcl8Hs<9fCPn6v*%>ikw=JO{MWm~;-RO<ZrIdZmYduYEXasDnz zX}2LyHZQTrt+nmYG{0fdHB6ux;932lAH3bfFf={95QME5Ls4xShMt3O50FS~A$GX; z=$X29obo1S6P4F+T9$hAy1=;~+iays%EGqdLxov2hYBAEjm_TfQ8oj!h6k?r7-LpV z!PMajD?Xl>RWtIjgUYellfC0FNvC%lN;`{Es+#Pp+~Sl)g2LR7EExhb#i3W|WEINq z+k6CetDc#)Y8SNrQu706$m4Ox^!>}Okm{RNw};>KNnTVC@oT!)!75mjw;5$vrs&1C zrTz8nazY(=VE-$mhT|Jk&?n=sz4f3HqgxeC{>;YTtxA0Q8<k>Yg(}U1R`!%)@;6G& z8<z)^V$wIt&GAbcN->W&%FG$(W|U&wH!94p&O;D$Y(ksn&Fx8eE^jO?Mao^GNU34* z3PEp-x9E*e?^7F#c{Ai1Z7@M1n$P3aeF0b%IXMfz4@KzM$X3oK<wmJseIKnE>Qku- z43e1sHmP89AMFilQesv8rACh3gf^5p>Nt_6=>%Sq;!%j+RT%ViAT3$X5kM3OcEE08 z*wLV#)d+!Y|8aV<ZuogDnBt_;Q*|`fsnb#V7$BM-$G<^)x`BQ?*)EdCSKsG^+?%NF z#jtluJFY!Frv?y`Vg*-`U730j-n&JdXo2uDTD$~UnyPh@0##utkjzg=`X@B?6WUs2 z$J@%0bho{af@#BFfs)!fDU8tUpcvMlq(Y7O$z=Ux<|)kNPv!@OY5K`5QWye-Njj6{ zD@8-}N?y+bqX(t1yQFMt@DhbN3pI+=IrL*z`G_z_KM9+p#owkNvb&31c)6d<K82x| zdznp8xN}Dp1Adl#B^j!#{qZ`9n{Sezz;NeIAVxTaqop4tDkgu1{QRjw3h{)ToH5S0 zw<o9<j9ugpQsv{jLXd-2MuN|XMP(x~gUZ-Xq-GlA9EGVMHTyx+f0EZf2@-Rc$zDCO zuP{J-5Y{R{;J|KR;@U%>Ek^C13G{*P7JD!fo?OlR{yI|X^t%kDH@e${28zQsSKOQI zSj}x83i@j)ZZ{ZY4eyR63nn8y^%A}E64#Q6g65FRFO=khae;<XBfKj;Z~J(@l$}~B zHxbw4N#@Y~jFP`=W*sN(@b3B38(>nal=Hi2rI$v8+vXz=rTn$jaN@<y%W^B&x<v%s ztmKnsx{?D&`Pg!^Ns-ZFoU}i`u6DEx>a<DOXv`SLm%cz&i@nS7N+j}j4t2Vk17G=_ zl_-;W6NOmmxOY+(HZuc}dIetWmKhEDd2(fT8tJHY?oxx5uO{<>Ei~x{-%pmWm3fw0 z#N5iae@vs<Bm`nkE8cpLw-aql-E<MehQZnM_aq$Hh%~G&Mt-XU)B3JL_<AgfmLdw< z<B|4bC|X}Ps6Y=L$w8#y01){N3!>Ls#Y;V7A-l@S9pLm04jePpW~0E=F+319u0;HK z6Z~AD+#9~_Dnq^R5|JGuP+I0?i#TSg93kmD7R=nY%bB=S%GtO(%EiP^$b#>Wd;qd> zF|%kC$*WMN?nZgO*=eCF^Rtb?rI+I+SGcqt&s$71sahQLYWg#~H{`WaTz)l8sm3VJ zWCV<<tY)GqBE9B2`|X`sDRvAMsZnoubcH$T=)F;yojU_F)G~&d3}msSzItJ7omdmu zM-><Q?g(_j<gDDw8NRAr=bVxGugqL8!PhK;<k`8|4K<2tK9`!`SJN!zdY<L4Cv%Ta z`i$~b3+et>N=1@&%-mD`8bz4TmF6!xoANb2%$uB^*Ur}%O3;jX1&`LdwR*ht^KG)4 zREAx&bQdqj*>irjr6$C_{EoXYjr8l4eD@V6Ktpm5oboItjq)?57#M7$_8Bn?ZiX{L zh`{?fW`WZT%*NLoB*zC<B1im$UYmz>NayQ)y})Ks+!Rn+guN7*(PKyJyZwsuY;)~7 z6GfLnlcJzS249<cCayQ^n(3@U9DPM&Dkf7?A0su&p!Cvy-#`{;RoS>JQD;ruhPNZX zIlI#`b3=qn@Ax&Cxcw-hlZL;)@a)rTPZ4YT_iExH-EqDpS7@b&Z_1kJqS7P3d7P(i z8eO4j8nt3cQ&M<k<W;NkOrXj#kF%|UrfJ8PUiw6{w29IleZIZiG_BseBPdPZWmU}$ zEvVUa=N*IIX|QaXez9HN&bB`Vc*~sjZss%vaL<!N`D-*ezGbyI*=%*zx^Fnr{v4da zSEiD`w7D_-tb#65Z6lQ`vM+f$#y2aQzcj4L@a%YxRHR<^IO9CO;v@ogRi`h~j6U`S zZs}{jy@Vv4-Yg%dw523~TZ4Gfq!bHNJKZiGsR4aL6N8@taP@`Cn)G;?=E+3`(xeME zpD4XNyRJ6RLZgv>wVAt=_4chi&X)=_>3l65rCiIqYV&$5)ajR7PL{4Me^Dzkt)i7i zgeaOk{!*6TSMA80cE9dc-kaAvH0c5T01MKtMqWz$B6T{aszB)nY?fM4oH2v6ZkV)5 z*_>3q9xsA5?e?gmN!E`Ok$u9#0E?4^n|Z#yIyC8~11uJfgd2HpKE=_bI}cD^v7)32 zVWBs_Pic@T-F8IEWO;E-O+3GrIz4`X)j~r_%*1V3Gg8k;nAKw8i;RiQ3QeS5`&$-^ zcS_exnkJYc`|K#MQ%YIYglWwJYP{OVK$G5^`(aS7FI|XUZ+=3=#AZEBZT@X4P5P`6 zvqi4*C6mdGXtnv~A2jKN8R1gmzACg8?T;uoe~fL3)Qj6>vM>v~UCI@^OBphhVCgAB zrP|%TKzeC#tC)$+_IhMrPB)!2to6J}@XqVVzG81C3!`wqJh{~q8bve@SuNtj&GR_d z1!&T}P{&IP4-eGtqMT{cVPJt$1L6y{`2}lQX-%YxN$|nlNWBSJCW|S^gS?deC)DX3 zNlX@^ASIJ!;)8rW;5eIwBS_k0@+c;<Z%dZZq8KD+B1I04)FURbSsp+QN;8h>#NFo} z0$H#`Lh@}{vIWcM+f4IqId<5-yhQhyc(SqszRaMjO*{by(f8wg?v(<W;-c>(e0)mZ zGP}myZ}2fG1+p^4-XHW)D?Q167;}HU+PgFZa2S1`t|qYbBu9&8ed2_Sh5loC&H5J; z@)j)d*EH&jC$3o>#ye=%*H4IBynm9TS>HY(WfA&RP_w>&;<SZwg1knII*?_|*ZI)o z_0^D=`@=O3r9h$S*!!EqViwa$o*MNulS&qku}zV-u@3>3l#$Le`{75O&g{=(SsWIS zCpTX}oi3cfXt@z)mFK$%qxhpIce%&GXSKVd4D`~eEBxh{g9x>v30GR_mMJ-lvVE*t z(aa&8^rs0Gi!6L=WM6k2oiyF_Ig6%Xl-k`TO`7yHPyTX`qaL;SHBIXDVo%O;;?X0u zyPggj=>x(-rG*fmJX~XfW;$B<Wa(w{j@sRTPR(@OK9j{9=&VW83}u;1i=$_D*rR-m z8g7*ypFbU`kvUB-ExdMW>W0a8kcOFR^yP3uYb?Wv9%I>gjT;kne0DH0qsp7eONE3B zC)FTS%}j``U>_b_EqZ{mBg*M9F44OZ&fLsL(8X$FB-`Mr!VKO95Z30?1k=S6=ukC! z>zUXCl_k&cEQ^FbFQLepMB=khK-jrA8{3Iv2w1BW;VKX3Wt4>gN{$)0`drP?Zl%<z zPa%)wRDL=2N`BSm=q;?Rdx~qJ06{>$zg}k+onxkoz_&;hhGt;!g`o4ePW0ZV;0NnA zaO>MaJuIakUZ&jGN-4^p6IVZj(C3v37!fsF!&Kg^Nj*!+dnkEp&234YuK1j9yfOj4 z=C3At`zb)f2MWMX0qkA{^)}wzN=dGn6X*F5pZQHl#?ki{1uUh2!x;VVidoVDauQZ+ zn3t5go;Y_IU3ko`+;o`&yrBSwq7<Mc@o6-%#pFSna;ccuX(-6TV4iuU$Eb%VF22fM zSCIQQA;qL7qoTAx?>t$=;@kZFmC}Je5GyyVDORa`4VR?R<y={m$lO9KGl6`r88iK! z2@;fc@e}m7pkEk_jz`%W3tGkyYV&U^Dwyc(+L9iWHV)*BnGR=yc=|SjY5gq}7Y5_v zk3JB+OiyPak4v+U#qE_|{<Ihu&m^cV_~kC)N&cK>oVm_*8<JV+&0(LFQqfOfc5VfJ zb-{FJf=vGH8?GigMfRlor9mTEW2UAV$dl4<AD;BLNS;ST$IIs!{xqZhk$Nposk{p} zuG#hSz*HyZCP}-r(E_?sdi)cLmAgPGQ%U**E+&7@QpfzK(yDag%cU{X(-}xX=|ul? z{uYnsH>2a-1a$<{oe1yq=j^)8b;NJBp0#+jO1n}T+Ye&n-dDP0^=<WxFUXp6V4Ev* zBFs?kLL|Yq?6wnI@@=Q{VqI;v*W)AW6S-)V6|GobnHTa-NY&hS%AqI*o^aDlUo>M~ z8@qX_IzhCnjs8P?q~|Fqdf-Khr9Q>djbb@XDb5zpO|!}^MIR9Iig)6GQtz}51x%zA z7cpb?h`VvA`ZUE-S(^eTP(UdPc#~o|K(Soxj*ndDl%jv@UdVehP)@FAJD_atmYq4c z|H!o{KEd;ZRPh%vv+T8LlLv1+#kzW1S-2%v`J{~Y!b`7CdX1URr<0v-JG&K5OeE#s zcDAA9#*}>iM$H65v;Kq!mz2v}?ZW3aKW<#A1t#BiF%<p&zDj5{xApp~(4*L!?hzR^ zVcoB9zdm8xZ3}wE;qEXj@pbA)#7*~<w>4j-*KfbRHPvl9{ff`Mzt-wi{m@hmv19dv zt*rl}`b1lWuLt*AZmw3+{6D<BXH--1)9<UIpaP;I(i9Y=OK;LadY9fo!B9d|dPhKm zbSa@j=)Fkqi5Te}LN75=1?e@E<ZSf+Jm=oE&ROTYxpyrVnfc6o=QlHZ?G4F`Se18) zb{ZF!)7xLv%al>|XvY4Ml~N4<bbg-=w`-sGqRTn<7j<Z7xSk&!o8*CfUh;5K>@Nw6 z*alDdeaZTy{g^Keu97$DU=n7LALo$R`g45JzBd;Ce3f_~uQRCla2+QW;T{8b&htIE z6T7w8SG9F`9N|`paLPNB&NZ}$TrieX<L=Z|OmScKu4ErmqO=j;SvA2!q+pEHRWx1| z2&==1uxgup)y@+fRjHcLB2qL~9G5e;7mTW-6MHu5QzkT;T5eS4KED+^;qD$g5x~)9 zOulu`uDsDM??i`=gR9Oa&k$uDJCRcQ!#HA#!j7}qJMVD4q-vt7jj`Oh;dAYlBb~iO zt7o43USjOT&{>zU0X>af+q~eYs9&Ao#(p_NIcCGEe6vM9ywXAQf|8OllA^|xO}oF^ zJ1==zvdS{CR6d--QSR~M_Ptrz4)%4+4t5+*KuT0`ZjE7pVo|c<U5=IA!rk9)+0l2d zVKcHVS>6DT9g79$IE-j~uW;=x$6-ze1c$CTb2vqe(HI`_v#P6bkN2@0ty^yQ_8p4F zFslx)i9LMNmTAGl0JgC$kZ5R{7fNQYGm}NE5TIG)rG1|xvsMUJBSPnE%5E`bT`Q9m zb-wVbs$W3=xhqVms^9%QkUn!2xDD$Dde+ur(X0M?U%e$0rJRWQL_?O2&*tt;iBt9k zHZW0VFz@Xy;BTbaSYgka<3_?5VLvZGUz?=#9b7p0M4?OMZGoghm7RM}Js5Lk<s`#| zq%e*CqWW`NOG=XPLDYyl7mSu&L!XvI<H)2ac>*14X>4&i$GAEfy(~NTCGw6Z7Rjs$ zLL2@bMudjv>ve?Zmp@`lJc1s#h`?SrHaAa&9gF;`K|JWIY!;+8<J@Cg@Vu2aSbSb1 zVH=|H2<x^z_Hp2cVZf|o_Zm1>b04lT)k@U|^W~wQ=iMvL{^dGwf@^T8dbCZ}VPz4v zyuYcT5E|`Qy<6px6Ri=5O@Fn!oocwdt;g7b2s{k1Nm{+fG2v^O*J#bAZ|VwFdOu*H zMknoFCjLQOo9^2rGkUF3$!@{oV>k#$&6bGuE;3x9kF`vJeF`_i(Xm-K^`DKIWy+PE z>?+6p8dhgqzAH{%>gTRq=9fgzxZHkzm(5`1ObIK1mha_15z;Pm+cvBkb!J#r^Lxz3 zvRI?kMAOHx{O);F!v3yZ-lN!sDwk`LVF{jl@ALc;Vi=aI{ixU|F(FD#GO7&A_c@~z ztPTS5=5mi2mY;EkCzRG2lszi&WL!qKlCycPZYp_8Im`Dt8v>g30i`A#XSv>D9|`S> z@n9tp!%F#H!D)Vd+(c>YUbA^v!uFv{p7_@!hGm6TDmDX$fV@Z5lnl#>67+1Vf0~q< zY=;zjL#7$^;WPZPdsCUw3Fsr;yw(O5#^qS?du+m6yGkOiG4j3nz5?3O^Q}rvo-uO0 zJ+l(}Bsh!`?@3dv<&G;u$4?u@@b;nb2O%(OHbN;zZ4z8I5F}#k_(}iyK?s^tpCrI1 zkLtV@fW?492T-s83e8Ie6`**kO%mV-BwPT&md@~YG$q?sfOB5snMCZbEl<VX>?RJN z<{%&!1EkI|4?^fE*$4wo00~!><xYb_cnkVIAaMmG>VQP}65IuVKLFeTV8z%mUm5kl z6D^`Yck#ur>d2m<W2TZBu>^i;2}E*m)ujYs6d{PXQ;uO+Bt;A&__VZwj!Dk}=dxZY z;~dYff#%gt$MNl5OG=~Sutu2YC12z(O`35Tl$~6x$NoA_`htFUNLyuzJ&d))QYwZo zH!vc8gH<g%4n4yuCY@E&K2-=Y>Y3sh)}5QYluM}aRO`+yGZ5Wf+z1N&UXZ2A5}#Xy z*Z`|)FJCoP@{cX$SB8?myLxz>C9Ddi=ZQN#8#d5@a=Z)3GYxV+k!qw8@-Ar&+PAH_ ze#&0#a&s^rclAI|XPNxZ8eTTLGLaqj^ARF3$Q;Jr@Y7+PUe-cFFSFeUB^9*5pf}i_ zf|7E7U#yEIvUz23yA4!g`tcqrNyZt<&i&@a;gG2st6ubXo^@D@mu89Ir|f{otG@(_ zT__<Zf>{yEdA(LsL1?7G@T4ZRi)X4*BD*q)y%6JuaB?s2(OwoN*|RsNWKo4~yi2v< zZlN+MF>MP9DBpDPuv(TS+1YSg)9mPtdNE$PYsTeVCLX5KiugXR*wLHvqP^0{lvJ<m zJZ!NTj{)~sE^9whywFT4rQvAUGh7dBI-fMeKJ0phpB*>t=xuwE>O-+*RoK;wT+?i$ zIYk+YP+t@!Wobr3VecOt(yT37ErSmjCSN+Iu^Z%8A}l_^l}lQq7%wE)54cbx#hkFm z?6Ad~i0BXMt}|JECXyVwnO&FwPJ%R2+mJi&v1;-BKFNsfPXqRTur-CGp_@>~(JnLr z(i)D?N3LYQYGRzl9^P{>Kbmx$61R0x@zEZ7UGo%8Cd$4-mTVgDxJdCG$)U$4K9{G0 z!E}ENWhAG&qwe*B4}+Qd>qM)0y%74Zh?8ml&O7jTWbrMsLT2&w)Sa)Z*_|sQ`{!KZ z={Ot5Rki)5aM2+ZuNQ(C%(suBI^=X3>Ryepx5!w4?BxHJ-u{#O+m1jqo$qT*w+f|0 zfnFf$y1D3NQ5f0g8qG>9uPW2FdS@h5WwHNG#Z!7}ej|2L#z_zLlkVizIA@F$Ln9^i zzQtbVl1D`leN(ypAH~i`DJuMsRq*%&%(Stfs<c#&N|og49lh|A5uGCKIlNjq4QCeG zEZ-YRUI*^n@hYbw$XeCRe{;p>Oqb?Xf2kfL(ZPDL0IjrUrV4p*Qyo~Br%<1i#Co!% zs=druP(0bFRN*E+c>D<_+gMP;D@%XiS;1t<$oE=f!Pm*grOc!{;PKWsF6F7BY9)F# zp<#94YrNU|15Z0AOYDAJ)Pb3UGS9Kk_)d>j<9pUNVVX~K@qv=iNb0Lt0axRbPFl}K zB|)qQWd?JM>peR@Yx5<t6T<wTzJ5BIJ8ke=tS6Fafd_sFXSv&%KhypMvz%Ht*Mawf zu*^^h>1wlWnscy8sWnNmRa^{<mE=pw`Sf0RcK0}!YrAk)x!z(Zk^T4;f_;^}P(McV z9T{BQB17}reu<uKW{Y2Z+<uJOl$^%{a%O}<&NS=QblnEc&u{JNarp-OMfH7sVzI%; z-EC#2K!_DhDwcsS$p7g9&XH1rkI0|&2sb^yvNymrss!%<F@14%r@oHkvnX9*;zpIc z={YwRd@jRXr(#8NU|uTF@71KQu5D4Qr!#I~CICH8jre@pDO3k8*=>$kKkF3Yy0%R_ z+gKz>-FC>X?mZI0jUE)Pf3IbPp6UcGo?T`Rw-o8CTMYa1Y_H(W!Q)q~t0JO-5?pCW zATfn;iOh_dnEHZt-_g&CcBdX*71bxb8|C<o-`|Qhsp=Q)><62ZPNARWfcuW@vT6a~ zaxr!SVk6F_O0&c$XNN^TTR@zYf0^BK*;L5iF#lz-A3!nFB{blV1ORgRGoTP<3JZQE zXBP-Z)Ly1HZ_&=8N`iQ;^Yv13II~jbc0G46yQx_r^N)N{LC%U|9a*P?ol1cPg-V<X zBluvcQeakL`$9#>@nEV_U`T=cLM7he09h%3R6w8kIisftTVsH(Xo!G0D@L*|P4c+3 zMg<6PqP^c5a9FX{d;ZCr6F^tvyf~kY)NUZt9o!lv@*Zn`nH0PMY?ZL#()^;_ncmo1 z>g=FXxYA`S9qYUdgbMQDRq7e3%ddq@^SwuD+~MyBBS*_gU#yt^5Xm;Ui<rI|rk}yV z)N4NbT<gf#Ea!P$8#$<0B9T?qF<#CH%y=JEoDs{ay4epnWX!$VzN}h_Gm(J952OvK zB;*T;0U#X!4M4Po6L97LXA#iNX9ButxfDB3=3ccH4lV1M`7pk*E#-t159gfz+Ozwh z+<6!)!6rt|$Yzlol&Y?jU?#+?r7)c*XY@9n92BjtoWLn0s0Ep-l{0!XMh*&=_`-U& z5i3V+fg}fcJEpSM*em5YRAh(oHA0wrXPTL{AmfK&)sb9JVmzpcFAcSX@<|r0&XFRy zX_;Jd(Df+ggd1+IIck}z-N_s&+-eC`uGHQi>}O!CR;w<2D>^IO%)O&c!G7WP>6xtj ztG#m5FAQRNYxrZdLGyDjAM;D@e-T|tUD_|Qx}$=#&H0m2+LKI>irAKFp}nc3uze!V zklPSI_l4J$3H>F~=()EIeqL0Q5-TwINK#8-EPrW`OD8e1DbKtAILy4rdrU6HOya_u zC~aLgc4MrF+fB=u&zfn)IWDOg1Hw^#Fh_O;tP>_`b@j)zl4IQ|9a*^FEr|13e_48p z6*Q=l?er^djX>P_iIq}*AVDwJ=}_Xw80i0DpfD^)iI>`ye*eaj=3G{-9Q6m`XT9v+ zNUtZFh0)kpto>5V{FOR6qhR6F{XTh#$WYgJIkxlL%;Be@VF5Vh&a&Qf_nE*YNF`IR zkT{1{VxL84pU-{w{?>jAroc5wHB+yWxR{oHKf0>5kwvHLPk(D7Vv@+o&?Kj<BkewD zL^qb#lfN?e;=XV?hrZCFNEn}E2|0+*?{)P9NdfAc^wiEhLshK;h}aQXslc(f#Po@* zvU_uK)Yq8!d^T2ztw5@oNvxyBvYA^n9v!{u;!;|u#zgS}!Lx9vyhz+>BG(yjEae*I zjOl8%+<nk9^90u0DKtZ)l~vW`+!_z+)NE_|NpI&xV{@?_OUf0$Q+mWjW)SpKtr!H6 zu)s5|&OWWBo(k&J9_!!RQkAspGMT?pvpae}{$520PqnILuG8dF4~=Vf${t!h*F=#q z`{3Hb;sR}QFz8g)b7AJui@F}Qlis&{es+BHaGsR=u(QY~?|_@-Aq?xl@UBLVnx;=} zqDwnoVz0PI1N-A`liwqbzM@L0`rV9HD68ymrNC(Ez%Dd*5dN94=SHZU(cRgTvqw{Y z>8y;qD7oprNv2-ESy3%HE9sp6)v7SQ<z|-N1h`PFy}fIoMd;)yqZVZKC~VGD?9`WM z$}fS{b?*V+ipeU1rI%)NImVUJarfBeE0#w~$XbGWzke^JJNZo_eR{Wl1HX4NZMRZq zKji!_pSAc>XdL>zk@=G6{1?SN2H~R1JMSLOconkvtXDy7&O&NlI$bWsf%asho;En1 zmDtH2Z*k?q5iijq!xL7$H=&+jG&zFyY+o_MU$nfD4&%EJebzf+GK7bJHCo!<{kF~2 zOJ^F|8bnK#&`r_AM9m+!pLD-T8@*Z;?%m`4ZKKChSSeQYRB;u--1}O51nv3~&!ly< zcnb%Aa5@ymmlb_{TrnJ|lJLeo9fA0SvjXmPs|yL91N!@*&yN?k<Ff-{tQ!ubf!w4Q zEppTL<rf!12hQZ6_PV{}rD23}f*@)X-5|@I-}?%@b9^+6&`5YX_8pCv#j|T2^{od) zUAgF!qvnpf5WRLv8O9e&gRLVx7$OG^a({-B>K)mWPx&RXnlSHgP_A03Cve7}3PzhC zn0gbH@5{d=I<2E{DA5L~R4P2?|GkgyUTe#APG|KE6hkP43=oA?SEil0KRRFvtFALR zgb|<qlA~6izYp@M{51*riWSpRK;H?g{^5#9{5W6%JiL6K_3~JV7>!V7QdxMt^~1#O zeoBhsZgud(gzbJ}>7=Bi{fj}1#N2>2eezvrkytZ|TU-#ngZls4i2)oT_|DYHcPqfb z^UJw~jyMa85AHZZxcS68cWjZlBo?o|@-X`YHt*p(-6!A4fxO7Sq7x~ku>tKo_PgxA zqW|h##{XYM|1TW=MdG1<HUDRee19W-z<Q`Hylnrj=RfBHnDL+UFXulFFw>8JGe!Pg zF0f|Be`;X4*?)H~F7P+!KO^wMcZUC}$^JWH_0JLd8~NY&`@eDo{%U0ZyW0QB`A-M` zDe!NY|9R-Y$^NrTU@|4rjI^?;Vd}nIF8hk%JrU!PYr<M)6Xxxf`4pV*Ovdu(Z$DHw zsQvb`q|cbcu&g=fMq-rNOy%pUz3_+co_H8}-iWZ~FwXL5Qw^m^)v;1BMP@Nvy*j>Q z_SNY3C-8X#%J%ig{k{t@j{jmfyh@Np_q}5jq2`Og&-5DaWuqXkUeIUJzkaV1MW{As zn)~z~kpH5iT#$wxgF~PHjGP4v6j_=^5gN{!=eCo3qE0XmX#I6fZrQjAZcPt#A2;Xw zvrlfoi|6Xo;=~%S)9pdH>_nidM?ZDBrZaS!Oj`1dzdOU$A3D2M6Suf&E*DI(LpiMa zbXCvHi|2Gg<F{R$9_>v#rKrKXn*6n!7#ft9Z%=VTRoB;a8@6T(T->)_!iSm!wJGKv zE5K))Aljhc2TIG|MVX<qu9Lcb=bPG``<^Px6ARxT+}ln9V-db3%Xqpae$#m7y_7*t zHOamv;_lUE!bi-?haYsEMlW=ldK)yB!>6C>1?>bCC$cN%8Jiz!)QL~?>S1<dis$&& z5}XE8r_u%SaghQOYg=Wv28`lUYnTOW)WL(P-RXj{BK8cf6LNTO1Fy7^E^7Gv&SSw2 ziV-u$;jP@O)UvG#S@8;#4hm4X^kQ6^N@~D6D`oQgPONs}-w&D8jT<ZSdPuBPQ<vU3 zD#z1TTpikv*KLnEwJZJEbuOpBv$(|ZP4f#k5jV31VZf-S)D@}aLZ%NeW($%4h~K>v zqdlq}*QJr4t3A2jxW8~;A7Zh%5)dnXzx9VE><VJ3Q164q(HQ5q$}dA~b~MOoCUu7w zrFpl=Y!U@-{ECcYmXBn$OZ~AE$E-m@AoY^Yc=TD(QzrFDYSYml^JA*1cLj^`ieD8% z1?EAomB&1Z5(GHcQE>$?GqgvaCC00!{_xdS9?Q{qZub&(i%H!`1fEyi+65I5S)Yn4 zkbkTLB;~564$mU;*t9QHQXjhODvueTCJHnicgGbdJ=PjsDnhEJuDKX0ll$}f<?(6V zmQ`TaG38Oq<MXE^kYkV6<`H-XTP*yXBoIc4lwptW;l29|wt49r-3XCl*BRjL&gHxJ zSXOk;D4^F<dCY*vEtgOIaflFm{1mS#BTTtdI;!{MLz0mqHP5b}k-cxdNcxJ@JZ75m z*to=?0(t>mam<~6UX99?ZK|fa%$4RTznFyzu$9^<kNs)jw0o%$6UU5nAdvjfD(EHU zavWDM?Z#o})35*2|Du+9XCdIWx^ldYq#Kj%5}9cVjdvJ_-ZO3wCJ5Xymcx^g+hx<l zcE7@Y`d48=qY(R2gBiy?sq7Bu*lrcEnLVl0?;EWs&5*0y`{Y*NVAx{5pF<Z*)H{>` zqNFFPQhFrkP?!)QZbtJdLEs|GdZUXp`hIVe_|91WH#C;b=I8ds0sjtJ06Wczq7*0T zc|0tK5I3!9K!E>MSP>L>I5zbSfn{^75Kq{~RDspgeQS-!mR9ua!E=n`)}p3$1>XkB zCq6cajHfO^#+bLa3x|TvPTBl`m_=Q|&b)cKo$q3apz?M-SiK(!%IDL&l@!@qo1a!9 z`04j4SlzL?$~ZoC!F4mR<S>c$EKFfM^>cu1U4i(#ae1-&*2I&&_;)I)t-=d+@AvW0 zpP?6ltX>r`0mJg&pF9ohXD|}usnK4Q9MGe9LNk%-%Yd>cxhe5oDYN0agPpM(W_{$B zCd)2hM%=qJfA3$KQh*6<I{O`5@n=DkvTWi<Yv^1?0*q^IhT;@}ZP_rsRTcRj`j)*{ zdo1Ir^~ZK~1)H4Z6A#Kj<EehjanILJBy#%<7cx$5fQ}En>zFMt2c>G3_-bSF^SKP) zZ>!j5WAYn_;)!Pp=`L7lK8>c{yvFTP!KU3%u)60Dwj`KBC!0Zv)3IwEvn)=#{CDh& z0d{h1K;^{89w}fs_mf)B-T1h?p=`l{2KHbk!0JhCTv`t4Y5b_iO#e$;JLO}TPBWhf zxdlk_V`OD6U-`XxG<&?zdqabQ_rDUlL*vHcm_4kS)DNGBgvQcB1#Xd>ZSJX`Cy4A= zFF{pOr=}l>r_YhJig<%|5^<&kF;6P5WlEb<%t7Z`^X$HqLIv8&>HN}Rd<LTj-4B`6 zHDp=ft+Y){CnPQ$J92!?lFPFvJuW}_FW!pmJd-lIGl$enBse~ZU;Y+<iHriOA9;xZ zytNJXoJew(9{-c=5)oaZ_)F9U<ZB)R`C?~49kWYO(`7~~5L_4lg4-CDz98T!w)}1E zGMEVj8ykS2!cbjt9kFo5v!L`fgN>h81LSW>j#u`!7k<He94Dk(o+q`y81cWi47vjw z(a`gj76V2+p=9EDd`lMt{^ph{?yBDltgq*`JZ^B_Di+~>thwa;Ei?{6NH2%$ou`dO zygL?H8frg_Pio%&bbiZ=d#~%~d=GuocE)-3Sw=T~BRaYDdMmLvub1N9*;eus#A@`@ zJqFM7SoDo!2E^ioFZFiNoamSHM3c}jl+A~?u<8w&PZ5|2p0_0UzJ_I2u6JD$SV7Os zFBa~r6j+L#iKV1=lUNIvRUWLo=V1J5%f=1tjh%-}tL<rVFxN@Sy_zi_xqwbHM7L&$ zFtgN+EwzAAv+Zup#tla7drwCuDMEADIjfi1p7=YWJ;tBz-DDw?&xUSPs%H{7X=ai- zDQ4bqQq8>Oq@H=zNjBi-T=;pG33(j-JA0`;w}uKvO@f9enl~yHGJj3@F7-H>DP}HB zI4p(z)axeyHqgB|ZyfE1FsX^%cHQ9JYx~K(<ogY@H}9080PidB>h^55=f&Q6_Yyvj zee4w(^&VeY9H&JT*VQB#SnwA0zIaC7JflQ@Nw9e4rFmKj#nP1SCVi#q_}=cRt|OhZ z()i4Kxe||Pn`9T%EI+i2iw9I*nKn8ptmgeEt>B|aHd~E<U&1fAW3M}!m#J+#wHhs7 zAaD|`wv60P*;`^NsKp|6mxt(6?*EQar#@sUK%I{1=1+TCvoD*cmK^vRm1yq{X+skO zom55;N_rJIP)XcTr8cxJFr!2Om!`|Kk1k-(hs75Ez*VT_AEWcxd+?Ha#W=EJm6Jd< zy&1etNgN)gQ+MrzNiX7qMGt}LMtSwj&2xnd-K6uB;_+|WD9!hG_SH6TZZX6DJeo-{ zet0f(MsDizy1;`dP)CaH)E!hOSCljGez@{^spCt*L#u2HQ+@kS_YbYIEMDoKeWF=c zY<V~is<SQ1U!XsYNSS$oial#e90t|-G=`pP4Qq0gK9-Yit5ki*SqhR<x=>1z)73W# z`+RzD(B4dcBP{t8GpK5+?;HN{^bOZ;S=qyfY=@Fu*!nUdO6o(|j8H_GJ{4#^^aZ!4 z(Iy{_mK4?LMv5_5fSG=&GcjS@W6^w_sCiA=s9YrVmDD#Tp`>xR71R1z%a59BV?Tj> zI81evtCUoUfBmfaS!uQLr!qBv`pNL@G7kok!?x^IP~9V=Pd?6TQGH<5YWBmn^o^FX z38sPK?cetm`Rjy@qFO^;-zSY<Tb5jJX~{dTp2<V$pa<$QIZC0u>nKn6&Fx-r!)Wj+ zS4D=I5vLJN(e@wXb?A6~KGR`_sTjQ4xTQ7+o@>9HRD7Xozjuf01X?WLPqVaXMqH=n z_;%)ti8Q^Lekt;CapfI<T_eX&9y!sNE^K$TG1;ctV}h7{;y$pZK$s}x(duf__;*{% z_0pG8m1RPTE!q|xb%ore6r)Zk*GYYNnTK+Vj`Qt{akcU%#|)L%FQ96UPkrOlqyt5C zBD&jpK$CIsvTjs)t9ibYxSo+#O=yuYL{dt}x5uBa4&en8f?)#vVATv_{MvQ*;2&C> zR7nO=5L<s2#x-sb*hqw5hd8=Jl6MySurK`jfZBNU$;&-!E)fx(zFg97L9X`=Wp58z zijuNm#`?jh@u<>ln6^G@n{6HO0(dumufe3d%6`B?fA{;QpHzzdvW5P$U74})B?5~q z%!D;ap1@goT*zC%n>^`xMsbIZjSEzjfs=z5Cp1&}M}a0`+{d152DWs+p1%%_jX!E= zG*m6{s4Ub$kKXviUl+QrgrhjR=nJ=AP}7)u5mcR%$b$6<tnd#s`gAL1-+SG3@2$~| zJ26^hrXz|*^5ikvWM(poMxUtmixi#YWQ?>X3yXzAaK;5fCBAW*ZehzQ#`iBej=gIl z<UwF(vE<W-TvsJ^`pR~f2-mE7!MV)@hl#`7Y^CGtM<^2+L{XVXSzA#9B*4z7yuUsd zPI%DQ3$~w#7bOf>O-dU7<zTW-^)S;yPrs#x4#n#xj>svSupuwDdhH$HZsa&zpB*hT zj}-)Nve{HoLf_TN#BsWEvBSD-3k&_yS|`+GkYs&Xy`72H_LU1rwQ-Sqess_;Y!s+Y zXEsih@XM+&blljHc>S>%uWEsieOmmaXU|lMafPG8!Z!-bw_t=&&|D9boej97o~@~d zqoN0u=t#Z?smNm1iKypViZb1OS>WMF8!znfNhrm*!BKAO*KK;fy7#kRT8lXnx>23w z<V~fj72T*DM~OXsu2@E$mU{P|dDA_Gf(ggR-ScLEA>_32rNJZYQHpVMx%xsU)5o{q z?fQpJp{g%>Q0tEBd-YuJnRI3wj+QdH!kBc38$FgbO{-N3Jl-A0`!>CWs+D7Atij|5 zsdl6XC3Zvy;dWOKs_oA9wM+D+xC_AB{8D<VFiPz@w4bD2DRNc2F6D7j@u$U_;u)NH ze98FGSn<r6IJ6{xD86{+L_D^{eQ2?G=1@GbM1Cl@cxJC(bv%5vUTxEpj+fJuPGj8I z#;N3?sH=8eZ%Rf9(_ooyxwF#%>eou5TDgPMAS!XcKy6dlxgTY{pRKkj;oOaSxqqt0 zsae^FVsLjTNGzGO2DkgD7bKP&*noF^^a|>$46MOYzVZb}^<>uIcwg@VkCr45c-+^n zKnRia7W~QQeSv-{B?x>d!mJ~<HIg#p7SoG@pM6(zY@_c+ZJh<HIet^L29pH@6x3r@ zL12~urvjnH)wf`&0FQ$DB^wafAi%mnXvO9&*jZ9SXLkQy%1k!d5Q^E~u;A#oEPtII zeO&SU=}$GsDa|2NrvIw~{r*A_cv754$9L5(Wk!aq8zp&)Qrj%h>_KIoE~#ynY4)OU zr$5v-t2Mh(=4U5rn~gDjKuc3<oAAQRPSgrKekt03r52=gW-$RNGnDkuVhI<tnqy*2 zKdJ)zS*<+tvVqgDYUOT)Z^7fUEIOrAKqmnb&vYUtL-^}vC0KRD&;<N-UJ_4qOeQb* z>s;o!b<QUI`Rkf8(~BvNc62$9L-WCXQUZD#xTnRPS4kMq>n=`wQDj~pP93?pS;iWJ z&UB)lHFA$n1^W)X2=VRj4r$Sel5gY~Z~XYXxRX^)RS^6JT=JeoM?1<PIUQVv%+s}c z!dyT@%lmZPHf)zU;!ms^wJn(qjTNu(SW$#XO6QyYK><Fihgj7lel2~W`HW(Ps&qx% z^m0N1wB5_4<XXO%cGR^dk@3barP@&sn)t@2VmY*<n484MPvZKtqh2%#j8i43YDcLw zy%>K1jnIxVrH%(b?^7>UXpU47WVU`=qQ$pbNF(d&RH7xgnnz>f>QNFaEL=bn;i_LU zB4Sg(u~Ll{XrU!VzdrFnPEym^pBN)2so*m^dXP#1zfYJxQVZ)7ZDbM^d}>D?(nUq* z=#_vWCjIwG6e-N+#1y$oB{{oe1Q`<WgJAAKLTTx&r<SX+x*bm;M6@1F4wCLJUq&?f z#fNvUSOu1hX!811W8xz(!W5+1cXlB${6}3h7gR_^+AZflXVe$0NPkj#yFW2Jrx*ws z|6JEpi>VN-!sm09$kdJugiIht;@jF8?ZVIwyfc74ciXYC0n-+Fk*R=2?rcFk`Hxy? z{3(%Qv<p2`+qMUfBjIf$zSIkY?=+FKG+S@HX!p}iXxmyaPLKw^BeN)Y{+pz}m7z~$ zB;35MQ#)r6O6q{g9We-6=sXeg34#_lhhQd2xp~f0F$Sav%M%cin>t`*#}CO(6|k`5 z3V{ipM`8*|r9dajNKLAMxvzboeR-xBnoOIMdq^_cOna|r%rwM?8a}r381kPF^US!? zxVxLDchn)3!hX4!<NnpU--}#H1N5Q7RA(lnvjWFI9yKfu_|n>E;zg03)M+iGXsZ)* z<S{iIy>lH&PO8Z#KE3k*sY43vbbf~bLN9Rs1w#$7p}}?gEyR!pTEb0$p(=Y+qu~AG zL(K(JcJ})cf!1_qO&D&HK)Vx;eLA>M<+95(yS#@2esJd)63BBTMRUP|l%mCTOyR8$ z(4_b`4mg2R3kY7w6jT8lUlC)YuHZGb|FaQEM|Fo(u~SmW2mFFx2$U<#H#hZ;+zJsl zl1AfX?FF)e(fU&$OKF^(yabVl5Ch?%>F;Z#3eI*1`jAN;A;-vtiFabi&8Ul11&h9& z8OS8>5pa<zFoz_b0!JIP{shPj5>KHcS{i>wBrWZh<sV_*(*lSve``|}I;YmsPMsrR zqLxd=p67D|u@GhfDsP;kT(Hsd#7&tJc>mQrCB#;|RCdps!1MhRPnWCP%X3~n?#s>Z zHI4KfJrl>zH}q>Dt>tCR+@7(*?(mUnnyyGj=U<lhnU6eL!nD+Rs`7o+`>idF#`Qhp zTN<gphE>gL)muOiDoxys*r7m{v!Su+Dd*RJ#PrHfh`&(}zjNj_rY7469@s-5RiMTa zh)(4S!9eAW)$ty3vswRr^4cew@5=E#$4w8<S61yo0r147-HLJq7Mq#|3lQA9aB`3? z9-W!J@EbZ6c>c#HyDUI9zF$)aef}f9Z+(0JE4HaHVLWv0-hK_-P(OCIwL1J49cL-! z&|I~#^AJ~QVfz?};-Tc5fYT&LrO`)pLr^{GlRS}+=GAZJtHYlarpT_bk!u7Llr6lA zNkh8p8+9a(^{rDMOB;2h=s13!ENsEF_%A^6`_?0l4UIlUyY_NC5`j)UEZA?9h-OKx zSU&Q~cztj-8pyp=({<`K=I$TDK3(ZN^;o1)b|axo{B`$-AjOQ~!fh>r=-+{suy(~q z0brPhIxU%`%UE`X&^oHp0<SJKpSK7ZP%SrD|Lkh{c;fyl$HaXrE>B7ak0ME)=atou zQWIK1o8gJcsCiIZIB4y;=f$?*;9zxAjp?1zt63<6*N3-j-wp30CUskEA~=Q;b?MUd zpSXVA2;`o}!6bTISjDD}5tQ+G3&~j*+oi0bz)ER6T5{9H_Rni1-rNZ1JcL*d<QBwN zI@_tl;}-}6Lrazmb~L#H-_`6b-$^xSs4#8;BWFGjO~q@rQN-N(<G6Gr1lBf&56lU@ zpY3)eXef7|jho%`9+vED|8@KM(^iYkm><iU^J5b3n#W)1oLcH;JzQrioLWB3I<3^F zHEwn~wPeqFxXd2Tdq^~F{;aoe*-Uks9dw*+bhK}9{9VsESHIwx*0Ra&)KWbw<UY&n zbQXAwNrQ%t<NAbs-!Dfr!mlOW72<^HRt=?J;LlCyOcw+D?%|KZIl&jiq{XenJ@^*N znOeVJ_@l=j6^}}CIbc3AH{d0YJ||tw1~q=Q6l$J9V99X}+nCN?ov7k(@)TaV*GdiG zrNSH*zklM-RnnkDxU?7NX!!Z#lJosX$Iia!($=jFipGj-j$RrK+?A`Zu>=Ii-(F*v zufr;4{F}kYy5mIk?4D)G<DxZzG-djd`4hAW=|LW!wqh3AQz;Bfubtq{?wB-HT;IY# ziDl}}_DbiIEH>fi>-eFD$ujZUy>)0z3`<~nC!)87f=WL~{-gCWKW;N<Lw{kxgyO7$ zgQxWxBm2;Ym*4ST5Y^I)RZ;j69^>k$2mgg%wzso@m#hTtEY@>d80|}m5)z_tlU7+q z*joHKp9xeGza5imVJ2B;IWl16=6crLy!p_nC1uv>S3PaZCd6sB$8pkg_HeE~WVT|n z-oDvUtif@yzI?tuf42VJtWSgER()yXX0OvM$`QDJ`1vIG`98@her(lFA6~E`6)<kS zT#8qJv`3G?kBpUQF8IHjwWcv2{lKAIxIR#lYIjo!>GMgS<cghP&2V@S1F1cwG4J)f zY_n_%q%_S0d#xo;*hqLg(+W1cotbo#xSYz*(ma<c(z4dF8wk8%SKxq@Q<YP70>Ch0 zBpkyGfOG(O0KhAJBwU77frBsy0GASJ06gUaG715Z1At@zWM9@O1wb_brY->o04fE_ zOl&*uKFp;+8unX7U_=474I~X(0d-@5gI)jt4}d#w0q_L?F9ASCT~4L(Hu}ucD;6LM z0HFs+^kqsM08{|L4S>N*5Dx$ipt+j>xCyGYe4Q{79;6Kb4FD)z0w@6V0bmY*&zB$( z0LB1t0zmgANCF1506-7`9#{j*N(PEr0;j|PzzH~|8|dSWA^_-8HoSPxyB{rf+|7gB z0X8nn7sCl*NWo`XzKHX7;63keO|pC!=MA^~5a-R^@q{Utf&dB(-VXFk_#CKK`!=(Z zs`2fg@OD*z@T3fBky!HKlRBiCtqe%4`GyQgZ28c7yhS}z6a;CJ`Xo+##=PHpY&)K? z?9);ZWb|)549SQz<Nev=S8q8C%pRn<;vUhi*;zq#1q58t>mWK4l8<~I9j{q|lXLp* zAB5!eZ<~-r0&Nm1Qny%sNT}i<R0fPJU{CFrdr||s5&*XF^AhL);1vMm0PqA@T)Qp+ zbOE6IpG~&wt+cQc8Uo}kK(a2$<!(&@;C5N$5*PsBH2^+d76F#sZU}(407&?|eUZ+H zcB7RkPD0z1?Ix<c%QA_;!oC8TS4~#h9)#5Q?^6&o0K+d_?&Bvg%@eMDk?<f>V9I__ zMOeoNu6>%09d%%1s{)gymR^Ox<;n!EPGIUJD<mZK*nosg5SB~D1+KL`De{i8d{GP} z<O7Ss6aZPUhm~&#^HJ{Qx*TQN`6Zzb^-3alT2VXI!Gh3^8<b`ua&6uWLoh1b)Q&c? zkEA8;7UkMVH{sF8*4~d#Y-ls>ydaoN$Pj;PD8`BTt-$D4jxWcLD;tFV++O#O)9^%A zd>bHJnxA+_hTJj<qHnE&FbW<#7cD&!cHVG9woprUw|0z?z+RuoAz7#;r+3&Onu6lr zcAi4W1n0VT-ayEN{XSwCN$Ek2rI>OOnElBkqzToQO+cIsq(NYAa;FLsBi!182_YpD zklJ)W`qD0R?Z6?&{H+KK0SUeB)QxwvDI&d@o&v4$m<-ZwOD_XtOfqtd|FK6$WEkPF zs0B*bqf$Sg@A;53Z9w17OQbVR0CHyoLP-@cuu}?=;yVw=B#|QCp2#EjNfED4ypcoH z0TVm?5GjH4T1+8{l-&uz-~TsvtRP8L<_;(R$Zcx#w<l&u7!B^nP8TGJ##|rMNkcz+ z#U%;fzVeH&EB*C+f6q=4#v2+^Rt0ev{7_P(5)nJK$=Nw5_(5fWqhl9`J!Ze7Px|w{ z^V*wz$dQ2f28_(fq2jY&kL9`<K~aI(vKEfIo<C+rJ%)W{Meuo%`1+fD<C^}^?LtM0 z_+uLLO1~4M8I%4s`^Ktj&sU(zl;=xu3Qt_QlZFWm#Udtsq_ERAIx;-Pb<j2%`_&bs zOHvmfix<BD>8cF?MoDy{7H{(q^<&2hVFHkDKVgEF)qY_ocM+Aq1W)7Mjm?U-j>am; zQ>azpu@pC|_Bn(?s8!256EkBHDdyl+Q+@orZN&0hj&G1=zp%K8LWi4zjlk?9s;-_Q zD&E!TOpz7e6h|*jiL7Md)y%`jKwR-y5!Lg;_%2-ENKx{0mfX&j?HIHyuT%A#H_LbF zZKI)!BxEBxlp#BFI)M&wm=hm+L8D0S{s2ppwnB%JFf~@#J7wW5uTA;YrGT>ix`yPh zD-UV6ynQbA=QJ`vyqW{^d(ErU8kFUhamGj!!K1qa{RUbdi5=;6kOmH-Azr9~Ls^eK zsVs-Dub!lV)qtfvmHzas$#!<~-~^A~C!3Xxhx;=$3T0oDhD_BQ?Y$bRJvAj<dArKr zjNGo=fIF(YM%*c#t72Y>9^aRTa0~ebVI7Br8@R3dp9X{l>|4sEi_LKfkZrx8^J}7e z)gN8DscWaUXn}o+l;|&wnh1%w>bljQJ3ugqU!C3eE2cA5OLGlHD((N&;$v6nZyMt7 z^~+T4Uu}A+=)h}LfobcF6&e#?XE1uGvr4m2nVva6kVa*<a7ZOllB_&=#RZ>XlBVb` zTq%frgkc<LX)_$sS9{`krOA0WI1nD%FYam`KocO-VJda3b<C$=cF5OpWgapJ9c!%g zPT_xR6uIa~WhRmkGrC~;&Ka59yO0fB*AvIaqH53Nqldt|H)*Hso12^pH-t@1vC}@O zmDNelBd#JzlTcHtad?-ZL=Z1sW48P<#W7H!(Tw1;eY?|&>TetKfG-#vL{r$W3^Pwc z@9gD?B%+mEgV|^GpT~e!xY_wP2K&pz_-nTM`<way&yG`He={flMty(TEZ_gb0c}3b zOsR9Qo+wLcV&X^(+^NRq*4wvN8xbcC7=Lw`2nN+We4bYKdb;dJ-%3GstPziZ=c+xg z!Pw)buJe6@ovG)9;Fgn89M@(B0p2vcDs7W_Qdd9j(3;7}`Tzfy%*xS@7AotlfM5A5 z=G$7-6!Fs;BjT=~Imh4VMOs<d%cw8^RPEPcvVLr&j1lTuxQ<*TMLVBJB9Cd{T|4uT zZ9cz3OehKZ&4~wckpyjhqK|B#f?vMnbr$do!4Q#JIG>0jtw^O_|JR2NZpbkb;2GSp z^A;IT!ac_AS514QCqj~#Vg<^KhU&aN@LKk;6Wdp7!+Coz5omM}n3tJGAMu1LI#ZK= z7$JwwwsH>aqRwDjR|DT>788;E2%*$JCs|D;g6$85)H+CNS}mGqQw~s^)pg0=%M)`8 zT>RC^)JU7uyRZn{xbTH5F0jMaK%D2IaRwh{i`IV0gx16Nw%*9R-uZqZC`*4%T$=I% zPgAwM$!3XrZZ~a#uEzkX;+y&t=Vaq)LD?1t;je9Pj6stVGtfrwZPGE079U%KmCg=f z@mJG=+ATCqJ^Q3&`X26;e^gSgo7SE4>o4+KKJh~)Lgi<x_*|P7C6dzWK2clu3A>di z`|D!OcJy8g_#^e9X7pf#@-zqZaC!9x!RGBf@Sk<_={mWVoYvbd^%Z}N%gmH<!jdQ< zsM)r?K_dQ>nFM>w!dQg@7B+S0vRrDIXQsX<^>qW<Tj5z(YKIf^XfvKZjP@u`$3x7> z^gP<i%}SRLmXnda6%Dne-Z)~9fwDiwMP`TCp((-A>J9ZxFot<txWD3$k8F!^bNeBw zD|?IiWW|J2v_Ap!3`+>d1}|-yvicV$!P0cpb9Me2>)!rf-2ClH)kXzEe8MDtw2ph* zyFzs4IH6yQ91CG6adA#MT;LVAt7+i>)fegtyyXF1exa^!tNQq{Fnn@`$OhIn$*h*q zCcCxtFwP|IquZFeFw|{QeU$X6a@;fJz1U}$FaLhnfnQB5%07e1R?+-utI5@~vvW9l za=+DlXn*L@V&t*!px}h@zQ;<sZ&|W(hh<f8@EV5U4Z{Te)r$wCV`VW{{9mlWV$BT` z^7ibLCBh8LM#XjwpTDnpU~)yCDZA*|1L#Lpv5Tvt8NMvYWx8i=0vn|l`SvOEZolPS zes^I;S!A`yLU1?N$W|8IuU9DiEE$tJOscT*7|BO-HoJvQ$PcqN9w;^DcKviAYkyq6 zCqFJIR$SDfjZ$I->pE#Ev-Wx)hH;m`r)A{ZSmf(Mp6ANY6HY4z8F2V(kq&U{qVDVI zUVQ)!{&VlaM)>Iz<#@M4Va`HQ4qabc`vY-dKYa}gOa$06pdcqvMJqSE$m0vhup+c# z&u=LL46fJGqJKa^@$jKc%*({(%!&SUxmr;8%GR!|rv01x`<t@zuA06ng>`&V_Z^#Z znhKAD3hRVSJ|D&AG?^H#+d1}=$(dx^ZrQbN+c^$c=lGk-5o^m2-zTf%N0ZlTXB~^9 z791GX$b%jdCq&W_TUPN^eK&+YfztEC$fHs<%R##g=JdaqrotTa4BlSY4<oK!s18pC z;cl$i%itd!2xbKmo`Q#kLvU<srPBE82hglQlGEG6QrG-N*64%bBnR6v_$vqbSqQRI z=Ha9dIJ&h68T_4tsH{NZQ^H}yRey;!6FE(V!-!Enrig|2bZv_s@^vNJqzs2_IT>V! zf5eN{gg-3YJ4nm2JN!U{zIt$VxI*K@dO*}#@%eDNniJ~zY3|!Xh9d3<Vs{UGW$3RY zHD2W!(K^r#$h1@Ki0g=ExM<&($wCwONDUKx2%ul{$G8y4ASNxE^F+e9{Mj#f*;&7D zePr?FTq~E6x_6+T1-mi{Cp^IIydVr(AUoK{@XkNQG3BQ1ea&uQus|f@e#+Rb_Sn9Q zEmv#)oTyp5A68%7oiJJS*@M{2OJuDuctPIHr)yQ~Xq(AbGs~TzjeL#r<d64?X)oNU z8hyK#Lt2XTfY|>iZsk!gF68a@joPg&*qu{(Nnf}~TD5cRpN6ZOD#JrpE-Ybf-`ooR zNU0nxNVnWR;Fm%Cu+dDZVLj9D2@o5uAiQ`!+;aNI&XpO2S0;@A^h#ny^-xJccooyK zC1X^t3|(Up@QIluKd6!R_vatvpS)LVmgT$j^5DFZX^j%f@jG)<@cQPf$+%SyK^ZZ< zif)Pc(wj5+6->vzOfoHc71w-Y8ipkb!ndj(%r92S%`(=S<jssp2tBi{l$MZ4u%WqI ztC?jYp*xCVSu+Uo@n%J%7;O>K4c<lHP)uvWK|a2$py;P-AEX=3AR@+s{pNDC47IqE zYA2?(t3ian`qq_)x37_Y>vSQOA=2aWHWDU32yISmElay8n&;Bf*BqNAHD0H^1)7&0 zegloL(APYyF1f$SooyD)U3y!lN}R|YndB}Y6C`c$v#&($73(WcKO^YPaAG$mcv<-M z<y&qP@Uq;S>`#ZsaiIAUr#yiXmD`)Tt+4_!`PbJ!3g5q%z&&i|#mE+X|5`@0aNM&Z z=`8*oh1)k(Zo4rrC<SLFNZVj(y~U$-LM7#B*`43sooH^k<?|}*?0jR8-}Bys<u_c# z?w<D(29c(w<efzu3o^BDv`^YzL^Wn)g+54do7_}?^;`TSgXjG0P4?U;a3}7=U)6GA zFKx|sIzTtsIfieX{JMRMon`pb$*<^VMXl15n21v8FZb3g{oXH`<lnw#7R+5CE~9uG zHI8T`6j<%!XpVg`uSAqH{`9rdlXyX4hx81wFNLplHQMi{1@H3R2(h@WQ2l^JRb!jP zhog*lv!~E19QT>|Iv>_8z%)@TTj~3sC%0t%?{{uKBl)gOr4`R3E_$Jz%#cCsV?V6< z&1jiz$^K1d8ox!77+LshZSr;!rr_TS*Ih$zGGDtFxqh$zA!G8zF3FZqN!ptc4$|My zOcgFrxY{m_d@XHzewr&w^4<?I?L>!6wO8BM%*nh&JwF7nG`bM4Nys254$QOAgg(y0 z&O{f?fxenQ-Upv@VNZ)vzsd0gu{qX6>KQ%MO4MRkrK#T0+hW&B$oG9?nD0}Z!*ZYb z@hr~9`#}x*i@t8j+5pk_6FMqaiQK%fTUO*e=EsqK`vyT)engQXnOd*!5L+?wnD0j> zV0Ea_*R1Mo@&=y1ak$c1nyJ-!{xs7018*OHZ)(VQL$XK%@!VFd8I=WrRe_Bz57Eb6 z3Lf*L$d~(RJn-wCBtzY)7vBwuB9p{(bFg|;7FVpAZFGgsK2|-X;`ULXs4<{|5m=?# z=<@r1tRkf1_EI3NF`<H8wJNbe@wI%cqM;h{Rd`&ZLj}8L6>ftP6#H0pn`+2g!GAx3 zNAhat+aX)qdSWXkp3K8Yg%z7Oek75~nIk_O$UASp7GI=RAJF`Ma+8W&A~$84J#zV* z*9^aLGX1CBr##GF3LG`^RDB<I6KraQq;4(}ypBAM6tb@2NxZgbkvTHq#~pbb#5>5( z#h>=E>N=ICkAm=i2G0UPr{U0=lhAD|UY^VyMsMf!quB@UE_y}rz7WwS6~3Q{AiSey zZAh!M>#o%A!ds#mS(EQ?3k79aUmIx0e}FL*HAz=uegulka9&T1!Z+a}vJ$Vgh2!(1 z7>l6NHR8IR;>yFfuC@KjuC{(IQ+^}$clO@OtzdTIgX&QBWZvtKIfqHeC{nv_l`sse z()Ff}T-T$pZ4PBm?YddQJRCt+;-GL(*DTA9uEdhAuGH~b+s|yUb)MN(a@&$naI)gf z5~ksOx)PAWU0stbHo6ikx_W;0pv?VOX8h?{&DYeAUit6el{vibGa*Ylz!SVqEYKqx z)gN+Q@a`HlnINz1Eimh_9GRdX*<H6XX-(gv6zZ3+7=xI-ias^LvO2FxENAOm^T?=Q zr`gV)Sdk1)y?ww<R>vE1Q`9``eZXm;FWq43_S2cLm%jIBjirKTZVEh{HMR)WxW9JG z;^Z;-Muo`8%<atp^uo4oEly6Xsaw~Vw7i=;SY%c$xv-7olw{cAn!o58cd#Vs0i`tT z_UV2W;_B&xVHklw-`Y^H<gEi6Y1qxvu`JCi7i`0;!IE?bfkBdY4kiFYK)k<Y0`DJG zWl3E<eKc%A;4idR87xVBU?3exd*CS(sEFfWPmL|XT|D+dZ|dT?#*RN-&&WSL?jCPy zxYcZUxV=}obuE}n@4|QK^tj<>v)bWy>;`(3+^uOH4SKVx9^71vlW@5>6A!L<^*2ZO z=6VGnKiqb`)vWzD*@9*5!8k&(A`m9~%J;7k7?pLWBrwJlFFET(R`ge2>VY-?n{9Is zrTkC!bWPx&OnLbC-)tP8jLpAnlHftzzo#YLTrc~3+Sn~olYg>jYmffP6o#Ar$*#$) z{%cY<c+lXlZ1vW9&R?0%&1UJpG8p69%YQPpVflZu=Q2tEPJ0&IT!PCmXx-MoIBSz@ zQ90ZmoglpLR=1AMFDHGnMl+6Z#rW%X%}3f!-gf-DjXq<avCJ`J!FM7^=g-83&7SYg z%S%Dqh(QQ=cLLgM!a441K>WwUv}a}LXKyZ;Z*2%G8zPjypOT_~O017Et&K`75zd~` z`e{3|S-hcKyQbJOk~Cofod~uSR<e`zvm<!0c{4|XxzB&qYerhW<)f`|uT_(PrwAKq zpR%2yh6>U}n<g=S^lN$oWciu7c4Ny&(`Ma~d?~JX+b&njj9PEBWIg`zn`JMjHxF&( zCI;PNR{`sDp4P}9bC$eRL_3-j7xiMl@)X@KCAW`DvdE*P?!wI*vNzAtL?kH6{bua7 z$~mCOf8Wn0cCC=arsxsu=FO~~tebVS!Fjq7BFh0)2Uhva&ip!^aY9x-d@@?8He<sD z{Rl-q$$k|GWeS^>Ek8q3ylDkuiROt)d~%=U3fhLAb;(zrx<^IC{K<Gj3PwFKke!`e z;@<geY2=shL}4y4R`(A49bV7*?^9CZ3+oe8i=WN)PV;)@A>a-SmHO9+I0cyqLlI-i zm=r{}K4R+V?TB9g)#`Ef`Y6WP?BrP!n^{D+$E(@S_)Sko-*5-S?>*)(n`8sNsSduD zPRc2c<URGWvzbq4D`$kl99n8OjjA`t>wDhL7CH{aIu_QeKb>`Q^v!fQv)W1~n7*B} zxQ3m7qUwI|PW^^cOlUZnx}3W5W~>`g-19G>QJLXDq6@O&-<!$W^2&Bji}UdUq+w0) zb>ZLNRcD3XYCKI4Xlb{vga+Azei~CZ>USneA9k3^GwCMnkv;Hq8PRRVZ!DXWwO4-+ z`b8iyLM+#;p%i%KxA0SFy??l!@??|A>D*(wd|XGmv@N}ir+30BjVnX8|Bd-YEirL- z=2{(+eP&J-|M1_^wo>l%*CMpZEHzjT=sxX@<!63RSGNsZrpQJ4C%fK!oHg8?gR)5G z`gliIvc>=vmP~xJ%^=&9PcXn$Bs=8##rT<;1n}2;&HwgtE2=Hk<Kvx&2cF)H`Hlwm zGvq#qEFuXNvnD%PTPyE4-wW3Qr}<hYx5j3!T-1#;{+s@W69b;#Ue)OwjMm5SC*5=X zm{2p6i=s?(y~Q+;SVP=9y_te~n#%E6IrTAXU<d|#g`^5`YATSIqMxvFujy1HkP=mx zMttirn`aeK@AO9B|KR06fSPQhut8YqRip(F0qN33ARxV0DN+MSFH#baB1o5N2?&T3 zK_EzP5?T<DZs;XaLqb!eC?F^il=?s6{l1<5|7Ul0W_Q1xxhK!bIoG+)b<TY!c`}np zQ7op(<m+|U7JsHG>JG*4x!<_iyACx2+BKGU^AWMrZ?$!HsrD}aB78bHLQiFgJ)gBJ zn7;f0;~yPeV!EFHHduInn_r2FvLl-EG&Lr4bE~Oji@w(F_!R8zr6}mI;b*kOAmv7R zmox^QKVy;cGc7a9?Dz?anb3jUZ{cJ}3clMGZ+?(G%l+Z2<6tKzfotzsG<g)yrjo`o z!;z$#1t-@4SCi4J$4RbIlDqfcev)B{I5i5ppZU<5>98-<mHL5DfLdgEVHZA3JAgWy zskXi9mbs=)+<Qy2;oTIr?1#}zhy9_|t2PD;oXb1A?_#S`*aR0hzemU}IYvB}v@Ao? z8AC^Md`n%fKVjRq_hU8aL)iUgI1V4n@N=4&MRBEh2yb>BZ_B)9p1Ub*zM_52NBf$c z))%#H7L<NlzUI~^$%-e(McDXPr!#57Kc;Tt;RbIiEJ7n9-3lsr#wK2`e+vt7%(f9~ zYW%dfyT7j*lb2HGv8Gm_Irqx8RArhnW6%6^_?r~Ai5KV9?|hD04`ZUxKLXK|-(H|) z_P>fqp8I0>e^|XYEy(+1edS#Bxr(A?1~w_gnz@R4q5fdV7f*P%;rDVHl|5)J85~cI z_e>j0xb;trt>Z4LL9vbtESBWs>ie|5xM}Q)iY+Y9V9g4%)}e{|&3m_Cv1C7E(8@NP z{r2|~TBGtPmd$xHQ(YSa&pcjQj)t_J?*#WnvS}0PY(2jh-qo!VyrhEe2(lb&$&`hr z$@O>g{3*p(3H*IuE9tGM_b;!7-(Uz5<h5Z66g-^{3`wvs3eQkzj+E%F^!R6HDzTxh z&@9VcI_vHz99)ZF)l~U)AJqE1{18mORMu@@fcUH=x6gkV0(;}3rQ+al^-J)~J8!r- zznni~xCQq+<+TzD-zA~oj$z+&lY264KZgg$ShmH28wqzI%KqLaC8>$QENL_<-k_NY zR?T6O@A{_Unfg2i`6CIPQW9jR`k0X;Vc+r)9$kgzk%VX_2-Q)#%dZ>78zTO?@4w)- z*ATs#<Q<VzMvwOS-gdo?$5~%q^7wc4<^D&;)_?FZ*BeIv7PbRFMEHGM7w<%so_$GJ zIA$ED5>0q$4l_uQiKF2iEnkA~CEQQEnzhTO_gM42=3jr4!4A$Z;@vCzwk%)75s_5F z`%BOF65dj~*gtkj5dJoNy!9jAqfYldGl5koZjP&G>m_1CEVy&*#RA8zh|FT;*AE<@ zFQkjvJB{>KkJ7+`yH|gICe%e|<|L1#c-{B=v>Mo-I?~{`s`t&VA<j~H`z#7p{y4Z= z!tj?FMC!qc*i1FTbb&t7<IH76=_?OKC(FFgR;*MdEM=SUjfbL+phoA&h+DWs2>d1* z&cXg<^46yIo%r#)LupApf+VNU-o~!$aCmLE8}_X*t<gzJ4X(Jp5%HQbP(xtHLmGZS zX(JyPnfBjJS&;dCGdM?vFBttOsPgTVeL-Fwb;I@G2NOo2>vetk;VMeJ0!;;Nc`UCp zeskUb6&z)laQ=+-?<{-nMhXA^)w^?WqsQRh@8Q?-rUblAW(!Fkx7~v%v-<UvCdw9F z84C`Z6WRRt3c)tWY-{z>-rmRuR{O%9(`tS-b#2yKG~6;PLursCi20r_72(-Ue)wF0 zPFPOn`sdYH-)0d!p9N|Lgq2+`6NKd|jhx+n)^9i-0)C(bZ*KPH%tN8P#qv-{Z<#!B zvo~`dxWQX1Z??tTA#b+cTOw~3=`ELMUGMFYXWisITb2l}b)NbSmhhdr4K~IT)H>uL z5xWBLq2jYnYp0ioPJuQ#c%!AQxR|a>(MGbmzpYXI!zkezuc;biT9{o=*&57lw#);X zi}D^WBL|PQeD6BmT~#}ZdEmj40&2N30DmU<n_&QsVfyzJa@{@P2|5~d4B2+6hoi56 z@U~F5`b;zklxGWdtxrc&fVONS(XL$ZX7O1hWXzQno+)mPgp9j#z)QumkdRSVMtGt) z2$?hC$_;N7Uq|MQxq{$t#66KY<F41>mEvW{oKaUM_;Ya~B>RNxb$GpaKazdSl?9$I zu8U+JcV&kci6<f1M_sSN`*^$5K$N!Eo$CwHs-OtSwrhPnIs}A=Kt1Xc(Vn0@2-Lkk z799?9s)r1?O2FmBts5a|S3x+dcvd5%-&F)IC=P0bd~)T5lZ&r6<_x$>!taQCHs+vR zh2R|GWsN!guA*=eaiPYXPp*7$YVrO?_5oLMxU{%#BRkqv0M00$)X3iNdK1noPSMEz z>FdMp-Bh#&h!V2>pgtAN4QhvMJJ;u<!618Es7w7Dv=E5X7V2DIfVL5zt%r=b(!*!O zt?MB}uH^7F@vM5tuq!njEe@)O47y&1{}W%Y&lz#O0-qQ6tj`&8rGS4IFRRZPcBO$2 zi3`=|47!rQe~I_kvyZsa!9R=Z*0T?}lEJ@-C)KkLyHde>#3{&8_FP_5XW*R&Q>x&O zk+M*1Q;TuLd{eoxKk9o5c*kXG3mTqcT-V?onitae-2=SiGX;l*7a6x>3Cei|Zd2o6 zm8r6Fd{c(860WJk7(d@sYmCP>H5=Q{HzgY9%{NsV=V6=DjX7~moyJ?ZrkBRP^G!*{ z)$>g+jL#2WMx>m22F7w7o^>_K9v=7K=0BQv|Ht(Bp9(ikL<c9!uI$En-?=FDY1gm< zZUMr+>EO}OQ43og(!C@;sG@@kz=30-rat&7cg||yj_n`;rJ{wBCf>27`r;eil@!g+ zjf{ot<zNvnp>oYIn)x4I_<i^4a+t-?tQp?%hP@jsPZw2&JK&qo^~DD_G*1#gi_C!! zq8)8m#2YBA874Gu@)>0_IC~H8nZ6?ni^zmN@WDeH@@rvr={w4>JS`M$)6Sy?hZ<PW z5NHl1KNwPp8soQ@hec#SZG7;N4GzUH|MZ=3n7ulR1Xf%H<%1QA`VV{ImE6V3Vemm~ z4g8Xzy)GV<QTq<np@&jF?K)v<;F^YQMKzqhhZzlpsN+>{;Qeu^Wax48j#k5I6>MY( zWr|nj!#l$4HBc(J1L^q?U%cUQ^q-C>O_W1!%L}Md^NvNs4hA*jeyoF6<;RD>?6pvZ z%{xI2uqjlt`>_GO^9J4;X0M0hY2GnvNIY8V<imr;j&q@EUik0^*euF_@Sp<)yMY&i z9cMvfJAdjnpqqA-8cs|1&F`%vQJ)3J3URvc-)P}<Tb$1@D2W3OG9TCvy)7pM*m*M` z_j9gZ>Y{QPKF+~Pq-ZzZ@eN1mNU@DC_h)bw$+|A=7_G_%YvlYR_(!hd$D4$M)T)X~ zriv*u%Z@RwT)naGyPv^6l4Vt>dyThe9)(SxjOGL0Y2`ZD^jl+kSh1(S&-dRI5w}9U zxgYU+R-r!o$~HHrIJVr;&DNXgU8oJSj2flI>CTK!&w#65mUT*|R@Htl4x3IGm4y{c z_Q_(vKlsM#+mx$B%j(uYSIbHH*o!pCO@ea|a)jEHfye0F2W;JCzIhHeluYp3B)DH~ z%I(`Bsq6Es?b%JWvh%s#c@DL(qLD`0IvLps$&|x6a69d!Iez=v88ra?rl!8X&D}SG z^JLqz2Uu35N?{?SD<ZJQkw5Z4>i3DcPHakSdaliLC`@+3ulUNea{K-r3w%}DjsUFM z5S8&LY-TW|Zrw^4FNU*@hwe1h3eDrTe*2`uvesvW@Hb%9TBs|y5W0DjnY9@~d{nMY zDzwhWKC0o-B#Oda$sv0#4H_@E3uzdeMd`XLY2mMB?1(!!GB+&Ng$<<d$iaLKQRBD} z<@xc?YjXVft7E<<s5`jXIOv9ty-`Dc-H5Laic)r$tfAvQtafNt2X7*PPi*@LcIT`L z6HbS{M>PxLb#NiK=OsU{HS^=+b3<w7moTUU_pK@z*I-sTjB5z2fj1GvkKtyYLj{|p z%F}o5jVa|rv%Qx%+&Rm_9=w7Tpz?K4Bh9rk^Y1Zh`NpWHvb)zCIx1lsuWH*-`Fg18 z;INGh*oXB;y!c31`xB^*FFw(|-8wszah`kjBYfx}3+14R+G?s5n-AaoEh&g68Ea35 z@;B`SHY_4wi-Tat3GeqvPVmvS#i0=Rc!c15!7QqJoBK7&0VdxDd^V@mmajV)p`PPH z@)wh*P+LPG36gb`^L{fQIR_7tQH%Ph23!d9{P5OqKOuXmvGzo0MpLcuJP<KN@jnXd z7!1i<U(`iCXs&Hpw3|ZVcRVX4cds=3nf<6V1h&QhY`~}ELgeQ~zyCf;+X)%lGD2-M z)$+`X`r=jGBlITLjx%8SDEb?CHXJw&>f5~I*bwy|7C(s6#sB2TKfr-=pw-Pg(G5{m zFs(t9A)fmNUKj^{4PExe$Gh8C!ukde>QO4%C{`T!EtJO_-{)@s9>za-P=r#^M0w!A zub@0$_+oeaDp>L0K_^N@7nOno=R%E|b^;nY%3*GUC<wmb2EH8!&Vs_5b_^P#s$r{x zDBcIgY0%|wHPo+Q9Vp;UwyqgQGT%9gDjz!V*gKS=pU|$ydgF~iEPJv`Tt0*GTJ#6Y z+;{lsk1k_kNTbv?yW%<-2px#s%Te;10edcN*wDC6Qi7BE@|B|rgg@!2Fs3E$jDM5& zFOqy)?MWvGVOL|BEd0{iC-rk$g{K<l%mgjO`Q>oxo8<zVyO$ntZ8|;a1Q8}QoJfxz zAnGViEig8*XVja^v7JnWR1K%gM|ub;%2O>&cI?^ZO(TsM9zqI2>hh@yhAsX~aucq8 z4kFMaVn~m|F<lg=Vwf&E!WYDI9f>+iHX0<$Us4VKct?^eG?`?lTr1d*KL?F_d4w9& z_@Z8#*tTTvOSqUtnopip{ILFaZ)QHo(7<>|^MCmYXj{A<_#m}E_Do>YO(TYcAdOJF zqPVj*SXttOm?P`BviV2dpDNr9lNYyionVc~COg%};NzlcHn}v^=m|0!YMg|9^{z_< zxJGJxw8-Y7dKVMHSG|jyK!V}Nj689?LZCrBP_Ls3zZ6avY-gHD+9kMl@<vJeZ}Q2} zF9NAxsoJ2r)2rCaDx{?06chwHx=jvtjLa>>184P#?k5HRO9F&Lj>lsho4dpqB5zz> zK0nq^k#sSbqU}3f@D#^pnGDAG|JeX4T=w%>#H&{;%(re1AeNg`8Zn-a`_Gm$0)emm zH#)dj<R2c>!VP{;IZoWw_-vgjG#}Ga^5N>yOXX!JY|vQ8x0cdq^X~HCy2f^?1V^?T zJ~0JXReaAwGiYBwiSN`fi@f%@;dH=QU$F0O`AW2&Z)o)PuGgAkY8b(#J=HBnPi)gG zCU#@Tr{#K+17+$zug7s#kx7*ycl5@EZDOB>J{9h8o`bOran%=oHJI8Kan%V_Td4S9 zFty{8`uC9huMPc4^-r0P?%6>l(?3?0^9yAA-s5k++TRT`4S%D*#xnHJrZ2;>zFOt$ zvfW;t&|jO82RR-@u-(eCLjj?x*7e1=le`}Wjj*R>`r}==0@;D_Ky=qcPnY7#vb1X7 z7NEpXh2o?gpRta6#HkZ_*0EyXfAzZ;V9op~k$-Nfb7D_=HbZ*;<Ctz=MC*}Av+Ccu zfs7?Sb9w+`ykA1DT~!G%Qxr6%={JAsn&|FIT3N1F?NfUFg<a$iWUsDHSc1>ofylgR zu*+0gz<1~TTG(Vnh_J<pJ^h&+#d+>uI-_mt($_e$ldTPdUA&3`k@>KsRNJQpU|v=B zezQ2la;{2#o*Nf4a7@R8t2Gq)^LTHU+tkkQP=Iyl-{C9aDD9GUpjF>>10H<--CzE! zf9I~B_<oV8X|m5W_o3bMPZExDy#UWGXIL|S*9K}d6G~=6vhST7lb!gIpXIN}M0&O` zmQFKwcU>-M>i@|m3e4HV^ysaAIWY0MX5lCo8*`7GvnwZJ)MuH$IcDcQ>^VLg#>SY2 zO=gF5*rW^GY!r_2U|RupC41Mv37@H?6F7C2UO-4$6wQ44k;(6?_J`+S)jo=LHqq2F z`h`#Grbn}W;i9kFpT8++O4lzJ0jvyV?~FxJ)^k;gb6i}E@G;#ozQau9kNe)PqbUw5 zuR?71h486*Nh7hB@r>vu`_iw=G<&-&dvzTX$eEvshLt-#UA6^;j75T_z{c_oQ=D!I zEud+xCj*7AHUG8CzgNfqH?(SE557p7X>Lk>{&T;CD`d(d{cTKL_@oJ+LlrP~lY%C^ zpWBO=k)E!p?yjk*B$bdtb3+xb6V7deh@GSoU>iLnCRO<yh`TSN?A^8459|@y`8cqC zb3`{Dzc&A2yRv**5ZvvjPn@Kj=~2G^oER|4M&VPJ7HM>s$I9~j%JLo6KHi9*T81i= zC!AjmVsI(mz}AfYD(M4G2%u;q+&np2Z_(o9_|l0I!->-J1FD;SF!LY(R+dQ%SePnX z03nWtB?{?&HUb+?Y^I_bB}$*VN>`T2SC$i1wG4(Iw!9AK{VPA6VaQmS3V_$c%~jKn zL|<vQ?U#`7{b4>|qCA(RIG6mz@#?k9lh@(Ye-9DDrO#x|fa3m-&9zG+SPkB7hnaix zMTy6+=<Z2fIa~Wj=W(-7lq2(ZcNcX*Fj=~)6)@<r0?RD@YG7AvdNYO@zsu+Z#;+6? zxIdHC=l;#~;knTlA<2CXQM07uwa@n{<fTV5UJ6WJ)d`fBp2(niPI$uCn)hKLqe1(c z=vfEl*(1{P_j?>K-_bD`RN6d=VF)wV;iCsST+S=7e6G(x9AmpMmvIT>*JaneUBkUy z(ZV7ckH?mV9W*DLKMky&%NnMCvu7@_Owmsj0jBR`TBT9)`Rj7~%Cg+ba<i(I@$g>4 z>u`y`he+WWzDbDAs}HXVEc5hDiCd*;s+gp|`l(B2W%<vF6GLH<q{m}J!=1}#5_=`# z+PMh*-+!!3t4vGEfo4PFh2?Hc9_rXsDDp}6727l3M$A1inx^QG+@x3cCkvOs*vBnh zA($XSDLZ60^<o`a?T!NwEfl8$7^Npm9E2c^U6LamL@4=bAO`s0SH$>VQXvnw#n5Wh z(H%WL_k39@zn>D^wX8WN@+3Edz;W$fQpzNcP|^CrSA&%2gnYhMy`v^!Io?Sj9mBrU zTa_Z|V0a8?cNf)((J|eCMG{ZxwCvxxsf=7k?`mN8g~H8)_)bgoy(^^d`WTeJzAnqJ zIC1RlQWX}ldi>QfR3SNOpUE&m-jgT3(2|9lQ7$s9BJ_`*7{H+SO@8^S{IvmI|GP48 z-Qz<HE7y9u91Esrb$=0GXac5+^GVcJC9+LnLiw(4;V)vG;$v95yZED$4#3@&ufqlY zhUN)BLZ;s%Qr8GSYT`8msCSYK@}Ub>zjnp;q)5*^qLQX=_BomRfKIk{49b~BK)gf$ z<mWTSZ<r(Wy*G<<f<ft;-~V-yor}iBWJV^9zb;C6ZAX35zL+sCWKMkNRM{}txLWy| zue7M{3u~~F`GV)F5y*R{{F6uPHJieKQZ^f_0CHv<+5nF$Ha!o~)Hb0H1<Bb2_6lQ8 z^f=Ee(J?oE70R6qP@PlvP^+1|58#9EYrKv4qD;BLq7dztn%u#2Ad9W4CwGCNbH5Pu zPJA1E{5f-E((bj3n)yC)<Rr8q<S%tFZVtpQ(Gjx#+i864(q-Zipg6scX@3&UxapwL zb)E1N;Y5G*2(f%AoQ5!o$R_W&N+?zbcAcYDrF6=lP&e?tYtfIt_{SV`5C6-Rd%Al! z`5#9z-oG6;z}B-0U-_l8_m>ZFD0fnE+mfY<$LWE3_~9$kIuG%T$_-T97GzdPS<9YD zGjby>R&jdbllDoo9Yd`@qV!f(vX+UHdp<^eV!=l@mt_i!R95G1QwXeZb*>)XpWrvw zY?~-9;&%jQ$z0i#R(1J1t?3U<0sfL)b-OJCwyWQ7^3GhI+$ad<O|PP<<W8G?JgK8y zB~g;3el(gPDP&H{D@QTuW7?LWKR{h6lBW8n<UQEBIW3peJ5$)fup}d4nnu6y@uY|L z(Z|PH?E1@LlWSn@=GVb|Y1ZN9@|;e#`mk6+y|9BuNl;=iO`7#%^H4qqfs&W%M}ryf zn|Lb{gRiA!MNC?OhwIbE$tIr`1k0uSU7eg)w_7)uyZYUlH=nYELHWBK-=atf?USbI zjQ9MLDw=KV`nwU6f3)pH>IAQpq!diY>mM2zWRrM57hY5^p-h~n*WV4BOwkV4*0&+? zt`}a^E*VG+rcYb?Q<C?bAjRvzP@+>1Oq&iKTx7lU-QM(vK?zR+%VqC);YGue!bD37 z{pH8zQk?Qm`fE>`mNNVrdC0Dsli!HiG3a<sC{Mesel(onCv5&v;iX_&CxKFLThrE* z^M*pV3@`aZ%rm!~6!ZG}!cuWU$80X`*T4_~+Nb>u552_yU%w3a^C@^R|GV`aUFhVP z8|X6mk5=t6nM6z>f|>jpoBFLWL9%AXO#_BZ*<HrqSL$x0e+U1$#^GoP2~TN8+sX39 zG3*SbqkM5VYQhKg)*if?e(TE4iHDtn!)eH#KMAT!<T8FD*UV6e-XJGh*yVk80@u8N z_+8;IqgM+idKzy%{z*1rwh~6J%$XJ^alis)Tb&j5Tm6zn<Hu0qoT);-_3cAjirA0{ z#O%`}f%}a;e;Dt>^?SlBmE#fyqWBb+;|8?(uyX@D$5g0y0RtSNp#j2jakYI^sPBAO zroMcs1&<fbc*@Ywhr;A+CjkK}aWj7Rr(Zf}a<FCaLl#q<S+8cVJ$x<_C&zkU@wu}E zf7~Nd<#$<0t9QK>6kjK~-SSole3c|~!&_k@Evb*f8~fFy&m`blh{qI?G&f12NLGBO z)a8vRy^*9hWJ%wM%=KTK=7u*K#(Hig`2=Nm3D$fG=X1GfM&SR}vMNs<hqZ`Qz(p#w z9t&JaE&Os%R2jvh!Sw=hMaS$ZAK=AZ3Oxv5Q;Abd80q^k{d^?kgLcyCy=zCsvIITv z&D2Q@Pic{wp;pZ6q_dm!|JMQtS%QZ5X8a_Evb2c7P>aX%I>miex85$nYYaQLE<XyO z*_?=1WhJnw?~)$LVw}i`zvhTlB_&)&bkQAIAX3SqZfweE#E=tg5ey`!Rv5~7RZhaO zMhrcH7cp`9^bzK1oGKeZUcK<rkuqkPEJ|T>Un7Q{(4y{iiTJY*vQrL>aBMW^=0w~Q zCt(NyeE$wYs8K}C-o)@+KGntSYe=O>94N)r^Zx&?%c+K6>$|A>lm6$TP?liq!Ct*s zPdNJ+lj;Az7dqhIn!Tp7sfju#cOgE>0`ouCueU)oxQG99-72_;-baXd^*z2KLk?q4 z1(zY{%MtXezdBf&zOXd80B0x;oUGSp4kYSZ|Chq8WT?SuqQTi;EC(bKbAR&zxu(h` zK%znXMdE+UWns$*z^R~!CLYM@58>2kPeCl|V*L2MS{R$~vj4Z1{iFlkZr`tWF%K!{ z6%PC-)zIZb=i?$LyV2Yl`(&ai=Zjq$7#{SpbI<wD4JJ$T=oq)Sum1ku^5&=&H4&Ns z?urI0xgyPZdrS%fM`Cqgh8q>Cc&=`xV%h)ECtHZD>Pu0BCrBfotZJv|_d07_+hEkp zA2V{941^e&&q|Tz{%s9;n(09;TbX!-61n2I7VDT189h_Qo2R0A-^o<O&5RhVq@|c- ztr4@_Ri0&xgc^0>u!^LxSOf=2^S+scOeR8}?8i!x;(A!z5_3hy(;vAaWVI}tbmv)H zc^gzm=7@1s8!eePh%u7<ts>oZ+qe*#X|$H{s6gBSZE*V2+}sCLN5+Wv+&-Q{Ou_1i z5tZp)Wn;`P$)iIvF_~CIJc+|j(d@cN+!gBJ{HM5_Uu35u7<z6W-!mPIOKiabLsdS9 z9>$5pnC?Z_@#K=Sf!W=vO&L`iJ((<oI>JEJMtGl0qey+?=ze*gG#Q#acRMKGn_Kv% zDPlu;dOlgGB0=3kIrwE*GQylhWw-880f&xRr@Dp8G+NovOcTKt8OxTNPR2?lJEp~! zr$=Q-LwF~Jtme=#Gemq>o%2|CiQ|iP{B0&L^A^#hE~7lXsH|<~9qV{7*EUSYUy-aX zqY|7FmWF5`{@845)GTh8T6V;#`f1n`#BY+0A4QyFSL2e^8&!fETMH31BpvHT1)jGw z^k`%U+CPsh=$RF%*F{vcaA=$9B3ilI`WB4LtP%3c!Py-8n0q8+`(`{cPY{MA9p8(h z%hWV7$#Zv$&epl(UV=6y80ckBsjB!eB(d*KG-AISL9BXSO&+{E>52WW1(EBS<mP0` zX{WB1#4>VoUdw5xs^*1+rP9-m<|oXsZ0o1eGmLU2%rJ>>)icJn<|7s3tbSt$y9q+u z>^)VFp_a~m{59{LXH>w7w>qe*g&`8DDb%C22{UZl?5QdAcTIb*QCTm$t&#-My3ms= z&AP3U2FbV#(9C{vV<rh=c1a;qT5@A14dQo6A@}`KZxGuWi(DmB>T$~;or*`6#WnxJ zzV5zniv1Orzg=G$+xime8P|M>ZR);n1PSUf`GX~N-`9fB_Lv-Ele_O5LyUUHe`80x z@9RLsdd7cYYr5|nV5#HsckAb4zx%aVleJ^qf=GfA7u6ZJnNr8-@5;Bw^I<)>^Vo7m zc0ezjZ5~6Toh2J1ZpJyR)sx4zPW!#13aK3cQIu6L-eIhHIshVHXX?dKDs;0#paIU3 zLN!`X_^-F%1!G&EAz%7DTa7d#4dQhvuabVbFF`v>o{-PorvaD@ciDQ!pMAMG@XwlT zJ+gN^`OB>V>~Qy6J*=(t^$m7=)|?>ft?PZUFY8(qZ*5!+38B+d?4KoDhxU#?|6(@a z@t*$fgWmC!FOUIjTlZTXY?$=*?GK}MBtb$h8v_rURwK7agD70sK0W;42Gw#l5#H`) ze;Jw#qZ{>3aA4`XoLbi+ax0&zT_K5|+bxeYNYo|!)5AeGsFAZG)2v*Q8@H3cue0LS z5N|q4m3|a?nTks``r))2G{V`0cl$T{m5AgWs=G?PZRm#!ZcZWO>+Zd60}of+pr+0^ zrVtN0J=OjL@^yjU_b<L+2C!${O}yJb*k48^b5V^xPjF!F>q)JTkM%ajHcMYWWmjU! zVWw)AOzPutdrCU>0=ea;a3&4DDJwy}#fz0VYo)tlOxx>swWfR^DWU9+i#&Bm^*~Zm z8HbBJ?d*tKpJtG<OAA#<-l4~1uUw{C3*-_vCu8m^Rl5}uuL8a;YfQBzh~+v9FV>vO zLE_2Ur`(FQrk){};uhEHrHk%lA@StxcsDn#sWhY#+16?l2U{*h!lEF=sn9>t>j!g4 zi}R^6m{;}>)fPWig*%Tuw=LlhYfaaHP~tj!?-4b)`}p=9*+*3EddOJrJf>V+!XLJ6 zN2m2^%rqbLiF15pr*~1M0am@|=l;L4r)3l_hcsa9Mjm7CkAy{G>?wC1N3Kr-JqY@2 zz%#K-)a8)&;7QlgHpY?{`pj7?s`F0Kom?a(Sp;Syk27~Tfu0qbGq9dm#^l04bC5Q$ z{<MtGrH_pBXI;w;Sv|&sG-rkgsEb!a0zDfvK`Q8;*X@K9vz>{Qm?9B@ZN`~1c6+8= zernE2Tp{PVrbR4L&+6C>YU|}CQ8RgS<_w#?RwQDIb*nmU{Us&4M#WkCA1(_yV0FB@ zRZBC*n2>VsKRPWe^M9~X>Im~@wf@;8A!Dq<%-O<Uw%ljPn975V{w_=j6=xle$8Ae1 zLttA3sE)syOVTS;aWH`G#|ThG*fpyicvyJ-rp~JXP<dJwFOUx`kAqg7ybPJZ2F+^n zXNhHGE*U`WNW5l-9yaK|iUGZ46&Lgg2`WVTc1`B1V5<wbkS><C>NKD;s^}j)i%|Zb zitDICXPXPv|1yx@|KoT{6#*3JTq?qxXkbUGPNhQ>WGSihE(Y^;J+#;H9&L^YH(*|J zX`H6<%pK_Z@Gk{vGs7gb!Ff&om^zQEPwsAi5_+sIp##*X1DFG2s=e!<9<pZC$@BRg zFdc-`WlP^#9{ez`g<W8O?{*t3>KDR%;7P}JyLI$)KqDOaPx}6lJM`|tw!pST^Xnlj z_QSjemZUjr2>ao~zeHrqjH{5_>Z+%b3+;@L(D5B!zi6RtuI9Hx|AhL-z`dZ#KX?0u z&|{_v9n~P&*3qGW#!MvIM<=6RBw(N4#c2AKQ1%@;Kh)KOPP?gZkyBsSDSNZ3bd1O4 zRs6ur2eEcD-y&tcu1EA{ReBird-jhB^{QHK<tC54$lIl|e-8q4<Z;P!QXlKrLT`hG z{W=AqiFP=5sNVwg#<p8CY6$!B!@ne?>GW5j<>iwPwx(Fo=C+}Kpig6S2~ky9@*MW; z@=lB>yITL-sGEMB0^2e(XMe-ttDamgzLvm#8hu@-?NzneN!^!7$!kuiwIc3M!2TT< zC*XAa%NiKF);n8yFfeD&C|}5Ukm(ci*56~HMrhlYc!pW!3z<-k&1#ZCj}~h9wmFGD zX8FRaC=KF4>>o4i?X=oSt$!4-FYUq!obc`H54IZE(5ln=!mZVH{$4P^{15zTEU>o| zP*9%|u+QtF2GsTNdy(gn0J{hH#sc;)yQozWeb4hs6JP+}J}f2gkop)nHsdW4epy#h z#?5NcyZF_0mELIUoz#&9MtL|j{yp(PeqDFyjV98l1T;QFaskH+CFQro3(fY4N#04# zOH9}S66#3<_Q5U@z%-7P*yU-d@YOh;4J8(Ne@2uk(8ra5vB=(Ns~xGa!VZSL62F*t z`Jfep#RU9F`%}aesd8oB!-%j`Dn<V8j5g|)?73`&%>QskQ7MZ3=M0tC$p0VCP{!bp zC&G`I4|KQLQpMO7^*P$o=bneW#QgsCdii>sBvS<$w?KFb0!|)2ER~!s+eqD}jiBZp zmak))wnCVH5V-?K7?BV684uY|wcT~7Zo<EQYk*n%VOP3X_HBgh5%Z=HL#CVzRhuRv zPsMWSqc)~h)Fu`HnP9>$jL3AvKKZrpMKy19&DdhO|IAf+Io{YL$-H1P#3TZssL%tM zTymY=_W~X5q1)l`7hMosM|)yOs}8xJ_`}_wuy)+Lz@enyB<<LDh{2BbmTfz@sM*qD zWc&2HK-Rw#AJ_`1V^p6P1~8!U0x{hm9T;n`eHLQc2^W!g=@b!@i&#S6lTvy8K<KKh zI-*-kaLj^?9&~fPC{m^XaZG+Bb~A})w2SH*AI1gvwyY4xeRb26p>!;RjGlEf?dfn% zJ(&vdErwgvx8R0XjyfgKWioHYQ}wQhiny@12u?CZ;GS6~7r{w>G^S;{&#oa)-Lwqc zGvAE!18v$cSb<ZSg5m1N%9iM4fr@hV2o=jQWlc;i$<8k`dYL$cBgxKznZ*7rjTY*r z5v@m~599pUyvl)l=BHF`S_m%gD*q(L;Bxh@#}!4uO=CM5?q`v%=S_`JT0-N~+#E`( zAN&|FgPfce)ekX@DR9gDQ@El~-5$7QrW4xaj*TXmi3Ra!oRZ_d7R`EI)gUE5>d}IY zc91QKVLTa{<w&Wo31AMneI8I;4K7sAi~H)tqf!~YApORRp>m~B#HgL$QQOx^yV|kp z8OqU>^qa<$`OsTeI+G1bU*HaKUkh6k?|eSXNq?X!awU&*Jwek|*I*wO@OJ5zupOn$ z?=g_er{1@ZIYw{Z!YBT+@%u#MSu)D)a(z67qbIrP&W&WXpknZ?;^aHx$y%)e%sKaF zzYUoVoDHVj?@s<*rp`_ow7PY>I8KB=c{zxG;dU{`@@jwV0(;}=VD5|FzY_ApVltw+ zKE2pN&Ig$snZ{f$C%K&8UvpU9)pFS$Ns${)_9?5pb+E2`?5;~cWyl^8xC*;noaHT+ zyx7Vp_2%9{FiZdYg@-Al6~5yv{o@Nyo{v`ek2CkbTu4hC_41Mg^-HyCkiPjm7|h&% zuz-vj^>TgB*gw%4yPxxUdf{d=thHz>=kq9mso%7<XEo<@?}A`*ZR;0&&gWqQTYqZn z<>{QyI66>&*21RKsFzz3_3G1wzxJbEzPdDSL4IW7o=q}t3BRKJ=tMo6<X02xMdWE% zq^&Jqh*~Vbl)jf51dLVb#DFUtUtc#hD2L4Xlb*FYLf9?6{naFvI`4XQO>yIPo1Pvh z?G&AwMEtLM8WQf+mCudyFMoP~|Ng%{ohW(g&_(z7wr(hPeCf-%(xJ6voAAV9ZX}!P zHcq+CD*1Qjzw@T1%jcGMb?1)WW5osMi^(k~hqpcXazXgyqOoT!vm3iDK-Owu^6B|^ zUS;l+miwZtf5h&+mcD7esl=f({QUE(Y%$e~7l!zElO81Y&d#)S(AZdsTS#&WRChOs zouze7YQlr<uwqpDyzw^WnZ=vpb77By!SmVELx=F&e<`#5#*cYkhtbwCnH>y+BRpuW z{{MfTczPajCwJtGr+vXN6rA@yRKYR0hw0zbRUNPACtBiH8Wg%+)!<R$2S_a1l@h)q zj;hBFyVAnP#KHC0L03}vAMsAt`j==skT0ahv;GCz5F`c>e^8%{HU}9Ebup*2CY zkkJRysp$KlAjqhvG#q^wBm=qoKsp6&39^9P^^|^&)&?;{k{?LFL_<JskYrEk7ifJD zKZNdqbTZluqy?e#lzxW(lD5nPj~Bm!#7?;Kz*WRU>ajhpN^qDsssY>UDg!qb2RC55 zT~*+9kQ%r8Ec7){wQY@S{VOyLXv9|Bt^PHd2?VzlcdbuDlYsVZN8O~e(bqwZwxh1n z8E87tl<i$N=}a^WD8u%yt8_Y=476&S>?WOsW(O79Cc8?%LQ{eIZ0X#jU!$*r;%(_% z0~A&c2fp5blZqcSVh3Cq;11#;NbI;PC%jf1g~X1!GQ(5E!AQu&S255Qq{gE@3GD-_ zhSa#%$Dsp3BM@<q`UJEq2o4c<uYZD$1nomcJ*1zap`b>{sJnDLIv6wsx$7aFh;|2M zK<>Ir$D$vDRw2nA(n)A9P%$LgT{;f^5Yz{u^N>zJJA>j$@U>T(ykqhX8ohb)mOQ4E zpg4S42NX9|CXXlR<{covKLU63m)XoWwHvq36K>~)G=E2fJ1}Jq(C`A|iF)q`c?I56 zQ!w0685az<RJH@f&6dHSI9ypR6xUle2E|R68AEY{W%Mw+<+4XGyMeN1s9jAc%? zb{;|5R9uYrXqsqT-B{kB3O$R$1qKHn#^i6U-uNMr49@x$bLb}8R@i>#)K(aHZ@H^u zhI=Ob(WXW9efQ16c^_UbRjIQNQ11DvjN0h>lxPzlue!QPX?J*fO0<w)Rl<+HCYIkl zm|Z_nIq~&-gCMQ>75=fN7|$Q+wITH>2l%@Vu*T(4MR3`BjnbSX-0Vb>(G)80_vXGV zPWL#f>?P{+8KSZl##!;v4yPNDTvmqqTu@S31Z#TgUFC~!v2>J!aYEzK-kdurx#ons zsS?rAP1!!1oKAa1KDY=u<K~nDALEgnqf3fAJGrZ}sRwb!w6NpuPNV$ngIr_&vEmNz zDM*(QZUj6gmwM1S_6w-8R`v$<d8tjr_z++5H`-fj$&%0B?LiQaykpigv|&>j+TbrL zfc3Anq>9XE&bXx;WnD8zhW9kSd&hno*Ze6CJouH*QFdI}Y}TkF4>!j6K5^tC_#kX_ zUPfL*JyE;FDd-`Qdgin1+K}{aKh)Wukx5Q->asr9iH*?6T6^8yEC-vDtGD<5&)2uD zH0imA-?FNDB<^&`Zf3st^K3jKr-hlRUV1%yA6iuRY3FI$vnDhBX``WuZxWRm63<QE z<{Tz!FJ_&b&A$R;Uu_P)sH|H0yb-W_=xp>(Myf2A@03>m(4L7aR~nva>iuD%B}=>9 zZ?v7dCCr>HvVntd5c$~?!LRIj?_SbJ-9TnZDs=};>QG1PF4R+z)7=r2UA3+{(we3H z6n@vvZ@lxliF){_SDj4~jw-Rg0?vPM4>lIs@R@U1WgerW4ESLN!K%X_B~@nz|9qD- zdPi!#PW7l&b+4NTC7@X3F)TW;rD?!gYa0A%<h6z1pTt+Wd!gCSEFCi~X$^fwmmT<C z-7ER?FtiUq7>-$*W!sZxuj;7}ER3dWie?^v^7bvd@h&J(sMyes?U2449Fz83-}cWZ zJ%lMhU-{srVVmNESn3rE2d|gbshils$_m2{2E(=T^WvRdR`086HJprG%Cea=#x;pX z%f1G|fuFBSoXu0>8T3huri-_g7CoP;Sp8s2`%<9r=uOj-*RXlE!L{=n$j44;O7)>H zd|aYKy{*YHH8coYL6=XW33HZQZ7o0c=&H4{J^ySzP|7q<{o(gPBsvqnqindyqDt<U z)P<$a;ftaW!0YlUX8K$cos?G(AAPk6sjjSx{6VWapUd^R6%tfj^;=dYgKj}G1V8km zZQ;^~>vQWWA=Z4mAA<d8kC|>i)OzRExvuhE=w+f`Zzs#4h$x=~tGU*VnSB98FK8B3 z<>j;=;Y@{7mhu`x-UUGK6>TDxCCtwEm15OQwM*RAOe8R5KbyFxS*rDdA1*}-opDjF zCXFfgI_8=z<)wNoC|vn*bF5o{y>V>s*_isk-!V~<W@<XgEZNCdo1vG=p0^d91(<&Q z86U0hd`)c9Mm-!jYS~nto}bsui%H)2S|+sW>g4<%T?WEwWcw{vR87CsNu-C0&GbDq zt*fjfA@I}fKj&*LTb|6=w7I@+q4P?r^fA*Z-KQFB|LK%53FYU}dajP<0*7%`fcq*s z@@9$d%40XvcfDhQI<Ne@BbZKE`Xfv9$IDk$o<|$GS>JpW`Jg{zb3kETXhvj#ek^;# zk>JZcrT*u86e6OP@-}vy?_D9qn>?#Z1rxjv$7g@|T`nCv+EN^MqUp4p)t^82yoO8K zF*OIWv|Qm~T`WnS&9-*!Zr(<QE?(BO`I|3GJ8WpQ8tp1ll(JACh+&MuPYABP^p<RO zBCgHN8~z_~8vt5d0P_K08p0|dVN*&m>j11#D7rbNz++^uj`1O{eYs!X)It@mrQ!eS z9|RW}w=Ux4_%^k)!vK><6D~78FuBes>!h*28Y#X*AGc(Vu@6wq0%s%0Y3#0UxOvwx z6HswWM2<UT5|)@=fHoop5z0a^0XW8lywc-9Xn?kwtfLwc3UI(c8gSMo1%TF(cT<JD zgAL%2p|*R65CmusZfop{ZJq)gc^VjVa)}gxHk;~5U4w@xEjTVq#LJc_O&{}==I9o{ zQO4MGUt@$QjXX}(9HU2+mWg1cv10^iCzuKKajHaVB4o9em|+D<|FD+`Qx@$Q7pRNk zi-R~P(37)z4?l+NxR>JO`7vat-eeuY*V-_$C)p_$-PdmYn0|7voPzGRoU<aNFaK$t z^|wITBJ7&Lhc{&M87p=)ezc3p-D6aKV)XFKn}Nm;TZKN{XI`lJer2r#vh6vtkWTq@ zLf}I@sVP&EOeC{Hi8j(C)-QxiUMVh*t7q)xPxlqVR~pRi!%D#(<Lhx2E1on90H5rK zH%Wr8H6ORi^{iR^Z)@kC;n6?ny;BKW!5fEaX}?g>KhJxe@Hi8-PZAbo6{rmhnA44* zZp9UNhl%fCtH?MjtP|<G(O^n;a2OE1yr1A8mc2-U&0CFJ2z-z9U2iZYIbaA-4Zov@ zl?$AQ#dZje58Qe{+a4}??CyNdzQO;dF~LD1d+`d^el=1#C<$3jay$VUXFeHHjW~)8 zeiQ5hDJ4@GYx!n8GahBpxWF7~!QLFmQP);}kaWvu{kCU&M2s=}Ob|!V!fB^yvQKOB zT<zRJuzs!&ov|MdbKZ@&ly8$5&&Xq@KC;zN8wd-W%0=wZ;J7!2#;D0o-yxj7Dz|#; zV6KqwJlp?PCa9sr+9U(OL1a7TnD+{%e!0v|_8RRwD!Af%=q{{?Mw7}5)WD(}w?+hO z)7+xlTSOEMZsVu4z@`oP>5re%H@v#y4%#D<83}oD(LslQ+2}nfS%SNlo~bxko-|xD zqt^PW5XMC^wZi+e><9DCWh)#hU@#Ke<Dx_5t+EmEG>V&kKiK2Wo~;IUliq4P>sxf@ zddFohcs)=al7~=ZC6q@zZ>CbLLG&FgMO0-!&f)&-^x`19eOZnA#Tu`6%;!^XzME!d zX#<DHlo~~Gy~>@-@nmRLmWkDflYE3S`P$kq7491q32~cs0z9(fo$2}7VwGNk`+s#q zu38#ulrE&4Xqt7#{a#Fg3g0Y3B($d&Qj40I#kQxz$OKtm2h0Cv)({~t-A=(4+o8L7 zwsfU_zE3zYxlN%VAtmhfL_NiI@3?-1>e@}SDabFWY{Oh5>NXpMr}W=bh)o<trEg}) zIh%tfCQ8)C`!MD+nv5P)ktlTwCds!M9eXW;VI+qZCT776`cSxa-`PG(ePCea(9MCW z%^o3iI}~va9~Z7j9Tx23QA$O0irU~#?{D01R}?V|Dy)8Y=P4p$I%;TlU|gu8YZ$H8 z9De_gfF2{P;)g+!rs?hJ<{I(O+^=;X2#aVrn7-n@X`cB_V=jZ`;$Juz+6unu$LR_o zg~P6fzZ$d}r_qj(jTO{>A9yWJS8%WGyK;2-8g=;HHNMT=FOYV@Ti2`eY2OOT$;W<y zNXVM{_>uJ0+ifybwo=m#`0yT$%r1~6xiw=LW2-MbMKAuRf&0%GHhT6y44KyM86tSi zA@M(>*^KQ!nj*21bs?ZoqTlP>yzYlJpf-#D>UgD1Uu4W^9cnd>UqI`BKJ|P+RFzop zNKIBr=0aBADP}wQLI)=hE*B<-mx6$>1Tow+d5WpAxX^6`@K`Xjznsy`TVxnhyeJyd z#*_O<-&w($b|+L#*0+!-;AjkBe0{-q8Au7aD7_4%n3xcOT)_bFLaE}nXs;0S3vN>Y z2)+RJ0V0GixX%K=K<qE%xL$throu~<!$NlbWwRAA<tClNb>ESTNhq&8tdU?M3JU{( zumU2tDC0wgS<eebb7HnCG5a+S;4HgvYIlEuq#6<Ruo5W^E+{#GaQ{Wv5(sl%OzPc} zzIyHpxrUSg(1i%B2quOFh~Z&kcJzf43OKhea)!wP=fx&eL=x*55bL}Fh-NP=D=I+x z&c%Sz0d2LJ7lMiXj9v621oR_!(a$`PV{LjdHC8~I&xJNaVookGr|LT36uod3lU^AJ z4<?p;cGmC(B1W7Wx{5;bx^F4*5Xi8`(hk^36Ng}}@(_?@2#`8f(%e^=g#t;xUzx4+ z)_W3bx;B%ry==a9ffObp?*d3T7xRaQHLMr$N2F{oGr`v)0q+bgkpG)lzy65GFG<Xr zy#Zv65O)xL4XD4xcoBC4vYPJ__0`-1N@Wp`0$lD2kbfb1`$Ko4TgnB$F@Ti27>SZ9 zz?5;3?n$IiBRYM#0VU6gbArHuoH62b;LUUZk@*GEnuxp&2njov0n&|tT@sTrAqNKG zNNn`0IZ(YHIK`RSuYgA7h}}6V5a)1%nDgc)kmE*t#lbZJq9YqsV0fp!Kp~a4sb3)f zK3W6mXK#pR4$<Tu9(Y*u(USwv6!Xmupt?>}6913bNV$>1?DD=eFo*H9hc%SHFRa%O zYr56|AUt323*>NvXg$gTeCroJ3BdPXlpnDE^N9f#ks6*a`~?!afqz(YPOI|%=EDE( zzogyvxbqmdlOOT%iU<C%F35AN2HhZ_Xp|>NT`6E-&bwpuD$U^_qG2T3RI@^W_&;fx z0tSM>rLt+cn)o~<d~P@NdUfl|<AaYA`yW*&_Lo8n|4i(@eH68kYa8Scl)rIZW+ULl zT*Rj2;g`3gH!^?ITU1(_%nFb1jvJN+){PyDxy-$aT0c2-PNDa`i<<xE;A~9it9ekm zzZ@$kS0geH+6ax4ld0jDx84YSA}3#SXCA!K67TQ;`^X)ie-5fibdeYgQS73xDRq$; z3b}Jm4-xCLOWz5J7K3p1;nH>j&U}%mq-H_<)(Hq1lGMzP-#D>x-l6w3MJ@l6>QQ8$ zjor4{=(@D!1<`9*&R?dR9YUJ8Q@%aFUeoB3Kcq{3CWDkrdc@1g_1Cng?b>W6^zMq< z<@P1y)=)qBnH%zF(j#F`p1-Nx;q0^VP}dbTvMq0jX@foK`DKVyUwFDP&B?KI2mO{Y z_|D#L?4mQ4-ra)aToM2!K-#~;(|43+On;)}97Vrn1XkJekN+c6!!w`U?N7q#jJ?$G zCN_o^if|zv@+3bqMrtI{^W|~<mG7qD1m{3aR^;R8rJ+>w3*B8;z|+Vg_kEJC%g`K` zzQJ|MGdbj!Bzj@{tAGDE^V4x^9O$n&k#l-u^&1jnohU<!UF2U$(42@l7t?bZqZIah zd;D&13pEJF#&AHBUEBt<D5B}HkiPy`60|2q&Z~5sdIxt`Y~mN8*fIAJ(sMrS<G%ik zTB;LKBz2PU4SSBiY~4cF!0Aw{6`Qz4A8f6AHR-uB7M8TAixS!s>lWewKZn9r3Q5}+ zk@%rm^5{EQ_dcPFT85KG=TP<#%K4;jHBvAdDdNsadM=C2Pg*oM@Lb7`HI{>l&X;wo zk%PyO-tN0((IQxfq(z+r$CW~|JQplo0|QAH*|uNbPod+Rd!|puWT9orF|kf`A*n8h zgMZon4)l0(fQ|aQx}`|k=a3N|C$uMS&W-eW601%tY;lVZuu-?q$j|N}?<9?-|B~8E zeKIBoeGf3iuy0@P<4yxywB73*U{ioWjqCv7!Y~Yw{&U`^&yxZec*r6Kkx$(LQe`B0 zk^~XX@I(cQ?E^@oC9(WTDtrKHmoj1+sYfh*9XUW3aTnlPrbG=Qb#9*}MuV}dNh*@7 zPMf>r_E4-V$GN5&?KX8^0l-B#d?FzYeV!asR7ibL;$jMvK5FmDx`wjs@4`%6iWo+g z1Eo(LoJZ*Fg#d=~coko4Ba!qPHn1;i1b|mMPjDQ(1sJ-Rp@}YHL^um_gFeC$U|7D4 znnY$2;kU80NgX!;27gL>53DFr1NF8}pW|ylLrZKe7}`u^V1=f*_!1c;oTWGq8i=x} zP_xKpA_EClEU5$Nb#oxTRtB0+gmY|L^*Lq&46EI<oG4<!RPu;1<Q$QK9Z8=ABX%es z+u?`ZA~MKgIg>hgi5kcvrjYW$v`%j#Tj(N;h>2u)cdQUm7RffOZz&5%?CV*Q-sU2@ z=(ZjDmWXw<dX{9iRfsOK?Wn$`Y`_)Yqk3zbl<1<`=I>K|1-M9iRKeSBMAxNl<~~(m z(+Q_Ns&d;FfNPmyTc%Gn18_z4sLF2#5nYtfMwdLI8hU3Z_Jc&Avt9awQWq!UW;i-K zaU5h4UDOB7E>6UOb35D9<3)is+T)|#u<}4>XW>X#5{yW?*xf0&ZBHa+g|@rk0n#Wo zBv;Z7aS-`EA=gkAK(C=B$K%LdU`SDVNQa~yqGYb_5Kfdm5Nn}4?m_YpV@gPsq#dGm zSWmR<wjPm_0$S%nJl|2i$U?e4r};afZI)G#^jDRWZ*&^W&mPu<BpNx<$FL63L`owR zDQ0+w_nuyM@Wy0n*Gth@&LP^gZB;2ZMdRP|iEhI$&B#=6-(xJK4{p}@E2i{7^h$~4 zQY=ef>~x>cpD~|5f7IW9-Jyxk-He@HTLi8@V($;-u~NuQkyiFa0M|Lv*0>f?x;7Jr zZ-Gmdw<^f*-HYMyw`8AwRgu&6`cQ<<N5^u(iDVjwnAO(%3y*y-y?N~&|EZ2TJ)Mub z<)jnUGzO8RJ@A+I$u*AYXPXL6d7<faZCMqs)e7a)>3l|a{jPPkzYAM-0Cl!EKJF5X zX;w4;u>5Y>!D`7*+TN>pdGXChysMf0>CdV?Pq@Yop<OBd&~CI7_aoHiO^e-VJ8mm9 zRIyHz_KlM4(V`WJotK&39ko7!oA`*r?cGv@`&slao25WM#E8NYGlzLnTQgT>msye& zX)q*-uhUoa=0F6AS$#4glzRAgX&B5}A*zdc@&LZ`1)I9zVfTd~K_&Xt=$B~i!=q@p z$6)=&Z@#gQv^SN?Lv_Q2_k%o_e4ggT?C#OsS&C9e(~B1H4jeO^QO6s)5B~Z|uGov< zzy0lT4B2mrFqM84b-ioBCETkF>Utc(EDYb8KB8SUmSV}?gi!{XhlsLfjh*L;mHfTK zorM|=<<37GAN}YiR#;o8IHzz2mg4qdhjWkD;~Pxr6KZ6wZcHvXqV*rX|6n**&cwui z)%|_?7t_)6^E3U^zH`gl{I=}^hpP1D59(i`4ckXQ`jzF*AM6AsouqfY&6ioMiORB# z2*lwsT0?lQ+tz;M7fY#&fi9);%g;rAXg&Iqdb!HkjQsS-pG0vAA@gU8hu(4w;dAt7 znJO5i@&94xJ%gGGyKqrzr~#=`q=|q)5b07SfJz6Yg({(!0MezG(8Lx&KzdL>x}gN6 zDS|+#0R#-)LKA{0D2TMPH{bW;o_p_{nKN^L+#hEqGkfJ(&wAE+*M9eABWvrq@#!jK zMJs#~z!^dw!B^KLwW!t{&?Cc`x`->I5s<<h5yzd&SU$)k0YEOAOQH?k^*?FQtldRF zA_B-|b8obvCxFne(V$I1j<7i|dcX}pDAx4QrWd3(52&-0DquHx7g;L`;LB+P|K4gZ zL#50t7xpOC`mvd5Qh)j5O_kT&o?Ao*U@e%{k}IBX(S}sPU$R?mYbHqXSw5*~*rE){ zgTG|6`Zb>*#cO%5qUxRCS_s-yqH3j$(n`5P{$}VR*BUc=L|E-pIAxWKIoET(w+iM~ z=qmQf?ZWsG`8C+_ql4qg`=4J=aaT_&R!>eW+VNxUAd};ZcD~phs9G`qR_eo-+sZ$1 zzjW4q_~uXg1mllA@L{AGS`R$an;D9aG8$=<mlUfVD^Aumu+_}1h9{GB@p*g`mTk!` z+Mjqs3d5N8sPUI8lEavS<L&q+ZnY&J#M|*s7`7!(Yu7@3YUjH;wEdWUUd(sFu+0$s zr2iK|?0x1|{kG&>?X&zL^<hlm)Q&ErVly&B6s;3jBfR|^rZsu=rH}qdwb5mWW8Hk0 zB37EYRi`bvQahBn3J@yPw&4pY3uCf~U+1&5X-ig*U+1+nYfJ9ew&4%KhcUgTo>ZxL z8^)9w4_?Zf-2T))&gRs>k=Dn{To*V}`nZ{kZgPuLIr@&`XJm{id{E}Do7}zBN^%wV z!kAR3l@uy+!<fFs=kZSzyu&Ay+pncf&g4$cd=IFlHjhHjYy{M5n$w}x?tDWJZE4>B zH0*(Y<lu+1_0wve>aUr)R}Gb`7U-kP@xYHbY!;CZjpS;w?@c}$o|>$3#<y?oq`6?f zZQopuep;w7LH6RW+i!g)5c=g&x#*Ra%K><K#3p~u)U+OLq0*IU#)&?x7GFU$RB;ab zg|7fb$>%rf1vPz3)!L8ESG=;%?)3of3LL4tYT&K_pILDP8_0)<WeI77tN1$a^N!v{ z_X0=BtBUAWFXw#*a~pK4kMq8qxeB_~+j*aD^bf@GRl;(r_B?dGE@7EYTa$VHOKE<j zP{m8QW?_iM7UduLikwXUbw}(1<WJbRNe6WZ8m@BXd-(!n-PioK#QcOfHWu<H81T?e zEMkvMTPsx(AUukl1}c9bquOYQ+V^s0DyX~{1`r9wzK1{o5kCq(m(epotgp5%lyAK> z-;Pyr2#W+_Z4$2YD0UgB;90y%;iC6^4s7DD^D3sV>Ad4!yN>pE^NPtaIuWsP9U_nG zvLF8WBx?KC{n`HJ*%cVw&g|B};_;ov8UI7-@Mm6!ERZXrLq5wmyuXL<-;M5#U_)et zdD51!*+3y?Z`Ip<dkIEZu3X7gMO<9j=1$RbxcEeY>!?8byWvZz(keH%FFl_M9n`-} z+C}57<#JvsRjl5swy3^YeXH7B+CuuK^ewXzYi#z*?6T~V?DFjAf;Ti%>@p%R{Gs;= z^pW$q>BHuO^x;-2_b{iHdi2s`r0Eb18|dEO>Nxsaz`Gwn{5*RSnEWEReCgGhJIORz zgxq6daX?Ff`p)eK`(NXl787_+J{@Hbwrsp>C}dX+KiN4k*GU<Si+-Z(huL+(?0u!C z)4aCgaq`ggNsub*@h7c;2)cudt3u=+HoAl8R3Y+CVeXNctYBf>(UXE(?{9Aq2eb&+ zQv^|ix-7d_=ORa6H4+y$&c@A_9DS8I@qG99-pMc7*zxzVzg{1Hiv1OH(s=uD^929# z_ak)SlOxtJ<=^V02!(@UBCg$HY2naj$LvY`OZ`rZ3!f#~e{wS1O{dYgMpbm4@_!G0 z_bq-guygCm=kRks6&U~W(yn-hgp3rN{5>1C_&yf72P_9kckuV_d*`cbd8*Vqi$!tQ zkHe2=AF8SzZ7;?N56x;n4HX`GadT>G{7`B*)_d2W(I!uGoSf~Eqo`gseQ8+PyV<bu zTApm#G;G*&Jo^0bjqzyV;i&O;(P44#g{K}b6!}YSWrx+grwkjf=S_~+WO$S*N|)MR z8deyGWqMR98kO3@hR=I*8}6hjGL`1a4r_R?7%JSzn;sv^@F-VQD9ybzZ0TLDuV9;J zFg_<WtmoZpq+psSH~t{o<EbJY)&6nmzE$4QI62efm10!sw9N1&?=Ztg$2`ArRF=nm z#oLCBwt2+TeZ9QLrTf}>d!-RK@=V6tC5NwjE9*Bp<%N#dWO+PPtS++^8#eT|)^Bvk z^DMO$AHL<Ct>1{qyEYDcy29qot*>x9FJgQs%j1z^V_B})u(7v?zJg<3<+$+^j|Roe z(iW?{d*cssJPH(DOL=96FM6|F`TuEUeg2^|p**4Vp=UxV@IrW2yb4|dua4)(GvPV# z)OZ0r6wigH#jD~a@p5=JJSAQWFNnW{XZaJfUN&*R^6V{Ni<6Gj#o4X`_&)UvJxdzx zV&4gI>zh-Kg2u@~#fPnLw>M5Uo_xG|vMAjXw-px_t`rOr8d&E0fjum|l)W?ZNaSR| z^TxueCVA_3#Hs*s^xel_6GOa#7q7m|Q`J;p`Mzn<rTd28T)}frB*ovAN#}LqXsvLF zH2pjyXl`!Z36wwH3Jna}DRC??zS8u1Ppio{zcMw<Phs0!@ab;?Z`R4~VFfvEw|?Z| zbZQ7LTyVy7a%1#u<&V_6ywmv4@(us<XM5`>4fe)+j`+CBsP>p+d@)7H!D0;6=+2p9 z3WpPwD5}wo+lLID(6~kkijET}c-W%u{fww{F6690{U?iSy7$y~bY4sDD|BUy4jZhk zTnGtfZ&hWOFG%t?)lrcs$<O>h@c)7Tudr*yXCA35DU6Rw68}Fn4_+5LE$UW%Ioz7x z?f5?GfAC1P>9tvKYS_o=qj$GkLKq@b(WbB`-)s+rwXY?#mkrcKR_TrCPFHlqe=_~x zTe)7@(GxuKA^nvwEz0Y`geu_<fu5jGxJY=28N=iYy9&FCd=pmD?bQ|67T57lm}6{# z$}<G1yU8!H5I6~im`aQ{<~ycMSV1^k*F)z=!cgKIQ!ccHRh};Bs@tU{N`eLfjoHVf z3Ns0_>Ix=kFxt{bFgMU|UvZOLVj!>+GBIVCm%@X>X1YZQ8cen<5iAW<+Zt|SOSA+j z!hOsnCP&y;SXOsR$0?x(-Ogys8Ubyf+*WfFU4jzwF|UR5g#&aK655#}Shuy7s0pc< z$HGFoS_#pN`^?*_ZVF3GgdEH(VSC}*y3IOo6Hw@ArfHUG%4vpumIkJ6O*fS#I)WhK zF{T4kEPNn*Rd-i6HsO#_@_m(R&EsyvD>V_+k_@VRLCi}CLLkOLUy|?(Gmha9b{Ce= z8PFMk59pdETE-VJtI`HZm7ZTx)E6Us#|&T;gsp@<b*gkm5-rgM&`K6nx}Zx-8v0Ou z6MY%NK4u2PBz#uqQlbNM3r&#Zl00D<BP|>%Y@@TTQ<pe_c7Rs0wm`-y#?Oq?2g#Jm zl`@n{mhzUWE~)7==^N=w>-*~S>)Y$A>qqI+>EF<o5YJP1mzO&+l<N_uxP#68H8khp zA*XOVH@DwdC-so?{4!5V1x%hGl?1aOsHMO{2!?5}EP`D+teN2R0QQLx`3UxxkdA@z z_UC25)cUKQ!tDE7vtiNw1J7WE{WE#6xBcq{u*Lp=MKFp1#uAvw0N)Fk&VW=I%ymHR zB`j&cuo6}_U{?+69q_4ztqw%Kg3%AA*TW<S^BQ4>gH?E#?_ld|*n`1=7Fg}zOdD)u zaJ>VzHTdrx?932j7ff!5?*r`CkW?=$cu0)^dpcw|0K*U24Z)^{d`4h<Ly@B}p5gRy znCfue1k7%@Y6=!L+)9EK3=hn}I)-QFU<<?R3$T;nf1hE(BaGi*@DaXcn9GRNDhxfM z_8nF_Vz>e88L``ht&I3=!RUyQzhD=M={qn3V%{H^53y<wcAwa~533;#9KeQ&Ge@u= z#PyRVI&54gE6j-?m5e!Gv5ldYWK?Co#T;~{^hzns5<UI8{E{GpD&sBoAjKsfLOtdi zLL*%%6CrRf6odGchJT3YN&AzI$W2p9L-?g7mmwU|+>;QO)5;PMG-=DR2vVw8G@>Te zJpvJuZgm$CkeXbMxRu`X0wJDGTY~s^?^+S!PHI^wLXYlNKJ6XELW-3m;$3o<9U?P% z(gxv~%w>g8Pqw;+U`;LyKpZC}n<B=OdW;a|Nwfxt$fRr65vEDWx(M#nP#pwYQrR8E z@04Xf1R+Jt7g3Pn?uB4a>bZ(oq3)6wsZso3s8?h8!SH%b$Ol7x`1K;rfzk7iTOM=u z$};}1uTt72BZ3V^2J=e9USv_bnQQ86Kue$7x4HO<q=`fa%<VnuPaemF_WQ58pSf;5 zxm|28{!UoDZakXz7R6OgP9uh%+tb@=#a80^Ze`I{4_-KgivyQElRk|(@Aw&cigDJ$ z?Ly2;k(!XecwJFnxA8e)>6ph#+sDP?wh31=ZCpuYCXB4etcYAEFXlQXp&i%FU7Ua$ zfEcA6_SfeuD|=@;@7~Ic>i=nVw=8wIE-{+6|AX&Kxc;kT=C9X8oBzU++_i3zP!WX6 znmL1R+QuBaIV1A^;z)1v?Icu!+ZQE4w@`gog0oYW%j}>?jlx&lbov=<zxKQ-sE6!o zu&ixS@!@6Effm7s@Cl<saX57;Q8D3nU$dun5gIpR5bswEseG^V+(Q=MlGAk@;?(Ba z7%VIcZ`NU?E}*TX^B%CE$nW59py~>e7HJ+%d{Xl_;^Fil?VJ(Y?R)Pjm7}h@L6`XT z+2VaL9+(vkTHJIlW>utSj~zK%gP4w|)f{%ZwB(c?8QU*fKiz`K5t$WMrSR0PLx+5p zj2~X0wq-!R=e3?j_77dJaq`*S_DJjC+~ML~aBgJPp3(`^PNyoM_NM&a15{B+8$sEn zrV88X4l4RI-t>XIEc2u6&DE@59LnmfUCzD|OPAw=MPx*DFOtX|7^^ef>quJBDGonl zrZbH>CUb*q$D9x^68Q>nr?(MVgr_GI(Ruf1CDNJ+H;Pn?z|MZ)^QrNf0c5>@EbGU~ zi)y2Ou@IsOk%UWxFIXkv+K67)`y3*BaHm9^6Wc~UuExirF~{>wPR3g*U1L-rw;Sb> z5@8SX6{{rTcNgG(6yDRF(ne_9D~qs=Da)N6Y@8dEJHF71BYoAyq>Athw~2^p`@(0o zAM|mrYOm-xr9?9!{XRF^27MyCtL_CA$P)kZ*hkYe&}^##_kT9*s_x7$hY#FB@fwWC z<lN0O+!A#o>m44l#6a1yXjRB(($^P2@A*Zdgaw<FOErntP=SJOyh&pIVcH0R{d3$@ z%2BrOtK_4L`tlrkeE;$vLW3y2{yb(bsy(z6POXoWa+7V|S6H$k2%Sm&rIzRhZ(u6h z`t`@Wmv2|%o$hfG4O#o|!OHZ&W5}%sY!Kx(p>6&piX}7sc!3WX9S>Q4U0z?3$}y!u zz0i_bzJwG}G*%JD&NQ7Wb4;}_kkRF#s}H%raf?J=hQ>xlV}ILpI4<Z-3zolXcJw80 zix0A(!@M3kE+kERkDAuj59TW_^8PNIA}yN~I6^iV%TQ+nwxa_^_e!2_el@|)LpE7J zi2cZUlvSfwaKDex+S*>y)K}Ad<M9*6`Nxo>iax@VuvhS?KiXF&wiMPRG|xEs9->CI zfFqf#vgWCYIo6I*_{e5GF~dODm66{)-jnydJlb|)wkyC}#7zMB(ft_nLR&UI#7*|W z$0a!7{u%3>nf^vjiJ=x)u3zkJ;_7CT<iN*bLYpUP$rYm_5}>=QW0>ef|NVnMPksR_ z(p#E)=-;E4lDl^V2LtlwYnJ?*lio6K5s{hqg#+MPXfpa7G*Yfq;Q)!+7NNiITOU8Y zcj)fQs$_d^mb^my!^3yY?6ZJ2YCDi1PI!$e7U_$3qWa$Th$r8H0vRF8{nRy~nw_L8 z!#$(iX4^B5Vv6xzaQz^D7I@S+q?u+_<^Fw3-)5oigSb!%ZkKe4eo$H{K@)fWu6kqs z*_QmxlB-4Ga`pWZ!dp7A38fT|&<>FAy(~ic(KKD_o;90?Oj~~S`jSlXK;<j`VJUAp zw=!MY%ybsehm8FYLb3x(OOd9Qa?6>nf4()B3KJd*F(9pevv{b;2CB5_5TPc$VL3Vr zsfQ3%_V|+zT$mpkzv}1vglj1J{!PIe;y9B+r`7Z3Yrgo0r4|Vda-#Mm33Ql6kwe9Z z#EFF8pLy?XUP{D41f)?pA9^1r;N+tv8uN2n@-<6VbD|}h+nBdSmRRYDP$yFdhVT6f z?6WcFx}@&b{EAUj9#LDaF<G1@d&q=0s{Gb)e+iVWtCe`p`7@-`_eCpaK|{2DzUR!; z7uT#`uJwV|hMu8^bsj3O?x-B-5QRP~qV{+ReVD5vWWl>7;ap3Qq9>#u9A~Vl=7?=C zNLPIEjaqRkjrpN-!^fkI>bZ|LwV3v4zW(G>S9iiYLBDqcwK!5CWx8%hoN)1bF5k_g za|j6AkqY1OU7J>2NPZ}r8qLV?lkb)7T?VJ(qsv5n)Sdx-wa9U=dOPXLebU%lY}@p3 z<d2oT(Vcdntyk&x&#`}1a*CJpX-PrK7Hc`T8HvCq|Eh0^xPFruvr0^f2Wk&gq0=E6 zRkqdt;=&ALnA>}+4N{z;JUzcY^o12C;t)$h?M4qD+F*5QJ7|afmGAHrx)|;>Zt=PH zzLHaW(6D#TcN8ITeLC*MWmGn@veSIW1|2)emW>_^fE<;J!ct!^LjO75KsebRBS(eQ zy0*u6<Kc97A7~DjQN3zBQLH|y>?1H8SzcE&^rl2y=Nl1z$(6;so&=$_yO~RAlL9ZX zw)FULmiK81S5|~HwymY^J|d$&NA*mRWBs&FO%^I5)|2mPC0*BTL^j+uQuy%US>+0h zAbnIW3eC8YaM@YL#k^UNzOD0kMLYec_I3A{{MkQsJmPJkarGBuUtB_`oE^_-XU<~g zyQx2-)~g~4<+Ig)^n`NiBKkU4hO1jr_zSoSH(;cx&S1xqmw0%;Ex3)EB$w8~L^tIi z4<#>zy7R6-R`NoLR7wv<L8+m92>tbeZLL0GG=wXowV|C=o`ii5^0(eI%X#U8w0!Vi zG3`K_^~7cLPn+f?$)icvJC_AKV@<yO{`BF?p$FQ3N)UaPQ+1`Fe{6iYy;Rc2{HEsB zh?`3Nhenyd+rGKaZ$jql76oKs68ws`@6?@U7LaF-$0Y+JJ}p&_zd*R;=Df3cre1F2 zb<f=?{;KP!f+V!$N)69%dqdrey;&oNVfbyifUI-=qhIXypQ%6|DXd+ojUH0xkl+o; zu-00seIf<18XM?qSF!kr%>yZ^msHk*07{$1!=XSW!+zd@S=U;qPhp0_)4kPJ-U%5o z&x-+Pc2z3k3`fitO3t~DRy-{zX|IgF4}V=+x-Iv$X6`%0#LQsXRZFOS5qn^9YyTOM z^(<f0WwETs`_aF=(M6`9GcLLxn{x|vN~rKP$$`$pZC$jMG3Z>Ja~aKkYN@rdL)?P? z(>jd|DTW`M^3CFu*H%xRlSh!v(@b$kJ=oi)PR}@{wNIzcSzt$Mo<{DmqL1}K+KHy~ zXu_%U%T?&V%rzI{YM*3qdimXSr}h2lY2Kx@OMB7B=Xf~JO#X`265!)xpZpUYTZlA= z+|(ql&OU*&-HaiwF6Khq*_D1qYZbYhbKevtuC69COX*FJcJ?EYXhsgDKheiUe&)(I zgNds<*O;YrCc1X^V;;d(^=dVWx6Ue6T)fFoTs=wU4dJ<|PTZ&LVV1f+@jm!iI}n}D z5xQM)+q?_QuIH$+Ds&Dx%BDB3u_|#Ft#LD)xX*NhFXX~aGvYoJ{7c)-0OCHY7*xt& zqAxi1!x%)sWP%uc{6P*PpsUy!9NSY55in9D1Rr0kgSY7EYOH!bm9O9&yG-2Y@8b{A z7^5fd3zR{-ZmZh9C|ISza4@SUpqh3HL~ixs<lS5gRyi{`pz2Rh1v>?<x2A9nZr=)5 zFFXxO$r8<9)9|c(s#2pdT{{xYXGGgAg)s>#+Ho*dCc8ECj|86|l1wSoQSONtd8#^z zv*<5ZBUUPML96wZ=Oae&s>8UC1dl7k{wrC`)q2VwBSxlF=W!qVJyeO`HL{@9#>%r1 zBYUddI0eGW6(R%NlP^qs+F@1IwW11X)xV-jl)UE28^%2yy{fuek%ycla9<%B7<lrB zDNl=z1W(-x?XJp{2`T!RHzG$q*Klnlc;RD9N}*9RDZ=!D8WFcc$i70%wNPTNhBr?{ znATRgBV-!fM}mJ$?xz%*?LOEkD6pEv&A1H~u99n+nA_q}$vXuLp(V((8MPrrJMS*Z zlg~F;jRYr>dQu#Xn`a_Mgxfygj0jU#h>{*Td|{H)cB`s%oATY4MD15KBq}H6UU&%n ziU=)gB-JUB4MFSU+&Z7NO|(<ts>YjY<~Bpn(rbxKndB(8Syj#a;nQ8m4O)|RZ;^j` zRwpAydfIw%z8?U~bjsHmL47K>&&M}q4u*!j^M$rqgI08BZ;H}sC=z!MX#xglh5Gm) zEWiM>z&ze5V~`eHx{{)F7NG54*--1Q1GDbmtw=`7oCaWhyb(rV0I}bWcj~mb;FroN zcs7vcTvdzo15484^7TZhgEZFF8U86JkjC+fB_;F>NPAQJ0x57xd%WR>kT^|Gqs~8N z8$Ciz>_a&+Z=Ba!O;|<0txE~zD&Fbbx%ur+ilZ!u?X>+!2|Wv93@)tQk!i$;&-=`c zFOix(s~SI}FOvpwPG5rs?`q|d{SX1aR9Q8I9xXVNpddDKcheOi1Y$2g>?+l~`ddI( z6zp-pJ7or@HNaCd^9zG1YGxBspqxO8V7ni}-H>}g3e|vc91;ztC?KhSz=@p79SZo6 z%unSYtO}@Sr*f&O{K^iXTz`)!GrtHZNB;IC<>9GZ<o3gq`HLWSxIC8fkQ>AjcT7^| zFMt^Hnq105HV{kyjp*)82h*lT79hWU0Moj~G$K{*gBVj(JM!CUDdn2)aSW%Wd@J%I z>4Bw4L@xS}YELnmcf+{Z(^x63ew@K6CiZR~H+ve3(wfHg%OBGgHI8jvCbRIKP!Yec zTQKK?(>|)jN2c9Bp8k^kS@2V95?2Y*6u!RXRW|8;*BLx;=$JAu2GU-}rXUsE4w*D0 z<`?})^QUUto_xv{AZ_MYGi6>Bq`ivEMmC<(ju(AN9Uv{2^1lO2xoUTck$e4r&)!|( z9+E>ndJvc3x&7Xudu0HCJR~%nNz@?!JJSwxz68i${P~{=rn}<WLn^4ZAV1}UZi?mw z(5X}3iK7Mis@$ynyExFv-uvGXrrfe$70Ab6WO<;52gwzrMF`$!wg)2Da_P6<ARiC@ zJsatf4pT<eKZq;wl<Mb5(FDhNa(x><;KbyQlZ*N<n(^(Hg3jiFTiw}!SY&ylhC9gu zbnajJ?+{b&nVlLW5p;SC!BkK!Am4B}I7L$ebOtwe;kZD)fhv)Iw;gm&jTm-YpNjoy zcP24`&WNkk%=Tg+pXc{;r0l5}%YVn1JblLax~B*Lv?ADnA`PBaX5b68mj?MAztfSg zKxetif9IGyk3UwYbbu2*xx)Ab+#it7Yx#<IHxG2CPeQttPsN=7JIGXIU>Cr%n*uty zKjo)%NP>|of3lGQ{U9yDPac&CI-iqfQ#xcor@`(c<ko4<ybfPd8>rFZwZ*$z1kxI2 z4pKT~LE7+c0#fLd))wPNx^ddHJ3{=sr|s^VlSqjH=dW^a?o}d-PunpU<3aKSX{r&$ z{JW=(9AEJ0Rz5vZe`1iNv!K&E?l#|U8R&HSY?Bgm+O;cx|1-@L>A~%<gsKLe>t9q- zVnjh_{+nT(-)YzGFY@iyg3hpSVcpFjzx?Wt%cxWkid{184g<>&H;?rsg`ajUyN!AF zR6nt07B_!dS>9EiT{OsNUlr`$1y|=0aqLBUd|DYc3OajwRwVvqBCn2-pBmJ~X)3Q4 z%t#OUWlB%<Jk5;U;IX{nXsjx*XNazwpbIOw6P*PQ{gWWzsqsl+O)AE@FXEF8zonTF zDe&u_!wzEGM@>b(asO4{%FxS-fM)aCtVx;hJe~<X)})U&!3m2^gsMn+E`0bmkE+<q z7WnWV9#zRTHFVua*QKUXZU}zVb?HMzHUvMBno*E9Ie@kPXP&{)ZqGkqCuA73KvIG@ zz6h%+XqoE7LVWrLT7scap9%oz_(Z^72Y`L_C%%x{nff4zt1Fssr!9YFTqs`wjeTfa z`!F`#80qB;jx0UX9UH-h{hOD&_o4&7`KQfQ%76~7wie#!ko^b}I<fVraZX4{;>(MF zq=E=#Ca4{ulG0*$f}(urfeP`YZ)1XDg=~D;E726{JU)tC**`(5DaB_Nrt?}Fzl7Xr zo!m(t{)0fOUN`+~=RG>m74)DWXg3iq%bD%!wmTpNK1f@BII33oGWVu?_+7}Gk@sK7 z?O!2WpH#0D2qA!nr%2&~k~OHB&g$nokCvW5A_~#nwt2uKQz^jI7z-FqIM<_kCFTLG zrp3*mVeX(m+Rp;4-eVboFl1CY+;csrhdIv&V)fD0jgdGFDXi@yoO`|wvHIld#{N+i zQuv~eU|AImRkM*<%N-0Yg0EK6YG(HP@L1{s0Ks|yd&>t-w7m;FWwqOl1`9fSqYbNR zH9a+ooktk}k3qUSv(yXj-v#0k&*2hPw3-q=*-8~P0JX|X6+HlmNmOU6RDiLqWCKWH zDL}<VHNYhRk(bQHE~Ap5$ly!9kSc&O6LJkL1&DZJi#<lY0gvi@7IPICV-?#9e+k5_ z;%$^G8UX68BVI^h3HR?I&q^0_E<Dy#$HWR<1;i>uo=yc#{o`YbT#^0HNDv=Kg%7W# zQJk;wH?>9VPRp;@sS4ieN#*Lv6`!MFpCSL&&YCbv8-C|j+Hxl+FY7k*6RYwVC-+gS zf3Jc@d@Rs;KCe4%8~-y5RPcJX3(Gwtb7$p_5!w!Lv<%iV`;^U7d78aeuD}C?ck{hi zWq<&Qut(1T1dXQCaUfdLfOjP9eZ2i=ngb)|Ry_dt_3m^Y$T{P^JH)Ykp6cT{@E4X% z?q^<s$#5I5*PWJ+)gY5&{t5l!{}~7x+Ntt2cLWL6L~P`Y%w?IMKIpnB{{(|Of1p*b z=BXNg{%0cS8H5B}6##*?z61^hQk-`aMe}*T?ld0qg|=F^(UvDFD_7J5ir4&H%sGGn z=Gh^v2N1yj8n_bo?fJccW<XvmRO3G`@&#wk@=rL$`3~8Uoz3a|qcQs(3MQ{#*w%{L z@T06<NA5^8+DX(Yf2irD=^p_{f=miaEXq{<GE__YJo2Yyr-Sr{eAGsS53^*P#^N^9 z_KO^c9<|=N@%!MYI^Si$Te1=VB~o?x!>3FrUv19Eq4rvzQ4;`|SoDEB92@jr5iIr_ zMRXK+%ovBFd%rI$?mQ>Tt$9F`pFNAIgMU-vbcy^M0SO&5Puu^l#JpZrawhoOW0i{h z6dmIcS-;Uge2j99pJ~voz862trN~46jGCuSb4l<`Sm=uMZ(Rmwf6~2;mzmc$OY>c} z4I<F~cg9WRj+QT=|EYa1e->}?9vf@gDp^iZ=wcoxG0&NaF;()42ZPMHpnPjU(D^BE z2s8)?Vyel2qYFbUhIG~Eu_8c_N0*hdR|UXrarfj=95PVpQS6X{JOmTm{ArB74kmaJ zl?{JU5Mp7>UgV$no}agMT>jsy;tL<sB%V!*-@&V{ye1BP-x+?Swqcf0Ig5v`cgrv< zyo>R;7OwQ}sP1r+c(n2Qwo-snHtH^OC5~UX&DMrCS#Bhy3H=}$7Vq$EZ_0AA{Ul-e zf>U4XRUCxrb0B6Nvx^DDq>9+|x5;Z%JG3XLkdb5Dox#GjA_BrHx`GLcS>ZiJyyF31 zp;sFQm_D~)9%1}3zc4pMKJ~XXXavV{e}M+TcN>(Mr>?M$GmHz#Q;zE_SdkP&z6aK{ zF>G_(?{|L4hZ(`##N5MVZ`YkKn!a_v{>LmPrbY{`<O6Tj2LE#DEw&}Ko;&b|W-5km zTVZh0`QeU;qsVJ``3^@$IM>dQf_-A>Vlvs&iofP2e~_jd`%<hve}qjS@xmL4`X5o4 z+Xx7quLH$*mKK4AGvn3^p-G-83%6<xn3rM*0kER(Ov-U;dETHW?Hl^U-|t`IW{fbb z!tWYqSZvV0ljbN}5DQl03a<lst!L@?>9^?yckU?Z%Mv&VV%v4D8XU64y*@%ZS}Et8 zHHGRR-=QrWL6z+rSBOK$p7k>l7#Yk}TZ*)5xPQEHvV-`R)N-y->$&O}#(lwzE<X1b zzEV~<zNMfD8*ifCr&Zh!Pt0u*7T>362g>hEE%)OF+6L}=e5vbfEpD`Cai)=Et)%`= zm;3(evZK4EMN)Aezp}!l0FI}WYRQm5L6|?ZSg=}9h>H+g_(>c5jq-7F0dpl2FMV!5 z5WuC8S$(^c|E=4A)@K8Z#ox?S=EOPbN{KDCp2ns3Qte-)%Rf^WF;;d>3Zq3Dg>44g zq$0Cj@3IB_&AUcVA+6&Rq(nGF=)nBjt}`sMI&b|AYHw>s@l!EY#Q`eMDbFADu)Vs$ zllH#S-ENf{Rtm&DUu`~}6!;IcA|~AG7tH9F`~vPF7dwU7Dj#MA^97?im)2fg<!SN= zf4BQJ=6rmX$U{;V{JQqawo-(R5;0Qb)o19e?Rlo3%mh4nKv-0S^(Wv6B_@h*{7ez3 zsieItUMklnPk4xlh&EXWt@EtUviX%#2<xInaE&K9^13N5!QYB+ReiCg_t0GUDZms) zS=6IdSjfI4PKef5h_I0%o>zMH1=@!A!i3}d<ouF`mpUR%$XmJCgc;b{)m?MQANLpD zbN157E%iiHyE_wHMGDT=XDMMqF`q;N;0wvo%=-l|ZXIL#&TGnkE3*;d7v>kq7v6$v zC7@PDpI%+6k014EZ{ltc+=eXq5e|S&RUBkFAheD6vL@F4n{i2rkdFx$2KG*3bni_A zt#r1P7tXvz{vN;kZihSMS}-crWMjLyO6M3N;))=uOhFM<5#rSjT)`1c7ag)*Qw)AP z<lES%-8d=qofDLOBFZ9xPThGd{S<>^kuH6c*nZ{WJJXos`!&l~RSp7vk3G#SW@M3A z`Eo8A&mPo#^o>sOu=pzW#g40;joRn?2Fu6n>@_ogjPxr|uM74h_x7U-<6}-5IdCWM zwH9OPG%2@^)N>8a6$;S_kfV9Sa68MF_RNYWuFEi4I9J&G&!4?5|1qVd+tbgh%Q^4u z$L-z8V;9V0_()3p^49r{EYA<7l!H_AME#21qmw9Ay<M%QL3CwmP{gS2dfCyIt=&AY zU`D0oE8YE??YiVvZU-i=|J&zH=E>vRvxg^5uP*&8{(pYLPCQsfky{Vo%afF??V<4_ zoxT!Z@ab_KyB8cv1|jQO^fqf<E{sRkrc#r4j6A+{sV?tyFz#dz%8y~q8|D=JT5M*5 zwwjjt$FmPgW%f+$f8;c4T=LsrX<Gi}J;*VhEm1DBn9&^VJG1TQJ!mnWy=81)l-H~w z;};P*v+d|Tr~p8w_OZf#5l%DPXz#(DkJ&<o_D-1Q!#gwEqTYkEOcI0Y<JsZmGI2T0 z?b3ex2TjZK-h)?5Wws3LkLCO#0%o?&yax})v*S$d7mAw?jb^rAc@MrTlQ}7BKD;rr zozS#=^<%chbbo`9J<E7@chmBNrsa!%s;2fM#`YtI_LrVEJ6!QoRrhPrp9wOZ2^wo! z?jFy+UnV0|)a;<)*P=JG&E`F*<+o30T7EH}ZB#BJRM<Rs$*+YeJGkoW*>{p#XK&v% z7rb&$NN<KU)?=nW+-zpMrfE6qW47l@nMI%-5`O!~P0PE}al9qjre*vk*++Dumc|k$ z56lNw_)FH0`W3?|E<isrD%rBdiA>T~_A0_mMtAiah#0IfdW`0atXr{2ZEgydp-Rwb zmp7xt`*SY#zDvKBE~Ap83Wud^Hd*0<(?=-<_%H~zD%Z+zOo)JB#K*_-@D329q{!1R zk5R4%lza=`Vi@?&8tn204STOV!>XSL{3&VzJRFMB8Dxbe{6}RuIP0~Hm=x6AnRDV^ z1{bD12_-L8kcmZ)FB~xE?loB%jkbc-y)rx7MAIPvC^Z~@cgV&PK_x$m)`wzivw+`R zFM$Qg@J}*>-1tp?VpJMliD)1`8aC&sqjB%chzT=J;qw$*BY@zDd2uyO;TfY{+kWOh z<%Z_T0B8<PjJ?I6rV1=%0)wTqOf<bGcEFq^u{Qe@YvJ0j^+F^Nc)aZe>XbVB`-Y); z85qNlF1pU3rpX;nVL5?)aVJr#?<-6EKcOZ{(^1~~H`G`k`i>|LOEJLDlRg@%0{83% z{2Sb@OX3wiupbn-x)Qu!;z)Xr07Z(*?-wTKc*Go~bEYoGG)<wveRYbC7r=8;0f_bM zwoTC#@&nj|Xs=g!*d3QZy7(hj&6^ySqM$nc7(o%P<O+bBXXbBkSV{xnGp;dtMffUE zlJ?%l3}o^Vkfv9^>7wx{El^vh>AmJehStj<@$wksW%yZuNWm{LvnRHe0j50G8|<KQ z<O5Q|UU+5ZU<bjJW1O{{>>&|g?Ju7TI40Jm0ixyBO&BBdLNI7qfA%$vBQFrdEbKj* zjco-pDQE2ZFtkd6nM}snW#Beoru&97&%`QX0j0~$rGF5Kb@u?JuxCw7MrV$nt5cj) z`aV^NOQ~<A?o7)RoMrIktX8KuRR8{<5a;U}3M^CNsD@+eigh@}o8<9$HKd6P0QO(> zd%-cK2!MAp$H}V5VUSrS8I#8m=2-KCVlidCt^mgkXrh=VIaHA{fcvkwCC9fUeEOt- zvv0*zH-oQ0wR-2L5<ZATjR_E9uY=z=LChlNDc>?q7N{UMfi!>REsf@r@Q)`2KHoRr z)aICyvks3RE{VJ=USk1-6sP*M=i)|yEYaLm_8wiWAJ$oZdRK7gFl_Jv+7c!IZi&~} z14N-zAMsq=23W*JOFBYQD5%(N?#ItDB?>6A>in@YMi7D23#^mEa>x#VcvsfaraNi= z44~6iV#g+4V+QDKC9MlR!@UI(whL5!zuon(XPt#&3#Il)tR@a{-`N@wa3;kAI-iA1 zqYjdw4<-dD{NE{-nUSy{sA4R=p9FmbfRFlqr<w79-A7S=Cl}$qJOR3&i-{#O@UvB_ zQw*_ve_n)p0)oX)7FHSfxd0G${yU-w$1e&r+DI&>mqS_Y7O)-N#|1QyD%XHIUYSNl zN}!BOfeph{#u47tdmRA#ZvFF=Ag}1pQ#$7ve%eTS_;^Bq;%#jR*@Tn?f&xbQ^GPNT z0PqL?cdrRa2F$6QlhVx4rUb~}{X3qljC>8spP=me#8I~Z`8z+$7k#_@K_$Vjiryxq zB|z!3i>c>ilF2;))LL)SH6ay(O8td`<qY|JmFk@h<_~XhD9hXmkAJBX%49<N4a8)p z`25PnwVemLEpK^yBxyKpLcrOe!XuBNO&uUgbb9b9D*#51^Bzx_8Ibugdfu1R0SLpW z^8H9;KthnZjfgt{6cF^veK=LXrNJ2X4!L&&*a`F`hwc@i2|q8Bs8cl007X#YB2tF$ zPY8S#!O=mZE(6l1?@S5-F0?ZfvpvYsz@<W?t^h7N9ekY`NfeMAf==GiLOuqh?!;Ai zOf%T?0K5WofjW*|1W?spvX4<A?ixsBnKV*EJ_eHC38;XKGT5I3h&ASp`5e1?ATh}Y zUx1SU&fqiNs;fa=*`Q*0MR_knloXJVw?vIi0%Z#j!;^i43UDt$qF~i(KvxmaR%g44 z>m3YH%D`C}a(mI{-<1udiH~q?`d~r|268(mYQ&&8n$H9Nr7Np-iYRwLDD%D3WpXmm zCx7r2$|}GRPw4O>-3Rz{kmecOBxo@1W*}vOQ+$Vs#MeEgx6X2zjqKj-^awbWc$wf& ze9d6aryqu5dT=&Cr{f(xepIJ)04J5gK{@ynf3p_24|i7HdXRj<b52wVM<e+G;u{8X zXtxuvO`H`;*SnR$#ky8-|6zy{1r~d=ByUiHJPcHHJ!PG~821_o;9;wJ7}cc=lyX_a za_di02M5qToH_I($F4uH7+u{^RWni)kb{|FGEW1!1vKFD70au?l48IUhc!3p<k&?5 zL~7m8AEu-mAd!C3UmYm~5J#_CJ|-u{uve;UzcYVW%dzVX5My*hUzn0s0pf3lNgXw0 zKG5+ulw_vvx4=%ss*rM0Vt9c7S==k;1MmzLIomVOf)cE_SI`6C`iuVozE}zF??29q zJi8`<=6q)}Z!@^h>zvh@XMw9(`z)?7WfQo>unMH`l$f&sA_2ZbnGPVLq`j0FVEbv) z;pS5|fsSJ}NvtVHz;d)t-=zf3J64ADIOPb~aoYU2j+D)Z0HQ?F>fQyqG|EW!?2ZMa z#7ME-yWlFR$Y;A_fvC@FxZBVJ;1Z5sael|UYY!AQ+!?Dv-UAw*mw1FE2?2{%q*Qk% zB>)It>Uu~q?kc!?Wy`34NiD$LiZ6LxvKS`}+#XX(_})vvfO{DhUh!j-VK5E2dGg^1 zxQl@ApL0Dj5V-Z(pxybhd8^g6HF2=+2H@8HhOq{NOa_b!CTkL|tG<=mfx-F%?mS>j zP!7t$B!FP)&U8L&;Acqu;CmJYG7d<3iCWqNQ4bol>T|em;6D6@rsfT@2Q+wUl8|Dc zGCi5T`w>`#&gFn-;GLWb_kvkn3ecHx7K2IyRdnt<-{21m0qVkcK9VCp0|b2%1$;^M zV0H@i5!^RG=L#$A6K)Q0jnGQFBPv0IQhfpU4ail&Vm*T!2gn6b>t38ZU|3SCkKs4~ z*A=Fk8l*eOVYD8>1%Vs}>jm64$e~p4zkHY_lc^w`Wt?^Co@YcwcHTk0?dEGl(Q-+z z&!wU7<FWU0w_#iITCe0Ts#^ePk*_}+I^AucQNuD}cv$YD1PF$q{BNSuJwUKvSaS&5 zEx&9lXt=Y&tx$m|*BI0dT%7BMs%d~!jXVB<=yXqj%E>cwG#}0Pu5TO0>V7Zy<nr2M zFzvIzACzd84**Ep9DJg<Mg+jZzCPs2qP{yAwKEb5X)%t-PG$exk^qN;@?U<=jbbl@ z>T`GfQ{cQn#jMu`f<@8KL7v@6OD@Fyw7B?@Vfl;FAW=BdUj)qv3O;>0B7-_}JdiDk zkK-B-=uIV*M5NUu|GsIh8lDPQZ^CFXeq8yX=;e8F^}@jPO04H-cu7I##l-x!L98Qa z73Lpwz!y`4OrL-D|KX1g11;l#AFPn0&J1hKA-U(H0Y3yAX9P8`KcyQv8p8_x;kjvf zB^;dT;@SB1z8Ze@yWDf*&>vdpUBGA#{6T~q)%2yM6Iu?SGq3v6)CO8&hWFCmHKiHN z7$<lN_@Bw=I&dGjsYU%e3x3;sYg^HLANH}<z5nJVYPD{xLc`L<VyY^n`9qlMK$&(9 zZ-{O7x#djojbMz}jTV}UGPq1*X?O%x#eKMALut4z^Ta3YTifwA4Hm`mbK3;t?~dG* zCW>Az<-adIrZiFTvI7jJgcTk|35&>_8wrB6|E}5|K9Kl-`wuYW-Q^VT&TP(yKjpkO ztNe34mo_XCp;yY;ja?dojJsT@TPnC9<86>JCnmur#(U-r8ylD0YJoW=B^w(XrNQ~< z=c%Nm&I|vV-KaaT?daW$+AY|6yrnrpP+gxs=GvGF3%4@49Ugvm_o%di(v{qp{6Mk* zF|PHEmm@-SkLlIVxHV{rH2EWT@7}^$DZgSWKj9f+%PB2}8Pg`miuM?)-_S7?9j?u9 z*lxYlWU1Lc?YJ{XB9@a{jE4G$(qF2(?oDpq8ja9fWhf)v(9SvIc>bwJPP`#o7JHSq z5F|@nO2%?li$+S<^7_SjEqW;<OY1emHXh4I6$PV{yOid%&lz<-&0NE#>v1KoPanXu z(xe!aa7^&5ds0kF4SNYyXRO!;a7@}(_o^6`>h}_>*sM5wJXX<F99Cz2kXw{u9AZrP zh8=B5JxLuuvV%F_%{+5;bvjFQMT$9HluCv8O_LJSFy#vFn=&Prb}yOxJ)hBW+$_YZ z>4he>&Z=vF*ZZ!cxw(mL)eB9IoVLYE->c(XclAuHJKt(pQCvvbnzF`MavY|%X7}7T z88YLI-AJqB;6nvaPl{GaD1KOAtTMB_?e(mX(MNki+bgMqb^=pTV%}Ux<)b&D?^W8t zJMpZde$-2y_bL3Th~ktfm6C|hdBp`&8YM9wDaA2U3MEk=amDFJbV?FkHU{@BG}Kw9 z5^Iu4mc&QN_zS+0VfmhYopw*~?D;>Y$8b|_wLKn@VVpHkTmJf4O?CFE?3!9qVbO2` zUcy&adA4yH$KodNVdd_wIO0abBbOZwb*ia*HJPMnB1>wh_y(-Gv$5ORk<gkZ^P6l= zg1V`s$!wF)AZ!}yT<&W|iPrGjs??`ysPjw}*0hn>i7bhKE^J6PPc%{^bKP=X9!G>x z)SR`It(VZ46`IPgc}=n?8czHpvLU+r!1Lh!zl=XzQ6r5p$RonPdmW#rwQ#ToSbdJh zEdNw-%{!8G(Izdwwz4)^iRjS<c>-mR>Tc9TqWdBDJC>&fas2)Moc1fzKX4*$x*rz) zpj1fa4J9svnzLNW4^YoYhQtL5ep6)!RF-F3=XK1(Gxp!6M{xNBe|GzgX;U1k0aYJb zv`No@O`0`2>*<??Mgiv+{nzjN{X|8PcpBtf_%&x)l(SJaBynPM@<UO6iRS4>Kj&}# zz4!h8pnOR*4Gb=`8ncYbPf*WEEX3v{lk@o(ng<(YoHP5y?{Ds+f=QeWk}gpiv&>;k z(=skmnzNkBS*R+KN|7H`TNc^6KBlNcFpPiN&*eZfpECctvI#lflfSz>)8wrB()4v4 zubaY$*xx8!l5s<>ORDB9h4Ot=Hc7UqmbDE{W~mn~s-<hoB%9X1DC%GdJ3H;@(x(w6 zgHoPG)pHbeK*Cg}=UpT;qm)oA)930x7IiQx=b-9HwnQg}{2R(<D8tUF<ayn&$E1mP zlhk2}eAzJj&Zz_$VS8a^O=sWkAEIq$D38vmM41cr7sH}Dr;_H)!rqYzDebA7(@;-H z<aiUbruJ?;sUY4YSw`F*rY!4h;mn$$c~SYQb0(7YiROi7Vdv^@LGdUA3fXCm*3{We zBR!9=WwbYJwnPPXS|?~4?pBa=DeZZ8d8S=mjHsH~$$<@hJ=qY{H4=xLS(+v{j?39B zLsN2BuQNMU^V;rHk_Dwb%dX<|M;9Uu%9f<yMoiN@i*t7-KGBre)#=Pm(KOp_CmB)N zGwxDNGr7E?A#>r*_B(M#$vDfVcIfS9koYO>*>~lq2VDYaQ8c@Z)6y=3RJ-z|YPVPE z9sIbQE+^3_06jp$zY|nMrxR6FAvv@@i!w@%Y|^08V@-=<AlbVG+^c0E-FFVi?2u_z zc9u<&5w#a@zT_-J7H9~cJ3Nc)L2hMqY^=PC@1WQfoR0E8W+J)ZmfZ&D0pDu3HKz8? z&h1X_<k}1N-vN>)YjZj(EB}P5nI5Gy?W1x+i(*GXr};nwNuqNTdb2O<+>OJ#`GWCU zWZec<&=4W1HH3oFdZZg}LM;G?>HSn@Z8#{M6l1(w4=PfSIU3Bsk{ECg-T1*UIO(NZ zaVx;4#+kU~gDyd`LIV*rAkDd`Mj&dppdT;eW)DK1Nc;X~kWK8=k~o+fj-!m?Ykr8z z2U(mbx@i`mNU{ORw4o5FWag@K8zBs+#LKMnt?)tDa2!19Tys9^b^b}>E)UtLK^7>W z>0S3OW;1Z-_NZWT%(dMt(5FIjYUlt3L~*bB--ZFcW5(GV%|t-pfQhW$umzOTbhUdo zb2A)_ONlYt?EvE>NR$oKV4M(=uAc=c(<?4s;Jo_M$~&wk%|Qa04ybMuPI~Ni74)%o z#-2au2Q@Xxo(;udEeFVVn4_-%{fK2(dioV86@wFXy8-4~?1~jV7zXK3^2O<!pwuVa zVt*`H!jEJDQS}utjxnr&{068cjFn6?%>a^eaG7orpm-bdCBYQz#=H1JarI4rJx{By z8`cX*wsC}IlWV{*I`X+`RWK~<SqbrOZwyd@-{r?c!NtJxf)VjB;btwb*IkKj@Omh` zjn+E8CZ5!booANTw>C#vjK)Hw^{n+#!K40(Vi{uTe$W{+q(}pX)Qp{{-|3I87l0oy zz>K-MXStgAos;_+?{BiqJVqM08Ms6xhH2K&+p^Vnzz^7JF52F#4@e9XAV)Xs^z71Z zrs7=OdOqyZZQ7A&-Oi>7(9f_s_w=XV7hs%Gx@_dp6U#g^iDT-&#HoI+soMGM6UPg? zMx$?8rQy~(Wc|^)BuC@0deRuyk+SV6`BuFvyzN{V@3gy%MB=U{A_;arOx|lTIqQP7 zWSE-QVuGV-SPN+y>j-H}WWJr8*_--M(yk+^<+<LEK<BI7A+b;M1hS+nDBBo99<3Fa zCmvaD=bc$r5;2Fjv027f#G5}qqOrtOU@-wI@r-O->{6(!5HWT!My~n|xX5#i`OO11 zg2Z-m`gADv@=Zj=o1-(o)kF8gzi%gp$*l2=$(6A0QjZ2c|E7~eQo?fRNhDortQKPt z{U<u+9#O3=anDq|6}A;KD*4>v-lai%1~roqHNYdS?U^=mp%oUOx&|AY*2`J$pp*Z7 zUtR<-n6}f}F@xI+l(cD7z;_vH+Iv5(u6R3L-g^eih%y%c`s~o|5(n*f`J1BxnNiD9 zY-3GWb@6u@ixOi(@NnZFPXMDIM4kdhC18XPZe^~80OZ>Qz%T}kwxvCTq*q-A-R#FR zeT6|mHyeXz`UJLp&9Jwl5;wku?c+Hj80sF+E`2iP)V~vR=n%W9_nzicUv1EN4zUYw zwEUlN<W^_{Opg|E<AcC7a^IJUz6s%x@P90{91cPj+R15*-a?u1dwTa~`qr^$Zt5Q( zpi3P4GksNH6Auuymd`41yY=#3oPPqJ{tjg9C1$k5z|ys{bsEPeP$7grzZgd^JU0;e z)HevvyeGc#*Oom*VQg3}_PVx3TKU@F2;MdB=k_6o?VO5PUR95ZbK?EQTCMts_u;SE z=F7i%GK?xd_g0H_qT$n36kqBkGQnN%^`^}I^@e{->rI~fYkN+y$Sa!gu=s4e_!$%W zmS2rLUgyX3ro;C}uUIe)mw!~f;z)d-j^));2q~zfFnsPRZt$46jXke-?e||8U7pF+ zanyXcJ3O3YzV@Jw*^6cD+<bT$JQz4rx7exvKKDeo6c(^+%LZJG|2ttG?c*TZ7Qb*l za`25)7^LvLn!AIndcvKSud*-ktC7=eAzEYmMH}vPqwab+5p_A6aIQ3|yTDP~ThF^l zU1v72PNcOr_=Xq1ImdHx0I)}^nZHxx2~TRKa%Xqv1CBIMaX!=>+YFUry4Tad8(zVq zoX;hD1xkjs!_Ukk=a?5co8r9^0u`b;Mn&}=^Qd&+W{rHbruxm}FY{==UI3p;w+f_I z+|CbR(l<TvdI2zXM@^p>_74AqY9FNS^4io|2>n(VBlcQtOi&Ams(CozM?$gR^!grF zPqlD|B(5a|PO5#1KNkMi{l=%T8V>AxKAYNLt~JFm?{8J1bu@({YOW4=Q^!%;=Z%0! zDSCvhP@&H5B@1Cx#76^==U-xrD5{>Sq-8uq3x4O|yucU<5Fi&5F1`<*RP)AC>4A<+ zMkzf@0su&2^`7eytu`*8b@W7lqb1$G-rLox(5i$kJtX*@mP=fU+~QLxg}w0n0ti63 zM5Mj~fFX7#ZDa?i=9>A<=g+~U6jIkMhXBoG_}Md#p8-k`_N!ixCQt^q+r1h<bRhoH zh1OAkQ3gND=C}kfZeiK=#DIE&Y1dqy-v_9{s%z}ejlj~`LnOz_0NH!-=k?ft#10TC z1IuwBI4ALvMC%-A#McRT+1#oPmaE~kl{BjkHS9FZ%-U_TTRo59ZICar-f*CoHnN`j z=p(=+eZzX>qfg;i+_u}YX6;l9h4qt~=PxJKdYrQeQW18w!CE!)w(fvNdRG<3>KCA) zfv{?*0yH=itVF9<yz!>&HEOn@0E4kRqdx=CVCcR@xTR4$^$S_)RtXT3oRtVZckOC3 z>8lN_KLW{gaCUBZfFMa<ZDKtO08}_Nw@?5uh%d`5i?XZbNSYL@-T<U2!o;St0ExS} zYC_$1lU-kDop{>}5E0B!u`SvLte~(Fw?U}e0qSC|5wz6=$}sI-&z#%?0L~gpTWS#K z;>%F_4FIeN(T3}QT0y*$xG&ioKN5(5*rouB?Q{N=>9_>|TR0Cz->=e@Q>u)<rc-lX z_>lk{x0}oZ>y2{>z^^UdfQSu3y21K-b!cn?UfkCY06Y==4axw((mj)bSF)?!;iwU? zRev$5cC~9J6R!dQ)HR~EHvk~jxsag7TRWvnzhOKz4Tw`B&Nf^ELf@wT55nFuEUWGN z0tM;rZYd>Iy1Pq6x)B9Q1?dJU=@yVi0cj+pyGxKx0ST#BkdpGQz0d#K{oUt&Iq%qG zjydLBYwx|*UYzqhXIa`kWWp}%rmDi)G)8aF7h_LxW)vtS4LoWNAw}pZ<DMm`Mq{8= zlMNAJr+4?B*BaUImI)a;19S7v%lOlWM&(~HX41+kffp}yUIEqS@rLgXKLTx_l}Q-} z0A;fU$^beg2w>4D3w{Gd@aGt7WPoRVw-ZbA1Rw<G2y2)CAsLRxN@E9#a5_-VKLDx5 z7TtqkG$0k1Mn<p;C~csl3NZhIm8y{n&4!KvzF{n=9#fTI%?yp?4vmK30#Kqu#}&vk zEQXcF9(YPP(9R13PlnFEq!=(wU+@Kk9D+eAfhEZx8+G}NAx@^e;2=oFs2a3R0$yc7 z%j)i5fMUAJc)$r7D>igi0mQjwL*g>jDC@pp%_6v6Wq}&ZnJ)k#;vhQz22??GzK9=v z0A_*5fo)zLB063qj6$8`x{J*pfW}tBmQ~z~fLViuN5V3g+HH+FhnjgP61c44-T)%A zmaYR^VCKB=pkoRk^ez7kY=JrULUSjWmjF_;FmOt72Dz+rt=8vY5MD&?4D)_aBoG`@ zY(d0p?#B8Y%%K-PbVdOtHkJhO5*8prHD^`R3#8d$63S<}AW~!jjwse3qB7TQO#tQG zsekJb2_pTLYJ;heJ?`1P`2vtbA1a}E_8vq&R`n0kLr)#%K2{Aom<p~S36--#5DB-` zN|1oY#WeTbS_^U`Jj0#m1Igsw*{nV@V5U*3wg<DJdw~g^O+e%@yjA%uA0&rXr45*Y z>2hV*e_oRYZU$FitL)i35b?3BOl*bbUUFZcW)>7&LV?aDV2b}(ILEhwht`H?-?63v z<ctJ?!w9%5+fH+0)(9|>>3BYA`=&tZ(YeCxGY&e)f{r3Ux`b>jQx8312URK7oKS9X ztJYZ?sFz-)Yh4P;j0Bc589xK=qWdT{1b|QvDQ7hXPu8~JT(~s@n4Ctk`dEi7kWx|= zHy{Z$kK-UQ?+wc7STH2{IRNR4IrJJlFiy5^Nv5kFP>uyUfq>j}%tiTn=;1@1v$4(r zx0s4xQN12|X@%#=Yq&v5UsrviBY5BW(9cBXw*jHpU7z5{2MFx?EQe-LHld2nS`0My zv%@{%0F+O{y9(aN0p*1{-IERkf%487>wFqWC3IH==ML~hhg1n2lg;Y`Dy-`)@c^<% zJrkIp1%%UEKe@9QVB1;cJlF<BFwf}bJwOD#3miVcUE@PKlbAmT#AP>rJRNx0lhDMJ z-N75KDK*DbBL@Y#{28x00l@&BJHRl1;ax@UvxAmeEy4%!!80-V_D1$B3RKCiG9UB@ zRlcLRzuk`j#9`IyfIk@CcRcsx{bE2=fCC5kcEs{nxJ@^RP2!5<$>cvZNfjcWiY`vy zu4#FgyCpv=k+vCwUdL#cXSj0jv08p=#%2s^-CetnhGzK10^0VY$2Br)_p41fO1PZ( zZ~3$t-o9|$5v!e$#7A{w+b#2>McS2}Qn;F9c!M&5x6se^SU<mFq2E8(Nq)ID&QE4J zvdNy|D$two^jk}fP%*o<)+ncs%CT~CiPv+sVs35Y@y!$onS+Q0FP_SMWIL^?6<4q4 z9$3<mqMm?2-&LNP0EGTy_Pf4hnoVi3<C)*eC<OLCUOAx_3u!wqdvweAMO^N*(V*|D zP3fO6KYlEg@85(73#^H#d9%8V>79z-Wh0=?z(vF{;hI>ih2xrk>xP75Xm`;v<GYV$ zx}`I8VDCqKFX`U**-Msh7WtiRGweZ%L@~#8l34tqw(lxWRdU554u6A7=o<<V`z<S1 zDT4lJnWzcngm%KUO-!~SWF>#K&)DcsHC}CoZQYEoFaCmZt+u^neXu+6!Bj>ZcdnoO zRqfU@z8#zV9628Cf4FZFcdQ3kcQd8Yip|k{(~1MIIaHrcc|=|+e)}k&b_pb?PlJks z5yYo>x%~P3tE{^WA<$PKP<TUMfo*D2Qg`au?GVlKessm0+WL?pxQWQ^k7<4z`BYYM zGe{+nmWc4a;L$cm*;SeP4pQwN^X8j@RQ(`tlG8KO{9DOl0&ND6$|>U)c?qhTBkw9r zjRU32V{+|OU~w*e6M1>Xqa8rOA7~p02oGtLNOVYvPA$(r0F;ZlG?7jxz>C}#bAk|f zIR}Rk<DCKm-Ij9V0U#<v!btGW0YPnxI)Moh!A^IZ20<=ou0y^9Ab3MuB3!^Mw4+k1 z@K1t3RPKa)fgd1{zy~}u=s&sD^3MPvg+Z;vKL&^|xkvIF5P^~*&EE-#@41okBoKj? zA<qw)C*=;xCqV>qQ~J&eP$e$JiK(d<M4siA$m>86?530*JrGd?$A&ddl_`cGuqSQV z=eMB>W;rxfMqYM9e?9Znm|_SXWy$<ypMT5fKt9i9PIB5?wK(W>E}$KN<jy{y)yB3P z1#L;2_WAx&(4WqLBDAV`@bFAPyPZHV+#wct-I+6~X#~piuC0X8KLXl01kK_5^g!uj z@n>-BDx-KjWLeF<5-3gP{H)La0wM@(NISq&Xy3o)%1>j;rkgy0`xtoQoFUDl056X& z@+5Ak2+A4bd<Y;Ky6Nxw49v>=k?&j9ghMG^sqx%Lpc=BVYW)-li0*6GD1ksxCq1*z z$gKR0;M|6F94Nnv?GwC@1^OweVjs*t0j6kYr1Lt!RL4RqN#X$X!M9IUV*{px9o~(A z8Sy@v5fU_W>|JIoJ`W2cFHz^zta(HEwHXL}()Tyx*>*Q9D&t#;C*}RP_H}FY<uZ!n zf?5^NjFd@EC#q%#%?MuROJbj)&(knzOeuA(u=wy5Mtb8zyAhDubf+e8<GjrGCxQMn zCJS-{Th-2-K<--A`M?T@NaEIiaPR^|UKN=&Cr}Usm9rRAP0HUW&Rtm}!a*ar#tQWu zpfkof>KXx11*1!n@rn@;NOMLtb%40)mSnkl0Lqd((9Ji2mTxQ=68uOf<y(2dW^@S% zKWH<e1%!|T%6u3gS}k(o{lK6kNY3uh7Xc5SF2Mvx?3ei~C}&jjHh^gE7EE%)2LxVy zsDm14u%fEm+8B&!73u23{vY6BYOy#_4`vF1yQo<&0m`$_;n&cCRIx6w89`piXK3>j zfLQDXn-S!N4{b&e(FOJ*EI^>2fvpG-#@%2m!UF_0v=u=_R~yq68!*M0Yp`Ao<JWe^ zzlz;&24zPrt_IJ*yf|ZDW$#}BLc;=M0RJt&c00X${JsU~6c^fxfCo)C*ov5d2Q#!4 zK^~Z3D=Gphc+ge^JSqvULif`Eaca??ctZ_lU38A9h8g5mhTbTi83QwW3#GwWklRju zCN__NBEfXbV&p)oI`_I}0}y*%n(<kfFY~kUuR`|YfSH>`(SRnHm`Xe`4R=vcpL>p~ zh7Tz1yT%f;z$nd7+++7mfI0vBywkVf;noWEvd*rI^v33rCjSjC!_WqU!E-xpiDiui z{aT<6Vqazy>xYuv<@{-%Z-$ntoC@A6Gb-}CIN&|g5B_W22GSJ_-gE5(8d>jsSrxeU zG9Wfg1ivB%L^XGT&jp7I{k#_d&n>o-vJgN?truPP9w_cZf9JZ$C^kpt)YMx7FO|!C z)-OQFYDtl33M$bDnaaB-fLHr@6|prdc!PLDp}%nfg0)I*fCmhrosyg>3OJ+%rm%2= zmBycF-=$_4yr;ZxO=a9eD<hjiQ|@wt1;&h4FQcp$WTI6)vVIP%qB@5XQ%(i6r5N;L z%RYc+v<<v!jzRJ5PL4!f@E-HtDV5h7oRycr(n~L^0Rl?{vKkH`u!PQu;8(JY+E4(z z_=M0g5&X&<JTioIOa|D7@4&B&0f*sd@QZAKS3?c-SXCL;0-&`J=#&ULK&e`=t^?N+ z26Q!|Ada4@nR*C@pqVYBrZ)>_qfGp5>b@#)<~t@eswo92THVkw5rmS(!&CQ-Kq%>$ z(Wqt*g#N{|Pb5x*1yYJBE}yj&OtZg9wu0JB2T;Ekk4`Pi1A|8#^BdJDf?7(OuWPMi zK=FX2?TN(aU<Mh2Otsuwfu{0U-l*mi(6kRQzZ}c}H~Jsfcp<Aj)S5xIirP#UaAfyl z<2F<S^%*wPYpu&c{eXCz$;3Las7kRw>J6ZN45`6-cY_2S9Dx`CiRpm&e3<X$peT5@ z0@#6g4?J9LT99}ih>am@3ZQoW3Dg%HANX?)ktG=#CdLYB{A_k5IuvBSM7;PV(Xkyg zRzTx7{@o`qD)1dK*|bk!k~Cr>?j-w#SE$TzWU75C1$sH7QJ!Hofssn>lS^Te$j!P& zzm_|GC*St{?9SQ{m$uS05rVd6UYe4)#E!Eq$7Q}N%nKjAHmUx8RXPbhJF4|vU|uNq z6K7>Oi)fpY%uXKnmiOVWyq1&PCGrD0tiOdywfcXCHeA+1Y*P@&L(dZRiObJS&Hgr< z5HlHVG`AcVn-DQwc6fMgI=jfcwJir4W*3RKJ94X=DeXg>g!yi5!<+#LrA+b+FU|gb zF~Mars`T2lY$TUGsoqMF8r;Os*8&B#?;7RDWa!^AQpiR~PPZJ#n_w_46nbsSG~&vh zq;I8Q{N%u#crnV^o)*O@Z_Ho0#5*e;QEzAVH_PM>)1}FuAwL(ix3-yEDXT*Y`1y1h z`u@x%{1ciYvx?vNcx8q2#)`iG^Yz<OxLZuA{Sf>zeM6I{*ztTq`1C{Z*I0Hm>C}N; zK3-yJ3S!gZ@fOTrp1V!TIbK9abcK@i$&&fLhvP@qvEmgGZF2Hg5qHm&74qLLC{48~ z*%3~Elgzi4e3DsQe@2vYmLMwcRvY)#QZi99xx!EWz`u}3+w_20^_E$m*fjk|AIZ{v z!p3(ypHYRff1rm9Ov~%De?78p63>k+whpGz`ZV|_J%UH;)yAOXu_s$TC-IVJa3=fR zj}fY@Uyo4FP2^QcQZ!#}U^z`kBr|a&0YC9Kj89UF9YF4@KT3tP+KrHNc7n23{xO!5 zcxO3V0=7IEWFz+UZb}3PWFu5B&q1OK*&K#PK4tox2(_h1Qlk1)pfiU3>lNFRm|`Qy z@VjQAsP-vjXqKb=NZSQ)zkh@&V{5BI(euYFDfi%vU-uFflT#s=QqmHYr+g6Cd;duk zrg>=6<31yPrgIaVb(3yW>ID1Zc>(W=wXN8JI{De%#xNh*yR$^5?5nh=UlcSBh0cGE zzUEXaq!%b<A63-mTf!qQ(Hh-V`!d1LHKp_geX1<zw)j+<JJM)3&YP|nN1K9<yaLUQ zdG}Lymq6~J{IZ+DSL&&d;&6N%@F`1tI%T=0`j}1o6F!ccOlySquc~<bU9bm+hl=Kg z$>X^h6yrhvQan7=D7Q~O23%qdF%EsR%tPPt&fjx0P(~48vdiz5<tV<dtwTrtM0zwL z16bhNX-=7hhwkQ*%X7amC`Pwam{JW7{hT`^Uk)tp*vU;PhKCO2*2_DBOH@0hDb4WE zce!Ws2jCKES9(e&JoGp>R-Vqy;2y@V{FF*~Xie^zd=|JwX$smwrz2MlX(Dz@adpJS z!-@G;Mj)_Pux%vw*gU_@yFvizlzEqZ)t4&^L1mk&Fj`YMFKjnMIeMw{<#y6RT%g-8 zI{|shA&}n(iQ&ne#?iuJm-pgg57h<SEN2Vyj(6mt(^7`?KjtL|YAWS_1wqGB-tm%n ztvr7O{2CH3rMxxRc=J^)&r5xD6<zW(EQQot@0L>JFF=3`08t3A0B}-8^T{lE8b^B> z0`b#06Dc4h!3#pAT~6=4HT8Jq^~0ARoR)EENAM6dTkcXB<`bF{xpz-}uaRfsAOk=L z0(1Z*L4X7R5(v-$umJ%I0G>gB0e}JkJ`)1)#IS%^7Z(^30YhE<W=p$BP~!7jP^$_8 zVF1KKAQXUH2!J-~3{vmO4?-O>s*&a=gPzF;i9_!R?1z<HJ&%-4CI`l{hN&den7~*P z7*At2TViV!zT?Wp-a~sr5Ze%c<E6STSwFyKC#aUeo#*;b!?5Dpza3Et*421cY;6gV zbN0!`xc*cH^od3(<*}QOZw}AXSh4*Y&@ZKi5`jWZ;`|EKERM>)@&hHOG{$cv!I*X{ zw*8$!!P9T9?P;J}@7>0n`=Cd4iF2PJAWzG_lCTDH<MEqAAh#>t_7?(jfl1f)QXr?^ zYYYehzmHCq5O+b^-suv83;eQqA9_GlbtKNkAe;2;D>}%=Wc=m~vdP=_7XvnRz@{9e z8|^i&DuO9;JXvz)2I=+QhYe7=n8dj;l-`<s#SNv)jNfcQO@l7@K)M@9F9GSIAYC4$ z3+*%pw1VrVq5C3{nkwR2cORwk<cy_Y<VnWr)L1xuLFK36vM0WDx;aUvW!WRl=aOK> z7+MG^ZqH6cv2K;&m-cTNJlR;&rcCJMxB5}qlZHd#v-AhYn%{9k_~RUk*>T63+?PZp z+#%}pl&qzD_HSn5*O<dz;L)Uu-}SlpQP#%nn#h+SXqWRryWMXiEWL425uZWCNnY<{ zcG|V(YKvtXmmy_Yh?AX9pi!&+=ZDuK%wNJ@oDC0A@mm-i=MuFrxjN*m%%|UPqzR9q zy^wlxI?=1QH=b^^fAB9r%4V!%W!~^6u^*rMk?q{vu3tET#-W7!;}@&@Ya${SjfzE^ zw{<}`VJvZDL-hRC7lG~eTjmWv&#aoaDU!_!Nf&>&FURbcA&0muKDXJzrJ54j3pgD4 z_YK{2mj<m-!nojyy?m}FVDfhGilfLwvwg|Q;hWPGNBwTV7aEkBu+7^6KfbGzvB6zF zYtL00*V~o!e`=W{ZLMFv^glnNpF!~2XdDF07=Gt1b=DJ%Eng{k?NI*RZ0dgfHG|vX z`CeMqyjb%%qlnhhQJrjaqS^jwz!QBJMO-TWN9X-zlHO19bM%-V<{4C{avRyW+bvc2 zeV-|RWbEcJpZmI5O}){zF<^o9)5pS@itJQFv2qQk?m`}JBey|^?-g!eMie#vEKJ=7 z?D;k?)qOHK_LTH+*C-8lUTre{zQ?yHFgD<z+x~pxpYPRi07BMoXw^gcGMZH(ijDl$ z>ha`Kzyx|rQJP?KgwsQzCWYx8@~?4Og6epRj+Dg9R<<W$UK0V&4c<hpP0$=h-g5pa z5Q>ht<($qBILXX6UZS~?AeVO@h`r?$nV?ZrbfzS0wS03D_Hcrx4_r{RTKb-ZVS&u3 z6<3l1WA%3{4@8{hf)~FH$UEMnYt2(X3A+l>rm2^AHXv=av_A<OFA(C2U3nnr-Tie3 zZ!urUA#SCL*;_7Yr!6^d<uQ|Y_t*}in$x@cVh4{TUr0TA<-vXL?!z5Cb4BMllGe4- z9Xy``Az!*yCV?i`2Bn6t%--Eu6Er+AlEH`XoF0mKcR!y9_}ETSm#2C{;4a+MdjNWy z!3%GZA8##1i?dN#JY;1fSF>IC{4!kawzD+UC4zU6tRg|r(n3+OAUZj@Hu>b2j~bnf z`KH7}Pj!t2{ptyQ)Ru#HvlWJx7B`nvR2S?YE#F<M<g^u@R9HCWZ0@4dFDBJrjZ5}- zt=H4?=+>#Jmz!ELy1OhO^LmI7I<1Np$h}A+rxUBSqmpY?AEH_bu-=N-W>z|(PtHn| zbR6mxt8(5XW}u%<uID2^cMLjNa{Vf^&`G&ct#I4)?7Q9Ofg#qRbIdP^MMmb8v;Eg2 zGRG_Y;u+kIt2sVrIk%1VwDj%=Z27o<bxOlB!|Xm5jvLI^%R04h(xrKtm}Y3;zHB)C zZX|0LDmi&*a~;fbmPx-zqNf;={Dzij(h`f$rbj!RH9w0=i7xermmaN~!TQPKMsfEO zmRCyPLY3h#x&U8Irr-4Sl;wS$bUGkg&uoSS?;f$oigF1&b%idd1bM;5sna=d`}oe; zAEermAYwpj?`E*@Zq-!63I#~roeKp%C4XC`fI4L)Y8|8wT}uNs_9R6=NFBNDyx93o zuv4`Z6zPHX@gSlXpS@6*@_ojvVI$u0+8m;ccF4teAW>M<W3#?W3FNzYj8B3BOJ7cG zfsGTwp9@%@J4@1oa?MY2A`0+_TkEy@w8J;?*NY&%`N?<CL*wFA`o+$JcV@p=S_i)T ztK0cVvaq)7m#}^8+@N#lH_%SELPF`q^v~UM*5YUH_2QaeXhPbtbGQe+qS+V-W>R{w zg5bH;&uI|6iAk6Ri3A5`;~+*x<;4tQtJXh9K`bjJp#j8*4pyMNI4Z-`0I`;5e8-x% z2fwq&2AcmibT>;fBQ{s@5jZhiP`(JYVFJ*sKCF2JY}#M`ya6_JB?-Df>Z8Y8`UkY3 zqd4;uWW0&-jSpy^wrvmu$^eI--9Xuzk>CcIiZC-krsvURkSP)MUtypbx3!i7g)1fp z*#EU=JvCfM>qv;H)_d;dXqNK)-K|PL!?jP}(2Vh8;phe$CCQkkS0pra9$)NL6*p=T zw|YXfG!lgwYg0yZC5u%$n}Yu|s(l1_&I6qYrOutFdUt=5D&F_1>9(1x#ISnZKB=r* zs#l&NU_bLYatzTa!>vWN6g`HB=^Y!Uv)%EezKzTbF+QurH99sJ;(jP$I~|#GlX0GK z=F`Y7cCngjQT`n3EOx1t)?Td&-Ak>C`jl^Xwm9d>>Wxr=zf=F>fC9c3*Zy|3UqXRm z2z7wjbb`&HQ`7;zP}zKy=1L3qxXuDS!ym!JE!AOVwZXj>aYhHFGLJS*?l-jmdZoOw z(zXK+qYuVrXQg5`bE0wIm^rbgDJde}9hEjv;XPV+sAG#$jPF+nIMVXxzawQ=ZsI8C zQ7AYxaFyggJj5cBzG;}&{Orp5)_B{Es=+)v`PjU&u+A_l$&6mT4FgZ8_ghd9%{Ti` zKay;wB5&gmh9?4rOCfYuS7Kg=qXCPnK8ph?Oo-QWG<)BD>@u#CGK^6E^{Q0UVKlb{ z{n&edJF+0`pWXDsy^MDHm^#hb%D340gG|7&C@=4uzk>B*al=uEIT^>REuk?jCC|*& zc^pnijO@%PPjUc3zSit{;hQmgp4_rGbbR>nrrOU`L~i`_;&0*cHZAw~{6EQ0+dC)1 z-jAMSwUFqW1-LWLpfCwp^^Qjxiy_iq9Iw0!d3dA#CD~Kd_4)Xaz#Xs6`8<Ob#dzwg zSxlGnjewb$<FPUZEB|E!zD?eM;~BDJ`c8&tSC$zu8xEZpWbS1xjHg9&y!@RtcX@aZ z2ckR)`W0w1FB{^Tk5yN4o?Y$SYNgm;N1F*$1q5_=awA^dvPvpew!~o{H?5jK^X91_ zQHqn&Oz}CGwHzPfjE}PzcZ$r5!#=!!(zvH;VjWwjg`<t4a~O`6?)fw2q`kMZ<0;=q zy$_}p37^}Vs{-Qsyz8_SLt4hM_$Jm?pIrrNet&o3nd#HkfuHJO&k%L0pI6vFF{V+P z(BQh6wcG!1x$$AG>pyYVO}BIQTlnJpvHKzB{*u&xS32NIW3G`M7Uq7=Y;gTj?W%Rt z?E1`hduD90QDm;M=s%P1f83o2_Lulx|JEDuyuLR@B6hytE+)h#h5g)WJNwFGyYHt` zp4nXEr;c{9H1EFwNUiwA9{=mV6=!^A1^>?yz1}>Xrt9ZRLPeJ)M6nFGV~K^D==JAC zqPod~W};U-c?7$3>GGB})u1$^2p<y*BVjEMMhZDvc|Ku-s%i85O#P&-zERU8Hn#4& zZ^4WM_`wV3t$bd*jq&3Dc0@j0A7+Up?eMmXA7_mtJP?SLtF4tV+neB5ZqG)_(^AG> z?*)l;CO(#{ZL4mp{>U`)FTjk;h|aZtH%-2@yE?w#RCgn`u7|oiN>*Ua!1~t8qmW6@ zm<n(9YbLW>Lhq>8^l8-6pYEvc31zq9&yUC}K9^RSQQ`=^?BkuCnQF|8&Y7AXM~tyQ zHKmHFf6GBJ`%^%pOhr}oGbLqd`^Se*8w#uQ9uZGJ{YO{M?9Sdyo1W4~K&E8(B(c7K z&E<Jv@+eQH*s)u9bG^Uz+%h4SP*}m?<SU)86>XN<p05j<^E?LKy|8QSb#-;><nZ-X z6&F6Z_&M43jd;1*jNdJ+!7<TFz-qvLl|{_M^c9V5$*w~q^%Yj<DaxTrII@T6k55R4 zX765McnWj~(EIBOD2xa0JnfWElX=6?DIY+efAx;}l8%4QJkU0cG9S&Zw!AnStK+68 za<_qK`U_)IJEOK={Hv!$9<jT=NeGkQ8U<te&mwx)>;;Veba(_(`i|z_-jy#J&izC- zMW2L7>+s0^sTNf!Al`=MTS;6t(L|MxF$e_}UOWz<@~tH2DyEQrgYGyXDOcqr-h;I( z`@1zT*9m#G^M_CT-Bl*Ew*7@b`p0S~#94kq*@W#lovpO%;nLTcq`gI#U+kl9*40mK zCF3Q+s?5-9(rYQqTYAm(Ublum&c^GMdx<j>rC?X^XH>B1jzjo_Q-Ssy&*Sp&`~Ivw z1QeAaR;=6D5e#7c5iBm5;pVlBpjT<R|2?Eh+WvuA$1?iYS(L+j9m|%Tew(U86%Mg) zKNp-<8|XeidD5A7%|A77Rm{EfhVQMCLbi*#PwUr;dw#dm2fxam$0BXoE^vP_=hlnT zwxwFV@pSJw!XvCCjCq!G?{y2wy}iI=>V*R7i7i2Qq<woNF?0(LLyLy9&krg}BEvWe zbcr^!@On9(J#P7EpHKhwEhk>6>6f~10s8iu^_?e-?_<%vtLwL;P8LSyP{zF@)pAe4 zZvV2AwXi0PAA5z>8SrU1@c!++nDM!lIuGoXT)A&q)8A=oJ%%h>WE#3(i>J}OS$Io& zvSN#EKodaIqshpvM`A0kZ5zyuHWx|El|W@;Zz+p0r&6m#A=w%)akQ+z&iPP@Vpi6- zo|g4|VIq{G0H?mMmiiw1umIZ=d-Z4skpWF64Lx4+1I5>RPkm9WU2W6)?tCm0!2L>O zQ8Z+iKy0ALJ%&8@@+3`p`l4Jlv`<Z-w=(#`<S13yP&I&T{ZH|Em(?<}<nZRu2+Az$ zAo}bc?yp~)WY53Lk;zE#i#_0+dzdG1I61jgKpo)WCQOajyUUT;bt`6!f#>qEuTpU7 zVzRettdr`~5&b#4YZ!)SH~KC0o>!d7Z9a`>9EOIR>Jp*)I<8@hrMR=fka<D6Q0?z9 zs%wGNBl=;l?(!B(G-rcB8oi@1y_KpAp`|_=r)@m?TP^c$pQUb^!%aNufEe19R}B9+ z?Y+81S6=ZG;Un@aB{7EOBG$56-%zfKq(%EKTd&lu5m0K4dM?jr$GqH*ioZPgd03Q1 z;?K~9Sj)aXiw#-zx{#PmGB+moi5u^F&761c`Nhp9dSdv>mt%$GY{fKiO3B9;QDZ3c z>P~DNYZ4FUNe>EH!g$fuh~3V;9%o{?7PBWie4l01u3tv1e&CX)+t-b{`F!-KHvs9# z(JEp#x@gvxQT>RW>Gt)*9==N%0o!*{Xh&L@#Ajwp+*DKQNBxYqDi5h!5{b1+DtuHm zj{2Ey?;mw#`wgw(_DE%^Uuw?h(pv`)M?Ylx?EhRXBd?)q@nN3J{6ovKJf2D07P{MJ zW4;Ib$L|YT$Iu18?l~BY)3}V9t3=Y&cy@cPyi&rpF~}I9%J7oIarO)m8u{@rMWw}( z>K`Z0-}Yw&h=ioCecC-UnCx4+xKZ_s@5<ABbWE2!moSSe4gV-3>+X40FTOZ*qUk%q z4>uDMX{9?*?C_*CzxlLc`R&SqTA}rI`F{I*GeIq3O79%C0`XG@Qkz|=)o1R(=}1?; z8H5a{&seq4QQPAcTYpZF@QTkl%Dlfrb)c?(>n4O|RVa3?C_+NwE9lRh75TD_{(3G` zk~Eh06IMfCmwO-0og?0l3AdCP6<65vY)HX%dBvr=?ghS+|MDvI{x%tHmcB@-%+PJK z)BEe4N>$?}hwuD8<AH<10RDsF*#r3@nljYS)S@Ch;y!hsD~JXcjQe;;x%%%P{VBck z``OL&fZuXPPY!uQJa;>#*XOkhaj%mVR4<F}J#iAuuqh0Bg4+ITS#Z2jnhb9|u4k@D zVfXIz+C`4p+h*O;Muxw$wa@e2Ug+PFr*etW%!zv0fQRrIHR81t;t0tZ+ylYiVc<z8 zIHo5^4@>@<#~;au>Lo|+{JxWhf8`a<zsj;Tyz}2-K3?5^EtcHQ7caVAQP0;*2Tkj2 zMAeU5hIO5BF7ueMec*XX$3x+gh9%7GtV&DysphNMmvcq7E8PKq1D5&yB>l%_;_HMP zN%|3X&kN*M)YPm-esDhO%zvmypJFi>q|i_*&elncY%kDT{54sFm`kLpxIAT)n2V@t zrYQ5_yC(}Q2C5eGHW>X#`y2RwOCD2Ox026u#rw%7ew3YYPRzor(Ne8>IzXOo%^tF^ zlgO3gKeMpr!!aPqJ@|xa@8RH9(7p$YA&0d<QW=JIPJ(qw{Aq@@A7irul)r#eL}=a4 z36z=6iLK<;IVm8{{b2A6d43xtZ5Y~5xuOh6@(xbg$4Ft+@G94waNJvBFKoFtI7xH5 z!+4d*qUQW5AJ@8_eQ+|<^aEDSYMS-0a?IqAeF7Fk?@~`P#w$6M)Rmzt@%Wnr7Bz%Z zdZzT*bCpzVLwom!RMr7pptSvTpE>e;jxrd9(U&N5jQJdBKmevNUcF;c3oR39HbAM7 zN&?2?V<4(l^VDS~L+Fs8rac~TEkz;wrHNdv+T)Dz%N$Ur7$N(-tcKooM~~wjK|3)v zN`d?KKp|2*!FbigqGqRn)V%!BqM_Mbq-piQNyA4(j0qe0x4lpMpWjz8t*aszH!~{; zu4{iAga^8Rd&9XHUc2L&f6<R~44?L--&X~#t5_F~fW~i^n!jQVS<E@Ok?c6VwL*B{ z`UljVcdOV9t?u8fgs-k1+{AV`hqta;UfguAAS5nszONt%uUD$h#W35m&iwy{uJZUu zv43rSi8yC4L2&!Dqx@cUL^Lq?`+)q=)%N|HvrdGgj}*p9+cQKOYJ2OMf6kB9n}*ia z{@;r>h;yRrmAdn0%=Vize~KR-xi(VuWThmrxm+DYBB7D4!QXy4$cd~4dKO047o^b> z%*|Wb@;o3w!?X=T613jNjx^(~*rOU(fc9Um*y{c=mv2l<<kw1!^v1tA!j;o{k7STC z>Yv(JiJd!T*4MkZpJCMV=zSw1k-U<dRdf4OMY7LXM*E4!fL8#GH}D7ZPc)2Cd`_(3 z)uMSzw5RQibmT_Wl4Cty-<IpG%8%}pGsH6#ELU%CZ&R#KzqaOGzhUW#3-yeqQ_11( zrk{D(8bj#!!C$4qOH8vghQwyQdLq>&_^YS$wP)vBceQKb2q_g~@z{;Ns9xW7Tc^~$ z4W`><i^ew8d@Y=ey*Y0U5jm5VK73|<u}3SUQpoG$*cp3CjJF>w8dp#!w$Vo29QulU z@7Y$^$X%n!xcXuDn3HnNrpcZt1#gMg+dP#duMHayy3j%$J$*?SC%~WJ(n^(I-J$G< zRW5(ZF1sS?Oikm?p;`34F&pP-Q1hYdGTzbs;7xBF`6AKY{n_f!(#ih&FYqd5ea&TD zO+!4>Kx?@9S6HC6Dg9r(w(W<Z7yL4=5)A7Yn5lciOroaWO!@`Khoog(Tanf)iTP)5 z2&I&(O!`-5ho*zWuF45>m~7?rQIA5<Mo;$`{Ud<ioqRsDtjun`-$RdO`uAq#t{)t^ zWJMxyj=En)%{EJN9nmoPzs<e5l8s=vZ}xAjNtmK1E`PbVg#N5HqB3-6q9-y9$yEts zHuA!T(Tu39*br-C7Sm|z2Px_E=v;+S8z%p<T$J}nJ#i#lv-&b`Qgb)lK13BnQ}EA5 zG5H7QHkx4{k)RE`(UKRc6TkT}iG4I5OfDc3k;7$Mp%s1sX5-Q>VvMNPyno(sXo~aR zZ9r$(pX~p6pn37&IXKXmc)g;TrmH8W>Jet?>_O}Ly7BGPr}C;=&iMp9J5DuD<DS&F zEZazv3iYG_(yF-cn+R)upt%#7B#n>xc;VmuO(!g&HM_5I()jOF-9^7^7G>Rj|5bZs zY*=$*EHbX~^?JoP?&Czms?UP+>bXza%3{T<N7N7TdYYac1!cs_$CdC=$FV)5bMX-- zb3|yqDN`Q2$>)0Cba(la|E9V}zU$rCB_d5WjLlc#Prge@J$dqk_`Qxz`Qanuk$e%? zVnlsH9_MX2-wsEH8!Kx{-%D23^_(~8a*Zn+r*F3;i}>7Fz4Je<81U0+WSC6c+Ir+S zuv2SgdM8C17tsAm9z1xBrs1UY*kuSiRkIMor{Ka>gJVA7(MMvx!B|_>#@n-q`vUJ( zm3)3G^ONmGXIizER8*R^Oz+lrwHu54pzHaE(qm4rUWt5E^paGn`>vixBVV|H{(3iK zQ`jM~e#{~NVjq|DaAW{wPv$)eDeW>|)T0+oy;1qbsO{Zi*V|UDWIZ_1y_brF+>faD z+R=~56`w8Rtd~dE@A(s&4;z#@-<fcW?z?1W^he%YXX@gfS00Z#rIBulnJ^#-zVPm| z@<Wvu`o^%A|GdR<IPxD}M0+ZT1YPV=#+)iJu8aW(^a&};TGIg1o`1;`2Ijcy0e!Y* zyl6-GAQvaX$r^-kd&H<>PHALXqFN2~d-r5o<QqIa)*kZQ5=MCNiE2#at!K`a{+?p1 zAng(QzTA_JcIw>H;}K*_aKq~48aw^_FRMRaM0<9%=BOTFYy5=f=muk3DEN6qxH`0h zq=<fG25QknoIRx8(+c#IDya6yiD(a+FmT2=LIx4B2>eH)5C5`65C8!kV;dM-T=0bg zm==7(*7p+z0oXmfmi#kmAr~K``@AV4+7qe`0;uIzdy1Dmf-f?u=sJ3&uOFRR-8eJe zB1QZYiTL+c@d!PyqG|YJ#F(zrb4G&~WiETj6AcfjSHop|-%n)tp+`8|)H3st^msfV z-+N6+2ka4ON7{-3df8~}X?)asX@M7-eO404`ORP=ucUpWC)`_Udsc^R%f^w9I4Pq} z%?OuAZOa&tk0ijoB4d;s+ri%&mi7&<HZaG^_o2F3Tk|JuPn>YSaUskBbDV>-t`pts zO)OsoW*S4<m!aU`GKH}%XTqQo^{5p1kw(y4@Xs)SwFca751DJbGpkmkiCbJ-bCd;6 zT#6VK-t>Rwo0vPHyY62cuYL*s@WX7WvtGgIFjm@XC1^RdUh(N@jv4Wx@RYM&5$jl# z-fG2bv3!K!{^7{-Cl<w-B>mjyM@+nzO<+U%h!2UU@j#1EU_;7?51r@YK+9}kL)M57 znWxx5%d?I}m95r0EsKLKX+a(s>F311-4ncc?;tcEA^3kE-u+{SwBqy#;q~Ky=}$Jw z%Dwb+uHR>gUP?g?aU)`AEjQLHDnFJrw*0VLd<I&y0zCpp#E@G;tXKLw#N@a9P+Pif zw!QGe-XZP_xNb5($3}>Tv|U@7cYJ5TU}@NQt5uyx)ept6a#4DepjsE6ls;toAP%ME z6^9j#q#xKyU$Qk1r=;D<HI56U$KB744HSOC^5DVKus{jnxMk6ij*ffiXLgjr-_3mK zRB#I)#P>-vpkF?$!bU-%r0nUi!=>#Jvc-F`-)(pP%z|691|Mb5HBb`8L^n8a`lF$= z{t*Qdy)LiAy)%(F-k(Y1^d!;I#VdwIP6wT9z8Adqk?~NX!9fekedFHY{!B*Dm)|8a z|41tL^0n@1Li=v4mVPe7ofFi7+gB}>LLZ;ge0m<vFDRU>+3KlSG<E;EOpX|fP(y8K zOF8Mi59z90TEokJzgAvx8QN?6ikDrXQ2y<IoUbJt*`}ZqnY!?~nO0DD>*0gLIO#2& zr$e<O?_cjvtEyz=vSg~U9p1H$6p+5|WQmw9p1yhWXaci`%=^)i9iybFJNf!AJdSGW zi1sX0qBl>oKWMUzVXPzA5;o3*E=E`)?Cd??5X;-ja%yR;Q*R@`elPu&d`H&ydCq8U zbLH;TJUY>&q<&vdfdVz%@70|FjXDFF>p#y=v}z2o+2^NDmrfaPLmz#fb!}ee@u;Zf zX1q|?Eq59nW2G2jUzEO9OExwg%6UAhUh=s$g@f?lqlf?-lWP*45|wc!(or{&@a`|q zes~Z~nWrDGV>ff=J9bY*=`v*I-80XVjQCg`Ak6an=>G3&r1iKI?HjfC>o%pt&3Z@U z)H>e_NSnos)p!H%_@^8jEr^^=6;&5WZT8FtU(628nbc#Zm~HOizEK#>=i_AP>3;Kr zi!!w(fhx5OIhQz4h>!1iX{<XHZhG}M=@J*8wm`eQ$rJ-JgnAgWcHAn7_Mwu47Z<f5 zt!Ce|qp_(e=bKLiiaOu)C(>v>)GSWyzBCj)RrsY((5K%ezg>H*_MxVsTo=Dym|SJ3 zl$Z3w@v(#G5p%p=cEZdqW>_H-_X6&^Pp;!99e1vy0s6F-xeaWA?~h+F1Xj#bnc}B$ zN=MavzVn<%X+S2f#+UaJr7eE^mwozW(<id0F`p?=@ST(76{bCFp1vF=eOYdoC%`fL zk>kT<%tAz)9%1R?XrbId3uf@&C4A)8935|&$L;3Ah?5N3w|~TMD-lv@%?9x+zHPq* z!i%HFPrsctOwJ^tDt?NrbF*>#`alKYue&{J-hUp2kUJ0`zK_vg`XJ7JcXpI@U4_uy zP|u)Pgi*wV^z=xzXI%P6O8TvA)hOZ|O;)Cn8*|xJD`|FuxKPI0{db<8RFtmU)SF41 zTC|<X)Ps|t`rZ@Og0EB5zY@>TPOP_H_&kb2$&P0c^E0dbiR(q~C97t*GvQ0)EnxoF z>nQH=q`tM9k>i<{%y)xt2x&)w?)w<YvQEbxJuG-+)9ksQ?=CvydADeAPa2%3pnDZb zuWTB6rF+k<y-~f5@7_x;eox9l=~;YAV0uR?1iL=J>i#Keh~qn5uT538O>cB;FHITF zq2c#6k0g-=raw4nY+~{c-3Ink<mM$=a}sF<hS<EID>KnGmbU6`o?=+<|FNu=g=Xs0 zIK7={TXlH&@|_#+?_o(^wDu&l!ls4Yvp+ZY6$lABG}1SV96pKu`1~b1K)4|D`~FM2 zEg^Of*OkB~SuFO=8H1O_^v5R#wv>-)F(fETyp57OQSqYaH9I&O(nVB;gl`qGMHwgz zl5I&x))$-9uYMkh-Z$8PjLk`hYLNGt_g}2kqWQ>e{-;>yqPBrYi=H$e3>}T~5>$I> zb@C?po`q5u);zXkD2$(H*U6huR(kn@r45U_CscEuRiIvA;@i(woM4_ao0+b`u@{4l z@oa{>s!VA=3$4UIkw}G>yq%xFe1eU-L;3P}@NG46*Pg}VllG;%UM~%%v*{RWFLsJ` z9~moNCp%I#x_8+;8RfiG|LjXB7~7@uZVFHS;oOsCf~9vsWRyF7(gf)1l*$&l`bj19 z$ea(EBZHE+L|@Ur9NFoXmO|IFv_L`$p)`mI5}<s{u%1Ft)*J0cX;9f%WU%Czkr_=T z_r4k##picui5B`WM%e4bm<;h(iQ2dhT`Ff*eV8vC`Z~yQqu13|-%%~{f9e*x7a4RQ zJcy6G-_vnG`BiR1=bF3u0dowMhgF{`HTwq34dq<<{d=R_Q^`2!XD_=@0*3uHS}gjO z-@lGy%YT)Kl0n!V9rFIQGRa%vLCcY^6e#DG7E))wh(^9Lpx7VHN(NF9SpA^L7(&`U zB7AZEM91`J;tpTnWmTiEwBOu^I~{V)Tb(Z^%}cy+B|gz`2vI&F81bSMT=cJSdSrfA z%nw=R%yYS|5u?3L@C#u)MlK#7r-k^k?n&|_hZ#<Je&pOP6-Do5cY=J1E%~mU{85gm zo9mtEte@m@I>+d&BDGVz8few0y_(d69u}jbN1;@^yni0oY9#7o3(L~ycnl>UZ`HW! zN;39)-wetZRx&&CJ_sfn<9gS}99ihsQuHaGyZX#pSj0B&^fN>2T6S?O<E;9mHAia1 zVlTDK&AvKIL6B{LIvScQTfwWAFT6`sb-Z@&b;ZgLW|B~hCDd5b9yS-v<1dR9yx^@e zePAavvEbO(wOqte`sz!}@?Gkqu>RLv&AQ)ss0DoXr$)YQ@i%)hiXB$JqeI(ZEWM0= z*D{`p&v|KrgBYGj@K_1HxN}+7MpH-g&PAW~{rIg@_U{%VN2@^+yAKvL%1qC@Vo1;S z=dbB7H_*uL-63Zt-w?R#kiR*&q0jMQulaQsYqh!N*2eGyT~>t|-Yvhl*ZE|Dy~4J5 zrc56PIBI!sUig*#wbNfDU3d`Cs=AWyDiN(PqdYgd*YhmNbG?VuR_jMPaedx*zC0QM zULoo+3}VX1{Uv|F13M`^Xop+e-Ra91`$yTr0&}|>V>>T)37e9VvbVzwH~NP&RqtvI z8K3u23fsf@$t6bI6U$r%l+p(e0)u35%lbNGe1F+L*m&?_zh7tv^F>*&mv|u6-h&OM z5d*2di)P}dRH&l+Rip;yl0K1>B06>o4c#Jcq8oqu#h&235?xyUhOE`~lF)8McPurO zUZ{m!HEa#bG%;!7DSx>tKC0Y1>rFBisp4@}Gn7Q7Qw7#IjjbDdZ?m@QulfF7Uzrzj zPh@?(r^<{+Ulbpe(jxER>n>XpQI8Dwtwihg^vG8CxTT^;38zn4RZpGP;knHW7S@xh zaYx`#@9wcBEgA89Ir@b#F0duF)!SZr=gED21ymi&mi7S-?k*v?yL)hlV8Pwp-QC>@ zF2Nx<gamhYcXxM(IrqK!*UW$C&eU07Rqwref3>?#_3o}(-CY=Faw%z!#Tf~XJ45Rj zJU@X4A9cS<5^~KQ)eURyl%L8AMoky1r;3PQ%qLeO79+S6`z(TNVq&P*ct~z+_O(t# zTgg+&u~Nyj7Yfy+u8!GZKWgzlYNx8-jb=WKet#H!=J;^RL+wWE#xvG<tseD0@H1ii zs2=5@dye?nD0;D4913~sz>oW2uwwaOO?~WeWcaAH_^9>$sD1f3*uBl2*;@8Xll<T| zcvaIWl-N<<d7A))T9e;>cu4P~dqT+#CB5Cto5X|16>F6GNNO>G&YgOVDu(liC-&RA z-Y|WM5E&zuw!U5i_<MYP_1xlnp@y7lf4{=9zCq>f%ITy=_SopkFJ4#cS+~?HJg`zj zsX<w}?)#UcvlDmUg~V0fbu&<0gd6m(H#$6*A3rr>dY3ue+)IhK=3;xBmYCY{v8SJ( z;=6g>gTCaL_|rcj__c+<uU&5F%j$GA#hd|q(c++P1$btIZbf5VN>I3)fmRv~k873f zjk?RvqOU=asuSL=DKr_1u(Oh44+FXP=D~yQSVe|0*G<e+1hk`mKVBw(?nB!hHgIH? z{YgKE_<8UY-LQZB;fOPn9)S*n{^<hEfVrq%<dPAHh)X<}gmT4^6UC;4>vYM0Fhneg zAi@H}A4m)dtR+^5vf|c<u_`lwu@VDeiue#<wFFWOfdohpu85nFt+rh64d}lh82ltd zFra4vS{TrygEmkR49-Mwx;5!weEl0AK>L<~?8HErA~FZJ(f}!jKmsHPS47P~tKT5S z5lA2hL6L|G$toSBkOB!$AUF^$f?IKe6c!+%4+LhSLwKtt;ztVUoV~LN#^LL_VH;Ew zx)1|0U?%Y)a=jQ+@S-qzYKtu0-20}Y{wt&ak<H{chg=x-@?^m};-{A0x+Kl`Q*p3P zO1^kFTqVV|pgaVG-$a8z5hNH*;hJES<5M@lecp`{z;MT}BS}?wlK1yvAg#!XU+?Yn z$u|mb3h3_laJ?gq8;x@cl3)RPh4kB9jpqFg!{X)E=Mc*G_N^3c`S$04oc@iel(9Rp ze3OnJ*MK*Td*+ym_TsT3PTu~Ctb<Hf>NXMiVTdfeGp;%55BqJ<#bigfTCG6seWa%` zPgs&N$dk#$=Q^w}jM^s3c4HRu=QPe6&Dlfcj+hq9Jo7VY5)QfVyovgVw(o_RcU?An zqTgE=!xbqT4xyY?wTr9xX-6@=rLXFrt@L`%PckJv1Fv*a=ZAALQHFk&p21NMrvwLQ z2wLttBj;MsG^{OB74FdDSj_3Xz4`MP!_!Y!c7ci-HPG4H5PRwSis3sKwU3?5P+VBR zzSsQkFlZQBrlPUQF2u$Lv#R}JhBS{Tx2aIL0)&FN4TI{#g%ObP)@abfhhawD3a1cg z7&3wMHTisFD1+ctw_xKh)o57*5VgsZcc{CQL12A-#Aui-M4^9Fg+jI0yA~8U6U^fW zF&PArL)^jh-!X~O#FYox5%IK$5$=e!tjHuok>YUB0}W7Khrs5Tg#uQ?H;uiiL|_Qu zK4C<KQpTW#P7*;W5;r?T59bAYXX&Rxnr`K@_voMs*2>q!kzt^RmF$u$_rRl<U*U(^ z2YD;>GoRjrpTofq;{@16Ad$(09RLx>1;beSx2Dzth#0klFo!n-OCk}jr9uW|A%$2M z2MDA&f<Ivd4$tX}42|1!^HBYUtP(;L(raGe5;w-25~Z&c74;I<SVQdEJZ)!Ld7j!d zaeToh-5+{5WF2plo`|-j=ME`z$>El5i+U$BKW7g3e0Nk4(*MgXAvbGn<YHlCsKZay zR%FbNK1TR!w3!_(eOgDq+#CJ+ydW$|Kk&(E!Lgf-Xgv{m+h&jG9YA4EWLRbKb@O@L z{vzQ1!#n#4k|1E==_Su6lHcYL6$wjbt-;W2bf=n6hPqmHg8h>XAAw=M9AeFUF<M<K zd0P+GtCV1MgRiUuqsOSqKy3qq=z#kKk@iiZTgg{9J4*@Ig4^b?daEfnm4gw`stw+~ z^BG~6O%;c!5~gDJ!#H?$Z3CIn^-Yl?B{4_x5#Bt%WKIbAHPmi6<>|?JvMjy#b2#f9 z9PrIrESDX5zoff%G!2ETq~cJ5?#3ChyeSxpo{0C?a@)7sCDjU!vm{K534?J5BMnhp zVogji340;YlKWhoza=yBaq&CV$;TBkUZ?qvX0kliTtCjQ6Z2lRdG)x!P0WC$BpRlC z4d8E@hw*(GT9AMIanf`8=#*aU`$Nf(wBZMW#DSkoHhr8heN;(GSace;E3mkJ@fr!^ zwy?jx599lA$f4wSisExC*cP8v8@YS@Y9Wi`6l1ML^xwxT3RW*`<J-n~(bF@BXLoYE z9Wncz<sqOYD<=gBt|rr9m;F#U>~}SUa23jLq~Qe5bQ=W|;k8?2mW0f&Ffrf2XvpPZ zSQs4D1)-I2lsK1y1dC(UjGM8(Bg>jQI}8k2KbCx0Y#pD8IWJFrVWTn=XLLEKEsZLo z%Or+N*UhW+ce2ozaiV$vvp&K=nCeHdv5*p9qQsw<q6)k05tA}#D)h##zh4-hsP5(T zE8^X~h4@%Kfzvy0LWwpdutLm1?5~1@r8cuhMVLM}3X_xnpi4HF>1ef5N_)X+I1jH# z`()gX6TOy(#ESi{B}&(NX~l}rL3<hK0NbI2yyXVzdo!!Q%`e+&H^U?wE+~ro3OCk$ zQT*xk*gz;!Nu3x#yXjVobRV`bkLyk>6xQ3Z>BbBdYampMxoC?)F-cTFpqmQZYQ)#A zQ`lq&>egvaZmNB1LJzWVkFe?THwVfZb+Vzq*7eAsK*-kju<?=Txo5)$z`BdR*u!fu z1whemqNexE^g?h3LZjE9e-V8Z3)8d-TCfE2fxgs>q<dezSr#9dWo~ftVVN)3%ibz1 z-8C;;gWj6B)iZy|Lk}+h_+d4|90u#o{0?B8+SuyDIqxAnH^NkP?<}T5@ri<)($int zQhY^|9`#ST`_Q&{1k&dtLyI()q&5Ud@Q7!Oh2BB2LfyCqu8?XGntmVDj4TS;Ktuad zsEo99MDiVD`FFXPb|Y~C(tgp`qc6YQNt(3UR6p)qcYu!_IWlTO2wGu?`Hycr<4#wX zFwNfNIAhpo3b<Y*9TqREoEvusSpl#qvmzHK0UHoa+uO^^Y>FSAbh+OVZpW}oBFlYM zUtZYTZ+CE38un()8x?*Sp;uV1>yA+CG~)mCVVBW#<R$%9oY?FQy{ybjS|2vIv-0U7 z^s-LC>MJ~Z-jMf=tzq}5d`1<6hmGRtYc=bO>z+Hg*RNY`&9ltHs@|`OD`J1He}0KV zyk*`mfcA;D>6t_b#9*2Y)yG3XBrZi3(SaH7p2P?gW||Gqhd_uSJ_Hl-fywEa#190b z@cANiapB+zD!_-z6LTSnpu=2uU%~=u4a|W;W;oq%B>FH9BLI=+4SX2>Kgd4Ju_AiW zeiy<uXrb09qMh-uf!s{SAw;jlad7Vuc-3;?K1S^U;na^&SPWgzFWo)8LDR~4@h&vC zLNDOY;!Uw3oAbOdradU8K}3>>KKt{XJ@fu?l5`3~x-jjpAN<&5qC&qtCm$N`Bw+Bm zILhO{QUlTRwNqrN*dQu~4PYJ+HZs0&2|)$2F)0V=Qy^dxNkTU=A^YiC3A=pG%demk z&gUD1=l`S8)3^!4hklt5ia|oTRVEXhtZM)Ku~AE_vDM7-j`t<d>EYF{J>d1yPpqYK z>s2|eZigy>2D^`gCoz=l=uXr6HRaGPVPE`CNoQ_rz$5N#_{8<xp~H!vob)*~l;$vM zTKx5oabr>fsXEu~!yvSLy8|pS!~2;^OrLHUN&Afk!I-t{0P3w?IY+g?*YL_$$A^d~ zs?m+3>(h1-u1tvMOU#%n#Emg??#Q;7c+bw<!fy*CZ%gS@I&J=DYj(_mFZk^-r<kkg z4H!G$t?hqrV^7NMYtqkrA4a0`P@2Bh-Ju>3l{M>W7QI=JsLDyr4M`OXhGaNxMHUGQ zg`LFJ>~of{z(G~n;$B<`Ni~(3!lU4|jnuU@1pg5-?7Wgl7?sNyy?!S>O6N$XD67=` z8V1RjY7nAN+!!Ljas~C&l`*gjc^?Yv&RVD93pR5fkF*}JtId?Z3A4ymirzxJj7LZz zOcaQF-{X&FWB_?vOYIho^gA-IhpjTGy=G7QTrh&LvwLWw01BHZ#5wd3WecGssq1K$ zDF$lB{trNQr-uzn6njWeM>u!XK!7}NlT22m1;d2|j1Nc?UL_Rji4r^)S_9kQ!Tc67 za0>=G9MDwLF^stw5fwEY_WUO6CjdwJuA_1MoKR^zBPm*xFEZ^dRMu5>_4(&UD$nUm z*P3lhArU^DV_T6#YKyAQdO8(Z#@7Iq6Q^q{RD+5Q@F_}NgUuzh?M7^(oxN(*%Y)%d zJ&A%FiD32>>99rJO~G0x^?pDXK>hv$!*Xv+*;Q}FK9+ljnGiq0gMcJOi7dT<Oe9=+ zFpCUsKpU0Cm`(&e9WEg_nOaKPG}eR0NV<PxsY1Z_;?C#7&BxEZYDM!w)9dW*w$jT+ zE!q1F$WKjpt)PYWj>8>QmN0`L<`=TTJ$a!5ctYJ3T*}GYtf!-TRCCS#Kyo?*ja8vf zDr6pQ2Qf{{T;$Ajle_9x4Gt-hwXu;M>a(`xExJQpIa&vzo_;UaCm~vRZ;zHd8~ZXh z{c2YKqC}2Fdo_!eoV%hJylP7lCoA0@%?9^Xh{brv5tfC6<VWY9CK6w)T%PRPjUkzy z_C=+K4kHccRBCqixXlu%-UAV-@q993Dyb8%I)}1wqkda3y$|2V`w6|KEgz)FPm~T} z@-~f9($KM#Wb^J#4U6Txu@fa<^p05?9%mj7TWspK3}jQVAQN)f55ZV5Pu!%X|LBRr zjXn#(6<pC7fT@MeX&x?pZLnF@U?vdFSbii&yG&y0?7hv`T7f}7KOAL{)A(3ro(m^g zt(_1w`f$y<5NmlOP=@=AxR_>}>ZP5%kpurT+gN9?bgvs2&Zs|Sgzafx5Juy9Du}Fc z-6Ilzj5t8U`lKi%#4SqLDeS8r1~q21+hFNamKY+n-PLpUl`ebxn5LK$Ppru5bO${Q zBSUNVBKy<F&kt`4*R;M|!mBjRwu}oDs^j+3I^8yrZ4*Qi4LE%$F`DlYD+s-UaA^_e zA3GO82N;u*`DWhOZ}h^aX7L$4gD-Bs1FJoF8E)nH$7;6uGANCPOt0w@>u(o-W{*Rx zzVWDUJS>Fy>OJiE^%(Q1&tIP3PWN{k+T8H@((VOiI1UqLwOj5HU6DR`&MjB8t(y(& zkqz$TWN(J6aw~mynwA!!)@x*KV)~=Jpc1li^iaQxz%k_TQS?CmIlsTGPjLfT)%^6P zKDo2VvJ_?~IrRGa_#!gpWw%&koa!gv*%hvUlMi?P+rg{*HsMSP!-e(OP>l0%ciG%3 z4xyoKQ1dZobWTw5H!BF{g`4)smC{s;v}Sp*j#7W_;+z`G5m&Dr$x8evmN+6KodpP< zqPh|($`2~j#Xl3)t0Ya{Nl4L!xn6n3x5q2=%ey<RUVY>1jaT}^4ysOQ3aYAcY*$|E zJkC+#3!wGRc0v@PDTEb=AEKkWpy1bAQWLpHh2+{eMnuTN@h0+U!I^M`On_Qt7IO~F zev%ldb~{w4y(Ew6kxL@r6Y3I3<6FH+u@z22K!M2ke4z#%K_hWCA5nAz(Mt$ThA=!a zvXDF&2aKy|aIsA=LzLtj7#==8NRLs495jB6T3|d>mz^laXdsDwd?J!bH55223Q-FR ziFV*Ar^2vpUQt($fg3CUHy~7uO-+*6UrAf&V9FfmppKyya=!(L@`Yw0e>6|9`}`zM z9gmEx#TAX_ah)g196tz5@aQ}M@bg{c2E<|ZG3O_Kx<mQ;dEN_wJ3XH9^ZZRHmk<Ue zv;$8(x^5KzqkBR%inr;Ynotm$ix3H3aw#EP4LFMc$pqLFLc9TGM}Ta^;ER7{)L>Li zk}OfK;BH0y6MB4Y_$%JvzF_DAJB%?ck;uLW(Kk{@KzvNVY1(<MP=0IM)5%7M6FXb8 z^C4a?+ZHU|JdZAkBYK6%2=$lgj$bLW0(tb_95QqmGK~1cmPGxg_L*1WhpuN$Z{Bxy znTBB=mCYp|@qgw@1=1c1NA(4r>fGg_obIKJpsORd!68%LtJxPY3%~nrw#W=eg2S@D zI?9epG{{M+NISk~l^(azc6MZ4nVq(a%7^BkJ39nEpHW?4MDU*&9Wg5s_qAx^-{ls^ zjN=S4x9WIsr7G3NX=zpP{py@Dkm?dtt6=)|=XA|I5{%(>=bgra+Ts8=mg+2E6m^`t z?9<azb=6(jQ>q^IXEoZrI+sSr{l%@z_o#wB)wI4DFD%lEq?`?I(%B<C_AjI&eC<x8 zk`Y7%VR=k~48SD-xEd;v{Sm4xbQPK4<~2C*oWQ@ckHj2tRR<6-0*lgI4hU$0=<?kh z1_xFF1UJv2VYDrQ4`6CQB74%g4T~}}af1G^Sbj*H5PkAM4t*aO6phbv+Y&I31rOb$ zcGz)5he(q!T^|0LFmPbABA5JvpMf@DcqClFOQt|*z%l6|Q@1p)H(Nlff<i19OLRNw zi|p?AFB(QT3T?j(uyKHhlMK%JEX<{++<|clZAcz~u4lNeo52hx1QTWPiuA#PXe{$a zFTj&RzbZ%aDjpGZAQ+5qTf{W*2b(s`ey6Toez&w7X(@E2Rybyex)e%$AQ53rC8`Ww z9(CXofF9LZ=&~M2laHX{l<@gyMF7h_3HB!%ZJ_HP)f?Z0kI8+WeLVq5%(<Ig<hqX_ z-Y{<Y=90l9^?+74Hu;^3;_oiWpZUwIhPRRGXKIxqsDTy1b!ZNXIG(v&8ZXEJjm&jA z+6Z+WW#w(gNpV`^ZER;n`JmBhmU?ANAz@ypuhmm|(8qS4&pKzSX}j|nx2_T24v)=6 z_8p09l})38>V%t4g$*4gs|imP15cqYnI|iKYf3}s*X-hZr;xq%%;rCITZ)ZVGitj( z;l8JRQdi0b&9uKk&Dln~^q*wVqh`1x!T7}Fj(!sGN{~GCa%kJD*iC~NAjp8r#`ZJU zR9m0wyB;(v(sq4LH0E9q)d)0QN}2z$z?t)r9LYSYUDF`tT-Kf{aN1%zPrH>@$YX#0 zSk(kmn`(DTjHK*tFgw*CL@Y$tr!ZnAyv7HvS<j4?u6UU7kXPH`FJ6iOWog_A4h+$T z;tt}sg+<wQ0dEKxCY2-`HkgOJ;3>B?vJWENcPghGkK8iy9q80=kJ>0(JC&QU#`-GZ z6m(=#DaN}P$Fg0ZtexY8x|q#CU}zkvq!`%~YFR>~#-fiiBvOdvaau=-oCuE7Z9zjb zKO%(jz0Dh13Qcs0gabFg=Bh$Vf@#05lBEf6x0oh56ZJHu0B02Uv@i3Sj(Lz)j5{{z zRhgBK-J%7@^K`}60#0rrbZ)aZFSA9(HW}S2<&Y+tU{cX_YD5$`X2=#Sh1Zo;Nr2lp zFz71GwR9UP$dOK*#9DCu4b!o5dnm3<zwTzGOOvqNQZ=px`i&vyMKLAzR|D7Dht1Bg zK|*P@Ew<Q>r<-V0hN?-Q1#d-JFX0=iZ}8iZ;LB8YsQ4&t7shvuYeDZI_CZ^LH6cj2 z>n!gG68H3TY5SkJrr!8!eF9vYF7+g)_y@l~f_4WR3(+sUjR_^jHF0ZQ+GIC04%;Qn z^wvaQeQ_Y0r>;JPXTBP-3}R9q3ebDj&gv_?5f*ebLtr4Sn*v)cc=Y{)KNydo+w?-x z`(RWZ0>J?98VFrlW&wpvX*T-tPk`@x#OT;?)<J>-llE}Eo1rF4#KB;=iCvxk2=fYI z@R6#(jg2Eg!~*Jse5h-9Az!5A4aDmfa0s}Y5ELOCs!cNUd~sD#EMOgkHGIJb5@J(l zCvguJf@{E~%3?PVB6lprBcQ)?LoK}LW7##?Emy=zFm4K#-h0w;9D?T8AgW>0xR+d- z{EYGoJa=wZ_qJ@TKolK1Yci^pK8ANi6A?<AuKR6c@!71%dH(zS{KL@7K`PGgI1ftS zH{azWMd9NT`w5A%vg-qJ#%v5Oii*^-)a2Wu=ocfgp^4U?MFcP4LLS_WvvJ2z*s|P8 zWWjqB;>eg3<t4S^-uE@2`@ZbxTv!F;@d(~V41LQUHckAK1{q!FQ8MB`>$-kkZl2w{ zb(FSz6K!sG)dKVhOqh#(QBQm;M~1I0AMrmHp8VD3y}_Ga&<U$iPtbT(I7f5@Gz)_@ zt<#(kuh;Pry*tbywnKSt3Sh%O3Bsdr`kNBj0w(;M!T1975d~?15JF(C1x+9Rblw<` z8cc$&YLj4-+<1i$X@WodP+AdKpoYeYRDU516TvIM35B0Q{dN`s+t5gGToqDshE%4; z?Xr>tm&rr%Q(wUEa)~E#z-Wn>E=5Oa4VZp4>I73!0;+wf(MRWoxdxnke0Dcfgtf`D zB5Gq)u%7XAk;A~vB~HY4kFVM93^X$U_h$w40q?R!Aw9Z>93X-p5)n|=M>d{d3*TUe zmjS%~tbq~vD4$2oX#$nZyWs4vAd*E<nhXE~(CED~gNOqUkm@AikWQ-t`#)7-{57^X z5xAgHwZ*~I@;$#R0u96i5{{5sG3_Hr=h1Px^$9X@xyPo=Um7h!-t+{7G1+h3Uf=h5 zDaYKqvE$md$5noiL`E*BxQ8E%fAQgYc~j5Py+Bv|yw*N~mtVP6TrJzcxHTQOgRe2; zQUgnoxq8%!qnW`)KXI;>6H8ibXWq>w14Ri$#vbEiXG<Ep9k*J+Ps{w|U_jHr(5Oci zy0%PY%03H)n@i|-&f8U!rT1lW=VCSeNSndWZ;q!%TlZSIGra%Li-hSMnMy|ZP2GG3 znQxED3wHI6niKl%1w~10V~if>owMe(=hgG=1jl$|8skOt>IUe2oTbikfvVpm&uJ5b z$D6re8!02%NtBF__k8O+Z;!1jx%`<a5N#nT`ypc-LqWx#Y<_#H(w~5NKo@`8AU7Rx za&3KaYOi3vdhQ99uuXRNX%>+#Ff9fD@)aGg2*uJxY7${fb1=B7GDg?_bAi7&Y+~2b zFDH`zjTT19P>9k|{$`V)($_XHc;O4%U`Qd8oHuqs-r}&?(@Dp&c--?iB=C6H<$Fr1 zExb!X2bO$~3xBjylnZw4_$T6c2wVoC>QqRm!y$pHp(tq-a4{?)ONA6MM5dtR<{;dv zOh3lCp!f;waae}zwayiIT1S!1jTRE~_)koEu73KRA@8}{@DH<qQq6Gh`EF+Z{RTvn zR%Ynu*jca0vVLt=#+GeehKmzr<7||#ROr8PM^aZ*#Rh)v$)a$_I+X9Md!X#xrfZ3U z?aXGiCiy!YOU;uzCrO09yP6s%Rs|A;)@TaFB!Gq_`iLaiL?2Z3snV!wqZ$xwc1e-4 zi_<Yb&a9(3N5W3@pm%Ae@ul!O==keR$g$Y{GCMOWoH_zyHAgHJ<rB-Rj#k|7=mpHQ z%Xau6KA~UrtX1fEt<NVs;J2EkWUJ}~q|UR3FRuh4b;0=K0Bypk%_$|(MhRR;nE^VN zg__=gC-3u+X42(Az@vBqa!}qBOduC<gH4I$*F1NAcnlFnest=$j*vXgPF@%|Ap#@} zVhQ0cY@)z$@21Tiv!>Ik6O8>Ws;mYt)szdb-&R)PcO1kSxr3=E9_j(5+v09yO$HL5 zbtFQV;;?e@#COfxa)8ht!@HCphY6HpPP_H!q;bXw&d}Je7Dsdrnm6ohajpnczhT7| zn*L}jWndSawyL_)Y|L*TFIz16@6FU5fj=2wS4MU3mPIeq3cS{no~bG;g~c{#!M>#x zuJhX=vGSb-meL{He76v~mXrA%Hb1ZpZGBi{KzPtgr_?c`qrhs6u(GFlKAiNdGV6O^ z)w+K?OaDZlnqI-K0dz^AKjyUhQ}ONB!EH{9eOjn?RYd+z7TY-Xs`OPR7EAaa2*ptg zzR9fiK5q)DFPr;<SXO!37lOHU6~;NcYf8d0D{n|6ol)@ZN!>B~(>hAo*=t}`zDvbb zZL|iTs~<Aeu?7b}c_p{PNAh|;+mt0j%K#u%C0iIo4O3EtE){fwhrmBE0KzbNwAC1E z%g0fY#FWgKJoN{nbyAxnyVi#&sJHL?P+|z<MRD1Fgl`XElR1ESFXHiS;SLPslHKgU zQw#O9u4X*<j7=5V9(&qUY3mv>lm`#wAMw7iY~#SC*tK6_j5qxl@Qqi9qo9!~-5_z? z2LE2$zeL6-q80m@a$an^!FH`MB2e`+Vvj94vUH^bmIbBAegcn4gbGb4XF@ML1$>J= zSgSg1gbEbYZ#pINz9r({PJxTY$Cs8pk^#Q8t7Z8@xY51OGL2eY{1Vq(v?c4$dWwv` z<4tx!%2|5?1L_aM;%ZPUeX2x{QRSfr4Qq1G5{1%Fo4&(~G^w@R%hJn1PMWn|N3H6C zFCj*69{oUST9?Ls45J4Yk2Tn%1B*zcqXD)U!epbX=`UQAQ)GfV+=NwJB@E2+gqfjb z7z-IaY-a<WxHIFrMp-@@)#NX9G)&#!Dhuvo1V!T3(n(OuHVNSp0#F?gSO{|GTrCHr zp|x&ezf3#+z~!x0k=(nZZq{Sui1{<k$dPN_W@H_D>7_TrAdD?mq@HB0&|zGOS$qxO zm1a5`T9Bw^C0AUAw?j^7IL2ivqVY6&9{mDZl;CD3x_&7Dm15Mf&uj;s!V9)N*~pQt zexbYk@sClC_Jm$pN8$8E1=BRy?OwKxyWDD?{wmhpPa$}j&!3C1Gj9xjJe$ONZG2CK zp&Gn%ezT{RajraW)484NdmYHVAxSS06=V7Rolbh@qT-J?-HtY4rNyq+lhbZvgkL~$ zOGb27u}AbWC%?!CL0S7DmY2$>sJ=7J5rm`hz{x#NvkME4l}xi>4$tC?7)9#}U;I-m z4nr8bFYT({o1WTB@+%Vp70<=;eDL*OnAquOR<HDV-(D5uwYC(~QyLp<b637cElDAD z`(Dic`8Lfk+Q|6$fFywqud`mp$4lPbKpSQtFS+<M2~gqvbsckg*=ba?9BLYyE6?BU z!P(H<`-=b<j*rJvaFm5#=UDq;w!pzhtF<t>D9L_m(IbZ<NKK^VW}^XN%-!Q`jPm>@ zO;wWzlctw3xxAxRqr>VU=APv0=V89Q$Q!!e7hTOcO7A5Gu0rE$S2<?WQZDDzYLm1- zD>?zkB^_-)&HTJd))w34?&>_0%$;ZrHO<VkNzb0|T~cilqAz7PU_t`=?l@Hfr@nk+ zha+)mN;=n*W%rX5XgKIP_HH%CN+V`}?5y;Ao;^7FTF#Xp-S{R$7cjID8472;Lq^c1 zI;N;u@9wi$WL9VXswEu7egS}PqMKi9W4rEpaw3M*!+&Jk^XR?*#m*byAbZeCt$gE| zIceGOe0Gz+x^bJ^W^P-OseS5+`b+NSDhz?*54~)45h+ck%|oWY`xbrwZ0Bx6MqS{y zf|mL)M}dupA&;jR(PVQE@+Y#EMuTJd>GMkYs@^UCsw*c#P2S-sfp5U`LmN4dBC(Fg zYZ8fKH_eu@x$QM(TRmY<2JQPkHOG2vHY#^K@5QSrrybdgG0Pc3TGsnH$`S4f$#cw9 z)7{Ft)T2@PtXgDPt7h%VdLtPPQpOvrTzH|ls9!7*wi>0`vj;y=y>@fn+fze>O*ndD zDyDOor~0u|kkYLXlrMhT+IcNSU}j1_6Hlx9YJpyqnA(H=O)^$F9K97t$;+(^cl$Mc zieny!v+A6{+#+@R0{vmn$`ucF?*QleZ7I2eH%{IHj{aV=`W`j|tKsC)d9OVs^+ix* zX8G+xf}KD4*PNYSBTV|{7xcRRU#E`wKW+-uwG4Lo$Mmr0No-p=oYHOVjQsM+d<+-c zW{Z!nMWYQ1BlkfQy71}f*l38pkgm3)7jM^vw!%ff+`d_x_b7(?3{aS8idU@q0Tg5) zfEWM(00zLEH!B@C_&B5l4gkzR0|1{uS|<-DXA>JeV-qI}Gh01(Ljy-WCOs!-7efYD z9~B*|6($UyhwA3rGI|X(vxXCnd!}J14-FZrBBsa$dU8}1I#O!T1Z*O`#x&Wr5*I(u z!)hElPi7bC#dx4?6Wnk$@<T4x%{9R~)sHg^@R6qUZux$F69P$fRH@#8O$)_Q)62Rd zw85%xW{VE3X24iwoBSPNFbYHwaOz<#^>1I<%^4$r9=3C-lJv@1tT))^EfnA=vk2^j z3ODGLzy0@aX5IN%1IYrAq{IYie{?s@IRdLi75X`eo^;uaYi2M}`r8TyB*87mC@qu2 zmj-6GpRv}<2TJCuEuRh^&|m#&oeNY*o0Hkm<_r{5RJg0V<C4@o1-}Pr%sD$i{^AVD z*2{6pY=Jug<Fs*Mf!tpxH6TP66uyvaM348Ocb;v7iR0-?k$~={x>rC6o<JgCRx$@F zMR)=e=v3#H+dm22*2EGVuZ6}$lrp1oQjiqEd_vFHqjM_H&bGaDGQV^hg!>$w?23wo z5LH8z*hkX>178qki#k8X(4Ak(%$X3BFwM{%ILVlbSe|(Sc}V8w1xY~-hKIHz@cO3h zo7vH#@ckUz7FD5m&OZZMdBcC}QJ36!Lw>zl&sEZ+-<to#b!gO!d)(`ea(E;($Yd?_ zWUqzLHhn|YZ_!%JYtNhVexDc@=N@%XegT?9Z{~%(t<W~Ag?H?aUTCJB@mK)<5ry+^ zn*YlN%PxawY(#kfudET#WA`qmA-$uuepxaa!id>XGZM}Kc`;inBZlcZA*MvvK<(yA z$W)L7JD^QQK7#|5<w8nN@D7dn@wcuR0Y|sP1?qw_AmIEjU6H}j*uY7OPAb}MgfSA? z(ZP9Sh>=l-o@JJmjYWw{j(%8nbf{9AZfJC(zD}A>dVG*hMumw@k*O2u_upzu1U<Ue z2daet_W!8O&DgXrnHi~@2|>(F4t?Ot?c^j`)G!}SGK&zKgQAA6z*RC^^kOdJ{l~_6 z+MA^_xboy1s`Wiy)v&rlYW`PRn6-|8tsPd$%n3y2r3QB~@3{r9QJH}T9CX%-l<f;Y z#Mv~C9TO<8Z&Uro+6Pl7Q!munem6_%t&lU1zW2vyp!{JAlyi;i6@v!vAGWx^CafyY zD0%AYDYxU(_)$Gg-+P}lU@<rchx3|+RN?v%>l~?U<I_aJ!Y1gBUzkXy#hicc!79jr zfnz}Y*IBO`?lj(y1^@uX0RTKuBmBQq81UEDKg9qBCvyXPlmE_x2Z|mu@vElJAd5y2 zNdGbgB`^>Q1KvUG|HPwbVXJ3kXX|X@?#$qBZ1mp={|ZNc5rCr6zY_6(mVXt60rlwr z8=-=^hm*X$GlR2{_222nc5p?DK@JT90RT{d`&at6f43kyV<US8cborVgya?ZJ0uJY za9x7`uYUNK)xV88F#p*H1`}h`zgL}wRdMtgbSNTlpg#8Rn*Wo<GaKxmo95qP*4+4i zc%+sbXQT+?2m%53|Kpm;{bw!)3tJ<{zq_Oe+Zc=mY6fb`zq_QB_s=wXCI*hy9{==5 zjl1Yf2pE9@Jfgt>*#GI$UqxZS&ys)UVsN+pyF-7!`20oi@0KQphxotR=<h^-4;}wS tREhY{M1KX6zq9;3B>Wc(9@7890tyfcGLTSz?TG;TX+r=2n8=_E@E<Hog9-ou diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci index e1a18eb5..424ee1e5 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci @@ -288,8 +288,8 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONNECTIVITY_MODE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000001000000010000000100000001000000000000000100000001000000010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000001000000010000000100000001000000010000000100000001000000010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_CONNECTIVITY">0x0000000100000001000000010000000100000001000000010000000100000001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_ISSUING">0x0000000100000001000000010000000100000001000000010000000100000001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_SECURE">0x0000000000000000000000000000000000000000000000000000000000000000</spirit:configurableElementValue> @@ -518,8 +518,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_SECURE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_WRITE_ISSUING">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0x0000000043C20000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_ADDR_WIDTH">0</spirit:configurableElementValue> @@ -1578,13 +1578,21 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_RRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> @@ -1709,6 +1717,8 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml index 404bd11a..6e6170c6 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml @@ -18585,7 +18585,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18603,7 +18603,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18615,7 +18615,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18634,7 +18634,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18646,7 +18646,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18692,7 +18692,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8588ec66</spirit:value> + <spirit:value>d2d78326</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18711,7 +18711,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18723,7 +18723,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8588ec66</spirit:value> + <spirit:value>d2d78326</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18741,7 +18741,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Jun 20 18:01:35 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:25:01 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18753,7 +18753,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -20870,11 +20870,11 @@ </spirit:modelParameter> <spirit:modelParameter spirit:dataType="std_logic_vector"> <spirit:name>C_M_AXI_BASE_ADDR</spirit:name> - <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR" spirit:bitStringLength="512">0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR" spirit:bitStringLength="512">0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="std_logic_vector"> <spirit:name>C_M_AXI_ADDR_WIDTH</spirit:name> - <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH" spirit:bitStringLength="256">0x0000001000000010000000100000001000000000000000100000001000000010</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH" spirit:bitStringLength="256">0x0000001000000010000000100000001000000010000000100000001000000010</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_S_AXI_BASE_ID</spirit:name> @@ -30516,7 +30516,7 @@ <spirit:parameter> <spirit:name>M03_A00_BASE_ADDR</spirit:name> <spirit:displayName>My M03_A00_BASE_ADDR</spirit:displayName> - <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_BASE_ADDR" spirit:order="741" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_BASE_ADDR" spirit:order="741" spirit:bitStringLength="64">0x0000000043C20000</spirit:value> <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> @@ -33588,7 +33588,7 @@ <spirit:parameter> <spirit:name>M03_A00_ADDR_WIDTH</spirit:name> <spirit:displayName>My M03_A00_ADDR_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_ADDR_WIDTH" spirit:order="997" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_ADDR_WIDTH" spirit:order="997" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">16</spirit:value> <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> @@ -36141,13 +36141,21 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_RRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> @@ -36272,6 +36280,8 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v index 066e6a9e..2752baec 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:34 2017 +// Date : Mon Dec 18 11:25:01 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v @@ -176,8 +176,8 @@ module system_design_xbar_0 (* C_CONNECTIVITY_MODE = "0" *) (* C_DEBUG = "1" *) (* C_FAMILY = "zynq" *) - (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) - (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) + (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) + (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) (* C_M_AXI_READ_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_READ_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_SECURE = "256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) @@ -309,45 +309,48 @@ endmodule module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (m_valid_i, SR, + aa_grant_any, aa_grant_rnw, + \m_ready_d_reg[2] , + \gen_no_arbiter.m_valid_i_reg_0 , D, - \m_atarget_hot_reg[7] , - \m_atarget_hot_reg[7]_0 , - \m_atarget_hot_reg[7]_1 , + m_atarget_enc_comb, + \m_atarget_enc_reg[0] , + \m_atarget_enc_reg[3] , + \m_atarget_hot_reg[4] , + \m_atarget_hot_reg[1] , Q, s_axi_bvalid, - \m_ready_d_reg[2] , - \gen_axilite.s_axi_bvalid_i_reg , \m_ready_d_reg[2]_0 , + \gen_axilite.s_axi_bvalid_i_reg , + \m_ready_d_reg[2]_1 , m_axi_bready, \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , s_axi_wready, - \m_ready_d_reg[2]_1 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , + \gen_axilite.s_axi_bvalid_i_reg_0 , + \m_ready_d_reg[2]_2 , + \m_ready_d_reg[2]_3 , m_axi_wvalid, m_axi_awvalid, \m_ready_d_reg[0] , \m_ready_d_reg[0]_0 , - \gen_axilite.s_axi_bvalid_i_reg_0 , - s_ready_i_reg, + \gen_axilite.s_axi_bvalid_i_reg_1 , + \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , E, - \gen_no_arbiter.m_valid_i_reg_0 , - s_ready_i_reg_0, + m_valid_i_reg, + \m_ready_d_reg[0]_1 , m_axi_arvalid, \gen_axilite.s_axi_rvalid_i_reg , - \m_ready_d_reg[0]_1 , + \m_atarget_hot_reg[7] , + f_hot2enc_return0, \m_ready_d_reg[0]_2 , \gen_no_arbiter.m_grant_hot_i_reg[0]_2 , - \m_ready_d_reg[0]_3 , \gen_no_arbiter.m_grant_hot_i_reg[0]_3 , + \m_ready_d_reg[0]_3 , + \m_ready_d_reg[0]_4 , s_axi_awready, s_axi_arready, - s_axi_rvalid, - \m_atarget_enc_reg[0] , - \m_atarget_enc_reg[3] , - \m_atarget_hot_reg[2] , - \gen_axilite.s_axi_bvalid_i_reg_1 , - \gen_axilite.s_axi_awready_i_reg , + \gen_axilite.s_axi_bvalid_i_reg_2 , aclk, m_atarget_enc, m_ready_d, @@ -358,71 +361,73 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd \m_atarget_enc_reg[2] , \m_atarget_hot_reg[8] , s_axi_bready, - \gen_axilite.s_axi_awready_i_reg_0 , + \m_atarget_enc_reg[0]_0 , \m_atarget_enc_reg[3]_0 , + \m_atarget_enc_reg[0]_1 , + mi_wready, + \m_atarget_enc_reg[0]_2 , \m_atarget_enc_reg[2]_0 , - \m_atarget_enc_reg[3]_1 , s_axi_wvalid, - m_valid_i_reg, \m_atarget_enc_reg[1]_1 , - \m_atarget_enc_reg[1]_2 , - \m_atarget_enc_reg[2]_1 , - \m_atarget_enc_reg[2]_2 , + m_ready_d0, s_axi_rready, sr_rvalid, - m_axi_arready, m_axi_awready, m_axi_wready, m_axi_bvalid, + m_axi_arready, s_axi_arprot, s_axi_arvalid, s_axi_awprot, s_axi_araddr, s_axi_awaddr, - mi_wready, mi_bvalid, + \m_atarget_enc_reg[0]_3 , s_axi_awvalid); output m_valid_i; output [0:0]SR; + output aa_grant_any; output aa_grant_rnw; - output [7:0]D; - output \m_atarget_hot_reg[7] ; - output \m_atarget_hot_reg[7]_0 ; - output \m_atarget_hot_reg[7]_1 ; + output \m_ready_d_reg[2] ; + output \gen_no_arbiter.m_valid_i_reg_0 ; + output [8:0]D; + output [0:0]m_atarget_enc_comb; + output \m_atarget_enc_reg[0] ; + output \m_atarget_enc_reg[3] ; + output \m_atarget_hot_reg[4] ; + output \m_atarget_hot_reg[1] ; output [34:0]Q; output [0:0]s_axi_bvalid; - output \m_ready_d_reg[2] ; - output \gen_axilite.s_axi_bvalid_i_reg ; output \m_ready_d_reg[2]_0 ; - output [6:0]m_axi_bready; + output \gen_axilite.s_axi_bvalid_i_reg ; + output \m_ready_d_reg[2]_1 ; + output [7:0]m_axi_bready; output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output [0:0]s_axi_wready; - output \m_ready_d_reg[2]_1 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - output [6:0]m_axi_wvalid; - output [6:0]m_axi_awvalid; + output \gen_axilite.s_axi_bvalid_i_reg_0 ; + output \m_ready_d_reg[2]_2 ; + output \m_ready_d_reg[2]_3 ; + output [7:0]m_axi_wvalid; + output [7:0]m_axi_awvalid; output \m_ready_d_reg[0] ; output \m_ready_d_reg[0]_0 ; - output \gen_axilite.s_axi_bvalid_i_reg_0 ; - output s_ready_i_reg; + output \gen_axilite.s_axi_bvalid_i_reg_1 ; + output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; output [0:0]E; - output \gen_no_arbiter.m_valid_i_reg_0 ; - output s_ready_i_reg_0; - output [6:0]m_axi_arvalid; - output \gen_axilite.s_axi_rvalid_i_reg ; + output m_valid_i_reg; output \m_ready_d_reg[0]_1 ; + output [7:0]m_axi_arvalid; + output \gen_axilite.s_axi_rvalid_i_reg ; + output \m_atarget_hot_reg[7] ; + output f_hot2enc_return0; output \m_ready_d_reg[0]_2 ; output \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; - output \m_ready_d_reg[0]_3 ; output \gen_no_arbiter.m_grant_hot_i_reg[0]_3 ; + output \m_ready_d_reg[0]_3 ; + output \m_ready_d_reg[0]_4 ; output [0:0]s_axi_awready; output [0:0]s_axi_arready; - output [0:0]s_axi_rvalid; - output \m_atarget_enc_reg[0] ; - output [0:0]\m_atarget_enc_reg[3] ; - output \m_atarget_hot_reg[2] ; - output \gen_axilite.s_axi_bvalid_i_reg_1 ; - output \gen_axilite.s_axi_awready_i_reg ; + output \gen_axilite.s_axi_bvalid_i_reg_2 ; input aclk; input [3:0]m_atarget_enc; input [2:0]m_ready_d; @@ -431,34 +436,33 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd input \m_atarget_enc_reg[1] ; input \m_atarget_enc_reg[1]_0 ; input \m_atarget_enc_reg[2] ; - input [7:0]\m_atarget_hot_reg[8] ; + input [8:0]\m_atarget_hot_reg[8] ; input [0:0]s_axi_bready; - input \gen_axilite.s_axi_awready_i_reg_0 ; + input \m_atarget_enc_reg[0]_0 ; input \m_atarget_enc_reg[3]_0 ; + input \m_atarget_enc_reg[0]_1 ; + input [0:0]mi_wready; + input \m_atarget_enc_reg[0]_2 ; input \m_atarget_enc_reg[2]_0 ; - input \m_atarget_enc_reg[3]_1 ; input [0:0]s_axi_wvalid; - input m_valid_i_reg; input \m_atarget_enc_reg[1]_1 ; - input \m_atarget_enc_reg[1]_2 ; - input \m_atarget_enc_reg[2]_1 ; - input \m_atarget_enc_reg[2]_2 ; + input [0:0]m_ready_d0; input [0:0]s_axi_rready; input sr_rvalid; - input [7:0]m_axi_arready; input [7:0]m_axi_awready; input [3:0]m_axi_wready; input [3:0]m_axi_bvalid; + input [7:0]m_axi_arready; input [2:0]s_axi_arprot; input [0:0]s_axi_arvalid; input [2:0]s_axi_awprot; input [31:0]s_axi_araddr; input [31:0]s_axi_awaddr; - input [0:0]mi_wready; input [0:0]mi_bvalid; + input \m_atarget_enc_reg[0]_3 ; input [0:0]s_axi_awvalid; - wire [7:0]D; + wire [8:0]D; wire [0:0]E; wire [34:0]Q; wire [0:0]SR; @@ -466,12 +470,11 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire aa_grant_rnw; wire aclk; wire aresetn_d; - wire \gen_axilite.s_axi_awready_i_reg ; - wire \gen_axilite.s_axi_awready_i_reg_0 ; - wire \gen_axilite.s_axi_bvalid_i_i_2_n_0 ; + wire f_hot2enc_return0; wire \gen_axilite.s_axi_bvalid_i_reg ; wire \gen_axilite.s_axi_bvalid_i_reg_0 ; wire \gen_axilite.s_axi_bvalid_i_reg_1 ; + wire \gen_axilite.s_axi_bvalid_i_reg_2 ; wire \gen_axilite.s_axi_rvalid_i_reg ; wire \gen_no_arbiter.grant_rnw_i_1_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0 ; @@ -479,66 +482,67 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ; - wire \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_3 ; wire \gen_no_arbiter.m_valid_i_i_1_n_0 ; wire \gen_no_arbiter.m_valid_i_i_2_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_3_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_5_n_0 ; wire \gen_no_arbiter.m_valid_i_i_6_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_8_n_0 ; + wire \gen_no_arbiter.m_valid_i_i_7_n_0 ; wire \gen_no_arbiter.m_valid_i_reg_0 ; wire \gen_no_arbiter.s_ready_i[0]_i_1_n_0 ; wire [3:0]m_atarget_enc; - wire \m_atarget_enc[0]_i_3_n_0 ; - wire \m_atarget_enc[0]_i_4_n_0 ; + wire \m_atarget_enc[2]_i_3_n_0 ; + wire \m_atarget_enc[3]_i_2_n_0 ; + wire \m_atarget_enc[3]_i_4_n_0 ; + wire [0:0]m_atarget_enc_comb; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; + wire \m_atarget_enc_reg[0]_1 ; + wire \m_atarget_enc_reg[0]_2 ; + wire \m_atarget_enc_reg[0]_3 ; wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[1]_0 ; wire \m_atarget_enc_reg[1]_1 ; - wire \m_atarget_enc_reg[1]_2 ; wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[2]_0 ; - wire \m_atarget_enc_reg[2]_1 ; - wire \m_atarget_enc_reg[2]_2 ; - wire [0:0]\m_atarget_enc_reg[3] ; + wire \m_atarget_enc_reg[3] ; wire \m_atarget_enc_reg[3]_0 ; - wire \m_atarget_enc_reg[3]_1 ; - wire \m_atarget_hot[1]_i_2_n_0 ; + wire \m_atarget_hot[0]_i_2_n_0 ; + wire \m_atarget_hot[0]_i_3_n_0 ; wire \m_atarget_hot[6]_i_2_n_0 ; wire \m_atarget_hot[6]_i_3_n_0 ; - wire \m_atarget_hot[6]_i_4_n_0 ; - wire \m_atarget_hot[7]_i_2_n_0 ; wire \m_atarget_hot[7]_i_3_n_0 ; - wire \m_atarget_hot_reg[2] ; + wire \m_atarget_hot_reg[1] ; + wire \m_atarget_hot_reg[4] ; wire \m_atarget_hot_reg[7] ; - wire \m_atarget_hot_reg[7]_0 ; - wire \m_atarget_hot_reg[7]_1 ; - wire [7:0]\m_atarget_hot_reg[8] ; + wire [8:0]\m_atarget_hot_reg[8] ; wire [7:0]m_axi_arready; - wire [6:0]m_axi_arvalid; + wire [7:0]m_axi_arvalid; wire [7:0]m_axi_awready; - wire [6:0]m_axi_awvalid; - wire [6:0]m_axi_bready; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [3:0]m_axi_bvalid; wire [3:0]m_axi_wready; - wire [6:0]m_axi_wvalid; + wire [7:0]m_axi_wvalid; wire [2:0]m_ready_d; - wire \m_ready_d[2]_i_9_n_0 ; + wire [0:0]m_ready_d0; + wire \m_ready_d[2]_i_5_n_0 ; + wire \m_ready_d[2]_i_6_n_0 ; wire [1:0]m_ready_d_0; wire \m_ready_d_reg[0] ; wire \m_ready_d_reg[0]_0 ; wire \m_ready_d_reg[0]_1 ; wire \m_ready_d_reg[0]_2 ; wire \m_ready_d_reg[0]_3 ; + wire \m_ready_d_reg[0]_4 ; wire \m_ready_d_reg[2] ; wire \m_ready_d_reg[2]_0 ; wire \m_ready_d_reg[2]_1 ; + wire \m_ready_d_reg[2]_2 ; + wire \m_ready_d_reg[2]_3 ; wire m_valid_i; - wire m_valid_i_i_4_n_0; wire m_valid_i_reg; wire [0:0]mi_bvalid; wire [0:0]mi_wready; @@ -560,37 +564,23 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire [0:0]s_axi_bvalid; wire \s_axi_bvalid[0]_INST_0_i_3_n_0 ; wire [0:0]s_axi_rready; - wire [0:0]s_axi_rvalid; wire [0:0]s_axi_wready; - wire \s_axi_wready[0]_INST_0_i_1_n_0 ; - wire \s_axi_wready[0]_INST_0_i_2_n_0 ; wire [0:0]s_axi_wvalid; wire s_ready_i; - wire s_ready_i_reg; - wire s_ready_i_reg_0; wire [0:0]\splitter_aw/m_ready_d0 ; wire sr_rvalid; - LUT5 #( - .INIT(32'hFFDF0020)) - \gen_axilite.s_axi_awready_i_i_1 - (.I0(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I1(\gen_axilite.s_axi_bvalid_i_reg_0 ), - .I2(\m_atarget_hot_reg[8] [7]), - .I3(mi_bvalid), - .I4(mi_wready), - .O(\gen_axilite.s_axi_awready_i_reg )); LUT6 #( - .INIT(64'hAAFFAAFF0C000000)) + .INIT(64'h5050F0F05C50F0F0)) \gen_axilite.s_axi_bvalid_i_i_1 (.I0(\gen_axilite.s_axi_bvalid_i_reg ), - .I1(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I2(\gen_axilite.s_axi_bvalid_i_reg_0 ), - .I3(\m_atarget_hot_reg[8] [7]), - .I4(mi_wready), - .I5(mi_bvalid), - .O(\gen_axilite.s_axi_bvalid_i_reg_1 )); - (* SOFT_HLUTNM = "soft_lutpair0" *) + .I1(mi_wready), + .I2(mi_bvalid), + .I3(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .I4(\m_atarget_hot_reg[8] [8]), + .I5(\gen_axilite.s_axi_bvalid_i_reg_1 ), + .O(\gen_axilite.s_axi_bvalid_i_reg_2 )); + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT4 #( .INIT(16'h0020)) \gen_axilite.s_axi_bvalid_i_i_2 @@ -598,29 +588,29 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[1]), - .O(\gen_axilite.s_axi_bvalid_i_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + .O(\gen_axilite.s_axi_bvalid_i_reg_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT3 #( .INIT(8'hFB)) \gen_axilite.s_axi_bvalid_i_i_3 (.I0(m_ready_d[2]), .I1(m_valid_i), .I2(aa_grant_rnw), - .O(\gen_axilite.s_axi_bvalid_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + .O(\gen_axilite.s_axi_bvalid_i_reg_1 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hBF)) \gen_axilite.s_axi_rvalid_i_i_2 (.I0(m_ready_d_0[1]), - .I1(m_valid_i), - .I2(aa_grant_rnw), + .I1(aa_grant_rnw), + .I2(m_valid_i), .O(\gen_axilite.s_axi_rvalid_i_reg )); LUT6 #( - .INIT(64'hFFFFFF5300000050)) + .INIT(64'hFFFFFF4700000044)) \gen_no_arbiter.grant_rnw_i_1 (.I0(s_awvalid_reg), - .I1(s_axi_awvalid), - .I2(s_axi_arvalid), + .I1(s_axi_arvalid), + .I2(s_axi_awvalid), .I3(aa_grant_any), .I4(m_valid_i), .I5(aa_grant_rnw), @@ -1132,58 +1122,58 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .Q(Q[8]), .R(SR)); LUT6 #( - .INIT(64'h0000000088888088)) + .INIT(64'h0808080808000808)) \gen_no_arbiter.m_grant_hot_i[0]_i_1 (.I0(\gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0 ), .I1(aresetn_d), .I2(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 ), - .I3(\splitter_aw/m_ready_d0 ), - .I4(\gen_no_arbiter.m_valid_i_i_3_n_0 ), - .I5(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ), + .I3(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ), + .I4(\splitter_aw/m_ready_d0 ), + .I5(\gen_no_arbiter.m_valid_i_i_2_n_0 ), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( .INIT(16'hF0FE)) \gen_no_arbiter.m_grant_hot_i[0]_i_2 - (.I0(s_axi_awvalid), - .I1(s_axi_arvalid), + (.I0(s_axi_arvalid), + .I1(s_axi_awvalid), .I2(aa_grant_any), .I3(m_valid_i), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT6 #( + .INIT(64'h00000000FEAE0000)) + \gen_no_arbiter.m_grant_hot_i[0]_i_3 + (.I0(m_ready_d_0[1]), + .I1(\m_atarget_enc_reg[1]_1 ), + .I2(m_atarget_enc[0]), + .I3(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ), + .I4(m_ready_d0), + .I5(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 ), + .O(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT2 #( .INIT(4'hB)) - \gen_no_arbiter.m_grant_hot_i[0]_i_3 + \gen_no_arbiter.m_grant_hot_i[0]_i_4 (.I0(aa_grant_rnw), .I1(m_valid_i), - .O(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000000055544454)) - \gen_no_arbiter.m_grant_hot_i[0]_i_4 - (.I0(m_valid_i_reg), - .I1(m_ready_d_0[1]), - .I2(\m_atarget_enc_reg[1]_1 ), - .I3(m_atarget_enc[0]), - .I4(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ), - .I5(\gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 ), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT5 #( .INIT(32'h00002000)) \gen_no_arbiter.m_grant_hot_i[0]_i_5 - (.I0(\gen_no_arbiter.m_valid_i_reg_0 ), + (.I0(\m_ready_d_reg[0]_1 ), .I1(m_ready_d_0[1]), - .I2(m_valid_i), - .I3(aa_grant_rnw), + .I2(aa_grant_rnw), + .I3(m_valid_i), .I4(m_atarget_enc[3]), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h7)) \gen_no_arbiter.m_grant_hot_i[0]_i_6 - (.I0(aa_grant_rnw), - .I1(m_valid_i), - .O(\gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 )); + (.I0(m_valid_i), + .I1(aa_grant_rnw), + .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); FDRE \gen_no_arbiter.m_grant_hot_i_reg[0] (.C(aclk), .CE(1'b1), @@ -1191,14 +1181,14 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .Q(aa_grant_any), .R(1'b0)); LUT6 #( - .INIT(64'h3AFA3A0A3AFA3AFA)) + .INIT(64'h0BFBFFFF0BFB0000)) \gen_no_arbiter.m_valid_i_i_1 - (.I0(aa_grant_any), - .I1(\gen_no_arbiter.m_valid_i_i_2_n_0 ), - .I2(m_valid_i), - .I3(aa_grant_rnw), - .I4(\gen_no_arbiter.m_valid_i_i_3_n_0 ), - .I5(\splitter_aw/m_ready_d0 ), + (.I0(\gen_no_arbiter.m_valid_i_i_2_n_0 ), + .I1(\splitter_aw/m_ready_d0 ), + .I2(aa_grant_rnw), + .I3(\m_atarget_enc_reg[0]_3 ), + .I4(m_valid_i), + .I5(aa_grant_any), .O(\gen_no_arbiter.m_valid_i_i_1_n_0 )); LUT4 #( .INIT(16'h3B38)) @@ -1217,71 +1207,61 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_bvalid[0]), .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_3 )); LUT6 #( - .INIT(64'h00000000FFFF2F20)) + .INIT(64'h444444444FFF4F4F)) \gen_no_arbiter.m_valid_i_i_2 - (.I0(\gen_no_arbiter.m_valid_i_reg_0 ), - .I1(\gen_no_arbiter.m_valid_i_i_5_n_0 ), - .I2(m_atarget_enc[0]), - .I3(\m_atarget_enc_reg[1]_1 ), - .I4(m_ready_d_0[1]), - .I5(m_valid_i_reg), + (.I0(\m_atarget_enc_reg[3]_0 ), + .I1(\gen_no_arbiter.m_valid_i_i_6_n_0 ), + .I2(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .I3(\m_ready_d[2]_i_6_n_0 ), + .I4(\gen_no_arbiter.m_valid_i_i_7_n_0 ), + .I5(m_ready_d[1]), .O(\gen_no_arbiter.m_valid_i_i_2_n_0 )); LUT6 #( - .INIT(64'h0075FFFF00750075)) + .INIT(64'hEAAAEAFFAAAAAAAA)) \gen_no_arbiter.m_valid_i_i_3 - (.I0(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I1(\gen_axilite.s_axi_awready_i_reg_0 ), - .I2(\gen_no_arbiter.m_valid_i_i_6_n_0 ), - .I3(m_ready_d[1]), - .I4(\m_atarget_enc_reg[3]_0 ), - .I5(\gen_no_arbiter.m_valid_i_i_8_n_0 ), - .O(\gen_no_arbiter.m_valid_i_i_3_n_0 )); - LUT6 #( - .INIT(64'hAAAAAAAAEAAAEAFF)) - \gen_no_arbiter.m_valid_i_i_4 (.I0(m_ready_d[0]), - .I1(\m_atarget_enc_reg[1] ), - .I2(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), + .I1(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), + .I2(\m_atarget_enc_reg[1] ), .I3(m_atarget_enc[0]), .I4(\m_atarget_enc_reg[1]_0 ), .I5(\gen_axilite.s_axi_bvalid_i_reg ), .O(\splitter_aw/m_ready_d0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT4 #( - .INIT(16'hFFBF)) - \gen_no_arbiter.m_valid_i_i_5 - (.I0(m_atarget_enc[3]), - .I1(aa_grant_rnw), - .I2(m_valid_i), - .I3(m_ready_d_0[1]), - .O(\gen_no_arbiter.m_valid_i_i_5_n_0 )); LUT6 #( .INIT(64'hFFFFFDFFFFFFFFFF)) \gen_no_arbiter.m_valid_i_i_6 - (.I0(\s_axi_wready[0]_INST_0_i_2_n_0 ), + (.I0(\m_ready_d_reg[0] ), .I1(m_atarget_enc[3]), .I2(aa_grant_rnw), .I3(m_valid_i), - .I4(m_ready_d[1]), + .I4(m_ready_d[2]), .I5(m_atarget_enc[0]), .O(\gen_no_arbiter.m_valid_i_i_6_n_0 )); LUT6 #( .INIT(64'hFFFFFDFFFFFFFFFF)) - \gen_no_arbiter.m_valid_i_i_8 - (.I0(\m_ready_d_reg[0] ), + \gen_no_arbiter.m_valid_i_i_7 + (.I0(\m_ready_d_reg[2] ), .I1(m_atarget_enc[3]), .I2(aa_grant_rnw), .I3(m_valid_i), - .I4(m_ready_d[2]), + .I4(m_ready_d[1]), .I5(m_atarget_enc[0]), - .O(\gen_no_arbiter.m_valid_i_i_8_n_0 )); + .O(\gen_no_arbiter.m_valid_i_i_7_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT4 #( + .INIT(16'h0040)) + \gen_no_arbiter.m_valid_i_i_9 + (.I0(m_atarget_enc[3]), + .I1(m_valid_i), + .I2(aa_grant_rnw), + .I3(m_ready_d_0[1]), + .O(\gen_no_arbiter.m_valid_i_reg_0 )); FDRE \gen_no_arbiter.m_valid_i_reg (.C(aclk), .CE(1'b1), .D(\gen_no_arbiter.m_valid_i_i_1_n_0 ), .Q(m_valid_i), .R(SR)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT3 #( .INIT(8'h40)) \gen_no_arbiter.s_ready_i[0]_i_1 @@ -1295,272 +1275,298 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .D(\gen_no_arbiter.s_ready_i[0]_i_1_n_0 ), .Q(s_ready_i), .R(1'b0)); - LUT6 #( - .INIT(64'h0012001201130012)) + LUT4 #( + .INIT(16'h10FF)) \m_atarget_enc[0]_i_2 - (.I0(Q[16]), - .I1(\m_atarget_enc[0]_i_3_n_0 ), - .I2(Q[18]), - .I3(\m_atarget_hot[1]_i_2_n_0 ), - .I4(\m_atarget_hot[6]_i_4_n_0 ), - .I5(\m_atarget_enc[0]_i_4_n_0 ), + (.I0(\m_atarget_enc_reg[3] ), + .I1(\m_atarget_hot_reg[4] ), + .I2(\m_atarget_hot_reg[1] ), + .I3(aresetn_d), .O(\m_atarget_enc_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'hE)) - \m_atarget_enc[0]_i_3 - (.I0(Q[19]), - .I1(Q[17]), - .O(\m_atarget_enc[0]_i_3_n_0 )); LUT6 #( - .INIT(64'hFEFFFFFFFFFFFFFF)) - \m_atarget_enc[0]_i_4 - (.I0(Q[20]), - .I1(Q[21]), - .I2(Q[24]), + .INIT(64'hFFFFFFFF20020000)) + \m_atarget_enc[2]_i_2 + (.I0(\m_atarget_hot[0]_i_2_n_0 ), + .I1(\m_atarget_enc[2]_i_3_n_0 ), + .I2(Q[25]), .I3(Q[23]), .I4(Q[22]), - .I5(Q[25]), - .O(\m_atarget_enc[0]_i_4_n_0 )); + .I5(\m_atarget_hot_reg[4] ), + .O(f_hot2enc_return0)); + LUT3 #( + .INIT(8'hFE)) + \m_atarget_enc[2]_i_3 + (.I0(Q[24]), + .I1(Q[20]), + .I2(Q[21]), + .O(\m_atarget_enc[2]_i_3_n_0 )); LUT6 #( - .INIT(64'h5554555455445554)) + .INIT(64'h00000000FFFFFEFA)) \m_atarget_enc[3]_i_1 - (.I0(\m_atarget_hot_reg[7]_1 ), - .I1(\m_atarget_hot[1]_i_2_n_0 ), - .I2(Q[17]), - .I3(Q[19]), - .I4(Q[16]), - .I5(Q[18]), - .O(\m_atarget_enc_reg[3] )); - LUT6 #( - .INIT(64'h0000000100000000)) - \m_atarget_hot[0]_i_1 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), + (.I0(\m_atarget_enc[3]_i_2_n_0 ), .I1(Q[17]), .I2(Q[19]), - .I3(Q[16]), - .I4(Q[18]), - .I5(aa_grant_any), + .I3(Q[18]), + .I4(\m_atarget_hot[0]_i_3_n_0 ), + .I5(\m_atarget_enc_reg[3] ), + .O(m_atarget_enc_comb)); + LUT6 #( + .INIT(64'hFFFFFFFEFFFFFFFF)) + \m_atarget_enc[3]_i_2 + (.I0(Q[31]), + .I1(Q[27]), + .I2(Q[29]), + .I3(Q[28]), + .I4(Q[26]), + .I5(Q[30]), + .O(\m_atarget_enc[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000000007)) + \m_atarget_enc[3]_i_3 + (.I0(\m_atarget_hot[6]_i_3_n_0 ), + .I1(\m_atarget_hot[7]_i_3_n_0 ), + .I2(\m_atarget_enc[3]_i_2_n_0 ), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_4_n_0 ), + .I5(Q[16]), + .O(\m_atarget_enc_reg[3] )); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT2 #( + .INIT(4'hE)) + \m_atarget_enc[3]_i_4 + (.I0(Q[18]), + .I1(Q[19]), + .O(\m_atarget_enc[3]_i_4_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'h08)) + \m_atarget_hot[0]_i_1 + (.I0(\m_atarget_hot[0]_i_2_n_0 ), + .I1(aa_grant_any), + .I2(\m_atarget_hot[0]_i_3_n_0 ), .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h00000001)) + \m_atarget_hot[0]_i_2 + (.I0(\m_atarget_enc[3]_i_2_n_0 ), + .I1(Q[17]), + .I2(Q[18]), + .I3(Q[19]), + .I4(Q[16]), + .O(\m_atarget_hot[0]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000001000000000)) + .INIT(64'hFFFFFFFFFFFF7FFF)) + \m_atarget_hot[0]_i_3 + (.I0(Q[22]), + .I1(Q[23]), + .I2(Q[25]), + .I3(Q[24]), + .I4(Q[21]), + .I5(Q[20]), + .O(\m_atarget_hot[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h0400)) \m_atarget_hot[1]_i_1 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), - .I2(Q[16]), - .I3(Q[19]), - .I4(Q[17]), - .I5(aa_grant_any), + (.I0(Q[17]), + .I1(Q[16]), + .I2(\m_atarget_hot_reg[1] ), + .I3(aa_grant_any), .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT5 #( - .INIT(32'hFFEFFFFF)) - \m_atarget_hot[1]_i_2 - (.I0(Q[21]), - .I1(Q[20]), - .I2(Q[24]), - .I3(\m_atarget_hot[7]_i_3_n_0 ), - .I4(\m_atarget_hot[6]_i_4_n_0 ), - .O(\m_atarget_hot[1]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h8)) + .INIT(32'h00200000)) \m_atarget_hot[2]_i_1 - (.I0(aa_grant_any), - .I1(\m_atarget_hot_reg[2] ), + (.I0(Q[17]), + .I1(\m_atarget_hot_reg[1] ), + .I2(Q[16]), + .I3(m_atarget_enc_comb), + .I4(aa_grant_any), .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT5 #( - .INIT(32'h00100000)) - \m_atarget_hot[2]_i_2 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), + .INIT(32'h00000200)) + \m_atarget_hot[3]_i_1 + (.I0(Q[17]), + .I1(\m_atarget_hot_reg[1] ), .I2(Q[16]), + .I3(aa_grant_any), + .I4(m_atarget_enc_comb), + .O(D[3])); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT4 #( + .INIT(16'hFFFE)) + \m_atarget_hot[3]_i_2 + (.I0(\m_atarget_hot[0]_i_3_n_0 ), + .I1(\m_atarget_enc[3]_i_2_n_0 ), + .I2(Q[18]), .I3(Q[19]), - .I4(Q[17]), - .O(\m_atarget_hot_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'h08)) + .O(\m_atarget_hot_reg[1] )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0800)) \m_atarget_hot[4]_i_1 - (.I0(aa_grant_any), - .I1(Q[16]), - .I2(\m_atarget_hot_reg[7]_0 ), - .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'h10)) - \m_atarget_hot[5]_i_1 - (.I0(\m_atarget_hot_reg[7]_0 ), + (.I0(\m_atarget_hot_reg[4] ), .I1(Q[16]), - .I2(aa_grant_any), + .I2(m_atarget_enc_comb), + .I3(aa_grant_any), .O(D[4])); - LUT6 #( - .INIT(64'h0004000000000000)) - \m_atarget_hot[6]_i_1 - (.I0(Q[23]), - .I1(Q[22]), - .I2(Q[25]), - .I3(\m_atarget_hot[6]_i_2_n_0 ), - .I4(\m_atarget_hot[6]_i_3_n_0 ), - .I5(aa_grant_any), + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0040)) + \m_atarget_hot[5]_i_1 + (.I0(Q[16]), + .I1(\m_atarget_hot_reg[4] ), + .I2(aa_grant_any), + .I3(m_atarget_enc_comb), .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT5 #( + .INIT(32'h00000100)) + \m_atarget_hot[5]_i_2 + (.I0(\m_atarget_enc[3]_i_2_n_0 ), + .I1(Q[17]), + .I2(Q[19]), + .I3(Q[18]), + .I4(\m_atarget_hot[0]_i_3_n_0 ), + .O(\m_atarget_hot_reg[4] )); + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( - .INIT(8'hFE)) + .INIT(8'h08)) + \m_atarget_hot[6]_i_1 + (.I0(\m_atarget_hot[6]_i_2_n_0 ), + .I1(aa_grant_any), + .I2(m_atarget_enc_comb), + .O(D[6])); + LUT6 #( + .INIT(64'h0000000000000001)) \m_atarget_hot[6]_i_2 - (.I0(Q[24]), - .I1(Q[21]), - .I2(Q[20]), + (.I0(Q[16]), + .I1(Q[19]), + .I2(Q[18]), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_2_n_0 ), + .I5(\m_atarget_hot[6]_i_3_n_0 ), .O(\m_atarget_hot[6]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT5 #( - .INIT(32'h00000002)) + LUT6 #( + .INIT(64'hFFFFFFFEFFFFFFFF)) \m_atarget_hot[6]_i_3 - (.I0(\m_atarget_hot[6]_i_4_n_0 ), - .I1(Q[18]), - .I2(Q[16]), - .I3(Q[19]), - .I4(Q[17]), + (.I0(Q[21]), + .I1(Q[20]), + .I2(Q[24]), + .I3(Q[23]), + .I4(Q[25]), + .I5(Q[22]), .O(\m_atarget_hot[6]_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000000100000000)) - \m_atarget_hot[6]_i_4 - (.I0(Q[31]), - .I1(Q[28]), - .I2(Q[26]), - .I3(Q[29]), - .I4(Q[27]), - .I5(Q[30]), - .O(\m_atarget_hot[6]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT5 #( - .INIT(32'h88888088)) + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'h08)) \m_atarget_hot[7]_i_1 - (.I0(\m_atarget_hot[7]_i_2_n_0 ), + (.I0(\m_atarget_hot_reg[7] ), .I1(aa_grant_any), - .I2(\m_atarget_hot_reg[7]_1 ), - .I3(\m_atarget_hot_reg[7]_0 ), - .I4(\m_atarget_hot_reg[7] ), - .O(D[6])); - LUT5 #( - .INIT(32'h00000002)) + .I2(m_atarget_enc_comb), + .O(D[7])); + LUT6 #( + .INIT(64'h0000000000000001)) \m_atarget_hot[7]_i_2 - (.I0(\m_atarget_hot[6]_i_3_n_0 ), - .I1(\m_atarget_hot[7]_i_3_n_0 ), - .I2(Q[24]), + (.I0(Q[16]), + .I1(Q[19]), + .I2(Q[18]), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_2_n_0 ), + .I5(\m_atarget_hot[7]_i_3_n_0 ), + .O(\m_atarget_hot_reg[7] )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFF7F)) + \m_atarget_hot[7]_i_3 + (.I0(Q[22]), + .I1(Q[23]), + .I2(Q[25]), .I3(Q[21]), .I4(Q[20]), - .O(\m_atarget_hot[7]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'h7F)) - \m_atarget_hot[7]_i_3 - (.I0(Q[25]), - .I1(Q[22]), - .I2(Q[23]), + .I5(Q[24]), .O(\m_atarget_hot[7]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT4 #( - .INIT(16'h0400)) + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h8)) \m_atarget_hot[8]_i_1 - (.I0(\m_atarget_hot_reg[7] ), - .I1(\m_atarget_hot_reg[7]_0 ), - .I2(\m_atarget_hot_reg[7]_1 ), - .I3(aa_grant_any), - .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'h00000405)) - \m_atarget_hot[8]_i_2 - (.I0(Q[18]), - .I1(Q[16]), - .I2(Q[19]), - .I3(Q[17]), - .I4(\m_atarget_hot[1]_i_2_n_0 ), - .O(\m_atarget_hot_reg[7] )); - LUT4 #( - .INIT(16'hFFFB)) - \m_atarget_hot[8]_i_3 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), - .I2(Q[19]), - .I3(Q[17]), - .O(\m_atarget_hot_reg[7]_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT5 #( - .INIT(32'h20000200)) - \m_atarget_hot[8]_i_4 - (.I0(\m_atarget_hot[6]_i_3_n_0 ), - .I1(\m_atarget_hot[6]_i_2_n_0 ), - .I2(Q[25]), - .I3(Q[22]), - .I4(Q[23]), - .O(\m_atarget_hot_reg[7]_1 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (.I0(m_atarget_enc_comb), + .I1(aa_grant_any), + .O(D[8])); + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[0]_INST_0 (.I0(\m_atarget_hot_reg[8] [0]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[0])); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[1]_INST_0 (.I0(\m_atarget_hot_reg[8] [1]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[2]_INST_0 (.I0(\m_atarget_hot_reg[8] [2]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[4]_INST_0 + \m_axi_arvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[3])); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[5]_INST_0 + \m_axi_arvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[6]_INST_0 + \m_axi_arvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[5])); (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[7]_INST_0 + \m_axi_arvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[6])); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'h0080)) + \m_axi_arvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_valid_i), + .I2(aa_grant_rnw), + .I3(m_ready_d_0[1]), + .O(m_axi_arvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[0]_INST_0 @@ -1569,7 +1575,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[0])); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[1]_INST_0 @@ -1578,7 +1584,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[2]_INST_0 @@ -1587,28 +1593,28 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[4]_INST_0 + \m_axi_awvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[3])); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[5]_INST_0 + \m_axi_awvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[6]_INST_0 + \m_axi_awvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), .I1(aa_grant_rnw), .I2(m_valid_i), @@ -1617,78 +1623,95 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[7]_INST_0 + \m_axi_awvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[6])); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'h0020)) + \m_axi_awvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(aa_grant_rnw), + .I2(m_valid_i), + .I3(m_ready_d[2]), + .O(m_axi_awvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[0]_INST_0 (.I0(\m_atarget_hot_reg[8] [0]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[0])); LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[1]_INST_0 (.I0(\m_atarget_hot_reg[8] [1]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[1])); LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[2]_INST_0 (.I0(\m_atarget_hot_reg[8] [2]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[2])); LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[4]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[3])); - (* SOFT_HLUTNM = "soft_lutpair10" *) LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[5]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[4])); LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[6]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[5])); - (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[7]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[6])); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT5 #( + .INIT(32'h00200000)) + \m_axi_bready[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), + .O(m_axi_bready[7])); LUT5 #( .INIT(32'h00200000)) \m_axi_wvalid[0]_INST_0 @@ -1707,7 +1730,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( .INIT(32'h00200000)) \m_axi_wvalid[2]_INST_0 @@ -1717,10 +1740,10 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[4]_INST_0 + \m_axi_wvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), .I1(m_ready_d[1]), .I2(m_valid_i), @@ -1729,17 +1752,17 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .O(m_axi_wvalid[3])); LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[5]_INST_0 + \m_axi_wvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), .I1(m_ready_d[1]), .I2(m_valid_i), .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[6]_INST_0 + \m_axi_wvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), .I1(m_ready_d[1]), .I2(m_valid_i), @@ -1748,21 +1771,31 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .O(m_axi_wvalid[5])); LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[7]_INST_0 + \m_axi_wvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), .I1(m_ready_d[1]), .I2(m_valid_i), .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[6])); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT5 #( + .INIT(32'h00200000)) + \m_axi_wvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_ready_d[1]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_wvalid), + .O(m_axi_wvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( - .INIT(32'h4000FFFF)) + .INIT(32'h0080FFFF)) \m_payload_i[34]_i_1 - (.I0(m_ready_d_0[0]), + (.I0(s_axi_rready), .I1(m_valid_i), .I2(aa_grant_rnw), - .I3(s_axi_rready), + .I3(m_ready_d_0[0]), .I4(sr_rvalid), .O(E)); LUT6 #( @@ -1774,15 +1807,15 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_arready[5]), .I4(m_atarget_enc[2]), .I5(m_axi_arready[1]), - .O(\gen_no_arbiter.m_valid_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + .O(\m_ready_d_reg[0]_1 )); + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( - .INIT(8'hB8)) + .INIT(8'h35)) \m_ready_d[1]_i_6 - (.I0(m_axi_arready[6]), - .I1(m_atarget_enc[2]), - .I2(m_axi_arready[2]), - .O(\m_ready_d_reg[0]_2 )); + (.I0(m_axi_arready[2]), + .I1(m_axi_arready[6]), + .I2(m_atarget_enc[2]), + .O(\m_ready_d_reg[0]_3 )); LUT4 #( .INIT(16'h3B38)) \m_ready_d[1]_i_7 @@ -1790,90 +1823,83 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_axi_arready[0]), - .O(\m_ready_d_reg[0]_1 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT4 #( - .INIT(16'hFBFF)) - \m_ready_d[2]_i_2 - (.I0(aa_grant_rnw), - .I1(m_valid_i), - .I2(m_ready_d[0]), - .I3(s_axi_bready), - .O(\gen_axilite.s_axi_bvalid_i_reg )); - LUT6 #( - .INIT(64'h0000155555555555)) - \m_ready_d[2]_i_4 - (.I0(m_ready_d[1]), - .I1(m_atarget_enc[0]), - .I2(\m_ready_d[2]_i_9_n_0 ), - .I3(\s_axi_wready[0]_INST_0_i_2_n_0 ), - .I4(\gen_axilite.s_axi_awready_i_reg_0 ), - .I5(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .O(\m_ready_d_reg[2]_1 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \m_ready_d[2]_i_5 - (.I0(m_axi_awready[7]), - .I1(m_axi_awready[3]), - .I2(m_atarget_enc[1]), - .I3(m_axi_awready[5]), - .I4(m_atarget_enc[2]), - .I5(m_axi_awready[1]), - .O(\m_ready_d_reg[0] )); - LUT5 #( - .INIT(32'h0000E200)) - \m_ready_d[2]_i_7 - (.I0(m_axi_awready[2]), - .I1(m_atarget_enc[2]), - .I2(m_axi_awready[6]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .O(\m_ready_d_reg[0]_3 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .O(\m_ready_d_reg[0]_4 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'hFFEF)) - \m_ready_d[2]_i_8 + \m_ready_d[2]_i_10 (.I0(m_atarget_enc[3]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(\m_ready_d_reg[0]_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT4 #( - .INIT(16'h0010)) - \m_ready_d[2]_i_9 - (.I0(m_atarget_enc[3]), + .INIT(16'h0020)) + \m_ready_d[2]_i_2 + (.I0(s_axi_bready), .I1(aa_grant_rnw), .I2(m_valid_i), - .I3(m_ready_d[1]), - .O(\m_ready_d[2]_i_9_n_0 )); + .I3(m_ready_d[0]), + .O(\gen_axilite.s_axi_bvalid_i_reg )); LUT6 #( - .INIT(64'h80B0B3B380B08080)) - m_valid_i_i_2 - (.I0(\m_atarget_enc_reg[1]_2 ), + .INIT(64'h0000155555555555)) + \m_ready_d[2]_i_3 + (.I0(m_ready_d[1]), .I1(m_atarget_enc[0]), - .I2(m_valid_i_i_4_n_0), - .I3(\m_atarget_enc_reg[2]_1 ), - .I4(m_atarget_enc[1]), - .I5(\m_atarget_enc_reg[2]_2 ), - .O(s_ready_i_reg)); - (* SOFT_HLUTNM = "soft_lutpair14" *) + .I2(\m_ready_d[2]_i_5_n_0 ), + .I3(\m_ready_d_reg[2] ), + .I4(\m_ready_d[2]_i_6_n_0 ), + .I5(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .O(\m_ready_d_reg[2]_2 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( - .INIT(16'h0040)) - m_valid_i_i_4 + .INIT(16'h0010)) + \m_ready_d[2]_i_5 (.I0(m_atarget_enc[3]), .I1(aa_grant_rnw), .I2(m_valid_i), - .I3(m_ready_d_0[0]), - .O(m_valid_i_i_4_n_0)); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hBF)) - m_valid_i_i_7 - (.I0(m_ready_d_0[0]), - .I1(m_valid_i), + .I3(m_ready_d[1]), + .O(\m_ready_d[2]_i_5_n_0 )); + LUT6 #( + .INIT(64'h0101330301010101)) + \m_ready_d[2]_i_6 + (.I0(\m_atarget_enc_reg[0]_1 ), + .I1(\m_ready_d_reg[2]_3 ), + .I2(m_atarget_enc[3]), + .I3(mi_wready), + .I4(\m_atarget_enc_reg[0]_2 ), + .I5(\m_atarget_enc_reg[2]_0 ), + .O(\m_ready_d[2]_i_6_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \m_ready_d[2]_i_7 + (.I0(m_axi_awready[7]), + .I1(m_axi_awready[3]), + .I2(m_atarget_enc[1]), + .I3(m_axi_awready[5]), + .I4(m_atarget_enc[2]), + .I5(m_axi_awready[1]), + .O(\m_ready_d_reg[0] )); + LUT5 #( + .INIT(32'h44400040)) + \m_ready_d[2]_i_9 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), + .I2(m_axi_awready[2]), + .I3(m_atarget_enc[2]), + .I4(m_axi_awready[6]), + .O(\m_ready_d_reg[0]_2 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT5 #( + .INIT(32'h8AAAAAAA)) + m_valid_i_i_3 + (.I0(sr_rvalid), + .I1(m_ready_d_0[0]), .I2(aa_grant_rnw), - .O(s_ready_i_reg_0)); + .I3(m_valid_i), + .I4(s_axi_rready), + .O(m_valid_i_reg)); (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'h0040)) @@ -1905,11 +1931,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .D(\s_awvalid_reg[0]_i_1_n_0 ), .Q(s_awvalid_reg), .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'h8)) \s_axi_arready[0]_INST_0 - (.I0(s_ready_i), - .I1(aa_grant_rnw), + (.I0(aa_grant_rnw), + .I1(s_ready_i), .O(s_axi_arready)); (* SOFT_HLUTNM = "soft_lutpair17" *) LUT2 #( @@ -1918,12 +1945,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (.I0(s_ready_i), .I1(aa_grant_rnw), .O(s_axi_awready)); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h2)) \s_axi_bvalid[0]_INST_0 (.I0(aa_grant_any), - .I1(\m_ready_d_reg[2] ), + .I1(\m_ready_d_reg[2]_0 ), .O(s_axi_bvalid)); LUT6 #( .INIT(64'h4F7F7F7F4F7F4C4C)) @@ -1931,11 +1958,11 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (.I0(\m_atarget_enc_reg[1] ), .I1(m_atarget_enc[0]), .I2(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), - .I3(\m_ready_d_reg[2]_0 ), + .I3(\m_ready_d_reg[2]_1 ), .I4(m_atarget_enc[1]), .I5(\m_atarget_enc_reg[2] ), - .O(\m_ready_d_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .O(\m_ready_d_reg[2]_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'h0010)) \s_axi_bvalid[0]_INST_0_i_3 @@ -1944,14 +1971,14 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[0]), .O(\s_axi_bvalid[0]_INST_0_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \s_axi_bvalid[0]_INST_0_i_4 (.I0(m_axi_bvalid[3]), .I1(m_atarget_enc[2]), .I2(m_axi_bvalid[1]), - .O(\m_ready_d_reg[2]_0 )); + .O(\m_ready_d_reg[2]_1 )); (* SOFT_HLUTNM = "soft_lutpair10" *) LUT3 #( .INIT(8'hFB)) @@ -1960,30 +1987,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(m_valid_i), .I2(aa_grant_rnw), .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h8)) - \s_axi_rvalid[0]_INST_0 - (.I0(aa_grant_any), - .I1(sr_rvalid), - .O(s_axi_rvalid)); - (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h2)) \s_axi_wready[0]_INST_0 (.I0(aa_grant_any), - .I1(\s_axi_wready[0]_INST_0_i_1_n_0 ), + .I1(\m_atarget_enc_reg[0]_0 ), .O(s_axi_wready)); - LUT6 #( - .INIT(64'h00000000FFF7FFF0)) - \s_axi_wready[0]_INST_0_i_1 - (.I0(m_atarget_enc[0]), - .I1(\s_axi_wready[0]_INST_0_i_2_n_0 ), - .I2(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 ), - .I3(m_atarget_enc[3]), - .I4(\m_atarget_enc_reg[2]_0 ), - .I5(\m_atarget_enc_reg[3]_1 ), - .O(\s_axi_wready[0]_INST_0_i_1_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \s_axi_wready[0]_INST_0_i_2 @@ -1993,22 +2002,22 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_wready[2]), .I4(m_atarget_enc[2]), .I5(m_axi_wready[0]), - .O(\s_axi_wready[0]_INST_0_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) + .O(\m_ready_d_reg[2] )); + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT3 #( .INIT(8'hFB)) - \s_axi_wready[0]_INST_0_i_3 + \s_axi_wready[0]_INST_0_i_4 (.I0(m_ready_d[1]), .I1(m_valid_i), .I2(aa_grant_rnw), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .O(\m_ready_d_reg[2]_3 )); endmodule (* C_AXI_ADDR_WIDTH = "32" *) (* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) (* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "1" *) (* C_AXI_PROTOCOL = "2" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_CONNECTIVITY_MODE = "0" *) (* C_DEBUG = "1" *) -(* C_FAMILY = "zynq" *) (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) +(* C_FAMILY = "zynq" *) (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) (* C_M_AXI_READ_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_READ_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_SECURE = "256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_M_AXI_WRITE_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_WRITE_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_NUM_ADDR_RANGES = "1" *) (* C_NUM_MASTER_SLOTS = "8" *) (* C_NUM_SLAVE_SLOTS = "1" *) (* C_R_REGISTER = "1" *) @@ -2209,19 +2218,19 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar wire [15:0]\^m_axi_araddr ; wire [2:0]\^m_axi_arprot ; wire [7:0]m_axi_arready; - wire [7:0]\^m_axi_arvalid ; + wire [7:0]m_axi_arvalid; wire [255:240]\^m_axi_awaddr ; wire [7:0]m_axi_awready; - wire [7:0]\^m_axi_awvalid ; - wire [7:0]\^m_axi_bready ; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [15:0]m_axi_bresp; wire [7:0]m_axi_bvalid; wire [255:0]m_axi_rdata; - wire [7:0]\^m_axi_rready ; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [7:0]m_axi_rvalid; wire [7:0]m_axi_wready; - wire [7:0]\^m_axi_wvalid ; + wire [7:0]m_axi_wvalid; wire [31:0]s_axi_araddr; wire [2:0]s_axi_arprot; wire [0:0]s_axi_arready; @@ -2490,9 +2499,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_aruser[2] = \<const0> ; assign m_axi_aruser[1] = \<const0> ; assign m_axi_aruser[0] = \<const0> ; - assign m_axi_arvalid[7:4] = \^m_axi_arvalid [7:4]; - assign m_axi_arvalid[3] = \<const0> ; - assign m_axi_arvalid[2:0] = \^m_axi_arvalid [2:0]; assign m_axi_awaddr[255:240] = \^m_axi_awaddr [255:240]; assign m_axi_awaddr[239:224] = \^m_axi_araddr [15:0]; assign m_axi_awaddr[223:208] = \^m_axi_awaddr [255:240]; @@ -2741,15 +2747,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_awuser[2] = \<const0> ; assign m_axi_awuser[1] = \<const0> ; assign m_axi_awuser[0] = \<const0> ; - assign m_axi_awvalid[7:4] = \^m_axi_awvalid [7:4]; - assign m_axi_awvalid[3] = \<const0> ; - assign m_axi_awvalid[2:0] = \^m_axi_awvalid [2:0]; - assign m_axi_bready[7:4] = \^m_axi_bready [7:4]; - assign m_axi_bready[3] = \<const0> ; - assign m_axi_bready[2:0] = \^m_axi_bready [2:0]; - assign m_axi_rready[7:4] = \^m_axi_rready [7:4]; - assign m_axi_rready[3] = \<const0> ; - assign m_axi_rready[2:0] = \^m_axi_rready [2:0]; assign m_axi_wdata[255:224] = s_axi_wdata; assign m_axi_wdata[223:192] = s_axi_wdata; assign m_axi_wdata[191:160] = s_axi_wdata; @@ -2790,9 +2787,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_wuser[2] = \<const0> ; assign m_axi_wuser[1] = \<const0> ; assign m_axi_wuser[0] = \<const0> ; - assign m_axi_wvalid[7:4] = \^m_axi_wvalid [7:4]; - assign m_axi_wvalid[3] = \<const0> ; - assign m_axi_wvalid[2:0] = \^m_axi_wvalid [2:0]; assign s_axi_bid[0] = \<const0> ; assign s_axi_buser[0] = \<const0> ; assign s_axi_rid[0] = \<const0> ; @@ -2805,18 +2799,18 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar .aclk(aclk), .aresetn(aresetn), .m_axi_arready(m_axi_arready), - .m_axi_arvalid({\^m_axi_arvalid [7:4],\^m_axi_arvalid [2:0]}), + .m_axi_arvalid(m_axi_arvalid), .m_axi_awready(m_axi_awready), - .m_axi_awvalid({\^m_axi_awvalid [7:4],\^m_axi_awvalid [2:0]}), - .m_axi_bready({\^m_axi_bready [7:4],\^m_axi_bready [2:0]}), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_bready(m_axi_bready), .m_axi_bresp(m_axi_bresp), .m_axi_bvalid(m_axi_bvalid), .m_axi_rdata(m_axi_rdata), - .m_axi_rready({\^m_axi_rready [7:4],\^m_axi_rready [2:0]}), + .m_axi_rready(m_axi_rready), .m_axi_rresp(m_axi_rresp), .m_axi_rvalid(m_axi_rvalid), .m_axi_wready(m_axi_wready), - .m_axi_wvalid({\^m_axi_wvalid [7:4],\^m_axi_wvalid [2:0]}), + .m_axi_wvalid(m_axi_wvalid), .s_axi_araddr(s_axi_araddr), .s_axi_arprot(s_axi_arprot), .s_axi_arready(s_axi_arready), @@ -2857,12 +2851,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd m_axi_wready, s_axi_wvalid, m_axi_awready, - m_axi_rvalid, s_axi_rready, - m_axi_arready, m_axi_rresp, m_axi_bresp, m_axi_rdata, + m_axi_rvalid, + m_axi_arready, s_axi_arprot, s_axi_arvalid, s_axi_awprot, @@ -2872,16 +2866,16 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd output [34:0]Q; output [33:0]\s_axi_rdata[31] ; output [0:0]s_axi_bvalid; - output [6:0]m_axi_bready; + output [7:0]m_axi_bready; output [0:0]s_axi_wready; - output [6:0]m_axi_wvalid; - output [6:0]m_axi_awvalid; - output [6:0]m_axi_arvalid; + output [7:0]m_axi_wvalid; + output [7:0]m_axi_awvalid; + output [7:0]m_axi_arvalid; output [1:0]s_axi_bresp; output [0:0]s_axi_awready; output [0:0]s_axi_arready; output [0:0]s_axi_rvalid; - output [6:0]m_axi_rready; + output [7:0]m_axi_rready; input aresetn; input aclk; input [7:0]m_axi_bvalid; @@ -2889,12 +2883,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd input [7:0]m_axi_wready; input [0:0]s_axi_wvalid; input [7:0]m_axi_awready; - input [7:0]m_axi_rvalid; input [0:0]s_axi_rready; - input [7:0]m_axi_arready; input [15:0]m_axi_rresp; input [15:0]m_axi_bresp; input [255:0]m_axi_rdata; + input [7:0]m_axi_rvalid; + input [7:0]m_axi_arready; input [2:0]s_axi_arprot; input [0:0]s_axi_arvalid; input [2:0]s_axi_awprot; @@ -2903,39 +2897,42 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd input [0:0]s_axi_awvalid; wire [34:0]Q; + wire aa_grant_any; wire aa_grant_rnw; wire aa_rready; wire aclk; - wire addr_arbiter_inst_n_10; - wire addr_arbiter_inst_n_101; wire addr_arbiter_inst_n_103; wire addr_arbiter_inst_n_104; - wire addr_arbiter_inst_n_105; - wire addr_arbiter_inst_n_11; - wire addr_arbiter_inst_n_12; + wire addr_arbiter_inst_n_106; + wire addr_arbiter_inst_n_107; + wire addr_arbiter_inst_n_108; + wire addr_arbiter_inst_n_109; + wire addr_arbiter_inst_n_110; + wire addr_arbiter_inst_n_113; wire addr_arbiter_inst_n_13; - wire addr_arbiter_inst_n_3; - wire addr_arbiter_inst_n_50; - wire addr_arbiter_inst_n_51; - wire addr_arbiter_inst_n_52; + wire addr_arbiter_inst_n_16; + wire addr_arbiter_inst_n_17; + wire addr_arbiter_inst_n_18; + wire addr_arbiter_inst_n_19; + wire addr_arbiter_inst_n_4; + wire addr_arbiter_inst_n_5; + wire addr_arbiter_inst_n_56; + wire addr_arbiter_inst_n_57; + wire addr_arbiter_inst_n_58; wire addr_arbiter_inst_n_6; - wire addr_arbiter_inst_n_60; - wire addr_arbiter_inst_n_62; - wire addr_arbiter_inst_n_63; - wire addr_arbiter_inst_n_78; - wire addr_arbiter_inst_n_79; - wire addr_arbiter_inst_n_80; - wire addr_arbiter_inst_n_81; - wire addr_arbiter_inst_n_83; - wire addr_arbiter_inst_n_84; - wire addr_arbiter_inst_n_92; + wire addr_arbiter_inst_n_67; + wire addr_arbiter_inst_n_69; + wire addr_arbiter_inst_n_70; + wire addr_arbiter_inst_n_71; + wire addr_arbiter_inst_n_88; + wire addr_arbiter_inst_n_89; + wire addr_arbiter_inst_n_90; + wire addr_arbiter_inst_n_91; wire addr_arbiter_inst_n_93; wire addr_arbiter_inst_n_94; - wire addr_arbiter_inst_n_95; - wire addr_arbiter_inst_n_96; - wire addr_arbiter_inst_n_97; wire aresetn; wire aresetn_d; + wire f_hot2enc_return0; wire \gen_decerr.decerr_slave_inst_n_2 ; wire \gen_decerr.decerr_slave_inst_n_3 ; wire \gen_decerr.decerr_slave_inst_n_4 ; @@ -2943,39 +2940,37 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire \gen_decerr.decerr_slave_inst_n_6 ; wire \gen_decerr.decerr_slave_inst_n_7 ; wire \gen_decerr.decerr_slave_inst_n_8 ; - wire \gen_decerr.decerr_slave_inst_n_9 ; wire [3:0]m_atarget_enc; wire \m_atarget_enc[0]_i_1_n_0 ; wire \m_atarget_enc[1]_i_1_n_0 ; wire \m_atarget_enc[2]_i_1_n_0 ; wire [3:3]m_atarget_enc_comb; wire [8:0]m_atarget_hot; - wire [7:1]m_atarget_hot0; + wire [7:0]m_atarget_hot0; wire [7:0]m_axi_arready; - wire [6:0]m_axi_arvalid; + wire [7:0]m_axi_arvalid; wire [7:0]m_axi_awready; - wire [6:0]m_axi_awvalid; - wire [6:0]m_axi_bready; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [15:0]m_axi_bresp; wire [7:0]m_axi_bvalid; wire [255:0]m_axi_rdata; - wire [6:0]m_axi_rready; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [7:0]m_axi_rvalid; wire [7:0]m_axi_wready; - wire [6:0]m_axi_wvalid; + wire [7:0]m_axi_wvalid; wire [1:0]m_ready_d; + wire [0:0]m_ready_d0; wire [2:0]m_ready_d_0; wire m_valid_i; wire [8:8]mi_bvalid; wire [8:8]mi_wready; wire p_1_in; wire reg_slice_r_n_2; - wire reg_slice_r_n_3; wire reg_slice_r_n_4; wire reg_slice_r_n_5; wire reg_slice_r_n_6; - wire reg_slice_r_n_7; wire reset; wire [31:0]s_axi_araddr; wire [2:0]s_axi_arprot; @@ -2989,9 +2984,8 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire [1:0]s_axi_bresp; wire \s_axi_bresp[0]_INST_0_i_1_n_0 ; wire \s_axi_bresp[0]_INST_0_i_2_n_0 ; + wire \s_axi_bresp[0]_INST_0_i_3_n_0 ; wire \s_axi_bresp[0]_INST_0_i_4_n_0 ; - wire \s_axi_bresp[0]_INST_0_i_5_n_0 ; - wire \s_axi_bresp[0]_INST_0_i_6_n_0 ; wire \s_axi_bresp[1]_INST_0_i_1_n_0 ; wire \s_axi_bresp[1]_INST_0_i_2_n_0 ; wire \s_axi_bresp[1]_INST_0_i_3_n_0 ; @@ -3005,46 +2999,46 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire splitter_aw_n_3; wire splitter_aw_n_4; wire splitter_aw_n_5; - wire splitter_aw_n_6; wire sr_rvalid; system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd addr_arbiter_inst - (.D({addr_arbiter_inst_n_3,m_atarget_hot0[7:6],addr_arbiter_inst_n_6,m_atarget_hot0[4],m_atarget_hot0[2:1],addr_arbiter_inst_n_10}), + (.D({addr_arbiter_inst_n_6,m_atarget_hot0[7:2],addr_arbiter_inst_n_13,m_atarget_hot0[0]}), .E(p_1_in), .Q(Q), .SR(reset), + .aa_grant_any(aa_grant_any), .aa_grant_rnw(aa_grant_rnw), .aclk(aclk), .aresetn_d(aresetn_d), - .\gen_axilite.s_axi_awready_i_reg (addr_arbiter_inst_n_105), - .\gen_axilite.s_axi_awready_i_reg_0 (\gen_decerr.decerr_slave_inst_n_4 ), - .\gen_axilite.s_axi_bvalid_i_reg (addr_arbiter_inst_n_51), - .\gen_axilite.s_axi_bvalid_i_reg_0 (addr_arbiter_inst_n_80), - .\gen_axilite.s_axi_bvalid_i_reg_1 (addr_arbiter_inst_n_104), - .\gen_axilite.s_axi_rvalid_i_reg (addr_arbiter_inst_n_92), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (addr_arbiter_inst_n_60), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (addr_arbiter_inst_n_63), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (addr_arbiter_inst_n_95), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_3 (addr_arbiter_inst_n_97), - .\gen_no_arbiter.m_valid_i_reg_0 (addr_arbiter_inst_n_83), + .f_hot2enc_return0(f_hot2enc_return0), + .\gen_axilite.s_axi_bvalid_i_reg (addr_arbiter_inst_n_57), + .\gen_axilite.s_axi_bvalid_i_reg_0 (addr_arbiter_inst_n_69), + .\gen_axilite.s_axi_bvalid_i_reg_1 (addr_arbiter_inst_n_90), + .\gen_axilite.s_axi_bvalid_i_reg_2 (addr_arbiter_inst_n_113), + .\gen_axilite.s_axi_rvalid_i_reg (addr_arbiter_inst_n_103), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (addr_arbiter_inst_n_67), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (addr_arbiter_inst_n_91), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (addr_arbiter_inst_n_107), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_3 (addr_arbiter_inst_n_108), + .\gen_no_arbiter.m_valid_i_reg_0 (addr_arbiter_inst_n_5), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_101), - .\m_atarget_enc_reg[1] (splitter_aw_n_6), - .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_2 ), - .\m_atarget_enc_reg[1]_1 (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_atarget_enc_reg[1]_2 (reg_slice_r_n_7), - .\m_atarget_enc_reg[2] (\gen_decerr.decerr_slave_inst_n_3 ), - .\m_atarget_enc_reg[2]_0 (splitter_aw_n_4), - .\m_atarget_enc_reg[2]_1 (reg_slice_r_n_6), - .\m_atarget_enc_reg[2]_2 (\gen_decerr.decerr_slave_inst_n_8 ), - .\m_atarget_enc_reg[3] (m_atarget_enc_comb), + .m_atarget_enc_comb(m_atarget_enc_comb), + .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_16), + .\m_atarget_enc_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_5 ), + .\m_atarget_enc_reg[0]_1 (splitter_aw_n_4), + .\m_atarget_enc_reg[0]_2 (reg_slice_r_n_5), + .\m_atarget_enc_reg[0]_3 (reg_slice_r_n_2), + .\m_atarget_enc_reg[1] (splitter_aw_n_5), + .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_3 ), + .\m_atarget_enc_reg[1]_1 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[2] (\gen_decerr.decerr_slave_inst_n_4 ), + .\m_atarget_enc_reg[2]_0 (splitter_aw_n_3), + .\m_atarget_enc_reg[3] (addr_arbiter_inst_n_17), .\m_atarget_enc_reg[3]_0 (\gen_decerr.decerr_slave_inst_n_6 ), - .\m_atarget_enc_reg[3]_1 (\gen_decerr.decerr_slave_inst_n_5 ), - .\m_atarget_hot_reg[2] (addr_arbiter_inst_n_103), - .\m_atarget_hot_reg[7] (addr_arbiter_inst_n_11), - .\m_atarget_hot_reg[7]_0 (addr_arbiter_inst_n_12), - .\m_atarget_hot_reg[7]_1 (addr_arbiter_inst_n_13), - .\m_atarget_hot_reg[8] ({m_atarget_hot[8:4],m_atarget_hot[2:0]}), + .\m_atarget_hot_reg[1] (addr_arbiter_inst_n_19), + .\m_atarget_hot_reg[4] (addr_arbiter_inst_n_18), + .\m_atarget_hot_reg[7] (addr_arbiter_inst_n_104), + .\m_atarget_hot_reg[8] (m_atarget_hot), .m_axi_arready(m_axi_arready), .m_axi_arvalid(m_axi_arvalid), .m_axi_awready(m_axi_awready), @@ -3054,17 +3048,21 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .m_axi_wready({m_axi_wready[7],m_axi_wready[5],m_axi_wready[3],m_axi_wready[1]}), .m_axi_wvalid(m_axi_wvalid), .m_ready_d(m_ready_d_0), + .m_ready_d0(m_ready_d0), .m_ready_d_0(m_ready_d), - .\m_ready_d_reg[0] (addr_arbiter_inst_n_78), - .\m_ready_d_reg[0]_0 (addr_arbiter_inst_n_79), - .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_93), - .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_94), - .\m_ready_d_reg[0]_3 (addr_arbiter_inst_n_96), - .\m_ready_d_reg[2] (addr_arbiter_inst_n_50), - .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_52), - .\m_ready_d_reg[2]_1 (addr_arbiter_inst_n_62), + .\m_ready_d_reg[0] (addr_arbiter_inst_n_88), + .\m_ready_d_reg[0]_0 (addr_arbiter_inst_n_89), + .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_94), + .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_106), + .\m_ready_d_reg[0]_3 (addr_arbiter_inst_n_109), + .\m_ready_d_reg[0]_4 (addr_arbiter_inst_n_110), + .\m_ready_d_reg[2] (addr_arbiter_inst_n_4), + .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_56), + .\m_ready_d_reg[2]_1 (addr_arbiter_inst_n_58), + .\m_ready_d_reg[2]_2 (addr_arbiter_inst_n_70), + .\m_ready_d_reg[2]_3 (addr_arbiter_inst_n_71), .m_valid_i(m_valid_i), - .m_valid_i_reg(reg_slice_r_n_2), + .m_valid_i_reg(addr_arbiter_inst_n_93), .mi_bvalid(mi_bvalid), .mi_wready(mi_wready), .s_axi_araddr(s_axi_araddr), @@ -3078,11 +3076,8 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .s_axi_bready(s_axi_bready), .s_axi_bvalid(s_axi_bvalid), .s_axi_rready(s_axi_rready), - .s_axi_rvalid(s_axi_rvalid), .s_axi_wready(s_axi_wready), .s_axi_wvalid(s_axi_wvalid), - .s_ready_i_reg(addr_arbiter_inst_n_81), - .s_ready_i_reg_0(addr_arbiter_inst_n_84), .sr_rvalid(sr_rvalid)); FDRE #( .INIT(1'b0)) @@ -3098,62 +3093,64 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .aa_rready(aa_rready), .aclk(aclk), .aresetn_d(aresetn_d), - .\gen_no_arbiter.m_grant_hot_i_reg[0] (\gen_decerr.decerr_slave_inst_n_2 ), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_4 ), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (\gen_decerr.decerr_slave_inst_n_6 ), + .\gen_axilite.s_axi_awready_i_reg_0 (addr_arbiter_inst_n_113), + .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_69), + .\gen_no_arbiter.m_grant_hot_i_reg[0] (\gen_decerr.decerr_slave_inst_n_3 ), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_6 ), + .\gen_no_arbiter.m_valid_i_reg (addr_arbiter_inst_n_91), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (splitter_aw_n_3), - .\m_atarget_enc_reg[2] (addr_arbiter_inst_n_52), - .\m_atarget_enc_reg[2]_0 (addr_arbiter_inst_n_97), - .\m_atarget_enc_reg[2]_1 (splitter_aw_n_5), - .\m_atarget_enc_reg[2]_2 (splitter_aw_n_4), - .\m_atarget_enc_reg[2]_3 (addr_arbiter_inst_n_96), - .\m_atarget_enc_reg[2]_4 (addr_arbiter_inst_n_95), - .\m_atarget_enc_reg[2]_5 (addr_arbiter_inst_n_94), - .\m_atarget_enc_reg[2]_6 (addr_arbiter_inst_n_93), - .\m_atarget_hot_reg[8] (addr_arbiter_inst_n_104), - .\m_atarget_hot_reg[8]_0 (addr_arbiter_inst_n_105), + .\m_atarget_enc_reg[0] (splitter_aw_n_4), + .\m_atarget_enc_reg[0]_0 (addr_arbiter_inst_n_106), + .\m_atarget_enc_reg[0]_1 (reg_slice_r_n_5), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_4), + .\m_atarget_enc_reg[2] (reg_slice_r_n_6), + .\m_atarget_enc_reg[2]_0 (addr_arbiter_inst_n_58), + .\m_atarget_enc_reg[2]_1 (addr_arbiter_inst_n_108), + .\m_atarget_enc_reg[2]_2 (addr_arbiter_inst_n_107), + .\m_atarget_enc_reg[2]_3 (addr_arbiter_inst_n_109), + .\m_atarget_enc_reg[2]_4 (addr_arbiter_inst_n_110), .m_axi_awready({m_axi_awready[4],m_axi_awready[0]}), .m_axi_bvalid({m_axi_bvalid[4],m_axi_bvalid[0]}), .m_axi_rvalid({m_axi_rvalid[4],m_axi_rvalid[0]}), .m_axi_wready({m_axi_wready[4],m_axi_wready[0]}), - .m_ready_d(m_ready_d_0[2]), + .m_ready_d(m_ready_d[0]), + .m_ready_d_0(m_ready_d_0[2]), .\m_ready_d_reg[0] (\gen_decerr.decerr_slave_inst_n_7 ), - .\m_ready_d_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_60), - .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_84), - .\m_ready_d_reg[1] (addr_arbiter_inst_n_63), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_92), - .\m_ready_d_reg[2] (\gen_decerr.decerr_slave_inst_n_3 ), - .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_80), + .\m_ready_d_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_67), + .\m_ready_d_reg[1] (addr_arbiter_inst_n_71), + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_103), + .\m_ready_d_reg[2] (\gen_decerr.decerr_slave_inst_n_4 ), + .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_90), + .m_valid_i_reg(\gen_decerr.decerr_slave_inst_n_2 ), .mi_bvalid(mi_bvalid), .mi_wready(mi_wready), - .\s_axi_wready[0] (\gen_decerr.decerr_slave_inst_n_5 ), - .s_ready_i_reg(\gen_decerr.decerr_slave_inst_n_8 )); - (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT5 #( - .INIT(32'h88888088)) + .\s_axi_wready[0] (\gen_decerr.decerr_slave_inst_n_5 )); + LUT6 #( + .INIT(64'h00000000FFFF0B3A)) \m_atarget_enc[0]_i_1 - (.I0(addr_arbiter_inst_n_101), - .I1(aresetn_d), - .I2(addr_arbiter_inst_n_13), - .I3(addr_arbiter_inst_n_12), - .I4(addr_arbiter_inst_n_11), + (.I0(addr_arbiter_inst_n_18), + .I1(addr_arbiter_inst_n_19), + .I2(Q[16]), + .I3(Q[17]), + .I4(addr_arbiter_inst_n_104), + .I5(addr_arbiter_inst_n_16), .O(\m_atarget_enc[0]_i_1_n_0 )); - LUT3 #( - .INIT(8'hA8)) + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT4 #( + .INIT(16'hCC08)) \m_atarget_enc[1]_i_1 - (.I0(aresetn_d), - .I1(addr_arbiter_inst_n_13), - .I2(addr_arbiter_inst_n_103), + (.I0(Q[17]), + .I1(aresetn_d), + .I2(addr_arbiter_inst_n_19), + .I3(addr_arbiter_inst_n_17), .O(\m_atarget_enc[1]_i_1_n_0 )); (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT3 #( - .INIT(8'h8A)) + LUT2 #( + .INIT(4'h8)) \m_atarget_enc[2]_i_1 (.I0(aresetn_d), - .I1(addr_arbiter_inst_n_13), - .I2(addr_arbiter_inst_n_12), + .I1(f_hot2enc_return0), .O(\m_atarget_enc[2]_i_1_n_0 )); FDRE \m_atarget_enc_reg[0] (.C(aclk), @@ -3182,13 +3179,13 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[0] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_10), + .D(m_atarget_hot0[0]), .Q(m_atarget_hot[0]), .R(reset)); FDRE \m_atarget_hot_reg[1] (.C(aclk), .CE(1'b1), - .D(m_atarget_hot0[1]), + .D(addr_arbiter_inst_n_13), .Q(m_atarget_hot[1]), .R(reset)); FDRE \m_atarget_hot_reg[2] @@ -3197,6 +3194,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .D(m_atarget_hot0[2]), .Q(m_atarget_hot[2]), .R(reset)); + FDRE \m_atarget_hot_reg[3] + (.C(aclk), + .CE(1'b1), + .D(m_atarget_hot0[3]), + .Q(m_atarget_hot[3]), + .R(reset)); FDRE \m_atarget_hot_reg[4] (.C(aclk), .CE(1'b1), @@ -3206,7 +3209,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[5] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_6), + .D(m_atarget_hot0[5]), .Q(m_atarget_hot[5]), .R(reset)); FDRE \m_atarget_hot_reg[6] @@ -3224,90 +3227,87 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[8] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_3), + .D(addr_arbiter_inst_n_6), .Q(m_atarget_hot[8]), .R(reset)); system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice reg_slice_r (.E(p_1_in), - .Q({m_atarget_hot[7:4],m_atarget_hot[2:0]}), + .Q(m_atarget_hot[7:0]), .SR(reset), + .aa_grant_any(aa_grant_any), .aa_grant_rnw(aa_grant_rnw), .aa_rready(aa_rready), .aclk(aclk), + .\gen_no_arbiter.m_valid_i_reg (reg_slice_r_n_2), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_81), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_94), + .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[3] (\gen_decerr.decerr_slave_inst_n_2 ), + .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_5), .m_axi_rdata(m_axi_rdata), .m_axi_rready(m_axi_rready), .m_axi_rresp(m_axi_rresp), .m_axi_rvalid({m_axi_rvalid[7:5],m_axi_rvalid[3:1]}), - .m_ready_d(m_ready_d[0]), - .\m_ready_d_reg[1] (reg_slice_r_n_2), + .m_ready_d(m_ready_d), + .m_ready_d0(m_ready_d0), .m_valid_i(m_valid_i), + .m_valid_i_reg_0(reg_slice_r_n_5), + .m_valid_i_reg_1(reg_slice_r_n_6), + .m_valid_i_reg_2(addr_arbiter_inst_n_93), .\s_axi_rdata[31] (\s_axi_rdata[31] ), .s_axi_rready(s_axi_rready), - .s_ready_i_reg_0(reg_slice_r_n_6), - .s_ready_i_reg_1(reg_slice_r_n_7), - .\skid_buffer_reg[2]_0 (reg_slice_r_n_3), + .s_axi_rvalid(s_axi_rvalid), .\skid_buffer_reg[3]_0 (reg_slice_r_n_4), - .\skid_buffer_reg[3]_1 (reg_slice_r_n_5), .sr_rvalid(sr_rvalid)); LUT6 #( - .INIT(64'hFFFFFFFFBAFFBABA)) + .INIT(64'hFFFEFFFEFFFFFFFE)) \s_axi_bresp[0]_INST_0 (.I0(\s_axi_bresp[0]_INST_0_i_1_n_0 ), .I1(\s_axi_bresp[0]_INST_0_i_2_n_0 ), - .I2(m_axi_bresp[10]), - .I3(reg_slice_r_n_5), - .I4(m_axi_bresp[12]), - .I5(\s_axi_bresp[0]_INST_0_i_4_n_0 ), + .I2(\s_axi_bresp[0]_INST_0_i_3_n_0 ), + .I3(\s_axi_bresp[0]_INST_0_i_4_n_0 ), + .I4(m_axi_bresp[0]), + .I5(reg_slice_r_n_4), .O(s_axi_bresp[0])); LUT6 #( - .INIT(64'h0000030800000008)) + .INIT(64'h020C000002000000)) \s_axi_bresp[0]_INST_0_i_1 - (.I0(m_axi_bresp[8]), + (.I0(m_axi_bresp[6]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_bresp[2]), + .I5(m_axi_bresp[12]), .O(\s_axi_bresp[0]_INST_0_i_1_n_0 )); - LUT4 #( - .INIT(16'hFFDF)) + LUT5 #( + .INIT(32'h00140004)) \s_axi_bresp[0]_INST_0_i_2 (.I0(m_atarget_enc[2]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[1]), + .I4(m_axi_bresp[2]), .O(\s_axi_bresp[0]_INST_0_i_2_n_0 )); LUT6 #( - .INIT(64'hFFF4FFFFFFF4FFF4)) - \s_axi_bresp[0]_INST_0_i_4 - (.I0(reg_slice_r_n_4), - .I1(m_axi_bresp[0]), - .I2(\s_axi_bresp[0]_INST_0_i_5_n_0 ), - .I3(\s_axi_bresp[0]_INST_0_i_6_n_0 ), - .I4(reg_slice_r_n_3), - .I5(m_axi_bresp[4]), - .O(\s_axi_bresp[0]_INST_0_i_4_n_0 )); + .INIT(64'h0000C20000000200)) + \s_axi_bresp[0]_INST_0_i_3 + (.I0(m_axi_bresp[8]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_bresp[14]), + .O(\s_axi_bresp[0]_INST_0_i_3_n_0 )); LUT6 #( - .INIT(64'h0E00000002000000)) - \s_axi_bresp[0]_INST_0_i_5 - (.I0(m_axi_bresp[6]), + .INIT(64'h0003080000000800)) + \s_axi_bresp[0]_INST_0_i_4 + (.I0(m_axi_bresp[10]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_bresp[14]), - .O(\s_axi_bresp[0]_INST_0_i_5_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT4 #( - .INIT(16'h0100)) - \s_axi_bresp[0]_INST_0_i_6 - (.I0(m_atarget_enc[0]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\s_axi_bresp[0]_INST_0_i_6_n_0 )); + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[4]), + .O(\s_axi_bresp[0]_INST_0_i_4_n_0 )); LUT6 #( .INIT(64'hFFFEFFFEFFFFFFFE)) \s_axi_bresp[1]_INST_0 @@ -3318,151 +3318,151 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .I4(m_axi_bresp[1]), .I5(reg_slice_r_n_4), .O(s_axi_bresp[1])); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT5 #( - .INIT(32'h10040004)) + LUT6 #( + .INIT(64'h0200030002000000)) \s_axi_bresp[1]_INST_0_i_1 - (.I0(m_atarget_enc[2]), - .I1(m_atarget_enc[3]), - .I2(m_atarget_enc[1]), + (.I0(m_axi_bresp[7]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), - .I4(m_axi_bresp[7]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[3]), .O(\s_axi_bresp[1]_INST_0_i_1_n_0 )); - LUT6 #( - .INIT(64'h0C00080000000800)) + LUT5 #( + .INIT(32'h01800100)) \s_axi_bresp[1]_INST_0_i_2 + (.I0(m_atarget_enc[1]), + .I1(m_atarget_enc[0]), + .I2(m_atarget_enc[2]), + .I3(m_atarget_enc[3]), + .I4(m_axi_bresp[15]), + .O(\s_axi_bresp[1]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h000B000000080000)) + \s_axi_bresp[1]_INST_0_i_3 (.I0(m_axi_bresp[13]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_bresp[15]), - .O(\s_axi_bresp[1]_INST_0_i_2_n_0 )); + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[5]), + .O(\s_axi_bresp[1]_INST_0_i_3_n_0 )); LUT6 #( .INIT(64'h0000230000002000)) - \s_axi_bresp[1]_INST_0_i_3 + \s_axi_bresp[1]_INST_0_i_4 (.I0(m_axi_bresp[11]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_bresp[9]), - .O(\s_axi_bresp[1]_INST_0_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000002C00000020)) - \s_axi_bresp[1]_INST_0_i_4 - (.I0(m_axi_bresp[3]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_bresp[5]), .O(\s_axi_bresp[1]_INST_0_i_4_n_0 )); system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 splitter_ar (.aclk(aclk), .aresetn_d(aresetn_d), .m_atarget_enc({m_atarget_enc[3],m_atarget_enc[0]}), - .\m_atarget_enc_reg[1] (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_atarget_enc_reg[1]_0 (addr_arbiter_inst_n_83), + .\m_atarget_enc_reg[1] (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[1]_0 (addr_arbiter_inst_n_94), .m_ready_d(m_ready_d), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_92), - .m_valid_i_reg(reg_slice_r_n_2)); + .m_ready_d0(m_ready_d0), + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_103)); system_design_xbar_0_axi_crossbar_v2_1_10_splitter splitter_aw (.aclk(aclk), .aresetn_d(aresetn_d), - .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_51), - .\gen_no_arbiter.m_grant_hot_i_reg[0] (splitter_aw_n_3), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (splitter_aw_n_4), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (splitter_aw_n_5), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (splitter_aw_n_6), + .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_57), + .\gen_no_arbiter.m_grant_hot_i_reg[0] (splitter_aw_n_5), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_50), - .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_78), - .\m_atarget_enc_reg[2] (addr_arbiter_inst_n_96), + .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_106), + .\m_atarget_enc_reg[0]_0 (addr_arbiter_inst_n_56), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_88), .\m_atarget_enc_reg[3] (\gen_decerr.decerr_slave_inst_n_7 ), - .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_79), + .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_89), .m_axi_bvalid({m_axi_bvalid[7],m_axi_bvalid[5],m_axi_bvalid[3],m_axi_bvalid[1]}), .m_axi_wready({m_axi_wready[6],m_axi_wready[4],m_axi_wready[2],m_axi_wready[0]}), .m_ready_d(m_ready_d_0), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_62)); + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_70), + .\m_ready_d_reg[2]_0 (splitter_aw_n_3), + .\m_ready_d_reg[2]_1 (splitter_aw_n_4)); endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_decerr_slave" *) module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave (mi_bvalid, mi_wready, + m_valid_i_reg, \gen_no_arbiter.m_grant_hot_i_reg[0] , \m_ready_d_reg[2] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , \s_axi_wready[0] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , + \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , \m_ready_d_reg[0] , - s_ready_i_reg, \m_ready_d_reg[0]_0 , SR, - \m_atarget_hot_reg[8] , + \gen_axilite.s_axi_awready_i_reg_0 , aclk, - \m_atarget_hot_reg[8]_0 , \m_atarget_enc_reg[2] , m_atarget_enc, + m_ready_d, + \gen_no_arbiter.m_valid_i_reg , + aa_rready, \m_atarget_enc_reg[2]_0 , + \m_atarget_enc_reg[2]_1 , \m_ready_d_reg[0]_1 , m_axi_bvalid, - \m_atarget_enc_reg[0] , - \m_atarget_enc_reg[2]_1 , - \m_atarget_enc_reg[2]_2 , + \m_atarget_enc_reg[1] , \m_ready_d_reg[1] , + \m_atarget_enc_reg[0] , m_axi_wready, - \m_atarget_enc_reg[2]_3 , - \m_atarget_enc_reg[2]_4 , + \m_atarget_enc_reg[0]_0 , + \m_atarget_enc_reg[2]_2 , \m_ready_d_reg[2]_0 , - m_ready_d, + m_ready_d_0, m_axi_awready, - m_axi_rvalid, - \m_ready_d_reg[0]_2 , - \m_atarget_enc_reg[2]_5 , - \m_atarget_enc_reg[2]_6 , + \m_atarget_enc_reg[2]_3 , + \m_atarget_enc_reg[2]_4 , \m_ready_d_reg[1]_0 , - aa_rready, + m_axi_rvalid, + \m_atarget_enc_reg[0]_1 , Q, - aresetn_d); + aresetn_d, + \gen_no_arbiter.grant_rnw_reg ); output [0:0]mi_bvalid; output [0:0]mi_wready; + output m_valid_i_reg; output \gen_no_arbiter.m_grant_hot_i_reg[0] ; output \m_ready_d_reg[2] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output \s_axi_wready[0] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; + output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output \m_ready_d_reg[0] ; - output s_ready_i_reg; output \m_ready_d_reg[0]_0 ; input [0:0]SR; - input \m_atarget_hot_reg[8] ; + input \gen_axilite.s_axi_awready_i_reg_0 ; input aclk; - input \m_atarget_hot_reg[8]_0 ; input \m_atarget_enc_reg[2] ; input [3:0]m_atarget_enc; + input [0:0]m_ready_d; + input \gen_no_arbiter.m_valid_i_reg ; + input aa_rready; input \m_atarget_enc_reg[2]_0 ; + input \m_atarget_enc_reg[2]_1 ; input \m_ready_d_reg[0]_1 ; input [1:0]m_axi_bvalid; - input \m_atarget_enc_reg[0] ; - input \m_atarget_enc_reg[2]_1 ; - input \m_atarget_enc_reg[2]_2 ; + input \m_atarget_enc_reg[1] ; input \m_ready_d_reg[1] ; + input \m_atarget_enc_reg[0] ; input [1:0]m_axi_wready; - input \m_atarget_enc_reg[2]_3 ; - input \m_atarget_enc_reg[2]_4 ; + input \m_atarget_enc_reg[0]_0 ; + input \m_atarget_enc_reg[2]_2 ; input \m_ready_d_reg[2]_0 ; - input [0:0]m_ready_d; + input [0:0]m_ready_d_0; input [1:0]m_axi_awready; - input [1:0]m_axi_rvalid; - input \m_ready_d_reg[0]_2 ; - input \m_atarget_enc_reg[2]_5 ; - input \m_atarget_enc_reg[2]_6 ; + input \m_atarget_enc_reg[2]_3 ; + input \m_atarget_enc_reg[2]_4 ; input \m_ready_d_reg[1]_0 ; - input aa_rready; + input [1:0]m_axi_rvalid; + input \m_atarget_enc_reg[0]_1 ; input [0:0]Q; input aresetn_d; + input \gen_no_arbiter.grant_rnw_reg ; wire [0:0]Q; wire [0:0]SR; @@ -3470,50 +3470,54 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave wire aclk; wire aresetn_d; wire \gen_axilite.s_axi_arready_i_i_1_n_0 ; + wire \gen_axilite.s_axi_awready_i_i_1_n_0 ; + wire \gen_axilite.s_axi_awready_i_reg_0 ; wire \gen_axilite.s_axi_rvalid_i_i_1_n_0 ; + wire \gen_no_arbiter.grant_rnw_reg ; wire \gen_no_arbiter.m_grant_hot_i_reg[0] ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; + wire \gen_no_arbiter.m_valid_i_reg ; wire [3:0]m_atarget_enc; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; + wire \m_atarget_enc_reg[0]_1 ; + wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[2]_0 ; wire \m_atarget_enc_reg[2]_1 ; wire \m_atarget_enc_reg[2]_2 ; wire \m_atarget_enc_reg[2]_3 ; wire \m_atarget_enc_reg[2]_4 ; - wire \m_atarget_enc_reg[2]_5 ; - wire \m_atarget_enc_reg[2]_6 ; - wire \m_atarget_hot_reg[8] ; - wire \m_atarget_hot_reg[8]_0 ; wire [1:0]m_axi_awready; wire [1:0]m_axi_bvalid; wire [1:0]m_axi_rvalid; wire [1:0]m_axi_wready; wire [0:0]m_ready_d; + wire [0:0]m_ready_d_0; wire \m_ready_d_reg[0] ; wire \m_ready_d_reg[0]_0 ; wire \m_ready_d_reg[0]_1 ; - wire \m_ready_d_reg[0]_2 ; wire \m_ready_d_reg[1] ; wire \m_ready_d_reg[1]_0 ; wire \m_ready_d_reg[2] ; wire \m_ready_d_reg[2]_0 ; + wire m_valid_i_i_5_n_0; + wire m_valid_i_reg; wire [8:8]mi_arready; wire [0:0]mi_bvalid; wire [8:8]mi_rvalid; wire [0:0]mi_wready; wire \s_axi_wready[0] ; + wire \s_axi_wready[0]_INST_0_i_3_n_0 ; wire \s_axi_wready[0]_INST_0_i_6_n_0 ; - wire s_ready_i_reg; LUT5 #( - .INIT(32'h8A8A828A)) + .INIT(32'hAA0AA20A)) \gen_axilite.s_axi_arready_i_i_1 (.I0(aresetn_d), - .I1(mi_arready), + .I1(Q), .I2(mi_rvalid), - .I3(Q), + .I3(mi_arready), .I4(\m_ready_d_reg[1]_0 ), .O(\gen_axilite.s_axi_arready_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_arready_i_reg @@ -3522,26 +3526,35 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .D(\gen_axilite.s_axi_arready_i_i_1_n_0 ), .Q(mi_arready), .R(1'b0)); + LUT5 #( + .INIT(32'hFFBF0040)) + \gen_axilite.s_axi_awready_i_i_1 + (.I0(mi_bvalid), + .I1(\gen_no_arbiter.grant_rnw_reg ), + .I2(Q), + .I3(\m_ready_d_reg[2]_0 ), + .I4(mi_wready), + .O(\gen_axilite.s_axi_awready_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_awready_i_reg (.C(aclk), .CE(1'b1), - .D(\m_atarget_hot_reg[8]_0 ), + .D(\gen_axilite.s_axi_awready_i_i_1_n_0 ), .Q(mi_wready), .R(SR)); FDRE \gen_axilite.s_axi_bvalid_i_reg (.C(aclk), .CE(1'b1), - .D(\m_atarget_hot_reg[8] ), + .D(\gen_axilite.s_axi_awready_i_reg_0 ), .Q(mi_bvalid), .R(SR)); LUT5 #( - .INIT(32'h0FFF4400)) + .INIT(32'h0F44FF00)) \gen_axilite.s_axi_rvalid_i_i_1 (.I0(\m_ready_d_reg[1]_0 ), .I1(mi_arready), .I2(aa_rready), - .I3(Q), - .I4(mi_rvalid), + .I3(mi_rvalid), + .I4(Q), .O(\gen_axilite.s_axi_rvalid_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_rvalid_i_reg (.C(aclk), @@ -3551,47 +3564,37 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .R(SR)); LUT6 #( .INIT(64'hFFFFFFFF000044F4)) - \gen_no_arbiter.m_valid_i_i_7 + \gen_no_arbiter.m_valid_i_i_5 (.I0(m_atarget_enc[3]), - .I1(\m_atarget_enc_reg[2]_3 ), - .I2(\m_atarget_enc_reg[2]_4 ), + .I1(\m_atarget_enc_reg[0]_0 ), + .I2(\m_atarget_enc_reg[2]_2 ), .I3(\s_axi_wready[0]_INST_0_i_6_n_0 ), .I4(\m_ready_d_reg[2]_0 ), - .I5(m_ready_d), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .I5(m_ready_d_0), + .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); LUT6 #( .INIT(64'hFFFFFFFFFC74FF74)) - \gen_no_arbiter.m_valid_i_i_9 - (.I0(\m_atarget_enc_reg[2] ), + \gen_no_arbiter.m_valid_i_i_8 + (.I0(\m_atarget_enc_reg[2]_0 ), .I1(m_atarget_enc[1]), - .I2(\m_atarget_enc_reg[2]_0 ), + .I2(\m_atarget_enc_reg[2]_1 ), .I3(m_atarget_enc[3]), .I4(mi_bvalid), .I5(\m_ready_d_reg[0]_1 ), .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT6 #( - .INIT(64'h0000000030B800B8)) + .INIT(64'h0000000030740074)) \m_ready_d[1]_i_4 - (.I0(\m_atarget_enc_reg[2]_5 ), + (.I0(\m_atarget_enc_reg[2]_3 ), .I1(m_atarget_enc[1]), - .I2(\m_atarget_enc_reg[2]_6 ), + .I2(\m_atarget_enc_reg[2]_4 ), .I3(m_atarget_enc[3]), .I4(mi_arready), .I5(\m_ready_d_reg[1]_0 ), .O(\m_ready_d_reg[0]_0 )); - LUT6 #( - .INIT(64'h00000000202030FF)) - \m_ready_d[2]_i_10 - (.I0(mi_wready), - .I1(\m_atarget_enc_reg[0] ), - .I2(\m_atarget_enc_reg[2]_1 ), - .I3(\m_atarget_enc_reg[2]_2 ), - .I4(m_atarget_enc[3]), - .I5(\m_ready_d_reg[1] ), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); LUT6 #( .INIT(64'h0000000000003E0E)) - \m_ready_d[2]_i_6 + \m_ready_d[2]_i_8 (.I0(m_axi_awready[0]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[2]), @@ -3600,15 +3603,25 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .I5(\m_ready_d_reg[2]_0 ), .O(\m_ready_d_reg[0] )); LUT6 #( - .INIT(64'h0000000033E200E2)) - m_valid_i_i_6 + .INIT(64'hFFFFFF0E00000000)) + m_valid_i_i_2 + (.I0(\m_atarget_enc_reg[2] ), + .I1(m_atarget_enc[3]), + .I2(m_valid_i_i_5_n_0), + .I3(m_ready_d), + .I4(\gen_no_arbiter.m_valid_i_reg ), + .I5(aa_rready), + .O(m_valid_i_reg)); + LUT6 #( + .INIT(64'h000033E2000000E2)) + m_valid_i_i_5 (.I0(m_axi_rvalid[0]), .I1(m_atarget_enc[2]), .I2(m_axi_rvalid[1]), .I3(m_atarget_enc[3]), - .I4(mi_rvalid), - .I5(\m_ready_d_reg[0]_2 ), - .O(s_ready_i_reg)); + .I4(\m_atarget_enc_reg[0]_1 ), + .I5(mi_rvalid), + .O(m_valid_i_i_5_n_0)); LUT6 #( .INIT(64'hFFFFFFFFCC1DFF1D)) \s_axi_bvalid[0]_INST_0_i_5 @@ -3619,16 +3632,26 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .I4(mi_bvalid), .I5(\m_ready_d_reg[0]_1 ), .O(\m_ready_d_reg[2] )); + LUT6 #( + .INIT(64'h0F0F0F070F0F0F00)) + \s_axi_wready[0]_INST_0_i_1 + (.I0(m_atarget_enc[0]), + .I1(\m_atarget_enc_reg[1] ), + .I2(\s_axi_wready[0]_INST_0_i_3_n_0 ), + .I3(\m_ready_d_reg[1] ), + .I4(m_atarget_enc[3]), + .I5(\m_atarget_enc_reg[0] ), + .O(\s_axi_wready[0] )); LUT6 #( .INIT(64'h0000000000003E0E)) - \s_axi_wready[0]_INST_0_i_5 + \s_axi_wready[0]_INST_0_i_3 (.I0(m_axi_wready[0]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[2]), .I3(m_axi_wready[1]), .I4(\s_axi_wready[0]_INST_0_i_6_n_0 ), .I5(\m_ready_d_reg[1] ), - .O(\s_axi_wready[0] )); + .O(\s_axi_wready[0]_INST_0_i_3_n_0 )); LUT4 #( .INIT(16'hEFEE)) \s_axi_wready[0]_INST_0_i_6 @@ -3642,37 +3665,35 @@ endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) module system_design_xbar_0_axi_crossbar_v2_1_10_splitter (m_ready_d, + \m_ready_d_reg[2]_0 , + \m_ready_d_reg[2]_1 , \gen_no_arbiter.m_grant_hot_i_reg[0] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_2 , m_atarget_enc, \m_atarget_enc_reg[1] , \m_atarget_enc_reg[3] , - \m_atarget_enc_reg[2] , + \m_atarget_enc_reg[0] , \m_atarget_enc_reg[3]_0 , m_axi_wready, m_axi_bvalid, aresetn_d, \gen_no_arbiter.grant_rnw_reg , - \m_atarget_enc_reg[0] , + \m_atarget_enc_reg[0]_0 , \m_ready_d_reg[1]_0 , aclk); output [2:0]m_ready_d; + output \m_ready_d_reg[2]_0 ; + output \m_ready_d_reg[2]_1 ; output \gen_no_arbiter.m_grant_hot_i_reg[0] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; input [3:0]m_atarget_enc; input \m_atarget_enc_reg[1] ; input \m_atarget_enc_reg[3] ; - input \m_atarget_enc_reg[2] ; + input \m_atarget_enc_reg[0] ; input \m_atarget_enc_reg[3]_0 ; input [3:0]m_axi_wready; input [3:0]m_axi_bvalid; input aresetn_d; input \gen_no_arbiter.grant_rnw_reg ; - input \m_atarget_enc_reg[0] ; + input \m_atarget_enc_reg[0]_0 ; input \m_ready_d_reg[1]_0 ; input aclk; @@ -3680,13 +3701,10 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter wire aresetn_d; wire \gen_no_arbiter.grant_rnw_reg ; wire \gen_no_arbiter.m_grant_hot_i_reg[0] ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; wire [3:0]m_atarget_enc; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; wire \m_atarget_enc_reg[1] ; - wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[3] ; wire \m_atarget_enc_reg[3]_0 ; wire [3:0]m_axi_bvalid; @@ -3695,46 +3713,41 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter wire \m_ready_d[0]_i_1_n_0 ; wire \m_ready_d[1]_i_1_n_0 ; wire \m_ready_d[2]_i_1_n_0 ; - wire \m_ready_d[2]_i_3_n_0 ; + wire \m_ready_d[2]_i_4_n_0 ; wire \m_ready_d_reg[1]_0 ; + wire \m_ready_d_reg[2]_0 ; + wire \m_ready_d_reg[2]_1 ; LUT6 #( - .INIT(64'hAA02AA02AA020000)) + .INIT(64'hAA08AA08AA080000)) \m_ready_d[0]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[0]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000AAAA00A8)) + .INIT(64'h0000AAAA000000A2)) \m_ready_d[1]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[1]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000AAAA000000A8)) + .INIT(64'h00000000AAAA00A2)) \m_ready_d[2]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair51" *) - LUT2 #( - .INIT(4'hE)) - \m_ready_d[2]_i_11 - (.I0(m_atarget_enc[0]), - .I1(m_atarget_enc[1]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT4 #( .INIT(16'h3B38)) \m_ready_d[2]_i_12 @@ -3742,17 +3755,17 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_axi_wready[0]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .O(\m_ready_d_reg[2]_0 )); LUT6 #( .INIT(64'h000F000F00000007)) - \m_ready_d[2]_i_3 + \m_ready_d[2]_i_4 (.I0(m_atarget_enc[0]), .I1(\m_atarget_enc_reg[1] ), .I2(m_ready_d[2]), .I3(\m_atarget_enc_reg[3] ), - .I4(\m_atarget_enc_reg[2] ), + .I4(\m_atarget_enc_reg[0] ), .I5(\m_atarget_enc_reg[3]_0 ), - .O(\m_ready_d[2]_i_3_n_0 )); + .O(\m_ready_d[2]_i_4_n_0 )); FDRE \m_ready_d_reg[0] (.C(aclk), .CE(1'b1), @@ -3780,17 +3793,16 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter .I3(m_axi_bvalid[2]), .I4(m_atarget_enc[2]), .I5(m_axi_bvalid[0]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_2 )); - (* SOFT_HLUTNM = "soft_lutpair51" *) + .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT5 #( - .INIT(32'hFF47FFFF)) - \s_axi_wready[0]_INST_0_i_4 - (.I0(m_axi_wready[3]), - .I1(m_atarget_enc[2]), + .INIT(32'hBBBFFFBF)) + \s_axi_wready[0]_INST_0_i_5 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), .I2(m_axi_wready[1]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); + .I3(m_atarget_enc[2]), + .I4(m_axi_wready[3]), + .O(\m_ready_d_reg[2]_1 )); endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) @@ -3801,7 +3813,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 \m_ready_d_reg[1]_0 , \m_atarget_enc_reg[1]_0 , aresetn_d, - m_valid_i_reg, + m_ready_d0, aclk); output [1:0]m_ready_d; input \m_atarget_enc_reg[1] ; @@ -3809,7 +3821,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 input \m_ready_d_reg[1]_0 ; input \m_atarget_enc_reg[1]_0 ; input aresetn_d; - input m_valid_i_reg; + input [0:0]m_ready_d0; input aclk; wire aclk; @@ -3818,38 +3830,38 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[1]_0 ; wire [1:0]m_ready_d; - wire [1:1]m_ready_d0; + wire [0:0]m_ready_d0; wire \m_ready_d[0]_i_1_n_0 ; wire \m_ready_d[1]_i_1_n_0 ; + wire \m_ready_d[1]_i_3_n_0 ; wire \m_ready_d_reg[1]_0 ; - wire m_valid_i_reg; - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( - .INIT(8'h02)) + .INIT(8'h80)) \m_ready_d[0]_i_1 (.I0(aresetn_d), .I1(m_ready_d0), - .I2(m_valid_i_reg), + .I2(\m_ready_d[1]_i_3_n_0 ), .O(\m_ready_d[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( - .INIT(8'h80)) + .INIT(8'h02)) \m_ready_d[1]_i_1 (.I0(aresetn_d), .I1(m_ready_d0), - .I2(m_valid_i_reg), + .I2(\m_ready_d[1]_i_3_n_0 ), .O(\m_ready_d[1]_i_1_n_0 )); LUT6 #( - .INIT(64'hAEAEAEFEAEAEAEAE)) - \m_ready_d[1]_i_2 + .INIT(64'h5151510151515151)) + \m_ready_d[1]_i_3 (.I0(m_ready_d[1]), .I1(\m_atarget_enc_reg[1] ), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[1]), .I4(\m_ready_d_reg[1]_0 ), .I5(\m_atarget_enc_reg[1]_0 ), - .O(m_ready_d0)); + .O(\m_ready_d[1]_i_3_n_0 )); FDRE \m_ready_d_reg[0] (.C(aclk), .CE(1'b1), @@ -3868,75 +3880,93 @@ endmodule module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice (sr_rvalid, aa_rready, - \m_ready_d_reg[1] , - \skid_buffer_reg[2]_0 , + \gen_no_arbiter.m_valid_i_reg , + m_ready_d0, \skid_buffer_reg[3]_0 , - \skid_buffer_reg[3]_1 , - s_ready_i_reg_0, - s_ready_i_reg_1, + m_valid_i_reg_0, + m_valid_i_reg_1, + s_axi_rvalid, m_axi_rready, \s_axi_rdata[31] , aclk, - \m_atarget_enc_reg[0] , - E, + \m_atarget_enc_reg[3] , + m_valid_i_reg_2, + \m_atarget_enc_reg[1] , + \m_atarget_enc_reg[3]_0 , + m_atarget_enc, + \m_atarget_enc_reg[1]_0 , + m_ready_d, s_axi_rready, - aa_grant_rnw, m_valid_i, - m_ready_d, + aa_grant_rnw, m_axi_rresp, - m_atarget_enc, m_axi_rdata, m_axi_rvalid, + aa_grant_any, Q, - SR); + SR, + E); output sr_rvalid; output aa_rready; - output \m_ready_d_reg[1] ; - output \skid_buffer_reg[2]_0 ; + output \gen_no_arbiter.m_valid_i_reg ; + output [0:0]m_ready_d0; output \skid_buffer_reg[3]_0 ; - output \skid_buffer_reg[3]_1 ; - output s_ready_i_reg_0; - output s_ready_i_reg_1; - output [6:0]m_axi_rready; + output m_valid_i_reg_0; + output m_valid_i_reg_1; + output [0:0]s_axi_rvalid; + output [7:0]m_axi_rready; output [33:0]\s_axi_rdata[31] ; input aclk; - input \m_atarget_enc_reg[0] ; - input [0:0]E; + input \m_atarget_enc_reg[3] ; + input m_valid_i_reg_2; + input \m_atarget_enc_reg[1] ; + input \m_atarget_enc_reg[3]_0 ; + input [3:0]m_atarget_enc; + input \m_atarget_enc_reg[1]_0 ; + input [1:0]m_ready_d; input [0:0]s_axi_rready; - input aa_grant_rnw; input m_valid_i; - input [0:0]m_ready_d; + input aa_grant_rnw; input [15:0]m_axi_rresp; - input [3:0]m_atarget_enc; input [255:0]m_axi_rdata; input [5:0]m_axi_rvalid; - input [6:0]Q; + input aa_grant_any; + input [7:0]Q; input [0:0]SR; + input [0:0]E; wire [0:0]E; - wire [6:0]Q; + wire [7:0]Q; wire [0:0]SR; + wire aa_grant_any; wire aa_grant_rnw; wire aa_rready; wire aclk; wire \aresetn_d_reg_n_0_[0] ; wire \aresetn_d_reg_n_0_[1] ; + wire \gen_no_arbiter.m_valid_i_reg ; wire [3:0]m_atarget_enc; - wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[1] ; + wire \m_atarget_enc_reg[1]_0 ; + wire \m_atarget_enc_reg[3] ; + wire \m_atarget_enc_reg[3]_0 ; wire [255:0]m_axi_rdata; - wire [6:0]m_axi_rready; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [5:0]m_axi_rvalid; wire \m_payload_i_reg_n_0_[0] ; - wire [0:0]m_ready_d; - wire \m_ready_d_reg[1] ; + wire [1:0]m_ready_d; + wire [0:0]m_ready_d0; wire m_valid_i; wire m_valid_i_i_1_n_0; + wire m_valid_i_i_6_n_0; + wire m_valid_i_reg_0; + wire m_valid_i_reg_1; + wire m_valid_i_reg_2; wire [33:0]\s_axi_rdata[31] ; wire [0:0]s_axi_rready; + wire [0:0]s_axi_rvalid; wire s_ready_i_i_1_n_0; - wire s_ready_i_reg_0; - wire s_ready_i_reg_1; wire [34:0]skid_buffer; wire \skid_buffer[10]_i_1_n_0 ; wire \skid_buffer[10]_i_2_n_0 ; @@ -4049,6 +4079,8 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer[34]_i_3_n_0 ; wire \skid_buffer[34]_i_4_n_0 ; wire \skid_buffer[34]_i_5_n_0 ; + wire \skid_buffer[34]_i_6_n_0 ; + wire \skid_buffer[34]_i_7_n_0 ; wire \skid_buffer[3]_i_1_n_0 ; wire \skid_buffer[3]_i_2_n_0 ; wire \skid_buffer[3]_i_3_n_0 ; @@ -4077,9 +4109,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer[9]_i_2_n_0 ; wire \skid_buffer[9]_i_3_n_0 ; wire \skid_buffer[9]_i_4_n_0 ; - wire \skid_buffer_reg[2]_0 ; wire \skid_buffer_reg[3]_0 ; - wire \skid_buffer_reg[3]_1 ; wire \skid_buffer_reg_n_0_[0] ; wire \skid_buffer_reg_n_0_[10] ; wire \skid_buffer_reg_n_0_[11] ; @@ -4133,55 +4163,73 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .D(\aresetn_d_reg_n_0_[0] ), .Q(\aresetn_d_reg_n_0_[1] ), .R(SR)); + LUT6 #( + .INIT(64'hAAAAAAAA80AA8000)) + \gen_no_arbiter.m_valid_i_i_4 + (.I0(m_ready_d0), + .I1(\m_atarget_enc_reg[1] ), + .I2(\m_atarget_enc_reg[3]_0 ), + .I3(m_atarget_enc[0]), + .I4(\m_atarget_enc_reg[1]_0 ), + .I5(m_ready_d[1]), + .O(\gen_no_arbiter.m_valid_i_reg )); + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[0]_INST_0 (.I0(aa_rready), .I1(Q[0]), .O(m_axi_rready[0])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[1]_INST_0 (.I0(aa_rready), .I1(Q[1]), .O(m_axi_rready[1])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[2]_INST_0 (.I0(aa_rready), .I1(Q[2]), .O(m_axi_rready[2])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[4]_INST_0 + \m_axi_rready[3]_INST_0 (.I0(aa_rready), .I1(Q[3]), .O(m_axi_rready[3])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[5]_INST_0 + \m_axi_rready[4]_INST_0 (.I0(aa_rready), .I1(Q[4]), .O(m_axi_rready[4])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[6]_INST_0 + \m_axi_rready[5]_INST_0 (.I0(aa_rready), .I1(Q[5]), .O(m_axi_rready[5])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair48" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[7]_INST_0 + \m_axi_rready[6]_INST_0 (.I0(aa_rready), .I1(Q[6]), .O(m_axi_rready[6])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT2 #( + .INIT(4'h8)) + \m_axi_rready[7]_INST_0 + (.I0(aa_rready), + .I1(Q[7]), + .O(m_axi_rready[7])); + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1 @@ -4189,7 +4237,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[10] ), .O(skid_buffer[10])); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1 @@ -4197,7 +4245,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[11] ), .O(skid_buffer[11])); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1 @@ -4205,7 +4253,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[12] ), .O(skid_buffer[12])); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1 @@ -4213,7 +4261,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[13] ), .O(skid_buffer[13])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1 @@ -4221,7 +4269,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[14] ), .O(skid_buffer[14])); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1 @@ -4229,7 +4277,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[15] ), .O(skid_buffer[15])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1 @@ -4237,7 +4285,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[16] ), .O(skid_buffer[16])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1 @@ -4245,7 +4293,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[17] ), .O(skid_buffer[17])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1 @@ -4253,7 +4301,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[18] ), .O(skid_buffer[18])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1 @@ -4261,7 +4309,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[19] ), .O(skid_buffer[19])); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1 @@ -4269,7 +4317,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[1] ), .O(skid_buffer[1])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1 @@ -4277,7 +4325,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[20] ), .O(skid_buffer[20])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1 @@ -4285,7 +4333,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[21] ), .O(skid_buffer[21])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1 @@ -4293,7 +4341,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[22] ), .O(skid_buffer[22])); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1 @@ -4301,7 +4349,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[23] ), .O(skid_buffer[23])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1 @@ -4309,7 +4357,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[24] ), .O(skid_buffer[24])); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1 @@ -4317,7 +4365,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[25] ), .O(skid_buffer[25])); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1 @@ -4325,7 +4373,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[26] ), .O(skid_buffer[26])); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1 @@ -4333,7 +4381,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[27] ), .O(skid_buffer[27])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1 @@ -4341,7 +4389,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[28] ), .O(skid_buffer[28])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1 @@ -4349,7 +4397,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[29] ), .O(skid_buffer[29])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1 @@ -4357,7 +4405,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[2] ), .O(skid_buffer[2])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1 @@ -4365,7 +4413,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[30] ), .O(skid_buffer[30])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_1 @@ -4373,7 +4421,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[31] ), .O(skid_buffer[31])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1 @@ -4381,7 +4429,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[32] ), .O(skid_buffer[32])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1 @@ -4389,7 +4437,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[33] ), .O(skid_buffer[33])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_2 @@ -4397,7 +4445,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[34] ), .O(skid_buffer[34])); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1 @@ -4405,7 +4453,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[3] ), .O(skid_buffer[3])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1 @@ -4413,7 +4461,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[4] ), .O(skid_buffer[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1 @@ -4421,7 +4469,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[5] ), .O(skid_buffer[5])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1 @@ -4429,7 +4477,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[6] ), .O(skid_buffer[6])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1 @@ -4437,7 +4485,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[7] ), .O(skid_buffer[7])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1 @@ -4445,7 +4493,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[8] ), .O(skid_buffer[8])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1 @@ -4664,79 +4712,77 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .Q(\s_axi_rdata[31] [8]), .R(1'b0)); LUT6 #( - .INIT(64'h000000007FFFFFFF)) - \m_ready_d[1]_i_3 - (.I0(sr_rvalid), - .I1(\m_payload_i_reg_n_0_[0] ), + .INIT(64'hFFFFFFFF80000000)) + \m_ready_d[1]_i_2 + (.I0(\m_payload_i_reg_n_0_[0] ), + .I1(sr_rvalid), .I2(s_axi_rready), - .I3(aa_grant_rnw), - .I4(m_valid_i), - .I5(m_ready_d), - .O(\m_ready_d_reg[1] )); + .I3(m_valid_i), + .I4(aa_grant_rnw), + .I5(m_ready_d[0]), + .O(m_ready_d0)); (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT4 #( - .INIT(16'hA2AA)) + LUT2 #( + .INIT(4'hE)) + \m_ready_d[2]_i_11 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), + .O(m_valid_i_reg_0)); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hA2)) m_valid_i_i_1 (.I0(\aresetn_d_reg_n_0_[1] ), - .I1(aa_rready), - .I2(\m_atarget_enc_reg[0] ), - .I3(E), + .I1(\m_atarget_enc_reg[3] ), + .I2(m_valid_i_reg_2), .O(m_valid_i_i_1_n_0)); + LUT6 #( + .INIT(64'h000047FFFFFF47FF)) + m_valid_i_i_4 + (.I0(m_axi_rvalid[4]), + .I1(m_atarget_enc[2]), + .I2(m_axi_rvalid[1]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_valid_i_i_6_n_0), + .O(m_valid_i_reg_1)); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) - m_valid_i_i_3 + m_valid_i_i_6 (.I0(m_axi_rvalid[5]), .I1(m_axi_rvalid[2]), .I2(m_atarget_enc[1]), .I3(m_axi_rvalid[3]), .I4(m_atarget_enc[2]), .I5(m_axi_rvalid[0]), - .O(s_ready_i_reg_1)); - LUT3 #( - .INIT(8'h35)) - m_valid_i_i_5 - (.I0(m_axi_rvalid[1]), - .I1(m_axi_rvalid[4]), - .I2(m_atarget_enc[2]), - .O(s_ready_i_reg_0)); + .O(m_valid_i_i_6_n_0)); FDRE m_valid_i_reg (.C(aclk), .CE(1'b1), .D(m_valid_i_i_1_n_0), .Q(sr_rvalid), .R(1'b0)); - LUT4 #( - .INIT(16'hFFDF)) - \s_axi_bresp[0]_INST_0_i_3 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\skid_buffer_reg[3]_1 )); - LUT4 #( - .INIT(16'hFFFD)) - \s_axi_bresp[0]_INST_0_i_7 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\skid_buffer_reg[2]_0 )); LUT4 #( .INIT(16'hFFFE)) \s_axi_bresp[1]_INST_0_i_5 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), .O(\skid_buffer_reg[3]_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT4 #( - .INIT(16'hAA08)) + LUT2 #( + .INIT(4'h8)) + \s_axi_rvalid[0]_INST_0 + (.I0(sr_rvalid), + .I1(aa_grant_any), + .O(s_axi_rvalid)); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hA2)) s_ready_i_i_1 (.I0(\aresetn_d_reg_n_0_[0] ), - .I1(aa_rready), - .I2(\m_atarget_enc_reg[0] ), - .I3(E), + .I1(m_valid_i_reg_2), + .I2(\m_atarget_enc_reg[3] ), .O(s_ready_i_i_1_n_0)); FDRE s_ready_i_reg (.C(aclk), @@ -4757,397 +4803,397 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[10]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[71]), .I2(\skid_buffer[10]_i_2_n_0 ), .I3(\skid_buffer[10]_i_3_n_0 ), .I4(\skid_buffer[10]_i_4_n_0 ), .O(\skid_buffer[10]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[10]_i_2 + (.I0(m_axi_rdata[199]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[231]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[7]), + .O(\skid_buffer[10]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[10]_i_3 (.I0(m_axi_rdata[167]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[135]), - .O(\skid_buffer[10]_i_2_n_0 )); + .O(\skid_buffer[10]_i_3_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) - \skid_buffer[10]_i_3 + \skid_buffer[10]_i_4 (.I0(m_axi_rdata[39]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[103]), - .O(\skid_buffer[10]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[10]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[7]), - .I2(m_axi_rdata[199]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[231]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[10]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[11]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[72]), .I2(\skid_buffer[11]_i_2_n_0 ), .I3(\skid_buffer[11]_i_3_n_0 ), .I4(\skid_buffer[11]_i_4_n_0 ), .O(\skid_buffer[11]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[11]_i_2 - (.I0(m_axi_rdata[168]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[136]), + (.I0(m_axi_rdata[232]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[200]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[8]), .O(\skid_buffer[11]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[11]_i_3 - (.I0(m_axi_rdata[104]), + (.I0(m_axi_rdata[40]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[40]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[104]), .O(\skid_buffer[11]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0000230000002000)) \skid_buffer[11]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[8]), - .I2(m_axi_rdata[232]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[200]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[168]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[136]), .O(\skid_buffer[11]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[12]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[73]), .I2(\skid_buffer[12]_i_2_n_0 ), .I3(\skid_buffer[12]_i_3_n_0 ), .I4(\skid_buffer[12]_i_4_n_0 ), .O(\skid_buffer[12]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[12]_i_2 - (.I0(m_axi_rdata[169]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[137]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[9]), + .I2(m_axi_rdata[233]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[201]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[12]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[12]_i_3 - (.I0(m_axi_rdata[105]), + (.I0(m_axi_rdata[41]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[41]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[105]), .O(\skid_buffer[12]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[12]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[9]), - .I2(m_axi_rdata[233]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[201]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[137]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[169]), .O(\skid_buffer[12]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[13]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[74]), .I2(\skid_buffer[13]_i_2_n_0 ), .I3(\skid_buffer[13]_i_3_n_0 ), .I4(\skid_buffer[13]_i_4_n_0 ), .O(\skid_buffer[13]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[13]_i_2 - (.I0(m_axi_rdata[170]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[138]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[10]), + .I2(m_axi_rdata[202]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[234]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[13]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[13]_i_3 - (.I0(m_axi_rdata[42]), + (.I0(m_axi_rdata[138]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[106]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[170]), .O(\skid_buffer[13]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[13]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[10]), - .I2(m_axi_rdata[234]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[202]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[106]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[42]), .O(\skid_buffer[13]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[14]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[75]), .I2(\skid_buffer[14]_i_2_n_0 ), .I3(\skid_buffer[14]_i_3_n_0 ), .I4(\skid_buffer[14]_i_4_n_0 ), .O(\skid_buffer[14]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[14]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[11]), + .I2(m_axi_rdata[235]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[203]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[14]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[14]_i_3 (.I0(m_axi_rdata[139]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[171]), - .O(\skid_buffer[14]_i_2_n_0 )); + .O(\skid_buffer[14]_i_3_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) - \skid_buffer[14]_i_3 + \skid_buffer[14]_i_4 (.I0(m_axi_rdata[43]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[107]), - .O(\skid_buffer[14]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[14]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[11]), - .I2(m_axi_rdata[235]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[203]), - .I5(\skid_buffer_reg[3]_1 ), .O(\skid_buffer[14]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[15]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[76]), .I2(\skid_buffer[15]_i_2_n_0 ), .I3(\skid_buffer[15]_i_3_n_0 ), .I4(\skid_buffer[15]_i_4_n_0 ), .O(\skid_buffer[15]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[15]_i_2 - (.I0(m_axi_rdata[172]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[140]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[12]), + .I2(m_axi_rdata[204]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[236]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[15]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[15]_i_3 - (.I0(m_axi_rdata[108]), + (.I0(m_axi_rdata[140]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[44]), + .I5(m_axi_rdata[172]), .O(\skid_buffer[15]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[15]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[12]), - .I2(m_axi_rdata[236]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[204]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[44]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[108]), .O(\skid_buffer[15]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[16]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[77]), .I2(\skid_buffer[16]_i_2_n_0 ), .I3(\skid_buffer[16]_i_3_n_0 ), .I4(\skid_buffer[16]_i_4_n_0 ), .O(\skid_buffer[16]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[16]_i_2 - (.I0(m_axi_rdata[173]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[141]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[13]), + .I2(m_axi_rdata[205]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[237]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[16]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[16]_i_3 + (.I0(m_axi_rdata[141]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[173]), + .O(\skid_buffer[16]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[16]_i_4 (.I0(m_axi_rdata[45]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[109]), - .O(\skid_buffer[16]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[16]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[13]), - .I2(m_axi_rdata[205]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[237]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[16]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[17]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[78]), .I2(\skid_buffer[17]_i_2_n_0 ), .I3(\skid_buffer[17]_i_3_n_0 ), .I4(\skid_buffer[17]_i_4_n_0 ), .O(\skid_buffer[17]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[17]_i_2 - (.I0(m_axi_rdata[174]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[142]), + (.I0(m_axi_rdata[206]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[238]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[14]), .O(\skid_buffer[17]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[17]_i_3 - (.I0(m_axi_rdata[46]), + (.I0(m_axi_rdata[142]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[110]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[174]), .O(\skid_buffer[17]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[17]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[14]), - .I2(m_axi_rdata[238]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[206]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[110]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[46]), .O(\skid_buffer[17]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[18]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[79]), .I2(\skid_buffer[18]_i_2_n_0 ), .I3(\skid_buffer[18]_i_3_n_0 ), .I4(\skid_buffer[18]_i_4_n_0 ), .O(\skid_buffer[18]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[18]_i_2 - (.I0(m_axi_rdata[47]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[111]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[15]), + .I2(m_axi_rdata[239]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[207]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[18]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h00000C0800000008)) \skid_buffer[18]_i_3 - (.I0(m_axi_rdata[175]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[143]), + (.I0(m_axi_rdata[143]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[175]), .O(\skid_buffer[18]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[18]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[15]), - .I2(m_axi_rdata[239]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[207]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[47]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[111]), .O(\skid_buffer[18]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[19]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[80]), .I2(\skid_buffer[19]_i_2_n_0 ), .I3(\skid_buffer[19]_i_3_n_0 ), .I4(\skid_buffer[19]_i_4_n_0 ), .O(\skid_buffer[19]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[19]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[16]), + .I2(m_axi_rdata[240]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[208]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[19]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[19]_i_3 (.I0(m_axi_rdata[144]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[176]), - .O(\skid_buffer[19]_i_2_n_0 )); + .O(\skid_buffer[19]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[19]_i_3 + \skid_buffer[19]_i_4 (.I0(m_axi_rdata[112]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[48]), - .O(\skid_buffer[19]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[19]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[16]), - .I2(m_axi_rdata[208]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[240]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[19]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFF4)) \skid_buffer[1]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rresp[4]), .I2(\skid_buffer[1]_i_2_n_0 ), .I3(\skid_buffer[1]_i_3_n_0 ), @@ -5155,140 +5201,140 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(\skid_buffer[1]_i_5_n_0 ), .O(\skid_buffer[1]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h080C000008000000)) \skid_buffer[1]_i_2 - (.I0(m_axi_rresp[2]), + (.I0(m_axi_rresp[14]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[6]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[12]), .O(\skid_buffer[1]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0000230000002000)) + LUT5 #( + .INIT(32'h00050004)) \skid_buffer[1]_i_3 - (.I0(m_axi_rresp[10]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[8]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), + .I4(m_axi_rresp[0]), .O(\skid_buffer[1]_i_3_n_0 )); - LUT5 #( - .INIT(32'h01010100)) + LUT6 #( + .INIT(64'h00000C0800000008)) \skid_buffer[1]_i_4 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .I4(m_axi_rresp[0]), + (.I0(m_axi_rresp[8]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[10]), .O(\skid_buffer[1]_i_4_n_0 )); LUT6 #( - .INIT(64'h0C00080000000800)) + .INIT(64'h0302000000020000)) \skid_buffer[1]_i_5 - (.I0(m_axi_rresp[12]), + (.I0(m_axi_rresp[2]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[14]), + .I5(m_axi_rresp[6]), .O(\skid_buffer[1]_i_5_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[20]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[81]), .I2(\skid_buffer[20]_i_2_n_0 ), .I3(\skid_buffer[20]_i_3_n_0 ), .I4(\skid_buffer[20]_i_4_n_0 ), .O(\skid_buffer[20]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[20]_i_2 + (.I0(m_axi_rdata[209]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[241]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[17]), + .O(\skid_buffer[20]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[20]_i_3 (.I0(m_axi_rdata[145]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[177]), - .O(\skid_buffer[20]_i_2_n_0 )); + .O(\skid_buffer[20]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[20]_i_3 + \skid_buffer[20]_i_4 (.I0(m_axi_rdata[113]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[49]), - .O(\skid_buffer[20]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[20]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[17]), - .I2(m_axi_rdata[209]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[241]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[20]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[21]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[82]), .I2(\skid_buffer[21]_i_2_n_0 ), .I3(\skid_buffer[21]_i_3_n_0 ), .I4(\skid_buffer[21]_i_4_n_0 ), .O(\skid_buffer[21]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[21]_i_2 - (.I0(m_axi_rdata[178]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[146]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[18]), + .I2(m_axi_rdata[210]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[242]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[21]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[21]_i_3 - (.I0(m_axi_rdata[114]), + (.I0(m_axi_rdata[146]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[50]), + .I5(m_axi_rdata[178]), .O(\skid_buffer[21]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[21]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[18]), - .I2(m_axi_rdata[242]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[210]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[50]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[114]), .O(\skid_buffer[21]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[22]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[83]), .I2(\skid_buffer[22]_i_2_n_0 ), .I3(\skid_buffer[22]_i_3_n_0 ), .I4(\skid_buffer[22]_i_4_n_0 ), .O(\skid_buffer[22]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[22]_i_2 - (.I0(m_axi_rdata[51]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[115]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[19]), + .I2(m_axi_rdata[211]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[243]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[22]_i_2_n_0 )); LUT6 #( .INIT(64'h00000C0800000008)) @@ -5301,111 +5347,111 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[179]), .O(\skid_buffer[22]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[22]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[19]), - .I2(m_axi_rdata[211]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[243]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[115]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[51]), .O(\skid_buffer[22]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[23]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[84]), .I2(\skid_buffer[23]_i_2_n_0 ), .I3(\skid_buffer[23]_i_3_n_0 ), .I4(\skid_buffer[23]_i_4_n_0 ), .O(\skid_buffer[23]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[23]_i_2 - (.I0(m_axi_rdata[180]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[148]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[20]), + .I2(m_axi_rdata[212]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[244]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[23]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[23]_i_3 - (.I0(m_axi_rdata[52]), + (.I0(m_axi_rdata[148]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[116]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[180]), .O(\skid_buffer[23]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[23]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[20]), - .I2(m_axi_rdata[244]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[212]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[116]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[52]), .O(\skid_buffer[23]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[24]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[85]), .I2(\skid_buffer[24]_i_2_n_0 ), .I3(\skid_buffer[24]_i_3_n_0 ), .I4(\skid_buffer[24]_i_4_n_0 ), .O(\skid_buffer[24]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[24]_i_2 - (.I0(m_axi_rdata[149]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[181]), + (.I0(m_axi_rdata[213]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[245]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[21]), .O(\skid_buffer[24]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[24]_i_3 + (.I0(m_axi_rdata[181]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[149]), + .O(\skid_buffer[24]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[24]_i_4 (.I0(m_axi_rdata[53]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[117]), - .O(\skid_buffer[24]_i_3_n_0 )); - LUT6 #( - .INIT(64'h22F2FFFF22F222F2)) - \skid_buffer[24]_i_4 - (.I0(m_axi_rdata[245]), - .I1(\skid_buffer[34]_i_5_n_0 ), - .I2(m_axi_rdata[213]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(\skid_buffer_reg[3]_0 ), - .I5(m_axi_rdata[21]), .O(\skid_buffer[24]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[25]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[86]), .I2(\skid_buffer[25]_i_2_n_0 ), .I3(\skid_buffer[25]_i_3_n_0 ), .I4(\skid_buffer[25]_i_4_n_0 ), .O(\skid_buffer[25]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[25]_i_2 - (.I0(m_axi_rdata[150]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[182]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[22]), + .I2(m_axi_rdata[214]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[246]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[25]_i_2_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) @@ -5418,175 +5464,175 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[118]), .O(\skid_buffer[25]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0000230000002000)) \skid_buffer[25]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[22]), - .I2(m_axi_rdata[214]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[246]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[182]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[150]), .O(\skid_buffer[25]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[26]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[87]), .I2(\skid_buffer[26]_i_2_n_0 ), .I3(\skid_buffer[26]_i_3_n_0 ), .I4(\skid_buffer[26]_i_4_n_0 ), .O(\skid_buffer[26]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[26]_i_2 - (.I0(m_axi_rdata[151]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[183]), + (.I0(m_axi_rdata[215]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[247]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[23]), .O(\skid_buffer[26]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[26]_i_3 - (.I0(m_axi_rdata[119]), + (.I0(m_axi_rdata[55]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[55]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[119]), .O(\skid_buffer[26]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[26]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[23]), - .I2(m_axi_rdata[215]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[247]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[151]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[183]), .O(\skid_buffer[26]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[27]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[88]), .I2(\skid_buffer[27]_i_2_n_0 ), .I3(\skid_buffer[27]_i_3_n_0 ), .I4(\skid_buffer[27]_i_4_n_0 ), .O(\skid_buffer[27]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[27]_i_2 - (.I0(m_axi_rdata[184]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[152]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[24]), + .I2(m_axi_rdata[248]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[216]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[27]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[27]_i_3 - (.I0(m_axi_rdata[120]), + (.I0(m_axi_rdata[152]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[56]), + .I5(m_axi_rdata[184]), .O(\skid_buffer[27]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[27]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[24]), - .I2(m_axi_rdata[216]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[248]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[56]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[120]), .O(\skid_buffer[27]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[28]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[89]), .I2(\skid_buffer[28]_i_2_n_0 ), .I3(\skid_buffer[28]_i_3_n_0 ), .I4(\skid_buffer[28]_i_4_n_0 ), .O(\skid_buffer[28]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[28]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[25]), + .I2(m_axi_rdata[217]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[249]), + .I5(\skid_buffer[34]_i_7_n_0 ), + .O(\skid_buffer[28]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[28]_i_3 (.I0(m_axi_rdata[153]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[185]), - .O(\skid_buffer[28]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[28]_i_3 - (.I0(m_axi_rdata[121]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[57]), .O(\skid_buffer[28]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[28]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[25]), - .I2(m_axi_rdata[217]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[249]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[57]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[121]), .O(\skid_buffer[28]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[29]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[90]), .I2(\skid_buffer[29]_i_2_n_0 ), .I3(\skid_buffer[29]_i_3_n_0 ), .I4(\skid_buffer[29]_i_4_n_0 ), .O(\skid_buffer[29]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[29]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[26]), + .I2(m_axi_rdata[250]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[218]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[29]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[29]_i_3 (.I0(m_axi_rdata[186]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[154]), - .O(\skid_buffer[29]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[29]_i_3 - (.I0(m_axi_rdata[122]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[58]), .O(\skid_buffer[29]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[29]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[26]), - .I2(m_axi_rdata[218]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[250]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[58]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[122]), .O(\skid_buffer[29]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFF4)) \skid_buffer[2]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rresp[5]), .I2(\skid_buffer[2]_i_2_n_0 ), .I3(\skid_buffer[2]_i_3_n_0 ), @@ -5594,382 +5640,399 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(\skid_buffer[2]_i_5_n_0 ), .O(\skid_buffer[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000C80000000800)) \skid_buffer[2]_i_2 - (.I0(m_axi_rresp[3]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[7]), - .O(\skid_buffer[2]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0000230000002000)) - \skid_buffer[2]_i_3 - (.I0(m_axi_rresp[11]), + (.I0(m_axi_rresp[13]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[9]), - .O(\skid_buffer[2]_i_3_n_0 )); + .I5(m_axi_rresp[15]), + .O(\skid_buffer[2]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT5 #( - .INIT(32'h01010100)) - \skid_buffer[2]_i_4 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), + .INIT(32'h00050004)) + \skid_buffer[2]_i_3 + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), .I4(m_axi_rresp[1]), + .O(\skid_buffer[2]_i_3_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[2]_i_4 + (.I0(m_axi_rresp[9]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[11]), .O(\skid_buffer[2]_i_4_n_0 )); LUT6 #( - .INIT(64'h00008C0000008000)) + .INIT(64'h0200030002000000)) \skid_buffer[2]_i_5 - (.I0(m_axi_rresp[15]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[13]), + (.I0(m_axi_rresp[7]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[3]), .O(\skid_buffer[2]_i_5_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[30]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[91]), .I2(\skid_buffer[30]_i_2_n_0 ), .I3(\skid_buffer[30]_i_3_n_0 ), .I4(\skid_buffer[30]_i_4_n_0 ), .O(\skid_buffer[30]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[30]_i_2 - (.I0(m_axi_rdata[187]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[155]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[27]), + .I2(m_axi_rdata[219]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[251]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[30]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[30]_i_3 - (.I0(m_axi_rdata[123]), + (.I0(m_axi_rdata[155]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[59]), + .I5(m_axi_rdata[187]), .O(\skid_buffer[30]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[30]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[27]), - .I2(m_axi_rdata[219]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[251]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[123]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[59]), .O(\skid_buffer[30]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[31]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[92]), .I2(\skid_buffer[31]_i_2_n_0 ), .I3(\skid_buffer[31]_i_3_n_0 ), .I4(\skid_buffer[31]_i_4_n_0 ), .O(\skid_buffer[31]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[31]_i_2 - (.I0(m_axi_rdata[188]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[156]), + (.I0(m_axi_rdata[252]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[220]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[28]), .O(\skid_buffer[31]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[31]_i_3 - (.I0(m_axi_rdata[124]), + (.I0(m_axi_rdata[60]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[60]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[124]), .O(\skid_buffer[31]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[31]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[28]), - .I2(m_axi_rdata[252]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[220]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[156]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[188]), .O(\skid_buffer[31]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[32]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[93]), .I2(\skid_buffer[32]_i_2_n_0 ), .I3(\skid_buffer[32]_i_3_n_0 ), .I4(\skid_buffer[32]_i_4_n_0 ), .O(\skid_buffer[32]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[32]_i_2 - (.I0(m_axi_rdata[157]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[189]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[29]), + .I2(m_axi_rdata[253]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[221]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[32]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0000230000002000)) \skid_buffer[32]_i_3 + (.I0(m_axi_rdata[189]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[157]), + .O(\skid_buffer[32]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0200030002000000)) + \skid_buffer[32]_i_4 (.I0(m_axi_rdata[125]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[61]), - .O(\skid_buffer[32]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[32]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[29]), - .I2(m_axi_rdata[221]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[253]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[32]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[33]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[94]), .I2(\skid_buffer[33]_i_2_n_0 ), .I3(\skid_buffer[33]_i_3_n_0 ), .I4(\skid_buffer[33]_i_4_n_0 ), .O(\skid_buffer[33]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[33]_i_2 - (.I0(m_axi_rdata[158]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[190]), + (.I0(m_axi_rdata[254]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[222]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[30]), .O(\skid_buffer[33]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0000230000002000)) \skid_buffer[33]_i_3 + (.I0(m_axi_rdata[190]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[158]), + .O(\skid_buffer[33]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0200030002000000)) + \skid_buffer[33]_i_4 (.I0(m_axi_rdata[126]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[62]), - .O(\skid_buffer[33]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[33]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[30]), - .I2(m_axi_rdata[222]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[254]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[33]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[34]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[95]), - .I2(\skid_buffer[34]_i_2_n_0 ), - .I3(\skid_buffer[34]_i_3_n_0 ), - .I4(\skid_buffer[34]_i_4_n_0 ), + .I2(\skid_buffer[34]_i_3_n_0 ), + .I3(\skid_buffer[34]_i_4_n_0 ), + .I4(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[34]_i_1_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) + LUT4 #( + .INIT(16'hFEFF)) \skid_buffer[34]_i_2 - (.I0(m_axi_rdata[127]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[63]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[1]), .O(\skid_buffer[34]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[34]_i_3 - (.I0(m_axi_rdata[191]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[159]), + (.I0(m_axi_rdata[223]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[255]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[31]), .O(\skid_buffer[34]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[34]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[31]), - .I2(m_axi_rdata[223]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[255]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[159]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[191]), .O(\skid_buffer[34]_i_4_n_0 )); - LUT4 #( - .INIT(16'hDFFF)) + LUT6 #( + .INIT(64'h0302000000020000)) \skid_buffer[34]_i_5 + (.I0(m_axi_rdata[63]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[127]), + .O(\skid_buffer[34]_i_5_n_0 )); + LUT4 #( + .INIT(16'hFDFF)) + \skid_buffer[34]_i_6 (.I0(m_atarget_enc[2]), .I1(m_atarget_enc[3]), - .I2(m_atarget_enc[1]), - .I3(m_atarget_enc[0]), - .O(\skid_buffer[34]_i_5_n_0 )); + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[1]), + .O(\skid_buffer[34]_i_6_n_0 )); + LUT4 #( + .INIT(16'hFF7F)) + \skid_buffer[34]_i_7 + (.I0(m_atarget_enc[1]), + .I1(m_atarget_enc[0]), + .I2(m_atarget_enc[2]), + .I3(m_atarget_enc[3]), + .O(\skid_buffer[34]_i_7_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[3]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[64]), .I2(\skid_buffer[3]_i_2_n_0 ), .I3(\skid_buffer[3]_i_3_n_0 ), .I4(\skid_buffer[3]_i_4_n_0 ), .O(\skid_buffer[3]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[3]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[0]), + .I2(m_axi_rdata[224]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[192]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[3]_i_3 (.I0(m_axi_rdata[160]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[128]), - .O(\skid_buffer[3]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[3]_i_3 - (.I0(m_axi_rdata[96]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[32]), .O(\skid_buffer[3]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[3]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[0]), - .I2(m_axi_rdata[192]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[224]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[32]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[96]), .O(\skid_buffer[3]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[4]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[65]), .I2(\skid_buffer[4]_i_2_n_0 ), .I3(\skid_buffer[4]_i_3_n_0 ), .I4(\skid_buffer[4]_i_4_n_0 ), .O(\skid_buffer[4]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[4]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[1]), + .I2(m_axi_rdata[193]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[225]), + .I5(\skid_buffer[34]_i_7_n_0 ), + .O(\skid_buffer[4]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[4]_i_3 (.I0(m_axi_rdata[161]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[129]), - .O(\skid_buffer[4]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0302000000020000)) - \skid_buffer[4]_i_3 - (.I0(m_axi_rdata[33]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[97]), .O(\skid_buffer[4]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[4]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[1]), - .I2(m_axi_rdata[225]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[193]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[97]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[33]), .O(\skid_buffer[4]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[5]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[66]), .I2(\skid_buffer[5]_i_2_n_0 ), .I3(\skid_buffer[5]_i_3_n_0 ), .I4(\skid_buffer[5]_i_4_n_0 ), .O(\skid_buffer[5]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[5]_i_2 - (.I0(m_axi_rdata[162]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[130]), + (.I0(m_axi_rdata[194]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[226]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[2]), .O(\skid_buffer[5]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[5]_i_3 - (.I0(m_axi_rdata[98]), + (.I0(m_axi_rdata[130]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[34]), + .I5(m_axi_rdata[162]), .O(\skid_buffer[5]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[5]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[2]), - .I2(m_axi_rdata[194]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[226]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[34]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[98]), .O(\skid_buffer[5]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[6]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[67]), .I2(\skid_buffer[6]_i_2_n_0 ), .I3(\skid_buffer[6]_i_3_n_0 ), .I4(\skid_buffer[6]_i_4_n_0 ), .O(\skid_buffer[6]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[6]_i_2 - (.I0(m_axi_rdata[163]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[131]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[3]), + .I2(m_axi_rdata[227]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[195]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[6]_i_2_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) @@ -5982,131 +6045,131 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[99]), .O(\skid_buffer[6]_i_3_n_0 )); LUT6 #( - .INIT(64'h22F2FFFF22F222F2)) + .INIT(64'h0000230000002000)) \skid_buffer[6]_i_4 - (.I0(m_axi_rdata[227]), - .I1(\skid_buffer[34]_i_5_n_0 ), - .I2(m_axi_rdata[195]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(\skid_buffer_reg[3]_0 ), - .I5(m_axi_rdata[3]), + (.I0(m_axi_rdata[163]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[131]), .O(\skid_buffer[6]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[7]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[68]), .I2(\skid_buffer[7]_i_2_n_0 ), .I3(\skid_buffer[7]_i_3_n_0 ), .I4(\skid_buffer[7]_i_4_n_0 ), .O(\skid_buffer[7]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[7]_i_2 + (.I0(m_axi_rdata[228]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[196]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[4]), + .O(\skid_buffer[7]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[7]_i_3 (.I0(m_axi_rdata[132]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[164]), - .O(\skid_buffer[7]_i_2_n_0 )); + .O(\skid_buffer[7]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[7]_i_3 + \skid_buffer[7]_i_4 (.I0(m_axi_rdata[100]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[36]), - .O(\skid_buffer[7]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[7]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[4]), - .I2(m_axi_rdata[196]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[228]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[7]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[8]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[69]), .I2(\skid_buffer[8]_i_2_n_0 ), .I3(\skid_buffer[8]_i_3_n_0 ), .I4(\skid_buffer[8]_i_4_n_0 ), .O(\skid_buffer[8]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[8]_i_2 - (.I0(m_axi_rdata[133]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[165]), + (.I0(m_axi_rdata[197]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[229]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[5]), .O(\skid_buffer[8]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[8]_i_3 + (.I0(m_axi_rdata[165]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[133]), + .O(\skid_buffer[8]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[8]_i_4 (.I0(m_axi_rdata[37]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[101]), - .O(\skid_buffer[8]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[8]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[5]), - .I2(m_axi_rdata[229]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[197]), - .I5(\skid_buffer_reg[3]_1 ), .O(\skid_buffer[8]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[9]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[70]), .I2(\skid_buffer[9]_i_2_n_0 ), .I3(\skid_buffer[9]_i_3_n_0 ), .I4(\skid_buffer[9]_i_4_n_0 ), .O(\skid_buffer[9]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[9]_i_2 - (.I0(m_axi_rdata[134]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[166]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[6]), + .I2(m_axi_rdata[230]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[198]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[9]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[9]_i_3 - (.I0(m_axi_rdata[38]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[102]), + (.I0(m_axi_rdata[166]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[134]), .O(\skid_buffer[9]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[9]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[6]), - .I2(m_axi_rdata[198]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[230]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[102]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[38]), .O(\skid_buffer[9]_i_4_n_0 )); FDRE \skid_buffer_reg[0] (.C(aclk), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl index 11b8f7b5..1ae3b3d8 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:34 2017 +-- Date : Mon Dec 18 11:25:01 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl @@ -18,45 +18,48 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is port ( m_valid_i : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); + aa_grant_any : out STD_LOGIC; aa_grant_rnw : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 7 downto 0 ); - \m_atarget_hot_reg[7]\ : out STD_LOGIC; - \m_atarget_hot_reg[7]_0\ : out STD_LOGIC; - \m_atarget_hot_reg[7]_1\ : out STD_LOGIC; + \m_ready_d_reg[2]\ : out STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg_0\ : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 8 downto 0 ); + m_atarget_enc_comb : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]\ : out STD_LOGIC; + \m_atarget_enc_reg[3]\ : out STD_LOGIC; + \m_atarget_hot_reg[4]\ : out STD_LOGIC; + \m_atarget_hot_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 34 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_ready_d_reg[2]\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg\ : out STD_LOGIC; \m_ready_d_reg[2]_0\ : out STD_LOGIC; - m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + \gen_axilite.s_axi_bvalid_i_reg\ : out STD_LOGIC; + \m_ready_d_reg[2]_1\ : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_ready_d_reg[2]_1\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; - m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); + \gen_axilite.s_axi_bvalid_i_reg_0\ : out STD_LOGIC; + \m_ready_d_reg[2]_2\ : out STD_LOGIC; + \m_ready_d_reg[2]_3\ : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); \m_ready_d_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[0]_0\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg_0\ : out STD_LOGIC; - s_ready_i_reg : out STD_LOGIC; + \gen_axilite.s_axi_bvalid_i_reg_1\ : out STD_LOGIC; + \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_no_arbiter.m_valid_i_reg_0\ : out STD_LOGIC; - s_ready_i_reg_0 : out STD_LOGIC; - m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \gen_axilite.s_axi_rvalid_i_reg\ : out STD_LOGIC; + m_valid_i_reg : out STD_LOGIC; \m_ready_d_reg[0]_1\ : out STD_LOGIC; + m_axi_arvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + \gen_axilite.s_axi_rvalid_i_reg\ : out STD_LOGIC; + \m_atarget_hot_reg[7]\ : out STD_LOGIC; + f_hot2enc_return0 : out STD_LOGIC; \m_ready_d_reg[0]_2\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ : out STD_LOGIC; - \m_ready_d_reg[0]_3\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ : out STD_LOGIC; + \m_ready_d_reg[0]_3\ : out STD_LOGIC; + \m_ready_d_reg[0]_4\ : out STD_LOGIC; s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_enc_reg[0]\ : out STD_LOGIC; - \m_atarget_enc_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_hot_reg[2]\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg_1\ : out STD_LOGIC; - \gen_axilite.s_axi_awready_i_reg\ : out STD_LOGIC; + \gen_axilite.s_axi_bvalid_i_reg_2\ : out STD_LOGIC; aclk : in STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_ready_d : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -65,31 +68,30 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; \m_atarget_enc_reg[2]\ : in STD_LOGIC; - \m_atarget_hot_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \m_atarget_hot_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_axilite.s_axi_awready_i_reg_0\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_1\ : in STD_LOGIC; + mi_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]_2\ : in STD_LOGIC; \m_atarget_enc_reg[2]_0\ : in STD_LOGIC; - \m_atarget_enc_reg[3]_1\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg : in STD_LOGIC; \m_atarget_enc_reg[1]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[1]_2\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; + m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); sr_rvalid : in STD_LOGIC; - m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - mi_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]_3\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; @@ -99,45 +101,45 @@ end system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is signal \^q\ : STD_LOGIC_VECTOR ( 34 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal aa_grant_any : STD_LOGIC; + signal \^aa_grant_any\ : STD_LOGIC; signal \^aa_grant_rnw\ : STD_LOGIC; - signal \gen_axilite.s_axi_bvalid_i_i_2_n_0\ : STD_LOGIC; signal \^gen_axilite.s_axi_bvalid_i_reg\ : STD_LOGIC; signal \^gen_axilite.s_axi_bvalid_i_reg_0\ : STD_LOGIC; + signal \^gen_axilite.s_axi_bvalid_i_reg_1\ : STD_LOGIC; signal \gen_no_arbiter.grant_rnw_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\ : STD_LOGIC; signal \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_2_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_3_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_6_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_8_n_0\ : STD_LOGIC; - signal \^gen_no_arbiter.m_valid_i_reg_0\ : STD_LOGIC; + signal \gen_no_arbiter.m_valid_i_i_7_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ : STD_LOGIC; - signal \m_atarget_enc[0]_i_3_n_0\ : STD_LOGIC; - signal \m_atarget_enc[0]_i_4_n_0\ : STD_LOGIC; - signal \m_atarget_hot[1]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_enc[2]_i_3_n_0\ : STD_LOGIC; + signal \m_atarget_enc[3]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_enc[3]_i_4_n_0\ : STD_LOGIC; + signal \^m_atarget_enc_comb\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_atarget_enc_reg[3]\ : STD_LOGIC; + signal \m_atarget_hot[0]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_hot[0]_i_3_n_0\ : STD_LOGIC; signal \m_atarget_hot[6]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[6]_i_3_n_0\ : STD_LOGIC; - signal \m_atarget_hot[6]_i_4_n_0\ : STD_LOGIC; - signal \m_atarget_hot[7]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[7]_i_3_n_0\ : STD_LOGIC; - signal \^m_atarget_hot_reg[2]\ : STD_LOGIC; + signal \^m_atarget_hot_reg[1]\ : STD_LOGIC; + signal \^m_atarget_hot_reg[4]\ : STD_LOGIC; signal \^m_atarget_hot_reg[7]\ : STD_LOGIC; - signal \^m_atarget_hot_reg[7]_0\ : STD_LOGIC; - signal \^m_atarget_hot_reg[7]_1\ : STD_LOGIC; - signal \m_ready_d[2]_i_9_n_0\ : STD_LOGIC; + signal \m_ready_d[2]_i_5_n_0\ : STD_LOGIC; + signal \m_ready_d[2]_i_6_n_0\ : STD_LOGIC; signal \^m_ready_d_reg[0]\ : STD_LOGIC; + signal \^m_ready_d_reg[0]_1\ : STD_LOGIC; signal \^m_ready_d_reg[2]\ : STD_LOGIC; signal \^m_ready_d_reg[2]_0\ : STD_LOGIC; + signal \^m_ready_d_reg[2]_1\ : STD_LOGIC; + signal \^m_ready_d_reg[2]_3\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; - signal m_valid_i_i_4_n_0 : STD_LOGIC; signal p_0_in1_in : STD_LOGIC; signal s_amesg : STD_LOGIC_VECTOR ( 48 downto 1 ); signal \s_arvalid_reg[0]_i_1_n_0\ : STD_LOGIC; @@ -145,109 +147,100 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter signal s_awvalid_reg : STD_LOGIC; signal \s_awvalid_reg[0]_i_1_n_0\ : STD_LOGIC; signal \s_axi_bvalid[0]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \s_axi_wready[0]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \s_axi_wready[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal s_ready_i : STD_LOGIC; signal \splitter_aw/m_ready_d0\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_2\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_3\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_rvalid_i_i_2\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_2\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_3\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_5\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_6\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_5\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_atarget_enc[0]_i_3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \m_atarget_hot[1]_i_2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_2\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_1\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_1\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_2\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_4\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_axi_arvalid[4]_INST_0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_axi_arvalid[5]_INST_0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_axi_arvalid[6]_INST_0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_axi_arvalid[7]_INST_0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_axi_awvalid[4]_INST_0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_axi_awvalid[5]_INST_0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_axi_awvalid[6]_INST_0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_axi_awvalid[7]_INST_0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_axi_bready[5]_INST_0\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \m_axi_bready[7]_INST_0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \m_axi_wvalid[0]_INST_0\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \m_axi_wvalid[4]_INST_0\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \m_axi_wvalid[6]_INST_0\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \m_ready_d[1]_i_6\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_2\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_8\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_9\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of m_valid_i_i_4 : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of m_valid_i_i_7 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_2\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_3\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_rvalid_i_i_2\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_2\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_4\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_5\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_6\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_9\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \m_atarget_enc[3]_i_4\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_atarget_hot[0]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_atarget_hot[0]_i_2\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_atarget_hot[1]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_2\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_2\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_axi_arvalid[3]_INST_0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_axi_arvalid[4]_INST_0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_axi_arvalid[5]_INST_0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_axi_arvalid[6]_INST_0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_axi_arvalid[7]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_axi_awvalid[3]_INST_0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_axi_awvalid[4]_INST_0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_axi_awvalid[5]_INST_0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_axi_awvalid[6]_INST_0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_axi_awvalid[7]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_axi_bready[0]_INST_0\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_axi_bready[7]_INST_0\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \m_axi_wvalid[3]_INST_0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \m_axi_wvalid[5]_INST_0\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \m_axi_wvalid[7]_INST_0\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \m_ready_d[1]_i_6\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_10\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_2\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_5\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of m_valid_i_i_3 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \s_arvalid_reg[0]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \s_axi_arready[0]_INST_0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_3\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_4\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_4\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_6\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_3\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_4\ : label is "soft_lutpair2"; begin Q(34 downto 0) <= \^q\(34 downto 0); SR(0) <= \^sr\(0); + aa_grant_any <= \^aa_grant_any\; aa_grant_rnw <= \^aa_grant_rnw\; \gen_axilite.s_axi_bvalid_i_reg\ <= \^gen_axilite.s_axi_bvalid_i_reg\; \gen_axilite.s_axi_bvalid_i_reg_0\ <= \^gen_axilite.s_axi_bvalid_i_reg_0\; + \gen_axilite.s_axi_bvalid_i_reg_1\ <= \^gen_axilite.s_axi_bvalid_i_reg_1\; \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ <= \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\; - \gen_no_arbiter.m_valid_i_reg_0\ <= \^gen_no_arbiter.m_valid_i_reg_0\; - \m_atarget_hot_reg[2]\ <= \^m_atarget_hot_reg[2]\; + m_atarget_enc_comb(0) <= \^m_atarget_enc_comb\(0); + \m_atarget_enc_reg[3]\ <= \^m_atarget_enc_reg[3]\; + \m_atarget_hot_reg[1]\ <= \^m_atarget_hot_reg[1]\; + \m_atarget_hot_reg[4]\ <= \^m_atarget_hot_reg[4]\; \m_atarget_hot_reg[7]\ <= \^m_atarget_hot_reg[7]\; - \m_atarget_hot_reg[7]_0\ <= \^m_atarget_hot_reg[7]_0\; - \m_atarget_hot_reg[7]_1\ <= \^m_atarget_hot_reg[7]_1\; \m_ready_d_reg[0]\ <= \^m_ready_d_reg[0]\; + \m_ready_d_reg[0]_1\ <= \^m_ready_d_reg[0]_1\; \m_ready_d_reg[2]\ <= \^m_ready_d_reg[2]\; \m_ready_d_reg[2]_0\ <= \^m_ready_d_reg[2]_0\; + \m_ready_d_reg[2]_1\ <= \^m_ready_d_reg[2]_1\; + \m_ready_d_reg[2]_3\ <= \^m_ready_d_reg[2]_3\; m_valid_i <= \^m_valid_i\; -\gen_axilite.s_axi_awready_i_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFDF0020" - ) - port map ( - I0 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I1 => \^gen_axilite.s_axi_bvalid_i_reg_0\, - I2 => \m_atarget_hot_reg[8]\(7), - I3 => mi_bvalid(0), - I4 => mi_wready(0), - O => \gen_axilite.s_axi_awready_i_reg\ - ); \gen_axilite.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAFFAAFF0C000000" + INIT => X"5050F0F05C50F0F0" ) port map ( I0 => \^gen_axilite.s_axi_bvalid_i_reg\, - I1 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I2 => \^gen_axilite.s_axi_bvalid_i_reg_0\, - I3 => \m_atarget_hot_reg[8]\(7), - I4 => mi_wready(0), - I5 => mi_bvalid(0), - O => \gen_axilite.s_axi_bvalid_i_reg_1\ + I1 => mi_wready(0), + I2 => mi_bvalid(0), + I3 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + I4 => \m_atarget_hot_reg[8]\(8), + I5 => \^gen_axilite.s_axi_bvalid_i_reg_1\, + O => \gen_axilite.s_axi_bvalid_i_reg_2\ ); \gen_axilite.s_axi_bvalid_i_i_2\: unisim.vcomponents.LUT4 generic map( @@ -258,7 +251,7 @@ begin I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d(1), - O => \gen_axilite.s_axi_bvalid_i_i_2_n_0\ + O => \^gen_axilite.s_axi_bvalid_i_reg_0\ ); \gen_axilite.s_axi_bvalid_i_i_3\: unisim.vcomponents.LUT3 generic map( @@ -268,7 +261,7 @@ begin I0 => m_ready_d(2), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - O => \^gen_axilite.s_axi_bvalid_i_reg_0\ + O => \^gen_axilite.s_axi_bvalid_i_reg_1\ ); \gen_axilite.s_axi_rvalid_i_i_2\: unisim.vcomponents.LUT3 generic map( @@ -276,19 +269,19 @@ begin ) port map ( I0 => m_ready_d_0(1), - I1 => \^m_valid_i\, - I2 => \^aa_grant_rnw\, + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, O => \gen_axilite.s_axi_rvalid_i_reg\ ); \gen_no_arbiter.grant_rnw_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFF5300000050" + INIT => X"FFFFFF4700000044" ) port map ( I0 => s_awvalid_reg, - I1 => s_axi_awvalid(0), - I2 => s_axi_arvalid(0), - I3 => aa_grant_any, + I1 => s_axi_arvalid(0), + I2 => s_axi_awvalid(0), + I3 => \^aa_grant_any\, I4 => \^m_valid_i\, I5 => \^aa_grant_rnw\, O => \gen_no_arbiter.grant_rnw_i_1_n_0\ @@ -578,7 +571,7 @@ begin INIT => X"1" ) port map ( - I0 => aa_grant_any, + I0 => \^aa_grant_any\, O => p_0_in1_in ); \gen_no_arbiter.m_amesg_i[32]_i_3\: unisim.vcomponents.LUT4 @@ -984,15 +977,15 @@ begin ); \gen_no_arbiter.m_grant_hot_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000088888088" + INIT => X"0808080808000808" ) port map ( I0 => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\, I1 => aresetn_d, I2 => \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\, - I3 => \splitter_aw/m_ready_d0\(0), - I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, - I5 => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\, + I3 => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\, + I4 => \splitter_aw/m_ready_d0\(0), + I5 => \gen_no_arbiter.m_valid_i_i_2_n_0\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ ); \gen_no_arbiter.m_grant_hot_i[0]_i_2\: unisim.vcomponents.LUT4 @@ -1000,32 +993,32 @@ begin INIT => X"F0FE" ) port map ( - I0 => s_axi_awvalid(0), - I1 => s_axi_arvalid(0), - I2 => aa_grant_any, + I0 => s_axi_arvalid(0), + I1 => s_axi_awvalid(0), + I2 => \^aa_grant_any\, I3 => \^m_valid_i\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ ); -\gen_no_arbiter.m_grant_hot_i[0]_i_3\: unisim.vcomponents.LUT2 +\gen_no_arbiter.m_grant_hot_i[0]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"B" + INIT => X"00000000FEAE0000" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, + I0 => m_ready_d_0(1), + I1 => \m_atarget_enc_reg[1]_1\, + I2 => m_atarget_enc(0), + I3 => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\, + I4 => m_ready_d0(0), + I5 => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\ ); -\gen_no_arbiter.m_grant_hot_i[0]_i_4\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_grant_hot_i[0]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"0000000055544454" + INIT => X"B" ) port map ( - I0 => m_valid_i_reg, - I1 => m_ready_d_0(1), - I2 => \m_atarget_enc_reg[1]_1\, - I3 => m_atarget_enc(0), - I4 => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\, - I5 => \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\, + I0 => \^aa_grant_rnw\, + I1 => \^m_valid_i\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\ ); \gen_no_arbiter.m_grant_hot_i[0]_i_5\: unisim.vcomponents.LUT5 @@ -1033,10 +1026,10 @@ begin INIT => X"00002000" ) port map ( - I0 => \^gen_no_arbiter.m_valid_i_reg_0\, + I0 => \^m_ready_d_reg[0]_1\, I1 => m_ready_d_0(1), - I2 => \^m_valid_i\, - I3 => \^aa_grant_rnw\, + I2 => \^aa_grant_rnw\, + I3 => \^m_valid_i\, I4 => m_atarget_enc(3), O => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\ ); @@ -1045,29 +1038,29 @@ begin INIT => X"7" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, - O => \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\ + I0 => \^m_valid_i\, + I1 => \^aa_grant_rnw\, + O => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ ); \gen_no_arbiter.m_grant_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\, - Q => aa_grant_any, + Q => \^aa_grant_any\, R => '0' ); \gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"3AFA3A0A3AFA3AFA" + INIT => X"0BFBFFFF0BFB0000" ) port map ( - I0 => aa_grant_any, - I1 => \gen_no_arbiter.m_valid_i_i_2_n_0\, - I2 => \^m_valid_i\, - I3 => \^aa_grant_rnw\, - I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, - I5 => \splitter_aw/m_ready_d0\(0), + I0 => \gen_no_arbiter.m_valid_i_i_2_n_0\, + I1 => \splitter_aw/m_ready_d0\(0), + I2 => \^aa_grant_rnw\, + I3 => \m_atarget_enc_reg[0]_3\, + I4 => \^m_valid_i\, + I5 => \^aa_grant_any\, O => \gen_no_arbiter.m_valid_i_i_1_n_0\ ); \gen_no_arbiter.m_valid_i_i_10\: unisim.vcomponents.LUT4 @@ -1094,79 +1087,66 @@ begin ); \gen_no_arbiter.m_valid_i_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000FFFF2F20" + INIT => X"444444444FFF4F4F" ) port map ( - I0 => \^gen_no_arbiter.m_valid_i_reg_0\, - I1 => \gen_no_arbiter.m_valid_i_i_5_n_0\, - I2 => m_atarget_enc(0), - I3 => \m_atarget_enc_reg[1]_1\, - I4 => m_ready_d_0(1), - I5 => m_valid_i_reg, + I0 => \m_atarget_enc_reg[3]_0\, + I1 => \gen_no_arbiter.m_valid_i_i_6_n_0\, + I2 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + I3 => \m_ready_d[2]_i_6_n_0\, + I4 => \gen_no_arbiter.m_valid_i_i_7_n_0\, + I5 => m_ready_d(1), O => \gen_no_arbiter.m_valid_i_i_2_n_0\ ); \gen_no_arbiter.m_valid_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0075FFFF00750075" - ) - port map ( - I0 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I1 => \gen_axilite.s_axi_awready_i_reg_0\, - I2 => \gen_no_arbiter.m_valid_i_i_6_n_0\, - I3 => m_ready_d(1), - I4 => \m_atarget_enc_reg[3]_0\, - I5 => \gen_no_arbiter.m_valid_i_i_8_n_0\, - O => \gen_no_arbiter.m_valid_i_i_3_n_0\ - ); -\gen_no_arbiter.m_valid_i_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAAAAAAEAAAEAFF" + INIT => X"EAAAEAFFAAAAAAAA" ) port map ( I0 => m_ready_d(0), - I1 => \m_atarget_enc_reg[1]\, - I2 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, + I1 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, + I2 => \m_atarget_enc_reg[1]\, I3 => m_atarget_enc(0), I4 => \m_atarget_enc_reg[1]_0\, I5 => \^gen_axilite.s_axi_bvalid_i_reg\, O => \splitter_aw/m_ready_d0\(0) ); -\gen_no_arbiter.m_valid_i_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"FFBF" - ) - port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d_0(1), - O => \gen_no_arbiter.m_valid_i_i_5_n_0\ - ); \gen_no_arbiter.m_valid_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFDFFFFFFFFFF" ) port map ( - I0 => \s_axi_wready[0]_INST_0_i_2_n_0\, + I0 => \^m_ready_d_reg[0]\, I1 => m_atarget_enc(3), I2 => \^aa_grant_rnw\, I3 => \^m_valid_i\, - I4 => m_ready_d(1), + I4 => m_ready_d(2), I5 => m_atarget_enc(0), O => \gen_no_arbiter.m_valid_i_i_6_n_0\ ); -\gen_no_arbiter.m_valid_i_i_8\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFDFFFFFFFFFF" ) port map ( - I0 => \^m_ready_d_reg[0]\, + I0 => \^m_ready_d_reg[2]\, I1 => m_atarget_enc(3), I2 => \^aa_grant_rnw\, I3 => \^m_valid_i\, - I4 => m_ready_d(2), + I4 => m_ready_d(1), I5 => m_atarget_enc(0), - O => \gen_no_arbiter.m_valid_i_i_8_n_0\ + O => \gen_no_arbiter.m_valid_i_i_7_n_0\ + ); +\gen_no_arbiter.m_valid_i_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0040" + ) + port map ( + I0 => m_atarget_enc(3), + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, + I3 => m_ready_d_0(1), + O => \gen_no_arbiter.m_valid_i_reg_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE port map ( @@ -1182,7 +1162,7 @@ begin ) port map ( I0 => \^m_valid_i\, - I1 => aa_grant_any, + I1 => \^aa_grant_any\, I2 => aresetn_d, O => \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ ); @@ -1194,260 +1174,283 @@ begin Q => s_ready_i, R => '0' ); -\m_atarget_enc[0]_i_2\: unisim.vcomponents.LUT6 +\m_atarget_enc[0]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"0012001201130012" + INIT => X"10FF" ) port map ( - I0 => \^q\(16), - I1 => \m_atarget_enc[0]_i_3_n_0\, - I2 => \^q\(18), - I3 => \m_atarget_hot[1]_i_2_n_0\, - I4 => \m_atarget_hot[6]_i_4_n_0\, - I5 => \m_atarget_enc[0]_i_4_n_0\, + I0 => \^m_atarget_enc_reg[3]\, + I1 => \^m_atarget_hot_reg[4]\, + I2 => \^m_atarget_hot_reg[1]\, + I3 => aresetn_d, O => \m_atarget_enc_reg[0]\ ); -\m_atarget_enc[0]_i_3\: unisim.vcomponents.LUT2 +\m_atarget_enc[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"E" + INIT => X"FFFFFFFF20020000" ) port map ( - I0 => \^q\(19), - I1 => \^q\(17), - O => \m_atarget_enc[0]_i_3_n_0\ + I0 => \m_atarget_hot[0]_i_2_n_0\, + I1 => \m_atarget_enc[2]_i_3_n_0\, + I2 => \^q\(25), + I3 => \^q\(23), + I4 => \^q\(22), + I5 => \^m_atarget_hot_reg[4]\, + O => f_hot2enc_return0 ); -\m_atarget_enc[0]_i_4\: unisim.vcomponents.LUT6 +\m_atarget_enc[2]_i_3\: unisim.vcomponents.LUT3 generic map( - INIT => X"FEFFFFFFFFFFFFFF" + INIT => X"FE" ) port map ( - I0 => \^q\(20), - I1 => \^q\(21), - I2 => \^q\(24), - I3 => \^q\(23), - I4 => \^q\(22), - I5 => \^q\(25), - O => \m_atarget_enc[0]_i_4_n_0\ + I0 => \^q\(24), + I1 => \^q\(20), + I2 => \^q\(21), + O => \m_atarget_enc[2]_i_3_n_0\ ); \m_atarget_enc[3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"5554555455445554" + INIT => X"00000000FFFFFEFA" ) port map ( - I0 => \^m_atarget_hot_reg[7]_1\, - I1 => \m_atarget_hot[1]_i_2_n_0\, - I2 => \^q\(17), - I3 => \^q\(19), - I4 => \^q\(16), - I5 => \^q\(18), - O => \m_atarget_enc_reg[3]\(0) + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(19), + I3 => \^q\(18), + I4 => \m_atarget_hot[0]_i_3_n_0\, + I5 => \^m_atarget_enc_reg[3]\, + O => \^m_atarget_enc_comb\(0) ); -\m_atarget_hot[0]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_enc[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000100000000" + INIT => X"FFFFFFFEFFFFFFFF" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(17), - I2 => \^q\(19), - I3 => \^q\(16), - I4 => \^q\(18), - I5 => aa_grant_any, - O => D(0) + I0 => \^q\(31), + I1 => \^q\(27), + I2 => \^q\(29), + I3 => \^q\(28), + I4 => \^q\(26), + I5 => \^q\(30), + O => \m_atarget_enc[3]_i_2_n_0\ ); -\m_atarget_hot[1]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_enc[3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000001000000000" + INIT => X"0000000000000007" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), - I3 => \^q\(19), - I4 => \^q\(17), - I5 => aa_grant_any, - O => D(1) + I0 => \m_atarget_hot[6]_i_3_n_0\, + I1 => \m_atarget_hot[7]_i_3_n_0\, + I2 => \m_atarget_enc[3]_i_2_n_0\, + I3 => \^q\(17), + I4 => \m_atarget_enc[3]_i_4_n_0\, + I5 => \^q\(16), + O => \^m_atarget_enc_reg[3]\ ); -\m_atarget_hot[1]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_enc[3]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFEFFFFF" + INIT => X"E" ) port map ( - I0 => \^q\(21), - I1 => \^q\(20), - I2 => \^q\(24), - I3 => \m_atarget_hot[7]_i_3_n_0\, - I4 => \m_atarget_hot[6]_i_4_n_0\, - O => \m_atarget_hot[1]_i_2_n_0\ + I0 => \^q\(18), + I1 => \^q\(19), + O => \m_atarget_enc[3]_i_4_n_0\ ); -\m_atarget_hot[2]_i_1\: unisim.vcomponents.LUT2 +\m_atarget_hot[0]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"8" + INIT => X"08" ) port map ( - I0 => aa_grant_any, - I1 => \^m_atarget_hot_reg[2]\, - O => D(2) + I0 => \m_atarget_hot[0]_i_2_n_0\, + I1 => \^aa_grant_any\, + I2 => \m_atarget_hot[0]_i_3_n_0\, + O => D(0) ); -\m_atarget_hot[2]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[0]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"00100000" + INIT => X"00000001" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(18), I3 => \^q\(19), - I4 => \^q\(17), - O => \^m_atarget_hot_reg[2]\ + I4 => \^q\(16), + O => \m_atarget_hot[0]_i_2_n_0\ ); -\m_atarget_hot[4]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_hot[0]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"08" + INIT => X"FFFFFFFFFFFF7FFF" ) port map ( - I0 => aa_grant_any, - I1 => \^q\(16), - I2 => \^m_atarget_hot_reg[7]_0\, - O => D(3) + I0 => \^q\(22), + I1 => \^q\(23), + I2 => \^q\(25), + I3 => \^q\(24), + I4 => \^q\(21), + I5 => \^q\(20), + O => \m_atarget_hot[0]_i_3_n_0\ ); -\m_atarget_hot[5]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_hot[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"10" + INIT => X"0400" ) port map ( - I0 => \^m_atarget_hot_reg[7]_0\, + I0 => \^q\(17), I1 => \^q\(16), - I2 => aa_grant_any, - O => D(4) + I2 => \^m_atarget_hot_reg[1]\, + I3 => \^aa_grant_any\, + O => D(1) ); -\m_atarget_hot[6]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_hot[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"0004000000000000" + INIT => X"00200000" ) port map ( - I0 => \^q\(23), - I1 => \^q\(22), - I2 => \^q\(25), - I3 => \m_atarget_hot[6]_i_2_n_0\, - I4 => \m_atarget_hot[6]_i_3_n_0\, - I5 => aa_grant_any, - O => D(5) + I0 => \^q\(17), + I1 => \^m_atarget_hot_reg[1]\, + I2 => \^q\(16), + I3 => \^m_atarget_enc_comb\(0), + I4 => \^aa_grant_any\, + O => D(2) ); -\m_atarget_hot[6]_i_2\: unisim.vcomponents.LUT3 +\m_atarget_hot[3]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"FE" + INIT => X"00000200" ) port map ( - I0 => \^q\(24), - I1 => \^q\(21), - I2 => \^q\(20), - O => \m_atarget_hot[6]_i_2_n_0\ + I0 => \^q\(17), + I1 => \^m_atarget_hot_reg[1]\, + I2 => \^q\(16), + I3 => \^aa_grant_any\, + I4 => \^m_atarget_enc_comb\(0), + O => D(3) ); -\m_atarget_hot[6]_i_3\: unisim.vcomponents.LUT5 +\m_atarget_hot[3]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"00000002" + INIT => X"FFFE" ) port map ( - I0 => \m_atarget_hot[6]_i_4_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), + I0 => \m_atarget_hot[0]_i_3_n_0\, + I1 => \m_atarget_enc[3]_i_2_n_0\, + I2 => \^q\(18), I3 => \^q\(19), - I4 => \^q\(17), - O => \m_atarget_hot[6]_i_3_n_0\ + O => \^m_atarget_hot_reg[1]\ ); -\m_atarget_hot[6]_i_4\: unisim.vcomponents.LUT6 +\m_atarget_hot[4]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"0000000100000000" + INIT => X"0800" ) port map ( - I0 => \^q\(31), - I1 => \^q\(28), - I2 => \^q\(26), - I3 => \^q\(29), - I4 => \^q\(27), - I5 => \^q\(30), - O => \m_atarget_hot[6]_i_4_n_0\ + I0 => \^m_atarget_hot_reg[4]\, + I1 => \^q\(16), + I2 => \^m_atarget_enc_comb\(0), + I3 => \^aa_grant_any\, + O => D(4) ); -\m_atarget_hot[7]_i_1\: unisim.vcomponents.LUT5 +\m_atarget_hot[5]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"88888088" + INIT => X"0040" ) port map ( - I0 => \m_atarget_hot[7]_i_2_n_0\, - I1 => aa_grant_any, - I2 => \^m_atarget_hot_reg[7]_1\, - I3 => \^m_atarget_hot_reg[7]_0\, - I4 => \^m_atarget_hot_reg[7]\, + I0 => \^q\(16), + I1 => \^m_atarget_hot_reg[4]\, + I2 => \^aa_grant_any\, + I3 => \^m_atarget_enc_comb\(0), + O => D(5) + ); +\m_atarget_hot[5]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000100" + ) + port map ( + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(19), + I3 => \^q\(18), + I4 => \m_atarget_hot[0]_i_3_n_0\, + O => \^m_atarget_hot_reg[4]\ + ); +\m_atarget_hot[6]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => \m_atarget_hot[6]_i_2_n_0\, + I1 => \^aa_grant_any\, + I2 => \^m_atarget_enc_comb\(0), O => D(6) ); -\m_atarget_hot[7]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[6]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000002" + INIT => X"0000000000000001" ) port map ( - I0 => \m_atarget_hot[6]_i_3_n_0\, - I1 => \m_atarget_hot[7]_i_3_n_0\, - I2 => \^q\(24), - I3 => \^q\(21), - I4 => \^q\(20), - O => \m_atarget_hot[7]_i_2_n_0\ + I0 => \^q\(16), + I1 => \^q\(19), + I2 => \^q\(18), + I3 => \^q\(17), + I4 => \m_atarget_enc[3]_i_2_n_0\, + I5 => \m_atarget_hot[6]_i_3_n_0\, + O => \m_atarget_hot[6]_i_2_n_0\ ); -\m_atarget_hot[7]_i_3\: unisim.vcomponents.LUT3 +\m_atarget_hot[6]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"7F" + INIT => X"FFFFFFFEFFFFFFFF" ) port map ( - I0 => \^q\(25), - I1 => \^q\(22), - I2 => \^q\(23), - O => \m_atarget_hot[7]_i_3_n_0\ + I0 => \^q\(21), + I1 => \^q\(20), + I2 => \^q\(24), + I3 => \^q\(23), + I4 => \^q\(25), + I5 => \^q\(22), + O => \m_atarget_hot[6]_i_3_n_0\ ); -\m_atarget_hot[8]_i_1\: unisim.vcomponents.LUT4 +\m_atarget_hot[7]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"0400" + INIT => X"08" ) port map ( I0 => \^m_atarget_hot_reg[7]\, - I1 => \^m_atarget_hot_reg[7]_0\, - I2 => \^m_atarget_hot_reg[7]_1\, - I3 => aa_grant_any, + I1 => \^aa_grant_any\, + I2 => \^m_atarget_enc_comb\(0), O => D(7) ); -\m_atarget_hot[8]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[7]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000405" + INIT => X"0000000000000001" ) port map ( - I0 => \^q\(18), - I1 => \^q\(16), - I2 => \^q\(19), + I0 => \^q\(16), + I1 => \^q\(19), + I2 => \^q\(18), I3 => \^q\(17), - I4 => \m_atarget_hot[1]_i_2_n_0\, + I4 => \m_atarget_enc[3]_i_2_n_0\, + I5 => \m_atarget_hot[7]_i_3_n_0\, O => \^m_atarget_hot_reg[7]\ ); -\m_atarget_hot[8]_i_3\: unisim.vcomponents.LUT4 +\m_atarget_hot[7]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFB" + INIT => X"FFFFFFFFFFFFFF7F" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(19), - I3 => \^q\(17), - O => \^m_atarget_hot_reg[7]_0\ + I0 => \^q\(22), + I1 => \^q\(23), + I2 => \^q\(25), + I3 => \^q\(21), + I4 => \^q\(20), + I5 => \^q\(24), + O => \m_atarget_hot[7]_i_3_n_0\ ); -\m_atarget_hot[8]_i_4\: unisim.vcomponents.LUT5 +\m_atarget_hot[8]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"20000200" + INIT => X"8" ) port map ( - I0 => \m_atarget_hot[6]_i_3_n_0\, - I1 => \m_atarget_hot[6]_i_2_n_0\, - I2 => \^q\(25), - I3 => \^q\(22), - I4 => \^q\(23), - O => \^m_atarget_hot_reg[7]_1\ + I0 => \^m_atarget_enc_comb\(0), + I1 => \^aa_grant_any\, + O => D(8) ); \m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( @@ -1455,8 +1458,8 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(0), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(0) ); @@ -1466,8 +1469,8 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(1), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(1) ); @@ -1477,55 +1480,66 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(2), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(2) ); -\m_axi_arvalid[4]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(3) ); -\m_axi_arvalid[5]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(4), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(4) ); -\m_axi_arvalid[6]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(5), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(5) ); -\m_axi_arvalid[7]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(6), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(6) ); +\m_axi_arvalid[7]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0080" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, + I3 => m_ready_d_0(1), + O => m_axi_arvalid(7) + ); \m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" @@ -1559,7 +1573,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(2) ); -\m_axi_awvalid[4]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1570,7 +1584,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(3) ); -\m_axi_awvalid[5]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1581,7 +1595,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(4) ); -\m_axi_awvalid[6]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1592,7 +1606,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(5) ); -\m_axi_awvalid[7]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1603,90 +1617,113 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(6) ); +\m_axi_awvalid[7]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0020" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(2), + O => m_axi_awvalid(7) + ); \m_axi_bready[0]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(0), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(0) ); \m_axi_bready[1]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(1), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(1) ); \m_axi_bready[2]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(2), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(2) ); -\m_axi_bready[4]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[3]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(3), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(3) ); -\m_axi_bready[5]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[4]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(4), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(4) ); -\m_axi_bready[6]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[5]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(5), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(5) ); -\m_axi_bready[7]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[6]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(6), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(6) ); +\m_axi_bready[7]_INST_0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00200000" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), + O => m_axi_bready(7) + ); \m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" @@ -1723,7 +1760,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(2) ); -\m_axi_wvalid[4]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1735,7 +1772,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(3) ); -\m_axi_wvalid[5]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1747,7 +1784,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(4) ); -\m_axi_wvalid[6]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1759,7 +1796,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(5) ); -\m_axi_wvalid[7]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1771,15 +1808,27 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(6) ); +\m_axi_wvalid[7]_INST_0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00200000" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => m_ready_d(1), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_wvalid(0), + O => m_axi_wvalid(7) + ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"4000FFFF" + INIT => X"0080FFFF" ) port map ( - I0 => m_ready_d_0(0), + I0 => s_axi_rready(0), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - I3 => s_axi_rready(0), + I3 => m_ready_d_0(0), I4 => sr_rvalid, O => E(0) ); @@ -1794,17 +1843,17 @@ begin I3 => m_axi_arready(5), I4 => m_atarget_enc(2), I5 => m_axi_arready(1), - O => \^gen_no_arbiter.m_valid_i_reg_0\ + O => \^m_ready_d_reg[0]_1\ ); \m_ready_d[1]_i_6\: unisim.vcomponents.LUT3 generic map( - INIT => X"B8" + INIT => X"35" ) port map ( - I0 => m_axi_arready(6), - I1 => m_atarget_enc(2), - I2 => m_axi_arready(2), - O => \m_ready_d_reg[0]_2\ + I0 => m_axi_arready(2), + I1 => m_axi_arready(6), + I2 => m_atarget_enc(2), + O => \m_ready_d_reg[0]_3\ ); \m_ready_d[1]_i_7\: unisim.vcomponents.LUT4 generic map( @@ -1815,112 +1864,103 @@ begin I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_axi_arready(0), - O => \m_ready_d_reg[0]_1\ + O => \m_ready_d_reg[0]_4\ + ); +\m_ready_d[2]_i_10\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFEF" + ) + port map ( + I0 => m_atarget_enc(3), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(2), + O => \m_ready_d_reg[0]_0\ ); \m_ready_d[2]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"FBFF" + INIT => X"0020" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, - I2 => m_ready_d(0), - I3 => s_axi_bready(0), + I0 => s_axi_bready(0), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(0), O => \^gen_axilite.s_axi_bvalid_i_reg\ ); -\m_ready_d[2]_i_4\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000155555555555" ) port map ( I0 => m_ready_d(1), I1 => m_atarget_enc(0), - I2 => \m_ready_d[2]_i_9_n_0\, - I3 => \s_axi_wready[0]_INST_0_i_2_n_0\, - I4 => \gen_axilite.s_axi_awready_i_reg_0\, - I5 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - O => \m_ready_d_reg[2]_1\ - ); -\m_ready_d[2]_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AFA0CFCFAFA0C0C0" - ) - port map ( - I0 => m_axi_awready(7), - I1 => m_axi_awready(3), - I2 => m_atarget_enc(1), - I3 => m_axi_awready(5), - I4 => m_atarget_enc(2), - I5 => m_axi_awready(1), - O => \^m_ready_d_reg[0]\ - ); -\m_ready_d[2]_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0000E200" - ) - port map ( - I0 => m_axi_awready(2), - I1 => m_atarget_enc(2), - I2 => m_axi_awready(6), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - O => \m_ready_d_reg[0]_3\ + I2 => \m_ready_d[2]_i_5_n_0\, + I3 => \^m_ready_d_reg[2]\, + I4 => \m_ready_d[2]_i_6_n_0\, + I5 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + O => \m_ready_d_reg[2]_2\ ); -\m_ready_d[2]_i_8\: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_5\: unisim.vcomponents.LUT4 generic map( - INIT => X"FFEF" + INIT => X"0010" ) port map ( I0 => m_atarget_enc(3), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, - I3 => m_ready_d(2), - O => \m_ready_d_reg[0]_0\ + I3 => m_ready_d(1), + O => \m_ready_d[2]_i_5_n_0\ ); -\m_ready_d[2]_i_9\: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_6\: unisim.vcomponents.LUT6 generic map( - INIT => X"0010" + INIT => X"0101330301010101" ) port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d(1), - O => \m_ready_d[2]_i_9_n_0\ + I0 => \m_atarget_enc_reg[0]_1\, + I1 => \^m_ready_d_reg[2]_3\, + I2 => m_atarget_enc(3), + I3 => mi_wready(0), + I4 => \m_atarget_enc_reg[0]_2\, + I5 => \m_atarget_enc_reg[2]_0\, + O => \m_ready_d[2]_i_6_n_0\ ); -m_valid_i_i_2: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_7\: unisim.vcomponents.LUT6 generic map( - INIT => X"80B0B3B380B08080" + INIT => X"AFA0CFCFAFA0C0C0" ) port map ( - I0 => \m_atarget_enc_reg[1]_2\, - I1 => m_atarget_enc(0), - I2 => m_valid_i_i_4_n_0, - I3 => \m_atarget_enc_reg[2]_1\, - I4 => m_atarget_enc(1), - I5 => \m_atarget_enc_reg[2]_2\, - O => s_ready_i_reg + I0 => m_axi_awready(7), + I1 => m_axi_awready(3), + I2 => m_atarget_enc(1), + I3 => m_axi_awready(5), + I4 => m_atarget_enc(2), + I5 => m_axi_awready(1), + O => \^m_ready_d_reg[0]\ ); -m_valid_i_i_4: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_9\: unisim.vcomponents.LUT5 generic map( - INIT => X"0040" + INIT => X"44400040" ) port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d_0(0), - O => m_valid_i_i_4_n_0 + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), + I2 => m_axi_awready(2), + I3 => m_atarget_enc(2), + I4 => m_axi_awready(6), + O => \m_ready_d_reg[0]_2\ ); -m_valid_i_i_7: unisim.vcomponents.LUT3 +m_valid_i_i_3: unisim.vcomponents.LUT5 generic map( - INIT => X"BF" + INIT => X"8AAAAAAA" ) port map ( - I0 => m_ready_d_0(0), - I1 => \^m_valid_i\, + I0 => sr_rvalid, + I1 => m_ready_d_0(0), I2 => \^aa_grant_rnw\, - O => s_ready_i_reg_0 + I3 => \^m_valid_i\, + I4 => s_axi_rready(0), + O => m_valid_i_reg ); \s_arvalid_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -1967,8 +2007,8 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 INIT => X"8" ) port map ( - I0 => s_ready_i, - I1 => \^aa_grant_rnw\, + I0 => \^aa_grant_rnw\, + I1 => s_ready_i, O => s_axi_arready(0) ); \s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT2 @@ -1985,8 +2025,8 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 INIT => X"2" ) port map ( - I0 => aa_grant_any, - I1 => \^m_ready_d_reg[2]\, + I0 => \^aa_grant_any\, + I1 => \^m_ready_d_reg[2]_0\, O => s_axi_bvalid(0) ); \s_axi_bvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT6 @@ -1997,10 +2037,10 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => \m_atarget_enc_reg[1]\, I1 => m_atarget_enc(0), I2 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, - I3 => \^m_ready_d_reg[2]_0\, + I3 => \^m_ready_d_reg[2]_1\, I4 => m_atarget_enc(1), I5 => \m_atarget_enc_reg[2]\, - O => \^m_ready_d_reg[2]\ + O => \^m_ready_d_reg[2]_0\ ); \s_axi_bvalid[0]_INST_0_i_3\: unisim.vcomponents.LUT4 generic map( @@ -2021,7 +2061,7 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => m_axi_bvalid(3), I1 => m_atarget_enc(2), I2 => m_axi_bvalid(1), - O => \^m_ready_d_reg[2]_0\ + O => \^m_ready_d_reg[2]_1\ ); \s_axi_bvalid[0]_INST_0_i_6\: unisim.vcomponents.LUT3 generic map( @@ -2033,37 +2073,15 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I2 => \^aa_grant_rnw\, O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ ); -\s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => aa_grant_any, - I1 => sr_rvalid, - O => s_axi_rvalid(0) - ); \s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( - I0 => aa_grant_any, - I1 => \s_axi_wready[0]_INST_0_i_1_n_0\, + I0 => \^aa_grant_any\, + I1 => \m_atarget_enc_reg[0]_0\, O => s_axi_wready(0) ); -\s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000FFF7FFF0" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => \s_axi_wready[0]_INST_0_i_2_n_0\, - I2 => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\, - I3 => m_atarget_enc(3), - I4 => \m_atarget_enc_reg[2]_0\, - I5 => \m_atarget_enc_reg[3]_1\, - O => \s_axi_wready[0]_INST_0_i_1_n_0\ - ); \s_axi_wready[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" @@ -2075,9 +2093,9 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I3 => m_axi_wready(2), I4 => m_atarget_enc(2), I5 => m_axi_wready(0), - O => \s_axi_wready[0]_INST_0_i_2_n_0\ + O => \^m_ready_d_reg[2]\ ); -\s_axi_wready[0]_INST_0_i_3\: unisim.vcomponents.LUT3 +\s_axi_wready[0]_INST_0_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"FB" ) @@ -2085,7 +2103,7 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => m_ready_d(1), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - O => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + O => \^m_ready_d_reg[2]_3\ ); end STRUCTURE; library IEEE; @@ -2096,41 +2114,42 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave is port ( mi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); mi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_valid_i_reg : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[2]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; \s_axi_wready[0]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; \m_ready_d_reg[0]\ : out STD_LOGIC; - s_ready_i_reg : out STD_LOGIC; \m_ready_d_reg[0]_0\ : out STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_hot_reg[8]\ : in STD_LOGIC; + \gen_axilite.s_axi_awready_i_reg_0\ : in STD_LOGIC; aclk : in STD_LOGIC; - \m_atarget_hot_reg[8]_0\ : in STD_LOGIC; \m_atarget_enc_reg[2]\ : in STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_no_arbiter.m_valid_i_reg\ : in STD_LOGIC; + aa_rready : in STD_LOGIC; \m_atarget_enc_reg[2]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; \m_ready_d_reg[0]_1\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_atarget_enc_reg[0]\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; + \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_ready_d_reg[1]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]\ : in STD_LOGIC; m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_atarget_enc_reg[2]_3\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_4\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; \m_ready_d_reg[2]_0\ : in STD_LOGIC; - m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_ready_d_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); - m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_ready_d_reg[0]_2\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_5\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_6\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_3\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_4\ : in STD_LOGIC; \m_ready_d_reg[1]_0\ : in STD_LOGIC; - aa_rready : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \m_atarget_enc_reg[0]_1\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); - aresetn_d : in STD_LOGIC + aresetn_d : in STD_LOGIC; + \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave : entity is "axi_crossbar_v2_1_10_decerr_slave"; @@ -2138,24 +2157,27 @@ end system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave is signal \gen_axilite.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axilite.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axilite.s_axi_rvalid_i_i_1_n_0\ : STD_LOGIC; + signal m_valid_i_i_5_n_0 : STD_LOGIC; signal mi_arready : STD_LOGIC_VECTOR ( 8 to 8 ); signal \^mi_bvalid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal mi_rvalid : STD_LOGIC_VECTOR ( 8 to 8 ); signal \^mi_wready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \s_axi_wready[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \s_axi_wready[0]_INST_0_i_6_n_0\ : STD_LOGIC; begin mi_bvalid(0) <= \^mi_bvalid\(0); mi_wready(0) <= \^mi_wready\(0); \gen_axilite.s_axi_arready_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"8A8A828A" + INIT => X"AA0AA20A" ) port map ( I0 => aresetn_d, - I1 => mi_arready(8), + I1 => Q(0), I2 => mi_rvalid(8), - I3 => Q(0), + I3 => mi_arready(8), I4 => \m_ready_d_reg[1]_0\, O => \gen_axilite.s_axi_arready_i_i_1_n_0\ ); @@ -2167,11 +2189,23 @@ begin Q => mi_arready(8), R => '0' ); +\gen_axilite.s_axi_awready_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFBF0040" + ) + port map ( + I0 => \^mi_bvalid\(0), + I1 => \gen_no_arbiter.grant_rnw_reg\, + I2 => Q(0), + I3 => \m_ready_d_reg[2]_0\, + I4 => \^mi_wready\(0), + O => \gen_axilite.s_axi_awready_i_i_1_n_0\ + ); \gen_axilite.s_axi_awready_i_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_atarget_hot_reg[8]_0\, + D => \gen_axilite.s_axi_awready_i_i_1_n_0\, Q => \^mi_wready\(0), R => SR(0) ); @@ -2179,20 +2213,20 @@ begin port map ( C => aclk, CE => '1', - D => \m_atarget_hot_reg[8]\, + D => \gen_axilite.s_axi_awready_i_reg_0\, Q => \^mi_bvalid\(0), R => SR(0) ); \gen_axilite.s_axi_rvalid_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"0FFF4400" + INIT => X"0F44FF00" ) port map ( I0 => \m_ready_d_reg[1]_0\, I1 => mi_arready(8), I2 => aa_rready, - I3 => Q(0), - I4 => mi_rvalid(8), + I3 => mi_rvalid(8), + I4 => Q(0), O => \gen_axilite.s_axi_rvalid_i_i_1_n_0\ ); \gen_axilite.s_axi_rvalid_i_reg\: unisim.vcomponents.FDRE @@ -2203,27 +2237,27 @@ begin Q => mi_rvalid(8), R => SR(0) ); -\gen_no_arbiter.m_valid_i_i_7\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF000044F4" ) port map ( I0 => m_atarget_enc(3), - I1 => \m_atarget_enc_reg[2]_3\, - I2 => \m_atarget_enc_reg[2]_4\, + I1 => \m_atarget_enc_reg[0]_0\, + I2 => \m_atarget_enc_reg[2]_2\, I3 => \s_axi_wready[0]_INST_0_i_6_n_0\, I4 => \m_ready_d_reg[2]_0\, - I5 => m_ready_d(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + I5 => m_ready_d_0(0), + O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ ); -\gen_no_arbiter.m_valid_i_i_9\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFC74FF74" ) port map ( - I0 => \m_atarget_enc_reg[2]\, + I0 => \m_atarget_enc_reg[2]_0\, I1 => m_atarget_enc(1), - I2 => \m_atarget_enc_reg[2]_0\, + I2 => \m_atarget_enc_reg[2]_1\, I3 => m_atarget_enc(3), I4 => \^mi_bvalid\(0), I5 => \m_ready_d_reg[0]_1\, @@ -2231,31 +2265,18 @@ begin ); \m_ready_d[1]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000030B800B8" + INIT => X"0000000030740074" ) port map ( - I0 => \m_atarget_enc_reg[2]_5\, + I0 => \m_atarget_enc_reg[2]_3\, I1 => m_atarget_enc(1), - I2 => \m_atarget_enc_reg[2]_6\, + I2 => \m_atarget_enc_reg[2]_4\, I3 => m_atarget_enc(3), I4 => mi_arready(8), I5 => \m_ready_d_reg[1]_0\, O => \m_ready_d_reg[0]_0\ ); -\m_ready_d[2]_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000202030FF" - ) - port map ( - I0 => \^mi_wready\(0), - I1 => \m_atarget_enc_reg[0]\, - I2 => \m_atarget_enc_reg[2]_1\, - I3 => \m_atarget_enc_reg[2]_2\, - I4 => m_atarget_enc(3), - I5 => \m_ready_d_reg[1]\, - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ - ); -\m_ready_d[2]_i_6\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000003E0E" ) @@ -2268,18 +2289,31 @@ begin I5 => \m_ready_d_reg[2]_0\, O => \m_ready_d_reg[0]\ ); -m_valid_i_i_6: unisim.vcomponents.LUT6 +m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000033E200E2" + INIT => X"FFFFFF0E00000000" + ) + port map ( + I0 => \m_atarget_enc_reg[2]\, + I1 => m_atarget_enc(3), + I2 => m_valid_i_i_5_n_0, + I3 => m_ready_d(0), + I4 => \gen_no_arbiter.m_valid_i_reg\, + I5 => aa_rready, + O => m_valid_i_reg + ); +m_valid_i_i_5: unisim.vcomponents.LUT6 + generic map( + INIT => X"000033E2000000E2" ) port map ( I0 => m_axi_rvalid(0), I1 => m_atarget_enc(2), I2 => m_axi_rvalid(1), I3 => m_atarget_enc(3), - I4 => mi_rvalid(8), - I5 => \m_ready_d_reg[0]_2\, - O => s_ready_i_reg + I4 => \m_atarget_enc_reg[0]_1\, + I5 => mi_rvalid(8), + O => m_valid_i_i_5_n_0 ); \s_axi_bvalid[0]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( @@ -2294,7 +2328,20 @@ m_valid_i_i_6: unisim.vcomponents.LUT6 I5 => \m_ready_d_reg[0]_1\, O => \m_ready_d_reg[2]\ ); -\s_axi_wready[0]_INST_0_i_5\: unisim.vcomponents.LUT6 +\s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0F0F0F070F0F0F00" + ) + port map ( + I0 => m_atarget_enc(0), + I1 => \m_atarget_enc_reg[1]\, + I2 => \s_axi_wready[0]_INST_0_i_3_n_0\, + I3 => \m_ready_d_reg[1]\, + I4 => m_atarget_enc(3), + I5 => \m_atarget_enc_reg[0]\, + O => \s_axi_wready[0]\ + ); +\s_axi_wready[0]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000003E0E" ) @@ -2305,7 +2352,7 @@ m_valid_i_i_6: unisim.vcomponents.LUT6 I3 => m_axi_wready(1), I4 => \s_axi_wready[0]_INST_0_i_6_n_0\, I5 => \m_ready_d_reg[1]\, - O => \s_axi_wready[0]\ + O => \s_axi_wready[0]_INST_0_i_3_n_0\ ); \s_axi_wready[0]_INST_0_i_6\: unisim.vcomponents.LUT4 generic map( @@ -2326,20 +2373,19 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_xbar_0_axi_crossbar_v2_1_10_splitter is port ( m_ready_d : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \m_ready_d_reg[2]_0\ : out STD_LOGIC; + \m_ready_d_reg[2]_1\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ : out STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_atarget_enc_reg[3]\ : in STD_LOGIC; - \m_atarget_enc_reg[2]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]\ : in STD_LOGIC; \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); aresetn_d : in STD_LOGIC; \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC; - \m_atarget_enc_reg[0]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; \m_ready_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC ); @@ -2352,60 +2398,48 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_splitter is signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[2]_i_1_n_0\ : STD_LOGIC; - signal \m_ready_d[2]_i_3_n_0\ : STD_LOGIC; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_11\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_4\ : label is "soft_lutpair51"; + signal \m_ready_d[2]_i_4_n_0\ : STD_LOGIC; begin m_ready_d(2 downto 0) <= \^m_ready_d\(2 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA02AA02AA020000" + INIT => X"AA08AA08AA080000" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000AAAA00A8" + INIT => X"0000AAAA000000A2" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000AAAA000000A8" + INIT => X"00000000AAAA00A2" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[2]_i_1_n_0\ ); -\m_ready_d[2]_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => m_atarget_enc(1), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]\ - ); \m_ready_d[2]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"3B38" @@ -2415,9 +2449,9 @@ begin I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_axi_wready(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + O => \m_ready_d_reg[2]_0\ ); -\m_ready_d[2]_i_3\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000F000F00000007" ) @@ -2426,9 +2460,9 @@ begin I1 => \m_atarget_enc_reg[1]\, I2 => \^m_ready_d\(2), I3 => \m_atarget_enc_reg[3]\, - I4 => \m_atarget_enc_reg[2]\, + I4 => \m_atarget_enc_reg[0]\, I5 => \m_atarget_enc_reg[3]_0\, - O => \m_ready_d[2]_i_3_n_0\ + O => \m_ready_d[2]_i_4_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -2465,19 +2499,19 @@ begin I3 => m_axi_bvalid(2), I4 => m_atarget_enc(2), I5 => m_axi_bvalid(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ + O => \gen_no_arbiter.m_grant_hot_i_reg[0]\ ); -\s_axi_wready[0]_INST_0_i_4\: unisim.vcomponents.LUT5 +\s_axi_wready[0]_INST_0_i_5\: unisim.vcomponents.LUT5 generic map( - INIT => X"FF47FFFF" + INIT => X"BBBFFFBF" ) port map ( - I0 => m_axi_wready(3), - I1 => m_atarget_enc(2), + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), I2 => m_axi_wready(1), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ + I3 => m_atarget_enc(2), + I4 => m_axi_wready(3), + O => \m_ready_d_reg[2]_1\ ); end STRUCTURE; library IEEE; @@ -2492,7 +2526,7 @@ entity \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ is \m_ready_d_reg[1]_0\ : in STD_LOGIC; \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; aresetn_d : in STD_LOGIC; - m_valid_i_reg : in STD_LOGIC; + m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2501,37 +2535,37 @@ end \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\; architecture STRUCTURE of \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal m_ready_d0 : STD_LOGIC_VECTOR ( 1 to 1 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; + signal \m_ready_d[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_ready_d[0]_i_1\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_ready_d[0]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair52"; begin m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"02" + INIT => X"80" ) port map ( I0 => aresetn_d, - I1 => m_ready_d0(1), - I2 => m_valid_i_reg, + I1 => m_ready_d0(0), + I2 => \m_ready_d[1]_i_3_n_0\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"80" + INIT => X"02" ) port map ( I0 => aresetn_d, - I1 => m_ready_d0(1), - I2 => m_valid_i_reg, + I1 => m_ready_d0(0), + I2 => \m_ready_d[1]_i_3_n_0\, O => \m_ready_d[1]_i_1_n_0\ ); -\m_ready_d[1]_i_2\: unisim.vcomponents.LUT6 +\m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"AEAEAEFEAEAEAEAE" + INIT => X"5151510151515151" ) port map ( I0 => \^m_ready_d\(1), @@ -2540,7 +2574,7 @@ begin I3 => m_atarget_enc(1), I4 => \m_ready_d_reg[1]_0\, I5 => \m_atarget_enc_reg[1]_0\, - O => m_ready_d0(1) + O => \m_ready_d[1]_i_3_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -2567,27 +2601,32 @@ entity system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice is port ( sr_rvalid : out STD_LOGIC; aa_rready : out STD_LOGIC; - \m_ready_d_reg[1]\ : out STD_LOGIC; - \skid_buffer_reg[2]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; + m_ready_d0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \skid_buffer_reg[3]_0\ : out STD_LOGIC; - \skid_buffer_reg[3]_1\ : out STD_LOGIC; - s_ready_i_reg_0 : out STD_LOGIC; - s_ready_i_reg_1 : out STD_LOGIC; - m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_valid_i_reg_0 : out STD_LOGIC; + m_valid_i_reg_1 : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 7 downto 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; - \m_atarget_enc_reg[0]\ : in STD_LOGIC; - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[3]\ : in STD_LOGIC; + m_valid_i_reg_2 : in STD_LOGIC; + \m_atarget_enc_reg[1]\ : in STD_LOGIC; + \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; + m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; + m_ready_d : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); - aa_grant_rnw : in STD_LOGIC; m_valid_i : in STD_LOGIC; - m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + aa_grant_rnw : in STD_LOGIC; m_axi_rresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); - m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 255 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 5 downto 0 ); - Q : in STD_LOGIC_VECTOR ( 6 downto 0 ); - SR : in STD_LOGIC_VECTOR ( 0 to 0 ) + aa_grant_any : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice : entity is "axi_register_slice_v2_1_9_axic_register_slice"; @@ -2598,7 +2637,9 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \aresetn_d_reg_n_0_[1]\ : STD_LOGIC; signal \m_payload_i_reg_n_0_[0]\ : STD_LOGIC; + signal \^m_ready_d0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_valid_i_i_1_n_0 : STD_LOGIC; + signal m_valid_i_i_6_n_0 : STD_LOGIC; signal s_ready_i_i_1_n_0 : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 34 downto 0 ); signal \skid_buffer[10]_i_1_n_0\ : STD_LOGIC; @@ -2712,6 +2753,8 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer[34]_i_3_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_4_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_5_n_0\ : STD_LOGIC; + signal \skid_buffer[34]_i_6_n_0\ : STD_LOGIC; + signal \skid_buffer[34]_i_7_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_3_n_0\ : STD_LOGIC; @@ -2740,9 +2783,7 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer[9]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_3_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_4_n_0\ : STD_LOGIC; - signal \^skid_buffer_reg[2]_0\ : STD_LOGIC; signal \^skid_buffer_reg[3]_0\ : STD_LOGIC; - signal \^skid_buffer_reg[3]_1\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; @@ -2780,53 +2821,56 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \^sr_rvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_axi_rready[1]_INST_0\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_axi_rready[2]_INST_0\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_axi_rready[4]_INST_0\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_axi_rready[5]_INST_0\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_axi_rready[6]_INST_0\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_axi_rready[7]_INST_0\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_2\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of m_valid_i_i_1 : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_axi_rready[0]_INST_0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_axi_rready[1]_INST_0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_axi_rready[2]_INST_0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_axi_rready[3]_INST_0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_axi_rready[4]_INST_0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_axi_rready[5]_INST_0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_axi_rready[6]_INST_0\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_axi_rready[7]_INST_0\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_2\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_11\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of m_valid_i_i_1 : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \skid_buffer[2]_i_3\ : label is "soft_lutpair29"; begin aa_rready <= \^aa_rready\; - \skid_buffer_reg[2]_0\ <= \^skid_buffer_reg[2]_0\; + m_ready_d0(0) <= \^m_ready_d0\(0); \skid_buffer_reg[3]_0\ <= \^skid_buffer_reg[3]_0\; - \skid_buffer_reg[3]_1\ <= \^skid_buffer_reg[3]_1\; sr_rvalid <= \^sr_rvalid\; \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -2850,6 +2894,19 @@ begin Q => \aresetn_d_reg_n_0_[1]\, R => SR(0) ); +\gen_no_arbiter.m_valid_i_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA80AA8000" + ) + port map ( + I0 => \^m_ready_d0\(0), + I1 => \m_atarget_enc_reg[1]\, + I2 => \m_atarget_enc_reg[3]_0\, + I3 => m_atarget_enc(0), + I4 => \m_atarget_enc_reg[1]_0\, + I5 => m_ready_d(1), + O => \gen_no_arbiter.m_valid_i_reg\ + ); \m_axi_rready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" @@ -2877,7 +2934,7 @@ begin I1 => Q(2), O => m_axi_rready(2) ); -\m_axi_rready[4]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2886,7 +2943,7 @@ begin I1 => Q(3), O => m_axi_rready(3) ); -\m_axi_rready[5]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[4]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2895,7 +2952,7 @@ begin I1 => Q(4), O => m_axi_rready(4) ); -\m_axi_rready[6]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[5]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2904,7 +2961,7 @@ begin I1 => Q(5), O => m_axi_rready(5) ); -\m_axi_rready[7]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2913,6 +2970,15 @@ begin I1 => Q(6), O => m_axi_rready(6) ); +\m_axi_rready[7]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^aa_rready\, + I1 => Q(7), + O => m_axi_rready(7) + ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -3533,31 +3599,52 @@ begin Q => \s_axi_rdata[31]\(8), R => '0' ); -\m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 +\m_ready_d[1]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"000000007FFFFFFF" + INIT => X"FFFFFFFF80000000" ) port map ( - I0 => \^sr_rvalid\, - I1 => \m_payload_i_reg_n_0_[0]\, + I0 => \m_payload_i_reg_n_0_[0]\, + I1 => \^sr_rvalid\, I2 => s_axi_rready(0), - I3 => aa_grant_rnw, - I4 => m_valid_i, + I3 => m_valid_i, + I4 => aa_grant_rnw, I5 => m_ready_d(0), - O => \m_ready_d_reg[1]\ + O => \^m_ready_d0\(0) + ); +\m_ready_d[2]_i_11\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), + O => m_valid_i_reg_0 ); -m_valid_i_i_1: unisim.vcomponents.LUT4 +m_valid_i_i_1: unisim.vcomponents.LUT3 generic map( - INIT => X"A2AA" + INIT => X"A2" ) port map ( I0 => \aresetn_d_reg_n_0_[1]\, - I1 => \^aa_rready\, - I2 => \m_atarget_enc_reg[0]\, - I3 => E(0), + I1 => \m_atarget_enc_reg[3]\, + I2 => m_valid_i_reg_2, O => m_valid_i_i_1_n_0 ); -m_valid_i_i_3: unisim.vcomponents.LUT6 +m_valid_i_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"000047FFFFFF47FF" + ) + port map ( + I0 => m_axi_rvalid(4), + I1 => m_atarget_enc(2), + I2 => m_axi_rvalid(1), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_valid_i_i_6_n_0, + O => m_valid_i_reg_1 + ); +m_valid_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) @@ -3568,17 +3655,7 @@ m_valid_i_i_3: unisim.vcomponents.LUT6 I3 => m_axi_rvalid(3), I4 => m_atarget_enc(2), I5 => m_axi_rvalid(0), - O => s_ready_i_reg_1 - ); -m_valid_i_i_5: unisim.vcomponents.LUT3 - generic map( - INIT => X"35" - ) - port map ( - I0 => m_axi_rvalid(1), - I1 => m_axi_rvalid(4), - I2 => m_atarget_enc(2), - O => s_ready_i_reg_0 + O => m_valid_i_i_6_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE port map ( @@ -3588,48 +3665,34 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^sr_rvalid\, R => '0' ); -\s_axi_bresp[0]_INST_0_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"FFDF" - ) - port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[3]_1\ - ); -\s_axi_bresp[0]_INST_0_i_7\: unisim.vcomponents.LUT4 +\s_axi_bresp[1]_INST_0_i_5\: unisim.vcomponents.LUT4 generic map( - INIT => X"FFFD" + INIT => X"FFFE" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[2]_0\ + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + O => \^skid_buffer_reg[3]_0\ ); -\s_axi_bresp[1]_INST_0_i_5\: unisim.vcomponents.LUT4 +\s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFE" + INIT => X"8" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[3]_0\ + I0 => \^sr_rvalid\, + I1 => aa_grant_any, + O => s_axi_rvalid(0) ); -s_ready_i_i_1: unisim.vcomponents.LUT4 +s_ready_i_i_1: unisim.vcomponents.LUT3 generic map( - INIT => X"AA08" + INIT => X"A2" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, - I1 => \^aa_rready\, - I2 => \m_atarget_enc_reg[0]\, - I3 => E(0), + I1 => m_valid_i_reg_2, + I2 => \m_atarget_enc_reg[3]\, O => s_ready_i_i_1_n_0 ); s_ready_i_reg: unisim.vcomponents.FDRE @@ -3658,7 +3721,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(71), I2 => \skid_buffer[10]_i_2_n_0\, I3 => \skid_buffer[10]_i_3_n_0\, @@ -3666,6 +3729,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[10]_i_1_n_0\ ); \skid_buffer[10]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(199), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(231), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(7), + O => \skid_buffer[10]_i_2_n_0\ + ); +\skid_buffer[10]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -3676,9 +3752,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(135), - O => \skid_buffer[10]_i_2_n_0\ + O => \skid_buffer[10]_i_3_n_0\ ); -\skid_buffer[10]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[10]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -3689,19 +3765,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(103), - O => \skid_buffer[10]_i_3_n_0\ - ); -\skid_buffer[10]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(7), - I2 => m_axi_rdata(199), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(231), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[10]_i_4_n_0\ ); \skid_buffer[11]_i_1\: unisim.vcomponents.LUT5 @@ -3709,7 +3772,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(72), I2 => \skid_buffer[11]_i_2_n_0\, I3 => \skid_buffer[11]_i_3_n_0\, @@ -3718,41 +3781,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[11]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(168), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(136), + I0 => m_axi_rdata(232), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(200), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(8), O => \skid_buffer[11]_i_2_n_0\ ); \skid_buffer[11]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(104), + I0 => m_axi_rdata(40), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(40), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(104), O => \skid_buffer[11]_i_3_n_0\ ); \skid_buffer[11]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0000230000002000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(8), - I2 => m_axi_rdata(232), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(200), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(168), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(136), O => \skid_buffer[11]_i_4_n_0\ ); \skid_buffer[12]_i_1\: unisim.vcomponents.LUT5 @@ -3760,7 +3823,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(73), I2 => \skid_buffer[12]_i_2_n_0\, I3 => \skid_buffer[12]_i_3_n_0\, @@ -3769,41 +3832,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[12]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(169), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(137), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(9), + I2 => m_axi_rdata(233), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(201), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[12]_i_2_n_0\ ); \skid_buffer[12]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(105), + I0 => m_axi_rdata(41), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(41), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(105), O => \skid_buffer[12]_i_3_n_0\ ); \skid_buffer[12]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(9), - I2 => m_axi_rdata(233), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(201), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(137), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(169), O => \skid_buffer[12]_i_4_n_0\ ); \skid_buffer[13]_i_1\: unisim.vcomponents.LUT5 @@ -3811,7 +3874,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(74), I2 => \skid_buffer[13]_i_2_n_0\, I3 => \skid_buffer[13]_i_3_n_0\, @@ -3820,41 +3883,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[13]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(170), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(138), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(10), + I2 => m_axi_rdata(202), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(234), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[13]_i_2_n_0\ ); \skid_buffer[13]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(42), + I0 => m_axi_rdata(138), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(106), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(170), O => \skid_buffer[13]_i_3_n_0\ ); \skid_buffer[13]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(10), - I2 => m_axi_rdata(234), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(202), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(106), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(42), O => \skid_buffer[13]_i_4_n_0\ ); \skid_buffer[14]_i_1\: unisim.vcomponents.LUT5 @@ -3862,7 +3925,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(75), I2 => \skid_buffer[14]_i_2_n_0\, I3 => \skid_buffer[14]_i_3_n_0\, @@ -3870,6 +3933,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[14]_i_1_n_0\ ); \skid_buffer[14]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(11), + I2 => m_axi_rdata(235), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(203), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[14]_i_2_n_0\ + ); +\skid_buffer[14]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -3880,9 +3956,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(171), - O => \skid_buffer[14]_i_2_n_0\ + O => \skid_buffer[14]_i_3_n_0\ ); -\skid_buffer[14]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[14]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -3893,19 +3969,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(107), - O => \skid_buffer[14]_i_3_n_0\ - ); -\skid_buffer[14]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(11), - I2 => m_axi_rdata(235), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(203), - I5 => \^skid_buffer_reg[3]_1\, O => \skid_buffer[14]_i_4_n_0\ ); \skid_buffer[15]_i_1\: unisim.vcomponents.LUT5 @@ -3913,7 +3976,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(76), I2 => \skid_buffer[15]_i_2_n_0\, I3 => \skid_buffer[15]_i_3_n_0\, @@ -3922,41 +3985,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[15]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(172), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(140), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(12), + I2 => m_axi_rdata(204), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(236), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[15]_i_2_n_0\ ); \skid_buffer[15]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(108), + I0 => m_axi_rdata(140), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(44), + I5 => m_axi_rdata(172), O => \skid_buffer[15]_i_3_n_0\ ); \skid_buffer[15]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(12), - I2 => m_axi_rdata(236), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(204), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(44), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(108), O => \skid_buffer[15]_i_4_n_0\ ); \skid_buffer[16]_i_1\: unisim.vcomponents.LUT5 @@ -3964,7 +4027,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(77), I2 => \skid_buffer[16]_i_2_n_0\, I3 => \skid_buffer[16]_i_3_n_0\, @@ -3973,41 +4036,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[16]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(173), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(141), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(13), + I2 => m_axi_rdata(205), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(237), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[16]_i_2_n_0\ ); \skid_buffer[16]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(45), + I0 => m_axi_rdata(141), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(109), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(173), O => \skid_buffer[16]_i_3_n_0\ ); \skid_buffer[16]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(13), - I2 => m_axi_rdata(205), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(237), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(45), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(109), O => \skid_buffer[16]_i_4_n_0\ ); \skid_buffer[17]_i_1\: unisim.vcomponents.LUT5 @@ -4015,7 +4078,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(78), I2 => \skid_buffer[17]_i_2_n_0\, I3 => \skid_buffer[17]_i_3_n_0\, @@ -4024,41 +4087,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[17]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(174), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(142), + I0 => m_axi_rdata(206), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(238), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(14), O => \skid_buffer[17]_i_2_n_0\ ); \skid_buffer[17]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(46), + I0 => m_axi_rdata(142), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(110), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(174), O => \skid_buffer[17]_i_3_n_0\ ); \skid_buffer[17]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(14), - I2 => m_axi_rdata(238), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(206), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(110), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(46), O => \skid_buffer[17]_i_4_n_0\ ); \skid_buffer[18]_i_1\: unisim.vcomponents.LUT5 @@ -4066,7 +4129,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(79), I2 => \skid_buffer[18]_i_2_n_0\, I3 => \skid_buffer[18]_i_3_n_0\, @@ -4075,41 +4138,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[18]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(47), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(111), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(15), + I2 => m_axi_rdata(239), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(207), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[18]_i_2_n_0\ ); \skid_buffer[18]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(175), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(143), + I0 => m_axi_rdata(143), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(175), O => \skid_buffer[18]_i_3_n_0\ ); \skid_buffer[18]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(15), - I2 => m_axi_rdata(239), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(207), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(47), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(111), O => \skid_buffer[18]_i_4_n_0\ ); \skid_buffer[19]_i_1\: unisim.vcomponents.LUT5 @@ -4117,7 +4180,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(80), I2 => \skid_buffer[19]_i_2_n_0\, I3 => \skid_buffer[19]_i_3_n_0\, @@ -4125,6 +4188,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[19]_i_1_n_0\ ); \skid_buffer[19]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(16), + I2 => m_axi_rdata(240), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(208), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[19]_i_2_n_0\ + ); +\skid_buffer[19]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -4135,9 +4211,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(176), - O => \skid_buffer[19]_i_2_n_0\ + O => \skid_buffer[19]_i_3_n_0\ ); -\skid_buffer[19]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[19]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4148,19 +4224,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(48), - O => \skid_buffer[19]_i_3_n_0\ - ); -\skid_buffer[19]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(16), - I2 => m_axi_rdata(208), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(240), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[19]_i_4_n_0\ ); \skid_buffer[1]_i_1\: unisim.vcomponents.LUT6 @@ -4168,7 +4231,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFFFFFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rresp(4), I2 => \skid_buffer[1]_i_2_n_0\, I3 => \skid_buffer[1]_i_3_n_0\, @@ -4178,53 +4241,53 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[1]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"080C000008000000" ) port map ( - I0 => m_axi_rresp(2), + I0 => m_axi_rresp(14), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rresp(6), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(12), O => \skid_buffer[1]_i_2_n_0\ ); -\skid_buffer[1]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[1]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000230000002000" + INIT => X"00050004" ) port map ( - I0 => m_axi_rresp(10), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(8), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + I4 => m_axi_rresp(0), O => \skid_buffer[1]_i_3_n_0\ ); -\skid_buffer[1]_i_4\: unisim.vcomponents.LUT5 +\skid_buffer[1]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"01010100" + INIT => X"00000C0800000008" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - I4 => m_axi_rresp(0), + I0 => m_axi_rresp(8), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(10), O => \skid_buffer[1]_i_4_n_0\ ); \skid_buffer[1]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"0C00080000000800" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rresp(12), + I0 => m_axi_rresp(2), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), - I5 => m_axi_rresp(14), + I5 => m_axi_rresp(6), O => \skid_buffer[1]_i_5_n_0\ ); \skid_buffer[20]_i_1\: unisim.vcomponents.LUT5 @@ -4232,7 +4295,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(81), I2 => \skid_buffer[20]_i_2_n_0\, I3 => \skid_buffer[20]_i_3_n_0\, @@ -4240,6 +4303,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[20]_i_1_n_0\ ); \skid_buffer[20]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(209), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(241), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(17), + O => \skid_buffer[20]_i_2_n_0\ + ); +\skid_buffer[20]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -4250,9 +4326,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(177), - O => \skid_buffer[20]_i_2_n_0\ + O => \skid_buffer[20]_i_3_n_0\ ); -\skid_buffer[20]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[20]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4263,19 +4339,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(49), - O => \skid_buffer[20]_i_3_n_0\ - ); -\skid_buffer[20]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(17), - I2 => m_axi_rdata(209), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(241), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[20]_i_4_n_0\ ); \skid_buffer[21]_i_1\: unisim.vcomponents.LUT5 @@ -4283,7 +4346,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(82), I2 => \skid_buffer[21]_i_2_n_0\, I3 => \skid_buffer[21]_i_3_n_0\, @@ -4292,41 +4355,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[21]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(178), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(146), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(18), + I2 => m_axi_rdata(210), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(242), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[21]_i_2_n_0\ ); \skid_buffer[21]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(114), + I0 => m_axi_rdata(146), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(50), + I5 => m_axi_rdata(178), O => \skid_buffer[21]_i_3_n_0\ ); \skid_buffer[21]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(18), - I2 => m_axi_rdata(242), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(210), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(50), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(114), O => \skid_buffer[21]_i_4_n_0\ ); \skid_buffer[22]_i_1\: unisim.vcomponents.LUT5 @@ -4334,7 +4397,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(83), I2 => \skid_buffer[22]_i_2_n_0\, I3 => \skid_buffer[22]_i_3_n_0\, @@ -4343,15 +4406,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[22]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(51), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(115), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(19), + I2 => m_axi_rdata(211), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(243), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[22]_i_2_n_0\ ); \skid_buffer[22]_i_3\: unisim.vcomponents.LUT6 @@ -4369,15 +4432,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[22]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(19), - I2 => m_axi_rdata(211), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(243), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(115), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(51), O => \skid_buffer[22]_i_4_n_0\ ); \skid_buffer[23]_i_1\: unisim.vcomponents.LUT5 @@ -4385,7 +4448,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(84), I2 => \skid_buffer[23]_i_2_n_0\, I3 => \skid_buffer[23]_i_3_n_0\, @@ -4394,41 +4457,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[23]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(180), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(148), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(20), + I2 => m_axi_rdata(212), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(244), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[23]_i_2_n_0\ ); \skid_buffer[23]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(52), + I0 => m_axi_rdata(148), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(116), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(180), O => \skid_buffer[23]_i_3_n_0\ ); \skid_buffer[23]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(20), - I2 => m_axi_rdata(244), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(212), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(116), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(52), O => \skid_buffer[23]_i_4_n_0\ ); \skid_buffer[24]_i_1\: unisim.vcomponents.LUT5 @@ -4436,7 +4499,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(85), I2 => \skid_buffer[24]_i_2_n_0\, I3 => \skid_buffer[24]_i_3_n_0\, @@ -4445,18 +4508,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[24]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(149), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(181), + I0 => m_axi_rdata(213), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(245), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(21), O => \skid_buffer[24]_i_2_n_0\ ); \skid_buffer[24]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(181), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(149), + O => \skid_buffer[24]_i_3_n_0\ + ); +\skid_buffer[24]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -4467,27 +4543,14 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(117), - O => \skid_buffer[24]_i_3_n_0\ - ); -\skid_buffer[24]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"22F2FFFF22F222F2" - ) - port map ( - I0 => m_axi_rdata(245), - I1 => \skid_buffer[34]_i_5_n_0\, - I2 => m_axi_rdata(213), - I3 => \^skid_buffer_reg[3]_1\, - I4 => \^skid_buffer_reg[3]_0\, - I5 => m_axi_rdata(21), - O => \skid_buffer[24]_i_4_n_0\ + O => \skid_buffer[24]_i_4_n_0\ ); \skid_buffer[25]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(86), I2 => \skid_buffer[25]_i_2_n_0\, I3 => \skid_buffer[25]_i_3_n_0\, @@ -4496,15 +4559,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[25]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(150), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(182), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(22), + I2 => m_axi_rdata(214), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(246), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[25]_i_2_n_0\ ); \skid_buffer[25]_i_3\: unisim.vcomponents.LUT6 @@ -4522,15 +4585,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[25]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0000230000002000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(22), - I2 => m_axi_rdata(214), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(246), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(182), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(150), O => \skid_buffer[25]_i_4_n_0\ ); \skid_buffer[26]_i_1\: unisim.vcomponents.LUT5 @@ -4538,7 +4601,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(87), I2 => \skid_buffer[26]_i_2_n_0\, I3 => \skid_buffer[26]_i_3_n_0\, @@ -4547,41 +4610,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[26]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(151), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(183), + I0 => m_axi_rdata(215), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(247), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(23), O => \skid_buffer[26]_i_2_n_0\ ); \skid_buffer[26]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(119), + I0 => m_axi_rdata(55), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(55), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(119), O => \skid_buffer[26]_i_3_n_0\ ); \skid_buffer[26]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(23), - I2 => m_axi_rdata(215), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(247), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(151), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(183), O => \skid_buffer[26]_i_4_n_0\ ); \skid_buffer[27]_i_1\: unisim.vcomponents.LUT5 @@ -4589,7 +4652,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(88), I2 => \skid_buffer[27]_i_2_n_0\, I3 => \skid_buffer[27]_i_3_n_0\, @@ -4598,41 +4661,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[27]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(184), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(152), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(24), + I2 => m_axi_rdata(248), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(216), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[27]_i_2_n_0\ ); \skid_buffer[27]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(120), + I0 => m_axi_rdata(152), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(56), + I5 => m_axi_rdata(184), O => \skid_buffer[27]_i_3_n_0\ ); \skid_buffer[27]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(24), - I2 => m_axi_rdata(216), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(248), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(56), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(120), O => \skid_buffer[27]_i_4_n_0\ ); \skid_buffer[28]_i_1\: unisim.vcomponents.LUT5 @@ -4640,7 +4703,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(89), I2 => \skid_buffer[28]_i_2_n_0\, I3 => \skid_buffer[28]_i_3_n_0\, @@ -4649,41 +4712,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[28]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(153), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(185), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(25), + I2 => m_axi_rdata(217), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(249), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[28]_i_2_n_0\ ); \skid_buffer[28]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(121), + I0 => m_axi_rdata(153), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(57), + I5 => m_axi_rdata(185), O => \skid_buffer[28]_i_3_n_0\ ); \skid_buffer[28]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(25), - I2 => m_axi_rdata(217), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(249), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(57), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(121), O => \skid_buffer[28]_i_4_n_0\ ); \skid_buffer[29]_i_1\: unisim.vcomponents.LUT5 @@ -4691,7 +4754,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(90), I2 => \skid_buffer[29]_i_2_n_0\, I3 => \skid_buffer[29]_i_3_n_0\, @@ -4699,6 +4762,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[29]_i_1_n_0\ ); \skid_buffer[29]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(26), + I2 => m_axi_rdata(250), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(218), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[29]_i_2_n_0\ + ); +\skid_buffer[29]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -4709,32 +4785,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(154), - O => \skid_buffer[29]_i_2_n_0\ - ); -\skid_buffer[29]_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0200030002000000" - ) - port map ( - I0 => m_axi_rdata(122), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(58), O => \skid_buffer[29]_i_3_n_0\ ); \skid_buffer[29]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(26), - I2 => m_axi_rdata(218), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(250), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(58), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(122), O => \skid_buffer[29]_i_4_n_0\ ); \skid_buffer[2]_i_1\: unisim.vcomponents.LUT6 @@ -4742,7 +4805,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFFFFFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rresp(5), I2 => \skid_buffer[2]_i_2_n_0\, I3 => \skid_buffer[2]_i_3_n_0\, @@ -4752,53 +4815,53 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"0000C80000000800" ) port map ( - I0 => m_axi_rresp(3), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rresp(7), + I0 => m_axi_rresp(13), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rresp(15), O => \skid_buffer[2]_i_2_n_0\ ); -\skid_buffer[2]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[2]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000230000002000" + INIT => X"00050004" ) port map ( - I0 => m_axi_rresp(11), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(9), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + I4 => m_axi_rresp(1), O => \skid_buffer[2]_i_3_n_0\ ); -\skid_buffer[2]_i_4\: unisim.vcomponents.LUT5 +\skid_buffer[2]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"01010100" + INIT => X"00000C0800000008" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - I4 => m_axi_rresp(1), + I0 => m_axi_rresp(9), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(11), O => \skid_buffer[2]_i_4_n_0\ ); \skid_buffer[2]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"00008C0000008000" + INIT => X"0200030002000000" ) port map ( - I0 => m_axi_rresp(15), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(13), + I0 => m_axi_rresp(7), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(3), O => \skid_buffer[2]_i_5_n_0\ ); \skid_buffer[30]_i_1\: unisim.vcomponents.LUT5 @@ -4806,7 +4869,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(91), I2 => \skid_buffer[30]_i_2_n_0\, I3 => \skid_buffer[30]_i_3_n_0\, @@ -4815,41 +4878,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[30]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(187), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(155), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(27), + I2 => m_axi_rdata(219), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(251), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[30]_i_2_n_0\ ); \skid_buffer[30]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(123), + I0 => m_axi_rdata(155), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(59), + I5 => m_axi_rdata(187), O => \skid_buffer[30]_i_3_n_0\ ); \skid_buffer[30]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(27), - I2 => m_axi_rdata(219), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(251), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(123), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(59), O => \skid_buffer[30]_i_4_n_0\ ); \skid_buffer[31]_i_1\: unisim.vcomponents.LUT5 @@ -4857,7 +4920,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(92), I2 => \skid_buffer[31]_i_2_n_0\, I3 => \skid_buffer[31]_i_3_n_0\, @@ -4866,41 +4929,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[31]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(188), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(156), + I0 => m_axi_rdata(252), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(220), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(28), O => \skid_buffer[31]_i_2_n_0\ ); \skid_buffer[31]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(124), + I0 => m_axi_rdata(60), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(60), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(124), O => \skid_buffer[31]_i_3_n_0\ ); \skid_buffer[31]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(28), - I2 => m_axi_rdata(252), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(220), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(156), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(188), O => \skid_buffer[31]_i_4_n_0\ ); \skid_buffer[32]_i_1\: unisim.vcomponents.LUT5 @@ -4908,7 +4971,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(93), I2 => \skid_buffer[32]_i_2_n_0\, I3 => \skid_buffer[32]_i_3_n_0\, @@ -4917,18 +4980,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[32]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(157), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(189), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(29), + I2 => m_axi_rdata(253), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(221), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[32]_i_2_n_0\ ); \skid_buffer[32]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(189), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(157), + O => \skid_buffer[32]_i_3_n_0\ + ); +\skid_buffer[32]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4939,19 +5015,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(61), - O => \skid_buffer[32]_i_3_n_0\ - ); -\skid_buffer[32]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(29), - I2 => m_axi_rdata(221), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(253), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[32]_i_4_n_0\ ); \skid_buffer[33]_i_1\: unisim.vcomponents.LUT5 @@ -4959,7 +5022,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(94), I2 => \skid_buffer[33]_i_2_n_0\, I3 => \skid_buffer[33]_i_3_n_0\, @@ -4968,18 +5031,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[33]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(158), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(190), + I0 => m_axi_rdata(254), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(222), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(30), O => \skid_buffer[33]_i_2_n_0\ ); \skid_buffer[33]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(190), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(158), + O => \skid_buffer[33]_i_3_n_0\ + ); +\skid_buffer[33]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4990,19 +5066,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(62), - O => \skid_buffer[33]_i_3_n_0\ - ); -\skid_buffer[33]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(30), - I2 => m_axi_rdata(222), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(254), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[33]_i_4_n_0\ ); \skid_buffer[34]_i_1\: unisim.vcomponents.LUT5 @@ -5010,69 +5073,91 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(95), - I2 => \skid_buffer[34]_i_2_n_0\, - I3 => \skid_buffer[34]_i_3_n_0\, - I4 => \skid_buffer[34]_i_4_n_0\, + I2 => \skid_buffer[34]_i_3_n_0\, + I3 => \skid_buffer[34]_i_4_n_0\, + I4 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[34]_i_1_n_0\ ); -\skid_buffer[34]_i_2\: unisim.vcomponents.LUT6 +\skid_buffer[34]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"0200030002000000" + INIT => X"FEFF" ) port map ( - I0 => m_axi_rdata(127), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(63), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(1), O => \skid_buffer[34]_i_2_n_0\ ); \skid_buffer[34]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(191), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(159), + I0 => m_axi_rdata(223), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(255), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(31), O => \skid_buffer[34]_i_3_n_0\ ); \skid_buffer[34]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(31), - I2 => m_axi_rdata(223), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(255), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(159), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(191), O => \skid_buffer[34]_i_4_n_0\ ); -\skid_buffer[34]_i_5\: unisim.vcomponents.LUT4 +\skid_buffer[34]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"DFFF" + INIT => X"0302000000020000" + ) + port map ( + I0 => m_axi_rdata(63), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(127), + O => \skid_buffer[34]_i_5_n_0\ + ); +\skid_buffer[34]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FDFF" ) port map ( I0 => m_atarget_enc(2), I1 => m_atarget_enc(3), - I2 => m_atarget_enc(1), - I3 => m_atarget_enc(0), - O => \skid_buffer[34]_i_5_n_0\ + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(1), + O => \skid_buffer[34]_i_6_n_0\ + ); +\skid_buffer[34]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FF7F" + ) + port map ( + I0 => m_atarget_enc(1), + I1 => m_atarget_enc(0), + I2 => m_atarget_enc(2), + I3 => m_atarget_enc(3), + O => \skid_buffer[34]_i_7_n_0\ ); \skid_buffer[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(64), I2 => \skid_buffer[3]_i_2_n_0\, I3 => \skid_buffer[3]_i_3_n_0\, @@ -5081,41 +5166,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(160), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(128), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(0), + I2 => m_axi_rdata(224), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(192), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[3]_i_2_n_0\ ); \skid_buffer[3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" - ) - port map ( - I0 => m_axi_rdata(96), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(32), + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(160), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(128), O => \skid_buffer[3]_i_3_n_0\ ); \skid_buffer[3]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(0), - I2 => m_axi_rdata(192), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(224), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(32), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(96), O => \skid_buffer[3]_i_4_n_0\ ); \skid_buffer[4]_i_1\: unisim.vcomponents.LUT5 @@ -5123,7 +5208,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(65), I2 => \skid_buffer[4]_i_2_n_0\, I3 => \skid_buffer[4]_i_3_n_0\, @@ -5131,6 +5216,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[4]_i_1_n_0\ ); \skid_buffer[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(1), + I2 => m_axi_rdata(193), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(225), + I5 => \skid_buffer[34]_i_7_n_0\, + O => \skid_buffer[4]_i_2_n_0\ + ); +\skid_buffer[4]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -5141,32 +5239,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(129), - O => \skid_buffer[4]_i_2_n_0\ - ); -\skid_buffer[4]_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0302000000020000" - ) - port map ( - I0 => m_axi_rdata(33), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(97), O => \skid_buffer[4]_i_3_n_0\ ); \skid_buffer[4]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(1), - I2 => m_axi_rdata(225), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(193), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(97), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(33), O => \skid_buffer[4]_i_4_n_0\ ); \skid_buffer[5]_i_1\: unisim.vcomponents.LUT5 @@ -5174,7 +5259,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(66), I2 => \skid_buffer[5]_i_2_n_0\, I3 => \skid_buffer[5]_i_3_n_0\, @@ -5183,41 +5268,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[5]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(162), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(130), + I0 => m_axi_rdata(194), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(226), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(2), O => \skid_buffer[5]_i_2_n_0\ ); \skid_buffer[5]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(98), + I0 => m_axi_rdata(130), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(34), + I5 => m_axi_rdata(162), O => \skid_buffer[5]_i_3_n_0\ ); \skid_buffer[5]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(2), - I2 => m_axi_rdata(194), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(226), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(34), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(98), O => \skid_buffer[5]_i_4_n_0\ ); \skid_buffer[6]_i_1\: unisim.vcomponents.LUT5 @@ -5225,7 +5310,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(67), I2 => \skid_buffer[6]_i_2_n_0\, I3 => \skid_buffer[6]_i_3_n_0\, @@ -5234,15 +5319,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[6]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(163), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(131), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(3), + I2 => m_axi_rdata(227), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(195), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[6]_i_2_n_0\ ); \skid_buffer[6]_i_3\: unisim.vcomponents.LUT6 @@ -5260,15 +5345,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[6]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"22F2FFFF22F222F2" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_rdata(227), - I1 => \skid_buffer[34]_i_5_n_0\, - I2 => m_axi_rdata(195), - I3 => \^skid_buffer_reg[3]_1\, - I4 => \^skid_buffer_reg[3]_0\, - I5 => m_axi_rdata(3), + I0 => m_axi_rdata(163), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(131), O => \skid_buffer[6]_i_4_n_0\ ); \skid_buffer[7]_i_1\: unisim.vcomponents.LUT5 @@ -5276,7 +5361,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(68), I2 => \skid_buffer[7]_i_2_n_0\, I3 => \skid_buffer[7]_i_3_n_0\, @@ -5284,6 +5369,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[7]_i_1_n_0\ ); \skid_buffer[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(228), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(196), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(4), + O => \skid_buffer[7]_i_2_n_0\ + ); +\skid_buffer[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -5294,9 +5392,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(164), - O => \skid_buffer[7]_i_2_n_0\ + O => \skid_buffer[7]_i_3_n_0\ ); -\skid_buffer[7]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[7]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -5307,19 +5405,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(36), - O => \skid_buffer[7]_i_3_n_0\ - ); -\skid_buffer[7]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(4), - I2 => m_axi_rdata(196), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(228), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[7]_i_4_n_0\ ); \skid_buffer[8]_i_1\: unisim.vcomponents.LUT5 @@ -5327,7 +5412,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(69), I2 => \skid_buffer[8]_i_2_n_0\, I3 => \skid_buffer[8]_i_3_n_0\, @@ -5336,18 +5421,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[8]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(133), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(165), + I0 => m_axi_rdata(197), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(229), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(5), O => \skid_buffer[8]_i_2_n_0\ ); \skid_buffer[8]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(165), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(133), + O => \skid_buffer[8]_i_3_n_0\ + ); +\skid_buffer[8]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -5358,19 +5456,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(101), - O => \skid_buffer[8]_i_3_n_0\ - ); -\skid_buffer[8]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(5), - I2 => m_axi_rdata(229), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(197), - I5 => \^skid_buffer_reg[3]_1\, O => \skid_buffer[8]_i_4_n_0\ ); \skid_buffer[9]_i_1\: unisim.vcomponents.LUT5 @@ -5378,7 +5463,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(70), I2 => \skid_buffer[9]_i_2_n_0\, I3 => \skid_buffer[9]_i_3_n_0\, @@ -5387,41 +5472,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[9]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(134), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(166), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(6), + I2 => m_axi_rdata(230), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(198), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[9]_i_2_n_0\ ); \skid_buffer[9]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_rdata(38), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(102), + I0 => m_axi_rdata(166), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(134), O => \skid_buffer[9]_i_3_n_0\ ); \skid_buffer[9]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(6), - I2 => m_axi_rdata(198), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(230), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(102), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(38), O => \skid_buffer[9]_i_4_n_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE @@ -5714,16 +5799,16 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is Q : out STD_LOGIC_VECTOR ( 34 downto 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 7 downto 0 ); aresetn : in STD_LOGIC; aclk : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); @@ -5731,12 +5816,12 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is m_axi_wready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 7 downto 0 ); - m_axi_rvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 255 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -5749,37 +5834,41 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is end system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is + signal \^q\ : STD_LOGIC_VECTOR ( 34 downto 0 ); + signal aa_grant_any : STD_LOGIC; signal aa_grant_rnw : STD_LOGIC; signal aa_rready : STD_LOGIC; - signal addr_arbiter_inst_n_10 : STD_LOGIC; - signal addr_arbiter_inst_n_101 : STD_LOGIC; signal addr_arbiter_inst_n_103 : STD_LOGIC; signal addr_arbiter_inst_n_104 : STD_LOGIC; - signal addr_arbiter_inst_n_105 : STD_LOGIC; - signal addr_arbiter_inst_n_11 : STD_LOGIC; - signal addr_arbiter_inst_n_12 : STD_LOGIC; + signal addr_arbiter_inst_n_106 : STD_LOGIC; + signal addr_arbiter_inst_n_107 : STD_LOGIC; + signal addr_arbiter_inst_n_108 : STD_LOGIC; + signal addr_arbiter_inst_n_109 : STD_LOGIC; + signal addr_arbiter_inst_n_110 : STD_LOGIC; + signal addr_arbiter_inst_n_113 : STD_LOGIC; signal addr_arbiter_inst_n_13 : STD_LOGIC; - signal addr_arbiter_inst_n_3 : STD_LOGIC; - signal addr_arbiter_inst_n_50 : STD_LOGIC; - signal addr_arbiter_inst_n_51 : STD_LOGIC; - signal addr_arbiter_inst_n_52 : STD_LOGIC; + signal addr_arbiter_inst_n_16 : STD_LOGIC; + signal addr_arbiter_inst_n_17 : STD_LOGIC; + signal addr_arbiter_inst_n_18 : STD_LOGIC; + signal addr_arbiter_inst_n_19 : STD_LOGIC; + signal addr_arbiter_inst_n_4 : STD_LOGIC; + signal addr_arbiter_inst_n_5 : STD_LOGIC; + signal addr_arbiter_inst_n_56 : STD_LOGIC; + signal addr_arbiter_inst_n_57 : STD_LOGIC; + signal addr_arbiter_inst_n_58 : STD_LOGIC; signal addr_arbiter_inst_n_6 : STD_LOGIC; - signal addr_arbiter_inst_n_60 : STD_LOGIC; - signal addr_arbiter_inst_n_62 : STD_LOGIC; - signal addr_arbiter_inst_n_63 : STD_LOGIC; - signal addr_arbiter_inst_n_78 : STD_LOGIC; - signal addr_arbiter_inst_n_79 : STD_LOGIC; - signal addr_arbiter_inst_n_80 : STD_LOGIC; - signal addr_arbiter_inst_n_81 : STD_LOGIC; - signal addr_arbiter_inst_n_83 : STD_LOGIC; - signal addr_arbiter_inst_n_84 : STD_LOGIC; - signal addr_arbiter_inst_n_92 : STD_LOGIC; + signal addr_arbiter_inst_n_67 : STD_LOGIC; + signal addr_arbiter_inst_n_69 : STD_LOGIC; + signal addr_arbiter_inst_n_70 : STD_LOGIC; + signal addr_arbiter_inst_n_71 : STD_LOGIC; + signal addr_arbiter_inst_n_88 : STD_LOGIC; + signal addr_arbiter_inst_n_89 : STD_LOGIC; + signal addr_arbiter_inst_n_90 : STD_LOGIC; + signal addr_arbiter_inst_n_91 : STD_LOGIC; signal addr_arbiter_inst_n_93 : STD_LOGIC; signal addr_arbiter_inst_n_94 : STD_LOGIC; - signal addr_arbiter_inst_n_95 : STD_LOGIC; - signal addr_arbiter_inst_n_96 : STD_LOGIC; - signal addr_arbiter_inst_n_97 : STD_LOGIC; signal aresetn_d : STD_LOGIC; + signal f_hot2enc_return0 : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_2\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_3\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_4\ : STD_LOGIC; @@ -5787,32 +5876,29 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sas signal \gen_decerr.decerr_slave_inst_n_6\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_7\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_8\ : STD_LOGIC; - signal \gen_decerr.decerr_slave_inst_n_9\ : STD_LOGIC; signal m_atarget_enc : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \m_atarget_enc[0]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_enc[1]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_enc[2]_i_1_n_0\ : STD_LOGIC; signal m_atarget_enc_comb : STD_LOGIC_VECTOR ( 3 to 3 ); signal m_atarget_hot : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal m_atarget_hot0 : STD_LOGIC_VECTOR ( 7 downto 1 ); + signal m_atarget_hot0 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m_ready_d0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_ready_d_0 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m_valid_i : STD_LOGIC; signal mi_bvalid : STD_LOGIC_VECTOR ( 8 to 8 ); signal mi_wready : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_1_in : STD_LOGIC; signal reg_slice_r_n_2 : STD_LOGIC; - signal reg_slice_r_n_3 : STD_LOGIC; signal reg_slice_r_n_4 : STD_LOGIC; signal reg_slice_r_n_5 : STD_LOGIC; signal reg_slice_r_n_6 : STD_LOGIC; - signal reg_slice_r_n_7 : STD_LOGIC; signal reset : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \s_axi_bresp[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \s_axi_bresp[0]_INST_0_i_5_n_0\ : STD_LOGIC; - signal \s_axi_bresp[0]_INST_0_i_6_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_2_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_3_n_0\ : STD_LOGIC; @@ -5820,63 +5906,59 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sas signal splitter_aw_n_3 : STD_LOGIC; signal splitter_aw_n_4 : STD_LOGIC; signal splitter_aw_n_5 : STD_LOGIC; - signal splitter_aw_n_6 : STD_LOGIC; signal sr_rvalid : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_atarget_enc[0]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_atarget_enc[1]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_atarget_enc[2]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \s_axi_bresp[0]_INST_0_i_6\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \s_axi_bresp[1]_INST_0_i_1\ : label is "soft_lutpair52"; begin + Q(34 downto 0) <= \^q\(34 downto 0); addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd port map ( - D(7) => addr_arbiter_inst_n_3, - D(6 downto 5) => m_atarget_hot0(7 downto 6), - D(4) => addr_arbiter_inst_n_6, - D(3) => m_atarget_hot0(4), - D(2 downto 1) => m_atarget_hot0(2 downto 1), - D(0) => addr_arbiter_inst_n_10, + D(8) => addr_arbiter_inst_n_6, + D(7 downto 2) => m_atarget_hot0(7 downto 2), + D(1) => addr_arbiter_inst_n_13, + D(0) => m_atarget_hot0(0), E(0) => p_1_in, - Q(34 downto 0) => Q(34 downto 0), + Q(34 downto 0) => \^q\(34 downto 0), SR(0) => reset, + aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aclk => aclk, aresetn_d => aresetn_d, - \gen_axilite.s_axi_awready_i_reg\ => addr_arbiter_inst_n_105, - \gen_axilite.s_axi_awready_i_reg_0\ => \gen_decerr.decerr_slave_inst_n_4\, - \gen_axilite.s_axi_bvalid_i_reg\ => addr_arbiter_inst_n_51, - \gen_axilite.s_axi_bvalid_i_reg_0\ => addr_arbiter_inst_n_80, - \gen_axilite.s_axi_bvalid_i_reg_1\ => addr_arbiter_inst_n_104, - \gen_axilite.s_axi_rvalid_i_reg\ => addr_arbiter_inst_n_92, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => addr_arbiter_inst_n_60, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => addr_arbiter_inst_n_63, - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => addr_arbiter_inst_n_95, - \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ => addr_arbiter_inst_n_97, - \gen_no_arbiter.m_valid_i_reg_0\ => addr_arbiter_inst_n_83, + f_hot2enc_return0 => f_hot2enc_return0, + \gen_axilite.s_axi_bvalid_i_reg\ => addr_arbiter_inst_n_57, + \gen_axilite.s_axi_bvalid_i_reg_0\ => addr_arbiter_inst_n_69, + \gen_axilite.s_axi_bvalid_i_reg_1\ => addr_arbiter_inst_n_90, + \gen_axilite.s_axi_bvalid_i_reg_2\ => addr_arbiter_inst_n_113, + \gen_axilite.s_axi_rvalid_i_reg\ => addr_arbiter_inst_n_103, + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => addr_arbiter_inst_n_67, + \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => addr_arbiter_inst_n_91, + \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => addr_arbiter_inst_n_107, + \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ => addr_arbiter_inst_n_108, + \gen_no_arbiter.m_valid_i_reg_0\ => addr_arbiter_inst_n_5, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_101, - \m_atarget_enc_reg[1]\ => splitter_aw_n_6, - \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_2\, - \m_atarget_enc_reg[1]_1\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_atarget_enc_reg[1]_2\ => reg_slice_r_n_7, - \m_atarget_enc_reg[2]\ => \gen_decerr.decerr_slave_inst_n_3\, - \m_atarget_enc_reg[2]_0\ => splitter_aw_n_4, - \m_atarget_enc_reg[2]_1\ => reg_slice_r_n_6, - \m_atarget_enc_reg[2]_2\ => \gen_decerr.decerr_slave_inst_n_8\, - \m_atarget_enc_reg[3]\(0) => m_atarget_enc_comb(3), + m_atarget_enc_comb(0) => m_atarget_enc_comb(3), + \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_16, + \m_atarget_enc_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_5\, + \m_atarget_enc_reg[0]_1\ => splitter_aw_n_4, + \m_atarget_enc_reg[0]_2\ => reg_slice_r_n_5, + \m_atarget_enc_reg[0]_3\ => reg_slice_r_n_2, + \m_atarget_enc_reg[1]\ => splitter_aw_n_5, + \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_3\, + \m_atarget_enc_reg[1]_1\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[2]\ => \gen_decerr.decerr_slave_inst_n_4\, + \m_atarget_enc_reg[2]_0\ => splitter_aw_n_3, + \m_atarget_enc_reg[3]\ => addr_arbiter_inst_n_17, \m_atarget_enc_reg[3]_0\ => \gen_decerr.decerr_slave_inst_n_6\, - \m_atarget_enc_reg[3]_1\ => \gen_decerr.decerr_slave_inst_n_5\, - \m_atarget_hot_reg[2]\ => addr_arbiter_inst_n_103, - \m_atarget_hot_reg[7]\ => addr_arbiter_inst_n_11, - \m_atarget_hot_reg[7]_0\ => addr_arbiter_inst_n_12, - \m_atarget_hot_reg[7]_1\ => addr_arbiter_inst_n_13, - \m_atarget_hot_reg[8]\(7 downto 3) => m_atarget_hot(8 downto 4), - \m_atarget_hot_reg[8]\(2 downto 0) => m_atarget_hot(2 downto 0), + \m_atarget_hot_reg[1]\ => addr_arbiter_inst_n_19, + \m_atarget_hot_reg[4]\ => addr_arbiter_inst_n_18, + \m_atarget_hot_reg[7]\ => addr_arbiter_inst_n_104, + \m_atarget_hot_reg[8]\(8 downto 0) => m_atarget_hot(8 downto 0), m_axi_arready(7 downto 0) => m_axi_arready(7 downto 0), - m_axi_arvalid(6 downto 0) => m_axi_arvalid(6 downto 0), + m_axi_arvalid(7 downto 0) => m_axi_arvalid(7 downto 0), m_axi_awready(7 downto 0) => m_axi_awready(7 downto 0), - m_axi_awvalid(6 downto 0) => m_axi_awvalid(6 downto 0), - m_axi_bready(6 downto 0) => m_axi_bready(6 downto 0), + m_axi_awvalid(7 downto 0) => m_axi_awvalid(7 downto 0), + m_axi_bready(7 downto 0) => m_axi_bready(7 downto 0), m_axi_bvalid(3) => m_axi_bvalid(6), m_axi_bvalid(2) => m_axi_bvalid(4), m_axi_bvalid(1) => m_axi_bvalid(2), @@ -5885,19 +5967,23 @@ addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_ar m_axi_wready(2) => m_axi_wready(5), m_axi_wready(1) => m_axi_wready(3), m_axi_wready(0) => m_axi_wready(1), - m_axi_wvalid(6 downto 0) => m_axi_wvalid(6 downto 0), + m_axi_wvalid(7 downto 0) => m_axi_wvalid(7 downto 0), m_ready_d(2 downto 0) => m_ready_d_0(2 downto 0), + m_ready_d0(0) => m_ready_d0(0), m_ready_d_0(1 downto 0) => m_ready_d(1 downto 0), - \m_ready_d_reg[0]\ => addr_arbiter_inst_n_78, - \m_ready_d_reg[0]_0\ => addr_arbiter_inst_n_79, - \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_93, - \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_94, - \m_ready_d_reg[0]_3\ => addr_arbiter_inst_n_96, - \m_ready_d_reg[2]\ => addr_arbiter_inst_n_50, - \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_52, - \m_ready_d_reg[2]_1\ => addr_arbiter_inst_n_62, + \m_ready_d_reg[0]\ => addr_arbiter_inst_n_88, + \m_ready_d_reg[0]_0\ => addr_arbiter_inst_n_89, + \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_94, + \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_106, + \m_ready_d_reg[0]_3\ => addr_arbiter_inst_n_109, + \m_ready_d_reg[0]_4\ => addr_arbiter_inst_n_110, + \m_ready_d_reg[2]\ => addr_arbiter_inst_n_4, + \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_56, + \m_ready_d_reg[2]_1\ => addr_arbiter_inst_n_58, + \m_ready_d_reg[2]_2\ => addr_arbiter_inst_n_70, + \m_ready_d_reg[2]_3\ => addr_arbiter_inst_n_71, m_valid_i => m_valid_i, - m_valid_i_reg => reg_slice_r_n_2, + m_valid_i_reg => addr_arbiter_inst_n_93, mi_bvalid(0) => mi_bvalid(8), mi_wready(0) => mi_wready(8), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), @@ -5911,11 +5997,8 @@ addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_ar s_axi_bready(0) => s_axi_bready(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rready(0) => s_axi_rready(0), - s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), - s_ready_i_reg => addr_arbiter_inst_n_81, - s_ready_i_reg_0 => addr_arbiter_inst_n_84, sr_rvalid => sr_rvalid ); aresetn_d_reg: unisim.vcomponents.FDRE @@ -5936,21 +6019,22 @@ aresetn_d_reg: unisim.vcomponents.FDRE aa_rready => aa_rready, aclk => aclk, aresetn_d => aresetn_d, - \gen_no_arbiter.m_grant_hot_i_reg[0]\ => \gen_decerr.decerr_slave_inst_n_2\, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_4\, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => \gen_decerr.decerr_slave_inst_n_6\, + \gen_axilite.s_axi_awready_i_reg_0\ => addr_arbiter_inst_n_113, + \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_69, + \gen_no_arbiter.m_grant_hot_i_reg[0]\ => \gen_decerr.decerr_slave_inst_n_3\, + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_6\, + \gen_no_arbiter.m_valid_i_reg\ => addr_arbiter_inst_n_91, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => splitter_aw_n_3, - \m_atarget_enc_reg[2]\ => addr_arbiter_inst_n_52, - \m_atarget_enc_reg[2]_0\ => addr_arbiter_inst_n_97, - \m_atarget_enc_reg[2]_1\ => splitter_aw_n_5, - \m_atarget_enc_reg[2]_2\ => splitter_aw_n_4, - \m_atarget_enc_reg[2]_3\ => addr_arbiter_inst_n_96, - \m_atarget_enc_reg[2]_4\ => addr_arbiter_inst_n_95, - \m_atarget_enc_reg[2]_5\ => addr_arbiter_inst_n_94, - \m_atarget_enc_reg[2]_6\ => addr_arbiter_inst_n_93, - \m_atarget_hot_reg[8]\ => addr_arbiter_inst_n_104, - \m_atarget_hot_reg[8]_0\ => addr_arbiter_inst_n_105, + \m_atarget_enc_reg[0]\ => splitter_aw_n_4, + \m_atarget_enc_reg[0]_0\ => addr_arbiter_inst_n_106, + \m_atarget_enc_reg[0]_1\ => reg_slice_r_n_5, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_4, + \m_atarget_enc_reg[2]\ => reg_slice_r_n_6, + \m_atarget_enc_reg[2]_0\ => addr_arbiter_inst_n_58, + \m_atarget_enc_reg[2]_1\ => addr_arbiter_inst_n_108, + \m_atarget_enc_reg[2]_2\ => addr_arbiter_inst_n_107, + \m_atarget_enc_reg[2]_3\ => addr_arbiter_inst_n_109, + \m_atarget_enc_reg[2]_4\ => addr_arbiter_inst_n_110, m_axi_awready(1) => m_axi_awready(4), m_axi_awready(0) => m_axi_awready(0), m_axi_bvalid(1) => m_axi_bvalid(4), @@ -5959,50 +6043,51 @@ aresetn_d_reg: unisim.vcomponents.FDRE m_axi_rvalid(0) => m_axi_rvalid(0), m_axi_wready(1) => m_axi_wready(4), m_axi_wready(0) => m_axi_wready(0), - m_ready_d(0) => m_ready_d_0(2), + m_ready_d(0) => m_ready_d(0), + m_ready_d_0(0) => m_ready_d_0(2), \m_ready_d_reg[0]\ => \gen_decerr.decerr_slave_inst_n_7\, - \m_ready_d_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_60, - \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_84, - \m_ready_d_reg[1]\ => addr_arbiter_inst_n_63, - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_92, - \m_ready_d_reg[2]\ => \gen_decerr.decerr_slave_inst_n_3\, - \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_80, + \m_ready_d_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_67, + \m_ready_d_reg[1]\ => addr_arbiter_inst_n_71, + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_103, + \m_ready_d_reg[2]\ => \gen_decerr.decerr_slave_inst_n_4\, + \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_90, + m_valid_i_reg => \gen_decerr.decerr_slave_inst_n_2\, mi_bvalid(0) => mi_bvalid(8), mi_wready(0) => mi_wready(8), - \s_axi_wready[0]\ => \gen_decerr.decerr_slave_inst_n_5\, - s_ready_i_reg => \gen_decerr.decerr_slave_inst_n_8\ + \s_axi_wready[0]\ => \gen_decerr.decerr_slave_inst_n_5\ ); -\m_atarget_enc[0]_i_1\: unisim.vcomponents.LUT5 +\m_atarget_enc[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888088" + INIT => X"00000000FFFF0B3A" ) port map ( - I0 => addr_arbiter_inst_n_101, - I1 => aresetn_d, - I2 => addr_arbiter_inst_n_13, - I3 => addr_arbiter_inst_n_12, - I4 => addr_arbiter_inst_n_11, + I0 => addr_arbiter_inst_n_18, + I1 => addr_arbiter_inst_n_19, + I2 => \^q\(16), + I3 => \^q\(17), + I4 => addr_arbiter_inst_n_104, + I5 => addr_arbiter_inst_n_16, O => \m_atarget_enc[0]_i_1_n_0\ ); -\m_atarget_enc[1]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_enc[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"A8" + INIT => X"CC08" ) port map ( - I0 => aresetn_d, - I1 => addr_arbiter_inst_n_13, - I2 => addr_arbiter_inst_n_103, + I0 => \^q\(17), + I1 => aresetn_d, + I2 => addr_arbiter_inst_n_19, + I3 => addr_arbiter_inst_n_17, O => \m_atarget_enc[1]_i_1_n_0\ ); -\m_atarget_enc[2]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_enc[2]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"8A" + INIT => X"8" ) port map ( I0 => aresetn_d, - I1 => addr_arbiter_inst_n_13, - I2 => addr_arbiter_inst_n_12, + I1 => f_hot2enc_return0, O => \m_atarget_enc[2]_i_1_n_0\ ); \m_atarget_enc_reg[0]\: unisim.vcomponents.FDRE @@ -6041,7 +6126,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_10, + D => m_atarget_hot0(0), Q => m_atarget_hot(0), R => reset ); @@ -6049,7 +6134,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => m_atarget_hot0(1), + D => addr_arbiter_inst_n_13, Q => m_atarget_hot(1), R => reset ); @@ -6061,6 +6146,14 @@ aresetn_d_reg: unisim.vcomponents.FDRE Q => m_atarget_hot(2), R => reset ); +\m_atarget_hot_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_atarget_hot0(3), + Q => m_atarget_hot(3), + R => reset + ); \m_atarget_hot_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -6073,7 +6166,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_6, + D => m_atarget_hot0(5), Q => m_atarget_hot(5), R => reset ); @@ -6097,111 +6190,105 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_3, + D => addr_arbiter_inst_n_6, Q => m_atarget_hot(8), R => reset ); reg_slice_r: entity work.system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice port map ( E(0) => p_1_in, - Q(6 downto 3) => m_atarget_hot(7 downto 4), - Q(2 downto 0) => m_atarget_hot(2 downto 0), + Q(7 downto 0) => m_atarget_hot(7 downto 0), SR(0) => reset, + aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aa_rready => aa_rready, aclk => aclk, + \gen_no_arbiter.m_valid_i_reg\ => reg_slice_r_n_2, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_81, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_94, + \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[3]\ => \gen_decerr.decerr_slave_inst_n_2\, + \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_5, m_axi_rdata(255 downto 0) => m_axi_rdata(255 downto 0), - m_axi_rready(6 downto 0) => m_axi_rready(6 downto 0), + m_axi_rready(7 downto 0) => m_axi_rready(7 downto 0), m_axi_rresp(15 downto 0) => m_axi_rresp(15 downto 0), m_axi_rvalid(5 downto 3) => m_axi_rvalid(7 downto 5), m_axi_rvalid(2 downto 0) => m_axi_rvalid(3 downto 1), - m_ready_d(0) => m_ready_d(0), - \m_ready_d_reg[1]\ => reg_slice_r_n_2, + m_ready_d(1 downto 0) => m_ready_d(1 downto 0), + m_ready_d0(0) => m_ready_d0(0), m_valid_i => m_valid_i, + m_valid_i_reg_0 => reg_slice_r_n_5, + m_valid_i_reg_1 => reg_slice_r_n_6, + m_valid_i_reg_2 => addr_arbiter_inst_n_93, \s_axi_rdata[31]\(33 downto 0) => \s_axi_rdata[31]\(33 downto 0), s_axi_rready(0) => s_axi_rready(0), - s_ready_i_reg_0 => reg_slice_r_n_6, - s_ready_i_reg_1 => reg_slice_r_n_7, - \skid_buffer_reg[2]_0\ => reg_slice_r_n_3, + s_axi_rvalid(0) => s_axi_rvalid(0), \skid_buffer_reg[3]_0\ => reg_slice_r_n_4, - \skid_buffer_reg[3]_1\ => reg_slice_r_n_5, sr_rvalid => sr_rvalid ); \s_axi_bresp[0]_INST_0\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFFBAFFBABA" + INIT => X"FFFEFFFEFFFFFFFE" ) port map ( I0 => \s_axi_bresp[0]_INST_0_i_1_n_0\, I1 => \s_axi_bresp[0]_INST_0_i_2_n_0\, - I2 => m_axi_bresp(10), - I3 => reg_slice_r_n_5, - I4 => m_axi_bresp(12), - I5 => \s_axi_bresp[0]_INST_0_i_4_n_0\, + I2 => \s_axi_bresp[0]_INST_0_i_3_n_0\, + I3 => \s_axi_bresp[0]_INST_0_i_4_n_0\, + I4 => m_axi_bresp(0), + I5 => reg_slice_r_n_4, O => s_axi_bresp(0) ); \s_axi_bresp[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000030800000008" + INIT => X"020C000002000000" ) port map ( - I0 => m_axi_bresp(8), + I0 => m_axi_bresp(6), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_bresp(2), + I5 => m_axi_bresp(12), O => \s_axi_bresp[0]_INST_0_i_1_n_0\ ); -\s_axi_bresp[0]_INST_0_i_2\: unisim.vcomponents.LUT4 +\s_axi_bresp[0]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFDF" + INIT => X"00140004" ) port map ( I0 => m_atarget_enc(2), I1 => m_atarget_enc(3), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), + I4 => m_axi_bresp(2), O => \s_axi_bresp[0]_INST_0_i_2_n_0\ ); -\s_axi_bresp[0]_INST_0_i_4\: unisim.vcomponents.LUT6 +\s_axi_bresp[0]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFF4FFFFFFF4FFF4" + INIT => X"0000C20000000200" ) port map ( - I0 => reg_slice_r_n_4, - I1 => m_axi_bresp(0), - I2 => \s_axi_bresp[0]_INST_0_i_5_n_0\, - I3 => \s_axi_bresp[0]_INST_0_i_6_n_0\, - I4 => reg_slice_r_n_3, - I5 => m_axi_bresp(4), - O => \s_axi_bresp[0]_INST_0_i_4_n_0\ + I0 => m_axi_bresp(8), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_bresp(14), + O => \s_axi_bresp[0]_INST_0_i_3_n_0\ ); -\s_axi_bresp[0]_INST_0_i_5\: unisim.vcomponents.LUT6 +\s_axi_bresp[0]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0E00000002000000" + INIT => X"0003080000000800" ) port map ( - I0 => m_axi_bresp(6), + I0 => m_axi_bresp(10), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_bresp(14), - O => \s_axi_bresp[0]_INST_0_i_5_n_0\ - ); -\s_axi_bresp[0]_INST_0_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0100" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \s_axi_bresp[0]_INST_0_i_6_n_0\ + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(4), + O => \s_axi_bresp[0]_INST_0_i_4_n_0\ ); \s_axi_bresp[1]_INST_0\: unisim.vcomponents.LUT6 generic map( @@ -6216,55 +6303,55 @@ reg_slice_r: entity work.system_design_xbar_0_axi_register_slice_v2_1_9_axic_reg I5 => reg_slice_r_n_4, O => s_axi_bresp(1) ); -\s_axi_bresp[1]_INST_0_i_1\: unisim.vcomponents.LUT5 +\s_axi_bresp[1]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"10040004" + INIT => X"0200030002000000" ) port map ( - I0 => m_atarget_enc(2), - I1 => m_atarget_enc(3), - I2 => m_atarget_enc(1), + I0 => m_axi_bresp(7), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), - I4 => m_axi_bresp(7), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(3), O => \s_axi_bresp[1]_INST_0_i_1_n_0\ ); -\s_axi_bresp[1]_INST_0_i_2\: unisim.vcomponents.LUT6 +\s_axi_bresp[1]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"0C00080000000800" + INIT => X"01800100" ) port map ( - I0 => m_axi_bresp(13), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_bresp(15), + I0 => m_atarget_enc(1), + I1 => m_atarget_enc(0), + I2 => m_atarget_enc(2), + I3 => m_atarget_enc(3), + I4 => m_axi_bresp(15), O => \s_axi_bresp[1]_INST_0_i_2_n_0\ ); \s_axi_bresp[1]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"000B000000080000" ) port map ( - I0 => m_axi_bresp(11), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_bresp(9), + I0 => m_axi_bresp(13), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(5), O => \s_axi_bresp[1]_INST_0_i_3_n_0\ ); \s_axi_bresp[1]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000002C00000020" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_bresp(3), + I0 => m_axi_bresp(11), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), - I5 => m_axi_bresp(5), + I5 => m_axi_bresp(9), O => \s_axi_bresp[1]_INST_0_i_4_n_0\ ); splitter_ar: entity work.\system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ @@ -6273,27 +6360,24 @@ splitter_ar: entity work.\system_design_xbar_0_axi_crossbar_v2_1_10_splitter__pa aresetn_d => aresetn_d, m_atarget_enc(1) => m_atarget_enc(3), m_atarget_enc(0) => m_atarget_enc(0), - \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_atarget_enc_reg[1]_0\ => addr_arbiter_inst_n_83, + \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[1]_0\ => addr_arbiter_inst_n_94, m_ready_d(1 downto 0) => m_ready_d(1 downto 0), - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_92, - m_valid_i_reg => reg_slice_r_n_2 + m_ready_d0(0) => m_ready_d0(0), + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_103 ); splitter_aw: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_splitter port map ( aclk => aclk, aresetn_d => aresetn_d, - \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_51, - \gen_no_arbiter.m_grant_hot_i_reg[0]\ => splitter_aw_n_3, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => splitter_aw_n_4, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => splitter_aw_n_5, - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => splitter_aw_n_6, + \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_57, + \gen_no_arbiter.m_grant_hot_i_reg[0]\ => splitter_aw_n_5, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_50, - \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_78, - \m_atarget_enc_reg[2]\ => addr_arbiter_inst_n_96, + \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_106, + \m_atarget_enc_reg[0]_0\ => addr_arbiter_inst_n_56, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_88, \m_atarget_enc_reg[3]\ => \gen_decerr.decerr_slave_inst_n_7\, - \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_79, + \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_89, m_axi_bvalid(3) => m_axi_bvalid(7), m_axi_bvalid(2) => m_axi_bvalid(5), m_axi_bvalid(1) => m_axi_bvalid(3), @@ -6303,7 +6387,9 @@ splitter_aw: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_splitter m_axi_wready(1) => m_axi_wready(2), m_axi_wready(0) => m_axi_wready(0), m_ready_d(2 downto 0) => m_ready_d_0(2 downto 0), - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_62 + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_70, + \m_ready_d_reg[2]_0\ => splitter_aw_n_3, + \m_ready_d_reg[2]_1\ => splitter_aw_n_4 ); end STRUCTURE; library IEEE; @@ -6430,9 +6516,9 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar is attribute C_FAMILY : string; attribute C_FAMILY of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; - attribute C_M_AXI_ADDR_WIDTH of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; + attribute C_M_AXI_ADDR_WIDTH of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; - attribute C_M_AXI_BASE_ADDR of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; + attribute C_M_AXI_BASE_ADDR of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; @@ -6509,12 +6595,7 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar signal \<const0>\ : STD_LOGIC; signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal \^m_axi_arvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 255 downto 240 ); - signal \^m_axi_awvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_rready\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_wvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin @@ -6768,9 +6849,6 @@ begin m_axi_aruser(2) <= \<const0>\; m_axi_aruser(1) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; - m_axi_arvalid(7 downto 4) <= \^m_axi_arvalid\(7 downto 4); - m_axi_arvalid(3) <= \<const0>\; - m_axi_arvalid(2 downto 0) <= \^m_axi_arvalid\(2 downto 0); m_axi_awaddr(255 downto 240) <= \^m_axi_awaddr\(255 downto 240); m_axi_awaddr(239 downto 224) <= \^m_axi_araddr\(15 downto 0); m_axi_awaddr(223 downto 208) <= \^m_axi_awaddr\(255 downto 240); @@ -7019,15 +7097,6 @@ begin m_axi_awuser(2) <= \<const0>\; m_axi_awuser(1) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; - m_axi_awvalid(7 downto 4) <= \^m_axi_awvalid\(7 downto 4); - m_axi_awvalid(3) <= \<const0>\; - m_axi_awvalid(2 downto 0) <= \^m_axi_awvalid\(2 downto 0); - m_axi_bready(7 downto 4) <= \^m_axi_bready\(7 downto 4); - m_axi_bready(3) <= \<const0>\; - m_axi_bready(2 downto 0) <= \^m_axi_bready\(2 downto 0); - m_axi_rready(7 downto 4) <= \^m_axi_rready\(7 downto 4); - m_axi_rready(3) <= \<const0>\; - m_axi_rready(2 downto 0) <= \^m_axi_rready\(2 downto 0); m_axi_wdata(255 downto 224) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(223 downto 192) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(191 downto 160) <= \^s_axi_wdata\(31 downto 0); @@ -7068,9 +7137,6 @@ begin m_axi_wuser(2) <= \<const0>\; m_axi_wuser(1) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; - m_axi_wvalid(7 downto 4) <= \^m_axi_wvalid\(7 downto 4); - m_axi_wvalid(3) <= \<const0>\; - m_axi_wvalid(2 downto 0) <= \^m_axi_wvalid\(2 downto 0); s_axi_bid(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; @@ -7088,23 +7154,18 @@ GND: unisim.vcomponents.GND aclk => aclk, aresetn => aresetn, m_axi_arready(7 downto 0) => m_axi_arready(7 downto 0), - m_axi_arvalid(6 downto 3) => \^m_axi_arvalid\(7 downto 4), - m_axi_arvalid(2 downto 0) => \^m_axi_arvalid\(2 downto 0), + m_axi_arvalid(7 downto 0) => m_axi_arvalid(7 downto 0), m_axi_awready(7 downto 0) => m_axi_awready(7 downto 0), - m_axi_awvalid(6 downto 3) => \^m_axi_awvalid\(7 downto 4), - m_axi_awvalid(2 downto 0) => \^m_axi_awvalid\(2 downto 0), - m_axi_bready(6 downto 3) => \^m_axi_bready\(7 downto 4), - m_axi_bready(2 downto 0) => \^m_axi_bready\(2 downto 0), + m_axi_awvalid(7 downto 0) => m_axi_awvalid(7 downto 0), + m_axi_bready(7 downto 0) => m_axi_bready(7 downto 0), m_axi_bresp(15 downto 0) => m_axi_bresp(15 downto 0), m_axi_bvalid(7 downto 0) => m_axi_bvalid(7 downto 0), m_axi_rdata(255 downto 0) => m_axi_rdata(255 downto 0), - m_axi_rready(6 downto 3) => \^m_axi_rready\(7 downto 4), - m_axi_rready(2 downto 0) => \^m_axi_rready\(2 downto 0), + m_axi_rready(7 downto 0) => m_axi_rready(7 downto 0), m_axi_rresp(15 downto 0) => m_axi_rresp(15 downto 0), m_axi_rvalid(7 downto 0) => m_axi_rvalid(7 downto 0), m_axi_wready(7 downto 0) => m_axi_wready(7 downto 0), - m_axi_wvalid(6 downto 3) => \^m_axi_wvalid\(7 downto 4), - m_axi_wvalid(2 downto 0) => \^m_axi_wvalid\(2 downto 0), + m_axi_wvalid(7 downto 0) => m_axi_wvalid(7 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready(0) => s_axi_arready(0), @@ -7235,9 +7296,9 @@ architecture STRUCTURE of system_design_xbar_0 is attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; - attribute C_M_AXI_ADDR_WIDTH of inst : label is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; + attribute C_M_AXI_ADDR_WIDTH of inst : label is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; - attribute C_M_AXI_BASE_ADDR of inst : label is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; + attribute C_M_AXI_BASE_ADDR of inst : label is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v index e85d184c..8ca26fbb 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:34 2017 +// Date : Mon Dec 18 11:25:01 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl index ce944ab7..93f03b95 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:34 2017 +-- Date : Mon Dec 18 11:25:01 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v new file mode 100644 index 00000000..53f3fc6e --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v @@ -0,0 +1,416 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_crossbar:2.1 +// IP Revision: 10 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_xbar_1 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *) +input wire [7 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *) +input wire [0 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *) +input wire [0 : 0] s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *) +output wire [0 : 0] s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *) +input wire [0 : 0] s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *) +input wire [0 : 0] s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *) +output wire [0 : 0] s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *) +output wire [0 : 0] s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *) +input wire [0 : 0] s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *) +input wire [7 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *) +input wire [0 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *) +input wire [0 : 0] s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *) +output wire [0 : 0] s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *) +output wire [0 : 0] s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *) +output wire [0 : 0] s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *) +input wire [0 : 0] s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI AWID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [31:0] [63:32]" *) +output wire [63 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [3:0] [7:4]" *) +output wire [7 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI BID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI ARID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI RID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [31:0] [63:32]" *) +input wire [63 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_rready; + + axi_crossbar_v2_1_10_axi_crossbar #( + .C_FAMILY("zynq"), + .C_NUM_SLAVE_SLOTS(1), + .C_NUM_MASTER_SLOTS(2), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_PROTOCOL(0), + .C_NUM_ADDR_RANGES(1), + .C_M_AXI_BASE_ADDR(128'Hffffffffffffffff0000000080000000), + .C_M_AXI_ADDR_WIDTH(64'H0000000000000010), + .C_S_AXI_BASE_ID(32'H00000000), + .C_S_AXI_THREAD_ID_WIDTH(32'H0000000c), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_M_AXI_WRITE_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_M_AXI_READ_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_R_REGISTER(0), + .C_S_AXI_SINGLE_THREAD(32'H00000000), + .C_S_AXI_WRITE_ACCEPTANCE(32'H00000008), + .C_S_AXI_READ_ACCEPTANCE(32'H00000008), + .C_M_AXI_WRITE_ISSUING(64'H0000000800000002), + .C_M_AXI_READ_ISSUING(64'H0000000800000002), + .C_S_AXI_ARB_PRIORITY(32'H00000000), + .C_M_AXI_SECURE(32'H00000000), + .C_CONNECTIVITY_MODE(1) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(12'H000), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(2'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(2'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v new file mode 100644 index 00000000..5b980386 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v @@ -0,0 +1,419 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_crossbar:2.1 +// IP Revision: 10 + +(* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) +(* CHECK_LICENSE_TYPE = "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{}" *) +(* CORE_GENERATION_INFO = "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=2,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=0,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0xffffffffffffffff0000000080000000,C_M_AXI_ADDR_WIDTH=0x0000000000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_\ +ID_WIDTH=0x0000000c,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_M_AXI_READ_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_R_REGISTER=0,C_S_AXI_SINGLE_THREAD=0x00000000,C_S_AXI_WRITE_ACCEPTANCE=0x00000008,C_S_AXI_READ_ACCEPTANCE=0x00000008,C_M_AXI_WRITE_ISSUING=0x0000000800000002,C_M_AXI_READ_ISSUING=0x0000000800000002,C_S_AXI_ARB_PRIORITY=0x00000000,C_M_AXI_SECURE=0x00000000\ +,C_CONNECTIVITY_MODE=1}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_xbar_1 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *) +input wire [7 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *) +input wire [0 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *) +input wire [0 : 0] s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *) +output wire [0 : 0] s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *) +input wire [0 : 0] s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *) +input wire [0 : 0] s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *) +output wire [0 : 0] s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *) +output wire [0 : 0] s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *) +input wire [0 : 0] s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *) +input wire [7 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *) +input wire [0 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *) +input wire [0 : 0] s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *) +output wire [0 : 0] s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *) +output wire [0 : 0] s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *) +output wire [0 : 0] s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *) +input wire [0 : 0] s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI AWID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [31:0] [63:32]" *) +output wire [63 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [3:0] [7:4]" *) +output wire [7 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI BID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI ARID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI RID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [31:0] [63:32]" *) +input wire [63 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_rready; + + axi_crossbar_v2_1_10_axi_crossbar #( + .C_FAMILY("zynq"), + .C_NUM_SLAVE_SLOTS(1), + .C_NUM_MASTER_SLOTS(2), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_PROTOCOL(0), + .C_NUM_ADDR_RANGES(1), + .C_M_AXI_BASE_ADDR(128'Hffffffffffffffff0000000080000000), + .C_M_AXI_ADDR_WIDTH(64'H0000000000000010), + .C_S_AXI_BASE_ID(32'H00000000), + .C_S_AXI_THREAD_ID_WIDTH(32'H0000000c), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_M_AXI_WRITE_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_M_AXI_READ_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_R_REGISTER(0), + .C_S_AXI_SINGLE_THREAD(32'H00000000), + .C_S_AXI_WRITE_ACCEPTANCE(32'H00000008), + .C_S_AXI_READ_ACCEPTANCE(32'H00000008), + .C_M_AXI_WRITE_ISSUING(64'H0000000800000002), + .C_M_AXI_READ_ISSUING(64'H0000000800000002), + .C_S_AXI_ARB_PRIORITY(32'H00000000), + .C_M_AXI_SECURE(32'H00000000), + .C_CONNECTIVITY_MODE(1) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(12'H000), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(2'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(2'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b78361a548e5d2e95a11b8caffd363f71684507c GIT binary patch literal 329298 zcmb5WWmH^Ew=ImjyEN_u5AN>n5;PFp-Q6`vAh<&yK#<_>5(oq*xNC5Nb2spubDsAb z<9y@ZKixG~tu<>^cOgCJ-mNSL355j)1_lSltd}U)$0>_f;RyluTZ94(4~RN@I=h(J z8k(9pTbkP$dYBkH8L~2&nSMBIvv(%ss$stO6M`OLp1C3nKMzk%URa=)O7?LfsmlDA zrLNfIQ*04g??E2fOldptck1TPPcS?uFeHN5Kj3DG3pTc*qw<gs*6icRxf33oP3eC7 zjP1;yl763A)uj2gzj?al&Q2X#@oF<)-<lorVa-~){AJKF>)-Bm>viVpr1tc%vw7Dr zf4}eM)A8u5*5UWqU>?2YabtGcuv}|@zURc#go!n>ceHur<m0^}cQ+)$EaN?7%q-)z zmz(;9?Rcm5=lQAa@zC*P)kgVz(sC^}i4uL{TJ*(`^Uc!M;gy?H!=b><*2u+&`SN8U zU-yTizy9rum-KN-Yd>{vojG?OtS=PRIecz=B`#0BkM|5o%5${VA8LOOIo)d=UmRY_ zbZAuz<t+RD5xCj&a^t(%^W)V~+!l(3YMpPR-6)Bge9C^uge#Pl9lQMKa(i|(<ftQ{ zH}+}enC5DQ8RvYYPbqpn^=8Xdg<8nB^>!%XL21(aTx1Dvx~FC9`}uTql_(a$<PfTn zm!m+oQl;Omwb$FWy8{WT<(z(hg^`gPn`$(9KZQ3n2jv+#Ws;f8KLi_AKHVm97LrV$ zp|gw%DZXJExiNKI=})#rE-pWHdgqt!m)<1uxoV`Pd}y=%-4FYPgtLl3am!+Rj8&hj zB9rg2mftwiEJoD@n!mCi*ILlsG$J1vS?6l_DB|XqGuRcUVsZ%%a5+t}<TR)dA3@5_ zCkv+S&Eyuk2srevWOsdp*s*fr`5cWy;BVV7Zif=LQC@yCoba90_UD{*U|nMedbS1O zm;=|E{K%G%PXnGIlew+@Z`NDi>_v@x!%rjw(L)0n^IJL5oNTKZXHNV%{>%gJS_pc_ zH!FpxPhPi<g4zDZ`i2T`wu>7kw=NC})6Fjy-eR$Cq`fm(87;GF|C_z!+w{QwIi5PF z*d1Gi!z@89(n^!1scEl`m*k5fg!S?C(9KZad^LSxdayjrikExRmI4PxdB>*K4$ZMa zPMfcmzlJYYTe<kXr4P?u1+m84^m(6o?`dy0jx4Pjoay$Pqot~jHno&!|9z4(Ap)rm z5)%7_0z-UI+vAabyU3^IYwfZQo`VCDtSr6`8Hjcn$o3)rl}>Vkdp+@OuBIJA?U)|_ z=!yG&n*M1n$e&!_N^1v5t?>M6Mo+-&m85WK2u)kyjPB-oF87l#?=#RJheCHSg#|Tg ziwTpJc0M3aSb;5^jzmX4Efx~_Y4R9s3>&5^{poK=T0YHKPTJr)uFbh4$>u-a`U#n< zN2Lxuz8n9O-$cjvJjZ;;{P^UU!9dcyji%r&7ncZh>ydybp*RZr%HHnL#$J=XKn~cM zr}rr@{-Xta8`5qIv#+oFW$aJ#igtLGN3v1J``f1;p|kJ!<D}+09a1*296}so%h?A0 z8$`CYm3>dMNxk;9H#uj%sbBJ}|Mtx_D4$Ok+B2k+vLWW8CKOlvJ`gGMxf#&W!H-Hx zEqG$MGvoNMr?fmWQO-Ced6M6GbLhur=y&|^<*3`IC3{I;&`;-n_F~`v(Zlyq>gM+F zz7Pu$<>`%Vrf>Q7SsTrI>_VwC*5B_{U_O=Y^5H$~xCOMrbN8y({TpX)x-z>5*B`vF zkvdK5H2B^v$!6xzxNjXb8iZys;--j?c=G5ow5yBKF&}?&>Js?Ud;b{xU`us?TVcOK zlMEBl{j_A$#_Xymdz0cc-PBEf(R05UdO0*kk5mC2N-C<CK#A^#$TX!XwS<>Zg_^9j zpQy#=g2><s&wwu&(W?n(SG2jGCtCmYG-Sq4uW+JaV{6NmWh1MPc0n_uLbErc?DKSP zZdlCzTJ+4DAfuc6&jvSTwok`4D#RAH`VS9}t37vXc}{cTQfpsrRWjmtlKM<NwG3ps z8bH+Up*9n7%=V9q9#qy!TcS-gxD_aS<!;dn{i@>c7Y_tjAANFY8RgwEcA86;;yYG; z^uJjl9VtToM%?k!Nz~bGCSSunN2y<HCAP~za9(36vAwc;Gfler;Qh#1yFAR+h26&G z93opA4TXiz)pUo#Xr0q1F;DXmJ6ky7O6n~<`^xsqc=OcS{f(BQg%OSTV)y8+>&o<< z;UgVZvTP+mjss+qFh=6R1}>{H=Eru<tFRoQS;96tHM?&?X2T7eY~T$tV}*%@SWk95 zitAf<lsBXX2(HjNs&`d_*^9CHII>z<RQQ5zfBvH08EJXkZC~i$kZ73gJXw|E)GCdf zZI7J!@n_MH(oNlaK3U8O{rW=tt6X5jk^^p`#@fI*k<cae-6w>hA%DL#I45l=9_k?J zVP`KduQRlhhx5z!na{1Ym-`~pKMCA$$5{NmeCLF?v7d0CuCCammFJE96F?{wva%#@ z_lXFE!Q?Xg9v&WFpN`k4rgbN!!$j3DX*cvI(8}@23KVDbHN!=}2(Q@;82R39ec)kC zo$c0Q><hl#8MSdU932=rEfRjmG;sbi$&80Pf#7p$iea93#mA0sgXMd7h{5*{tHNbp zNC$d5tSN}4VuI~Vur|~1DCWo1E`MG{In5~~2Z1oRD8>ha6;-jO($FY$au-s|1)2r< z2PLsetC5}gbbN543K%YQZTbacZa;7c!J#Ss(&yGN^hK*(!|1mnfk6wY{|kYzrVb<J ziY<C}L7`8P$S=gp%O67?3WX_lCcR0@soCbF-15bnIUFlV?3Q~o!Pse#I2_bF+@ghI zh3t2{#nQRS;sJ6(YdJOGDJ8mYOAVd_yBc0wbsStnOX^wMNa#VQ4lfuWgOx{$7e%1k z)+mYZDOY55l_rT4G%|3#<!;@_Ixm|XSkov;)5;P=sp9Qx-M?`3nEvn7DqdfZfoqiK z^xnovnc9zXMUrr>*k)9K4S!q19AFz`sp9npQo>xL4?kWY{wN<K4%cEV=xuZIc#e8b z&jW>TU&C3(2Ci4Ynn>qmwZNL@z?uRmRRkOv03FY^#?CVxYZb3QP#EVqeFGFa+jtC; z3|$BOg8&*}{jB!}u8#l>=)CM4pxFUvgn-ruTKDgP)=Qf_uvGDk3@%pz0QsYwm>;Fe z3m^yvQc+o|yiR~ha87v?;vw$H|BBi>0ns7wZ3PtKa4w$C)}gMA6Y7bzH5F;&2%YG) zjc+(&@<d_r;v~S|-?a_xV0;rz=WFh-+i11vJp;xZ;c3$#L6q`Hl;_t4c8=gj7m&l# zIb(!EJV5XRydK8+gc|@raqvJjOfU~>e2;tQyYPWE9;3J(;yYwlgQA1agD0it{OqQA z{@7_pEb*it*Cr1P6<Z36gJp~Zi-Y-PDB#s;QzucYI~Mjs#Hy**>w2%W^nAY-6^Ltc z<pj_*{XlJXib(x>Xm<INxn%Td&uIuHZ13BOKGXU17OG<2kLd^0Tyej`4=zca`B*~- z?LCm;r(K-!`18g>gdsGF->X#VevYlQV+!=Ns}%XI^*!h=|CqyW7_38FUk+G1rD-S^ zt_;2Wd*-AGudGjXfqHqDBTD`xkg=>#S!ZRI?@AV^75if=XNMxV?b020+r0wC3iX$U zngl(q8MdiAUO|k5N}2cfWLiw|<n?@hR4EjTgqU3_5m8aOUtx-x@CH0ph&R&=8-F)8 z#;?BF=?51vSMq<qx!5Vz6s*WFu4AY5clL0Ud^@EUMNMd~&C|IHhgM=s9pDWS08!%x zu4r~9idTnt+K?1ql&_zbM4{Y<{D3em<0*swHEIA(ZQ&kcpCyDm5zmYgeHd(jR1{w) zgzXH@42e9MHAcB#B!mrxBH0luFXoR#A-cLiY?l<2h7v`o7lFw1BwSgxr1B+uP+7_I zOzuAV6h(CIz7CYePZOia*8zE{7mmnuEs!ape8~`0CS|d}A5^vj<j3dkdm?#?D_{N# z1C;=E$On8NECQuL^3PLmg5?XC6oKL(5?7vtTgQ{M_+*SENFF2H1E;_jGhPQg5sH9d z(Is<(;V8yMLeO_fyWy}z_{eEtwYYQ-Iu~bbv3qTaUAjgMh3A}Vv;!s$EjqsESXERu zeaA8Q=3Eiey?$CjSXEw7LvwPg4f5nc!pbnf4;OL7Wlog{iqmn0aVk5`<h5sJJl5pf zA0w3Svwnj4sk1D(TLwP|<$)<#v7){R{%xEF?C_m!Qjahs541zG5ULgrQL4YWFkQ=B zUTuf%5;J{!MMIxV;{(!l7({0CpYn`^sD*~Q4p%t|i5tn}DEl6m63s*R6j^J3MVvPf zt3)Q%_SzaIDibF@O?BEQcIfMy91wA)cwBVDe2N)lNzPg8&2Sx{BL#()X63lPqgcE7 zX5A>2z}_DGES;3d5f#2+e+?*d|JgW(;EmA{!&Og~n`lCff1=@fUF(fh*}KT3V2%V} zSCA>twwsGSdnio(eKKxhWbX51?2V-1G@Tz~@kPKRiXK$BB6FQN<uS4w<H5)lKmFY} z=BjlZ4H}*W{tdbGNGZY(+e`1e(MQfHSVwR>P2E-wbhSi@2~^dUn?+nQ78TPgrK1!< z=)y7()0@SOa%^pF{S(5R#t}~MEGarSBg2r_D6#pm^uYVG*BYO$WQH-cxE&9|315bR z;j~%x;C^v1YVvH`H^)i7s#4I>C(5$sIN`W`6UgRLu~TWE&m7RTB~bjAWw;pYmS5FH z`x8}RK-H3TQ13#Du^<>lJ|cjp=Fx=)=rJXWb_(FilC3g97NI%lXQ{$9)+hpLdL>vG zc}UzIv8vKoiY68Upbkko%CMOpbem++XV~)!RRBny3_eh;f)JT^=|D+Xlz^v;I#BZp z+5dsHFOXFi55=+o3q$N+EtgZ4OvUth)Ci1N>xkoQUMJ=Nh&~)p@S8TYcifA+9atWz zBAjCJ74|>F(9i+^iqk^IIRiDJNqAxypnaelNyMHmyO(alTY&XgDZ9Y!fnJehSnDXm z5`}r!wdtI(!822){j7yzk;7H0{%$^;oa{V?tJD^pfN0)Gd>w*|CGV=&V@DTWxH)ul zx^rZ!iXtGu_X!#wT8&31m=Q6;{N*1z3dx&6K0i{bxQ!92P#SJ&Ll8;RJ%^<;A$eJ3 zuQC^M`>lUFV61qKop8FrLfja$CYB&$Q~CQx`9=P+$+uEKpq(HCKT83fmc8uUSkf4i z?E^u^_6Iq%404U7QblRpP=!HG3!6l)CMmYm#nLgn=fJ&%&990e`76#cwPp!`!T~u0 zlA=luFC&soOh%j!>d&A>rW2BiZKO#$`63Q7UOlZ!KW(H%Y-bx)tXde4Y_fnXIRT{u zq})cb@kb1^#KZ_r_(iHoZZo_hah)Q{d>x2}b#_I3HyO!qaVK-?2qle{1T6Au`8H}8 z6WoCrQ3NGO2g$VO$>McNt7Ap|bUngU!=hB^3RsN-3l8aTZPd$b)Cnde1Mf<JOu`g; z!W79ml~w8rAXBpFGK4Na7Q#J<LlK{oUW1c9)}o;E=mXGKtZYiGEX4w?gOVQ5SAnWo z!LJBTghlRIZnL>k_4!iv=dzuDm!X0EtBhr=6JLsuLsB&fx(A_n7@-)wf)tNw4JmUm zfq^Y7Ycx4)lzc&l#f0LML0$YrV@Mav)@QaY9dR`j$?Qh*L@pYnu!aOWd$Q<V#sGBo zYA=~I7CaOgP3Cn;7wJyvT#N32_;ZVHqig;%pFTJtB@uL(&GW|YYMpM2EPf~W;5ALN z;fi7MW(_0LPj76pDPl<9cRMVyZ8e&q6LGP0GAvx<7vdqUu&A{g<4kZtL2l(E<BN0~ zHRgPF5~_{(LxXpMJ30zSAcX&DUVQ(PA^!;;hDnl8NG#+d8)*C;Pp+ppEEZCB4Ce<Z zV6A}dVenB3-drOz3-(dC(<aq0$n-a`?D4w+L0w-b`ePEn+0D3fo1BEtBT#7Zk_qMB zqKKMyod})ts*?fC{W#W{Y?(dpc)~r!chHcGX1L87?V6mH&LS!a@u(^shfm6#wzRg; zALv=IfcIkUVsm(Jh=NzZsI>uj3n_+Dq!Ohrq&suZ!X`u3n2?fNSR!_r0#tT@cUw<T zx!wnD;!|+m5rD+_l*8Q6CdPwK&jIv?TUpZqZ2}=k<Q71L9Lk(lXuv6<0SC?+r<JnQ zlmu`}93h|IQvzB-jtGkanCn6N<$m13FEuK`z**qu&T}08rUGeJ0E2Fe<-sfVd`@77 zC6UP&Xiu&sd+2(^ky9cGB-vF~(LaW%goP5&BXY!8<aNHMMJ_;s<WPsP!kZ8dl+q`V z#J{pEJ)C68_l1a`WRGF{F{%8y#8~_sig?}<&rG`{uST&aVg{c7*Cfj@i((J&I$ypc zL_9J_44ciQ@_eze_-`m;g<q0BFa^Wx$Q0?+C)a8{wDW9jZD=Kdw?cn~TH7q}`jjBs z+7bo=mkn}v0`GA(2*Ajusj<MroYaN`*i%(u3e}U@a<7V$!Fk}5*+}mc=pflDfI+zw zFpvfYdLncM-snc+v_&y^KH|!*EbSjvC80E6-$T%uJ;S)T7f8`VYv#fXfi#?-j4m?W zg+?likFHLH#GUs7uVG%`qC&|tq+n-ke2}A~2r3KCh)rfQ8GIhKC?>O!m-05MiM>p; z5GJ!V<AU4iD-TEH?yout6~(}np)h?^dx14<0JK72>Qf!wHGpaylcl48AwicUby!PK zl?*9{PW}DT%{%#mWOQRFh}2wKGjY5?atkS_t<`;)^g%-=-97udl?5f|yz*z|;O1<7 zeRFG9Xx7^7R_s^JXT?&@@e7(=6WujzkvRbiPE_&0n1P}n`UbFa`aeIt`BJx{EIwKM z>C93{GITSB=EI7<QL5XUw;$sV)HkuW=5KzxE0?CdVf*=|Hcnkpfh;b9vj9!O^zq_p z49%y?)Q4yOVK~B&10&a_g6+GY!If5&xnGe!I(bJvZC;1qmxRY*hb<TJ(?qtl7WJ|b zGnlx8_)LrwOIjUFQt#RPx-F%t91Zh_j#>$`#hJ*Tbpw*Or0+z2Kop6lh2|jy49UI? z8Ha0MIIbR_NGj5?=#6U*h54;dlN)$X35V=iz9dRfzr@Hshr<t!T-b$1aOT$<DtS1n zXYtL~3xZ(KJ!CwA>xhxv1ad_**mfIpTo{uRC^KIXrEt3e%Ho8;k=b2=q=qL(c5<vv z(cn;Mv~l5DAc;q^Q<P#Int@&W5DZ8%4jNB@glAwUF9njI@qi>}E+A<ENHSRml6*iw zQY_T?xbuXLg{cWIB1(EuTmUSRZ2*|78|=GBVfpZYUG(v{zvlx$OyKF~aS#!^SmT(h z05kwkCx{0i?)dp80OP>ZMV=wS_~ae{+riT<o*~hA?H>UC0Z)&AhNR<3=Kv&zNUwi} z6ywg<091rXUwVer<7y88^n*x;N&q0;_*)3w08=kvM6~ob31DzBNWoyPmsqAaB81A~ zO5jLpudwD7#(aK-ilVQxtFLhX6~<79ywrw?z0TUcLUQpJ7*qQS+g~Bl?JLxkc%2mv zeW|tkXEq!fY{HoU=qyMK=<EpS4C(7@M&dt<oxQ^Re;VZpd#SGxex>t&h2280t@OXb zUxKf*NZ~KZ+XAn%YOnB$|8@5BD};H4NUN_9hwo*UUjYm(pC+dkY)IY(hm@U>2pr9W z2^?Z-S}kFI(?%Fw0Qw{W3aYfcg!FCqd;qx@!fGTkoU)dZ$OqS2aES}7&J7B*+{)}A z`Oy!M-Tcs$xd$Iw(L|Icf7RVGc%l&@dyR4F5u->!j>4D^$k{0qiwlKj>x_L0?@<zQ z9&1{^<fKH+&k*}*Xg<=jww?R==-tFu!bI2!xqS1X+O4&d#PNI2yfd(MF1j;PUY(0~ zKK1+{MV#~^=DH5?1lS3w0`vRYO|r=+oikx)@UXa&r7iI6f#A)F>AoZx7+X&xCWF$p zSW?vdaOs~07Qhl@`JTvh0TIOH0z-Mog8<w^eTBIBFHi>qfK0>!X3uavZwL#3f&>D! z&#)km2N!^f&;sBE05r|}f)79gZ~>)fNR@{Vq6;Yf&{m#7;mo%Xz`_*{2FD+O1h&6l zJcyNmL(EP>361I~zq|ioQ2rw)@sH8mRkE>`=4N=dyuw(T{0uN+4A>KwOAOOx8A2s- zW^n#*D64z>l!F(^oY@hI5FQ@Hos-j22|5h6{OG<=Cs=|3CFRkiN%{*O<jrtKl3-v; zTBsu1y>+MJ7igV_P+-}WC_(aBmK%|~qye8X!1!&RgtPk%iBvg>IkmgvGs|ipnr%NR zjYF1HLPLqMlhOF-m$N-4Z7e(Q#&IzFTef3P)CZ(hQ1ux#eDWEztkCcZDE_{QS@(T8 zEwMWK`~%@PA!>x~ghn)RRrqcXY4nf^<TjdqOm(zMSK{`##<-?Cx%0hat6UZ;N#egY zSGMTJf@S6AcOsq=$!c;1(QqWPIZq0Ax%75|Ejd3@SG?_+#tc$$X-c+kM*OobvKc>} zm;G4A%sWXvpEo&m<Y(?E#_lXMjH{~LE<ZhrR4LG0C8_%PL~EFnm6KdzUZn(ev9;;k z=fDdpR$!^RkH4R-9T^%`us?0X7_{}|(2*BfN%4==#hgEAl~4ASXa{^Ozy#nCYU1Xr zeB*KD%6l_|NH5|;C&w-1`S<qlsD*=N=<a^<+Ub|x`xN8}J%-`aMv%YSr``E!7r~}? zistTZTpew9mkq^G5+axiO7_AU`WmyM>Lp>nVznCfrI-{RK3J5o+~xbXr8bFP*q1x* zN*w%d-mxWoO2HVspdh<1Z>2#E&8_DzTXQWh$({=KCFSzJ%6NP@@^X87b8U8NI9dM0 z+H`NIvVQnmzi%7!6y|W(u!xKmEHH%8Cq;|(u{}(OhT^t(OHh}J_E)w{i;iRJA8J!+ zI<*qjsHWrsts=z=^`x)DpT(7Bx6lnNmsUKkAe)=EJ$}zOYqquAY=)l7Oh*#et2Iy~ z#IXya6#rh)SU6$HT!~9foz18UTa?Iz<eHKomQ<e^)V#>XqUTc8xH+NC474R`^5OEM zzKYGs|J+ONCy-!S$IP%`HRv&sUs0>cj={$l4&858eY!Q8Ls(@>C3fc*|IMmF9Y3?2 z$Z6aa$)IA<(Rlm@gNtu{>(Yj`=|Di`$+ZPTP_Vuf{?AB{AJ1J4l35mWx2_vK)aLtI z<zk^Z9SP3M9u|CT=l&p(vO22YGMS833JMha9d7kEU-4C~v9$!|H9Va53s{Qs4&DFk zG)Ljd){J%F_YdL>O@O*DBI`~~&DTB$&q?dYZeMV^Lrt`C*Ip#_k6y`(wJlsO2Cq#E z#z0~roOD7fhe<(SAHgz(xPqp`z~f$}c7iwg85J_Qzu(y&ko;sapB``@kx)CUoyHM8 zp1zT;(1|_@Xc;>D(5zi%=5Q+9-uBrqGk#~KZ)H|%^2|@LEh~ysm*2ng?|ku(mH2pp z7unnO0aNVAs#AkgA`GLPSY5zx&4Z2|&UEk6{@6)K>5n82pOE)#jO)<Jp6k=M6<8^@ zQsnc;iEsA3!Fl8-%;M$9lmk{_ZAgrta?6=n*N|Ee&C`V1h>>A}4HcD?CR3RWukMgU z%wVN@3Mlk{7f6@QWP-^EBok~2?zQ?l-&Wqom(`s6bErqpmza5;n|TUlkEtbKVc2LP z+c19lVzbSJU57ihX`&l|g3>I<DVJkFqQOgh5zj#W>CZ<bGc(Fi(laon&ibToNm*U_ zsE-%5`@J-#@J`7w6rCcl;3zEMlBzw=$~A)HoJfS2e8fcE$)<}Qv`1&^3OIGU^tN`I z(NWGOnfPARl^U+)l;oWDmIg_LOFvmX*B;ZSGV@0k*3t(FWdtYsLL3}nvdlOp#b!h@ z&s%giK~geGPd^tI3lM~noXGa>Rb-yxT-#qjlU@Tf>55Z8ljfFPO9|%Hss@5mEUiw; zJFHg*DC@gnS}6OVf#neq(9OxPNY>MwH&4U~brncmdJ+hJt?}B*)l~fpWLiW4nVG{t zP<+H=D_7J6C{M#94#hGR^v=QC-@Ni>hLtDU&%6enf0S3M?H#v)SgM-GIy?S4b++#F zIzBCrfS;Eg_&mKPRKWvF0rIzROXur^9Q-q*mi~&_H=v^Tgtn0M*zY7VuN}_hcngq@ zFCWl>%CCA;vx<i=)a@1jOi<yzQXcpJLs``SN}0j^N@=(XY#V6eb~(N+6_PqkiVWIQ zDM|_HvWV`VO5OR_W+X;{N<!lO4E=v9;c8zi$<u(!ZH-yn&VLraHhb+Vl=+o{+3T6Y z1dzQGxPD*vDjPcgQ|XoQS~<J$PbIQBP>Gy$NyYom;>*vK%cfbMjh`u#F8jEjCo;Ys zPyRP0ZYe;yQd|QxGnLmK`n+~U*HlBpGiABX%I)*S*AKpWKY;dM<?t8bd<{%5n8yQT z&GNtM;Ve6Aj@Kw@2PfS8us<B<-gk60W{NHuZ6$<0rZjqNto<6yieL|Gr}X`1`b)&l zfNM#FO;j!uCG=rh(;Ov=5xqp_JD`Q1(-BeSFU74Ugj~5<QzZ}~P3AeG5=lUe#`0V3 zTC9*(Kjss2-WtgC%Io}P;d$ckwm>bcXCbyi)1X6BBB2b=ks>|%;ao2~KIJ@7w_%Jy z;1!~>!*~G)si1_XfG5;kJ{2i$tdl!0`j<kjz!#Q)0mo9iVQzX5<!;^xj{)|syZ6>$ z<*F_65|R0jEK$v$M${aG5|$H^9D?Sit@kO@wM`&uhFEj?4JQu|Jp7X^x3?!NBO^MY zhAt6*Ngnh3p42*nnIZkABjg`F1=;5G{N0WHX3tJ+?CVv>q@hJ-2k#JT!r@-j^8=EU zXZ3ubW>h#1G){^?Ar}-9@~QA<`71`NlL78oxlaG_z(C%S@e(qU+=}aILtvdjNomqu z^9@oH!S9qR5?V$MIY>$SfH}u`0f(RB9^hkP<yngEbfFCiU=OSWEOirGS)mSkBoy+N za+(Q}7*WzD$sYkd?r<}Ntp?6)6MYy@oc29lUQ95Y8Ay_LgH{xODO&njB@d4O|BB>* zl>Lu>PEjiLk2c=diScid+#Ha{UxoDe@jA~}QJh$0`W){J_^|pf3{XocU&4*D0pVb{ zGQt0PA_J6p02BwqS@8l-=)J5l;|x8(=WD#cF!XdcygbWr^mNW3ZWKU2|CeM=8{{Ug zj8bV=hojq6@tZ#4H~(%>Mn}N6OWkU)l8$jeM4Z;ucUE9+H46VK!P`>~Hl{hPGLPxu z%MeEkx+NGdWlfOt&6(_2X0X!Cz_@CtAT;S~xYWR4IpngP^MjevXt&$t{%+zgrmC}| zLrjYOoeN>4?|atjP8SVcc5)z<XQmfbT&0uUQvMr6oE>V=zWGW)IaCFTic5lXboec` zVS^1Qh}t%Nb$XV3F4-cB7by})%_N-tUf#njI;o1)p>U&RlD+zv9h)Q4VpA8@H#MDl zrl?regI*Q?Q|1am2);^2t+F~QW$X81){t<zY-<wi`eH$EduO~jrh2?UZ`Y*ORzwUc zho;JWsop&TO8i#hMzcc#YP=$ve*I0tl$+dwA5;S5=OUmlHrm_4ut_)HUIRW9s*y}C z6h`)MMR5z#02AA2%_ee0q**a^9lahqi(GbT91l6~y)^p9H<e%8a(}x(?}#201K!KR zc|xGH1tU61H`m%gIqxm32Pb}vO3I&ELLG?Haf_ymS*a8q$C1lijs5F4p2n7Yz$O?~ zDSc#A*<MRH7kGa5M#w642YeQ>jzh)}<1KMz3&WyV5My+}TIUve=cVLFiCN=LrejiR zoU=FLI4E*QUvODK?8a%?>bS<G)eAK6r;!OT<S+zaKhuMgtoo?Y-7rs?5R{`s``_;8 z=Msq?hZ$=T4qhI4czPbahrthWIDqfMfSy>ysghz23<<KsDNv<%`{ngXU)V^^>JXY7 zkW}8*GRrX&3@`=wiww08e=lQ8>**03&g-GMV*Y-s>8r&7!`Arc+8{-E{WMmed61+i z8C*(84FQ~soi0?EkEu|!lTiWC=C1?*ZT=}6(B|JO&_OL06k$R9`K5{g{@0T3SqMh~ zH2r5G91z|`)n0UXcJ@~tUhEZ$0}#%vlXc=%yT?{|k@6k?f%vbm`UU#N;Dq%Q(7mYd z!s&qc|M^*mmjp(D4qs6CI-v-_5Vlom%UA6_1y~-C@-6;>sn5`OEdqdml>fdM=y8p* zKLILS0qAC;+hO&!o4m;vb>89RxmPh&B*w@*%7i%X8FhV$#u}y<KZ?#qB~IcOSQF{C z9&+t0SH&%)*6M={$|1C;J3h=vAdA+Us{(`Lp}V!UpAV=7AB-P)6g8vdnG1M3&>1Lg z4pgl_z%2n+dL0c6wbENzP);C;()=Zr+^vKRR49$Nwn=o4mSj`dC{^si3stbdnwX;u zPmI}&{ZVkcyhUpxx{=&Z&GW;8vZ|4A8h8F#b$<ese7L%gt$b$cD+z%5KiD%q_hZ*4 zD)&GoD^U<3BcW?%4vDyukW-@fSLql$5s>j{2`KqPQfyi0rHTt6Akb@Nlej@3$=2;T z#j43O7{z6S5+nZJ*oJx-i3<G)t?{7HahO&7J5`irl!G3-(OkYbegS1Z2gKi>fM&W) zt8xX%`U#y1yntpZA)72COTIwqK%)%EqRAL$DTxsu;1}(t0QsEClfWV*=RD6LDx(;@ zhn;GelL|c+v(d2(kW&>S*_33&@1XvSS!J>zspm7OV@!w#>UAQQ@BnK4uw)S+wKht9 zeHLB;8P-yq5ZX>0kdJNDb(PWp-PNJtKh%2Ff2;L>kN&OJul|Qx4<Yg|ksrx<{9hvf zd%A?lkSlT$wAh^(LDLX_(eP+?Pc{b2G6qY6iNqjds>)T{ILZKFZLL!W=e%D(@MZ+n zW(1=xj=JXXW--{M(r3P~RV}-r)AUdcCCepBxX5(UlBIGRH_qgN9Vc{IV&!@$WFmFY zsrjklOxQzg6um<qC3eI}RB`Tw-)p2+3Ug1LezT6=#>e6kL#rh0Z!&~QYk*1913j9I zm@eTW(nZRvC1e&cIYA3@)TNozKQrYX{_K=o8xhBbr?g8T@LslCqrIdpU`;%UrYGxX zGGC5_%TCw0EV;qprHchmxrW2RSelEe)vu2dnJxh;#nmu^%0fh2-V2C$O29^oB|oPV zn4y<Q8g<&Tk3t~TmiuUDH+r#s0OI&&=;o3}yN(QE5W6Ym*C@Z_@!uA_8;v=OcuT?J zmtM@DQ0)L8J4t=L`WfJ9N+;NQ=Amtp%Vv-f4lWV<m3;*flSQr6zeua)T)@A+2p3c4 zj_>}7AAFRYfI|3*!;@_rC?niL-;rDehfIC^wh50<k<Rb<U@9MX#`~3SeG`t~8c^ZW z+%~NiK1yY}1;FwGM-j!h5_HR-FNT^;Faeke!%a>p=Mj}`9_$i;eGsxe3=g;!d8;?L zz&Z6#ee=W=zq=9`ZbP7m8FtLB+&)Q~e+-q~4ODtiL2jiWk$X|Cs*nJ=Z6vhbLJ=0n zUFYI}V<5+?{K*9kI050GUL1p5n<-Mj6H=121diiWZe07bm9(MtFK+?)<l_qOH?)4> z;95KiA(UJXDk*7jVD!l<0zT78UHY>jg`6BqApUGT)Q72sMH0|s0**m`CxtWM7$9<} z;{c9<XyCvZ;26MIl_E{C<Of2;KLS3$A>ac5j=>!iF|!SxSz1XRn^I52Havg#91HL> zC1#cthF*c`(OYetY@8W(xt9>p56>?-56mfunPr5b+hTgCs}Y{!$It`zgGGAhMlN!J z>4^GQR1_TKoa?Txe5?=o!l)>>KP3ouS=yNJU||tG9lik(jJe*rW+M=YVY90XLV#P^ z2y=2T$kE|j0+4aBN*bsjUx3)()g?*{Vi*q&hT>#ZD*un{^IC-em}Y*NmNmvhc&Yv| zK>r_W@qhgf@*f>9)2<HRI)PU3B!G5ff`dbOxwTheVd*m0>m&Rez9|E(+^>>`sx5*h zfQ0>3qd*c+p+l4&<t@+{5s2X=I2cROu|QmiTe~zk7~0Pvp_|Swbr&dmC06C`Q^0&! zHOfOl!MW}d0sKeTd=e1D6tH6<Zs|2FF6E1VFUlG9KZ^#cU91{)asQjR;6I3MvHpWN z_CJUlRQ^|DU^kNgv76tt|FIiF386%-QVLIKKgxJuHwC)`O9-xu6`k=d=-klpEzd_Z znO{b8m1c-Ga~<edx?DND4&gol=Y=?42z&opEs(@N-ysSh9?BQZVxT`sk^o*NfVftz z^erE>--qxQ;u2#7SAybBr5<JOK43SQGI?X4v95VAftM*ZKWCM83O)`(s7quG3)oE$ zdMGbQWi_1Qb<vYtWmr^%`&IBjwUgDr=hHuw@CbprSMt27*EgTMpe;mx?d+FjjCVs3 z#F$T5TwZu#J#gteTRI_Ifj5~mig;DO6zmuR^`!WvFu*+zq7{+9GvlQYd^r^1gy{s# z{{Nw{la63jp9LVnUe#X(Uw+v(>Isv2fO{aUhuZT#1v*=l!Iz<lR~24126ik8?SbnN z!1eO-LH@6WQhr|l>Q3-%@?WWtl73;aiLa!qSjZ<#yZ_UAfk(KBHZA^ZH<FGy@y5BP zug&1~@d@?_4!v%lCF-wtnCCwn##qN!NC%X_3cyRzNek>~{C`%Hbaan5&iF45<dZ8r z;730M#zPISFH4}t%*&gMy!GzCoI{G%6@x*v;|5%dn7<O-!qVU2B?8tw0Z(ug_@9st zy9lYYjm}J15uylb&<}7E<=+5<eU;$=Bn)7nsr+os;cWqS9$?L>6UFGfT7lfCFIHeo zZ0?IQ=m8-Md=di-h6WruWmkvbtQR+ttLVjCbf8Fmg+kBp5>5f}50C1L0VqfW*pbg4 zwpJ@KU!1`|Q?EwhADANGLZ|>e+*dboQR&4^l-qoTU*!Rq9wb-&VlGzJ0%ToMA?R@L zxC@if^DMizIA6NKoqX{NJE>@aUL|wk7SKg_8k<jDecraInl&OOL~Gs>9yMG|YVQ)n zkNmdedDNsyXw7Eq3(`4reiFMwHUqCvV8oqTh@XJw<Qv(w<$09D%IlqA9;rQVIF=>7 zDalejuUF?`s*)WDT|iEuP}x56AWJuPe5=PKUa>N~XL4%h^|z_DRqs}A<aZH1a`})& ziua^GMtzzU7Nt7!{!=;jw43wzY|wAB!8**N0SjUTEkA>ands&|tvwlI89nHb@0>bi z;}+W=={?tO&%El>7AtP^Z=-)bVTB$#@6#;*1pPu)_7c|6sws6$_+GYcXrVePR3@OQ zWq+Dee@zkwNy@cqm6k}*xDdiZteQSanp|PU-$jUv8Q0<%Nc=uN-uU51e<xNc=&x<y za5yNi@~bq3@(mRBE}<R-RDr3#JdQZyktEzDWIC9pZE@$Ue_p4p^P)<UE(NDZ98*#8 zJmLsj_`V|rkKJU-gXTtxuiZI5vi8*}wM)!JdSC5%0Bl4cLxct<e%3tT2!x5y_8Iec zL9Z)QHVfM@X_Md3ma|diXHP)q@3MQA!Zvb)ZYR1D7l2EUGrfDkWNUNLLv5xg3NtkU zk3zNA#))y$1}L|U6<VUKJ=2zt*(Akk5hcXh4@c%ijXG3J$Bv5Nz{J974XekhkZV*~ z6Wl)Uuq09M8#Vu%baUo?JlZ#3ezv|@$M1hr1>En^=VXwgGul;_?ZlJAh(j`PerBx; z&%2lUd{=^%g}2F6Dfaci!MV`ztoaVj*53R9W}q+6%{$gilyJ+WTH!~j$AkH;P2gUG zYXh0h`qp%Y;+*5*4)Kb}!%9Z#O6n9)=wZJhO_ayYgWoT2S0_IwN4IylPlpFCXBD`@ z%<V}R|J+m107G???N4B+=w+Q*gYM-NR1EXc>ZBumj3#wt@38Xkc7uDz;|x|VoWqyG z$d3aBH$_%@<!i0)mX}l03GMm6z{UvMJsxr~{{J`yHfL48s^0=m0cX26x+QBH;)g88 zL2vYmb75>Eerbs})7m^$E87|a49uZF$+|79{#N?kiTdls_F9`WCyX$v;!l9@pw5Dq zH}|j1b~A||nviR1(wC+P;r{5vfgca5yRLQO74o~e_`)V5>bm(^<RFh*r1AWlb*o#* zhv3aaADVy|;F@$Kvy6(r8;(tQRXaKvm7^RywpENFtkn{X--D(NW>c;g;@z=J)AdFV zVvCi*Y+Sz-Zr6Yjei<QP)Qi5q&2CORPa|m^7eXtMT%nP&!cw?vnH#U4G_Fb;YAfhJ zaA-%Fb#N<Ks-(Hi&_a9f@4E4Q`hD2G6=4<fl-Iz_%)%0T-OA&)be7|NQdoo@5QOIK zF+LP=Au1XS(`VE90cUa;riQzn4c}-2d;S~->X8<wVBZf98}nOVZ?El|EM)!abs_hk z?-Ox_t<H4j(pi?rmHVDR_IxS(Wc>N*earRTt(ETb&yof;N1dJZ8)toXh0nnKAKt^s z_Csb16m58l2h+0E{L?X~<+vIRILzYjgTA%`w}ou)^ey2%-+n=$!RzDYn-Hvfb~U=! zawb%kKK3-7!0;jG)7EI})`JHxNkE};#?C{5@e21_dCbqcB`y&R@EAJF`lf&{a5=H3 zLK4y*swzkJrAR~-xX@kqlVF>lr;FF^St+WVo!ZG;I6oXH|2d*Zma5ebEQnI^6IHl1 z*a))l-UQf4GSwCQY!njWTd*KOxFF&$kf1hx0RgWYNL_<&C9-Qe7$MaWE#4e{ZkO-S zn*oAIRyWe)Z7}cE#-}AVQFdWP#wn1!X_zmc+I()__pYk?(ZQ(SP+a;>UFX?B9+udu zJK<9{Atk+|gvQ`hyW839>LX3ntwSb#kPv``1#G%z?meck%{?h?N76Z|;GV@+_&5}A zjttu_O?0?B1U)DkZsT&iP~T9-#B*TeA^^k+Zymcehy1Uw>!m@PAr-3dM{jJZX%0dv zFff79$EiVhy&|3kzB#u3(X~e=2;5n69_E5c6~iYIeYp9q2{J=CyA_GPN}K9~Zb<#( zkJE(d<h$>z{RZTi?>%@)alCtlIx-*65UtmCXqE25b)XzAYDov=<Ux)z83HKxSMq^2 zd+JNjIQo~r-ZYcUf8@0s<1&=`W$3jwkRg_n9Ve+Hl*dd?1OgRrZ|N|wHr5II?_u|# zP6a8R2n{3O^e%f$7(@w-qA^BrW>S}<YdnhKNR_aPMD}o&&$KXdR=@_^3_6tAoSZGZ zhx7HtKNIYk#g)`OX>fO>#PK1y^@XisMwwr2aQCIf@bHZsgy{foOZwP(fGELveWd>; z3d+Ecsiw>RI7)@`<Tn2!vY+>CEP3E;YMjl<?-nmC_}0N{<Up;+hQD~NjVcfjRh6IT zgigxQOlNJRymz2U?1#O{A;M5lQTIf-LEGC8#UQdDzuy`>WNE<(sX{gf<Wx;}2n^_S z{NBf3?&@MWKw4()u^zX-L}CVB8jw_Q$7oU}_lfWBUm_pxu-Sl>ZF~c)lOucsNWTno z50%aaa}VTe+w3(7@RjT}j@1UwFkI;W%fwme`%w?FIAvaHkA*kP7ar#=hA2u({ye5O zCPbT3!H^W+(dD3YUF;90#UfXWZo?UZ6z@Ec$G{naz^Q{Q^wRr<E|CAw=BG8#+%3GR zqV?O<9xtNsITz>NJ#Jmya1DLj+p`opDsdqL73=idK5;0ALjW_P*B=QwAv?x4Okoi! z4WJ|uw$Y8ECsp>q?A+Pe=pki9z7TD0?^)+h9R?(PpXv@0A-_zUoGkReWeZICfJjAi z&%}~9hr|3;BA|l#jgIG(8|GEkr`5CLt*x(#K^6=DCB+9h>$Y1#(LC{!;2&0WI4{ag z#H>hC=8KSw)mfxrPr`R!R3|HD5Hi*`hS&)NSg=y&LGNBgsRgvRbmh&0s8M)we63yf zgpu?)&&y@*?oJ&`D|J4*8I3v>(ams-xU+_<$Z)^+vf@O2A9pyF{!2Rcix{QIpY(Lm zrlk2jqvE(FemdidpN!2n@OhzFWFa`sITXNy87=T2{RVgtetw{%2Ocnn<f2_%xGb+} z4~kInihM2<e88h0!a%K&e976RYmB#co4tZ2Nti8>3W|qxoIKUFbDO=7&w&^PlqFIe zX_O`6ZJGfAL=w+h(-GC%u*_LYcCSw}))z~II$6bJ=C0{snbVd8PMReXe@l5k*7C-- zEB%TDLJsZ=Qls^1#$zsEjD?5Y-(N2=A4atKb<|avdtetLiPE1Z6_BUF82E0!_WrwA zfhux}yVsvz(<8h~2_Abn#?5v@tX3*tzAh@08ZMcllh*%9cA88)KDWRwP(24zRo(M+ zpcAR(>1l%c&J}{Ex<YE=P>4bXGq8td;*k72*aZe5C}d&%=JGTtY<&|$KT49$iVSJ= zwUQ!r7a-9cf-x5^echXLd+Bkpgb($797aCRNcP8Zw8d&3kW^CZ+G?&cvY$EbH+UE% zj7{T1^w)=J3kRZVTO8F0!-5CcOiK0H>L{&33fcJ!O|63#zdaBQ%}*gS8u|a`4uA+U z8>{beRk=l}Qro_Q_4|D1itH}=(CBJlvi2#9NRrX;j`i*m=>zXKuuS4I<+EiO`Tnv> zIe|^s@}A>HFJZv|gADr%<f3qz>pW=XrFKnlqP;KK1qw>8#I{~#PZpDE`qCNM`w|Nm z2^9FUsACt9dwE|3r)Ddpk*KTf@A~-a2#A()X91(a_kEa~=no!K?c)cEu-V+zgGj$| z0-7Zj>#-2Tn#*QL6BpJ&M7%Rk-qr8aa$~c8t>c+JOi=|h1QgX^sP_R|)==Nv^AJov zBg}E%O2OK+_;Q-uwUN|lmGF6m=K70w+Qha~8@<7ai{-SCzz*1diweqQiXV$Ihz`a) z3uejmk%Q8g6Kj%(?LW+!&nv(lHX=1TNT)^Z2pGw|hGsNc-9@?*NM0@(-<95*OysC0 z%U7=EOgczro({MX2$4rKQKeffbX!`rC<~?>EV8ricn7A<VGq8)-uPWlO>0~Z84JbE z%B66v+p;qJd=N%k2|@M4(>F|V?Oc+uI7os)hiaESSuz3e#Hf6UPvvAL-juM5EFHp- zVPFb^ff)KLyhk6Yb4{%yJ^CWiz5C$NU`Kik!K`%hw%@(|xo2R#u9#7=d_=&^n*SJp zQ29pF-GDXHSFe|r)jVm)&Z2Y!ob!WzL-YQy0#aIdN}X@E=hEGU`-1(^PFYo1^lt%Q zY%r6NK$yNln4@>2hAipqdm%7fEC$@yqDrI2q}jUCu3rSTA1~VjBI<;_wxe97<#jE9 zciQvK47jmn;6*F;K|m)kvmE6rY@tgkVBbf8s(8+4k){QPmQjGkKjU2j39cAAKXIw_ ze2wLMj=bH=?9ynf=npEZ&uaLFl7w#zGO_MG1u<_8e@oV)U*?Z;6AVlrxEfWE8~r|o zd6rH{7)orPV3x$kpwiMLWY-Vq1X%<)v6HpNpeTM3i7fQex8dX0vko58JIa*jbo+Gz zSv(<<b75i|F-Cad<Qs}AJ2QJf$k@ZUKqz~ls5)+mEU=Qd;Rz`g+?33VxJ85dYEwE^ zyv}g*d0{=7V|mgnYExfK0j$#;CU$10L{{60<=UP)1`@5WTT1{nsFm7;^wA$1nG=h| zs}X+3m!Aov`efFnfH+^%+J@%VX88HC0T-*~@k*57ssXpu3^tCwN`N{?2Q@D=1Va=N zzZ*?=P&{;8v>*7TNH8O2kq6EN3=rDt9yOo>>f9_&FD;n`{;<O&2EP}h6;Kh=1Ado9 z^4cX~PA^i^ST!pss=H-mmL7BRsBGCf4YI=~in&cH#WA6H4xMsj3OgB;z-xEG7adEE z?XzE>DUkA%Pp(FD1#W&-3}#+}O04BYZg<$A8Ni8Xo3i1<#)8BYMWkzS`E|hsoQaaP zxoOL{?Tjxs6nYBiRf2l22%~!Dia(*4-m&g0lSdz@Vz>TYKjPgp(bXtxk$xjGeH>%0 zL6`58!Wg<mkB5u<ZNHJJx1~G`oo`Htv$vCaJAH0en%1%8fq$hQuWqcF+AVWQ7ZXyA zr<@L1H>h5xt^M#feThG*=xiNWr9+$bv<a<YIYK=QjJvip?$^^)c@iA=l;%k5KND^I z5rPa;(8{J7p2DSwx$z>~kk{J#kYa&(%0kt$H4Azy9d98qV$G6SY_HUtW-OIlOFux0 z<TRV`@!qHVe76h!Has^eESt<njOSr9O^?Q3sNc0ZWUVV5>BI~T8Glp<6%@XWp0_%5 z$08l*lnT=mceDm&5>73WH#fw`FCBO=0`i%wUdj_b97kfp;`2O)qf`FFU_4jtTLOeG z-U1;l1Ud)blwef8`U30-qIAA+6PjapGU(wY79_rUEgT?p{TwPJgRW$DIx!w_TZ5<; zE5`vA@OA+f@P1z4p$%Y5l%sV!`$M8?<gE4?mA}~;FM{~pE>bATN&}+P9}`b^{iT-D z<)z$gSrD08q)6+R5dP8-IG=G>`atH9@CZF=n#g?>gp;&-_>-(;WWy;`7?SBCtkvo- zAz&+(^nvy=P{Hy0Y6vHD??eNR*;6~Y)PuUDT<M`bY}m*;-P7e)e~Waj7I>vV@N;IM zt@4dhpNxJrI#F4Y1t-o>ggeP9#=O>i$8ufjR|FP6N&|JRcn<GF!HVajKA;*fK#c|A zQvwg&j!b|gSf)qU`Ti{ec>81w#7ZwR49V{=y(L(`Mj|&iT+>zI?oWMNNoklrrOr-| zM*rEOBC|6Hy73&BR34YKp}pEwG{9KjqDsqvJ$esq3mt9}|7U}WOwl07(^I)sbX?I5 zNMQm}HmK5)!v7TAajuJ1OZ`1bM8jq7^5IwC@!cr}0`5QnmAUKPa{#vNIpa7H4VJl! zpTBO&S8DY+0|-1Zb%CA(=4)cr?tlN(4Ki!k(9a@kePj=VJG76z1obcE>1X3TW-S*z z7;CULN9~f>KLj!bW@4E6zd3j`FsOiP^%MTMo-Q3_^?=KSn#;UDGG{Uh!iq9rMZfWt zU~9wthDtxT&y4Uvf(*PohgP?<%fMZ5B5ZsSVo+q;Suxm*bT+~o>4!{EAb2{d5grJc zC{E-DxD`@b2}uyR?pPlScV?dhQg><<s}Zp`9E^nqPS2{tZ8s}iPHTUF=Q0^yZl4BH zaE~YA0IAOb0pzwwxKY52G%FGc4Ff3n<fAoG8Vv{_+V2I3z5qnC6#$VfK-7l;5Jh#f z4&tq@Ep(5I`f0J7y*J~9y0``7=oMzMYeRzCw6Prw)WDKJS%DeHT6O)X`2+F~7@Fe2 zB!sA(m0OJ!^bghiiq%~LT1SgF9)zrg*a07q)bjX4@Pvtfh0GX<TXy#=l%}IOW94d| z#Ex4Krm2FB(FvcS+B~6=>1sxj_x3A&35oEeFGV9N=FOaYBmNb-b#x~yUEC6Uq#>_P zc*9JCG2liAm_{Kfi!^2ggPX7cUuVInhGszh{0kyBUi&-PHdQ|3Y8q!qxns%pc|F*S z&vHF1l4tLBEwyFxw)Nsh*$oRUzOCTE502KGRz@(i-=ZN4VAj4>%f`J1ZIHb73Hu>+ zZQlpc#;>A<O0>2Hm~#XbwrfIs=0G+z%WXehQ#v19q$Y|S=85|9m-)b!m%~DFqBP6v zP(I<Qz{aT-;vPbT@$?3E=1x;Evz(>Z7GUiWHU}8nnUJzr$PB^8faq6g#ha~}-lgr} zL?G&ZI9nqh0OLmZsF;9=yNwFH9`q^Or*ZJ^E>5)2daXOu6F;yqcZ-&U>1?^J0L{`_ zzmesf5U9%s>W)YF5I&BmGrgNj*C1uGm>&+0Zr%AqE8aiZ<Y3JAHL&p;9xtri1<)@U zoBCKN;%@=u-q6qi9_{?&6g~tM6$d6Gi%mUJHukg8E^Oa7iz*RO4$h*{Tdod^C^fUE zwgyc0#|O!9<wRN=WPJzha77)K{2~GhgHl>G#x%^|26vPfh%$U@7)scVL?|RLxfFt; zigB`UAyYHlRdEt7y9|Xp>$z0H`1T|$M#L_R@%aKYLUUsX1IBKgIGSAcZdU40%YN<D z-VOQOwEf*)Kh*Gabkfc4xSy3do;Trn`LYvqjdx?_(R~A_t@r7gAWgBg>B4nm1138_ z3sZ_A_zv;(-{053FW}8so54(zQ@%z?R4mheg|p?q*^#ZUXlLgYeH^{DSzl(%`rxDW zNM)rk6~J-BuOD#|-#2>Ul&{T$Vb64=1!y7$Upy|2Zl9>NWIS`D4E#EBE)23VbKYeV z&87(5@n-oPxH9P{lgkPHa~1D*Van~BlGClihEE@De!6nCRz%(Ty(Q#w)^oi3e5wA< zl7{PNW%2pYmJ@HwLEFo>d2nEV31S^GzcF^o{aK!F$f;HHmZPBjF_)MU!t<tfW%pOZ z!TjjvMrxnGLe=BI=Bd9#WUakBc9K#rq4JmZ;Y;8u3Te1JOo1J@cU*fmbS;MsPR-gr zUmD+4)xgain~kSD+Co1{9O$Ks^ESk-!Xt+3p2qdr3c#&w-1yutVLhd0Y}LIp7;$Ah z&3*KIo3RvqS?$>Ob9Shi5vKe^D(g?Egq8xYL7K}kY&bc@z4<)93^9r;Vv5_Vh;=4= zV^b3tYko<t9(-~Lg84tRy=7D!UDP!g+}$;}LvRnl-4fj0-JRg>jazVc_u%eMaCZp= ziBB~=&nw@2?>Dn%t@%UUv(Mi9p1R$O)zy9K)~Nl&OC#<<kLt>*Z5yFYlS)l6_m?ra z>YhszGo<^Hhv>|F-H&zWJ1n&p(UGeQCvV@s^B_ssoCxJGd~{yNxe+;dL~)tqme;3d z{yp<(jF)drk8)&<1hXx^z&%j;^};AjL++w*3xr|X>wE7I9I)~(S8tl(OXpz${jW7^ ziUl7xp$~r=)8|$17P7{d)UP@`$+oxT-%XF)UGH6=S6X=io8~BnrWgP%vK$-9-+z9s zFO~nEs%zeMU3T=bxpVK1I8?*HkDd_1^a1FO4fD6(WOjG=f2esMX!PgXkGAb=i_+54 zy}#j04t4b+n{RmuNl6GQE6a*F->6M0EiGyzoYcA182*-EP8XAB*YX%67U~uXk@)&Q zediWblz{!*YHMrf6<VeQjn#ari+DJn8%-H^?;>v~;}KY_R0|4+?#_&Cwiwi}D=mx$ zT^WK(UmG0;^p=GGHubcuS_aLI7uyoqcgJ+6d4|^8?W!%izxf(9=7m(78F@{uUk|t4 zU&+1mh*^ttwN>CofA{CCK`!M{10<0U8LJMHenF{?;cZ-~W<>ilLLItj)l!XhT&MuY zh=E%hr=TI;a>%$Hpw)nB^8js^sWaxG#n!z+1ufZHo#zCVy0XMA{BzmI(F8~f50rw6 zP{9a)(|uxM5@gg^VM*F#b7ryWP8YOH&&xZxB&yP-cVrbPRq91nNyLPKK@oy2?N*Jy zMkA_b^Ea##**?B`V}Zlo{P!pL7JOsDzyV`@uKt9Nx$($<mbbMu%-6&SuuZ}e0_&~t zNwA+n=R|flfB{SF+}F``UG|I+(Gm=HItl{vU`T&pkc&$m+^>eg&Pb^Gv--EI+uP>f z1s(c9uH7bUV{SCFJ~VciCQr_wU)CRf`TG(hD%hp_JhiT&5n-aUJ4*MS<n@HjiR7RZ z2741pj!>F7kC|c;0x3&r;n6&D2=d@C=n!NuKquTK5$0hGqR2yw&MkZHvWxvXx)m25 zWWRH@Nl5YD&^?DlD~uA}u;lW@a(x@cr0W7ptJOn(-KVm^&|8-jc`@<N;7q`qHay_Y zagHKPjxX_4DBG|sOfWHe1<>C_iq@c+udAfB>?l>c?o68fUisufUeb!f5$VUEb6Vk( zTQ0JS&Q=+P$n&!l>I#YcjGhgI#m|RgW)+3YGz>v)>VqdS4XOTfedP{Y{-E;{!Cil; z-WTG^F}xWI1KJU8K5UI+MF#7K=L!Fty;e*iB9qr-Y^eyFRLk{=uh0gUOb^u2kV@~G zS`UL=L0MBuWW-`!^E;lrEw^<r@EuFLdBfl8+M-gzzBlO3zEaXkAs7wV%!n{XG#tSM z=^2$3sdw-tmA?^JJ}i4L@~d}4+B=tWvDCIaa5H0r?9);>vs6swd&!3I*jb^_I@mS0 z*k;3KTTQI3Q~3M07|UcUA||o68IsNdA486Ugf)Y^uwuDxjn)!3d|v0D5~DAZ!Ic@s zW}BK&J&s9Pio%9)j1F1zQkIV4kG;Lb$ov4Yi|o8dI6WH4BI*3sD}+Wum^XN1FpR^w zA}Vo%ku={51$~-Ks%bYS+t!%s(M`(I7!t5pvg0kxLc_`D>sLV{>k3pE3ge5TE>jTE z&<P-d3S&;FkEi@4N*L4r%B9y(F$3z`Fn$c*23f*oApb@a|5fduf+v<)K6?Bjn=kWz zw@^N9D3SXSg4@@va(6%CY$}@DBBrRkia*)vwHI=GtwLx-k*;!uu+iNQ)1a83E;TM+ zBp)%a>xM!Yx2<+X6&curU}aH>dNIa~_FJda@X3ae12ht|xmSw<lLo4gDEKDZR>5R> zWlx+jtyl#@I=;zo<VgcepPtFTA_21N8klTu9dS^XkqL%>e2deF&&K*v6c{u>lN7O2 z7g_WSUw{C(;Tb+^2Vk$7XZRppL#e$3DUO`imM{(;H7g8UUct<!*L{@(qB%UO4z)0J zcr_-tj)FJLH)mSg?33ukEfIl0YOt;y5lY<P`_9tBhVo<ramR2_1gf4%{ddFxaf9^T zr3GDw$wa*sg2Av7bTqDD&Fxqq9N~lR5H-8Q35)Eh8mcU9*U6yljBA8<g_t^GM8@)4 zQc&_<*}zy6EUfAZF<lI%HV>zOt}4_LMO`&-);vIvyEQ|Q6EcCH$V!-?1peK?Gcf7& z7)^xWW{C%$dbO!TE_`|qjhl49sB2gtz_#qoOfarLZqU}O9yg#ph)RfH=`H6vD9L$e z92u&c7^m@3+DcMK(7k}UiJatd`X<YeYa<hX40p6j`H6#uZc@(4%EDH;&C1YpDkr=o znfkRk$3n!|-_eg_!R}u<PD&2*8N4Y8T+L1ku#I8$D0^f>h+&Q%kg=?lG@#V-t#Zzy zq18A${*<KzIW}%+1~+qTWnz-{IQ2{+dq`Z%d=<h=3sFxwhTBE96^jy(Qdy;3=yH1{ z3?uesJL(Rp+Chg8t{ZcgM`r4?UjP*UC1z@H!qoJilJuN)(rWKnLN?zj+x)HC)`_gA zpnJ7$0i=o^>H+uiKsJAk-i+W{ww-Ue_#dy_)itmzHrFa5Pb;?7auoIbHP}EGG@@9U zT`2E~GzPbQ3W{vDt(`uH(f(N#gXt!GGh!377yqb*!oJR&eE!<!)6bP}YnW_z@|*1+ ztLM~+)#N28115WbAEB;}mp6^oTaxpoohC*fiPD$h(!*DyCHIgqGQVp0hD1_*;6L!U z|I=vo^(1;jmf~n_sZMv+iJkPX^HYMQL}kkkjZP5WR7NtY@E3(qSyZx?ssqh>qz3O< z{p2#FY-X+Ezo{v5>XlFp^p3`)&Eh&_^~gn=A%o6o?uVTPdT5M{Ua_6bm3=j%3@}za z<dyky>H{Aq#;Gfz(yCD1CDhTpl|-FSl9qNqn;j-CCCaHwby8HuDjgzgwTm}1Ch<dB z@sO1-a?QwinCIT*X{U57GHl{>%aW?s>fU9$Y8>&QF)?z@)SDL5@ClGHrn_S`I8Qmw z>t*Z;)>Y|p01R{9F$n2S1g&;+-qV^%M^a7o8Un=*Mvm-|T;-nVe>s553Nr0x3W4Hn zp3RlwqqP*(eH};kB-g;0yII&>opuVKUz-N>t{xOFZhf{IKX#xd#=&Xxu)DmUm>D)< zpvy_*V<WS}%w1CfjsdS(zsP4fkgo$5)sU01OGS1`WBM;a6I|1aXY=MM`$a_2hje$S zq;WltY+nm=UbG6ecEI#{9Qjy;cDmgr2pB>i8%Z1zR^93mR2$AKM2vHFk9nARLxJo? zRcqE1dKeqC;5L&+^*~v_$Z2^B;q=N>JgeI@Lp|Vvj9;sB>1KWnh?<j7Mmv}42+PtV zu$zZlqF=GRO9-C2k{)kPXka|2I}N__??`>`ZiaJcM180&zLvt39R4<g+GTR|ZfUsj zM+_HT`NC_DeZQpp1rhs$ed_!aD*?MUH=*h7K}O8nrkjcwTAxUb0~qUFHJN}1%vx!y zwf;(HwJU1<#HpT8jl=S7xh@I>KcrgOH=olyC9a-u^;2;CfzM3I*UViE89(*+a5BE= zc&ed3fDNl!Zz>i~eIi$2JRIgqSRB|l4IJ3rxEwl0F3)di7JlE;sHE{^T-EYqbaaim ze(WAwJX9$8d9LsuR4>r!A8%0kM3(&HN|wCdmTAZ5t)hirSIl1~4;9P-ra54`4w$-> zyR?k_xVWWh1WY*q(@Vg#y=%-CF#TVtUZ6F=G-D!b@?j;*HM6i=BCE4&%okv894Z_E zrbmG3Hel-Ew(T4Lvlbu%vrhuE_k7+my}G5j1Lnv%>uR;s8}Ituaq;2s_mb&KljTPX zi5i)?Khu%ADg~RLFiv@f$9=!EX0CkhCUTVm0iQ;}cYFaK;!IToA*P1aGJu<G8~o-A z2Yd~6fxVlIH=r&8F&mwnzrSNI>K$gYKYAehQ6CGk2!=p3<5Fe%Q<Z$VpQiMQ#)VIH z^!#qpVx5@x)8k!XKt7}>Bh;~78xU{f)M_eP?r!cKhSYctIg27)<G!JF9b!zy()Mii z<jZH&XZvdnq!BbVx{P6$`#XnJ>Hs2fRj5Zat&!f>C@oDuqBPL4hjUklyTzOv;Wor* zZp_@JBZzYz_53Q1_j(asal=h}44qqV*`a1%N39$x*t_ibYILzUIA=i}cka1)fnI8v z$l5sS{a)j#w{`HMxTR_3bL?T%PvdEy3cS<%3cTts7U1{Ce{uc~9>2r?U(Wwl&~g3$ zqO^`=ua9nP#p6FK$7-yAS2#)+RPZ|otGz^AK!CDBQxEF5j~8EMZ?sI`Pqe?vpa0T3 z{(iLe=4qcl^K*Zf^#&$RBev@Ryam?h=Pj@vv_kyHDCF8)5kM?v^JelL*)lwa^C%gv zaPl2uG@BTpIH^?jC8opW5!J@za&vl>sShQY#qwNo8P;Ijtb(BNJ9|l{nLO7-n=b&E zRA>#WHpY~j6R1qR;3nK+)2fZx<>q`UQ_d_xC7Fi+H^X|^6f{N+k}=mXd<f}{GZZ)o zM6?5iddD+&^v2B=AYH)!Ggz#{)ys=&K7n+rlE5o7W$qAWwwYsFidnnJ-!h4g(|N5j zCE7iLOY*-x#4|1W*aGbT(;;RJ`Pr%5{P_?a|IeV@T%J1vxEL{YhcQ>G;|~Hpai416 zV84f`gqeZXx)Ds3t3<()v_|Dw=Z;Kd?N_+1l=%w$no8FMzIfLEWA~tdMtrsjPjDh@ z;yPOvFeg$7DG3*gh)=S($;w~$*~nEneBdOHG<;4(54A8j1`W72fzq>zc|Idy4Z=c` zif5@j*E3pfp2rBjJo=w9Y)i<l#ccc~nQU1QFN44uPRWs>5mk=al;M-~|F+&caRCCK zX(R%!ZB#V%{S8a&$3)*Q;_4Y%*v!GWxBN4(c8X?Ej@5Au^xh~yQau1(1)A0qNVRr} z5lW<cWgXXzAL-V(XZbCF_y6YLX_`-J9UTX(<^SO@X$}u|lIMCh)b;&mAkSseFm{kM zM%Bp3>I1omG5DkOk0@~E$EhATayMq&(8XA5+GowS>Zxu)8;qw`9eVnzb+raGdV4&k z=Ov)e#Aw81Z$2S$h?8~){vIV`%Z~-vrIQf*=lbJsv^Uz@1?b!9=$E4F$W&&b@7xMU z%GW-$XQk|aA1SB%(V`o7{E2n~=%lMU=Gqg?PLjUcjDGNNgTzSUY>LW~Q8a+h&-70A z(?rJ~5eIhO+W*Y(VJHysaQXF;SC)}w^(JEFejp7*elV>ea6u;zT+jiRZh3rt@O^=V zl+fYUG3dlqY@&{B!|;6&M3f<6w#f-Ha5F=!qVBP}vB9M#_~aV<I|u}lqf5M=vSAp` zT&TIp;44B;?Ux|kC<M$if98$7@VvpPxOKuCWE9E+YhppE0r)lic0xF%1y<3TVJE&r z_VGi|I$PUs$TM7vNSV@WF}m#xMcNHJ(){cOM|c$$BN_xb%iM$FsrD4qwh%FvU5K=s z1Ub(^YSZ~*-D0^lF6R^$HQ5oe18d#!rMBcsCwh(j4>iNZy0QD*Cc{f@F_lgPS!y-I zX1cKxZG>q~TrB(6x-a;~@@P9@nhO`pv9<2>@aR%ocBNDMB5xQ}?_u%;U8{wo0Boy) za!05W5xH>Ex>^RFd`CHASuS4_rD65@**5r29OosEDTVFU5`iGHfDKKg4gFd2i!rT$ zw2{Uhz2Z%&JXmWCZ%@0j51^>-5C=T$f)84q_J7?Y95{wPGzSbfYg5-TUo*0lc=XUT z%~<Tn){axL?W|DvP*m6?LL<qGvvurjM&lZt@XJNBy5<y1;CD>VQy}YgTVjN<7&O6) zt-R3=$w$Ix?w`ep!6k1B3B+VS4e|iM3&M6nye^+P3Se1ot|X!pDxLZ|@tA=nhq=~o zg3oaMuhIWRb<&~qd@PUv76x1eIT5AC{<Ar-w9eyZ$rH4#CXPR07MZm{MPZX%CUd|q z>cuvl?kU~V)m*ViH?_>DyX}$TJai~WIq4;3uP9d-IcG<6c~AH5syWwGY%1+-q<0}f zX}wURXPrA<vt8>d@Z3JQ{jjAJKtq!{-e-=!@9IBT9hcLmVM~L4>0Yb1c7Y*EHpkRW zqhKt8D{@DMxwlxv4LFgzjgyo_pQIsBcU8)JGoE}Wit%|TSR48xW46(GQ@&>*Ot+Rq z2R2sm5M@i>paiN!_GIi3mvG`|9~#&7Mfz?5q!loUKQ6HG7a2EiVh<5y>AWW}UFr=m zUE?q;ZG)TGj_R^K4ez!P6zudZ-P(@eYKQQ7023;!mlc0NRgXXp+!Rr1pkbrN!Rn6S zl)6FkH1taT?uD8dH*fr-+IAEW_Wf+o;0Bai8h$YRSlJGr38C=D?vwCx#CAH`^tal` zk#(t7<hHC)1JC!oy-c!L9TRomc!lyo*F>Km#(wVam2mDp@H<3rW2s5wkwktLr-5F? zyxcEh@qfgZ&*D!R=aAJ<4ZOVvDEqG(eiS&7ays-<1Wj#a8VBFC>EBoH$vcwhzVZ1P zgECzRy2k;BP4ioOCeK;F-Ipv;63X<x_flFgN1vNx_eJ#w;FQkQwxPkNbl=eG0-REf zhTkO*mM+}N#Fn%9vzTQ2MXZzkEXMQxN9^+=-kN$A4<G@n^&cxq!UL>iN*1t^?eT_< zCY(SHFot<Cj-xbsscQY%SYSC6WqM)vY@#`1hu@V?fHeGh9v-WgdD6+B=P}57nP)%q z#Y>7CEBjg;6_zFG{BU8MWtN{)huDxb_M#wx<QrQOzjsr8G`o8`toR3s8LGSq;=BQD zvU%iQ4v3SzzaEZ%DZT!Z9)FI$-tN0E_4y-F+n<2;@#-TTVce&E)8d<aB+jSVJ&kbs zr_jBmPn(MN?-Y-4yo9JIlh*HHIymC%U?qfXs!&d<5dJm`Yt&#Y=dD`DHfK*~-UuPN znuh~v2b(H0*X58D7Ukd=Ak?#|g89@6d{yP%BCNpBPl&x*U2h%-CsKtVr<Es(VT2Mz zvZBd>W(!+SW2+aK$rZvyC)jPoEKtx$Q>7M2NCU)>`X-kN)0uTVFxJ}W%XwrzvCUBY zHtaE-y_78&M;E;|cpk*T$~qx6G!U%_1Oqgq!e@~28N+P@Q=-GHNbHy$y}`L`SB?`2 zY)rv1CM-7zW-$UAyr#(eWKfAnf=BrKsI6!O145(Hdyr^^fJ{Mrm=%K^yREm-ACNI7 zvR8$~95Ub3=>an3B4jc0U?(KOD_lT^LqDKCnzsNM|3;#%aX?&egUvQHhLHK@)q8-d zUWBYeJ|Ki7*pKxuwQUL#ZEmn_z)oVk4u}1wq1S{7m_a9z9gt-+-H^%rD-(N-Bsh-o zuWWS)5>4e<)(^;@>U#H)pJl9ojFjm{SOhGi0%j{bKolfH-JOoJad8>}sFT&blwVlE zGk~coj5i6K|5Bl*5Cuz+!80HL=OA5AK}I(z8@0qdw78b1N64s!OT)PlLXC_?Lph5m zxC#(?j1UGw#?Tue<8cRoHPY;7HDZUY>K*6?{IQ1X4B5jfdk30Xj~tPPSq<4?fuI@) zpoZ%V*cZ!s3!~7FE_TS^T#)am#X=DU8Q@J&c?^)xsm1&d1uNi8P+Rqp_o>C)fD91u z=p(OFi`fAgSRlYeCL$Lz12V8CEWMJf=_)jkvDSU*0}9Y<qPqGsxG6ldc<ar-fsNJG zKk~%vWwkI8wtIB`fZFVDc4<Ge)I0SM4A}_DClO?jLR3zbGQ)!|ebNG1?$L0&=M+9z zcM(TFOea<JHCrTeuZ)^D+I%czb~qMk2tpytGW|K&(ND+Ld8*zmCZ%MsvJ8Rrvjl1w znFJEQ!9}RICr^vk@OCs03YS|2E`~q}n$=XmFTgLbiKX}3k_Sm$-2lJgBpGD@xz7dE z1yYS0B+bt@enX>mx8C$bsaIm$TX3s*8z<264_R)#{RXoTCUH=Z__sv90e&L!ioK== zx^PUs=p?L1F=PSc27_>`7E3t+V}H6)H79-DdRMXWA&{p6fcZp{6nhW&f&sV$fSU*b zxB`ItKmhC&0l>2WtV#mFi(qyN0Jq1YYK9G*M9lT#L$l-K?+;uk1fD?K`OCp<I=6QG z&|wOXK69Gm$wr=SnFtO0YM95Tn8ssPfW+zddg7H`r=F!NI&9{?r%^d}PMZLq0sEKH z-p#q+ko$36ZRM!=e*06e?0gI@d9eUeu$Rgkei0AnepB0Ih>k)cSV<W#5fu`)AKdv6 zUmgZ$cNmd5NAT`StX+ey4r0cnS)g2{Jdk5fZ-YwsO}{B9Ks8+^=I0yZTgE9JDO{dF zZ;sJ}oGkb)IU!LXP$cud3;S?T@{43`e-K$$Bp_T33q0aA+lq-)oXyE*C!n?nxH?G= z{OYVft6EwGQlc@rMxG?`V`oL2iO%RCTpoK3!tjGViu;3)zkWO<e?P+CZo;lO+q*GG z0!0bionC&WN$Vgl`I<0Yz}v)-xB!o1iRc`tGF=kNMalv>#{Qde3BO5>FB<{9mEqM% zbRZi@e^S+;3dBgW{|04}M30RXb0Iq8EXwV6&{VZFjv)40oHh7sj?m<mcqGf@QK{-= z3uTyOtZ_PDTsqIk0wj(Vv@HF|oOAK@_FR1~$Kc0m#?j0g`Pz;vt>F4l{d|lVz0j=7 zI*lr8*$;u2739Q>#|jb0uO>9m{aQO9*XJbQC|`FQA{<piPPol7Dso+S^?#Y;f`g*( z)<iI`?jLuX1i)G_NDa5o4uD5h05}SO4qy-mZa~mavs>o)i)MSA=TP}ksD`FM$ByA* z86IPwubO5%Dj4(wgIk8^6?lwB-}3-{7ud8D&>zB@XalAbusG^91A0ysUh6o^aW&ld z6z4;-nk;2?&Lo#nDt>s{o>(M)w5&(V(Wxf_Zo)R-6-jbvStC}Wm(%8JVpGorHe>K6 z)X3@{B6bas*f$4oKYBdW^L6)UiL9HZ(l!E5&3IEv6+1ad88!)>!NT#?!y?0pDTK$M ztJn@xsMSMeQ2Q|{Lg3-e%}y>JTc4uz;07rT<x({Z%_p{R5)$1uh?KAM2u&u)$@Vr- zKcGdowXRYjPDTtF08arRmoPOq{hW~THH3-Nz3Z=RhP>wis_7@yy03;gqe5aB1ZeF( zOzM7>6>dv!zgZ-=ET5XHoTJ^Ox=WV~@L?zkN&Y2I!!?pl6PQ?^Y|LC@p)*XV8&p&a zFvmBrh+E7}{9@1u-|#)_^W1W4O!Ss+f1B`~<&45!oEE17af8P>eqB$jVZhM{DRSHz z8%D5Z(gGVg-k~jWg2sN|&W%+B{@{nKxWKkmbCZBy*l4ifX`+K<sk5%ggA$xXPzQ-V zth~RRC}8V3OH9aE;@0r<)=J@mO|E1BQWu!?h6swY^qZk0{%e4gTnv!R0g{_L8te#z z05Dy_JTP6kE%NM-c0hNZ9U;Q>_7p!NT8SIhQg0);ubx41kSs0P6@5{Hnh3_Tt`BO! zttK$4(5$Lc+a|94%D(pSD-@KJR5S|dyPMq;`^LjaNFc04$)&s5b$m^iCt7dEbDtoL zggD^cISsGuwEB=m__*EQSGQAB_mKg0JxoRHyAChD`UcLJ(vZ=*leMcq65K#iXV6_a zudR+EN}I#3p{9#Q*IS%$yP0yfZ_LEV(N~E1w0j9gU-?n3HM?S=kfR@W93_TSoK|Ua z2v4{A`&dtFggpT7B9-73z?)_hr&DprD9hDa*c<RJ>fD<HynPf=*a7cV7mXOcXYas$ zz}x34il}t2?vjrSzbiD@XhyGoN0qqcZ7Hm0t0U`0J7Z5))IiJeV%{Y#YS>$kAzNV5 zhit-#P2hx%Yj|xbPziLa_w!&i)_Hq(tkF<4Y`LDjAr@Y|(@MbJnF)9UV7@X0P-Jdl zxept7V#HT@&&h+Aq$A#Q(#zu|8T(vSX8udE419XIh3&pCNz0ey!%Nc8?Kw#h^pX?@ z)`~vFjo6ai2pSIG?5b}Uk^P15f&W~_E9YlEebfsd^(8s=lI(SOPDaMRB+p(>fRf-@ z>1g+yJWYN{4!ZAp{^7X{t~mJT*&*HSygq9B+1aVbZ~nT?`?P3ImwJ5iQ8GPPJh*E@ z<;c=k9Iv&?NS2}~Ih*&sA1u>j{1v}){4u$9W?E@{p>c&4a?ttKi~ZURXa=bhGNJiP z`S<?9+1X`5$G0}Bhq;y}`t-Q-wl>}b!|A|m)Vpw8>%TUnaJ_wQNNGm!l>lzqS^PfM zxnkk4U7at>d8f30R><YOX59Vrw$5O>M^)Q}D@TbXZDCFCddlJ2|93UH`fC$s*>EfN zMYks4{x9wF;wanStK#@)XlMA1!ur7NU)neSZKF7^e>aLd05*zK4XkBXTnd1~{Bm+N z5&TPP^nLWA?{>&6h<Yu9NTcYOhjLyehA>Lu=X%r<@Qjm>ul{P+9ow}N&%9Gg1@>gq ziuddvQ10T{?za4>A$4qrwQctKkt)O?rOkgI*x2mu>myfyDD~rCEp88g^yyw)H-7_K z)`1*}VEDB4O$6=j<=u)v%*Z9Gq~<p~6IShAxF$06q>Jwy%o}|$__=GIzVmCD5Jr42 zS9t6%@cDSN8!GeY@A$OqmsCEibn95Av--6E-IPshD@8+_l4wRd*2^6g%Cz<H>Go(Q zR)YeSRN<OiKlYG))xv!-I5q#Kz9H1RQ1ma?M`>^u%kqj{)fM-eo3Q&Eyq2Y_!xobJ ze;QbRmC9-#1=4W!mh|b`<?lGiEs1^GUGjWj9jN4^`W}xF1{gIwY|1n>=7}n{T$1v- zV6&oOei<S3@WN(wTF$ZaHlx8S`#riTZYg?q@Cw*rc6t~j)i*V8?o*n_uCtD4l4GbC zIQal^SoUZNt+3rfGWTPoaY9SNr&X6T^qo$%;iFLM<HApGGc_iQiLj7mlgs9#hOXB$ zjC^WnC4U{9Q<CAVn>Db-4R}%Mf15u)-UtuJH*4yzFRIKWwku^fm4Gw|it;Y?p%G(3 zut0PE)-l*PvDgE5g6!So56L|SJtpG?35CwxOYD37ZG!g|W_5etwPlF^Xn))_!pa;L zj>aJsc1PIV=}Okm!!?tdz1d(UcBda9CB11-f;Oxr7}hoj+5J)+zy;fCWEqJezVJoG z@Z#O9_yU8(B7W8Dd}?;_U^@)u7VcjZA~qC}P>gm^1$mdLgV?akc6IZk`!cJz6v%TW zXp$xvNo%M&h_`I^Dd<(n+-79wl`t;p#Y=ekF>O7Mk-C1bC$Fq|h|Jq$Dk!C>5os%K z4E5D95QX$7Pp}iS!YO3c#K^>^E~KcIF&jU<FSPRv%SpVjqHJ<`TL}`29c&ieHAH4- zpjgY0w0xX9LtoH@wedt(j^;8dk}N}*KSOU6hP651txS}+=MYu-V(IqHt16KrIM#GJ z6C<;{q<lYOh=337QJSWJApg`NlL1vLCK1a#-qbw<BU7cMTr`AfmVnZtm2@nbjgs@d zyvk6*5I!Hyz4TEaLH?RSCIc+f@Tgaz6npywyu#_cD!F>yNlYS0FkW>y6(hH_xIDxH zk{36R8)BC`zycB<SNKScV0TS5Q#PF@pf8gh!ZIki1Tz<bOeerN2fZ$jmp;_>LzE$H z@yX$X<Gu?73UFqpMc93cvTEUPcc2?2Nu3b?BR&AfF8|MQ33i`7SpP4-47)Gx-}tpl zQRN5dP6mlLjhoY~%j^x?TGAH9@b2mr{I(+;30w`wTGAdstO3L;;P_gLF1#4tL$gBL z^MP!CHTVlzh)zh11qOSVM1rCm##NJQ^Np?Oa2>+D9ew|1Y6Wht+9<xd$dN7Mjl7*U zvtVZe+eMK4p!&|~N|Ux{D!GX!Y=&NSuS<m$pc5OeLt!`54y2W}v6k^#CO6ZB%Yduy zZTtizFT}>{(Ae9x0!uj;tar5{l8wkshZ`VgR7#fm8`0?pL`OMIVRC)tD?=^FKZJ!Q zv=1Q)7N)Bs&4?BuBavf;;do}UR^#S|N)zCUnnN(&Y#0F5ASJRhg~(s3?I3gm)!<T? zX~JjJR`xc!0uwTckJq8IBWMJcZdqH)07)Ytsa4r~XZ4)y2a<Z~fwW`u;A%pEYEY{n zXMBsn)gS=X464KAPRoL;!33&Vt3VW#N�x1yrM=jN`dS53VNf+5(rMRyEK91h#?y z5oeyCB!S}@MfZ}l!exL`4LtUHN!FO>&-3GWvX=sVn}LR_a2e(0y>}d3DL0c)1N@^0 zaxl5h<-i+`7{5j2NQL2sNmhYcaG|#jnO)*TAg!b|!!7}Am|lWbJfh&rdliHkCf2GT zc~jM$)96fDPsu<dEK~ae$QkKH)qV~H<Y=-56dcc_{1VI(5yQHY3y4gfGQb;kbXhn@ zNq5ty^pCaXU@Lz<<v8nLSby*FdY>Mst~bRGE0@$;_SFgR6v3<cuu~h!*a&#lVW9;V z#VU#$+uOQDENuEO;9eRtdr%l#c*|_X%<53M)AB>V-;ed~8n`()0zyL&Y?Q!a&pH^- z)v*1rVY)C-DIF#N^waF2-fLa#4e;8`By-c-H}D;*QHk-|&I>z*|1fg@YLwl4?4O0r zvnH{lMm6x^1y6m(%^Qi5xxEl4E2Ut+06zVw_o<g)pQ#xhI@{Z#T#a~Sk_H*5P?EF1 z&+}?Kt>)E@O<;F<%hs!#fnX5@T>U0kgkl<(3CCeW74$uKmna81ff<a#YgX65m1^UB z0TgmFUEZd>nLv-v#VnU}=M(F+_PH(*Hn*2kj7B_SU!xUNF$r<2McV6O9h*!0;64Ak z4AZpogRtWyG~N{7vWy~RP?MF|Q1@y3!9e8CeDfssn}re-oW~ae)eP17U}3?=btRY9 zZvr!k_oblokRMR4_cJA^xET_oSOJ1R>=1;3zM9_Ye9Iy?37EQ)5=29ZQOtnx3$@*} z?_Et6uD_DxgKKX?j7~J7Y8wZlSX&Tx<iu*a&(K9<^ZEiezmK7(>uHOiOG<~MTWh+a zYR-)VH;Iu&1nPyO^J@|jugZ~{!XJbplLTpcERct=ln@ZFA|U|KAp`(T%>&ST09tTi zhrTV+2`5{QaD~rDdIt;lP?UxoD44QD9+84aB6{K+)ff0Sg-VP}3Ed6e_^nttdWW=; zqoLBwDEcUf1+b!?B@a>jje>eXk46CLMNuf0;Nn0cb`$btC%@9KM9Q0pOdB@SBwx#E zM;$^>c$J3V^S1P^Hwv#6@dUD;d%jPFAvnB7J(JYPKA>*O^+x0^5V~iQMgk#<+fASl z5T<65)&rq}+fCm)AZ*DbT?4{cw;Ko%AY9HQg>V40ZZ{&LK=_bJYHtE)-ERWLfDku} zbg&>eVFbesy$=oxg|tK4*4r`yqQ7Oe4-Wq&><xVhN!&;~2rm>Lv`K?F$s8}-G+Z{v zv?mIaV4>rhudJ&C)~vnq<^y``m-H0eXyusW^$5{<Q#&g2uS`o1cjciVM-)A{7ILbZ z1pBRocQj9HBs)N{sc>&m;E9Pgm&839W^Z}RP|2SR%ceywpf(YL>^=p@$Dc8|a?R+T zS8+QYRP&wFqCEDk;~o9hfFR<*4U39pu}`HqmKEM^Lf3$w)4HDZj>?|uIMPt7!pf<r zxI$$~DKh7%@lwIr7uTq$=m@5yE?<kW5mFuEoeKo=J3=zn-20iws%(m`Srrumyb4K~ zQ*B3Y)MBu5iYv}gYm%PjGJqVf9FPZ7f#p5#0XZ93j{7W^0?Wg}axt*Hr=83eeZb${ zxI6m3X_9u+-<{)=?m4~v0!N|70cF_ZetRhzZm<Lq(<@LMoSuFs?XMo#4$r{=mQmM} zThw>R9ZO+JS*T|^3wILv0s)w>-iJ~{ktDa3978yY8<ktcEH@R_#bntUIjt`CIoH8Q zLbAT$uU-Q0P7&z!H#s5D%Y}g^L@g^**J<$!OMbLwk+qISZ$p6N;yOzpy!dA*zv7)A z9J1$Ox^<}0gC*QlyGR^O@LG_{JX9Hw$}=aBvo&7M8@@3J0ZwBe32E#AuY9k~f!J_t zjP#W<1cG#)A`?-~^5(IW%>lo&S1Gd+Oby{W8r_Wnt8cSWp|5vm{+9U7+OTZ;x;Q;l zbn)^r&lvnUFKWkAKSOmrExm{BBEapKUFbT}12&(K+Y%%Ei#cCJTvm_<JTtUggZ7vf z&tk;w@D_DR5wC1~UV^^i+a1WGc4mv4=UR3WxX750abTugOU;DZX%jq;yl*-qXE>L3 z8Mw~c-s;o%C7LvIJ8&RuAYkpkrmI!h@+a@AHn7X~rel{7Rob#pXY&j0D4#*pk;IgZ z4pq&@@*hWo_DeIX=#X|%i|xuUP%Moo;`nkWlN|IHCVXx><Gs<sWdgJ5H`Lum9<hx& zDw};>r?S`$u`4FA6%VE}yv|s|<J{$OYu9HXhLyJZ)8zIV32XbU7Kn|FJKArBoW4C# z5mS5yrF)zHl(1%M_)Wn^c-a)U8J%2w>%YXhY!c~F#3ElAo4R@pU-^+py!Fr53upDY zEoMB0-5GVP@~z6dMCZw&rt-|eO;w?;Ot=WR+smnM`@VvIS^o6&WTrmeonESHJ`CL* z^AG%&of=vMjEm1y3W*T8K2<2ftN;1Y>QVmL-2*8*=To;$CMWPrKzMH4A|Dy=WD6*h zP8Rg?%$rrHIKuZLMbDkz(m?0;Pt&5c8%N76lOvdlcy$@|)`|z^Y(aRd)gpn7Z>Mge zVOfvyVSbd0WcXJVW|t5?KI`0XFK7qEOpua8TDGK{E*41l)qHqx^|@Bo<+>R5M*1ru zKLSlsh}aD`6`uLsgXck=|E(zqht8rvZPyuS4wqQTuvClL6e>_7_oC6pNpFp}R3rWr zsV;GMNvVzV3!sq)fN98?mTDV2EQQ_7OJ`S2KR)R;1kI^pb&7a!YH>CCX#ED|<mM*5 zhf%yCR92((LjOJbz{ksvPfRHCMUjrtZn0%|F!db#gbZW9_uDz=AIo0*xHVW08F@?& z`~r5UetLRpvL0LdJH`%gtB?kd*$ST&jw@0;_=b_K>d$9-3?|v;bc4tFNwg~|=FiYI z+^2@~;e^iqGD!!?90%aG^F5nTlrlR~=LVqdoO~W=J9iR$X*;hM{q|?z-QxAfC?S|| z#BS>la`3(Kzp6iXvVLw{Q4fx=U6`c$&yE*<QUZ`14Tvw^wwIFVb`;gqG+eNO5+o09 zHNV%unJoBW6ZCDxgpXZWpg_UQQ`?AYSo)Oa`%=-<?<ZbWG6v*<;7ph=!^GS_$V_)m zg6Bjw2@8XNa7n_s*~Y|44TJj_IDypcNpONlA(|*`tPnf_7+5}m0`0!tE`mRKD)@Q! z27CkU(t<|4vZKZ8jQeo6heqgv59=HD6+bG4w=@P?NG2ddDf-d3T@Bs!?4)XT(*@G6 zQ(?qY%JpnCTKam)(=Qu|KUi_4Aeg=-Z(tGS$K%<r1)Xg-9*Q!(8kJhOUH9$Cj(9!s z{mGuKM|b+@@F~mJ1{xH1{JNBa!k2|+22!MaZ_^n^xB}--&tH+Sgc`(;MtFZfdQZ{n zyYH4le}-BKz&;2=-z&$Ua#sPZ4GlnRgQZbO-daEKOSi6MyKm3~PCgV0lPH|FW(X>0 zJv@n}2?qb@sP=xlqB`6GzAN|+R5tfjuAehDz1{cnl0Bh~u$W=787%}YE9DRsi!~GV zrtk@<hV%D9m03*znmJ;_lS_1gm)1xH*pI)xzf3s?L8jZB=1JX>N@|2_7%o>uT}UYM zc%&Yq@2E0J2DR%Cwf6h|Y(jpY(gKB1mB%;@8MwL`Q$`Ene9vi6Y2bsehoV||e?M7h zPSrnS9cQ|_1^*Vbb_VB;<{Ip){>gxtZN4b3Nba3zj%Nth=(0^!;$38nsqhs16co_V z-i}3RV;anrH1~#*a{;TL@SxtZYEDfxtRiMsR}tPOL1nQ{QZbDy$%vYgQwyt~;J}?L zJMqpa))ameerh<x5th###o{1DgOZ?zO>}{oJX@s3WPpILa7j8$J!aNd7TzMk<%^@F zq84C*PRVJ630VB$&Q5gs5UVPD2R{=Las#XQn&^l(HIExc&kn*K8NWq13^D#@0)zDk zauOn#&kS`|4h{H0D_FP%?tZP0e>3Dq^j;?&;%V>V2pZdFvz}6|3+e|iz2-26#_}LP zwNF{mo}-mVWoB~f>Dna)oz-!!#_aby;_3GagculFyki{}wf!);cm{`#&0_;`u`0>y zHRLfOYXr9QtVgrc3z?-0*;-kZtis4>=^BZuURj*V&YE~4RN*Mq+!{s!_^AA~6nuEV zr)BE!40&<qRn^`j24r-<KfRSw(~H1I;y$AzVhmz#m3nYn`KPU$v&1`Tz{7F%01JQ< z8=hgmuV;x1y3g<iJ^;@&KEuSfXNirD&+sA%0HZWN!=1Nh^g|SZz{hG{TQdj%muq>( zweQXnZSc9R@^<P%(Zn53u(!+^kum(XW-6s>>Yw}Xam`uBjLwm`b&MiTqA|O?7YP#- zi0JrTci};sB{b-0)SWC?5pf*wu5QE6jqBRg$0$wqRcSMH96Jd`A$5ZnT*-CCq;k^` z>z=r2f?hJqOkQIa$X;Fxv0evDh|2JZX(SftEu7{~vZ)!xzbL3oa!fZpEkfr*N9*+5 znJ3))OfxW3ht)||hS2?_T~a9U1hebv`pPy>4$K7b#J<f*N;_%4A)|f0Xpp?Dj{O1s zj23m%daf?weHH_g>HuMM*Vk5v{`tn$->Xd94^d7#$_v^Ss07wbitX$+HBPgn^;9&S zJ$|=~Z)$-qdN1rbrvx~(MxS+B$+arDIBfp&0|?`KCWqt0k@c~?M$6B?jxT~AA{PBo zGIU!O{z$Iu`R-HC2SjX-;KOhd8{;U91Tx1qhu7~ci#uvA(BQ?T6HHwaZyz+~ng5XZ z{`&hHFGVhY*}Ep<iUOXbdEHbN*|mY>qx(?!GuwziWu)z-QAA5>nL55rpLGb#y0dM? zM5l4MHNLpG$sHkn>MC_vaWTtcjot$7`Keocn3|NY5P&KlHvUu<h^icV7-i;>1Sj)n zvj^Fba*21rB>Jo4z0Jx3Ug<juzj`}k_Kc?jGm{2YMS}(JE{s;nu&?bB6fGBN-I*pE z*e#7a%SSWW?+DYVfUoOxEU1f4mpV=lienXZ+8E&#EOXECJ<u{6zaCV%z$htrdU$?w zH&I2+dKmdx1)Fh+JANPEJ&sko-qxdQ(xmVyUAuflw2O?W_M=bQ_wSCap&9(Az&1;7 z!VNn!rZ$_540BoVj-X%V*rJ`aIw)?Z{C&UV`lmL`l<j8|lS2>F^~i!3>Vmzfu{C`M zGn-p=uf6oeD{#;I2VvvW&(yHe@+4Zg?cd}h%ZX4cjs?i-$$|d!$islRLxCa_G{$-e zvid$$8QWL$fQ6W_@xVtp<b+iK3?@m^L<NK40fVT2nc0Mmr2+=y+@*~Pz<LPCbrLU> z4+-v2x3YnJA3Ee*ir|*&u$Ajw!(Ev^YLY-5NuRPzC7#H%cS_rGNi|TnWKv!R4gLT+ z&Z%H06X!`XDb1w*zVq4svOb(x{M+wiXaXA1v7G)xXfmfJ(@wg&J`{n4r9dzNzLsce zaypR?CLOib=jh+p4jOKao%W^E#T_~d&N*Y^C7u=2cZ-J`6_p}u<oHm=MZWRIMUbf^ zL2P7_<BxQb43bm#2f{JQ*Y9IgV=~)E1M%s%3-QbGxlm{RV^|TgQU80_W&^+cm2r_V zpf_H`FCTq1`~b*@b4+>*RF9J$!s_3f6hTHLM!k(njQY>v@RTmLaE$83`xs99qk@0* zP8@hJ=#)4=u_<FS+vx)E%U4VB5ngIyc%YXg<Z^-F!}~d~;=Abg8QQqW`IB+cdycne zu&gagZ1ZIqxtI}&<9<<zl2hN3d%4xG=7hKbZ!i(44e+K$r%dqyytCTrJlH-Qv9?4f ze(RhKv#{m6x~hKfqqNOcwsgFor<h4wC>b`Z-t_L=TK6^fYQ%%(>&$rm&jG4aq-NH` zhe*<k7w>aRh`E%YimV>!<TzLigp<tUojLb~s@1pgO%j(j@UYCzjK3e5@vE$@ynf13 zQlGr_vf5mo60Llr<+jV+T1rZ_wIdOHKSco-snLzrH=y(RbcgI~#m`y6uKQw30Nl(v z+O2-0Bp}^&Rt!P9^~3EI7`(sUt#<hO4gjP4(H#7~{}I_!<2m>7JQuh_!>sK<+aA!_ zcKw*(DdvcVYex!ns1MxJ+|Aw`!3p+|JFvggbzn#H0)5~026>HUO`-wK>|$G{{%u>P z|56ipGG5p4WQ2E({c`Lc6Fg8j3O`qXox1KTU>4mnbpn_ew=~g!Y4ofs3#z9OK->d} z6bA~p01=nmp@CP|p#k0tGz82cF`C7Mx{}58-<82fCx&yW08<cPB71=j0j3$i1gc>Y zn`jl>xhJ}%nE<AkNZ`>Tt>MuM>l(WS=Gbu+(rn5f^KEnC<4i&Gpb76U7>JD$6`9)| z7SB(x{7Xr3O#RsmdJ64bcUEa3{cY_#e-9_8uX^|4u~D9R?NDkcAE4({;*|XT)u*e| z{=nv6m=}IM8ooMgqFqKJv3SSxTI$%8D=OM`GWzyBzH!Y1@9fjsh5X-vqX6Daa>T_- z5mDkYjbLIl1ZrVXFL|m-2+X4q@qS1$BPV&PYzV4s5)6d1nj>`ZpM7$Oot+3e<wxr< zUf>TY8Ulf^sJlGXD`H{{7+_CV#rWW$qss>tm?^odk>b2rXkU-_y2x^U10DX6PFTz4 zPL6LwoAJ!F)Dtg<Zt_Zqms5v)<3z1WPaM?J|Li^*__wc}QAPWoHvL{pe$B^XamYtL z2ARJUPu%HP`fJpQL0>K6{zkD4Ju6BB$M|g*7RzACo?56T+I0w0eYvOF;rM{S?z|d6 z>%0YMo+(ipYPEs@&7gQs?Vb@#(*$TR695fU9iR<>X%Q(=JkXDZb7xX<dTlEH2fR2A zmY&bkZ6)yV9ZJQid<9-A`ghLRQ9K6<ir*9WRlCR?oX6vdOZQN`Krf2Xtn6FLk#bM5 ztplKP#UbFeez8E`2$raP&F8-RzX%nM|Gzr^M^QVZOxnLk>ybSEhf*f~r^LTC2Km%k zih;g69y?nyyuOl04V4Hd!U+um-hYvz<a1k+;wcr(vmf;gHr002lyCkVf2{oY$0PLo z-8FYB&x+*dnXBuTc*K!M5`!PLwP~sTmycVk+b*B>a;qhbGgMiy#t%i?Md>sU506CK zLzS;o={#tX1@Okl2Ay{~CyIX~lxneLo3}e=9`;+MBK?<uhPX$RcM5Trld;Gfu~dsZ z+dR}U6Q|!w9sb_{Av_;jvGD`%lq^6hehOKrdI>|U5u33Y=yehMQ-pQlYs3_@XKV16 ze7+q-AoYPLS)i5T+Rz30_K@!mB2*?pl+$cValB&yz;t&IvLMfUp?W<B0zJ@Xn}Y{f ziX0V>Cu0bu1Yn1dg_yIq-p=f7|97n4O7focE#EF@p?7?4wqqtjcp#Yi4@@ugpNS=G zYkJiWZP+1<eOmCtGsE%uA*K8{b#k8O(*1X#iq-D2nrVb#OYRa?NR<5)9~-Iv{Mm!S z`Es~ax?S+2^K6}zx2Xez4d}f;$kT%W1-rw@qkh3*<+E%za-Q`bILLzu9}{6j1qG)P z)4&nDmRF>K?U+x`ZhE)N3H1BREAmbOo&MnS0y~5(fSddIGVp<W34mIknU0x2gFg*$ z{=j_!;1hpI(GbJ_7XkQu{&D-S%dHRJc4s4p?rWgY|MfO9dKjnByDXR3sou+ZaOh|Z z122nKDtib32z{Ify)MQuXd3`v3;-B>z>6AwHYW2EyyCzByy9Z_&nuq)vW&`afja;1 z7<ggJz$^a#t*R1mb|C}8_W<=D82i(ICjRq%@roHb<uB()qhGeaEX@MnwDO?w#J8T? zY&zy3w+PwT(*}bo6pb@?a6A3j)&6vKGHHLGZyr99D(lym{bGct`xrrdVP+Dv6BmdO zqytL>e?^c^)<(!C;`r7$XeVkAx{oUoA*d1)D`c6Pfi5|i#9m%`qtJD@Pl$(xF2|{E zB^E)}g80J2Bxs%h&Sxt<PZ_w8j7{V;Cb-){UYUR2@GlWo@-*)%)ChDj2kJu~I0cG> zy$E|22<)Zj;e*|Jc)@NT6pEu{?~_@OPdfrqhbkviIY3j5_u8KkO^5NOKA1K_uL+8@ zSehaQ+GfmN3yQcWlKEtk`J|Hh<dQMsO<|Ro=FU6xCr^5)e4xl;rM?TH&!Ckb6Eu=N zLQr8>N>4%<sNCYEO;t!wl8Bl{P-&0`2K~uQm?fr(tklsV^jcK%V<F@WfKLW1^#l-? z>;POdfO4NaY1VLSkHx8|DTW|NX9QD^m+)KLBQyEua#!6VBIXxSK1<q2TmO-#wWm25 z;JY8X>(APYjiB0WrKK!ni?6ERDl;Y$1%izxg;X}zsn<0aZzVcc(veKpd440BuBVv; zBM$}Oj|S(RStkz>lIdZ=X0$6zgH}+LZj;|8Jy|B4#N|o|WI=Ifi1d04CG{6`_~M#b zNhAL`V9NK`Tz2P;tEdg8mES0-Fm@OVw*2ldr|jgp9wTvlRch8v>FeSe_RZ~n?ZPt# zjU~$Y<&tsHpCWt!CH*r{0V6BN00JJY1A_%%kbEr$6Y6~M;S(+0)qDQ^(PAMb;3fUv z9O$H2?!AC_!2jt$OM>EDJ_CL;fDhOv{|tabdC3QfVsBZzFjqa{iGG(V0XDK!9)E6s z+pcwMp01z2*^V}Q7%oWp2m&JLG9e<yj4_<#aVK64n${x=g9qZKbHG5ICCdDjaf2o- z84V-e5c?~AVa4fJ7paI&X3b8PoX)O$)}l9OOn)sU$qPtbzg|^OlbkHn<QsI?8t6hm zIjb-oJ%lV$ZCq3=bel96uQtH9F~N|yt3w7J5C1Usy?=i=d%b0`nsG?i!-Vo_Uoi4( z`J<ii)7gqW{8#c~M&wWX`(Vfh4A}zEu`#P}93#VUslM>5-AV&-vcd4h1ps;thWNnH zTL8lT4M3ln0Eit7aRZPl4V!PA!5aW#1Ve8CC|QZkSMe7)08xP<I<S`~0A*7F5D6F} z2YVF&kRa_aUSL`f3ySW$)!PV%)Y2#O)qD}?+$<ct{b*$UTz2o(K1pN)gMGZOQ@7aL z$O68RyXRv(6O=t#xqDegaN=yfZ@-&+4f0H=_;OsJGltdDZZpL{nR`V!gpo82-P|8! z9b#-VDSe%)8|cOF$@Og%+{-$o?;&tEBp6r$IiyMf$Pmu}s+!4@_wCfbCG<HU8z}W? zP5vs*lY?48YoXZ7!U2oCNdu3U<A<IodbARO>1lsGPcLEWj7#5$<%0+@u(I+i=E+<F znEfq%Ah=S-f2c|>hTsXJA{^9<fOEv6eJ<u7-v?D>aN$)E|47?||C<!g=)XyopQY(u z(jsZFHLq>W26-64C(p(Xo$E6%8}nu_D+Q?ap^WI+6DY?FTuxm0vnOSAt-O}sDrGQ! zPtUT!Khk<&iGkB4_&PNXtg+8GOz_gEOZqml14~1S_PmTkB^HPsO?~HZI;Peolao!6 zYYSa5@)OBg8Mv(9IB6+&MP-{3aGAbgGm49bCQFkuE1%FSMuZ^HzZca`=#9rvr^SgW zc$&C4RxAC+)3&PJ{)O=yeo<j<r0OZsTj`sNyQyO|o^{!-Iwh<3=+!A{U7|`5WECPK zUs0Bvd#uO%^zvmK#ko!fKj&)?Ybbm#VdR7rR}Cg*U{!6j;FjSsYt<-=lvEAQeRrZd zG4s8GzOhr3nW=#ATSRdF7Xkw=GcJv?Kyg)<`28?ffy48Xsx3q=$8`Irhr~Be9rTsW zqA1uUMBfIJ^4GI#vl{pr7kdpmMB;DoI}%^Z^R#S!p~?#%VY`H{6fwpotW2=P-Jnz^ zzl3%d`E;be3)c!{7)1>UziD0I_+Jn%pnCtBnN>6-`6hFQ<8KMX2K5=e!Y3}XjK4-X zN=WR#aRkQBmZobw1)9kVY+PADGUm^a)!yt6r@KG-ry*Q~2#Iv{qam4m*^QH-sl)#9 z>l*RhS+rI7^>9Y7`UzGI<1bdmf*c)HR4dv#oFBeti0`7Jtingo!P$jk#$ROgB_u{t z7^{eW+^(Uop@y0$FX$k3@|E0cZp5;IIpQc-aeinXA-)TYqz`wXgOtmkQLVYz2c<hd z`BSiB{}4VveD^bg4%mYcavWUER9in9i}CnwPfoA=Nnr%zuSN0_;;SzRRUtw)t^M&! zM&rBcqJ~u8MA~uuX;4*w&Ur|pe5Lm48z{SUXJ{gF<r*0->P+}n25jXxJMtQ#t+yK+ zH5LqvnZL~*?yjzL3OwyyX$w_~IzQ)SeAwZCH;(na-k04GM^c>I`5g(}P&*<eMU;Lh z256`z4ET4dVFdgutz<QBSXINv(E7#@lU}8Z-Hk{|lSMr`E&*f&=SCGUs~7F#t$2_h zgjVgb#^ajSq0JQn1l~!nixXf|Gk=Mo>##IwSfz>^Gmhq_iDv$i&eUQ_*>F-2J2oyc zCqV=n!nFhci)PYx_`bGH$@KY1(t0U_C6K|!!FQPLDJ;F^`|$Sr#%q1weQekK04jIT zJ(IrZ)_V)g-deoO^d(qx<KYKZXb$Y-K~@XMNqQAYJZFW;^uk!fu>Mkf^8<Mkc#hBg zR>tb~osk50+ZdC|s<;C&*)GV&=ClIxlMDu?#>1FW@aA-@(MFPSX)tV!E_5{JDPinv zCg14*Td`qQ%8}`y^#6;izl^G@3)Xc}g1fsr1Se>4cZVRsA-KD{2M_M<?(S{@g1fuB z!x?;QpMBRoe<oE=y}e#&vo+eBV^r^BsRReDZqzy@xrP<X9|u;}FgbR|RB-$>B05Zi zVnSwI{T{vteoq9zhUNek`4gtGw+&z=#2)y9pPy<A0KdkEX%svHxDu%c-pKY-Z3W=^ zq%aL4F($#hAynkqO~GVr%TtDS;&WErafd;r4(3>H%FCh00w1`hlb9UwuS~0`N$_pl zjDcxB#ofJUTt<f^2=Gn)^nK^EaNBa%W4Ad-a4xwf`|BR!ee{<Tk5D9ZtCbGHgmgW& z(yJ_|h@Hge<R3CbDmAuL?xyFwZmg@IM3FwOeLyR-Lw#(Zm2BVn>1V5%+bvw6Rnh)> z*=MV{M<_X<Rb4RQ=4UIm)2J?>m5(eD^f$Xa@c`u2O~DCl>r-#wSO27JwYF5x7Mmzj z)Yg7ogZ$oxTh4E6x14Cfn%h<S4@W_^R4o?Ij`0xO)7oJ26=M2u|4b#<Q~8{YdgN~B zSJN&{t0C5Z{;4ZOa@43s%V4`iw?%L4){wrQyH#X{bIz@TBxH>0#e6iEtW4z#H13ge zoa=TNQ(Od9qaRV88Cs!R49moICFX+F+$^E^ZvMQP4mc@-$^R7Bhr@3m=a(fKVbRYE zxj|k$?{FetT-0_WS(LO+<vTS2TFtDUoC5yVsk726S|=>FVj7+fYb2Cd1GKY)5ldP{ znEgG<CyMK?nAQFa&d0P?ktIr7vKdsUGa=eRnBBBll*V7%d(#dF1E@~&T;15KdTE`u zX^jw&I{niQI|EGa@@(B2EB$Gm)&V%#0l>z9q7MMFf5K$|Mt{P}fJwCeO#_$6C)<L* z?giyrC2F>4YmS#NLDX8AvSpi68MjgzeZp0nl&uGwEJ%vY?GeHdYRBnnqqv!F5FFF= zP+7U5y?aKuG|fsy?Mm~P!?ev|=bVpPV(1KveF{AZTssN1#$lS@al<k(9?QkinKtUA zKu%p%!zwWzUnS6)?Bi09)Q>g1T0LD=7#_=Sy640}iL}B}bef+%YKa&$2p2KN8aux& z&q_Y7&2SHjgD$7C+|gSdUyRgCT0%P4HM1PBg8efp6vR7`36Rq=-BeyyoZ=bF5tL3! z_1+!Puy|(aDK8<)`c@eE4wAvahmn6!jsJDIjy9^$@^7o4d7<M?yH@2=op)KLz%DN@ zmSyJ_%M`2J>1u&Qz=8(BZcV85ZwM9CIc~5s)^K`o1WjjV)8QFXXx5%{6w{&+_`qy^ z4S#Ib-4ayrRJ~~E`k7x}gr=$^1mJP6N|Lgr{-ld#r%-Mpk@We0V1GOPTEodI_)a<{ zgSHxyt?%cJj(e@3hM!8ThGJR>vnxDRoy`Y};5NvBC>xUm%{l{qMq;WCLKGCt1Bx9& zIV=dCY6g0X%u1&oEhL<&5Fw1Viw8Qv+T#k1i<vx>t&gNIm;+-E=V$Ef=K_s~8#vUh zA8j_+bGH{I@*0aC0`3vTidab%lK-885S+?Ul3HG8sHTP&HDJC`%*1o>s?o~kahG=I zqS)lkFa{~g&<b?xC4D~e;4o@pHuStj;31x5R;RXvn;nI$6;0bv*`;y8^ueSo>ZucD zF08VuglmPC^+nmbvV?1YRZOMmxv}uvvT5>E;i;zZJoy24Cc{)gc5TT!V=2d8MRtw( zfwho(nw!nZgxmTazrktfbu#%}<Y8tu<18hGfJSX*mcW6@uodl18ZbIBaxV6iRCXB; zh&YsUA_m%ICWt0ls48MH=km@8vo^@rm1XM7R+p<_P0i|<Yd8@)CjDdMM{^Z-lAFU8 zfBSMw)WYGOvQFeqpX4xs#7uCijVK}s#Z6t{xnP79ZmqL4fLu318L!=27qy+XqPypR zK|=uR*`jdRHmQCXnHwgy@kSteF{``E1jZG#9b^1EXfpc}yClON46@OQm??XP0tH)x zh^gMky1V<;0UT20OGNkZi*JsX6By)v85vV{DsbR@bM8=J&YLqpngH_686cq(fjQc) z0O<ut9UcnyRvexT_Hr7Bp58hSC>U2PiXRH!@hGtM%mWZD0wR`jK!jEfh=u?WA0Hq} z!v#bsfN0GN5S@RDiatd}GT03VHk$&xKH$)<5R%|9wqP(=zfDG!ty=n%9}Fg(U>E)W zm`?VD3mGW5*Bm~dBf&}K%0gYdhYG^zzV!rcr#hWG5fY;N=|frHYzo=Qaz6l%6ZqZT z42I=so723X;c*lUC91dS$Ia2KpoKQsA>J22%5>e$hO0$gnR1{Lxh05#?Y?OY9N4=s z>tNG%nGGGmYr6!2_PevYH?X&(yEh0_M-RmhbX6BH`{{9>fex58Kvm`gW)-{-sW5=q zGFX!gU^buW;S>m%o%yAa0cIAH9f-XFq}BJ`R>*)^!-3A#rXY&C$7V1TV7BZ~Wd)d( z^V(W~Vg0r^Yf)o%RREtSY!35-8)&#+J;C6i2DLz1t?d5KHUZ{8TWc9Tq3H$UWQFDh z;%0?z3uICSUrpryF<b8b&ujwn(@cEBC-BqEskjX4zclf`bQ1^v(;F*np3w824zd8t zLbrLoQ=<NU(+QKAx<mb?x8Ytiw*ktgG*s|tU>U2jff2QW*A+$-UW#$P)3(AZ7I<VK z9OdVGaUW!H7A|6eq(g`j@Grc9QQJb7@~6k8K`1o(rVjL{OA_GHeoOM7OY3~64B!%k z_@B$ahI=Exh0)?amn6Ui4RApb{?Em+!Yc)Ep~d{qrNH8B?9(L^IRLej+YfZl?#-=j z-MiE6@kd78&-9N1`}PPVZtB1Q)eQBWNhhMs_w<i`wx@iYYc6Gu`OWEnu85TA;|?I% zhk2=H3iv03B1)Q?U@+!Zh7vJ#PtbqrnM)!o!oXP?naPLy2%u4ih;3t=;ULyvfw~DV zGI^B4Uy*MvCJQ`9&ic{b#0sl*U|Mv`VBc!=2o=!b>{$u>winG9Fwa0!)S0lTe?z#j zg7<A>n*#(4AVi-;1t995<a@F@(<BK%904LyCqoD4(m|&*4|h)u<3CWLVOP_9?;UG! zQ3EB7OlN(c8ZKG{D?_JVO8lEbx^El2o`i1WHT){5bnk5KZQ}lFY$V!7$E(Zx=Gx%x z`AJtdlgGn#)!N#J_etu+`Q~JQtHyqSh|j9hdfsO@LEfjbzSG|OE@7^1`jOw;^)31i zsY<6yOSk=bs{r)M_2y*aKA(EBcIKX0?v3cpXR^|o&x_0LQHkx%&CBbi`svR3O_zva zuI05@=-j5ZwP1+xfrDzJ%k%Aeq6|w`ck+F4_0<g(IgGt9(9y6;xASFdZpDROE7J63 zY;SHta?F>oA@1eQpt19%Bb9d{?QCLhXaD?ucPMN9?W{<y`Tg7AkIvybQDdgnH<gF_ zw9GpKNupM9pK+vAsBfXIuk0try;(#Td~2SMZ(IEA3m;Y|kNl+rZTvR06kSSPUy6E& z+>bSF1q87&T9+*xr02E9w>!T1$usFp2+NF~d2g(}7mAfTw?i%L+qZSR{yVd4`+j5V z^N;6m{zoojQQ7Ut5sj=V>e8XXq{SHty9bCGm2Sm4mMHH}Q8(xqc<CiXjhkO42rMfX z8g3dBU1>urcTQ(a#~Izvr)G`*x;EAeSw0`P%^LjPD%}5mdQH7P9-W`-4D=@@@cFEk zS6LG^oIC7owb*ZP*<Z-}yw4|k@bkYsDL?^R3(~)uFrA!0a7R?>^dS%Axw+jPHEnU1 z#3HOm@9Z>lsLm6)YP!lKe3d2eSkv}u_h@e6eJXvoS-d=l`?gS4oh1dOHNy*bQ1M7t zz0JZU@<dv55Q|3<Y&|mSy?*lKRAmkwHAATEMQwifl_6<`^rNLyPZg)ZfanOpT4pr+ z%zOD^Brcl8?bLUYO=sR|jP(a)f9_6xn`XPy)$qHYLsCa@eT#L7+{O{nt>yzn4g$UX z#rwM;9HLIf64QI_f`>Y`A;DKXA2(fA;&5Ss7M>^bCq4@${FIFCWUk!%5EC_*gwwMf z;f2RK?Wm94zGrU-ALWCLAHBLr<ndL`byp{&NK2|bKZq>fHzu4KGZ&U@wH@_}=D$|> zEPF2MSa%g8zPGQu-y`%V%Q_C}sHX2Pl{j@}Um1#zHH!bdzB3T`>3A|yKR#AxV66RN z@-J6P*(nAIE8}=0ts&3dT%%N^Q=0|RXXRB?W<ooaIQQtB_Lq^xmt07NYRJ811<^Ll zX56MfVWoX36eZWw)At@&V7ik^IRPZ>1g)hfVPAg9fYkp)DsQKiCuA3oDZZ~fgru#1 zflTO`dN6cf*q|zF3*R+>z33EH%Sp_po!%wQm15n<xlxslNm%^BtWUAJJ20*}W~X{g zI1GC>y7?7$0H@T0m}d5S>@lJJo(Mx*K?u=+_VJ-<*t*SQc?-6qMv-^19vw3SX-5s+ zbVvW8uqYVl++x!h!(C*c^ev%K9@3r+oC?bglgQlc*G>xertIk<nL^wb=qIHO{AQ60 zxYK7&EVIE*d9RWSP_0}J5NGZ5Fm%I>#Ln5K7y4=Lw^jx@(cd*yLb}H<&xwchUfNi2 zu?D9ef0Ldefp!-<3j<pD*e|Fz1)a(^Ve9z|PC2MwJO>sz;u%jguM;2FT(zmbp%K@B zM8agRfTX}6tAlujuc3CeRi22Lf`XUMQG#@Y1F<paBBowJI=WY~$$*`b>awIpY)1T? z<ksJ*WH{3>I}|f2BT;81Ri{y<Y+R4umGyD8q}*SB5S_zQln!R*(w^m)56el-g>Vap zm0lGU1Ik}iMoxd)bYNv0($5OZ>(gpU+W>uKmXJ#1S#f!N9<ZJU$csMn((?K;p#GUx zedgum^>sj<7RZ}E^UCu2HlY5QcYWs7<>nzqqQG7gonQCFNs9r4#8dP_40X^g-K3^P zK;b7)U?;&^1V3vmKeU#f3m>Q11ucu2Gyc+n-gH6{w-h9!hjkm&MEjbmnTM5PGz^|7 zsH@-B%UH?g9=jAQqlb4}P*3|x^AjjK4TH}M>aqaEq|aiAj2_YLKn?9{t2$5&8V1J{ z(uDwuzCcj}+WV)&58d<D-YUocNezH>p|zLM?0-^oAngXK+W(W<18E6RRXTHz#ew$o zno`TJybM=tll!$V2wWjbD58DMovB1iu|r;j^a?tH?P{(E-YDG9Use)WMta5-iv#JW zJ*5@_EE5Hm84K!R+$Q7z%cg*3ipY!LUJ!?{U5|CZGE{I(K_W=qEi5<Z3}^&@fd<M* zktV2b4&9BA6D*9S<S$7}lXn|j7*`Po=K{~IUY5aJCTW>#B-!MSnu;ju8PIFIJAKOY z1n9xFy|EgTr!~xzILu;2cv*K5--Vtdk6&9%q2c`ScJc{bH)+nIFr>}yO<N{)P_vd+ zJN~R;6!Er>q`+Duu|nMS+liWu#ulZl@W`@kq!rPLx#{MOL-FouE0V6wLTt0k&x}XQ z2j_d_?-iZMRZ_=Vm&R><!tiFuUugt|BhS+btZMNR>~mK3X+>uH12JHKCJ=dy-VT?u zX{E^1QZa2mvFN}jE&#B3(SP{xKRoaRU^&F$=jl7m&n9e6JD<JBwBRM!xz|WeOe#>N z0Z&WwZ=+V3g(*qlI5C#apyKn<l{Ia-BDEz)Y)x*d#yZYs0<}wDziRM5&-(t?oyqaj zbZ-ojJT2o_+?{e(fUQxOWgL=x^Vy8!%^y6lf4o1@Q&7ba@x`OC#cK10_vOgu&ao0w zQ{If`dFWMr21lBb?WYQ2m_{mDQ@)8Si1~J6^^pkpP!e}{IQF$N!%}1??4wqrp`$49 z4^_#5l&z*;;Sl+FO_bZ!1axA62zRAD7INjXRLOzavpX7(1`4cE(jlx4Oa>Ec{bLJ! zuFOURWeYMiC*~49VT}P1+*6Q&p6w4U@Jl<_6V{_*mVu^W(HF`t!~+(QgW`d5v*`&b zTy?_u;r46dHs^c?$R;~UG4*nw074`^!m4i)f*-+PDzb{DxllG_dLpDX_DFCyBBCrb z%{B{(LLa9z7zd}JuwIB3B{+t73UYBzY=Ix%bu~#f7!I0$l$;FwZcN`lk~zK`c~VVc z9x(cvsa%IqIk2z9T4=I-A6Cc2T$87)F`&I{|8^a=6A?>PQ++^}LAO%PuHEdVLsYmY z_`h2D*&?t#t+o^hmlo`26*mer7p8jhtpQzCZ{&3}iM0%6`G%~IV$3U^sOssFD_bbD zT$&su8WvvTRxzO$^x`H5G`aGBTE2(bpat@=+4vJ@WqsBcUkyosx<TCJ(*M-;;ot%- zYDNyOWC@fIod0ypo7~Yuqk3eRSH_h$@J-tY#;BjD00VwycOyP<{{5;&smJ8f6<NsD z#1eE)r8#o7GtZKsKtZl3^@yCdB8w9!lslHj%GFLkkAMROv7*#Na=3~tJtmTvEF-2L z66{lw$XYKl-upc&By&8{6%N|n(;?w2@?2*ldvGtkjzU|5JqjhU+s1R-N|58ZL9I;b zRqnlqbK3@U2wkO08AmEVyr`O0e*P?P-je<Cn?<{%^aNa7N;({a!?Re*t`O{pQ^qEr znOV(t@PW$Lzrb#dO0;xJdV1B>%OskCl)p-IPX1;21p~kFbd(A>XGBX|<!oc>>(%Z0 zI7-n?xWs-ez*xs7V$xc>iX?2yxD+3A_2B&bXD~3a?O!Vh`jV3G{`<~xoNB|nFh#!P zPUYfnwS3iKH2AvwZUsIQLKIyJh^8E*SD8-jG!K)hC%JEBzs%wB1+}9Id;>eoOjB%< zvObqDE{FrH1q3Rk9T_7SGH1{aA4+W52lT|dW3psKwbbzdvSG?@55J$>MQaPiSHrd| zR@A`LjKhuwmu#lfu4;D7ZH51YT{KRQ`ydf|;keR2BhABZ!6XKKoO7_z&!(+wMa&a` zyoM>V5v1cBPuTw}$ytfVlxr^(fk3ZH*nFL;nv@1Rssv#k-et>1_g_bmKq`G>H~F{f zyAV$;z1i?DaE(vG9pt>}JDM>{dm{N0cvUQ=zyrxYVW+N%6sC>M2J#Ue*GSf!cBELs z*%zz7fhjOm6p~TB7WpvGUZJ;_8#WG<<NB`jlC?NGWU}tvArkWFkAQ3m5s<C-S>#KS zKO!G}h4}2oxD9lR0lIyKA|mB|+Wa(C08CrZt75h7dxwT4lpiaArI?>f2P}Ri4MO|M z+}gW?E`>+Wq^HCC%G}sL+uJYlMLp$SYa?5F587RPwGj+~u`d|ui6*0_$R0byCJNi+ zz#-VVpnhCSPF-$B;~x}M*O3c~QkMalWwWH&lrv`l+4@xp*V<NEeud-1bOP(dk?iD` z%8@J^wn`v73hRO!Q>PDag5bxI9tnBwT1%1-UyR5o3q6alFe`v+vUCjtqH<^onjIWb z2>OS5G*KQT8&nt#gpHULBc@-X(GwH|<30tXcq_BSmmIWq4-KR^`!Bcv!oCay`Bfx+ z7KlKQB_)V?u`vyCn2zNWI0y|f%NGSOX5}xEmIh-~APQTFFuu6PDX<dTh?;y~d=U#} zz;}s9RY;cbsRS>_Yx)U`QVmPk5c^^8Oh7+IBQa7JAPmWlrQ+Od!3)4=Yy5+BQE46L zbayTsFB|BH+7kP+eQp>BvE*8lT0S24=N?Wt7#ZiQvOeziM(RR_&i3yY;;I~Hrp9(N zHav}vOzIIjsQGkvmvXi3p820YZePw`d|JFzIbI+4-uHK3l7MeoZg;sy&r3vUY5el2 zE2>t9jk;Z)4}E1#7eBwTuAea`sc}%z^Sj?Jo9zU@a=C2okzMbz9sPg5fmP<U>POt= z@{GyJMmN2b%pc#hGVm<4j*A^uBi!6<aeJsQXh#O0FYP&dJPj<7@>y1{2xw(+y@sz7 z#G^^695iV(NqoGzJ{;}$T_Anbw7lDBPJAfd?43`pNKIDF+H_Mqhj=?Q1VN+Ug0K$< zKEQk3@ol{ulWJb~NcR@1*3e4!vHz8l7fA}6HuCUgz{(qY0MRB9Q#<|AsuWR)50PPo zs(QSK>0t%RP{sFVeEfFIR^FJ*me8@jinu;ut-?SX{k(tW?4`{OyR4v*#YXtneY{#m zl_4Iu*2&-NMgddt0y8l}kUco21V==tdq%|R0c8gkDnrC}OBzb|qR{~-GAB<%ai`z< zCTu;a9I0A0aUM_zFBCwj%XE)ScY?KeLD6uAG+B90v$Qf4Qh8<4#?wFYP?h8qUsj9Q z#1WlUYTJxhG6(hCl*LZen6$!pd_h#jaq@Due<PN%7-G}OjB&pF`Vx*6%YJ9GWEIR| z%O-hx)|N@3cYrm<!MM{ABEC6yqIS_rGST_Y1O^3{>Y?GSgXus^MkH!pc~h=l_TjS7 z)hbr<#Pj<(Bx~L1sQ9Le-`H|?@6?mIPRj1rl)JBRkzFeJH|Pf#sPFt~M&T^9N0WVc zT*))P(veVY4kP3o_zsA#g8!0pB;^p0u1{SOo*xE7KG+ViJKneR8QX@M3J*=4u)u_b zvOAJ?@O6KJkeQPi#7`jCA=gesx;zzo_l!Tlmv=Vmw)|#8lOV{NaKkSzuB@{DvE*_` z#7<*?yy=@dB*^<iM49d{W`Pq8<QL_MX6Qdf>6S$YMK>%b@jA$()@BU_vTR(y1qiRA z-s#Hql($t+Y;7%hPWyf{Y`-!}W8~Ur2uP1uL=Q#pw<`la+F5?An{c5w7D5D3)7kI< zf;-Gg?qm90X7P^Xi8+2O^VKq+!90CJ+`FfqD0jF8pnk0w@l48k{QuMDVc*qF6=xBg z7B|_hDcX=ke?OKtUYHcl^Y*UJTkmxe?+D`AFOIICZ$tYNzgSx2d(}oa#!$*{so<7r zWa3&f&UKF?cw5h`s+g%M4_tw>j&#`nXzgqTz669Qy;r<HZOpL-Mko1f#O8cFyPF*? zcwa=m@iv7@q1vO-F3C_+oiSpKVto6q@6x+2m9nTs*%%X+G1~w4m%%|Jwim>lxcD(f zJPGY#kWSfZ!IqT92w`eygG-R;yRr1^+W;I5$=!4Zv^k0?7K<H!_ip~1ARKyJfGRa9 z)v4SfUOf@9!IZaesNl;NQphu?Q0!0e*Bc~!%ObV|ea`>e|4cwxiOuE}Ueahwsg|tJ zD_gvR6P90;t?oG;*lWVfR<BoACxq<4Y98TNRwrO=!fN(Vs4f0h?CqVGU>0BE6_@As z%eq&Q|FwR&6G?)*?1omjDXxN8I0+$?r-z=YoW=BqX^o+lNt1XcaNtNN=MNL&Lf9m? zWV7`{K9er-LSV;ukPwAFekEE~=O5cO>n;6Gfl3(7F1R46UQR74J~+y))&|%AVB)|3 zS1A)`yT%3mAB+kDl>WXaA@WH-Xpyqc35jST9mSE-?y^=Ub|Rm*>^$6F6r7xzv~}N0 zd@PQfTHdM^C(lnbQPEeO&d?HA?kp1sQS$;u*X9FiPc}810zP(}FDn*$KA5>eqto%u z+j`*f=?(p3cA{bZztB-a2Umw?*9)8Z=#57V`w6m;LWxa;;$yRu+3T%F3=8_z)k!8B ztbtJ_QtNB9Weiny_+rJh-J#Tj8Le$Y5&vlAT{by2RVpV2$E19mt7hs^3=D$FM52>n z+5-~yifoc)p_H^KPjWdk7tnH>xjE9yc&BSN@V#~n>=Zk4QH<W=s4GIhge{6=MZG97 z6@0v%zu4G)W$^!~1n^j$pe6Z*2}pg{W=^Zm5fi}akJ(3HS&<U^aGTS}B6*V>mJ^qY zg;mzA;#$_BwcM@kz`-RI9Xm)Y!P=iZeu*fW2G3j%AYzh&aa(j*UYu(?@8fqVS+%G> zT~cs>onP$f%S@)?-6r~{xh7d8Jm}<(Sc|X?hp?#_wy8?Lajv0u$YLoX*vE0tmG6+V zPR!=nNZ+PviLeZ#T(BB$gtg5^m(WkY*q}@ht98vpcrBeN@hdfoFgA*^2Ka}`vE0)) zO20iWpmx+?PQ$~haZL>4Sr?_at5}5Th=!X&LWpD-pL&=iJ=c^{xDV0g;v{1ps?a`` zmY8HG9-h@Co5w&LsTgixw3QQG>TW;u8jPaI_zAQOqLVL!GWq@cI@Ab3Yvek2r$igI zrft^NCUjPbWqfsd{=2JIZTYlPp)TAsc&QP?$Ed1)PCG{xYZ|_enD)I_$E>VPsDdFE zrxCC6@x;BL;vBZ2HPra9ROd?VPAY8;!`zv9u9~f5yXuImrM<19`5n*1Nw~?ghxiQ) zI-YX}*cmDOo0#CMPA)upA^E6)zSRE?D9J~cTe{N&)_EpX-kq^_zjsw!5bnrK>)p5y zLZ%H;RVO9t$&{`sA<$4j69k-@wqZhsEOBwTbf?}zbKdWxTT;1pNh2V#Br$RJ=0*e< zhE(+!`!}`BE;0qc8Iqmi*jb)}a4yPRo+sks8P{%Mh%f%zUR)$`tWMdKrDtOw-|yQI zTeRC*ToiN#PzN0wJDs`@TSzs<MZBd6|6&B<S>Ix{Ezw|NyJBr$kRD49x0<=7dM^k7 z<ZHpA0Dz|ofWa^S0pUbFmhQKJhC4AYG-T~-xuqlv)GJwq18|X~cC}isxW)@@rTjPF zoiu0Y2)H1Qg<_;*jD+?`HaVdpUJo+1m)Z*ol+p-%u=^jz7tz4Pu{3DHXmS^HX+(PA z-4A0M4@%y9USgqySYhDNR;O#_CuJTe*-AJ!=K=|_br}GnAH`RESx3kj9Y&GVH9G3d zbD)%e5z^s^C6gUd_{SersP&g{A*(6%{C9Qkqcq<~%UkHcf}Cl_$y4pi$qRlOQ+1O3 zWngT5b{xj;WzF9^I4o}b;srP{1Mn)Wo-GvvH_lrNH~?WmZxg#VLn9V(jrJ-Y{#e|m zo?`n%bpT2n?aM*13IVIDzkDWstbj&r>Kp;fov?uduj{(>Mb=V*4^>7$_;Pg9;CWtl zyojZ^Sj9K>G5t}*IC2O)zuax@lK5h8?1Vk}V($h~5_Mx(nC!Mc9n>l04E(*~_^$7w z>oqrvyx3Bt#6P_%J$eJnC{CJ4n(?l=?@apzec<43XAd~=f9P(gZ77($!EQF%(|O}% z{zGS{4XLu}%^LB+3}M-j<{y>Qx{2EjCi0z_9_j4Yc1Uuj!z{+Mf&#*}k%f~p_nXU0 zj{+lUmBQ<YiN4Okq%yzv`IqaLayeeq@3BZe0o}awhx}^%qiF_+M`!9kIBuAq&0PAI z>ziw$?u7JHY0$Lvt@awq`kI2q-@x`RTFmoPugoh-<jC2(Ugw_*q$uX+3>!So7r5hF z@9entpD*d^wpwO+H18K5>An7hCA6#M@si`5Awk`3(<~%D2RD6drNKAjiBDeoL8<2T zBa*$?+Q$VwbMl1$>E;=!d9b^NFKwcZr40PHz0vpw13lXe{1K^N8~ifk56!c4X>5KY zF8-zMlW3DVoDTzm?T$!psqsf;2xO<9lcnp2(7EsUU^@9={4dWtE+%=onP9~Sae{C6 zL*XtbADVZ!&s7=2eQS)-C-PnV>$=vB4(iU(gU1L1CgtnA?@7#AHOjs<GrR_;=<x*p zH7ve0bst9XdZ+k$r=HQ+n!dGQOsez78;0fO^lu;E_E{3YL>PJWFY3AIL*a~l8NB`! zTe`r6j4hsL)W3AA!;B*KU~e`h&0MV%4PtNJB)#n3AiYyUh1X<EUbKI0U442rRaiwc zl=tO&I0zG~;3I;$TOW@rL_Q+=peuZVT_{i9yZQ6p$%SNdIO1#2%4jD$i0A$@JNeu~ zEAA>dlbE{jg>>OpdrlGT@1xF9HXNHn$pAp+AUmKLOvp!lBDF2JjldhFFhBPi(2wWt zUR#bdgHOIbg_dCC_4@+&toT}XZTnXCZC5uT<U}`t<fC-e=VR2(H9Iu>DW}P0KwB%G zQRLz`8KmGn2`u*i-Ij7QEJ*#=5VsCGm2jx2T&x)1+OymPnk+Qa*>lt&sw>g9Nf#XP z9Wwe&&bf;k*!7{`1#)!^<)GD$)(BPNn!tN`DYr<NzdeUU*WyZrZ&S4W<Ek;lrT5C2 zM(2$<Df}}c)giEHb1nKT&LKs%{f}%8srUaZn428?KM}%{nsQVVu&3j-?Ca19uw&zW zE`H~Xb6s<XWZ??9_e9AIdpN2hgV<V*7Z<=FG_ac;UdjCZ5Z4?)m>99NIe6(n>TU$2 zWEg;gX<#?-rU3nK(jQLU)d_#DD&Ft8nVIP-^4snAyP-GBz%~O5wWv@0zW^|;u3HS$ z9QMPn>aGljCGy+XGcS(`)dDYnCUh;K_H_UcYsK#tjT#XQ2p$m($BzrZ{7wl)Z!d%R zb2k*fd6@aTX{@3B6zR}zHXwpIfcr$(o;^tv9zR-9D@lm$w?<G}E_Xw<T<!ZCc1BPZ zqNv2RxGV9U&i?-ZFg@R?0Mwu+u#8y7b+P&J_<ttGxtoAnhVn3CXjfZj$t0|W_`V$k zIo0`xbLqwW{o!t1)(Ja7Hl`11dj;v|o5FWdp?~GalL*h^XO=Dndh#0tyVm0gi!byL z-*=Xr)Y{0HxuZkvGW&$(opQVvHMh8Eh`BV+gDB;Q-tpkRZ~3_v#fiJ5ePJ4&jp`@z z@IahzybA>$*Wlkx<dFJ~Ez)oCf6s=(`q_E^-IZ0HgdHBNZHbdC5@0TqTg>Lr>TVQ( z(q42f%s5REi}yoVRaTw<4Xn1z!z2Lr?}>cZeD7%)^_(nww)bqa19Rix{Ng48AsCH_ z7O2Igf*kRMNstM;8@z`a1SPN!L<Ttm3;@UgkN^QjukkrCyTNl(b~Nl}^Tkwuv`OWi zjIL!~7My7-5-dfK9Sb@!p9)E0|CaX1-eV$FiQ$^cBxao|CUy$H1TWDHq>@-8)e^kM z+=5YRf|ieI6?OHWrSJXh`^kh@hFmg^tOZpXy*M?9S9B)GVyqZTP=*^98lZozgiAlx zu3->@F3|}#cFYR`bz9;X*<`gf;S12EdqLAw%UN_MrGgbQ3I^L=HvbIAq<VJCeU8Yi zNTR$!#kGEotak4YheMY(u*K?F$2^_C?_2hpVD-EGkF%1*&_vICf!KV%7z`f4FHoTM zise;>AFIoa(TQr23?CmwwVJ|kv$!M?wuCppQ2Ig^Vd1kj-(bGL-;J07OCjsA`T8^U zfu%n_mr4mgPCe-MUy`t6^&K=9D|tTuV)d>1PE6ky@&p0Rw-AT9Qzk8xvvGzf0(V8y z2^SoFnFxd0*-DD~ymk&!k4&_!tN)nZED|A~;TU|GQw0;YCG05xIC=?uIvPXk{{HZP zDgmaBU$MOY;PfVKcj<Mq0>i~0qFGwOmj#cE$z9P~J1>lFcxPt|vp4IYgl(k!y#Z)# z!)5Z+Irth<)Vr9fsAHnmJYwP7@bf8#m9s&WZ~SID2pgVMjxYQs<|3{C>L~spaQUtF zSJYvz>J{X1tEvgHC!0)YLXtIu+oVR<H;U;F#0;Y*8;92f25OKeF1lSySUiVHL{N-> z?N#@$omNbY(TnW;bC>N!BRN|3J2ucw6<bnapH~VM^-58K>m-hRFLgkoca<fAym%Cu z0tN=}E4jubeZimn*EVd)<mix?-9bO{Kh=wILVxb$!d3pn$6=GfIG{8C$v#$$&!@{E zzqhC$i>&xjNGFDxNZ%*nPh+I`Cx$v%2=zsDId*Wq?O|1rT>mQv(4Phy=wI-aJXU^B zQ9|iE&|iHWkqoBPS4>i9+YVOpG$}|NSDDc=vM!#&ea$*lHm?M!s!c6bp^AI@%$dvy zS60H=S14}y1hYL!c_8)}BdwD2>@Iy3Ij%|%&+9rt7);p({zqBucyJu{)br>Kyxje8 z`p@4OP3}19YMzC2(xBog4pzl<*h-e)4QB=0bZDG%wIeqa@%Z72`-ChaxJ;>J3as-p zDkDoFX7#^th!MmmNaV}KJ8nMA1TTZ`S5xB~B1-)r|3)<`a`|GmWAnv9-870BYL5{$ z1+9SU@vUC4#rHd%j(9Ry9B$Znm_6pJ_k5d#b~ip|zu~xGN|eaHNEo~~zttmy9%A?@ z^M3ZNIH^PKDP&g`dm$E6NYD$mgMu7+?03b{fDL)9L%3pL2M}x*FbLGV0hF(PA_nAN z_T^Dq@MwW|oHUG%IUXdACTz8aER6ChcloWBTV3CKP|_yZp36+%yIW55@hD&7z9)_% zBN7__L}FN@8H&}^giHvERRjk+#Os{ru-4lgH$J=zy}->plnp5YKG)-h)BAAEBQrDk zIgBS7Dm~#~dRv<&D$8alTL8}#UW)T$JZO8>B#g)i052NwQjeyPSic=GIK9mY0zeCB z3>4%%{BqlE;9&Qa4HdFi1p!4oFg4`h$Kj0$C?IZ_skiE&WvI{BRv&8|z+thq#j`=E zAe$B9n@k0Jv;^Q`(a#67e{~4hS<en4#Q{AYSs*F2ybgry7{&%CBLU#c1OPGsRPefs z;D1gM2~ebcP9hze*}{L0IL#S<&h+F#buoX=C8-1oD|9|#?Z8p3SuOAb*C5}XC&t3> zP7Zv$meyh_*4EQ>IU;vDTUs?fV%739XhR-HpD<o%&OWa&u6zr4kACO(ns^xLODa8@ zJUK}VnW$<y`FOT%so;vD*?p(1(sX~d_i?y>8(U!9Xm_8!EwI7lhY1zIQx<Bi0npp! z@mU*YrC9yj-u3Z*zL5aZ>PW}8XO}LuxC8gPjuQXTwv{*{ANPl*EUvBRF>JLb(n-wy zM`!*y-le1<gpD=hx16Yu>n-jw^>J|nyE?cVF<&EI9$rtENrtACF-AG5t-gKtB$;Aw zPyUba`)<sQn7Jpbc`Ga*@AenRo2`eCk43GIri%TKV%e+zdyTVW8!5xO8rjdEup2Uk zsi&^ZJ~pFPX))CDj?J|!u1a-?NB!6wU+oXO`kk<>Nat=}LNEhb-q<~eHiKBw#g|s0 zh{{DU#{z8a@9bQs_Va7O@-1@CwjF0@YX!-ioDNr;<0lTO7_;TseHo?S!!U8tHU8iw zhNXuV3B1h*Rwk)cK^^{b8QSY!I~D8eWN7QO>FvyIlY2xc|NF*8gyH<6aDFamia8Eb zSxPCO&=#uUUCzI#X?ve}Vr<~}w((T`{chtl{z)gd@t|0&biwFB&;c<OQnp=v87>;? zd?>T;3YAMX;V8=Z@;V6ktj{fMo-c${YwB}=>d9l0g=Owh#4dZYd$-jxUY>*mU;fL( z<E8tJQ`yGtdd^<ANb#kwuB=V!{{AY*;&rJq-u7DGtE;8!NT&g3*s~Ak!^w!C2}6Dk zD(%C?A;XFBaF^=hsxflG>)oyC4Z(ASiuF;$F4d%HGmTqQs*zXeUpz5n4*7-OA2&DE z@p7~&N{Z6sppNm1_YRHJ(xUhRsofQ}mCL3HU6I*cF?<vOYdA;SC~{?|RkDDDMxzm2 zO#>OHwau0;3B2GVcCZJ@Ov7DDirZ>sPBT&HK+aC~-7s<sA9N{YX$Y^l()9~wx{A}2 zgrGHY)vxYU^WPnKKx&pa`}YkDoc!`#3^HaGz>w3Tg)I%N3V{sl7z|l60?0IqT@1#0 z!ssFT?=@n7D+k~AUq@HZE0yRO;bM1JpeY)3@53FL3S?yJN3Jb|{cE^gSCGg!C*|4K zXT*-YW2@!MK-Nw>kH9RS2(Xh;wo~a+2$Qp2fKz1b#e-a_QfN4QBk(^{!^XXRA??1W zg-3Ar3g0-#o5jI>=F4RiUn^wAnQ3~0)z+sMnAdY@MpY$gUFmNr#w$Acho2OAWUz2# zZ=*5PQUZ*l?BY)cHq>?wD-L@HAj+0ykZ3nzu`zOwh4PCu&$`u6q{4X2^Dwoka3D{k zXc%aTaNRYC+-Qptv80%v4!I>Q3u@+`t1S$*NU+>@a7%kVO`&#(7oTj)0D~ky(+WCQ zOu}?#W}NJ3lp?$=#+7t4>O^dMj#?E=ET4YYFdAtbr<o-lQm|-aIRKL=-13PQcXWr> zy!Rlc3!-0vB?ZGu8)da3RT99GgO$hMH8!W}#}?9whX~}_Sf%a5Y7t%pgSDL)zru7c zr=3Vw-yurbR`|U>lB_;X*L(_OD!6RBw$+yt8tZ9m3$(-L>;7!_PCGk`HrCNjyQ?Z$ z4#X#D$5xz5)n_K4Ycd-dsr{mDL#;5O7*LhbSi)EutrRKKkgUN_Rt1*S(oX+WRgxJv zE-iYi#QR$s9OcrB%nkFcQ&j}~{HxBMp_B%b;g?*Je(=(3$EliXZS`5;a|c&MpLjm4 ztYSW1e8U<~)a_P?F0)t|!u?PqI|Varu^8pQ5Tbnw<?eNM(uxL-10i&U35|Rt#&j7Y zUjkDxTxstzcu{>0OIZqEf~n8c@H6F+15!?LAjSJk;pKre`!k(^`>aZQRz+}UzWQT- zncWox+QCbHDntN<@TX$+Gldof(id1DEtdjPTT$GTtqB_R1P>P|j{@R8>cc*w(-i`A zw*Y@bvYURF-139fZqeLdcykiyzk+x?&yEk6$PFhi%5W>E*EPS{>`<c|rHcX=TL}HH zUzGC0oyjHDU@mw{Bn|?dJkNFo8M_!opG`gVdRLTa{(a9O*X6cLp13I8)<O@Ec9(LU zkP`h)<+^7<g?Rd;{Ubg+*IQB^=E9_e`XF%3^Q^xF+*=J}FPA4EzbFu^O(os|)Unff zdl#as?6<w5vGBi{pYLMHzwPCue5*1zwR<m0bG727V{hXxX;J`+>JL!CzW->)Cq+yJ z=yCu+Df<7TjDK$9udi`2kPz^LqHqJFumcJqa)iZm4FB-yz^7ie;(Ix)ROd(I2G$xX zJa9IrcDG&oY~EAK-P29g%SAw>QXI7VTRkr&ERMuTDEs$IW@BW8!usV`o-3rW@TZYL zE)9`Shh-s490NO)zu(kCDMg(23uL2Y7{dtW8!HG|{cShZhK(f%g~FXSi$oSNA0(aK z9}kZzKwk2*0c2v(fDHOSnF=5?g~XlK_kbp4BSiwb<???Oan{2!{Z-Ms3oHf4H+R?< z)l>>S6`+|4MU)msE<rIVo=Cx3lOU-DOA;XCf`=E(7jw;FH_@RZ2^vO31C5;3SA!<| zo(tQ+b>r#sb=aE+e`-MT#_rkPZjn$<dq&m9!8B9Zlz-E;y&~q`VWlg!V?_>bWZgna zddp&?K{=f(ReRwN!CX8hZRJMm9F5$aP+KL%Oa51SRjn?=vLETkn$FtN&iu;22!VH= zY8mI8;c08)sc9iF1n~A=u4-3GwCF^?R3KxPvQ6{oq7$g;L%En!pWY&Qgf!(fnm}2% z3H=*7xi`&M+brBy-Czi%ANufy*YK}Rx295#G{c#+?w!x=2T2u9+wwXuPjQ#IEKQZE z3)%Sn{+5n5Q(&hiyp`akP|X^v!c+ZbTSNj>PGOKsT+AKDg}LbxH^T3krxKh&7yh~B z#s@L@4GaO9%g$U7?)L|G_Zz5Em(9Vg{U7*fZfN3>Ai!-*HVK_$v&TOiGnAoVvPP5b zDvhmwe#s6WPPRvQcaD)0G3llZsWq_ugC67QEzQ2Y#2ND%{2Lmv$7g6F-mSjHoX8j& zfk9~av<;0-%R6`*WQIN{6<w3d13I`*Xn0&ONB;S9kNNZ!F1qHs(}AvQ#6-0I0aDV4 zWCTPs+$pc2EdKM+_11KR_uR$<VVWIY*H6r|IXLrEW8RKtbOdqB%#+Ir;-5aY5;7+z zV6ufbu_^41(-h#!NtVB~m+2-0U@an6{{_IRVyDWOjh}(MoQ<g2Y+yP0R@lFJAPuvD zXCi#rruT<|3D!JWvYUni*9Cv}uUV*>h7vIVBELl-3N!*D#U&u>Hw7Y+Wgr5#1fr|Y zNW=z+dRF|V7dVsBPUa_7pxApEHCJsy7m}ir=q2uw9+un?Skww0-vX98n|f9F?uBGD z#j6aPizbtz)rg=j2DGNtuWYq-!%cnIwD{AfXkAmlWY)J^H*<TcB$SHCVJ>P;dc)-! zze`Gzl_y<A^`4k}K=L^CM}Sn7o#R}(yEU-G5$>%8(-R)k;tqi$<7NHjWgje3p!x?5 zHtRM#2!e6<rLhF$6ki=oPcq#v1IYq}ZYay2vU{woEbV2;`Z_3faRb+6PD`hf-=-8n zyNDC&!b*H<<?hC({U^WA&Hmoa9)E*T4d0Rrm=ZTHLW!7M&C@TNttIbusSS9->xl;l zq<XEjw_*NM&~iN&#_P$}h}vS8Zk^X+s*P}>2d6=8uTGtfkN3+OSfa2|Du?~35)Pc+ z6r)iK{k{~Vx1Wngek-$82V+0nf|cCPy665}+}wZB$8G*9*A`LwdPz28w3`-Ng3q@+ z?1=MZ{ENoMMps-+K&?$`t}ir@ms_E`m`E)@mLe18EPo8@;`g|L+K?%w_IE0|*2<`9 znO1x6$2bOsdqw#QWJ>MqQ)T`wAu?$mv}H*(_;rkw0fu%f%C*;c&ZE}F#uUe!nZ7JX zKLZ?YjOsMUo!u{h3h`4VFcERM17&WU$Msa{k77FE{xcnIK^dw-Lq7bbLtFkVKTSD- z$op4sf|wLFN+qitP5fau+st_cu9V|us+o&3NKU{1=ih=>Ii*yn-7LgiVz%ICQMmS< zP44(p=;^bQ@4TF$lz<g2BkHEn)#<(&<*eN8mCkX?#3Y*lgP{H(WS@F$fJy74m%n8% z2f+ipSqm$Z97q^TgSs>}C6Xj7Aw&jTvZkxeMTV^^_I12R(i&RKHa5qoBNOW?x+|zW z?DpB?PbHH7f}Xu~YB|~Wfjy^3f1LSkIQfD273*l*hX2XQ-E#a%691pkKX1r`*Tv+U z-aF+#L6-)1GkJB$-u;rb$llFvj+nhjsS=pI(aDGtLab4xe3fl4HMIe3y+Ybltv=9r zrsoKrZB!d;aG=7`4MgB7AgAwHx(GcJ0?kXEeO@nOh8{1*x_(+ZUeA%GjTM;-CfRZS zjZn`e*$@%6CT5*k3voXIQv`Q&TF2{kD``XWX(2<;jf{7G?`K65A!mE{;m<EaClk-! zZBOlrX&-$Y8_DI4R_Bn#UTa=#C$(#NopX96T{PZ3);^C%+9($sGXKgJs@9$IJnrv? zF8+4=dA#flwB3DFxVyhC$n9>OCk@>dZ4g~Ba;%6VjtT`RW}4jewlc@`${XLr$p&P3 zGw-txdg5Xiu|Ul_SFp33paxR&e@-+|yuQBM)^$ERy4<a_DsA=^r6E2hr9mNAOqk!_ zh2T8Sy@x5<#W=}#f1uX2{q1QP-zpBX>q~5~tL$7i?29II*0s1_ck)7lkoeW0ACF2( zAcd*xqqYBpnh&v-v44<FCvo&S`JmH8QdqzKPf_ZmB2(aqC*vP!6?Mnsxf>tt0Wlj| zQA76hPX#mW{Fzqju6NF|cdc0;ozkqVbkfZc=Oog*hFEI%PS^GK?>^pq?{xNc-1j?h zHDP;{uH^?<hYl4*+E;Di_ODNSe@uuT)EIe)>r65p)G*q}@+bL1GBQ{W>ktjPCjW{T zUfgb<9v#)mqaPH(KbMmYT-?kU0vbHcahzL?5W^owH_%6QNCS!ZWBM7ty>tl+j;f<w z{(dj)<Jx%g_Kq*?<16GmNJczK&n+eSVDw7mb3#{=$U+@BJo)PA;qC0qu4?&3*K@nS zVY7kicDS)<$q6@2+f=2^*NEh2-M6fyl)Jwqh*fLcoX4;Nq5tl=Wi@=ejWhomW0V(= z2R+H`yCr65Ts%n;*M@uQlZwN8%>7<_8@YiK9ON;(<Jv72A7rwhbz!o1U;f9y!0;`B z1t%5VOZ3*c%!Sgh0f%S?OI>&B0IO)yWb!H8h?$=eYd%eNWC}rTEKtrl&)vUMi-D0w z6Y_!?On_rN5hlYj-`(Haj+x)<T}!V|IcK3Q7s{(Y^76@L!)xK)1M@4l5IT9eo2(#8 zw{8UZyUcf+$vghzuAKlzu+6d9?u%OBazfEJ|JRW$SZ_iQe&F0y%fk1JNUrO)+LoIo zg$9Qqs-#&~w8j?gud5K;3cRmJJ*C%;aF`W#U1w01?C9!05?fw6eiPaFv@BWMRL)s6 zRxvbb?)4bgLD#>lp1N#IbSi()L~Fk#AtW>#<SEqc_@xpd&2GD9Ck}x*dGjb>4T1fD zkTLZAL2ME(`gS3MjC&8FDjqTfs%r8Q4N>kbI9%h)n;H4&dcQT3C+P0XDlv%!Z>B2D ztGCc2=<56KA8-kh0S>kG#T_!GllCxsAt&+@`G3E3N}c?FAjra2Pg-9<Q!tbbJkmNQ zVf$AeS83PF;LFXk&F!g$Y=ldY|MjxDlv5>$xA~>=|9$DH=vn(Nw6nJJOyKo7Rih@@ zup!C!((=UPo>|S0`aAr!<=KS2?1P);{~Y0k4gxd49BT_3W9tqa`vpdxPJ{*n0wpdY zh`1R1nrs>1K5Y>bn3oFkT|meiIPeSd8$Tsj%H;n(D-5LaPpjgQs{|*Q1SdBoDu@p= zKc!C%yn_NE3WTp2C*>FjcCcYBTz8bK3?6AU5#fk)bBoT4-_HI|?doqduh+SBb6v;Y zICmRYn^1p%6Jw{9OXVeeiCAet2N6?>1bj=Kf+Q8XdP1pZ!W7i<G9qmUP(k>hdJf3^ z)YK6CHm_F3A2|qag|)t*hOBGMVA&NF6%jw0p<u0AM;SL=)j43i)+js3Lv2isl_X6Q zAb9d$mv2TBxbP8p@*jUj4xiEXXJqpk!D#dlaf3ZMUcTwI`SUv$zX6fJXO#RVa(OqQ z>z!S~N60^G%{hgx@<9;he>M@|jS?p8_w4F;QPu4Fr1i#<HuqWJXW)mpp?|)aKJdf! z!LUAiKT8Rey21SD0&Z2%$jt`%T=={<Js<d}F@4-vJ9s!)IhN^Cmg;p(5zO(Fc4=N< z*0UCb{_>1Xr{31N6`%W?kXL#*D(L<`f%yXb-=BXV&2x5Zd2iRyouB!}E>>mVh6HSm zsxDOxQK7hdG1gzx@dblJM5g|Nh(P@X;Wyb<6on$2T10UcE#%jd;({ol2CogC^~#+Y z@*Np8r$wG}tZ!@CYD9r}7Hm|cYgR@R#2lsTrP}RoNR|#%PgWg%eU5ZJ8ej+?(Sl(= zA&zsMZznz9(!vMOZbSC<)jxhh<h)NDrap5t1yCI#(T7&QH^2(Loot=gs}U9B1RkC< zd~v05Bn|2^7rD^NVY|>iD9@|_5jkiyU6h6$tMupT$BY$j_guW4VY=t`oYr@6?q;B_ zow?AT@DoHTCsGI$uHeq~tyP$0J@Tip8bb6n#X+BATdy3Xijh5Pns5fr2eJ$kXpC%t zsI{Lyhp!c2FW6u&Vu+E&&OP@m5NMo$fc=G5DCPn!N1Vn~vE0w0%j&lW{s+xf$kg8o zYggd={LpI@a8HixSKDns)V;;L;tCraXh8~U>RNydj4bXq3VpLbUt#c8efyw(C(nFo z;A7S(EKQ_qY#8`eLr+N=KK@Y*oCg8mrFd`V6SO#4Y|`0h;KYbXX38`sHib`;teSim z7D`#2E?^HTk1eZ@wX*iZPaV8bTjBClo#=-9^JK7P=l}aj@S7U*N`Iu4$yU=aSZWiO zZNgvoA6#JT>rti|b`5_$=D47AQi0f^fx&&Q6=eNKl&Q9D1B1sLuDd8_uG7ez`+C{@ zR9Xv4#er4@R);x42QuEYd(Yf+y;_B^B(MK`kw`@rTTbx&&b46C9se3HU$M4c+d2== zYiG;b50?tV<RAv{R^3YfSep*Uu1U;idJPl?e9hw2pfNaGu75wEX0?06zOyH(sc}3+ zYljoy?7x(s*|0H+g;#F|n%QA){!A|msj{N+bTMCM|K1x)_ULWgxuq$CD$MaK#GsL# zHBFXHM#PjV++nozoMcSix7-HQ%0~J@@h%-@oipp{#_rzXZBZbQ?>v6jEns~AXLDbT zIsE!}tDny8xHH%IX^CHSpi&Y+YCB=-*UWh3iddiReST&d>_W`53UiMynXoVTo~0?S z%&BGUI^gC74Vm&?q$%nU_gYqdS>ecY#?IyX3X7XpFl4HDJ3-ATVF2g}q7)4sw&KiB z(>SaArVL^t(R$}OLY$LJxW0zHPpzgUP#hybj3Wwj(?J{mANlTMK5iZA!Q6M+Z;R!D z)prv)8Da_<<6+kOy=e(IUsh#wQUjK>;F@eyh0PG1oJ;tq_kVlLW~R<|W@VM38FAYM z>W<^$=(F}x6qU4+kC?*(k3m!-jyLdA`Nxgj4l-T1N@5hWc{=Yljo#*#sXK0+c|cy9 zcHky+y6;vPy~bk$WynFaY)(3nByn>N>z`&Xm*-Behz>kdV9xy`t!jN|(v)&y*~KEx zdA>^$4&}?txMgJ!tsVwnf91~!&92gy^``fSQcnKAYI^J41Z2S!3|bZ$Vj}+!ZEpb_ z$FgjTO0t-l$zoe<F*8_97Fo<>F<59ZGg-`NS<GxPGcz-@#lOdUpY!&)_r4eJ|A-$k z)6=sut1@d<R#i_;b@eZHC-G&{oM#=+7q7ckPOR)1*gGVGYR-Ic*jP3kD@8?K1X;u* zS}bZiGIg;2sg6%^aErXctIk>|Z5JggSJ?3v&XG^eD4l{5y+qEwZgvvWR(Wh6`5Sii z!-;<hnZlE=cNWCLm8}SQ*p9^E^LhIrr;GjyaABw7oT>LZ&e8G9*3GsYa~kEN%=U|j zEZiJO@J_2f*qBPD_|cpew39X^%pg136c*mG=j6HSh(XD^jtc2QiTH)rVpBtE78&7l zN+Q^MebBE*jQ&lZ=~gth=GMl>vgQRHe(O_fE-Cv0D^b>#_83t#vgKkC;3|<$>8KyQ z*a;y_k06KRii{lBUBg4w=B|?2>4R(KEN>}UDWr~@9QMtc%t!1S-69FB1ef<`Q{QfI zKTUqqS5lu$HmjT%c}TXqQO>Hw+xxkvZmFY3Xij;K?p9Uw`a=OY1d9A9YEgF0d}&@U zihUvY*%_OLC*h^Vu_zTe%2^nQZHWZjY%iQ?*GA)d?x}dW@1Aohx8oUWnnuml9bP>) zA#JMVGs<bx75LfD=e~5@G>uV;*h4p-_aWm?w%d4nACZ~2jw^sY$fO*5T?yEiQ`~rT zag)|US+>-3ULK1Fa$YQ*ivQ&Lz(|@e^-wO0C~a?&mTnt8+Q7xV)q+G|{eSe~N_G;j zUeGkHUcalf<JXhwKFcT$B}yCMho`*CYH^GFW%w0EP?B93zX@SmkLx4bvN{FAPVJ@) zXJQfGEuEtKIoIFTGYSFgL&(w}sI(Y)2-`Ybo7t9e5r=F7_}4rXFUKEqwkz*MchUzR zDaJVD?Y-}3hhCgRM>%ZD0}1@}fx_>l0!H0{!r%T~`1{?D$V_W;k4ZMB`yN+y77fgt zg(vmGjO@R2ZpW)dPb&9<2YC|iJ~FU>jeUbjF#3>Wv-h_mZsHoeXWM<wcJ`gvfZ<ul zAH58ljBT*1ktQ4-{xx`6RYy%O+HTr4h&@IvuJtmUEEAddz{p~~zRy{P?<3P(YmwaI zRAuUBG)O;F^c&1k0%Jn6he4IS;x$!il9g1utFKijYBq;CfJ<i>RKY2~Y5hnGj0>ew zjb;!-a1_+%6uBYJEC#<J7+q|jtT!O?KDrPXNZjik1%*N+>X~i~>*51TG)@r>j1_Zt znQ|lp3CBZ&#Y<x`FkJ1yoK=u3mTA@LY>PMsO+qRUWDI|VmJ#d>2*vA(pyKg`%j#XD zvZpI|E3)q`3PfCna9N@<vbu!TQ#MRSmq^3~vi6xkFwqqe+U+L0vmcW68dbKkP=(!- zFl!8>02?2PzX!I86VvVn6(lJP_s_^C7CvCGDlNmVZ;{1d0DNfbGZ={eJ)*`=h&rGV z@htSmrtuETb;_-db|$y8f_ADy+%#_z@*fr<iQ4Wo{jt2uSF0DRpxCcqU?1IqAP+Uk zx)_0KC+H&2!=~LpEO<4Tep^3EJ9z=qv^;=1l+D%WWc)?&1-%f-jFan&U|iZ%pmrWc zt^OWm2bFNwSVFf98%^++oh(ulI(<eQ4bcjUDX{K9Xw9c^s^cG;bN*@*eyO$@gVD9% zLi#n}BTnKN&Lc2ZIRr9R*xtVL_~1~?-!U<})94gX9V!KdhNa;VEHHx3592=xyGNy{ zBvyc~4to4&<ZT40WM1n8Freun#WBWHpk0KlKKT018$m)<a_tx;JveVyKw_`N<k~=G zky<bceH_*Sq&i^m27%a^m;{<u82a<v;|g|*-n=|=1kt8_=5H3MalZ&c$4x*QG2zJy zz8G_&7O2C_Rdl<-kVDH6D0Kfae}f-h5u>sM9Ty;nej#YyoA8u`Z=iJqGef%d<J(fE z9h3{09KraYVGTGEdoG;Wjt>=1Dnk}2_k`fb;bEY31t{=j$^@tsU*2a0pr_glyF)-R zpM_LD`aY5XbiQuYfYZZ`62mW;03LlYsR93j8cs!ulL2_7CRFYHkex-b8|3So!~+LU zc1khgACu|6l3al!kdfwb<8=$%-pS~796reb*;w0jd(abgOq((O%e1%gSmmgryG14X z3jQ+I?`ttQqhiabpsVe{_jUe9kE{Exk-Ew6C&_ekhg64@51Z(LRotO@G()3`0OHn{ zzkMk#sljKt9$l|I`vM`f@V)uwGM2?v=WyVvYHYxd4snJYV^K<o_PrS`a!o>Dop5$z zq!c2-hdC_{vRO>wILmQE*|$S8^a?#GWTRs<aPA_y2MO)MYWzVWh@5^RRz`TT`s7FI znl<kRZ7Vo76IPd0_g8y0h;VI(*PCQJesL+|<1Ivr)Oo6x&J6LZo=wy{+j512?b%C$ zkJho-xDZ@^9u0g_wduE+Kw5B*CeP(BZ1cNLZ0Bp8S(^In=-wIc$Fh-bf86t+$~arU zZ_pF(2W&$}=#JGgQ?gFq1kbc{p8TN7q`Y>#oKtV3?r<Z^r?Hk*eG`E+^fmW`qXRP? zxHb%;w4lo|H~ML|W{yP}Zr<8b18!a(RWeW3(cbIVTCbPgk)gezZ*_*2Uj6tc$`!<R zR~U}xTs%CxKbfA0yYnj3vA*iUvO(#_9IfQ6)^<6$={cSzF;hp+RX=3iGo$X#UAb^f zXz8CB!@XF_kMI#>Mq9&BoLqN1QGWc9jX@apxOw=Ne88#{if1|4rtHX*VTwG%xaDnm z{-;mIS#Qs#w~$>6@wvCl!Vd5(mS63$$c4DGb-_}^)9tUdKH@tiIqU~gZYlOThl@U& zaZD%VDF!u`mE98nKjN#`&4=HSEF|PH2i?!?yY+~mt1+YJVO*9XnGYuz>`H}mSv4Z} z5Z-$wu<lM1IB0*wci1OzsGQ-(bl6Ufo=o~2ocvjDSic18wr47dd`%;<H_h#=miD6| zvga9Foo0|hq=%mczJsa3?kLl41t{4uU0P9Ut=p&8KT3*xb($ONR1sXxp$gLx+%^>X z3?f^wR;|cAE9&~9!h}B1=<}gOq0KK_!9Pz-aJou9N{kd5=}dN}xbTV22|dr|IiaZ_ zN0c|d!}=`5c4g2tW>wb3GuTjW+B_ITD^EVho5j68KP2&uZ!7Y()g4X?#fQP$63iX( zT6CyV5YeESyjKsU0|tPa0Z6(QfEKXBJBSH|!REkb@%BR!?R{{&s~B+o&XLoH*Q42+ z?4T~W!ADsqB7)-X(q56x`&M#zX2zcvZthof$R2L2vgjMSqFg&@+<p(IAecl8sWmrQ zjU&8dxgRyEl~^(H3r>(vqs);KOzY2bqV7eAuHX{r3(>hj7IzKXk`5CDHNAupXInR5 z&+8$xwC}r}%)qcF9kuVH9R{ji!+xNqaSQL#dKHUO;Hb%wh~^TSFeKc4V080u%EsdJ z9fk`fe$z_;?eL7lC%iHqN-VSsDHdh-U(+u~f5i6VQko#|Ps`e8*0Zu?;xMxB(TfBO zC{e!y4E#6lTTTjOSJEa}-Zy5(eQ;?e&N<5RsyXK_BE;8q2qUiZJtSV4KW9ljI*<Ht z8V>lioDoLs9SN7q_}*<=e(DZ)AEE)eqEArXa&i@xIrs$lCyx)31gs4F_`^5`{R{+i zu<>UmXm?v!aIH7$rdiaQgHQ&jLrr>!oji^o{6rS_d$DK^Avvc+If&&Z9A0?xh+M)> zRYdMguZ5`8+cS`Apj>`4XR?G6^H-Y;nnep`hQWBs;W`S86O6wn2uD%4hP~-x>xXtq z0&Wd-C0FF=73ZYJ=JTD_H{nFxjS$iP!>1O46;n{Mu4C)%;T!zn8{KPIClOjV$Ycym zzFz&Z*Bpr=0?ofEL;g>K!MBk78vj(AnOGF5gKthB=YLIa-A@tLL0G(xW+bO@jmuQW zmPGKO(SS(;Fi;!^7}&7>$wc-xQt%C&RS$BH_N$%fgmbm@$~J<Vc>cM)Qlq)PdvKZG z!$jv%mc5zB2))}p{Ezyfp1{lntss|pJ5x+P-|btiYcNL{T+fdaU0w;ED0U2BmS7H` zsIC-*G)N^E)<emL0iY7Z>l!LbO;U(TEd&5tB?Yi45VrFfz~T{vNn3cRF*x%)t(U#4 z<h)o$9JW^C`S#jG7VYZy<k%c-OmKLiSw^v!H~OC970=I;*+lMyptZH%QWIP&cV@W@ zt$JfGka~E#NfeQkF|#Li(N&UcMszRZF0H?-Tt+iOX6f+q<^U*E;}Kr5EiD%!G;yo! z(L@y0dhLk>!rDM0n$xS&kZ|*%+zANl8E)V13rnIc)VXiP<kLu{(`Xctx`((-qfEg^ z?USHpaRBTSRPGoqqV*a8N-qpbpADoBl=+F<9dk%1wE5d95}aJqui-7mduY3w51@9F zY)E+63r~!m1H<Yen0TZxeM7<*|AnL3QC4xXnkN5y;CmUHvY=q@A`<#M<o7|n)5~N* zrCIOG|3Ntyi1O{fD339maQTYx3;!4P8@`Fl_ozPetHG%ABIDoyL<K;Tw5UGnflzNN zVAN2(*}RRR-8ADS=>V(%>MiPOdK?_#BtM7suNJwlAikG}-A7%5YPPJ$(k=E^hZ*eW zx2ALL>ei73cK5=`g2sO0i6DL0-`f-tphj%M*+4RjLF$B|vG;%2z&G^c8b*HvUzHq= zs6@)Z2qP5QWx_b_bJ=2=`xXQ6H}`<wtzUri`Dd%!H)y+O94_INpC82{N$sA<`Z&99 zbhklKZx0!=g~QeRw(OM*6!kIWl7#L3%zA11KqY`ODeVVU!ZvPD!%G-pwzb*6(<3FT zGY6AnaRF5pM)*d&2HH-9N(FHfq~PES7?_U0;-wHpG5hUJH<w*>B;b}*zj8duM!7{) z<iLpZ>GlbI8t7P}K^=>-Ll|M5uW?M0gwLN|h3rqSLindwd1rt9Vl4$W3s#2<^w4TP zu-&)f{=bNQkpYMu)M@Jg&<8qLAHD`(u%F;`EI=<a0)ZB6tceP-vBH)7>+Old7Bt<V zKgSd=$LL7To`;Jh&*|-Qap37lS@qd1`b4?umQ@Fl$FTg7OU-yFA#rsfUwa;9UWV<s zJQSn3-FJubKQRu_^Y0j62Vxv{Da#<zJOJtcHRsh-f0G7^^M6wOSDg2Q;(Qy3b4Ghm zoQr|t93X_#E9T#E9tw(cv46%npui;rDEzlLr(3jkK1jI_&k)o2YF^aHb>tRY1oSP! zhd|#VGeU1a4?kMn%?t1Ur(^k#D9^ofQG}>~uILezuW5l7C9UHigS<s`1OuP}0J;XC zM13LH;|?rHJtVzkpA0i|>|jB<DPL6Bv(W)aWb;e{j`g2^2YK2}+8Jp4%mBvE)&-~A zzsAqBKjY`K9JXdmmucPJ_bUJCIArdfd^#O}jw~^DSduJ&j3CQ!fMe-nn6Ko_9KG!7 zsQ)){4%FixitK=n<9{I3QtAK4IOqJ*Y(B*njuVslU|y7=D@r^ag{=gWAYy1?9n+<% zz$c7J;AP@Kw5r&fuDA^wyW|k_W}I37no=zC;R_G2zo2#9QmUo#kQ<^Cc<`HfV_=Ak zgd)ROqQ*12MGXf-g{hbU=&wN#rAl<wk6!R=h7~0I<p%USO3bLq>g<6+R4u90aa?(P zHp_um+(M(Qdl4*-s}5egz(~q-28^Wk9Gtf9A^UUH%=MsN=EnWAnHXB;LWb@#x{^Hi zemqY7SG2b+HSV?R(D!dJC54}DBkDWIOd6lp&*9Vw4c*f)!YGBXnd5+)1-7c^zim(e zJ&h&-g+W0|b(Z@L84~&<V-DyVMbVeyGK^*`ZvLAPCD4y#p;N@@D&S>yzl6~O{YXy& zX~@$iyo^D)UAU0JYe1p`how?Br6gJV_y_)wXB=(NMGb=x`n}lqi;sjKCJdt`-~WRA zxQ(NyhrXfkKBD_A1}}6-je$(Gm=Lpx2Yw&CCVCDwtCc?8LADvD7=69V(a=fI$kvGS zv+MKM#^;+|yXT8CB`uDUayaHH&XxN1oAzfN`+W4(Zv<6a>08Wh8`LIxNcOx)Zx9F$ zS%P-19$qeo3Y#r{9;t@kHE_0Xw&hWdSDA2()5+ymzw-ZNd@YH6alAiQuWj_Yc{t$- zZm7UNpmTCy0}JM0^D7n6Ql!eL7NDq}LD1C*FQ-c=N8G0Ey0;%zBGZ+^qSCGBc)e*@ zwVtt1rQ5-h?v)_4Mr0ldzHmu{*%O0PBRM1f@x`>jrGUxH<%jv`;%Ne5LvD{~jNb#Z zq7jLc6j=tv(i#Vx8v7arA=|cor{%T&^GbTO$X8KJa$d3&;Ey48COEZ^vT?SopYW(! z0#RqzYBvcx$#ZZw&?ZDX7tDR!cv_ZU?C#AST9>*@QMd@=DU0f9jxapp?Aa~*nBCNA z`#!n0x!KAIrD*Yq=2?|9k}x`99k%R<e(N<A4a4);Z_l;j^!vh|&_h2r`pn^Dbj;ec zl3mJE_bBGb2-Q?wJ!cYRLC);d#~vGe>fm#FoL9M!{az}m$uK`K_!I#Ev`YEL>rT}J z1#%vf1zk3D8VJljyU<k<Pdsa7@hmA<!Ys}b4Vg+fj-ldk74a?|rt<v=uP)|Il!$!C zxInp(%;}!8IB0mklyn&&=<573lu=lBslLiu@Kh}5`q(V9<76^5O+2F3JB>rPz<P9; zJO}xhf-q|p>p7zJCY4fsUaP_^j?QW~WuC61cb7}|k%Az#QJh`6qN-7E>|<3`vZYBi zBu^?rS~UevYGWWEsA>GgC;g!&+0wikQaKfY{fR<4wXpyY)HZgv%1o}-)@t}xjzG;y zSy&zs`tT<%cul^OLk=q4{*@Sw3)?j}Vq2kh80MMxgQZJKjeAI@#yYU1+M{83y?#=| zB*}?ICO!W}VdlGjG;M<MtU5=RipC-2Cr>=p1|<y>UqGCYpCJ#3-2kz(+8^<$iYMM} z9U!*)Bj%R_#72M^TlJ549uOzi0%Fxa;#C<yEC-0|RQ`xNRXmfyOFmE;AoR;j&^W|q z)fz(Vut$G^%x71akv#4Ue34wQWo6q+1iNvWcCaf^3di7D!QeetTxRNsC{PSMDIaW@ z*})CcdQSFUX{s?CFA>nYI!fqXcZkZiP>vGWT95tkWP678WXrgDCzMXfvhUd-v4AAc zxPn>beWGK${zmMhx$GqEM|9d)eF*0WMcn9FQWD_qQMWB+l$tRt@Md}SeHUT2>y<Ty z<<ocJ`^=(C3;T<<hs#KBIUP=R*X$L}wJWe2*fr>FhYcDF-*V^2z@42^DfU`Xb3&(` z_1FG#+Mk2y6Bd{aUg{FUwX=Ad2j6S<qqijztov07hE8lp;cFDZX$wIKmUgC6_sa=) z5u&eC;a}QxOW=Et`GEE?psj}uXr~xQH1I_-uY1hZL6wPYVsm1nJBTlj2BJcz;j7j+ zICw=C^^|TMVsl`tIoR?HutV5d*sg-_nWkv2b93AH7*24(H1Od=E>FX@ca6xa)iyW< zuWj}Ud$<Jj*^1N+Ya9_-Tcl)ljf}b0HXQK^ArOvW*hT}c1J8_La~xMD<mht{(dOti zf+1x3S`~+ZO>_-8SISWAGOoB6!F-t2jEv0lZ5ku3zKM8EXs^jeaeutRZ~Q(tW4+C| z?lmy+QX5#NzSKjogE&v}W*mdC;ekRBCjF>W3=OQUeh6aW0!2{XcH__xlE`s7WJ@q; z*%_64=?hL8?P=DMHK7}X4;0aPyZ(Og6^VDodfyBTF86*BHB6aP_nGrWFXtPN7qCl6 z`7-{e@wa;q_C|fFeuS3~fSt6)W#`>X{&cQ>PnbfUB~P5RqSNT5Hm%h{Mi^Y0`R|52 z=e}3-ME2B3K~46+R#I<J$o2qeYMID!DPgFwjBw@A+0-?h^%o2x;NOlt0Pd6#elQ^1 zj@|=#GPqMm`#rae(6G}FnU{BK>l!MHy1~BjWN@do$$p$2#`;_mbzMaMeA|90Zb(r+ zl4U>o6&aa(>6^SQ0L2XLL=tYgHNPRsM?8P@+=h$PvgWbpI_$UWCA?hiU^aC>gY(kT zf3y?J^demMYtEIwef3<2v)0mYwu3tCW%d?f;0!0ccm-1a3-cKwylB>LU>*d^T!YD2 z@5Yj2`*hm<)|vx`Qx5@1%aGPY-v`OyvcXgiaAbQABqi3;cejN)>|j>q+WzGeM(FVD zxvd0qdNtBjepn-0Ok%UofAlMEY>B3_R-a;e?Sh44RIx7b&7e7L5M)GN{3uvyOXY;+ z&O|!@Pmkw@Fv0tHAy)Y|vz>_%?i}9Dgn)R)yvQ2W)p?uR%l$wC#f&}7nL%k!eIS*o z#m<B*OAhZ?WpTpyrfN-B!=<Ug+(#Wz*X}`>gzs*7ny%#6(}TH{rT_w5$9#8701!6- z*)SA!9bSa4{q7d1=}rzYy_ow}PsY8&<Q+e4VJ$}vXDJ_kZ%@sh*lO*q5{ay(O-9uC z;TAQ8!NZkssOBpx&k^1tg*2^3<Hv$o-eQHLOarR{7aZJ&u&9`shvr>u0bA55-3qs! z!VZgP?Xa*+{NRJJA-vfK>IJRpb6r5)X@CPa>47>~yV?!(_3MBO=&OcKH6-Y(`#?SD z>w->oqz>@abKvfeRJR%*^!3{S2OdbOTb);W(-*eL{0wy~?QvWLjFatO&E1Hnb@2<r zqL60}n|E=_8G~lZS76#6Hn#+7AIffeEW6Qept75O!^)SoS$bS>+lYF0tTOTkDVLlr z>a?g5DC$<+gpEy3A2t`@l-G+-;~b}LzF`KE?(~Ee07(f7f2&9XNxxnUnu>aU9B1H9 zQ93%q*J<&>o6@avqQcXuhAzJ8D~SfYimd(}Em_(Q=o%QveDm3&;y+M4I@8o?p}+;$ z@rt7>*O)Dtk*{otZQvWYOzCOVe8wE2g<Ap%^#2kR0Rrr@9eO3Yatbr~s+Ist*DydQ zWFd~uW<zt8tmjfn_?DvUb3<UgBy&qnFrV1-WNou?=t=_Q@kf(-#}Vsf182$$ZfmD@ z(2Yl*440Z8Q%|<O$C0eHJa|3t?({s|T(!6Hy562QtSrB{T_z~)S-9VwpKsVVzmS*b z%nzn6x3Xll(f1rrn4Oadw7m2^1gVwlmNDu)pDT5EwA|M!aWKm56_$EV0=Mbk9Utya z6~+ZIqC|0I&dqV4r0Uwtz2>`(uu^BB1G|oF-Co{qTpnInf0h>TYHa7af7v<uZllv` zw)pL~Z+CYLL+Z_8Sd-7cZ&pg2_4sQm+%OdsVIG)YYVV3TmWtjzuX-|mze(6V@4PW_ zcerV2Z05VaD0KPuSgf3Nin>(`EQVllxN!p3Bzx)1&E;DKp23q+{k|*(ElW;OhBiD{ zq3i0;R}q!<N_CPx7>jbrwB~<$IVVXydaYx7BAMA-$*fNZ)h%B0wmd{hU#<S-?MqxY zbaHzsjZo?3H#DGj^X(=z?md0zv%d+-=WFhqRtcO&OAD0Bg}5poVB^<`FVocrH_O8+ z%|Q@~8o#mg9;BbC(xkFI>N1%KJZ#qX2kvhpIH+}7R}o0Rt7UrS{`gX|cY}A3r&$Yn z($-Oj`-8{**BiTY9Zu->6cUR-1uL(|QSp=q<rJ~+M`J6^?ZFS!Q?Jg?PbB5DV{0w0 z_a66SZbT`W$F#|}t0Aqx+JKD%o)5G*$^oc<_I&aG*QN#eZP-(h)Wc`0^X)fnLu8`7 z_os7(`P{MmUnMfgF&n4j3kLnEI$EXNqB=I8-S|gsS4uiZcAd|?oTtdnr8H`lAj<3V z<xv}zBIiuE&C(DRPi_+G90;b&h2z-6m733*Nsb;r(He*L=#cqSt8Mi`?#{h5(epIW zB7LbnV-n5{Y(IB<T@Mr<mL?BZ6%etzo@TBS;B9UyF#;{s?9Du}-vc&C)|q{$m4MgY z>iSgU`t@gA=i!^@CHYj8rJ)m?o4csF)SGALE7x2G!f(HK36wX=M%vrIqM0kdCa&xn z?=&1nTk)mNjGc%zeO0_ad8ONG^-r#YDKczLOJ_7u{gPT_Tq{!*Tp;jtZT)uQ>d*F3 z@9Kbsj$ipxKfRW`7G-t}utIh}oxjBnLaF3c2wu=R#^s?$`P#=sN_-fpFUUJh)aCuP zh)28)ho@I==*=oKVsKECAucM4(_boz$32miQ<vT@h;KHtPjR8UMOOY&iFdCwJg(tg z|0{hU_=UpXDp#%Z%+8v`=l{t4k2JjJe`CA%;C~dT^8`BG&Uy$u@661MJm>O%<WWA$ z89T%{+I49=X}j{et$v8)hQ%PKmZqkDeK3TMz4&_*2Pe=b4&DFU#KDwB!5NawrSJR7 z8LlXPU!o8hW1@{t0Y<XtvyZ&E&bF)h+Gc8Zpqt+ObL5ctGvWnvMK&?CQ~Oqxq5!NL zqE(T!=<->E)%0B`Ar(Qd$gbth4JyH@iwyg8hs0U7jdad&QjUPjX`Noh<KD_GN3?Qu zk%zMzcRlg)9$G%Xnb(t}OJN35sYxvUTEm5X_w*Zz^!e5KYGnoNO`e&Io`X@=m7|;R zk*@`K<rK`5VUCkyZ7&Vp+CQER`EaT;lr`$rnu2ev6enJuTp=zB&y{?F$FAsjs;#dN z;(iOGl@lKrVd&CqCQyh!@uvSKo9iwS*oqZ6{t2^kKy#&hS246er>kBMdo!Xje$dO6 zT0z3kYbrEHxM$V5`f#2GMf5eT8t(DB%dW05(aN-TI?tt=E)!*InIqiz!(a-BE8#m+ z8H9>0t3hl%+fk!<B4$IGxCJJ5<1j8(Lm5BNnLBWH82UyH-t=c1_y#AQ^7l8*N}Pr_ zNS%EHNlj7n37vQ)jP(3+z0+9zWtgMtp7NJ=9}29so%3yb4@;7x;+UN#s%ZmQRM((A zjl$V*h6a?bYMJ<WbZ>vH0XuozUoGIJc5O^XAO%=#GQaVWv!Cn`)XB)lq}AU2K#Ql+ zvwvOuJsIvTR$&y+#Uwl?!b{#sv*3n8xf)-kFz_+=q?14cd41PvI)9z1STe?bW9qKt znc-I@FLKxTHIu8*ngyeXUp~U=3)V+-^{}f&DmgBcC5JkJU-$#buodjaY6iBmk{z+~ zi}AVn9bDuA()^~%k>8=WeugmJEcIzu!kWqkFSO)(q@>*t8a|}OD9!VmO2l{Fa*<P3 zdX7fYgq=8uOBp_<5h)Tc?S;qp-*WNf$kdJDy-PT8a29Q}%48|{RtFILvap`U{9H|B zs(d>ZxJzdmn1*C}A5T8o!DR@Y#xq(#XoEbOOo!Yb#f98&Y$xayZzrfs7E8&P`asC_ zZ9%!r%emmil|SF3Suxj8Q#n_h!`N@pA%dYkR`ipjAl;{JlSIh?m`BADb?++FEl>GR zLFdZ7ZZ4bx`DM3oDzsF6?%Ht}7dmr0ur1#YS_*M;<?pBOw8Nc?=BOgoK_Af8<)IT0 z4?*eo+~X9KK6Ix?k`99!SsAq8;IoqESzwmRqi>ML@ZX_f>U|kZ&f3r*=PC!EEjVsM z?p<V9rI=CRo^-z0MVnFZ7?oth%&aE=k^MW3d1NoL`rYR-ppf`uK+)^c{TzB((}Chz zal!0`n{&FP$u3wUk7x+}$o`s!C7~j6!9@<rBC;rO(?J}R1(70B&t5o4z>|X?ItEZ= zwi@D<mo~8Jy-Bm>y;AL1wf%gm&u#zGR=DK<iONB>XMH4~lPh&%13eBq+Z8=q3ZJ}2 zhFXPkmlhqvLNTncT>ur=o6H<6{@M#s5N?qF0xbUHo2)zueDAQZu<xWHzrirGj^mKL zs{)oq+wUFE;;*pyNQh`OPgQQY7>gxfLK8VZO$`n4adDqt$IZO;7(M4F9NklyGlY<U z0eJQl7w>lWlnMOhF8}DTIRV}lG<i1x9^@AJZC^p&8M)BG(Odqtf<<>v?PFzak7v4G zy1ng+gZ_tK`0tvKE7*6=-M-FBI@JM$Gt>Zt54a-%Q99?uH0yl8xT~_1-(J8w<tF#- zK8=}r5g>Q08i?8iM<5i7@{SzD-yb{xlzp7r`bm-C$TvDj4gzANnt-5HnY{U*H21>s zoKHDZ?WegoO^34_`WE61fuM}*0pDTn1J9>JriuE1Y-S<Wx$UXEm%Df7E@i_1tt<+O z_c;dKThdu-o-8&N>+}Lq{ARB?Y<Elrj(*z;8#lq2JK>;qPx+;ciSx#SWyd5mV(}_3 zu7__fV<?d)p^eVo;-t|kL|H65$Lqp4zV_X8hWy5R^zN@~0HZB>NsK`b&!f_zC-^P5 zPom2+FqFW-loEFNrlyZ-HtHV7?^+vJ#=FOffP7;lpH2O}B<O)T^F`mZt;(jx6pZe) z)-Ls01dLCu5aMTyk~k2jX)O2|Y)X?fzZT=G#k;D-F9qNHlwEix46oHj_E(uZl3dym zj4UeK1A^}89$-*=Y|BdS$B}E=NYsCzd*KHKC!DEO-`P1$WvIUZY<boI(cNSQ#Po4C z(kR}P(2^ay@vD{XsH77gs2Kp+#T>eU_@BE1(RyVV`DV9@Q}0g;KSQHhPZcKOgIQDl z;PBzb@GnN~yMLaSc_E^Qm<HTWx#kJc#6k;wH1ty_KoNG^alN><vA%{D{L-~dSgq)q z;h566H+a>uBS*<U^pM{Rxw%Vk_ajYZ)`m5*ialiTYD&QK2sMKlF|&*7{$|HdEkkj2 zY@OMiZ1sp78#pLqL$10nW={Q>g*bdH)8v_U(83IQsC<sQ{^4XaBY2I%bCvKVk5A6f zt{iDAFSGEVkqTQcBK|815URtE=K#HDAbQ<NGT378@PWRe>`3n?Tk>}(htHND7{lJ3 zS@4<ac#I~cf`awT9BBW`lg??ft`Y=kEW3fAp79ar8DoBgfEbd!<|6-u0gC06eV`_& zK(Wj=0W^O=z>{N<Glo|T2%JS{L^D~G0Kswaj}T(h!Ya=7>!dAjWeq}GH&DMxi%xZx z%1y%e?GLNv9MHi=h5qzp?=&_(r1uk_9%Lwq&*Os)Do=tv?ki97jcgDjBg#a3)q*=z z4~e(BO~UPF9L<C!@@Px{SaX*lwVpsPMEH0k9hLhtLZLiR{m8wOEAM~<8)GD~E7i-8 zxyM8{Yxn88ui9#L?`8uY?(FKp1Ip(vLq^MBBw5~bjm;Ej{eBw?^0y3bAM6g;_NEHI zVFb&z*V*K)uWh!mTPsJtxR;qhsgno10$dWlqKx97R0?O-eiTsS<h7(gVFjXgWvoMe zX-8JF_E%($_Ud-9g%7`Jo@UnA0@@6O=cr_@ASl+LfVK`2NT?tHOo9ZFMc5!F0RfRB z{W#Fpk&l74&dLO|bv->$TldN4;xP<_Kr#h}JxFLk0T!e{2q*xo9s{hh0IWL4+x;q2 zqLyAg3w7Fl@{}Kt`DCN|$&@{=i;`j_g<vWxg{}S_!Aypwb)o7Hv$m|+Ww{ZRm?jS+ zcs34Jcdy&)1&1Kp=M;s_SQpN@a=84TcW~23pfO1c8yJ(m!~GeP=8oht+jRC6%1a3= zK_9ZqUNc9({|-QHX5&8rc$Re7h>r>cAUr$}fLh}~0LBV|0uTvk-~THBPYmRgi1Nw5 z;5ZN~5`o4K3DEe#9Xf_L<pFedRX2obECm<KKucW$x;>exMj#Fo9c)LF0zn<%$?kYs zdfXumvFV-!C?)xfB4|j81Pw{Kpdsl$vIK@CPJvy{buDa|G!kRN@#)Z%?dR~+K`VG? zSqR+_39e(t^aWmh7`5YZ$6W)d5D$0U`2pZS1NVG@*aZ>qd8n^PeS4yxMR7NDt*_ve zaG8^KYDKlmgfzjqi8WPNJvB8jnbb?>)19GH*Xr)o{&EI6ssiR;P-;E6>2=8hQ7HfI zfJ@M8F@tF{G5Tvrr%}Y`WL7XKH%4eZh_NJyH%#*QV3ZL^g7S~|V$XXu_h&sSaM~1T zKk_8V9A}>t8EX_?jWM{Fv$4a*t0{yNSjElch5B1w-ZWe9vsZq7z+nLMv&~?Il>+|Z z)%RTu9i+BH8FI{-;%Dp<@N##rZ(H`7=Uug$L$QQ<mS*caJQC)%X?mQjKl#2ohvTP^ zwp(mf<y(xEU3ld}ejUC)tJ4yWYwwt!!N-=KeutkOx0!d#wzOz=w`uS*i9=X^@3Z)R zc0)AZLf|#s2B(c^sKTP_cQh#pM>2WZAP;usSKZgEnrnqRj=|Ge3@(c<wZ@i}c6n)J z<7#}n@}{EOqC?%A*W4ZlCa(C>&?@B{(NTM@&!;b*ZuLG@2jLBSDshySFQ2}x*9_Ba zJ8ry_84VWNA8P5>ZIf{o+u>Z$Od4mH_J<>4&XRG#$M*a3bcbc=(k@WOQQy971w1PK zC^V@A_=PR)pNs?ipuIPJw?U<`@N?FA5Pvsrt|F}|Fs{({5|N>xY<+<O>nEm}?C_s^ zLp*1gm+u5#KR;i!(}rDSx}*aCzvV8O-nlGEl%AF#=RQ2{?7BVQUtSz;xv`g2Y969* zf5_Hih^O_p&sVT!i2n?`Bd1}XWtSJ4x*JMoc<g0_XDE_02-}2qZD1v;f@Ww58^#M- ze<xC#_`O^%)Fvw?vYb;1<Dy5xf~*bsqipwgisF#phZJmRx<z?Zo0f^wq8|6+DSBnw zX41cNBExbK7#SiNI*Yz)?wNb$x(lhKRMT&-Ba+!5*kWvd0k`&Tq5mZtT@Td&cUcF< zA+(CUPSw8zu?*?@*)}!W2Ej}E=a;vnqZ-NJcB7NmJyYEBN2%5HzbDhaynSPe>iXF` zmGu9Zlkbwh$LI6U244_>3<eQ{9TE!|GiF^i*V4XpeBZS~V6lac`JascXTA-x{q<1o zl(TiHsCz$$y*W+IA2!X?3vWgiuszHjKmT4${QYt>`H%tHr;Wy@h*)fG2Z@Ew=J!~W z8D>WLg)9vN!J6l0)x{TT;KT+viJ$^b^nsI7O5j9UxN3NWVi~e649tEX9(sGcmLL(s znfe%Mg{;$HfAyT>^X3_&j`|u4ur7Amy=!OZ;mX9b@p<cVYL~kXgHxBaS$W6msQ;|& zcWbL_gKmjUU~OGW-l042OrWF`My8pvGV4>4rLZZJqY8^*lPa&2fk*QKRQ6ncCV7jo z#kj>{#|?UEyzbA5v7z<L0%NHU&H*px*7&1=mW{9A%eA~JUC;921sff^Vq7X+SK0VF zh%@I03P_nqGv}^nNCabJ*R_%#Y~qf-48gMUrOkRq<gD52cFoteEbTokMm;4yE=Ge6 zF`z>%=nw}w#J>o*pUrCZMf?nD%hb&t@2Yv;RCm(Aah8$s*ZfW_c3oFbc^BC#vY}Ba zQ%Nr;o#&f(GMk+A>V<t+1utj<kt~>_P9}eMY@R~JZ{(HSFGHd52~}e)Q)1iT1z7DG ziKZc79p2wFt5@0%U3y+_+>P(gQ#<1;Xi1L)scG3tI{u&)#gKy~^R`NrDWL!1l$q?v zy)XFZ86mkn^O{+W?>o_1_ySZ7+9YA4Qa$*Xu+k3kZ%B(b?Xwo<g1?jzq+x5YsbOnW z^@Ou-8i_qcb@$O4(}p!CFv%>%l)aZN#FT@7V#xxTvj+5o(4!!bNA`a_Dgit~%)*xb zjCwwe&IM7r;|^Psc=Z<K5r58KiJ<x|OU>Y8YI6WLTn^AQ)^a6`JcEd1!tSp$X;T1~ z03qN~?F)X*2X9R}Z9nfqRi?M&nwEq<vzptmHT-jaQC8Q*#4$I?z&nIEX6WKS+yR{Y zmw!<GO9qy<|MIC&J}(m@BM}eHjGjl>tacL9XLir+8tvcCgn~Hv+krpc=?0Qly}T!k zc6kW16=kS{cIla2mjjr>6C4Vi`s^kTE^paLI<9#H1vth8ax6E>>|e&)+{61HRsrUD z`hvBFIYw(h#r>xRpolEbZ2N5=i6qW4(_rO(d0KA;8@(bNnI84M*hLH-XjG0H5^Uw# zjeP@)>a`=`aBmGY!^t0e5F}w1BTs`^o+)j@dxA@Xyj^qwQ+p&$7wD#8C2fezrCgOl zdiyD7Rv{<y-G;z7B*m(DGuC)B1F7F<iQY6CQZ@KeHO!Pxce{e|h?HfwpCrL)TQHr1 zOFTy~bBjKf-y$i8ql*{&wsnUB+p_poN|Jc(F!(47rRj0PxY&%1+h*{CC&XHOgD-e1 zSHi+)I8WM;m=^AXuku6Gt+ztRKx!OZSS;i^T;H!dKC3G%6#W3N3p(VT5DYaGCF_U4 zP~3Yw5wY;6@E8VhqH9XkUf6bYh#}l}KJzU{8A<x|Y2aF0r4I^cW4|cQYko|Uw<7t{ z4uo76z0H%#lW-%TD1dCO_!KW0b<Hj*1IJBQL=!`N@FhA4-BCeNL7059BshlT;8S;h z)b)V@tB|gN*uy!3M}?&P8B){PcQirGT6gjkGSZ_!Xp6TB3aYk#4LXI?nG>WM1AWjP z3ELDT(;R>uY7&qgB1@HcNqPQ1c9e=~Vlq6eKz7oU0Xz3a!7-U0D&76^{09a#fE~)r zbAt7XZH(|P(NWl%pLsFF%b3<F*OCGjnOFzF0}nsS<w*dwLdG|_M9NILA5fYj>Xugz zVoP5LU`x^l#Fk&EFFJmV4#19NIhnXuo;;2rh@w1{_HvA{YoAHalb|Iy*o8NcZ@3$N zFKwC*P9aW;SdzV?Bo+?mHQ7NVfSiksV^N5cN{}4G`{TDLz)uFtAHTg770LKa=Rwxb z^RoQKM-|Y>1x!KZV43Uzo?{e}z|whUjveG?+f^Tt&S4qwAUFn}Ocwpyh_3_;)ohyi zi<{>D0f;B3jsI^vS^2efOYv{uJR1@u=1rsa^G`mB%L<K=4&j*-B*ygpOw;#UZ?d(A zwoocYKj8WkG(oUfl#>pDNa)JG$V~60^7at_)Jz6u{CGd!dxPygL@ee@hd}l4)Uc(n z<`U1}hD1{&_ZO2P{mU@?;+zHHdzq_e0<X@GZ;wyLnxisd@~*C+zUFh^)2Oi9e?m?1 z7=BOEg!|zhOpX1&9Z-$gKisIR^MAMpodE~#O9k^l)vyMYjPa4&j!Ng28Y$<ABUo;w zw+Q3S)%ptTnd7YwqF}@xPbdC1dlBoR8!g7qR?qt;1$ubox8(;N(ah*2P%^Nk*vxNh zH2eL>EtoNcOQ2){fDHiV0l*GJaL&RB&A(u?62h@@M)KR-TcX85#dyjdE(>7}JAUU# z(h)_5rUSHqNm%DVQXk!b{O>031U>wTc!D6Q2gj5;w9DqZe(@X}s5S42l=Qd*d7lA! zw*-w_To4N@E0U2kDTdvlYSOQYnALto$)4Hd^Jl2XKfqBf6j|bVXR#1GH4V8Y_Au`% zNCzRY-Yi(2RLJ{VTiwk(17f;wzj8{_w%|z=>)0<e9kGQw^My&ApLM4he%#_MiEv4P z2iVGw01v?CC8&Ua&A-q;z@OrflM^jUsTh5FFQ<axF_+Lql9{@m9|G({D7v1nEr8NC zMD9o&PxW5VGn|+w0@8F)UZvC`2g2rep>A9n3Xm=MwI=;G;CVFQxiG)KD#ATb6aaYN z^iB{k|8GO>Pi!DV+w96|MO42}y$j)hZS8U{*Oy>hSQTB*wTUOBRlFZ?`JD0LM&mP! zW`Bghdj#v{{?l(|S~>9l*%)9wtA0n7{JAH_(Tr9x=Chk!PRhD$Q563SjjRf>2a!({ z)Nfdi?-JMOSXunyQR(8oEIZUcB7l;tNoTbO#)3-n-wFB`V}et<Vgo@1233DW04^ob zqMS<nyPgmW-!oy)?K*Ex#m4g^hViLnQ4jH*4%)SRu>VyvwVz~Frn;naU;oE?knIWa zFngGyzyI$w(+-#cYDPc>L>R-ggf&7iMgmN^XL6^XTAK`bQPhNCVa&SBK*(WgYFJ5E zVHoj+?GoR_!^OkttX6LOg6`_-i?i#Er9_}z+|0|sl#9UQw@2%nE0=YfsCJKsFEsb9 z;tav#V>5LW5^b#U+wc52F*7rDJ=%`If*yK!)$gZYo6ic`d6bx5w39+{qTwtKg>d3S zl6WAHV$&%0op&{4<1w#Mx_$<er@H^LN}6o84Am<UGlKkFDkxl0tdQyJMAsU{{FV;A z!V|B)q`_M4U30p-mXX;+Gd!S}zzAEnXfISzyc6qdT-71GT(;Y!U3%<neKj|p;}U}0 zp;~f-W>vf6tEW6f2{nY7f?(Gic;p;WwbWRe7KvT!$?RKN#~e8Ch7*lyWzm?YSDLux zOh0#`X<YBXVM0W2!G`WDJ0podZ!rYbQIIGNpLl;d{sFKu>+F<Qm%H|DyGw-2*1)`b zY<FPyXzkR<Ml<H3lb-)j@<OOxX&@-bzWeJ1+TMCVD$$XobKcq{NZ+94cd)~GC(@b| zg~t@5*X2ewA+wH0H`iAU2Up-Flp`c-1}^@X<E^Ldqo<vW4=w}m?JZ}#zifK|yhME^ zUAdn>f}@TXBc^|50KFuS-^mvWyaR*aPRMrX%LiT<a2h)Y*VIsgf`uO(Gwcp{w<ZsQ zD#yeP>A6?>jCr_avk|C3LNZ5t+V7GZWW0)5DHP--jMyS5cedFfgba|%(ylgFe`jFN zp^D^gxFd0|vH!0;?e7ec+y!?3303*&Q{V?G4ar5wZhl1^hV%B8GM?YIe!;)p9g8w> zs5lt=7lcP}n>c~;Pv{hv;5Kq1O8=i)02By7yJ^B<vYQ?_|H|+bhv3%hzo-I`^FJW5 z`R#&hNpYN0?BcD5m>C>tySl{Y&sGb~VglV`8&)rAV6a6hfwHG2mBu#M&!{$>;|6gJ z?clqRf`a)UtnBYX>D|ls%bU37ulN3j>H(-^Kj`=Wf~u~hC@TFoO<dWZxxi5siV;(n zOAUrr-#;)9*Vxz0Q!tSF{1@B``M;_D-$BD3^xYM}15=~IfHr#f_?!%1-Tj4|NdOGu z5KBKXHJa>Rvf)c!S$E{mHub>|Iu7*_mbY~iD0dD#83G`s1pUP30Ill!=(e%pk)QvD z(g7&W3qL7U<C_;4<TPz3UHxi!eCou~%5V^GKBvlb!lr_(z&m88ne81VhExaIO-Uib zogQ6i+6u&2ZBpd)V=TLUBZ&|Z<+eDm+BAvA2KT`JL?IbQw{p}ywKtzfwn*z1->{)_ z-@D?&exl!FW;pGAUsaBN6}HTw<Q|OBq59`=#RbW;aDe*r(KUDoXE>t01b8@4OZM&x z*_1*phlM{BBIVk=G<#=%>tVqC$?B?!&ign~yBX!>D29;_30Rlv{AdbE``lssZgM%r zKj@<NF~9>t_*)m)L-u=7`63x)SwH3OqD8bXs`;7KD=>?N_UF@bI3r#(Le7|p<#)05 z2$@$kb5hz`MjbOKeZR2uw<=YTwNpqs-uPtwPV@{OizwRY$B*Hy2DMhE_CmEXDn$== z)8(wgBi_Udmr)DUlf;`o`nX?lmK;V_@Qoj;uCkn+l@b+Cq#Zom7!C19mh4Z%nPg8K zSdx3!fc<KYr#`b!^%Hkq$rxD%n1%XH8fC5~rHDJ@6b(%i!Y*jWq-<u9E{yKk$NfG< z3)Jh(<=y(ydP-mp&NYSEZ~56zSw<uFF5mqE5_0bv@nH2$c&I$8m_J*{f@Ak;fL5El zgxR7|^I34#aod!ix?jt)gn;=_@EQl-mo5qM&NfP~Emk2{y+Bn?KS%KQ^eBe*9=<eW z$3Il=*1D*sk9EXSYT3p8`xm2A(xboEJs!=Qras|ym&gxPBkKQ#gb*!pi<JDdTD!dW z@u;pIW2_jzzHk|Pas6#nixMM3q9)!<8*5*BA^a^N@yL<AJF>s|;mkm?OeQCd?Cp4^ zB&EBV=CEj;>YDFn7VE+!QjkQQUVXM;o$3()kS>t!h1?VW@a9iCr@>L{pJK@e+@A{s z=K!vTPjEV5ZJnT&AO`n<pY5hg)(utq#p&)_Qy*k~jy?BL%2XT^0vaX3`dje*z+$Zv zVXrXnYM~`?erYuFk}2KWPkD~E6uZN_60dSE<lvn$iLQK3c^@sYQESbVwfKFv0inqk zzCX9IxkI4<LO5x*sZ-ESA>~;AHD2nrA|NHis#F^j6esyRQ4)1ow~C~nEy=~~6r!yZ z+5nfOV*hfPaw_*X;4(*7$WsUwy3ki&<n=wk_Y7=B)d@D4rX#Sh(H*`5(c!BMw6~jl zlb4av9C|wD%GYhf(rP;pqO?L1dGB>an$DKZf@mFh3pIJ(Wzjno61dU_&jtvoJWdk2 z#Hc9Der3gJnTud5b^06)VzH}$CgIrEr5UZNwoV)qCVwDtmX&zH*H_%fs&oadM@XnD zJ%ujhEE|5`4=&2yE=Hmsfsok~ItLzh+3h0-!#ZK6pto7ie6Wf`BDi&H=AL?*S8+`p z1x0?V)WG)Xtc0J#T`gbfzQJQ}L|VReT^9#J3`t1*WVnTHis8YIbJVVH{SsP1ErYBQ zAtu#Qi{E>{W2X*@Xwrpk4$-Ur44~%gYB10Hv8Tb|jV!;sr$L?`$bgTq&e=c)i#jMB zaxA9i&_d%$skIgaRm5+{0s4qtUwLa%8B6VNS)kO{14vQFAjHV>6X~A<@;7e8XrB)t z0WFox9~3yJ8MX<+zbjJlat2`0sI;B=a&NejY~!7~EZv~<j^w0&%zdVYJo%kILK2&k zeuUBzOuf2+j&8XS!{0exWw=2K@6MO(ybYxszJnQJ&SP;QV5x;gD6I6vBL6la(tGF% zMO9ts<!U8!?|D)Qg4f3Q2b{$kHB>p1?GL3G-#J{)d#gIk){gN3m^XR*>(h%_ad*G+ z_On>H9m}1@3rAWa%8Wl%c)*`5{n|{UMcwYxH^EhXFD(?4CCeY&SqKLiJX_!Z9(9AB zlENpNaUcO{Jmp6z%bGc5N{Z|A?rVy0F(C?#4`!$%7jctnlnO66sT*x^P0l`CPOv1# z*V=U~V~g$<EH*MxRh4jXT^)rqQ4;9HQ84)U`CPnBJi!?BRw9}R_^jVQ$uJ}hA!q~* z?PR%qc<srAs5#%z>>BLtZ{h{lij8Gq7W{%P*UMt+;9*n<hm8?@OR0M*C|LzJD##=} zmSv<9&4`dv?|x*T=qlkK?3#af1?TE>1VfIhEj^x0?0|tElqfNPhuG@C)umC#5)O-I z8cn4ltdrtl1-%%o*8zpW5+O3TEj6mQFKY)ay)93^8FbW4ZZMa&AmI9NYI=9p=J)Nc z?1jrpbyh~7xbvpMtbjM=sWfOQpyn(0^Tv6vi%zv7_D{1{(WlVBkJhO$q$>q7-Z(mE zVg)Fzt67HkYxuqxaD>d69QC<q>k$hLf|Im-JpJ!Np8P0M#=@Ezk<L4py+SwZu^CAj zcdj-QH^6l!OTM@{)9VNl4($dIc)^R32%R&xS5))NYuy#{*xqu9pmUI2K*R=1p-TK# zDPwwn#?$2BhszikQUsaZmw#!y6mkF6)5Vhe3&-Qo($X43xmP;+)^0}Q7Bsg`8ipNA zC&n6*P@fHFqW{#`Kz?&$VdM6f4Kc0BcW<KC9pE0EyWY~JdC4)Xv@(wvKOiS%q{^{X zJp>{nlP$eJ7N!<8T*j&ccY4|G|B^J0%da56&a?c{Ki*^U8$Ynt%rmVG#%wVX`fP5O zo>70sxJ~pCj0{CNSN?Ggg@iF`OV~j3+qQJ7K^O+1T5s3NeNeQ=JobCs!eC;gc_V84 z(8#PRF?mxqjlwvFNoWhtB8n1xngTJ|3zz35?f!zT@9CMZVEkqR;j1j|Xh@hjtsDFC z+I(v#TvcWvv?x6SyBVP$LtcxW(3M4sQr^YCCfI|{0)aCh8}v9vm4v;PXImqswmv!P z6TiL`<-=HF%b~QLg1pPvgDD~<;|Iq%>9jU(O<Gp!#MgZ*!S85YDjpq&Edk?567%bf z{>4NrbpDHk7l~T1iNmf4K1w<tJbQ)5llTqa=E<)0KAqg7G|u*IOK8={QOJ5B?M)={ zce%0_jM--79b6e;?UX+$uxY#D3D1<-7EOk##2@+&OliyNUph)ir?iA{FJ5!t6~LHT zf#(XbHlP;O_UXbZ$JwgGrj}hK_K0ig2LuQfGbHhPzNL}LXhV&q(v=|k@{o!+^@g?1 zJ2lCpBVas2oO6TG|6p5R9ku}Bll@zDGE;g;DW{I@0|X)c%j<w>*89Xt)ZX?1CO$z! zgodyI94*)tGk=U1;iR$~6ol-PNVV$uVA`J*s5CQBafOVK0RvMw!kyb|h(Xi>{H~YR zD~DqxncvcoCE6l@g$Ebcef#F<_L%mB$p<pjj^qIfEA=+wo@;F}G*7p(g%?X4iV17o zjt-3DiZ&P+oeEL2@cKn_gTSFL*pSJKCWRq?dlE}R&X_4OP0E5$BXjTV_R$nCnA%SC z4H75WcZgY+@C&dUOs1QISS!85TIhve)2Sy_KE*f?MsJiE>4%^TbfTk?kF~I-!81ou z<$N?~jY!9L@@A{ZL}x#cbCwp@Y@z}4&yv7gE18f{X*6U^tpPd!Nd50-xYMQYxYwt& z;g86in9WLefNAbhV46GgXhQ7YGudl=;zpilxG^{BhN29+1QDQVZ_N&1z8VTN6)f}C zzLHJS3!a&1q3pF*b!gg)|3<!sMkuS66L>>lK$MQ%?2|tTNA>R*{XInsVRTvTc>ia1 z``^>aUi*Lna$q*s3aReFa(ocXqSBE&MBt{e7PzN<_L2`$bLbw`%(F~_Wa6)RULcb= z-oG+AYQ6^M-zUhc+C-xId!CY?YGnEU%%AtnlHSKeIvU+^eIdF&P};;jpN6LbCh;T4 z$2G%%3GY3(^Oy+!8S*OrDd0UpZqJqRXKMPNGs%AgV6LC7kgRX!vL2n`h#Y@oo7Hv# zGu&0={;IUHX#e!<uPOHb$mZFYlkBLx6Wq119TxbP!O~XE3hDHEE-M|p<|pU>!`WL# z)v+yWpa}#G1a}SY1PKHW?h@Py?(VL^-JJw?cUvL2yIXK~3$oxf$=>JebMLutyzzdd z(_OP>&6;0TeUs5WS9crCI{ImC;%kVqI&EZ=GARF#2lhO7!T-Ab-}3xyfdH7BJ@5#? zV?*jc?x6#YHqGI3PwMz9Llf(pJ|PIZKySwp5yXS7OS8&|mPJ2Yk3L>pJ<g}GGiJ5@ zs+d$DzGf6g3Yde8(3Z_u6(U0GSV2YI#9eAG8#)lAIlp?l4)?vSe9o9S7tO~Va-4BO z%=pEQ{Gb0lM|ZJIb6I>m9WTvkCvZM4V}gGMIY1+0=@C7=D52&iVwZ3!{Nck?Xj57* zRjZms(Aj-SD_)u`;^?b)*BkpOJ8QTyGS0tEh!IwrZZ(jV=Gv|8CqnrtQ^Tm>Nus56 z4(Tce^4D3E`MljJKlK=e2deargw;*kiXk-m<ecNkER_W>24|6?meIZNg<}%?E9GD> zqLJMr1cMQi_CY-k{lg1dJ>z&BmQfV_o_Nk|kGJB+jY!UC_3q5hrkc*C1I>~{U*(-+ zCh6ZW>Sgt_7d8wg;yCQ!IuuxrneI%D8twLkd@mIT;i0w?aeJaPli(V|_7qC}V$gv0 z;6&oB*Z5?&<MS{PE`BJvhm-rdhrICMX^SqI#knKB8xvuD8p1YbB^idu9;=9DU>Pdq zt?9TVfkq=u-s#QHX>A3K?dgPu+wv*rRRK`qk3k;UX1gv5j39w;vLvU}l9a0#4-Lq$ zTBEB-CDy@TS|tbskKM$^UXKtv^N?vT$5|U3$qXlD<FC${-1iu?>hhu`+A!DR=aBDV zas^U)g3_p=S5;2Bf41leZBO#M=rxFXUkL3Vl|N)OxbGYaSg*RRwA1e#X+}xixF(7k z{pjVE-)9zx5@NPNe&pqz;IhSZ%bg`GoVte;@XrszF7K2yMqX4re9v5<Xp>S3w%xLf zF4=v<F=<HR5iA(K^mXr3;9fjD+wcOx%yx-wqVxgqbM0KV44NgtGiV#;E@<-Noj{)? z=ohk=b7i4YzvgBH_QQZTNj>d%pg9YeKml(lA6U(>c5&_uC~v>k^wb)eRy$5UKC*Aj zsRzNfX{Ab>bP}|^{vzOf$@abO4qDY@Ov*VNhjp1iEleEVo9HpN?n`MKy+K*~7j#_3 zeMY?=76F7_Lv)1HI!N=@*SHaPh!G)YfHN-um`dlzW~6k!Wn2<?5PKN@psdv*KlPk1 zfstKGBG=SHkUfmQT<B-uS=I__U8H@y!nnGpwF{Efk+=WQS}zJe8&}(o#@UMg$cxW= z;3Rv~<h-~x&3-K4-G6zV49a!qa&D3^Ms~{u6u6nqy_a3?4@5k@=^b#2MBx!>zF^_8 zlD=Z`)b22>zW!e3%$}zNN@JdPNFIH~l#Wov59bZ%lFJJ1GU0sci<}Nev=}MA1{btC z#v(?rqVRR~XYkLx613^mSFsFuDpEBT4_NMSNfFK!`AQ%aQs<+~Zk8Z(N|(S_y!Cdu zr{@X&Q!O`J3u?1|>J`)z#q7cXnK65_Orz02zL9!v*(C8YcY5AxD<gfoa)T{%L*n1X zmv?EhCq7Ox;Is`ub5HTJH#ln0IBAzE@zODJ`{<cGDi;~&OV0sUf8F_#;epc$k{gY8 zomx+aEKyo=g!oEW<#7b7hGD&SML3<!4FyJR2O=crF&3ucm{HF^R7+$#2L3VDvETT} zvSxOF(c&9F@U{nkk}DgVz$V0f&|3u)Icvy9<<%DhzmQ>GRPkeAk1iyYwk_hCUoGOI zk#~CdURDS-xM~Of<Raa6TEF?#{j-h{&Hc10tYE@{|5TRUs0s(-3kQ9%!*ZjL!vV#? z%)mlRU3KJ&y7-M{;)damB>PqzWs2F`Xq?z|qzk?L!gRyJpNnc(E;B2MHf8PP=$ty7 z+_NmvI1}80>RJ8Vq>z~{2pH*%=17g#*FFckgveDHAJ?h2_Iwi#Jo*q!N0JB=jV>cM z33M3sZ_e9~vP#ajJi*qKSh^sPwcPxI?IlRutp&%DvH8-wE%E2ruuPG(a{F|IS}Z#X zWMG{c46ecqeVNziTVie5jj=Lq>Ie)G{d{|_(aFeT>@vET+>dC;r=lp4gfZ_$4DJNK zLI#5shrSz3_%pFWZuh(ULFnd*FA5D9`rD>Fa`(Q_(-9zOlxhx=P(c1FU)#F(?yVu} z5(l$XzK$jS$3wg~ka1^{Z%CkbgLv~0d~!-#6;5MbCR49ldZ9r2Zjnhg`9d*l*_kcQ zYi=98Zh>R6!8sA^qk>gTBOz}40v9^dg?Mrsbg&pks~|Jutqop!ipvc@6g?b95qi?U zyc+F<B|V8jBje?CixLu92?Y-qgvo+5m%{g_Oj#WaPrr)L5vrLbM+l(2UxH@RL9`z< zk|TBM=#lUI;EM8IGs(E{<qi)Y=$D7{0uR9!H>ZWYgX$9_2-2I{)`$pkWRau!$=GIM zm*o3CgxNOlt2L?D&cl0Wy$UgRngb@cL|Lq>J;?s;x$ET1Zr4u;Rmv7+`ZKBihWnFD zXoFX%s%&dLPb>jjs#K^}SW~L`yg4jYn)JSgHTS1a2)Z22q%le{W^@W!=&7pO!=u%= z-KROT=(z1PQ^u*qKjb6We_>yME?|twi2`k0IQ3vDWaNT2#$<z9E|Bi0&Ah!Do0u3T z)+r)fMHH)4onlB+ot2btD#LU9K8c6wcbY7mUsKd9yi06BMUFsB!XZ0F@2k>frFL6$ zQ^xCI&cPp_JGDrm5W1l44Hyp}gv;yF51yw8&(q?_W?HjKp<qRlzK2w!2<O!r4#~}v z67ytc8Vy)9OdU&C`SmQ%G_!#)rACuq%^zY}GXnITslmQ<Xd;YScFNxt?<QH^UcFu6 zMk`M;6`1^V15+)9ebi!ar~BN)W|&2{MG7r-(fsjaB3#bw(g)w#c(@{2$(@u_LbrrZ zO_3lb<AC37lp*O#Vh-sw(qf*ST%!RuW3Ukejt|laOJ760`@X~>O5#+}Ol7MTVoYkV zjuRqMrefCUrBDn*xUo*w)yC2Pidcfjm9L8lgKaSGh7+m`7qQA)`3MoJG*3YGLXCZJ zcN3yRDqZZo9;-y{jcL~*A*#<|c;nE!4)ZNNk??xEwu@och~#Bqi0Gc+*SlK~P1i%( z3`U(Mj7c@f3C&_3t7uvxUJ*wiVvP+!*&U?}YYqjD8!=FlV%F$75y5@L#bfxI_Ih)H zrKKquF=ts*hK}B-^H2oUhm}q9BNtX*opHTA6Q0OoQ@Uf}lo&+l?W%-)^%}%o)5mh2 znyj$-cMGFio1J=WLssN3#q>L&SRH&d-HimXYIJMA4Tj|9S*RMb#}XUV1V=JNn~xsu z>am48IdB}v6-B&4FR57+L#|d6lEmsWWV`JzOLdV<ibDxgtCFiQ`lc*(GA)$$KHWuq zDAX|Z{p;OnDWtwkiw^6(nX%|%dM%+3+LBl(bSo3&vR-CaBB*jhPZC*69WQckYrmta zH-CI*C5goXfEHcl^@h~QIrfEtahG8r9MFkHuQpFIb7fe!>rfe(r-<f9z=3YdJ;1ek z2aa*YqJ&rI7a*nrgHEVgU^YvM0GyYJ$N2x6<G-XPl1XTi00u1ye-NI4va{7_PDY&6 zXih{-P#6DLMFBLuoeeYDgJ^1`*8-qVWS}H^hMpEqpd4%b?+!WiT7Lr{pvjn>_j+@^ z-T{DJqxl(j5diiImuJ|4c`-OW&p<@d`3)`R{xscG=w4f@NlhS{EP$I-jnT`t)IA<g zN?=n~Ga_rQ830k_?1b>EL6beU{RpzJs&UI=5Yb0YIP_Y?*bRAMI83<YeX4vxC^cN- zFREGAnLE2Orzg6@lUF7(I)mrS$X=L+H~J(pM29U-bX#Cs?%82x%hSS9%@{PqzR0cm z;}L)~5bnIe{@^@|UGMKI{eiFlHQ_z_We$tvWeLCNMd5$qR`cc=AEu|U3JD<5{uzMV z%4EbE4RK_<mf*j~{q0TQd;}S6lh$*#{0^3s^`1JLq8aJS?uA1()PN<1XV~-_9GFOr zY8`ee^}cBj3zQ|Vl!UOEe>kg6#u#KZ#~dq3;l;MAh63d+3J4b0JD{w&0GU(?<Q)Q9 znHwn_)wZ{<=JDqj6CSZvaQ}oToaY}9y{dQyt}Tzt9|bJyON(Rs5>Ukg(42qQmS;XM z_d9|37|Q=!0`d3N{2PbAv+6&Dzmw{B@;E+cNhbY&q=TyZP#_Q%Sl9ahg3dpL&!N&Q zlE-_V(#fa4A~FZ0VDK4`g3lcEf8f*Z<ME77OJJa)<sQk8Gxg@+&p7nUb<gP3_gj&@ z>??EKx`tSPg&Yo~L^yT?szGTMkSZBuFXnM<Q*`}i|IhRR!dPcfI3)oQYFVuz{$gUz z=AXcE1wDuT|C{tLkQe_~7P<j-foJqTbMuds{eNVvo8)Zuw`YWaX_5XWujd3k1j@ZD zJn<ModFlVCuL#DZ+`Jaw|HLQaH$KD9_+<S5#pmDURX_X}o&K|A0BZcNRAWE)zX<3z zpD3A;zwEC6UBedtS;Km!O#c-mXuRWUJn_FM`Cp7!rT9$Ni-rv`&piHIlgrP6x8AeM zHf8$1NT}>LNMHT|5|DQ|hYimpG{?{q^NM(Mh_(R>nbPO;Gr@M5#1rd-@kNG?0RTsW z2NBR(pQX<QBJyWx>H0Sr0~G?SZO`<o1ysoICG4M!8Ls-fL|&NwW7dB$$TOe)L;6>d z0DR_@_)Jj;|1QmcQ2Rgm`!6~Z``@|=3&2`i^UOql2>dq_^#BA8JVpxr;`aV^ot|3- z#X{*?*QA~@-DGJ>fr`DO=a1W35ZBU!n{C6Bji+<&VBe>cdx~ST-8C6+Fu}ut^VR5i zxuwUWLKYjZYc0!Q7E_Sht%E?P=U=x~(Q`#j)dyu(KpwNT0ySTEGce?KsrEi(zUll> z5C2Umc#I}#ER?KPf~2;SR-}j@OWv)8@8RJ5!_L<IB=y)OEu8ygVPy3lQ4V)oF!FlP zS^`mE5n>5?<GC+w@v9^fe<br6Me(=7&?nB6d=pf{&=oi&s~8Nz(6TMj6{RsG{(>s! z{czOGec;WPD+i%8cFN<O2yPY$Ol*(WW^NV_@2M~6etAC3(!Za%(=K<hcz_HC1iq81 z4C*m8cIL{!HbYSw^;EmX(8MZS_5DU-b@{Tl)bGL*r;JoW5pu|tnk8d&YjfX3=Jve< znrx_<!xPmp1IFbC{eWxC+#DEEh-iHr6d!!Ns22{GpM_lP=tw2v^l?6=;tvK-7+jEN zCRCa<**#9(&ELg@CT_p+!=Tq^f1MloIy+Ap`~nz(PYf7gs`$n41Ai?U$m^|e;GY4^ z)R09a2mC6`&B&QakT?=iO#7?C#UvvQg}8xX)?<Kyqwoj&-WbHOP^)Khc$)(Iti+%s z?dN;p6RT*s<v~y#@+BTV9kDb-fd8sy7(V}TP(y~6q<{V6v$$F`!&&KP@uh%oy*(f? z>8J?ry8uEw?_NS4Hm{2y2Bfl0V&F13UP&EQKjw3j{o};*Y~T~Ol`}Bt^qseIy<Yp} zDPO(tCs*PDW>Yy;{PeNXqHdoLo9@qn4%R;}5lXi*mre{O>X$%nFS8#EFdgo`@13xx zo2nu{l)cOl+<@d~f7RKGs8@q!7fCatGf|3_tg|X*pK)5^X|6Po>FSDMu<45S`9l%> z0q|h{d3d%yRZWbL7!S2~^p^A#2uepR{vfP6U>*2EHnSh*U)Ftk7Xs0qXJPxVS!6Z> zvuFV2{l_f$D=ljOJ%Qh6e`dj7Nk)YCm6qH5EoxHXUX^ksI)K;vC;%WH7632P^zzfv zE)qg>a+tHZUoDc+pPQWiHIgp^t!fWpP4pc-Y@+%!BtoL%6|rz25Tr-{e;$D3QUziU z4aDBz^z*A1K(Jp#>u<dDc|#aZEFEe1$`p`@0a?bMI$1;3L((z917Gb`@0IJ9=X%}` z#C|8`?~wQzN-H7B8~h!Jf6s^x){p!anwgsUZ^%?*0efKpWVHZf-${Xh5dMKI{B!^O zZ^-tn=E{JZl|`RT37KmB3=cc_i&p(U7b)?4|1AE04f3zJtGFmvl4Z8#^!D&2O96<b z_ydS(M+0EJU?en$asj|HKTu5|XTpR_v{J46JsZOtx(W>X9NAq79;|>ti4q^5vsfBp zAeFVv5`6xBAlA03ql4Xe&ahS>!+JA+sRJ3N_gpaUeO2Lef<5#iUc|l3=a3wUF+_b; zXAeGIk~fUcbLn^4<Lgm)<RC1=h3Jti4vZ%0bSYAT`ti?$AwM?bvwd<yez&;3U?KpX zR<d5^b#lOd17N>VIMT4o)Xsu?yNYe2OO;HUg0J`Deog<WwaL|L_116zZD;x8&bNzW z_VJyOj$fw}pLvr`awtAITs+!1?-KU$aWPGSWkzJ#hx7{Wcc|FQ>)dUNhg4E~zE7r9 zXMQ`H4kOo5^c!mM?D@_zl}6|W{KSmsDo`T&mShOqCD3hBpQg>NYDZBHj(HUY2Xa)- zx><Fl1gk|Y5>UD8`mLfgrrkEDB?nkxfBoAEgZ0&Zx0UrbRD*U0M(E6MD-O%qDvVC6 z5DCN=o(@c>2v_-#6F?t0Y8w9Sum!!JIQ!C~xWG6ph|flny8%~fQls0v#u>;c1pBMW zE6iRRN=hutS6lQKtJ8oiisbhbV+|#hr{!-AB@^Tu;^{Q1ixUSJHHzb#^9<%=mFg6| z=H>II=*b5YON;Z)2NP-Xtf<xM4V35;bm|qU9VG#yC1ynr)p-)d8t}zQ|LibT4Am-p zMv<3iID%F>5Hvn;?y##Pt{jjV-jLzgOBSjA!>+*FS&pf0BEpK@1e=lE&mN2B6W69e zUg=%n**WqD$8SGs1!a^6ip{W*Zaa%!C*%;=Rm&^Wce-F>$!HbA9!19`RMTMh2-@51 z3*;FGrI*GlHM}FV@>Rg1`S9D0*%w<l0zh@>@LAOiy~pqqV0FD3uv%<42ye=@HL(;! zm8UGDv<B?DY0lPEi&0nd;9gp363tz%KrI$$87ik7<`borur7t?U@*d^H)>}jWL!Q$ z4c_aSA94Wwl()w%>mP<ql^8iP{yu!PLuO_*oIo0uvN-CYcURTi>X2+&OFWAxZ;j_O z2%X&mEnJREw#wSL=-s?0Fcp(xWLb#gO}cJ~KNZLPs1P5ckjou1UZ`Th=0L**PQ1#+ z<f@ahFPR0dtJRmEuiXbZhs(6<=EwTY9Mfjv)DNW(SR34yjRYx6rY$vO&8iy8CYSad z(-1RCl^bk%in>=CWdH+~zYWYh8#r)FZBagf`D0-gurT~=L9{PWM!96MJ#$LWTBo3C z+Fjsx59n*42PD^U*$PJWx47xzC1!unmkc9D72_!m$3PX6KH7+8&Ad;>inw4NA8{tv zvQJ4R@At#ib3iZOQBzc~?b}U@WPGwzv})?$yUhanSx@0yiFohx0gWH_?Jz_$KG|OG zfaCPeQw5)#8W1zelQkSpM{f(}2bBom8k#A)m#Y1}fA9@!mmiBYj`C1%V-h~pfK9LM z5=4JUAIIs{X}C_E4+uVC{^|RCkwc^6s)&;ydi0)SGa=}4*b<LZi>cwfa0|G|_~%78 z)<0UN|9v6a^n*0#xNx~SCvlcwYalYbILE0ZQeE3Io|co@EOMcY!_0N~Q~73J;RoD? zb(2jD(I!9AoE4KLx15++ysd$VaI+lSk_ZuP%XnHgX0wPMF8P>Q+%1WSaETmS+6ano z6IA)iA{pb2jv}NLECUHRMM^ydVT(G$ZygtxOESIKO?!Cr**XvX%`QeKa`t7?>!Ytk zPaX0PArEADbX|_fsWzcV0ZvhlKbk@doR{&-mmB8rGcGh1UIf}3fp$grNPr8}J~b%F zVmrCR!B2nvt$+EfzpCvgP`3-4`7N_<_FxLl>e>xx&wXy6$*fS2ZQMHm`ltQw?+%jT zS=+8i`YIQ}`E38_CD&Cz+V^Jz`+x!Mtt9%1oD=Q9SVzforLWrja*er)40+|RB7Snf z-{pl}Qnki?o7(z{!Y#@Va)}`fxnYhk)sAI^zk<Kp3cECEP5Nd4j`c7sR2gIZoGZH7 zhCoi6yg4Y?=H~@R%0qKvNTt?ErbDuiaT$ia7vst(*iS=o%lxV0t4@zt)QCHd#0*n^ zQm7jgSU|)rU}aFQI##@0bBfx8DAyTYB5DFqP-gB=3I`M<MBH-!Qm~k!-Bn?p$hndU zlvjxqImQ3o+Vxkf$6u}2z@!qS&dGDN`9ZNUgiX($8^kh_0-h&?U+%U6o|DIUP#39; zRT$(3oj!Y>LQ@i3g|y)gr9hJ+uM+>a<#(%p94mcxZ0y;w-M<`5{NtGFUka^%hStBA z35@+BrA(ktv~shbH^oFgm|RNJ!`pQ-Em@=|fyt!yKHM{TwIoTtGoDVjnkKoY;&W;| ze7?cUI3?hd4d98~DMs?aq|*Jovyvo=JU42!R)cAV2%Q#I>N?5(3Gxy<Q}95Zbg||$ zTQ}5tEl=eCrgxX=^P?wya0kla_T5GI@NyC*U#ly47Mzw;alOiS-;gBR-qhC8)OvT- zuW-Q-eUWysxTy1n{eJbp(@+M%Ix2ewzuXFG$9a}rHiMeJ>*-<f=Bepv@!&+~Sf}lA zQ8MRZxw}HtH7$SPSjK7wEPM0hY43G*wh_0;*x-znb$!BU#pvC(@H8l;;b=|vQhtv0 z%KxO@quu3vHfa^)adCJ1;%WFK3Co*XH=NyjHsa}VOV-;Rd|ePHpRvUL^dVh`n`3W& z{^ur1kB`bMW$R*FRrc*}#BFVi8p?^6)6*u`j%;9>-U*{M!^jCkMMdVr=}p!n_$2NH zIDkp{z^G+q!Ep3aL2g0zzNK@x0?YXxY1Y}lFW&`<q!Wa9EJiPW4Bqcoh+Mj#UMH(a zj#aUjc)XV00a>s1d0b|dQ2RWbt=(;OGlG^MD+X_#dJ}e@aJvrp$|E6o-aiE*kbx?E zb~ist#3f~rFoLvs-mtR=F;Y5ebGzQHYk_xU@DvU`zQC)6b@qF0V|7NY^sbLo95+u_ zuN-li-wA>)0^1iKA2PTum)&kIW)C1Vd-9QPGO#t6a27xIC`b8J?U9C(>X(UA;P=e< zRMmUE3YR9T#m6yMr_iVWTxv@6Qh%~nShQy!F|G>wow79{a2=#A+CyWtS@BYTT0i(< zQq);C+?LcE3dbEG#{2HYxv3H}oW{!^gn~=Gw-UMLE0%N54=-}$;)W&}j}<U$J`$)Y zvkXpvPOq?@2x`HM?QT)^$>kpTB~8gr?AF#%T>H+_AXk<Mm+ebQl3Arbod;qwWY-WB zwz1k;9Ug|eV1ZzMB6S!Zw!7egG~mpI4>&6$^yhUbxS87}b$g9)H#YM_ANZA;5!0@q zyINEPZ?^luS0u|!-gkF_5;y1IqFo;4*6kc9QMDncqzXCyL8f$;g7(&v$QZW`E=uVc z(0h62E2k?+0hnBe;dj5(yqB&vi!P#07FO`L1xCnb4o*yqFbyJpKZVe2nw;N+hRj7^ zXUDy(S^50#<G|_TzM$^(8+NP)EN7Mbn{H#y+jZTiJv;ATot*CP-SvK%SF*ebsUyz9 z$MAd<ESS3>YIhR$*fDHuYx<I~c?GtlCsdj*pDW1iBnrAYvA&~}T|p$m-S=ka^<a+s zz^mo6Q#8S|jVgGNxag5dv+T9mLO<dDhWh4xGkG=BXAyk^{dbbA*Av%KvYC&;N&esF zvCN9ULosYB$9Ve=o{$E@iWOv0Gqg&)>bkN*!N6i~M9$|KKxQAoHCjr(rV?EGU{2_@ z^u58se>}xuUZa`AW9?z`9=x+^#dp}yU7&aI!4VU>VKD^~B63kW<4ebp({!gZ*Ick^ ziXpm1S8dJIC(43F^sLZflEN4^W2pkj_Y>QPC63K;hBKOLgBnI=_DG3p)+vc83rqfn zGm8~7%y147YhT@IqEX&NEBHR1@e```XWG~`*Z)$qz%0lq>eyR&Ke?Cx`{b(AnRAn@ zViohA6w)C=hJAGVcGE%ntZ0r-rnldJ^8J#VJEsg`db#VXD>l13tIQ6A4+M61D}1H> zq_p#BGBPSyfMe@Z0eI=<+scaySXMU8cL!zlvWZ2FKav`T9P+G}yjT!fwD$0vS9Qv? zQ2RKcAhqdyZitLc=QHrFlsstIf)qXy$S6$c?X$Y%R}Ls6?~NrVp}wmX<YWaezD~?u zn1ZUbptOFaTAx;cbvoaw_Vccx1&3mx%qYc#b>7Cdqw=A<y?qh9ZXVGqDw-A|yyl4; zP1l0v;EjFi5lvH~kEoEs7==F%Y(MUvwCAV5rM|Cy^7wUrcK1$`Xpi^(*|G6SLnjQS zi^PbLlxiIA8d
wV~4yPH0Iiob?f4bn}}%k7_!w&1yBe*z+fU%xtE*jFyscv=i~ zK$lT-J?Q#y%w_PnTMYRM2GP@+`l1CL&1TgG40W&wC<pq$1Vk|iei!rvPATt@=mjq; z%=b_5qxcBfEBynZZw@A-si5vxT6N$@CY%d|_FE9z#cJx2JYt6MPQHqP%}<WSa|aGd zze#mA_#R6ZwogI_q~=BSM7sB(VARxn!T&V8pVaR2@qOt{zgzsP1J4mR{o^R`*3pr5 zuiQM`=k&$f;n@XpU5z)1Bg@Os%1J~sqZ$|3V=5B!Yn33LLnLnpq*j})(QA;bd*@D) zr|ctkZ|Ul=J+{q<r(dIXap_*awjb_(^{O~Gpq;aCyk^XL=)Kqh4`L3}(p4}V^J=fG zTv=PQf_5K|dIxo^-mvQo@p-#=H$8dS-|kI(_<7W@y1>As8_9|kbxpJ}m3L7IYC2nZ z>WP+;d29eZO$8YD7P*1J2U!#_tKhv(9jDxrcJSJ??ERB#`rf^FTHuoQ(}va4SwqWc z&|q2(21g>ZxVv)MvUQ)WYVixnX_YQ{;mB#jB51m49TZ9X+t?5@ij)u5OmOEH``u|t z)DI6%?sO!)+F4Kb-snG*qOjuDbr`I~Ewz+O_`uAROcYC8j0Cq&Avmi)Hro7mKA~-3 z>Gg&lJ*;17sZ?E8;Zo1!gK)B)qkrfZnkZ0R_RT%)bviXH#s&y&OhiVSlBJZ?3=iCz z2s5X6iXp|#!`x!09C$FN-HC0NsI)AbT}`7dyo0q=4X`?gTdwuKJGB6Q0{<lIezG}* zV7H&rHy?h;?e1js$i>C+xIJY_8$GglBAJm7F$s##UM&HYZr@O6vE3AHhf1OFT8{`0 z=eu9e1>2uoC>v|8r!Tf{Ulg&R-Xy753cSpgN?%+kZ2-hQ`KD$gVoN04Bc96nYW5-a znej}{<VIvxD1<w_B&*f-{ue$EE!St{FgLox@3}ZWM47c~jHcpauGt<>FFy;goQE;u za6&W!dUd<rhjhJXT921lTi&;@e7raNHHuHh$+)%OPUE<TX+7fJbjSBo*;I*_zW2yP z!2Eq2^bQyM^>z9K?no=%C6?%Fp)#$jL-B&!ax;<tu%XeMgh+CT6-7caQ;AWX#2$-r z^U$v!oJ&fj-gu+})X=jP`|45x(-!PkA~PgHIu8?BE-y)Byx-ybbT`Vumy}Fz@1jq7 zcePGADjlU)7;KMKN1j(_h9n;qKb@4QfS*D``ZeD3G8uo;8`0~Uy6sBlmO@22@feu^ zWsBoW;HP$)&|f%;>P1DeiHPFm2$~j6k1CfuK54fxKe>ZyE%a(FjIs?&9aQqu#o>mF zYlR@?SRbh-^&S<76kJW!c?qpfuG!f;7loyBJ<q4^2w1deg(I;TwObdCP$Q$+4r-h> zJWA5aE*saX61I<Q<Kw-q%-TE?QL&ig37y&MCu)xMwaauYv&u4uD;y%I2$r*{%8rb^ zZwGZ`o*G_j7JQ2zRb9+D-`=7Le%zjA`Bdrdq`3klOzKlcmfxd0iVwuaK?)Ui6!*b~ zcchZ92i&Io=aqv1xzAoaEKns0x1i|<?MnX3C`?|<_H(T$*7%>8m4lW2xYm=dAX>+~ z5mMT%Vg(T|Z0o+;0nBC!=cj>G4eR#m@e*rm$M}Q>rxf5Ce-^ZS#8~lYYin<R<^|$g z%p$U~k}A@HVx0`LT6Qw3*?X$^IYN<Z3N~T2B#g*Ef}E_~SMn?jn^ZXYRwgFf7F3VG zb49qcP(pOQU_b3{V?L^M(ox2v(gxFTy%5xPt@+&ecf+@`wxEn_LZ=z`Iq?(Soz|d? zb3&&c_c=J=qGi}$+qv8c$#S`Afv47S$LwZoM(ZZ<1g7O!^N4Vv*}l%*<X~j_ShuX@ z?5n-Y$-|brecsX4jkPy37NHD?)p_Ag2Re%L>3W*G+plmDia^Tnf>F<mRt-E|td{oF z?l%2&;=!s|IA0QEej~2CdKxzo)p?F#cDui({+Ro&@<Du0jeZrU)%B|QY1N%1n<6B5 z<*M~&M@(LuDoH{;qAc;QgCQ}l`B9yti2Fdkse*W3PuZHNbXs=*PMNRhfvU8<yR_Z2 z5;#B50Oy{UDua@0=lDDj!q$#&9xS6V;kNl&maQK63{fLvvo4>k?=KEWDZvL3Pwr0_ zPw&hgPYwo4!fs}2mk)v?)JI!F+z5yHxK7X<$+i%RHU-VLk)JRbRXDxzRfN3p$#+04 zosS#0_B$sK$`>mE(u=!}H_b<;kz+N#8ly)PHP4!zl_uqRD5WJ*1M&HBzu@zybmFaP z#89X5g;Qtog`-Z$4c7e_?mp^Xp5`6pUz$z-DbQv`LVT)(jXuE{yvYX@<)4mjjQYOk zdxxk@1@WqmZpB-65F$(uR_?(k-b+Z%buw6XI+xH(iVF;mHfnBBlz|dkVHrO%8aua` zhMc}V!xfHDxdQ~1O){};k~SnM&SV|z(a_+hW|6!Ou)k))&lHB8Wwx0{%yRzQgB(~3 zYtIcsEZ%F;_<*tMb5+@fl(+NlC@xb*+MhG_EgDYzBxS;l&V*cEx5M79taFQXRl#GB za%)eP$=@p%bt{K#Vih>l%h3d9*9&l4loP;~Y@meUlb^GU>Qf*&yB$n)SQ%?`FKBD7 zkIS@3a?b6giotNDS$n)|n<ymPF2mTCsNv%xQWX~)#?pkO-BcP6n4^4|i>gwLsxqgM zN=l+4A7ic*V}1zkLy0jj>We7u+f550C1ID3(NKyRcZLuZvdSZ=P!bkd?ZHEFrN?m1 zp<%uwTng^PE9wI@s<?^JTnEu_)4sh3g<peSXdlw^>_Wbu(#cIfAAs==4Yqj8h%SH# zwS%CTnmS3;m(8r67V1VMWV$ceqgcdk>sfV-WPqHcJOz{2nMkb^xdFvo(?<?d6RPRP zTF^%>Y9Fd;_YOFLVF}f&I1$*DI!w(8>|K^$yj^at($E`%J@i=3LBgYcn;ePz>Y)8I zcf!DhQ=-J88iYB-yr28aQnO%(P6aTIzSJVkabh+L&qYq(h^UI2^)X*)sR>p`plI-W z6ZOcE4#WxU3}_ZH;d4>~k_C%v&F)$_A>N5)?~)ZFxH-Y+vr*oU8B;`1EoyIPzV0D7 zFlV5AQ<z0lkknKpw_I5w^hwDzb!p|$f!=p9PsO&DXGeFSs@x!4ph&ndbN2lt*Ipa3 z?!+-fMqhJo*UmYGlP6u&`f38o{ap<15APU`HzepLUnLhQtndob0@P+Wh*<Y6v$bd8 zQl$h+`6ulh)J>H~3YGY}#4&_}r|x{^rP4k+T&(KQo%TXJjv*0tR}g(R9gP`(+NN6b z{e-Wh;?*LJKtGQxUIiuGhOHs@HKdAMO(m3GoKW6^9~oU=e=y=lBteOPh1t%=<<7>d zH?aZK=_7<)Y}%N7As3z%Owa~vr^hpJcO6qghgX3~q3Lo9Ti>oI5`&OiCb&^uVM~xr zqNnI#2L=%~Pp-H3+xcPzACGq)t-O7_0yum<I`SC1IQLRNQZI+H6mBDqw%y2h?|9~w zZIpN))P&Qy8JVKnMQ5ut8lDBc;9p0I;4kGNZk8=+>d&?wuc{4GV^!|?LXDiv%58_< z{0i-q%l`BP0j)|7IkF3aCi{SFf7z}C*_4ypj_lnmO%AUp@a5<k5TXWgzGFO_U6<`X zHv!nCI+IN$v3zAV`wj$uKo_PjwEqST#z3|x8N$Vwj-eS8YU4Y#=Ia_#o)M0aG;YCM zD$PC&W$a8K6Wxya0S#ppH2?*rKpcYuv0+Va0sJXo;vF78`9!ddGtazG<qVE-O33jh zvSCy(Y@4tVbK)&JB9(ClA5OcJpaOAhrmEKFS8Wlk2*E%i)|vNQRr-`L5qdNwh~`{h zok~juW8tSyVc9;v`p~hC3@3;#Q~A<AnI%}T53SB;Ec?2K0DQjJnRs=7*3@Z|dk%ch zFN-pdFy>sDJzfkq(js6*^s7uKX>b$gCnRhr2Z2`QLWPqGxq>y*F3pTWQ4R>sx3pBk z1>c-raM})hnPZXcY7VC+XMp!$t7!fJytnXBN7z1#$cJRm|9$!7kOxl;_I@|byIOG~ zGhWqTq^nH&^ZxT}m`>EJ>ntQ4UTva4g1n|vv(lg7g;ooCy_Xe@NS?<br_8Wx-X_63 zBko$<?&&wp=Esd)d#J`2D~Lk`+ieTzM1hTh<$_mOTt0%r)|M`H;(gx=rxA%CknF2^ zU3FAGM_sJE86`;iptWwXQc~O0*~<QMqZ8S=&!O<~I`KqyJWWk|2(byRiYEa1v7mNt zqg9rqve&i3VJA{Doxzsv1U$Q>yK>-uraOki+*SmN-FH{GY^GL4sAWgd!U9}#j^r7+ z_kaalyM_GQHH=ZfwS8P7=#00MX?J){?d-zVi+-B)Hxw^AE-5}l8fydpblx%8Hh0at zth@>&ca8hSP=iz{*k+1#K~afmVog%~5w@eWYwd%!DMIg=nf_n82_LW%6+=!oSEiiX zR&E?;e@f1rtji+y%0%S^CAA|+Vek?hkMO-BzxV(Z+o3=#@S`viIpm${tB_fr6ISDD z2S;1YA%chDwd3<U2?6XDepKwB%U#tCvhC09{I7}L*S`Of6WH_iJ<ZH(`!>?g?W6bk zuU{1zg<Tcs35HA}XC(yVp#k?e98o&jm=1BtLJ(PBJFMsl?v14Bpa-vf->kziL@J2$ zS3@e8%qdA9cO3aV(z&<!xu<jQaT_%`1$J#Cw)QFSs^)5=(Jx9#$p+kmd+gy2DF~@e zxN8RE?-b6)xgc~mu>vO-J;|0d`GToxOO_UUoi%w(Z^HyB*k(WDBNWH7!<KvWv}*I$ zt#Dkj@5Su#!7^QT<RTXWc0(A2m)JFw<mMLmM|C;3b2BSj8fl3X68OSCSfh%xeyXJi zE}tz-zMq_-ciVx+#iY_A-J88a|J>#1>D@b8Wg?8nN^q8H?<5wYot-5QftM4!3fd^} z=J167{E&p=_T4DMDihg_A9kDRC4TS0VyA6u4-fY5<Z9K2co*&{^%F@j9g1l8=s4Q8 zCn~*)M8{FmX;p1mHnqPEBMoMDlJQEwOGb(9g`aCPeD7H#*V=~_SIWOEOez5unkAae zKbuoss2In=K1|;tYb1g)w>vPdSY$#$5U}~6AGX{uej6@?uX@O3l0M*#>U2~}dFQ2} zka(8~9`Ad+rtj5|t@)`gU}s{Shl=g<856|@pRojRwD!%P9u>haI#q>V?UhEx<=q!g zLS1-H-}-4pR%9A=Bm{&6T`QTfzaUOF9<{+gd50ajkpb;*8!|~g(!=Er-KlCknp-hZ zrW{kjDXp@ql5sM!^=sc0Q&YST@u)-*3*zHD*?M3`pIGpFxy+$APzxl}Bb=)d1FK}} z#dY$y?h$l6A3NhHWmn4{Tkmdfk>Z{_2eBL2RWh@lkU<8K>85!E7|!<aSMIt~B~klr z(Iz+93dh2V?3-&$`yFXxa6j0y7<j>Uw)W_Wo(P=cX600^!P*kYVz~Q*vaz8&N8Kx} z9_zxF)2I;Qf=I&BvCX<MZ}$dm#arWl4GoSMYn$Ck?dsCAWXM$zMQ9IysvshF1JCVU z=_@jp3a4f#V+Yy1KnOanm*2q@JIxM&E5idNzFD&&>5h_J3Juf;Gsr(+N1%IUd`bs? zypNjxRYBaAssMG4X%i`qpd00>mm%^IJ0d4}z>W+467hWX+*Sa1y}tr1r9p3qw=Lnl ztu<lZFQ&)cSJjd7Lfult4LLqf(FBw$U20cH$(}0`)uW3H_pK2|M2sbVh>+u@*jKK! zQ3cj5E_ZCWvih+o8k6G8wgE1S!odyWuqbiiHhtpEXA7>2Wf=|Qb!c&P>lxN)trx?p zmu;S;=cDXx{_Qbtg$?1ZIwW2jdhgm%B%;0&G8Tx+Az8bVKH#xSjX&tddsugNQoZF8 zgv(gBne%2gKAL>v=z#jmnoI^#)LG`0H-RG!UAZXRY=C)3KiaQ-#>`9Pz~UJ>!zcN! zSe{DCzDMbFJhGRfjc-Y`U-g5Q!u-QK`pNr;CFLVq&^N15B}J<(QEX*#W`)xQw6vrq z>>NYL-<9I#I(}P04WuO<X)b4`7;PHpvdbLmCsFb*3Hu=1(p>v7i7h|O<b;39sQQP> zX3_fji>blqd&|ppEf_Ao2jdw*25U&rCneqO@RT9(kFV(VnuGVF3_>s?TE&V;kr`{B zkOeYW>5Y#E*WQ*Dvp6gDA1+5Z=^T7DI}ztDYNH&_zP`4HFPsg*;AN1}p-JSGrLk3& zOf49!dK4qH9@jinvSv9`X1-HvP4PI6+C0M?zSnk332Mky!3r(dqk-`zi>f#If})Wh zll>zpG;cI+nY%WHq?@QehhBZCOW71<q5fjbh@tbI)U{T)VyG7rkaV3WN~RiFw?nK& zZH`lb;a`1?n9JE7PrM{CIb&T8<9&$XUdU#I456POsSR;H5ubFhu00h!HwkOMBB>V( z8>6s7CzGD^)Si7F<*vVSj)c6P(X}>2166!7xkRg|wR_bWTO(gM7<hkUbd^G|6^7pH zI#^qlL-Y~(1u{tcYi9Z?b6&}1)~x=Up+~Zpd+2Fo_1O#g<@ml_N$O`2TE0DTXqxCl z5d*y}A80hEHYe(nX}^ZN+oLFm&*<L0pLV%EiP~W@*NI>-`Q<Qqq9VWHeQhnUQ%?t* z2S26WCDqD{BdkOB)V({nEQKn8QP>om;&Q|s+OFD5C!%AOB1@(8^_;|Ly>6K5PA{Vd z+fzt!BwrTvm}-P8@4ZZ<32T2Yw=*@cgPH6wQOOO2vVR@7R7%9jf!_L5#6}*<v@S^k zB`nRkFjuWLMgzapppDgHT<d2pe41#gFa3(%9@c!rXvZS{S%q$<;<wiBbB1cmEMInT z9E}C8=|G)H*w*4M^EB-Z-NL18aiysXr4p4T9HkP=SiZOX7wJx}A^}s$4@IfIY`=S~ zh+{}-{18_vlKvu`_9%|QhIo<-pGUuzYX<#4`zu$w>y7tt{^?Is=U8V#JO94QWFrIc zA}?KW_~Vi$-k0zU0f!P~jY8qz$yMs90#g~D6atWve5Xtt!5X^LNTEk-84Hc3!wDTv zyA4!nGkXkbJ(iyIz=)nh#~IW>>G>JdTm8VjxJX7-#u+_EGl3!qxa4HhQTPya&#JH3 zIgHLh{8UQBC}=EaZd8DXO(MK~X(39k_#-EU(fXA<0Qph;AIK{V<O%J(C!K4)aJUv4 z<8B$TQDm^v$z}`dSL-a^*Sy-C9O{cK-$HE1#Mk+#exxl2fR2?_pyol}@IkfT&2%oi z<@*^FTNEp#Mr-#3V|7ZJe*?$*YO1S;?>XjROP{tC^vY!vW2I>>^=vkMqIJ%oV#QL8 z$s}L<_xv>iov*dz_&P%$(;fjEh$p`w08D@B0hm7baOuSYV$zG1$A*DvdDn|I$J)B_ zI=((&BMj$%_Sfh?4iUyX_|rdoYGyjS%wV=FJHi?8BGJx+3DVZy5}nxze!NV;;v42$ z8SjFz^n4t5=BvO}8q<#jMfDd&KSpp8q8c1UzJwiT<n>~e`&_XVs0mPWg^fc2e$))~ zVg-cb<jZojfA$>kYs-hrfZx>7qtHGH&|}0zBR$+YW`xav&(+n-=PB_QsKPSO7MdHR ze*k2gdVm_~7d^%q$&gA>p-qcx35w0PCk?=!_2j4^^?W5O1N{kc!E-WoR>FJ7Ha^LZ zyu%iUyXq@HH9E$ja;H~Pu&REs&97@Tb%Vc@Ci&6VzfRZud?8j-A*?}n^}}-szjhb} zTtDOwV52(nrKRy1ordrEG5H!{b*kkNWuMvvM)jnh<{;7noh^K;DfsG>ecyu>UR&U< z6hRH7K1}IB&s-wW1Aqr%QkkC%hRLVFg}n!}JS(I;D-1m=M9~2XqR$GXVK}O^^GsE$ z`WdQUOZ#Q%BrH|wXC#~?=w@7CFoBT4V6vRD&0Z7hdm}Fs3jzGMa(M%DWSUxqG+Fy_ zE%rK9GD544`Y`d+t;?dJV&krtnw#btyopS|*y8Id3PWS2=AVrahRU~%e$h;wm(;x& zrASUSv#j3~d^aVBy3CX14!Z^P0@{``pa2n>)KJ$!8X!`qM7g#r;T0%=D0;4i{j6sh z70PvRaQd)ze*pI;*m1QDb9>`kyc#Wg1=C*cTUS(i+$eDNN)|bBmJyqiLq_LMPX-w_ z*DA$B<QNMl5t2kt|KPhH@r(pIHRmG7tDr%yIN*K^$QKKZak?Gu<mXjRopy#{mDW!w zfOgdN)M~r;X&aTY{OdX7mvAnm0ESRj?Z~)8sNKsF*PRqIrvU=OkD-y{Hr?8t`j~>w zHk|25@db!TTq+O|7V2lf(E-4v%mBbga{<7O<pIE1isZROo+I*qD?CR8vj&KW__xyj z(Od~fa=ICb`c5DsfCWo9WBLCQk%LKA{hBfik11!*Y^y`!`T?CQ;jInJq)%(v_lA2Z z@wA^8Hyt{te#b)!-cY#-MWioT*G+^L!J*!fuSsH8k@yys<qVes-MuOrTbI$<m>&?q z0i<*HESeDqLR1_zR`ikPnRH~SuJ!2SVcms<MvN*91PIR`^G~#2U!2JeSj4Bz0xTc> z%<{cnpH4<mqh(p}xSBE9e>o-So|Z|Q!ueY_twwbUh2brg6eCi3VhS6e>#&x~Z_zJ$ z2L(GLL)|JoBBM}f7n`E-3T+0Nw5<>5wS4DCXN#&WuQmz8P_psng|TGWd@q<*`4tc- zDR>d5d|?CoQvr*?Tf-{Ml59Qmc-ThQ(mf&}-N{2Wm`?!g{)ITIlYGHH^5<*bWXsKL z!hB+>%=boqULAr3)Z&PQ5*8@b|MWQh?+U};)%&%RyKxVQ;SHs|kd=xtbgPuBVT`JJ z01LqS5}fMW&{PkDbML<k{kB>n>@*+5HwO?CmxVb$k%4`-l?7gT0^VC-IXGelmIDwS zPp}=7rV2+Hqr|*gIKzivffOa)U=o9&cb)_M@yA$704tF_vr^|XE1^EK5*@%mWV(Q8 z6%fsEhEyhLT%mAch;;)i^dnricIg*X-KgNc`NIUMKEajMt7UUtUP6hK47&^5I2qhn z;BTX|ImUgk8pOG&k-q5cIrIsLtBb4Lr<TUs1r{iSbvPozbF6A@`1&~p8%^I~(&2VY z%c`l@&bIbrY@(Ou$-Nj<mR0Gm*=?VfR!v`NQt1Xv^D0d|pbEZZ0##u9O#DE(OM7WD zL7Lz7Vm#A4eEj=c+inB^T$Rs2H~<^w=NqAacxeM3C==UfUTXZ!OSI1`#UF*gd5J&; z;H4<qfAG??7jV58|D_5RCs_-4hay)A=YMR+5-g)T4gLe}avLaCS@W2GExy38rRTQ4 z7hi=)+PhJ4Ep70|`u6sETfdGvmzn?wA|yFEWS09|a`+d~mvK#8Zvat$3LugNM0JX< zq_&j<1H7On-ZP<9^a?QHnAgB2laaWv#gU`^lA}z&TDH=CB$UVkzC^(etiu7oI=s;U zf;%;a>nliUBhVVeYkguvIdOrUD1NXdk!n>8qx49psx7>dukZHo&3R)%Xj)%S6`p6- z2$`PtgCl!A)%DO<9(!=yhUEjxmpf77Io63w3nuKr;aJB~m3Qh0`$R#XCr={<<)F8E z-?Fe2db9cg>5a>e6HgQ|H1ze62@Z%(@+(6*FDU_*!UnY}>?uCx4xl0;rGPG?6wh5e zp1bfqcgY$W`rQ8}vYYsT3JT~FFTf&Zk$8?xH{Vo+y-85=6`caVWN2tjb1^pDQ`|wH zM73Y|1Nw<%Im4r41E^`<yRn@`<NU6Xw84vf-dLlvdAa6l^o-|hVC(3i^Xll+@?+gj z@9+ni1kgSop%p!xNvPn4z^t&~#{TyY6=5)eDfyCt%gqt>!%kDcJLTM`J=O9*tqktZ zTfp={&A$mB)LmqCwwq&fw@W+PmBBM^QRztf5>94O54qt7sBBd(-{CUPwJ#GrIyOCR zowtRE9q0>jf(1~cWc-^=9PSEC=+0TIb+0Qx-uGyRRZKRr6Je6~rVKT?lrj3RQ_-6e zL+Q%%fbWIG=$H7RHzkD9F=!VwN!gpAa?G5*6<(1L##9Mo<Eihfdh?pvj@-$}){#xx z=#D6Es45eh-kv;x<NbLu$-$rtnc)+|+ee3uL*ywi3GSDN(w7jlRVbI{=nC&AD$cTb zsW03RKP7kXI?xESrgLjg&|L!Cf3pbbUOR#$E9KZn>g8_23cuL!5p?)v?t{zX{aU~U zX(OOvoP`<WcaI{u;17i=o(~0uEA7TIuP<}oYcZtH?1~3TECpn|I;q|iKUGc4h2qN- zkNLU2vP0&QL@VppYe!E1i&`!8RnjauWk*Z6D=K#)6ZkVLoR>rjNypZ7zxXMGb>^$6 zE$P%VYTHn<BrIym{+2`6Snfm~(}99Z>R3(fl5{e5t$T4hQ%YX;^1j!JaX<6bc;N^w zed7EIx-nd*?NFC43n@EtJdNc~a~nx`$1^tBGS<Y8O&XFkGsJa;Jt8^#m#_3GJ2rTf zW6x?EW8=8mHd8WrCLtt6u1l9L)ux%o+sxQlsts~S2ZpnhSbbw-DZeC!Kd3dq)rK0$ z$)D%W#m4Z_vimBJBS=VOqH8gq8*fpJ(WLT=_fKX^ia?hwp{onqQ_;K0JD}{0@m(i1 zG=P8#H)E^Z=$W|P%KhkJy@jxpMz;1q(cX*N7M`}yeJ|fdb$k6(_4pIk-X46rzI{9x zN3_!Vc<?wpsB(Xj1zsGaTwPjO23~!{{T`DO)YRSv-m<BAYU4fbiL_!1b+Na-zbzt7 zg!+}`egDXJ1MCC?4}wc(z1>X}+gg5InSh$-%Nq(Dmi}KhnhmKtT0sOfwk@qL|Gjk$ z*dNn5JWeHA#PGBlc2h!lbUe5dM(OQ&3m#;z$U?gCytv=$CX$tZG5r0_>3tF(_~>de zOUBGOb@^e##_MdeOUO7guAtR(vZ;5G-Cwp9)Y|j`)Y97ScHX(_eRl(rl>?8(ba<Cj zaQ%<nVusg$?G~Hj1$K+E_MK?iokWbWPCKbgLKb9cO26XC{<AA>`J3Srj!D1kA*;(B zENk5RffMKiSI+E%u|mDWU*#>M+~9uak*q$8Qu?EU7|uz-Lt(Zr=*T#a%VXbEW0!I! zyEfZZo_tVo`f2kwr<Y=3R89u=PMbww%a^82w<1T|hl~<Bhlke&#k(JWUiD8tdbgCk z5v9g$Cw`64ESQ0Aq3iu+p(&6H!=Lg>inNq{b^AauIuxtzZ40uG5Zu8kJVIKl*P9)p zC)3gMyL*T@56-0wZz|rwHPtBXC9R`XtSnR3qqWK>hbF!UU16sh-nEJj8pM#gk3`2w zn`=>>_c!eK3l{aOh?I8Vla*<3WLm*zwv!lC#3H;0)L~hf8yW^WOrJ*W3^^f9cIJf4 z;jpLUm8C_A98+$xX}`p=9nTt;8^NY*O0+vy&;dg>W>%l%{KnfSYFlT}FLlzD9k3Db z$mEERsNJKL7vZ3s%hU6w=4NpXy}njVTij{CmP4!Ei(Z+lp8It*gRooHxW|O?uxYzk zeiOX#zI*8nSuBTZ0Lc|)^bMK3^9LA1+&6p2l2rDGfw0p=pae|#MHPa_WH#mPoyRJV zn(*QEHSyZ7h8}d4)<~klcX)bIx05(LDNVAD;5h7@h_Y40hB%23`@6NYi-Qd!o#kbj zzSVF_IN8TLa9F#Vr3_5@Uz=TyY%ao%SG`&vwzh39x*slrZj$at*^{yuq%XFw7p*PL zrIVk|9$a74+&AbAbyiIrUVpitF80=@c-MVlY`yZJf|n^QV&B5KSem|wAVnP&Hovrs z1bYwcg{c)sxw^Wk@J>yf%Lh*e)lBdIdb)c`&g$=06c{YOx&c1lJi5j0K|hR!i=;TX zUlL516OY8@rmD{Sb63~&)+Z+@ZwoS#@Ja=RM}(Tl>9Vrc<NJ8$#Q956B*{S-e4f+_ z61Q-9-ot+?Cnh3&aI4i3zQoS+nER(P9X*lFrK&f^xPJV(?q3Fe%YIwczfcm2`ZN$k z!1Hg}?~$U8{`=@yJcom0BZ6W<7Z+ip&Yc@28|q)8@TI+tjs<VLjjR>}sEW_2i=QsP zHOop2DUn`&`F^~LFl#B!tlj2v!JHMoFAFN|GMJLqYKXXih@@j1Q@MAuxWuX=gv8sO z735~SqvRq2PLn<YrzKNJafk;U4{f*h;fPPx7HnfM8%HQ;vJaG3%V(H1-kPfqahq{u zMcS4P<~z$s%&|j^yFuE5)O~n+yjORpHS)=g#iTMr>eE&K4`puw71t7Niv|g<!3h=! z?(UZ0?(T%(?(PyCg1fuBh5!MAySuvw5Ab&9__^o)_x>1AUAwBgs@7by)`l9p*Tl>% z>o2spDMWtV(&YNkU7C6Qe919+^UQ|W-2T+J{IqH9oQa&sK5Zt6^o6kNkfqBUbKR0} zeR}8nZLKl0z0Rz1z5PmjPQUA9d%W_Ht070FVOr%Sr}aUk{beS?%IID-`mvFAI*p%y z9eq2Um1?P*;pz|7T?E?r%{1C3H@Z<A4Y@u}O`8bq4CcC{Nc)C0i8egr#K+C|T{bgO z8V)R_2ad@#9(rZ&Q=^2Kg%&R8XEAnULoY~-B}ZQLa7yMLp)!+6B|{iWjwI#dppgyv zR+H`yPJ~x~k%PxRT-AHvz%lfU!DN`}xLmVk>b(aC9#Hi*Jl*^de$}J?RqgpzSYJQ( zA$+Y*^%MSUKwxkN%LvTy@#ZA~j$t?a?kkP+N3oCWUzGK%E(?)Mmuu6L+U+7l4g-6- zXnn34Hb|`@4xAG0oA#JhIW37UuU#`Hm7NH`TZ|ezG%sC-B;ZL+RCo}qSFg}Ij`#-j zxiyY;WT1UQ->D}F9Q-L`BXeZep*g^5n|4(KJR635xXcc{Ew~eoVTft-y^W)*)#AHZ z8&~*EvrT`x>h=>~7j9{YfSRTqtb7{l%r#7G!`7p`?TFgzx8LVuoyB@MUSG#8&-VP( z2O9~J-af;qF|sRYD-B4iPML|W6`iUNN~>P@Rci!lxU-<PW}v3=tJVtCQhwFigVJCR zYh!9jXN`L}(PoSRq$@@x>{Z|!(;$qmVx7}~Rx1wCk%YEYni2H{zAUey2Olm=LOK2c z&#yKtabt~mGFvX?lKCQ!X2wsgK8l<IS++d-1obl83274XvfE~bybeoPXHk@9t->F! zp>&5idw6;33D!Lawa*ClHD~N}zl!%<P@KwcZN=T}+A<C-dJM24WwCGRg*qNXm_%LC z)!HIu@y@iiU9;i~3?|bbo-WVCPG8$5o!%r!o;*<8JfY60MGywmlRA41)b^S^5|z<5 z-za9Vs*HzLHEhM!W1DXxeRecsieWopARI4--3oU!1Lt%XDkO_$)(12aHSMlxMv@s^ zBhzR(oiTT%lDGhK(>TNI$)wUi#7oWRG*>Gm^QqlIO-~UR0jdC)4!{tGpi6>(j#yjg zDR7x|111eX<r??kbMD$4VD)(W6|Yji@<)Zv<TovR=hf~*x6@~RFh2=({<&<Xg;!RW z#YY5uTIEbKX_#k0EPnT7>GwOOSzq7~z8Ie@I-D#fH+f$jOiRXop|~-=c}6G5T6xQB zD!S^W=iDCwyWI*l{E2(=V})ktvd_-9bk6qD#mXJ4uk$H*lIIo2H3Y}zR@LjaUp^}1 z_EbVGp_xAlV{)25(k5V=ON_JLIOyt(nP#52m(`YXMmg$GHfBwFa$XuewwwFbG;|<c zdLldYKj?1Pz7JrXfx_0e?B`y)D65X_LYYbMz*GTXUj78YoKuT!ZWt`FXJ!~|)}K+Z zl<k)Wi*LDQ(eI^_#ynF@y>*0|-trCruL1<#0UU?9dSQi6?e#xf0Z4&20gzGyPC9@C zIjJI=dA-3N7^rn*BMp{49q`DCJ?1WrCE*y~j;BCs1F{L>HlH5Hwzz2%va$V8Mymp$ zJ)`XEEA)Z;uSkL8DmJOI`cLB*^RX>ok**?s#Es~$hDYKE><0~$ZhD8K4gt@ig}~A} zXX&S7NxFZVaXrsj{5G)La|}S*WMS6nYU{o+k_OHCC`yiF<#M{)qfAP!!wuuo#=i7( zJ7&exktwAuln~oHBJM_&1fcK@u|XU)X=~f$4zp`|HhwDa#Jq5jC|6~d9M!}Haw=K~ z{oyeg$wkEv?r+ZfHsj9s@v=22Git}A0D*53K?G)a0}!}d2|#ujL|{LFz=j<Gj!+yi zY}b+m<2XbBHc&7CHpV_awXBB-C^ijVKs}my2IvA{275OWV6*^4iPAa%v9LPO<~9Mg zae@hGbB~4ElhKTcj3j7fV(k7o(BD5iaSe9Z*M_WCcnmQUWk<-6hLZo|AUK!nW2;Va z#NCnl4f@?9p$mZT0)Vf*qhDXHOl+46`R!At^xM5%9$}d={#nJXWLYNmtvUDH6-aGU z(Rr?99S24AyAN)j8zXg`i%*N)ZJ+nAK5^T-OkHOZ>U`~I=}B)`be&RO3fMmHeNeas zM@m$^@m|`IUs=tKR$S4|36ZZr*SSPWR8*Vg)4o<SPQ)NMteru>D;%6Ycw$Z+Y!f$c zGyZ_L)0)<>7yKa(xe@>s-wpt^@%vzH??DU+0IIkS0O~r3t|b6n--mr5K>(GtTSWy+ z#J*{;{vgy0HEc%$-hil!TFznI<-=yzu;l_GaWx@;F8+hyh+3Z)h{Q+GoQ9xFf^ncH z+!45R7Xo_z>x~?Xz*B_{QLcnl(u>d*zxcW%zCVK;Y(Es#4&rMR6WTxcnmaUT2Eo0= zoM}V`Kss2q_05z1X<k>1I9ElC!-&oq&LEvh^7Y^h<z<fh;6UL}Dw^{$tirRee#VWJ z%#vfU*du!oPKD-u9OprevM_Q%$Se{b!Ra!25U2PkN&CY$8<tJlwGcPkxXK5341<B$ zN6S#`Z3!Hvn-C4J2MKNtAR0)b0ntD^Ck}%(vS@oK@#=<-yvFS%5D(sI+0_c%y+F{9 zn5G5Ypjxvy%-i~2zA+E;(y8JxF9VL$Ec;2#8E1Og;1Bm~h%GH@d2({!f5$o8L$SLK zi9~v1Tn1dulW=hXw=2+P)E>~&3%ca}*BiTzzk+Ue5TLsd6hPWGEQ;ZInI;TujzwBm za=A3-brC(lQx85HY5B(xP9TIO7om>`fQZ1eDY-Wj1?|xWLilpNcG{|5!bPT7i+X}D z8?8X$A;7ACU+FHu>X7Br%<E3r<(U(-WcE-GUUG*EMI+11E0<F~v;p-?g8gOVce(Ih zu;dP5xo!O635qM3LVXn0mz1*{*^(g)1LO__huU_VX=AQs&dJB-T-l$Qb!S$kWwzRB z2K2v3hJ<-(#@Rg+0%-jd1kk!E1R%Ob?pla_;NMm^R4#`xm!wk<t7bc4QYR-zGu@=S zL`_cvZZqWMAd=aEbD|ZD0$bR46xht4cL6l)jRArdgF<3*EkMw3oB%=F)KhAiXZm5+ zj(`C0k53zdE(yl_LAO0%Fn1x)xOJXi=M7h&L70tzu;L(*0N6<G0I+eQQRqQb>_Jp~ z*t<t7!CbsWX&^FHi%yl1Y0-m8n)-|9bVC>^Lmy7=O3{?E?#=Uj>|2r61LHwfpChqu z)~{N9xNuN&(T1msFVe8A6rqw1BNi8@6Ud%3V25nhik=hr&O3|`n6#|vMhD%oy5<eq z8>9=rq<^{}nmwXt+o+f<*6k}&E%DZEXUR-Tda6~TV&7M?`j}(K`m@ia_D+{Ee)}Yz zL+NCqc&VpIiK;uOF=wo`+C{GExpD;MM`r${_x06S$5#gvH_nMLs>v~<Rpl66*Y=hb zLMyN4w$`Tk)su(=-AJUFj#xtq;8FP7lOI^8_led*=1lp~8kGlz9IVgY&$^7vure4# zA1U&|zX?&3ks9c<Yt&zRpC%{0;f4;mGmEXFV(gBL*&%JA*OC&d1aHk%Z(8aF{V3U2 zJ1swF-pO(gx_>m$2r`Q-Al0a)9KSGIe7<SAZh58^9^B1c`L9Qkzd+V`JRJ?EKAS22 z7{Y8g$k(n3xY{7KNTgfepw!~=PtAvK(#-P_1(W%-(Q!Ol8Fi6DEqUtobow~@+%5F{ z?CI(1c)pTyojN+p?wsCKNsd3vPe`|V$`5+t=t#9xM^#j-w~5MDE1jc491l=$)+CQy zd>gqK+8fg!!@VS<dc>&m!!W8E{FSp*7Yl5ynr{gAH#o%GXlp)gkNfSW1l=~T2@gqi zuC@&|l=YrIKbXq*m_jb%HAG#dpI@Tt6w!p7r5FhYBwD|C*A(k7b}o!hK%niizCJFD zjhDghy0SE`hJr^63(vYQcvL0uzN#A>u@ecN1(KU)4CP-9w<!DiV8oxgz?rmam}1sr z^I?N`r8C$Y-_m>?kFAo6A^7&ceDIHv{E%Y^Fo|L*Xo$K=snKxg)KhF+R<>O2CA+{u zOQ4?cpVRjZ(hGix>xYkN0^_8X_2yp<XKyCD!G&H0zWmVi!HBq<R1ytoG?mBNN?gq+ zH&=hX@+73g>$&TW8<aq#%-V!t@%2NHa+&q5?jsn=N#!~bI>GBmzV+($Dm0+N4pam{ z6$YR(_6n#_&Z+4$biUb;55UIK?)=uYx6soEdR|DAMQE)%r+R&L85Q`qyZO=?lm$cE zf&=fmG5B#k3Y4pQHJI4<CivRniMMnT^%{7<dAI#eQp*fFmiqLr&pYUw2LamgPv8q5 z%lo9vrMz@0C<PO~#g2L@LfDt^4<DBg9BSzjD>&?^{B5_C6gI!#^XOj7<{bPhw=q~# zl5SnT&uqRAd%jO&zK?Uh&*+qI`NN1tSRS~b4DH{N8E*#g^m3NF011r2fds~(pp3?E zfsDpUK!YF9aI=froWwtS?`tSD6ZM(|$U&@jSSd*T(;TN7YF);%9#D=O1S!YS|5A<% zGx<GNam~M!<8VO|ef59S>;uyCR*mcWJ3Us`Yv2MhdtI-<%X?tK%Q2FE&pwRhCPYVG z`+Is`qQ>8a`~J|x0|WuHK`R2p0ION&4uBOw6!lDCLh{rLMGBbT5dfNf9{<p?1H1TB zmhVd24X|qu(Co_wX%V*lA!rB67!1-P1S$W`60H?uNWs+2;EHC|V*(O@qgQJruRTiu zk-q}qH%D~Kj_1#u%75e#{=EV0qG1kq>5nz-GuIUQ6dH*DiIpQ!G|E|of0HBpcY5JJ zHT$j-*F(xW;GnnCpNR255`x;*tWA>uQcz<x8$VQf6u(Yt&;bi`1F}A2BK(&1`A=|8 z34jE~&VXj$2qQ7pWd!rTRRIAL&!oH&TdcqIj6aZ*`=QxnE^-jhKX(BMVXD2gHtpP0 zXnxG~-kL035`N9KUFsXwQAIuWxc@4=P~zTK{ECZV<kpiAyu<hQ#~@K@kbtQ$#cSw5 z)7}-RkGD@S_VvgMSHVfN(0E$u<J2n>>F-J;%JV`yKNWBAqayj%D4E77F>){}|8&+7 z$f8l!AXP??k-~T`=R=`*A7AQ;G0_R<C7ix#rpw$zVK!Il*^QnXAYYQj&HKE*XU7m! z@IhQf0-pcnquWd2?C$1EZC7}ql;*F9o3(N}LKiA1=>uU_B8Dj!b>C|3VuJmt2l|DJ zSo2FhY!f4s7r^0LDJk_22onG^a(yT+ij5EBNlT(~m{XJ!Cc!EO1mwa1A-qxHeS;}M zX9Lpcvr>jC$XN9Bm*bf-awEZ#G|{Y(uyC+e0;=uNlu#VBLuCjX>uYXyOfY6L#Z>Az z-?~2)zk`OwP_AJL2}V;4L}H;T`Cx?dYvwX^rSb1XfY6&U3Lx~xEY^Z8J(jM9^Y-Vv zx8(@$db}VrWQaa2O1AWXu@@aW8bIO94-OL%AXSi)`)BRMmBhvi{#t6ZoIEMDzY751 zo<MGngbLKTjX}v+r-UFz1VRp=v<D>*XyN(ig^fcke~K6Es_a37HYWCu?$oV=F4h2l z$;k;T0b8NRjwtnp+y!jO$XmiBPUo08HAV`VLpZ1meP?}5$VLJCKvqGyMj;7gL!<&= zLq5YV8}<S8B=mowr$JSe6VnBf4b%s0Dy(u~oB<%quR2>)@1aCk8nN<shL1+T3@BuJ zTm3f2<t*iXplmWk39i^UkC*+*g@XezZOZ@NS1G=1YIFUYQg&`wD3y9~9|%BE3IITb zs9%%Y!IhZg5deTGMgek*#pK%IAk>P<f7)4>31WtCD_Lb(K@;8nIQew2A<izlcH$Pc z#Hfe6R>x2axWNCgzn`dx6LA0UPIwD)KhZA(#)<&<|AuLm0^ojskba;b$o)k71{VG& z-v)@*WqI^a`LNSIA=rt0mAnyw^munfcr_C7_{%NVxk1q-u^11$0E2#9r~q!cb_cly zB^z+d(GAEg`XYc^=0F07N2-8ZuETnonw#32S{H)(8<`&E8Crr;8BiiAH}48>9!5Ks zy<oV1UY=ZDf$jgCL)HnE<r_+f(835HBa9mirVEnd^Gl5Q9|crugcR>wVw4mgs0I{~ zJW3JS)5LVH<PMiM&Q+@Pw9eXlT#&TKIZb2B=gi~V=TvB57cSjwM2+dxezgJi@xZgf zxv3F;`C)T~RI8f%vNl`qONGS&0Xi~3*)0u_^7DQ5u2fh8XrKZb${@$;HE1ETi?PdI zXj`#P=x&MyH(b0j61UY!8IukWb=yL#W1(*z>oeo@p_P^*aLP|gezE#S;iwHYjg+7H zrE{%8WlDw(4!#O)FCc%14anaa@C2xYodfcBKm!%i)_Zm{MuK;xJubkEo4}0W!DAqa zI<s>J6ZbwL+Sj&bDQm6RQ9sVekJN4>^qk*hd?(y!RV28kBWh$}2kZ4On=2;akoK~J zyXNSLWaZ^yzAw{A3LcB=paPWjT!B${z#K|3cYvXRRx^EIuHRaJYXHsl@-rZZ#~Bk} z#K9?jrag)1+GO38Kt_)2j@Ff6mBw>K=ZW%3HYM%Frl88v6lxk7KWBYOKP->v`;I0q zLJis>h`sv%99v09(MJXT5-@e>{hnQEj2FH&S6zJC_v1X!M9bCEXfWLyTU+{oO=3hF z>7Rp_p|*f|zq&+Ya63X4_RGqcPj5kH)Xo?EeZ^B$e~oHV&j29W1t9v{G!T21Ao0Z; zkS3nY){J&q8kq~)R(BMw#OKe!+QC(Z*}Q(s`N+iQ;-As<c)o|GbNNkfkrzgo*@5ep zWOcm%3@H5lZ%6^q2~4i$0t$Z{Rlh0x#gYLEe=>l=U%#o}sh`K*o^D#2)=~X|Ck(RY zB_HJ9CMY+vOCi9;^;7|n`1hIt7O-u-pClaU5B>wJUvC*K7r8g*+#gf1BX&>U*kb8) z7UXmIK`$di&~Ok4n&I7_GrT1)w6>zFK+2>;`S$C4A0NzfgD~^j{or}uc{1~hCXi)q zf71Fr?5VI+zW9sHc%{8ioEMWMnRuC2mk4hp5buNa4ZfAmmFKtIE70=3nKQeCH8@N^ ze>0~PB+;pXgB2A5X`$PDOjWU{JYcnZoyavS$4uxaoh%EXS>7d3Rs4$NWvNc&;<Q#{ zY5ejCuRU|!-g1&~73HNVpx_q*!=_A9pjSWmr!wD7Gy<&~G7-^S+#O5Rw9^s{TfMVb z=K@XNu|~yWJut({Fk9UoFKLLL;?Cq(l~Qq3ixM*F&IRBrt}|MVn$)f74^0}PVH%df zdZp7&D4N5EL=H8Rf<<P6uR{@M_t^$ycKO<@P2?{*Vaj*xfImU-0>_kCQveOKcA?>A z$*Guj$j5g~gaiY=ml7miZ1gxyPscLXIV3prO9qXR=&e5%hdo><M8mNNZIN`Erq%F^ zd-qiH@6O~RvFZ6X@Y-w!1GyCF#!j^_|5Wx{|5(QrJMK50MOODB*Hd1gjBEgc;djBl z!F>BcK(LSaFTuW(CI{e$xVn%h{VDGS%$`!v2s2%}u@+ZMv~q@wx55iZ2da0*-MrIg z#DyB2bIl65pXWyXf0qPYnoJ?H(?APP|7$!uXCy@T-L>HAzv=g};~~0tum7Xzh7!>| za|4hGd`QPl6@n)CQ*qA<_xhyw9}<2ipKz};zW+nQ&kATt`2G(GzmpK$>qww!M<EI` z^_wqe650!}_<^eaWfayU#2CWv9lgm+P*Z5tyxq-vT6g}fzN)_z_UsygChmVWIRj1X zTYU`OzQBNwYz*Wtz^UT(KeytT&8NQM4;t<);Qylu%WU5L|G6nyClDCW(c#Yl|9^e@ zk7+UVKc@x|b5gj`hTgqRLMOk2N(%n$u{_wFed5%vHNacW>U`Sz@znD%&4{ifdD{MO zl70gccA0xeDig7hFK3rL&r;fvuP<kphVCyRh_ZBWJ~q2=u)510?bb9WU+qE*2(&W| z+1>P+Uq8)_n%grUjw1W`xCtk1TZt04qOL>CDBa_zdsfHk^)KrRHDJASE27~auZ<4r zTV@cth6gSU%yNw1=!dmJgjl@D=}8B2kdt88PZ4artD63;C>E^xoCy>syMlDO3^)B@ z%3IpFD|^nRnH{Mj=3jJ3Xg-=`Vz_ULVBZM|=;ySuhboKHsPYan%Sj0j^Mtxj!(T3T zl(2kSbz}1?&P(sJu5{D)dYG*zJ72{1YR%5S%Qx%g@rq%eKDb<L4NlW$9&@n?&?Jnb zmQHH4X&6Y?O0~H$b7vLu$`Dnx5+Zn&*&><ScxtPV&lh1<c`~&^qrJB)f*7?)cZ>n^ z8m3Rf6?ys#L*L`#+AT)f;6;VjOK8^uxGSC%Sx9uU7H@O&SA)j2f$(M>v7(F@T^fj9 z%MTaRB76Pyuj$#gwQ!o}WGxf&g%t7P$XdOJ4RI$I-<^byNnIf_nTqJxsrzicVIsBV zf!yKbn1LHCy3Xf3(XC7AwZ%$+SHcD+w*_?Xi26p1?Y3ENx`RVH^|&_UznnanFW+*m z_kGzq+?3`190R*Iy}t)Jita%jkQsaX0(i*Z!C}KbJ|eTtk6BAQlP#vv-T!eLX8cqd z7mw7lr|C<0uIT!jN`1?723@w{=SH2@^_VNFE0<(RiSc3+fu`77;U&n?fLn<S2^hk_ zZZ>GtPbb5Qi#)Q&L83euuzZxUuNr#S_U9T%1PloCE{xEF^+*#fY#qSTl-ERss7^nh zYz8|%PfI<q)!8DWE!Zu}ZE=bpq*p4u{{_RP>Ir7Qp_{fzSiqQ6`xD#e9UVY{4w*Ob zZDer403M#Xqmmq;aY(a3=!$30E((WdUau&33~zUo$IdzYzIsd)0cvt?R(H=rCLwX+ zB7Q89f^))hr{+^G{8||W4yx&h77|qsPbCg2aio8oFXhE6GOTV{Ss3KAN7Yu?RBG3_ zY>DNnliCq!TAB$B@-4RRc;ra&e0eNa3eCd>Xpk5mcjh`K3c=*^b`<za$al3f$H*X! zJY)>#SAE?HhDee1*RMgY!c;~k=v$f)^ffFWhAQzCj9f-1DR32Q^!%pBK+8v+MDRfH z_PFB}(uA@bn5d1Qk-ceau!*&m&8>qo>=fKf-k`;DGM=Ex@&q>oK@RmZ$%lSOw73qj zQvP=yUAhdnUkifwz^GjDJF8xdP{9)u$FIgW(WjXNrv@KwI3DNaG^~}oZw+H>+#pjT zNqk-=oGW0|KG@*}){7zZYrWhtZzCm_zld_oECgo*5_oD#$^fF0R4*gz+4PI9UvfH0 zyaN!WoB$!ZF%cUbM}?7hCR8l2oGac~FvuK9=Tp03ER@Gt%QL6DbvGG;VoPayCH`Hq zDK56Z(@N6c^`?iV{D;KP-iU;kr{B8S_o6d<6D|OW%M^?8dY7nug_{l3lzKY7oCU0% zxi%xp_)W)R(RiZ1t=Wl74uU%R;cAL=cRc&rFW`L|50Fakj**2mIjO?;0ev(1&1+}` z6<PR`8aJpEAoH+nOr;Xtx*)RE4<*1$JWV5oWnEnGKrQQPrM&|6z+ii@$WfaLvMxk; z<`~!@`>wCu4Kjk$2T+)>v(?S5TV{5+>n>^gn|ZvN(wGfGj^0gT8mqK*zD1|AXo>~v zPZuYM)9PjfM{}b1OibO$=>$o64Nu!WjJ4e)8WFe7VH^QdOIh<OXmVqT*O>adE7>+) zZ~R55!gH8_Ebm;}T3LxzRDdINzQ^Qexz7&*$of_z5WFEL4tqHnO)&*^ri(m9VU9i% z>#%IFE~JTXWiE1Us<_AL)?k_6^*d&~ck13o!ikG>X$oa%z|Lq=7wnYGHC~S=azE1u z)w$a%sbS}9Imx%$_#h0Qp(X&?rhs5KnC54z_ZbViWqrUF*tc<TlHfZ187KI)e%DLe z^R=%DstYH|J8R;3<N;~%0yS2$b2qT4tydBm+c>SS2^bq3&R@kQuwLT;p60eBW`k$B zwxNsuV8-0U?EGzPB9gvHG(37rKMfr(jsz;rj6$+gFnL~F2ESEoT(EpH5aj?Biju2H zACc=WD+(PSU16C(WUz>+9GUwqzJuBL+Ma|h`PCOpAMWzA05t>43;qZf!iv+#4abT` zZ$N}>^kmf&`uRlr(Vl~^>Lc7|+{m<?WVkUFky&i%YJq_?bGDMu+bOSGB89lJ?>Es& z_QRqo@xYfvgQF5p-FX6tf#;k)Rof1Z=?_PX`aORLpFU{#&ha>XHVr8w`dXdsN88Uy z99)uP9wHy(D_;mLn}v2Uw4e;tK=?-bl+nOxHyE4FJ%;a7L<BeBhu?p8$t)=La9d#x zm6LMR>oI<`H%V`rKxc|n7Dgyg;Z;=jCbr4YJgB46L;}9yLwO-eBa+oRN?32}Yd<QU zJ#CXi`Jpae2))3`xri4g&7BNx_$PIlkn_`)m_bq-wpXOvlDGpU?A?@r_)zW!^N^sV z)g$7W-acZ;C#7XId<-o%#e>%g(q}%xp-3&folYI-_(H>H#X0B%Kis>FH5FXO&R_{O zB-RD{$UPj$0-@L0JYq=#p)KRq#3OO=byU~q<GXwvg6~Y9@6NV2uk`0qlBx5_v|T#b zGS^_~-4H~D^&vS_d*D>7V@s0Mb%K1Dl`Z5DUuXLzSK7g>eJp7dnbw4#9`x|mdBLvO zPFu2~Ql#^7o8arPl67!NBU(u#b>50hg$|+Ls&jYWoWbLXHcyocj6`U2kyL$~4iPPD zg6#Ov)Q#}cbtGwi8{_T1jq{Trm4-wKxdv+Y&rX~s5hgjQdg*KrKmt(g{M>zT)cZx| zA%VzgvaTXD+<2TeC=UoPd#13YmMg`R?V&Cs<vIVjw1F9nGIQVse>kW%%@AnzBe3Km zt&uQNYT#zhw3N-IY#z`#Qq$a_VJD4Gl*&AbrkX5l)!F#rn9<?b9V34C#KuYh<z3nu zTnvAoN@}0cAwM8lFnY!wvCsUI*7BZhbhTyud!C1P>Vk=(2;GrY!gtpbk$t*kzV2m^ z;$I`6_?Po~d)>o0)y>+!_81PDy?jmtB;U6@jZP-(T$k6Msy#Gb@VgXW5|&f(FTKAg zcR=s2OJ9}xK%oSlOjZ{L4v^ss$m?exe;oWx9?%2K@Zu%bJ9galfDV*`+C2R?4(u}U zbdZu;W&hF#TxG=Uy8R_7_|MNUFY3RkJFJ24e8p-0M(%IZ1oU^{EIc<pba&9*1oI=E zuC6+)esBYXFdv+E{6*HU`31h)qQ6ounptF*jZ7&6eS7sdRs5$l&SrQizvly)Tk)Q> z)abAO<_ZTrrWTO`8KySL-eDgs&j7`E3U)Z5-P-oJQp?8oVuaopMezud!%Ln3C(jh< zwGzCVFJPiu3SaLd88cqQffw*C#UV=Z9wZ`4wR<zaPI42Y1Bzh-HioM)J+3OxTn$*f z=93BxCX_up1#@*&V)JCwv`r<Aa~MF0?=5fu9Ya*3BIfs9gAo>JAO%$8MoH`#y(_LD z00lWhb`j9Y_6#5tcRS0p;gGzJo?EX15}nfmMCY#jK%#RkA%7_Q9sW~vE_WQR062b6 z0;KJJKP{(gfa<63Dj;c<RXWHbsf?Y-f%Ki(0Dj?svuVEB+^9WxUgE^he;gi1_n+$T zko@CZ`ah1z6}AprH7bJt`<R^RuD{CT1L)lNU&rK9UOv=>poswcqJMso<En`Vn=+uh zFj6Te1&-XyZ2`T%7?QDjEo8o$@j~E)-5B^K2M+Q3{y4-Z{>Q?AGixGi;CQ?I3Aauc zE<Z5R14C#q9HuS-A2^FK4Fv)9mpb3SKS_K@-;Jv7je+!?`%##K7)#Utnb6((;ccJg z7OZzihhH|b@m-knz2ir8EUfJ5XujTon$!s^7yqaVL$Szwq|bOQ##`0b8&5ZduLK;y zV6&pFr;n0r*=I87Fy(HIz?1pk`h4s8V0hN$g-~D$W@$#h!o;9Ht+JUfS3m7Tsbooi z_$5kBeX{K%wRy^{#VYT8%CWnsZms9;o_R)@wU)-8T}INEDdlCRI+ws;6J7`+1X*U1 zk2s;{^eD2vO(h%NpPnM0q#|v!bglWn_Q#A(Wm=y;<PzO=*hB_x<%8{D-EHoS$Fzkc ze+^Z(f9Z0@N7OV;Dt2-fLR#G!!6}aK#YXyV#3w!@nZus4m8jW5UhK#`wI&^fPtuIE zL#6mWX2>8u{P2hxQxSDY#LkndR3}`Y{_Tpf5-*|Ym54I48qL<2+IxNY<ntVYC5h0! zlg=SNAk2>S!SOoQ#fTmn8sZDi_w}|^eu;Wx)_rJbgD*eTr_qQM&jps-oXa$G70!rL z>keiA0lj253c*uUKq_t)l?cpg3RNT7Iv!tNNpq>QcS<Guel(l*+llLfUwBnagGRC5 z`~t4i#zUp=TQYL?_|o0^X=C>g54c-ld;$FzQC@E_Mu%MUHJm4>c~dgm$3EjIk9t5C z&T12qVjL|A#x{)h;IjB{G2y>WobeBsk7%C0Y-M!LVrWUJz^ZM<Ej$??ZyY*&LRiKY z9&%ZB3Hn$woceN(HzJotoA9+NX5(i@Xre~9rx!FH>&!pcJj#;im(S`ZJy!bnUj?4@ zCQvMXWq817baU!p+5-|jZ&*aMd|POdM0ka~3IVSaFIudMQYwqC(O;#D+xzW6`%rtC z^`W?IQSsdLzzOoJ8G;emPa7<`{Np9M47O!Q+d8qnqYaxtv85i(#9)dRj$-72R+m;} zszZWf|0S=kegBtC>>4-c4@4SS2G@ctrJ2dHhn%5tuhA5qcT`5FNLwoz?z08?<%i9U zO$@kGZ9XodLoFuRU{JDC#CZX!W~R5Sz6gQc=GW6fH{g7M?rO+Y!C=xnq+QaF(l|Y+ zxI#Btu1Hm@=jQI&IQ>+nJbJuUw4Xu$dRiMNE1ue`8G^#HS+hZ)RXzy<=2b{9L{U7C z5~Gh8uNI#V+39-0asabilOwYz^6V^OdvLC{{18Fp$5s2wdG^9oiq;zw_Vt<JBeIL} z_qex92T?2V%4l1hDMX{g<loaWy2?}M6`Sy53MCpiw;YB1GjdXT>Bcf*1MdoA1yk4E z^(v1jEc0upx;mC@f2~zr(`Y&4NLKMi4eP_r+0pmRjvEhoWva%{g%QpV^bU(gXI?m$ zh|EJe6sKFqIz?sfby#-paD`ZQuIsydfhCp#@aVj1NutOcC2_CO6_9sTMrX)cI~eYB z1ZCx=KVzAsv@v9oF$Z+AQFtg148!Hq<C-Y4l4|A9zLC77edGVF`$lhRw#PDWOv5_{ z*>l!SwK0DBonzDpNt2`M4r)Aa(UDAK%89Fevy~OHY-tcd<mCZ_JI6v`4a;g^*OA`^ z0iP=t-ehh=RJfD1)pFa3<=9K*R-FVBf>xHyKD!@9N8Y(^TQkFxxjF8NA_ao%^23mc zCS*-4nXq<B{pG2}5+@=2EmX>r#iR>9)hw$%jBguYR{c?}MN6p`%E~-zp~=kJ_k+&s zPNvn^^>5xO-RwPmv|7f98Ktt^bvm!xuLvu>j-(l1iOIiPi3t@j^Ne2XiJF6!et<3X zw%<g-h>@LxR(97>F}ZGG%51koFFy3y4Bc`PC?GCBs0^3(<nQD>NP2`E22<U!7l@>5 ze#|32ETL<L%2#-HXi<_bP%%O49`HcxCW<=aMY-OZI;c@-_xR8<^H9Mgy<E`hCBK}@ zEga$2BrnBg*?hQOg7}KMPS9X_iRjI#-!WfKnR^c3yYXD*tNIwq)4*W@D*zsDdKHr= zU^N|fEuY$~6xd@vZxs+=I4ZVef#3mCH9=}hu|b+ks9#cuY5C;QL?|A=Q5<KTDVgN- zG+ic}w3CT+|8`dj-iBo4IJ53jrrhq$)ac%bS?I>J0<4_f6=R+e?3o~`LC))gkRVkQ zeRCh%R9<S<)`W!1L1cEqm8B#3M)jwL^WpO!-WJ*4plKc=r$30jq>?*D+cmmvi&UPk z=~tF>g6Y4auC_;x|7MKuhp^a(uf|jsAw&0M(MPq`x48D2*THs+0M~jSe!}wfz|gTG zd3=j!u?&2ohr1tlBArmZ<=oFJ+R9!!lRD9&zPJu%DSGf|&aS^*n44@AcM{{X3UTw5 z1XXW*Y4)2kbaOFr-`Ha3Xnp(ZVN2Iuc*)6nkyWnLHtY7syX%u35}U3&Rb&2pT|Bxy zNsBiEr_UBU!-%8;xZ0wo(&)WlA2;A_CW;}{5h4enuxS<1I(@R%-!e>mV#0?ydJoH> zp(#g<eOauRhpG%7{<GD3b;YCQv`}D76HD8=r}B}`Gh#BrR(UV1!h6$sY&QkJgOf7y zz}zC!Vm_zrYTL|>*D_Kd{Q`HAt!u3NR1dbX_pKrgEq@0m_-?<s)FRA#oDTn<3EWMo z>ZucQ>~BoG+rOHJUW1x*Hl(WS<}P+%R=7%02?F@#UAk5)UliD}QO`>#)zs>ANJ^BH z8JDUXxi6T#TI|ws-saO<?Ra!`cWUr-Z(oJr)($PF0#k0@_!4$z9<bzAJIb)MfQUv} zW%Tv@=yndy!WB|5BI_#}3xZMKgp%Ii^xEECHOAb9_D@_v-$e4FjvQ!<gUW3*am+fe zP>J%6gwNZvF!!w-f{&eoQd%YD9Xqztp<wB9-u3P|4)@&rf{%h9@Dt4rD{(vu=SE?# zX5pGH%^@GlW#zo9+7W<`s8-nWB7T^<xSp~Z-QyL(^d}YaBL0xI4F$``dcU@}51iRg z8teLA5m_DkiB`3^smFflqD@Mg-ouaL=%7wBXOn{;H#j-=!%m%4=ycOFy7t+j2K?K$ z^57+QJzS5TK@0}1+BGOr4|K4hFVp4xYd`rh6c)YHM=8Jw%*Gz4ROXPz-hs?v=w_o? zrE_y}Vl#5VWH*<rL2MEaJv*`pU6TtkD~LogQXau7M9mz=6=6b5hDuG)ze{E<ibOV2 z=0eQKGR=Bv!i>lUQD;IvHV!nS7%BTf$r8Owtq()b9!8%FA5#d*(hi__&#D+4QbQ%^ zOOBE=ydVrW{t?b1$b?eIM&f0Op^$n!fPBdK$7A9i6Xus}hIQy@T>0SBf;nXpa%-@V zrnOEnes#&<se&4zu=Vh-jEc^T=tSUnd?_qv=oI4E6!n-TBpI_6spRm<21+1bW(Xv) znPX8(rBYVm6yzt3!D4WzLVvoT!Xig41SSui{0JA<XG-#NgiI-mODImYnd6gy<xE8~ z4fZ6`ltSBD990?xu6-yKI`BlmI(8^dx<cS&fT0W#E|5Ft$8ip{*{&j#nQg6!uf|fI zQ&vMu5OXH6SX_1&mdE*nvI=P)Gk+j39z(3@cb2(21m$Osw}>UVfRQr(<R-W#z6(<R z!vdC$s3&5$O2MB1Q2fbJiiQ_S74(?pBpGv@^m7m}zI^T%1F$LrVKoIT7uqZ;PrxyW zqVyHI3%Kt>nRcFlWx>~vSqTR33IQXe;*?<lJb+p06tX~g00U)T?xQ!!1p{`glB52Q zfhz4BU;WQ<!~ih3Kw$hDCW}YzHRTwcP+Ybd8O|%E-+MH&*e3P3<s{Gj6iaM!Ook{+ z<gg=5gA6G?I!Iv1pha@}nhZs=k2Ho66lqK06!i?}yfm}@jPAS8gD#kZF#Qhy?LdiE zMy5y>n>ltr%LiNwji3^5zF4SfdBEL;ooFnGnt%<Hz~t@#ZrvbqbfUpb0pxgT29N`y zKJJYP7TJJ&@vpA0K-bRkE5Or5`7WKX7$Nj@tO@{U%mB`S*C&H-`!0}Bf_PEN!~dsY zzv1&6(9x5B2igM4jFQX&AZ53ZYy9s2z%81h^5W-P@Ot%DF~E(!7Xp@f0<9?WL1x8B zsN2dJnL>b3ShRAfrgEr7ys>cPp}lE2aDn4VA31^yL<*JGS=N)#LV6KY<!+tEA?gPy zA?lmd>jY@6Vu|Hi(|&QtS>O`HAuTv3IKVynZzrQot4wi9p6&p$hyr9uhmsv*83QbX z0xa7!L0Pr5$onTe=p-#ka=r%)@;ckw;(y|h>Z=ion6B_w`=!$-bPD7t0`b3^{(?-* z7X;3<1PHe_o<DK><&)pAN&HW2F8%|X64$`2tbxJLAQu8uU`C_@uNPHV7aC7eRLW++ zokZx98`=Z592uTH_;}K~j1~}#vYc3rvM|}hFpGMm&7jRnXFOSjqbc6kt3U$~jK1-_ zf}IQc3!L7^{{n}^^tW(;m>~f23Z)z<92Dha%eOKB4l74O140$JERh^2R22cC3SmmY zVlNKvw=MbqwD*|6kGq68ss7rQZQ8^jf}#_00MSeT_vrO6CIajSMX0|oDM{`Zhsu#q z|5_4e(J;##5RJn30;qU0|2rJjihzRA?4QBtt#FnTF9;{c3Zz#?$~pq~2WVA@>fK@m zZzlC7nUo$cV_1qJfu7$ZH{go_h<ee9-{J@EKjR0E-!BR@|5t1Qw*FtS_76+s2ms3e zr`f-=>3<CMC!K!9|KIj8Xp~5B`YFMjwt=31(02f)4j$F0h;Hi5pIzgieXs~H8!JsA z5KY)nMn161M2Z>jJ!38qn^J6sV1*1v<XiWA7cT$YY6{$PnyiSsijbd}l&}tn<W;sw z^D6nk7Nc3iKj1PUveCYu<|h>QKcJ9T$)8+<O@RW%yZ>7-lG85wGaCIBsL<*EKX~x_ zo$3$tK-2_y08sNl0krG1(tpL0KUkYIMu|~`gto0*Aw&EJV}IYhM8HNr0QQ}0s}LM0 ze35`6cCJEj$e)29e(EEx1+*FHZi^v<9>FP$0hh}-Y=h7fE>qlP+Qm32YIxEx`#fh^ zN$7NnggUp5N?ykmXU90XZp@7BHvKh()G>%unIvW@)VOIweVEhEe8eg=bvB5nafhbf z_UfL|YFM2v((H?t)$shzxtSj{@M<RND9Y5hW8tFmevjUmRnbA@6ZK5ks6&sqEBHZ= zc&n-|oq10#H$G{P_-xR0*yq^!nXu2`UG4c%GzS(5ZMcv4kLtBKYm_9^3l~01Na^b1 z3sRAqwpPOC2=SWsz>7}eua|0VtKnvh@}9CL)?Jk;3IAq{`UyRt8@KE=aSCZMW}$kl znJuV7t{&U+CdV}zxPmXjENu2U@JQO~kWgXqWWDFUul*>jf6P#mQ!XrM6+BW_gt7X) z+$6%<#(bo_2*YsbS5aodY7LmY6slp$(3qowJ5+8W?N7g3-zir6c6x(_M2l92x$BRL zKTu&-qm{7-n|2AB3e_RA7V#GKo(ZQYTNM?lt7jNJ9!*BA&w1U9T0V{ln1EVO9R802 zutKPuCF%8e3e=$m9aGMg@`;=6gmA^xMqZgRP>|kp;e_+}<Z<j<1ppm_46iWCvFNeK z!?uR^PWMJrPy=~cVo)tDBiFBYhe9-}BbGvD=AeM(hiIJl4295@-x>fD{3?K1<f##N zMCuAUTkwe^dfaSN@JlcY=d%H<@Jmt{Qy>5wIYGz)6>`pKKU7}Xm2lwnsSHPct`8Gu zd<Kfu%6lZd2xIYkgh_-YfLcTmhVIbci+Ct|60`hHU=b{8nMxA~;`JQEAu3^$M{+;~ zN;Pb9NDZh2sD^zmBQoXErPpD|IsDU-_B@a!ueSeb31CFoUq<}Rl8+*FE%i+@JH#X! ztG4R&1nPp~wiC*#0BFZKj!amtFT`yX?q}U>6%@cH9KPtZ=?SU!r;e|VXR?yOJv5V$ zEG!fa|8kE3$UW7+xo0B`aL-@G-`(RB!h(6&W9n3-k@hjT1XMBWH9^YLNZSzru0WM7 z0XPwd<Te}-tC@3b3W%9<%DZ1JJ*DBuQ#ZumjJy7CpfQtZ46A)B=VZ@eMVujzXL+vx zkSqt_7Pk_uOznH1f~EtstON2f)M6<kvtd}_d&I8HzZfmFuBG@vNE14t>5-E;N5z5! z7^I;SG?t17<39>qz*QgzX-w*+4+)FZd0iCh@Zb)_Zp0uaphsC$0VL}J;pJ4y75{eG zK#pF9t45h2=kgB+IU2(Q`;>>}`|)@(PP#g3<Wl4e3^c&CNDcYkzlx#A3{7C`<N{mg z7}z?Fh61mLr#JG(wb-Fps6l3QgEkFl8~v(C!G_I*VI376Ougc3V0mmNr5=e{9LL>V zn@L-gr=CgrM}fHG1ls-h<)&49^?B+wpiN&2?EXJ0cOd${DFZe>(Lf%^=c1GBxgELu z@J>G>_`^E5@Or#SI6tjogd%Npyh-bpMHQfqbBz-*PYC_U&4K-5+K&FNFuplZ#2nKf zH?kP4Xoj->=j4C~cP?v~AYz}$3#G#;u_sd_q?GZLo~$6tr57djVcgGkOw@xW!v=EQ z4H7S=7nGB|HIfTI)P2OExIL)U6B&hKKFENjDW=AHt$34VDr;+s2AeHm)OGi8<$h{N zyxwFCzTRY4ZL<VXVuO@V8#t*tfHCrT-M!+-^*z8IDQ7>kYHI@P;@!SCE2-VyL-5C; zxMZQe(gcY<?llOS8VG_f<wKR6I`!BI_MOmbAFy~l7Sm{Zl*9Bn$vu38ow~Xkhwl`$ zK;7LyH#?}C@mIGfsQVkx&8Z0LUbOY_nO?Bbx^3Vz4=u&)wsU`hT&1D;0)CZkSK4;k zK+Q^Z1Wd(TQRd0g2<{#Pz!KFRn38!64*&q|0|<cHI0F`}@{1^0QAQR72zdm)zNAP4 z3hZ8*eC%4z*E0_2?>iYjQuZXBbN2pU>#!WXAF9w13=<T>aWW01L>cE0HuYOuTOW*W z&I^whqiz`bGo0;@w$@)%@Q%vBwKO|j<Z-U{4!$HxifV+mU9uG9Lb>E$e7DA5|2A1o z!Qv<~%@6D*(a+RXpzWs>Y|yf&BE#Ab1%^wgB&k{)7HMdi&U)^1>u1d$?^Ktct|y0| zo@R}`J+3Zp7F9=eEjk|Vkw-H<U7b5l4?M143T3UFSf8G(Xq`5CXF9hWi7jZ)&OKiB zwH`L!G(OPB%8IZ)-7TIzwLM;MJC8Qf>v%oj$*r#boT_)JPD<CsYl(XxywTPD((d~F zG{0Qwe8W!IaB6kp#P7NE1i{B`Z(G%dk-2hu!YzL1chlk3;(GnCyUOc*b&)D}mFT^? z%)?_I7wD`tf12@pc073M&EaEvy!^a<e>_|Z!AJhsS<&pI&3`)r^ZwcqhCj$yf9%WR zvGvNzsTDup!)C|xS)n%}-+HM<zd7)&DJgKRZryC&*@wrg%HWTlFu9w{we(2dUxK9O zv^BrZqo!WY)@Ebz{PcKu+8L&Ies;e(dCnXD+417+dfjk#gnmu8q<#IAj@r|&NCO3; zt#y&_h&%gUfF8p~En|7NqS76|H`D!YnMK!rE%K6e$=SAzo2TFHM9om9s8GvU<#Oj~ zlWm{W&=L#&;{N!dL*^!?n-D<eSm(*bn|;c|h7j85%k2~L;$jp#|LTf$t9NsUuP00E z@x?sWEujv*SAh2mX`f{X{t4HXo7Pr$YoYbd#^<N^qNFRQD@T<#9bNvJtH%wQ*R9Ch za}0Jjizy2_UN;xP*@QISmzQlN>!;7R8^OoV2Yf%434>laH+na(etF)0n!K{&Po*sl zH1E>N(5@Jb4Uzb<OH{k+?aT_k@_2}SZIJ$aKFm(y*y3$8o2-8M)zKi=3u+;)zOI?z z*-d?*m~A$lYc%KC<8rtMM^i8?taebCX_^E))99<QDAbem%`?`zcN7es(??%ZnGDED zt(Q+52h;YvXY<x8csLY8uN1Pp63!rf404)0@0M@)Ek>a-7i)XYkaMeABimVg+Mk9; zM>F{=-#;U;ab-9>-dOJ5o}BO&KM_8iK6CPo-ait#-dwhp=D7yn=;WWSuai*(uz5_Z z3ud0K596MFv9&8>&7L_qSEx@f@s@yhBnXEao6JE)HykeZ!=_g1enFef=B5{Mm9Uuv z=`^&hG9~F+sY2&yf`TewCGVNqK-f8;*Y!fS?*Vr~R%&vB&^!?CLSO$pwo8o%TF#)@ zF@q|>Zw5xK3Zh6D?tnth-zKorHm-9m@l%B8<eJ;!S)l!`du~Lx?cli8dkST_F`^J` zRBgEPA09$*Cf%&EaC=km@^I4%h?EE+NeVEiNiFDd{5@!649xh}Ne?j9`pNY+t;=7y z9?sA7uvL$VJZP?`tRkaiEVf-+MDc@*9?Xgvm#xhLdlB3T%?LgWvH92@Dv*qz(UkJ* zlXOlF)R2|Pmn%trs#ma=Z&q38^v;WayOn5_g^0}QAB?GhH_mED!lRwrCFH0q8Bq)m zVJW`+p`VmSt*TJVG<^Iq>Y=jNY_Rq4;qX}3$-DWAwrS4G!`c4Q)V<Lgcvin<#rggO zxAvhj)!8^;8%xG0<tpi6@$pKQ{lvajZyay3YqWN+!2)03_Df4h>-V!n>)Arx6EBtE z5KThCFn*%#L+8`$(Jy<?c7qf6T613n%?IPoJ&+4EP;!|+)u;+{{3x%cctA(p)X}cG zcXx4jzqvf2ulfNm+uCUh-2e{-i+*{7V0_v#7_`1zA^Tk6?abo!<FVA**j#??NcZ`6 z_Za#4uJF6k<Nov@f7{RXZEv1RD*h<0h#)y#ua3u^MejqrOK%?UtL{r`gCryyXHSPi zAvX%}*xSVC(f-~0?$%X&DXO3MSU0zitS}dk$L*gp-0Kc0_D-I5oRLR99$R~ZdGh=m ze1Cg*-#O2y%Oi&Ww6f}PfN^$C$b2*EsLcEN<%O8Qx5siMlMrXi=h^A&gK73feqGPn z$DOEEr-H|A!j8q3lk=YM{O?q~>=);=4x>iDw5>kdDITYLd(B5Yj=bP`ew<zXxr^zx zL)23->Rp>O>ux&iK!as$oH)&4{U)pLld4w)wuk(Rux9jEjww|R$co|$R2lpjab~F7 z<BoZ@c+`VSKG!@;3Y`*5T#u+Z7RrcXf5A&Q+JrJN$dj-t{5|nGy4mNQA?AA~85-z> z$=IIRkOT9;aoG-}kh#<?Bn~|ZAZ1Mos<-wWu5qY8ZzKPjPq{6`p~X7Ikt$2icm^W; zj?q;z!eV1y-4wtX`qEGHLv{viXwpMxkR~anD$7>)+x17T*oKOY=5zTAp7!^nJ&K`` z{hcA4;X|FD0=!>dV?H0oeEi0tv^6Z!La<Q|Z54I=t<rjge|JO!wIz<}v-eBNlGNTb z-Uslf*0xH=GDPyctQ&2@#g*YA+QwKN1LSoMksp`xgUpOgi_BEu&078feX79U?;LL! z4M=ziM!mPrm>i;BF9jbvHi&zb?H_z047h%}A0gBT_2O5-xgI09UVK2#Y#1+y*y_&> z43-V!2;>ygYYWnin#95b(rxeG><yZShb@fUy<};}RR?t8?kWL=p`y@ywvT=6s;{yu z&BtbG_o#V)^!#M!?@&vp)hW}VDe!w9olYa_^RCzlrwbS=f`4<>gRJ^w>vOwn7Wt8- zTLpC_B<My|)X`YRIF>Oza&#nR<n~=ovV2VRj?|lB&38;(>z5ln^LOw!nf5mwitw}% zV%L4CV?t>T-}=Ard)2y~Z=_C#kXC|saOl#Ne3iBERr-=Mzb55n&h^yyHFbe|>YR>6 zOP(m-NG=btaf^n(u+8ChDxRrNYb3%cOFjg|du1rm32EU<$2cKd{G2e7r1OL;KW(Z; zIwcv40hD-bQ+N&J53r@rFY0;uOn4`-S%~-jY?aYc!PqGs@T}_IxEOLazhN_fHZ14A zkbz@K+MAv>dOM#csMTCD*yEClWQBr?tdUm6j)nZv&Hd1Bwy4Iy42|a%&R4h25cF@Y z7$MRt8K|$dccPD{Pq^4T>cTAyYGfU)ndR{kw4R#@_c9-R3wp*^kOOSq#+-K-*R10d zM)huM@k@grmwyRW7f61c|CS$32t2ra3etza8>14v?gdRb7);&%q8G=mgUi=RLkjdb zY_ED&ij0AoN6{tB0){21(6Rh=>qwY2&)}8g4ioS`<FoH8FA1&cQPfxC@w~3FZN6up zKBSY=ZW%zox8Qe&Nt?6f|A0ob<-hp$RkUWCOwT64c_4EG4IeS~2kL>|8YVOuewuFG zJ;I5Tm@6c+T_V>uTO2WN!5UsF?EbAck_eyL?d`<6+N1@eyND4-liwLE@><j~1{ILL zb=-r4vLLfDJV43|&#+|uEKT@WBt~F&4`Hd;A3`Huy%9=t-lrMsg8O=_HQ0Lj2>mDY zPyY{w9@(!tgKlXyvX*Vy+uAq?mkX4ajy4fJWY^n;>8!4CET`gHJ$Wk3IuSm8g|_I_ z_j`GHtcl`Ghz0xnqxCj390{ByKDy<b{$3w)*LU)U?SK!~HN$D-T~4=GV#9Y&@spc3 zl}yJGp4S0!#<iZARCI9Ud92$3LSltKqcAmk%B(!`vJBK4J#E&;yG{_{Pbv!=z;s}& zc@nN}^)QMfjgQIODwD%vS)*JW&PtM>`W7-9m>*o$&4c+rq<$M+Dyyy93{Hq%G?|>4 zpSO#*?1*@J`(nQ_W8ItM<fQga{l=Sq2bbs)H~Nmz_vc~qLx;mN5pqcCmqeeY;SbV8 zA72x<K5Nk=K0d4VxmoU&Cx+FAZ4L1FV(+jrvfKpX?xTs!&gzzO6+qOKfT`E}VDC?o z9-ltf#vNU5S=#iNcs3cPhIQHspO%&wxY%Aj+P7nW>~K|FMR>Fx&3ra>xtdLFJxFB* zD<7S?<n*+56-T0zlfMr}6ASRh1@}XInePpg3jah&)YMY*19?5?=$Ranr3b8%lFsFv zbEWZgX{0!2Au201<y&H6;;wxQ)@T=Gq|V4CjBjD0G`-cy&o#Kj{dB^t9`42mocFhl zv*RZY8+n~#*kPCR7(aJY!*=a&ku|Y*m($E*v?>QNMfeXXU^k1<qDsBnTT<xxqfqW~ zB*kIs#KYvHTx_3y_Jmzx?HXe-W52=5e2>k`O9Rv0T=@xmW4V&B(Yw9*4n4V_>V7C~ zMmJqeP5{l^&y1<(IT7-uLp50unW0CC-vPU#@H>Cf<EUqt2AC)OJPcU6pYAjaHkb3b zuC%U8^F*rmC68i8F&YF`H{Rt`xn%W&+ip(D7P$J7mNU@{HfI_%_0b)pB4+!h8-`-E zD_SpN4=Z^j+3@lH4`pv1R7dw^f#UA&K`u@R?(QDk-Q5BN55e8tf=eK{yE_3c1b4UK z5+H=v<eT|sepRnt&HInN_gZU9U#Px^)2E+?>X!(fsa8FkzT#EM#*C6;mrpXNW|8XH zUaO@nhke7J`>s;1qN1HZjZYxRfAaDrCYpeNG(P8S_rlksso;sM;bCvOeuj*|bc##$ zU}<o{=;I4|$Gu%T=~alxNm+kaHEHzmgG!I6*3Yu%sOtVtzc#;X)oZM54{s8U;CY+l zcRxHB!78_S^KF?(tB#p0=06YngCp+A)k?CYzVB{_Y#wi3a$uuQ`{5ut>4uiRot6@> zt!F-aG3?;+L3<g;hxqoA3OhYjRbTqk>-OMepD5<Oe)rVI$KSUgKv+s|1U5sRDC0fa zdA^=w5(a0v1kI(xPt{h--YM5|W=K|M)hMC40JZUjGrz*rFWBRyv<EhlY!)iL`_y(U zFUff3`l72J!|W+0e7fc8$hLm8wB)MTG+g!mg8S<7_{$r%^kP|Gf2!<Emj}7j1H|Kp z&>1*8Jni5-AF7I6>Xb)Rx`CqBd&E7kxT%le@(7T`u!Z`_1A2a*ys3CupP&wK-N0cK z<NHSH?tR<eymDD=CnTU<{3hrC|K#N2g0LnLo-RGn_5n;HstU&bDJScT_IuO)SW;#f zE(t-R(^*yumYpNnNL5IQN&nHamrH$|)}_i7Q!cF<_59te+NIaZ$;^4gkTN?eDWt<B zqT1Lz330Lgq>l2~JV)@Ds<v&F<SI0w*jHTIv2x99y00JtIR??KhB65$g@}St<oV!j ze$G^6GCixk+QG_VHnAk#?N8yrTHK9U?YX@fG}{Lhcv$sG{yObop_r2BK}>aQp<?@Q z{HkN~Qp^nUhhrPqU7?Tr;dk4T6Xs5-_?kQB_6A!aIF2FTj4Xne#zkFxB^Jv(&$csM zf8F<KGIHrK`+dy|W!>7`hE1g&Aep+8OAo>B0+$ZK5kP+U?1acV%-)S<xwTt;Q_k8i z(xac}B{8vBgdS_s6VptJlEt~a=n{$h$VC3p%W6d*>-J7h#?0B76ueJ^;%TK%R7Sgt zVfWm1W2r}g`(jTKU9;h#PT;s!$c(be$@YeW@0tMw8YnC<M*O;WxolIcPT@!X$JT@i z%~rtI0-f<w%h$Gn$=hb~!>KiK_5$I7p|Qsb87O=j1)<t8xxP2-bS(m(1yyeZm&ct2 z0?9_LL#U+^nvn3tY<~1nPnh|UgyD|8sYW>g50{mE5!R&}9hc_hDGB!ITZx21`&I}4 z`*R|tV3v7G(_C^^Y#2d9vch6**|^UWjD^*X#SBgYix<&Ac&~05ov*)wv}Z3Pv6<O5 zoaz=4CIxO4tB&f{G6_CD&0$1CLC*pTj_awXgn0PTC9&Sea5F`F16~Y643CutBGas% z^mC!A%#RoizVl^Y9B|3pwdr8(HCv_?zlNOHR?xToZk-e68uXcYekpU4)&FLhE-TR) zh=)&ufPkOhu5=0~=Vm%##oZe9^}-t65jY*GH`yX1Q}lhR#djqW9XO%hlgWlrVj6Q5 zimR_zr9Y|b9WvOY+A)s1b+%@h=NJU$Lo!38hdqb7md!f+=#?d7CKK2!$LOf2k$t3v z-ALPA(qdlj=YR1t#tB=#{h^}&$d33{If-iC#gbD-Pw!g-0^$lPO*vKNOUz>SgmMDW zG2fv!@nw%`yNHCfRF*!m7R;!5ymR4$A9;B^!x9HBfk9Qq5dmj>8OxvuCHwFXGpJ~~ z=zffMFucEAMXug~L#hPQ4YIy&Z>d@1yCd8#c76I`weaW9kLhC%Ifv}vl<3s%T?GO` z>xZT}-l1JNCc~ZRf$c_?0BmYC2fxAL?mk59Hmqe8UCr=_tt)R!&4^M{MzQ++Mfl`Q zeFDF*s}bVl$hh0@{&KF<yt~r_xTg2c^Uml6a*RS@Ge*soc1_MkJ4cz*D!imIi&_Z& zw)UPFPjZtu7PiHwOy>8va>a0dA?_)J`#2Kr34sQUWKuQAW`faWCz%@~b7F{EHSUV# z>Q#1S`8A9-C(L6|nplQCPerDYKknRqRNK>+H%LF~=R?OYe5^|=lwvJTFET(&I~bVa zsf!%vy#(oGXJL(!*sHD__Ni^$VsRj;&3@IUFh3Xq-^{=5-6XHlWQlZH`l?MsAZ(%F z^Tmhe(9fTb;8y59Z|SLyv#^LQHd>It=FO#1^kcY_e??q0=O-HLE|H`zVgHg7B0YPj ziL~v@6kMTM95OTe$v!hk?NiZ1mko(ATlu_}dA8Qvt!pFa#bXHujo7=M`2n{E_$H({ z56V-w(Hy#@rZ%cABFUVidLuX;ZEp8s`VKTdo(dTnm9@RZ_4-mM_bC{xpY-ynlGgeq zJRTXET*s@^?QEDh6G?M?7DKYuS=wtzQ%=0QmJRknI>sY}P$r*JiNY6~{Qg9VB}nPW z5i+hQBWad>rF984)of~naiNPy8yJZq6KquEtWF0u>}610*>qd8dMSXkpG_<6D~!xp z<wI>Tql|CMOFZ--$JN^-N8gIJ(|WWr<wCKzrTXbHG}^|zotbY6a(1lf99rn8yXGlQ zQ)+#2dD(MS_`%-VwYIUo*86@Terf5hx6$F#)3~2jIirBLi9?}4$8cu_7n9mk)dTgV zDfp}Q_q1^f-?x_+X)pQ@k6iCllpacS<0^Dnf(S1xXeRYahP?DC4O_7YerGPGVkdTv zDmDsWHgTJgmh*Zh!ThYJEfUDevMt~4Q;PwgM$RW=V^Ii_U0UaBOdO{+b=+B-+=s&6 zFQ~PbYXbL#(Jz1R2@iB=ebwILQ`@Ot9d0hItLxp$hY3qLef7H#=(dZ^YT$6pZW&4< z8CAp%k!#>qsNBbo8josGzbVZ+Y`O~E8%>BePo$w9-2OyhBicX1@yn-kukrDhF#{*& zrR;b5#LPk7^zb#^DDefotRFp2JXL|=kcwZ1GY{XIxwH#@3%M*!pG4lbEAl#u+8%1X z8W=)NN-h5w{KWlG1|lwIguYI&XCeNU_O7S)INeq8*R0i=>z#!SHnt%dc|@sdk{H`} z-r`-=K)A5}J!=@fKz$phaG{fZ=HTJx5wR&hMWoRIZ?sEQL?UFtd(PUKUuaKWP5wW) z*%Kr#%st*&>^;==XoT-xwsLRg)v3}8JSwucG-zY^p|Z*1z=gTln~Mi=vfV(7^D!&g zP4X~K;lo!IP^0&XogZFVa|)>=s~2q%-3y;}x7c-jd|fgX6+j+E0(Mx}bY=06_4}GT zr;XwSw`^;rEbm>p;u-nz{QhB`uz{Y!`E>(F(taViR~-GDZcQu>2eIWDr1=e~pBqn4 zfWq?)oWcj&>%C5zm=Yr+r?rFg>U-g}Ri{r~o##v<cc%@MCkmGy&SzEV5($g`t-e9V zPlW9s4Lkk)y5_|7^Dp9V$e0`pghyUn_&Zq?nlRLbU4NNzEWSLQS4maXe0bsW2r`&k z&j0@MYd<T2Z>_uTWMZ;)BRS*y&?cf=!tpSwlL^X;*Pq`H+!x(HdUa-3x3^DSYs2L+ zKes<eB07Ib>4fzyhVM%E*_?_)_e_BF@QNCSAhvlNyvCo}5`Nbey5a=elX34*5=~9Z zxE`nVx%-#zf>I>sX*nUBciX*PEOd;O#BjS2_D5n-iiV5~wD%w6X}+!P6x+7f0JmSJ zt54z9Dx^yOs}%0ad!c(-TWTkU%qbj^NJX_{IR<eO;34h&Of~}K9ZdL&W|A*Ud7~I_ z;PZ}7rpYNGkaPJ11>^^0M1{b3Gz?7aUpP)LI51c}`dD-~#+8!d4&y=w$I;9%*FD9F zu{4-q1?y^l#myJKb0@jIRI#2_W*CnXD8QxsJ<yF7;u<eOU;H^36EQljO1HjXIRcd^ zf-M+#^tTb*USnacm(4KE_3&hiy#dJV_}j-wR?)i?e=qh2y9_T<y*vhBb9|fS+ETQf zu`kOdHM#anbo6r#9FgGe4V`Ydi$vs3Ln$TtZ;d8|K53zGEN9Xy>)~>bW>(Ss*~s!@ zi9g9yE$iU6pwS4JEhGIKeVVbZb@h@kxs|?eiRUU-oQ86gtC>N=PP}DrIklN&Z%=qn z%N>Z6-ClP7M75Lr5RPY(LbaflSFu6(bbT5%d2$;PH(mYilMfQ3K-BG^H(~{BN?^Z{ zq)ac_*^7ryv)~(hmf!w<e|C~!o`z5h$-cg57<zaWvAongKTf@Ct~L~Wc{~>W;)nU- zy~_gKbxvAPEeNtYOQK!c-ZkrA_>gQuEOWIxvm+k_CzPEbutTAcU_M#cbbz|<zVqf{ z*;Nj#6eHHt(B1mv27w?<)+$RE7@YJFCTuhX)we_y5-#9wiTgWgc;!9z+Rqt7nfs@5 z<9@vTTDvNaUw5@3F2cEaAxr3ZmM<_&3Ye6q;sOD|Z>sSj_qSzx6uv{j=n)~z@0`^{ z5K$%K3VSke(N1h(QNyiks&6kgm8pqE$m)IVXR#XO^95}(HGOSt^&2fQkoF+{Q$=`I zi<c8mK2Gq4G8#SZ4HZ-v@c4w%Z|zOoNgeZXKI?1A8uRhepy{N6!{HN%t0QB#ru~Zp zwYh?<EK-)Xh~+|$Hpyt0_qVedr3-3;KjQp54B_KXh9lTMFNg|Vl<tn2xf7W{6E^PI zAlFaLcL(u}|EPagWmrAmWmdVVjqvk{7SzgS<{D)b^;xxLuzD~qj?|71f#`{e>}`jk z6(MUS%mNv5M?t>Qek<f=p+{>378O6KuxA5<LXQP|nzWFMY;TM?i>L3jocKF??~|Qi zsw_Aq(!H%XT9?!*YT@?v?xoiL-zI73IPjbnS)aF?5nEx2_Zn?r(@vt69w?VWWqW@0 z^dRW0xY6aqaen_{@8aKTzjKN%)dSa@kbAX#O*)@x0DN`xa_tqzlyef;%pPdZYUX}= zzB_xq-EacGxo8t^!xa8waEG=Sq>?jC4I+9!Zg<<sr&m3t<={6g_BhQOO>Eu!v$H+Q zq<d{`?HNpO`*gSCxA@^$5*H{geZ#^DuKPpenw5)7Q>MYdgZf(#^vd*Qmc?lH4ZTdK zIiJpI=SFAelK-Lx2&?lmyvDs#`vq6WX6R6HY5r(8#t?od!%t;BeZvVn_BCanp0y&r zxjOFmUS&B(Rqqg$!e}#=mB-fw_VP<oCMtR7EV;xT_}NM9m6xpEI9?Y#8>Rto=dtct zC|&v1fJb7^zWz-Fgtunvxb$tmbmkiyviiB^QU&eWH<<Y`_Jbh8k8vVS3+J1k&hHoA zoL6nEZ?qv6CMl~!RC=jzer$m_b0bVsLc-wFt$=bk$oyiEo%5yHD#HQtF?mFW9Ni4d zjk*TmjVI-*qp-nwd#JmC=e-g4xdi*NRERBRwWSq4qd4Y0;!V|?wlEk-+Vkc?Vnck{ zD`m~M4cAfQgI>3quuaq30}*;+)e0L<NN>CEVrg3%I&?kpPX<pbf7<Wl&a}?Cg!7zG zp&RS#B0G7trhhxurxb$l?kM7s^<<zIbt<80ReXN>K{>s3SwusXvZCvOqT|rGQ<1#P zW?5jXXPDLEz`4#`T{YdJ>;6g3ZpVTwQ0>KJ&AF&LA3w=4O=@vmk%S(7b67mDfML8U zZ{(5yT(D;&_HMrTRyjnIu{wTUJH^5#1(Ea#x;%+OJ}XTj()8JNbrG~1)M9H4O40Z! z-(I&$MyH#qMdLs?d(mje$ni~6&))`b5|1<T2cM^=f&g9=rwJ+KjZh**6@19{z-`_l za%pazjSaD>N+VO$U^RD!w9b!AC62PjSb`r#>c7!n_f@Pfmrz+fxd=Gnj)|n+;-}sg zrjijixY6c98e~F$)3LNYiU_D04zP5n(DCrzNo*5dCo_Dix%J7>FKeLKVD0aR`m_Xv zo-|+cZQ`dULxU3BUH|0T`>v*+V|%0H80^^ygxBI>ULNyMIUF2g`ukb@Q@Zk3$<L$2 z_gB6l-U{O+u|!T}zA1=1E|{(^u@XAg8pb-=@w(oWc~Pu+b4@OD&SfN4i?+xbPVEqv zUdWfm?TP9Y1fbdZxe(oxRkw_~3nPTf(#d?55*O4gNL#+b5#~ZWO$)&^oda{OHsK6a z={P1_6Wvc`I8+!D!M+*-96K3>Dh$GWWMxfN2S^X(Dc^1q<aEks2+FHg8+p{k9M^&! zE2^^Dc!853Of#g~jNBXFJdkn^j^aPgcyN2#`F4s9Q1Gbbs~+8PR}?NwxiKalYVH&S z5$USyeZINK8Je(dc#4fdrBVU6ZGD|VcfmcA*IDT8YS)e=pMJm4-PPTRM!CkET9_Ol zz-uMrpoq1+EM{4}rFq7VqNjNDMExg$v$=#RA{~>s%w4JBeZn*^_KP8oH8{5RLaO+? z<uI8UAC^u&SKmMzIYYxe+WNN4*9hB6c=Z$ey9!nvm2b!CH6<8-vT2?lT7DVec6W52 z4_!<-a`XpX96YV8ykEKg*+jh9?s##(Z{j|q3MP1LQ+zw8+r)?M^))d1oef)8w%E|5 z5@X_3ZJ#p={nV6<YA&}UCZ-(W-q<i|@6Te{hu<*83ib2Xp{;mwUb*9Dm7N^)X{XZ% zsce%BQ?#{X7+8Z>1a|Kf$Lg_s9#%~arw2~Y>^ShR+0ur!eIiVmRlZEU-Nzzi4sR6= z&5y*povMv%jG+PBr)uKc_)wZr%?!fI7DPq4L^PSQTx{9v4(m2B;L-XvmVk&aQwmsG zZ-`U~?oLIx4y-Ye!P!(1)7%Uk7{X@G_G`uNWy&Y&C^)8cw6FqrX5~vn9eoK=@sM8L z5pZ`sN!CH~dCI|tB@$1QB963+b#|*{rnnZPZK~Q0RdX%Y`8)~2FbSV)G!G<``XSZl z)!McK*O#)Mrf5`0TNP*z9Eztu61ptwr#>#;*W?c4ZI)nOl}VP5wV0^p{j%=<lJfXW zeH?GrS0<}vJ2igA8~D3lB82`^yO+G}#~UPN!k4<_*t`&yI1}4^)^8MQxFP1XkXxq0 zf%ed}R%1=QGg*QirY1EVr#LEk*Ce8(qL?Nj@#Wz|ky|Uk<AZHPA_&D0uwGDN3#U4G zA9y=W;$5jTGp5F)<;jqszg!#nBIj0DLVJsk6WOqUhDyF*j*<zn!SQ;R3xzW#CCe?f z1*o$ppfB7zeIg6lHrnhT8COJvgiD2z_$Ix#cTA2zrKxr~f<S=%Js#cHP2By_&_J2f zK`V+lw!?(m9((M<kW@~Q^T)OVT`-Xa@tzP#)rifgiRUDObf;JyG%38)X$6g6jAlM; z&HEaLI^s3j=(`_y-TFutX_%x!i<R}EB?cNx+T{vf_un+cmBO@+q1#OhBdLoGN^}Wz zdUi~CoT7TW1H0eBs8vlMC=km(omf#B9sH2cttS5gN*(EVn|Oirr%RzTWz4>ZX@4a) zIlAy@gU|s|g|(<{Xd2@<bD-&M>RVC2hpqkotgOy3cCKco>VxXv#a09;Ml2z)he{|n zbj6y=_-{o~&j(N`FWX&b6qM$^%alifuDuN`2(e3u3&jjMeReL;91|o|8{5VXlLf6C z9Y3FhEr+sL${@&_S$cG^)(hEDkgfNkZU=o^(10ubS$PoreYrbG50sDjm-3b0$zwjo zMi?vRGiz^5K|8tI#2?bntnY9wICGV?bf(*cfa9Hpimn)(q(kYmFy>=RBN!@j(rDE+ z`5rB`Ga4*9WI6Ji#Aa<9{azS|2a0U$>h&$GamFB_Mqvt6HN3Q0PSGn2`<!RhnUJgR zPMD5Np4roG*}U$SH%OG<oK2@EJVTa@dxXVVPVV~!Ig1f|`b@VF-x69g7r0Rwmn26^ zQW3ywJOn|Vu>SUxmjseCw<*6IKk@96`vP3V#O1dTfeof<Ya1pnzy}t%I6f8mstMit z^T(b-Mk>b-z9VX!DDcjRIT0pcGimyauWH$(I|RvGW(e28eWo?V_u-6Lx|7KMm($8% z_L~-4-9Nb@MuK|ZFp+6vf{O(3i3rlFV*cFWsS<0AaaH|t$ZqHQe&i6Wm(A&*f3<>e ze`MHcIK9%M+~m^hv;%H*k#(ZV#dTfbIcRp-TW%?6b}6yniQbTEvEDsy>=qB68)CO0 zPO8AOb`bdJAVAyf;#F>Erj@%kguF0>>`nYG*+Ie4D6jDn+<2L|F4ZESH#_vfpLolh ziom6<REI%uQgz!Vup<8{vlzU<1lwh~pEDVK=8!7qRFC7CmGP0Ay{%h^;dxSZ)5&su zYvPb>c<r#lrnqNkqGLQ`#_zl8(T7y1FFTISZO2Ykl#QxK?x|4K?AMM~QuqAG#Tz;Z zzrRoL=Mt`6GbQI*QCtYHpL<)nZPY72=7#j#_gq$1rF>{R*P-*965I6IU%#I?>axne z5k&rco)H#-wsy~y+y$@%Kb-sQ|I3=_JkOW~2uD76P#e%oMfoZJFCnnrcEq<E;H6df zf**>{Gmw3!R-bZt*X}1$x~!Q0TaaDrF}Jnno~h$pXWDN{|Hx+_YNP(5%S!ZLQr}Z9 z?7yTa49mi&+*a`Y1Z)@lRig+aP;AwW;D^uWI-VQ#dH)@<+VYzUJoDL?+hE84l^b#| zh}?FbLGL%Eu<3)he$RB+WmR$`$o?-W1JHx%vO4mcN<8zi1W4Gwa*OW;<^Lt60wf^Q zf04X5>WiLo|BFP3=XKacyYe*bPz<!ud9DKn(j56%0&M`j%iasJ1HB{qPJy3tsn_o5 z4B~pOnK}=2z=Cn$OW?|YpK_?z&gs@-drm4l7IbDhW5GuVzTd~5vT@fA=nP_eb}BoD zb!G%(!CMHwC&!+$aMw2I)?#{ADm$71bPRY2!FQ6up5FKukpVGmuH~NKWaODma%|{N zt3Uto)z3tD?zE!*&5o6L`wD;IwyR^3`tQ6}qvN2B8l8>$$oshF=0EqYvLbaq(tDt& z(tB((`e8I}#%W)Yl`!%2u8EcJ9}8yhLkrD6TJA+Ds^$1maZk-Nd_kxDx~7Jxht38y zy1JU>H_e&OD8KNIYw|mWpSUDjrdY4#fC!zvZoLcfZ?0Y7s3>mr$`WcEg*a66z7iGU z=6q-Ib>&4r@xcOJwqEgB5gPmDCKqA??p@UASLiotYyq}cXasSyiL3ZJ%Mt*^(qrp| zeue6`xe#*z(9Bn80{~@th4vCRQ@Dw*V=VhYKgp|QY<{*O$L2CxX+bR`zJi-OBVIJf z9D_kufX=uW&0V=@qj2(E6VNmHXu|;TZ`pKe`?ZL>dp*QAj92_%`4!a4H}h@CaS>Bc z^;4BBwH7Th<zUg3pvw_jDpy20@}0=n>EfPSu6}EJd&{nibf}R1cG-LQb`u=e@!7Lb z;BjZ{TJMOSzzh0P%Inu~%0V+YiSi0=JLc+F9OINzaVh;S>HzT`B)L}ZhTMCS5#n&} zF)EQNf$o&`lq7Bpr{u5zeJ>BjHMnh5zgG#AkynW)zP}RW@qmN{LeaEe+}YosfsgE8 zInlpz=RSYsg8q@akAIbe|E%xj&)9iR@me=JRO@Tq8{&WKhH-tZyDRK(-3Ys{b^D?J zts7$`#i|+XK)ycxe~~~jac?`HA^rc9d+mYje|q@8)&0N5095pUmoQ04IrDM*|J^w< zFz$cz&;VELb;ORvD^kEkr~2Q+lL0RJzul$)7yaMivHx!gkN=WzvRHH#zZY!#_qsIW z;3o!r(4)T)bWUSz0R8~g`DL$aBKb~@J>}xA-J26LwtmC;m78)e$k=wS(nj|AK*wjQ zzQl*Dcn9-WPUAhlUE79A8|mi-9iM8zO<FvQ`768ep4YBzN~MkD^RSLj9N;D??#KL< z)p*Zs*Ve7lM*O*1C+uySn^U117nD)`U6<wL1!o%<lECD$PbTNuEFCH8%9QUpT9U6| zHVog>@#*mH*7VgKeL_(lKWn0ZO)lB!SlmcKB7}bj(xSvZcl6dAI6De$nl_!XyDK#N za^Y!<&nf=tcf~^-aM(ofmoyJr)~n&kLc*o_4)Ej-^^Dxz0j99#W43P6Qgb0=De?x% zNr2m+u<_uR+sL>-l6k+%8i>7t1-M@)sIrFYRsx8(qs(*!n4)v{W|$3+{)V6vIB>2~ zW!q{6Z<=UyxWS$g!tK!<f_73C)>G<Fr!yhmQOAuxzCItXYv1s+^qkj0y#Nm`4`Ej| zEF*DGT&(<{iI+AC2&dQRbYfvz&)+J}l@_wKE0_Biiq&m^Pw7sC9~?dz9f2|HWIq*Y zMDgZ=6PHF?@VAFS`dhQ`;0XA3;MMAaiEfvcC0VM4!f}q4_7#meie}BLim5mLbvZ5$ z_+%I$?hl8Es39>rCAvX}L&=-g4nD9`5FTwTET4BFd&+OrcSq|!=LqO&#xK8vy`!&J zD*6mAqq0kv=q;8jRj+xU?$Y_P@{^Rr@n@2{L|oErm4jWn<{^rqF5yxM<o9J+PVFBP z^S=23=7H0nFh&a!WDB>oPEyJ_b}DhPKtISiVIw~4BD)IIP2qs}zsJEKS|TCVSwxHk z4v2h%<?Z_2g9Gwmg+j!_qlqUkkHS$DHO-q3Br&2gK}zg24TM3gpp}WAOGz#vXH~Wn zjeWPv0y}CrFB%w3O$L%Vc73lFPf*rKKCG3M9%x=INFmzChl2%jSNuvZgEFTZ?>Ux_ z1@iff0?3a8^2k>I$Pau#O(9>Z@RW(KDF}m+HCVGl!h&wZ1MS~1h}NYMC6Jr=AOk8D z@~`T(<ixV7o`JhoETVzgxFuY>t2A#_OpE3d2cnIrWpC$7IGSrSSB+mC$$;bM>QJ#_ zZ(`ARM`tq@crA)qB`*E1xY5ykYdtZ&McN?><9`gi@uH*0UR=yr<TWT}!7gcFSgJxS z*Hr=qVvUi8-;2ecdq>Jp2)W^|d2rd@nz)ynv9K{%494^h$N_Y6gEm5dP65zoRVZdv z`O*sDs0tJL=F+9Eh{)LdX^4X-iW@~oMtEN<z*eT1btOwff~P8UC0iwsN9&tP4@$u0 zLEue8bBv~j-?Ccj9YG=q&i(|?J(L)9!G3LQ4vQxCeJP08Y*h5uk}pdg6g<VjLvKv& zF8Oa15dxjhLGd4;w}!M`G5qJrqfa{m6h=geMnlp^8b}6;N&7~ENs1EU!y^>NMfJZ@ zM!yDSqdiA{5yoJ!rL7}SP#y5~{ncWU`KxtJ^^ev*D7vyobc8p>*^G%7L3jmAN^_HZ zS3seKHI*dzOFkkMqceu<8O=W}90&hxVFUZ076SYJwm^mSw}pCX6Y~RDb1^iq4BMbY z$sRfLGmaF^E_uv=x`Meb+P12u$={&mp!m>~eMo#OhZX`M?*Z;VTK)fM(IWpd4r`OY z<M<ZxcN{v+|BPejpB5Ah{uzg7qb8-xIfr3{JqW{0PIcT%-<Fk0mf^rg7Ap?UPFB~I z$$yBQX%uRh@<7ZE@Cm*8`201wm`1MyLFL(1)UpDPmDDz)6b~6YH6Ub?#B8;HeL4?I zKLKBMO7{I<Uo>?FY0tDw;+N0pvf$z!@kG$U{=cHG{;48BB3jx5bo+l2nWh#e7I~m; zf{9FJkq#i1G7xatev<SQiwyuzW(1tZ2O?#>MrlpW=XfC;Q7mB@%ypH{RGCf9)s<q2 zgE||KGE%A9IbsM1Q>b=^jH}DVO7<$58>ytE_9|Og7o*DKZFr01;Uf}MctMURcMPxe z167$<dKi(JY;6O|cyW$I%Lp%>iXIbUT<Up?dI|x4%6VRsHW>Zwlk?GAR%VK)8qgcv zvsz|nbXR|cIXwB}d<!z>7@8q!bF$O{@o|3rW5sCs5q>6{uvGUJw;Y1Bcz5kYw6_P& z^g^u6^am^h#TF#YZ|ML$Nouh81UGsAShe5X^zK$bL~d<At^$@v3+<#Ysg75du`{li zR|`j?HrZLe1ep-M1rz#xFjJhkSF6AygZY&w-7WfyGC8^tOKR9Bv6NwDe+jab3H}|8 zXpnH_JbAKpdDuf3R)nSCgfNACGPs`zOXIeN+Ys-1P?W$46zG|Ru!SlL(Mi!ccF=(F zKDqQQv9s*LPlySYFAywq83P(ZF`Up;MKBPS=@JkG$2VIHS*@8Sm|%z=HU$z0WcM-W zlu6CW8UzDjV?r9_fDk^#mNCmRP3<CNo&bw<atOTRxlM+w6o3+n12GO9Hq114)T6<L z&Dl?hLIsg(LJ6T=rWR0Pe`gaaNx%oe1pNTdq`v$qWs(OF?S~DpKwyEwv$eit$g+6V zK!A`>mWJ+$SF_f)_#n^DL9`I(E4=pbe($MR9s^DB`1fczh^`|#g_J$8(D_9L3lTm{ z31WFd0ZF<SqF@3O4J`+nqcsB~CJ;(ul#+yi579c2oq_-sKKx3Yhs6zV+T2Qv1qlnI zIM~_vkRwlaD%co@iF^!dt7qCvB<TxcFP*hW@~_^{`PiRnM6m-^E&}KlpaUpMy~tTO z02$P9Fh3weG+ks3$lzgExH%gWFd0*_AfaJUy8x0T#tca077$^FXZ8r)h(YaL`Xs?S z!5(4YovQ>4ZFJSIP8&7WOy1hxW=4XjRocU-7k;nND*NJm43Vbn-0+k8;eTIVerxT^ ztyryaKq^pv=^l<1^D$f(DGr14XEi~>92CDq0-{Ga0ar^ol@9zF#%P#D7+qaI8s-{C zvpYx%M4Axho<D+zoEGBS>Z$CC7ZM8I!AiU_1yZ{Ksm+1Z`J+JUjxg%E-+$I_pBvk` z%vgrb2#qeQw2MC8x0m1HL^~;YYzibLi9C1N+St0FJ5G1OFR1#L!!Bif5O)^C{@II4 zvm8{IdDsHGR10|eKA-fW&I2CZ6BvDVRVw&W!mb(BOn7P3BI?b|1Y%Ymo3FO$j^Pzv z&T~DwP*sGZ2|?JQO9Cwv-}ie}pdAofEX}3Sln6YoH$z*p09wZ@jf|Jagy4G+@wPJ= z2`5HcfSsxy;L(2dxB?y)z*DCWc+$TIMd6(a@LeSuKsoWnQYxO4Y+8UM`&8@HhC(mY znW%Na$QK|1YW_z%g!hAL1=L*-@B7s2u3Lbh0=4cH5Y(sU2id{D&%EO8={FDQKuPb( ziv1>YEd=kGUKNiIhwV9giEDFp0D+E;ccp3qWErBIgdp8C851)UP}o!))+w^dgBDQG z9T|^6>^Rbn;=peR!+-nE+jAFo2xi@_QZf^RucyB<q+|D0xlyKG3{Y;2$EVogQjpdy z%E*W21IQJLF2p4}OyJXLNYZ_#iHWN&)L9igo6y4mG&MX+53h~TBZ4Rnc{h<TLS**- z4yS&%V988+zP$e6kPHi<=-8v_@?Ubv_Ck3{7b!=FksM|K@(782<rQf!naR0a7?t?l z$893|8W2vvwb=)RlW;BPUxiyDIeY+kD-!#)ueG?ES776J@gGE<>6I+AQ(;^jjkICF zJ|GpMM__cC%O{?uo?vq@Bv}dLgv3ogs$vy=Sm`#Sir8ydYuV1r)svwQ%c2<l0u)@_ znfc0PQn7svYA>I>2FI}WO3^PQl8Z;V_b$}4spVA9w^-TdfJBI;B)O3d5*f$P0*E#? z)yJb-<=XPeRYE;UHK})BbAe!^1n&tDwEH*M^4~#%+#5H~fG{0o-V|SOC~z5Wdj2uH zef}{~y#7Xyy#Fz^|1q)u%PjiG6!iKhdeIt&UyOQxF~ZxQ#HBE-TU0s&Eij=w64CLG zIXwGnrY|*$6PK911@9a~l3Bi;z*)&hovp<?y1a!zug9~@bpU276BSu6vD5_3gJ_iz zTUSOPpX|&Zki?RDgb)>3Ey3Fi1dK9btI8JTl0ASxP0G<oWMqXz#%n+;Blc@qx?HmV zN|4$9`ki8WY+0G7TyhYAyGTB=j*Kjph-iW4!IaNH$&M_nWR*54*?vV8$Ph*fPZ91l zt;#EXI7=$b_UYqQ3hpfxutkur10(WM6p3^3jv(fx2jPlvax%kGlYWgSp@bYHDdHdb z#DPh3gs?Wq`wkNOgZ&vfDp(?Y7n)tDrn&H-i==A}lq;sNO?SZj{WD^E`iBrwkYpx8 zl3PJd)CUtNntdD-_|^oPK2Xk^)9vi^fCygpMbXHj2o~8=*mfRn8e>x>1dn)n8%hQ6 zQV;X@1VG{%qUODtNum-|&rykbpw9(bmk=%kYKn+{;Cq^qZLIB0P@dc<%zZkkZ)Z6Z zBK;lixJj{Zpic){*ZN#4PHLda0Q?CA-WN5F)-M<-fvN+;M-Vt))Hz1KU?c~s4TK#6 zmIlYttEE05eE?XR97nH~#sK_2U}<qYyjq$A!@Gc`&4HK)SXu*NJ08)iS^dLVD9Q+O zqKw;AE)bFL88dMJtCwLr$QeSehk*vFcoXOHfg57*07p#)iN4Tt!;fDP{(ua%X5><w z4o@0m>mz$;_*iU|R9_6KQ2CHSeL?RLd7~69a-zfvTB7=fmz2`IOrBG6!tAr-F|jD( zC?T;};-NuO=B#+s&3Cy;Lhd}?<-zHqRKmT(o9XnXj1o@S1vE~^JW04^Q8QiZ%=v-o zqQa*5Mm28(V-G#@le(TUgU=bkpl98bMs^M+qK53s9CdB1!HPN$G;S)i%rwL3^tC9N z4m{RDY+ZGtKOfJlU;NqKAnp;6PS2d0&>ayyK0P5L)VuyL+7n0Wm3*cMaJUUKC6<FT z!Kwips@9-`$I0s3$s)7_DFvR5bM>7WDlmJbI)g1aBOQ3e-eIm_2@@4CW074vO4H%+ zN&gx=B=lo!S)=UYzO6EK-<dbz7xDn!=kA+dc+;cT3Bn{mW~sKjG2Qd%!K|$-V!L0n z<#Ma|sXt%4Umo22AtZV@S&0rU(fi}<Giuix^Yq^V+<Kf)YKI8@Ocma{M8<)u!lD@V z_cNm;L$1FjF+J(Fhg^PT2}FuA8%Le3eiBzUKS=P%w5x`m<b^ptt2X%Q<o_^k)V8gR zr1S<?xOW=cpr?xQ4s7Etp6DjGGpo&4{9b{IG+q1-od5mJaM7%O3b66{Cd9@ZI%(RF zYYy=+-99r)&vq%fizUY1_@OQh)%~Zd0@oxbTcf?4QMwdY4bt*>Xd4V4P1e3@mM&NE zI|V9YZH1o};s&y$Ns1o^mELB7@k<g=D@X6@@|j0sM@w2SN>de&kY`8WQayZ@lo#BG z&1dz6)yGTzrez%Hv@aX)H><N@7XNCY_SnZ!=fc0}y%m379V1&hpzlx&_$0uxBek&n z%&b~^N6B5r%sG2pIhnmq`*SFfH)`y_JUH7Kreb$hSCbjDC9I#_#wkiX$||m+r9)bP zb9KV|amFZ0l=2a@OxYpRj<evL((!mZ=4>#x=Iv?LRsCi+QPoJp()fN*=vPh-=zVn} z>M(iSzEA~@v2Ab8@RYw*4B}RhB{4is4t5sg#&#<2vbhMg3GJ89vBYq^u-tz8gBx|7 z#ogJnTn)aDdPAY3_z_r(EP+OQ=gue60-qhxtiHkF=@WSlkwpJTBf-)R11JK)MXc_S zsbV04BV7J=iE`cP<HwKPr$T=k{GKjrdRe_jO!j0&hbNP06+^p5w`jJ5ezJ|yilkeu zxUiOBxX{X_b>C%vjhy^ulT|@H{G?{n^jIvD$)3vL0<R&1t)*dKC6?*W=7*XU@Z@!H z5k5N_rdkz-E}XUI!-8WsQKb_Ym4`!{yG}w<v`;1qv-L>&`~3OO<IdXc%9Gw%*Z|Z= zKkh`eiXAD}zDj+jQq}uR38hm5+JtQ565`Pap(O(|u6HSFHmUp}>5E~Tl=Qv7c@nYw zB0GjYK$It69OlT$ovOJfBpYjz%0>v)8t!BSsmpnuDp6D4v3APHvEauQ4W!5JJcI(? z-B)jGH{cD5E0Xv!P<_cH$v`dOTAGOUL~a72PQRB{EqMRDuoxj<94vjNuByvW1TzyT zBX<+fOVsWRq#JonXU3V3Og@Am8$o8NZGz66f<@M<CTmj_pBSYeVQLCPtGO5^zZX1w zrtYeG>7>wegyI|0*`luGd80&4%lh&MSZ+}scXS0_c#_nA<|4*=ge_rY!X|r@!b%g# zsDLi9jXteuiiVLlutq0hasxW;*H9ja<r9f0)rCazYisO_)r6HiRfJCV6vD}yK9FEM zMFjxN??fVK-vWT`x;|!k0FVZi>}iRaHx29;In_{C9;l)ci8%TcAoc~SvSv$5R7Lkb zxDcMsEw_tfOA~TTl>`b=*_4trZ+i3w9Q@}-dB7zSg4(hj5-I1BS&={vU6MorK^aSq zsD{P*2n^nM_v263?!^(=C!3?oG~KxKt7a1xw=dldHxKgPratPdun@E@80mWZ{gJ#U zQ0V=cgxzG&t9TP?+~B{lXWegnm<tde9-0@;SYQ|4D@}XA-cJw*&OG|3C7}l8`@Dn{ z-ydwK#P#B=3iDE|;I2|SAxNFP7@%>Xgnoy>OGOFz1T6@~{*DF06{?A`g=!rmIu)`d z+l~Mw2a<`25aPw(3#Ft7VgzxaY7@>=oJALI)3p*P5U!@i9OC!N4r@9Tbowk|PhTSB z#k;6sV<Z$~B`b&}$x8+R%JPmSfHw!ye4($R$C|LGqX%N&4KCgQsv5Gt`;Ih-{-3B; z*MCGWs-OxjAflk4wWkS1JaXERfP$C+Sy4cC@8_#*4IN7WEFi1Z_?KAF1A+G{JMkYx z)c+tBpZo{0@;``WRX{!Z2(R_L$}W`tM>O@X>^M*l7^sJ{?QcEED8{m{Z65xWWnu}S z&Vig@BJ5EZhe(Bmt0`g+I8FS3OYjz28;_tT@jFBv<D`ah1B$VZF@!uH^hm6G888qC z^N^9A*Cy}8V5R<>2q6!Zcl$CP_+kWp?k;{>@P4uAeR_Aaz5#x)^LzQa`K0Bx;dJ!a znEOfN;x}X4L9^R$-R^FNU(dK}=Xz~^-xiE;JN`tT-M-D~nm?#U68a>0|B%XTbaJT$ z8`o{>=_^jB`w4(Q0soiMYxAjX7B2D@j=FQL|IkSbo0PNKV1KUjAi+YTw|Plxe&v19 z7a1oh94>JiKX&w)ylCV;MlDg!)ppJuLT>2GNdX#fmzqv}7bw-U;|m##<b7q#hneX8 zonF42ydrTz7+hW_44gG5ZZxUctT?Y1bJs*ABoPHnRtVM^UeO(Mj?P<8i1#!!N5Rko zI0<MN*f~xdu~M^oabf4?rin_H05l(xb*5Ee$Nm+1p#(rB5CJGP0Hx(blLnyhf1!OM z3Mz}R0}^p997_M=y#Ty2@PK!pBlds1WTFZxEeL=Yhx7aYcojqyE^Tn)v3EdnI{_S> z&z`@OXy|aUht;#c4-(TB9sL}J_GA-{$ndmLo$MBQOP{WQr;~J?FJSbzqrm}d)QvHA zh@2et?E+td&u{0JaPnzGCi#IzWtTV*Yt|8Qk_Rs8^SO-GX@E-&RzQL+)e!3#wuDrA zus9J5>Ib_Xi5y+@4I#L1f#(RcLl7?M_|Ou^4W1+gA<Mx@+5sFBCXiU}Nc_H!1Wf2% zADF{<CWIj=-5+_;X2k6JMkz&z_9G!8_%uj_3@CH(;)AjI0m=ykmb)%DnoVRZU3N0$ zfQb?uM!8zu)+{xgeLm+F3=%o&HEn=Iju7e>W)}@)nZ8jpk;r|%fCzyfgbTAc1}fqr z7|>g4@rnB-5cC$HGu>&o*sU*!+U4D*9LM-)qDfiXVDN)vaj1P|w#pDvwHz4rw1YvG zs!gZ3t0MosjL*_qsnD9&jm+HenNYF8>6u!6SpL?wu3DXtZ%(RRDl&cU6_2$-)DDqy z9WU2(k!A_T=*1L_AjB~1?;y)JJ;m&Z8K}4#!N%zM2=Q~K1dPC1fNGUCi0;0fzEu5Z z7w_P$pF`Z0hWz^pZ|8pN%T3Qh>VSTVV{a?tQ*3%aS?|1gGg2scGRAjq7&S^JM7}@3 z&`o5k7;G1to}$njfr(@>HHcL3WGfi_7mJ=VL3unK0xUYTDP+U9+F|5@!(+%)FqJ%g z!lare_Pu7L1;~I>7e)<uVYN{^L=-;G9f9AovZE)+Nwgf9IOe@cL$4X}HYyqMyKRgc zZ6wb3h0-PjeLw}601pSVCUv`h));q)R$!h^Y^#^e3<uJIzKR!BmlSubB|aQwW+w>P zti*<6fsbNM?N+vHZO@c=Q{w`BQ^w$3Fb0QW*?o~n1J8~?zNL}bH4k<nmW!Q}Nn?=0 zp{7GQ1Z9`)8b(i-^C&0Rvg9Sz<Ryx;ij|rQsvz|TDE0Y7CRN!C<wwFx@}fcOB4RVN z%2OG9O@E(!17MxMfJr_OX?!hvpNumTL~SJzy`oHcIvb$D`i0KM6oS!2h?<!NRU7v* zB9Q^E25Vc*H8m+fV<wO8z_f=UUzB=*7f}-zF;bNct`ZAN-SnJmNNezO0Zt5Lb})2` zb{{BGowa~2$gGFKM1uMqAAl8(#1a6o{_4jKNyZwrg>)~kL22o%#PSx^WHL766zAf? z8ADu+$U157%H6qyx1GxbhPa%Ob+T~IShnh_MW{UVxK~l5GhD}==JbSTwowi5wI!qo zj6bNzUe9-~ev)SR>Y0+<8MExyJ_lLSLwAmLc}(Y{$Lae-fX#nT$l4c<QvXq-zch|D z>%$jJs%SbEpCnG;H%pD@ZxFuzUi&rZ^f$Qp&S(5JSkL)4cwP6=_%#^g^2$tS_JQUC zf=T#)gBx}CX0Jhuzd?NAhn4!RoncBYr0DR)i;T&H@R;O<j&m80HaoB&7K;HL-UowU zYv%1`m7PUk^bvEIFXF_D&+MO*^;@+S&LB0E!oV4MiKAdbi@Je|M2?qjjnPGaA=P3H z^rLR_pOl{Wtv;Y*^3k&o_gU+LzDo)+z8aLsg-h;dS-6C%;HqmpP~*BhLa}B;&4Yes zCiA$$u$%(7pCO-tZd=&uMvJsBZ>woS`l?ewGMYt%*f!bL`AJ$t*{0)f_RsM(?U(3f zrrWv(P1)*F&B+~NneCMF2EAkYtX@h8E^^^dKf^ltJK%=}HOWi<Aj(VmQM}9hqou~z z8R5=+n09}klku=bNaBg{$M=)ZQ+d{fBmYYM3F!82r}J8=0y%A80H5y5AqmQ}C_(hk zjo^M>5<yRHPYmO6L|a(xOy3dMUnWmSj|=zBYuvp~zjtY*9Y|wl1X2(6f8Ubx+5Xm` zYg4V!WNs}xnc1<MH<*(Ah<;Tl^)cj%F(h#Bit(<)@__5ai`mvUo`lnuDOrD%Ym^%Q zR=|68w(X!b{YKP(F1<n7zZjAx&8Yl<(;-M__(T3#&}Lb_WB#VxbHApuVxch&55A}{ zLM)LeK2jBqV;V9uQEhQ#9J{H3?;mnuTVF%EZdDc|=5EztyJhwI*n_@5@VzSif5duI z<`L{+7dT2R1FtI(;YSm+u!9Tiz?!Lt1+03<6T^h{{@+aFHwTiUXr2@0YUV29K)r5} zz|M8*WMiMR0puTOluR1FAk30_l&f;Ka)hkTc<R1HM0+qLKX&?e_7SVO;5s|7HXygI z1s+ZCHsYHl{}O~PS2Jp*gT>_Dg$12mc3u1d^@@T&|1dK;nz}9jI)(2x;n9%qfc(o2 zHd4*#gf<k@Z6{VzM%j7sEb3Lh2mr)0c-w{*k|E=E6ddHctxVTeB4`@nNYF$r@11RO zGQ~;;BO^3OhhzLfxfVwI*oXRzTXEyMloEbMQDDm&jiK>SZoq<aCVw#cp`pO;4l(sG z)I{%UTbiipNFd19UX8BDatZ^fW_K_S)Z<nsDumo-(>_(JlK6vrq(J>V!92m$=*neG zapet?h051^nL3$Pf(L}{lAp`Lb#y;`NOXSHJHYG2zi)C_?vkU6QtgyZl(c<^=jJox zCM2eld@PvA1ZL?EB(hv=cn(*_EB1~fk3#4EC`{Vai53TE6Mv5<TI(P&xOKn#6eX8R zJp;!WoWm+deZE~zv97ZlEX}E@x|O1kP<|ojw`}O?>`A#s(wt@=8`MuJejid#IrqJt zKI~+#fW-OjVe(ixu}+BF8y4vT6)U%4%fkX|1_K5pzCt#<L6%u)m5I>;I!QJ~kV>7m zbix3O1+=WPm3@|}^N0i%HMR$iEQ&r7NVHV7_@OBRB!_oMmq?I~BNM1pB!_K<Et`xo ztpZ}55EaF`p|>6#(vvYYWD@~oYT}_1^QsClXm(Wp8#5@16{_Y^4w8d=)kx(P!J5-R z>yyxDh)9Iwiv$(KsFEXHNzuQ0q7o7LVwo_eim)dWGq@8ZM-fP+@#tqjPKE^&!b6t2 zIw1)xavp~~eKM^yUc^`yaFn=Gqd26}1oUg5b4JPu(V{xK+m@XXO6u%gQn6s2aCN+5 zw2ve@MafAWdtof-m}7l61)y9eRj}-Or+9jVjD^av^KgQs9RKKw3&ID?awa*_GoCt` z2wJaCs3u&LDU#*=q>^|unuPJNUKJ=NLjQPxnyx|TeiRcjKt6XMA5;hr;Y9tkIkrwR z->Qpw0@nMhE}Xt-35F=uF#cF3)pgl*)9v_rt)eEzJ_)Isj$?D}ufKTM6do9OD_ZqK zC;jPCOv<-+Mp*V*cYg|+5~gZbh9Jn_l1T^B^V1v{<IC3!_`L;bf4%3yQsCwE(o4|} zq#m-T=vD0;PB0!D7=73t#A3R%M@CFN7L0`PBYO;j8Pz!ie6=6``eI?!=HIVl(4`tj z%<9S1sGqxl(!b;4&9w$<2Nq`pguwjGWlaq09!imFZ1czXzohxzQ8-!P%GYrC)WjQ? zukXmP<fO!N_e4@_XYy;m>fB(RHcwVfg(-&B`PEk~a$TJOXZ>fnPSatY5=z&2;?rtB zC5Df>pyn#<ID}OS)?~R3$S{X%&x}v8E98h!8g0Rdx#MM7jbkaMAQ#QCM<$e)w@H~_ z=YYzjx|1X#9LJ~=++x6+JgN)f3N6?OKSDo3WvpA{Ks&0r$IuDvf<$A`-4kmXi6mu{ z+eXwA!}|o3u;zH)(?;xTTgp<Bfug3c?_8lG3b>TW=V~TP>~CXWuDlAgmB>{vh7f~^ zLY9&pauP&@-YbtGg4SaXKz)nxTBl2{3CR=E*T|vsRO>MZlA;GjgF<idCBgi13j5lf zR7f8^6O^IJvRtdVuw)~^B=Vs2BsQ)CTn!sgB33zXstgOSh5ZcUSFj9-+T#OLg0c75 zV!4)ju|9~pez`$2)e`qwZAhxK0BNs4##=h#lC<KZalzPPwU&LeKB#zohGR9g6IxAu z$fI++_MM&z2WnF>9Uyr+&zSpEhbuX&OdLtM{`Ekjcd=4S;1@N%q$xY_sg>cPTCY8j z6tgNF#5M*R@Rpvn(z!#MkR#N)i<Iu!ea&TqLI&j099wMF5<s@3O5D~K0IFrAcG_G? zjteG?DB{42qsE$$8-2Ru+DkH#qNI-*HH(0$SG&4vQ+)7EPmqx_yV9oYJx%T~a#Rzd zroopTo#hB|JhEZor*`sPNk)$tl}xyaP4wc^Jnvyj=}2-=6JoRkB?68_ne7-GCD1Og znsk-GN|lf-HC8JTxWwR9y;S1sIswe;+6|c1yxh7#6a5=<b}ZRZ1yW$Njfo$F9L5et zb}8w-GF7cI#%2ey+B)bC?HfI#Cp2-2mDn=qSg7@;>2-YGOlXVOBPD%Sbu}C&qFX#- zK2&NZ6~~P#I_!uAB~o*h%D3@43@h(Z((_gWZDq|;uVv$j>j7>4WB;IDtHj&k{nuWg zUhDWTyB5Gtd8S1ZI@$CPN9?kHw@LNfZ#SRtm4{vjO1JU=N~i58;@>hA82)R65-Kk^ zoFu>O;|3AD3f2Px!`fP@teUMda^FvZA?~{mvQ!sCI#DGizT;1osBt%7t+cc0Sdl5( z9gC&LwAa@{+0i9B<HDl%s-u!Mk133_^H#6r(P~VP8BHLSr(9gAJX$Z|(Rj7v0NZn@ zxn$~BB)bN2MDprlEhv!I*X}X6nn&do5Cs6z<13GXi+JAux9thnQ8E<}HLDH~I$jBc z%bDM&BkTXMgR#68&(6;Lm;8PsDxJ6am;fh{Jf{ng27`sRGjUb}x!>n<#I-uQUPNf~ z-yG43i~!4qmn8(3<Q@L^XfXGd@J6Bw#~fc7ZVgxUz26ZyS$4iz)JIQ_#MPGm+KTrR z!^Uw4OoG=e_MuoMG>h>EiQ|3(CwwSbd6mVnURxHvd$T1yy8NoPR}zwEcjwDRc||3A zOLt&Q_9xC4VC9l6!A11r4~45DuQdhTF810Cru8+qPeErQpiy+sdZr_fZ)RBjo*(@_ zb*^pvff~aZ!9Vu&IjE-2dR~0lm;I4;nacRvn&>Tr%X1u=q}DQVF`AJq6jtk1moeSW z#-HZHkSf8amG|6FPexy!FMo`0I2j3ks(n5?5f=D#x3~7(MXWj16~OiP;qA`Wb*C4h z^GqIoet}<<54!40U%H>qrdFJc{O^6Aw)dK+ApalU-YTq)Ws4TZ2@b)7yF0-x!Gl8* zAh<(tcMGy`cXtUIAh-qy?(XieaJSned!KXum;2qP|7BIpF~*#;x>v8+)zDo%@;Ich z<f2u|GCkm`)BmzW8_UXav-k5McxJfPW0%sU?tX9MisYm_8B=-M=O&xQ<N2U4)uhe) zY3W>*r43=q`}TTisFUNptIJ6IGx!#5rS;(n1Wh{we$)Y;GZWxnb$H|9^mz5Clj(VD zRoCX~-q!SZbGYT~eRrLm=3PM*cI&~_q$W;I_=HF@x3ajj=$-b!ucHk-sMdyRI2*ik zr*~bx(E)1H8Cf-Mx0<cT<1Z8U79`*s?;NQ+wqAa)yKZwneo~kpe?~GmeOX&^^3U3e z`^P5uz`L5sB~G}YKipipVc0YRk8FQCe;n$8G``+=n^&?o4{aM+`0dmRc$N)X>Sl`9 zu%e&F|7?imzUdya;C_$!=y3jm$DI-Uz0Ud>QQl^^e94dXorlM2{|68E$E%&9ipI<e zT<u`=_b&82O^vy&h;13`Q4b48OAuA}r}b}x+aK*_#`;}&e)&oA6Jpf2>9nl`3}|oA zD8Mf3jXU}56vp5!lRQ6ydVDK5pU5-r#LT{@t`V{RiY$~;CTaZL!kgt!qR<;5)ZEs3 zZhO4z%!Nuq!?{V85#`tW(5YgFmh9{jGQ2jlO1M0k-nkK2|K}N11mXUP4oPTsaZqXK z{iDmhX$VRx?(=GKR_9f>aPO!f&W8FM_n9b{Mw*!@p(cG@V(#C-ZEaqH;!mzEk$!n6 z8whaH*V0jwk8a;El0Dy0UJ4IrpZ-|w(!z$7Uh<<c{xU&Y{Crzq=x>ykxlUSqe~AS$ zB%}-=iPVUFMTi>H2p{RhLvE9l9|&wFaY6B#G~Dr6<;nO>sLTE<InPD(4cg*+C4=Hf z0%L(CXW{;??J*zOv231VV5}hh5ER$os#ur2*~-|Wn;^*$)cc^2P!~pG)}IU{%?tJi zrkyJlJHA;Be)W-xenwG33BXgmEvO<h3W!BW#$v+SBcD5VI(Z9dpeK4b)^#eNhiPCr zU^rtkx9}$^;1O-ZidNo#+_0TUWgkRekn&3C438w-rNLbc@)qnnZD_kiP$cxZTTxKX zWsbspbcrGLO+lk2Qt}Ql?v1{HEZjL%z$g;V!A4QYIu_50OzxD#dMakWsl;WzJEgpJ zpGC%fbopjHZTXGg#~~Ux5<C`34OGuQ3QF%wWt5UgFZHTil3R@|u8pMNx=z0GL>9bL z%8(KM8unuecuH7TL2gifR`B{lk@_>uVGcu%$K>y+<NTK=eKRCc*%3tUha425+XI&z z#v%*xeNYMZ*L4)w+NX|-WV{m6w<+LLV6q--8w*X%Cm<YVHLouUk{-d0H#4z>nY0i@ zUc%DhzadTQVo&J4$wG~K751udikd<2S-f!#5qWVCR))DtDx}8<AkjOCe4|I`ebt1_ zaPg>=8wO436{{D0Aow-R_G0ds!^3TZ&543SdsE3k)}uEG$#s$z6P|rrSbkZF`03{C z$Wrxc<8evxHqmpt)1>Vg+;6h&M;Jt64PH5J9NSlZ?0~+mICi$anz`3myB;}qT2Q!O z0xdm)kGY-O9xWGFvxl|wn7!98LC&K3A~%<Zp-;ERF*~GTtz@JRjH$XQ!RXq#ChUa$ z5~eR}N6C?Pu`7If+w1+~OJ;@1j_nV%SGLN7&#gz4-p*+E>cE<f!VfFYn7Y#(`cGcy z22tMQt)1>VfrF*cW47lpdFn%TGo^JKlYvKdiKTV8rFCe@Ow!gPYSx)!%T92aYSr$E zY8Bj7HHr62ik$h^))R5dZE`AZ&s=hQj|=|=<es^dmXY)Cor5pg<4`pewkbP0QaSEY zDNh@@rJ-!BV=%Oob(*tUrQTqkkel(ehvr2?u*AUmPEe?C8@`P(r(}pO?c{Cf=WQ6q zZ!j3_6{K)n%C(NU{~fl-Yh1JZu8uP|@ONg8D|Cg$NG(Ohg-OA>Y7VeIGf~H>S@Hqn z?`QV}E&kkZTc1VEzKY&W4pCU{>0og8sESx%ph`73_C`FH;p#AL3;$MtC!dwCAWl55 zYwS_jooT$ze|mX>enm(l9z37j4?D9LRW4mX-`p(a&ZF}EiT?T{>SRe#C84g65d(Y- z6?iKORi~D4^{E)ZkpP_Z&=9~ekXS}k>_-xy7y07*ecg_*5=2kIjNydal+Tk$a&siB zh%p~g%Lt@wINW$edOJU(QXUg%Yt!9BFS5SqyI=J3`0<2Ni#ds+^RBaYKB>Ygyowc1 zU3RqEk2x{W!MvAtQv7Hds5UDm^zi!unNp|&<3kaAyiqQCETEcmo2^QJpO56A{7`Ge zO-11DZyI=1Z?*h%5EFcT33a^a!LK)qVcMwq8ibB^t0$mOFFAT|HkEs661P3<y7$mb z5&IKFf72ws7i!?#iDi)Y7QwWrC1e+Bz&h*8=gri#y`e-TsoaV>?aPl+U>>^N{La3? z(i--S!h+Y(!;Wq<R>oeafl@rkjd%B^lYJvrhAdMv<-Cu|#LE{-<9{`qgDi@(5lo;k z1?B(`JH26=#I##j#1D2`mHjuHA9`tUf${Vu>tt=c{*(UoSziE<-fkP$lr8ARtK9#u z7okvaLgSx}_{XW9DCUIyORvEI*+wh_kUa^=4pP|P-K5V2>~zhf3BZ=$pWUQ40PIwU zJ!-k`%GF9~qGU}YLpv(USR{M)hSex(qC`z2O*^X17$ke<hE*GBqIgZCk9JgfG4}TK z4XcX4|F|_xWbK#?0h_vEl~tN3TGK?#j)@Ym$s1PDrHLXnO$6+iHlywBi5qlJrPA@( z-!$Ni_cri`YucFRC5hjO{upU>X}S42`+kiWRX^)E+XlAIcIB+lBEWNCeAz9lt9(lb z=bDKnu--bL^EW%2+Pp?&*zC`Tf%Vocv|T69gNKr>Z0iP4OzRe+)y8dv@F_#uvDz1F zv^XiVhXH+-?QZlD=Vm<rb0V38qi77eaBua)?q<C8+X!4gn^ps}7D0CM{8N@trf^(Q zffa<FRU`e%Fi00eu@4(MI2tKS{Hz?{4?%0uz|E>KC!Hfvr0Gn3Kl8@|!&}5gVDELi zTZ%<VCJcD|sl}|#v>;!z1wws$of?PgIjFgMQi0x*ue5|wcjA8XW~#>{ADV@d!CXeA zk%Cy}WEFdxVhx+fg{;_Srx2wBlTN%fJriEY7?a3_F1`{u9URmnDd1u|TLVpEAB5T* zB~r=+UBJH{jfbX9$avJ=Gnfs{l80hGz`7UVGT7sX3zFhLvGu5dW<ic9XY$MCU-zX1 zlz4zrX$-ZQm9nuaEyoXqrj1TV4L-q7YFW1T?DKENNT;IFw(q`?>KRen!22_awI20# z(0Cs;fmavPJ49022b8O^tNXzC{_@(a8c;)cjXo|vbnD#x1Pz0JO_@lhL<=DUUWF04 zAUi3a1^Ga%(?P!y;W0_lID?1i-i0`8;U)EPnTLQvA12sNAcRt6<MZ)QL48G<!RG_v zZx3pqiiG$mrn3%1dPNNg?_Pu?#tE8GiWn`ym_ENVDOFyf``DneRU2ZWVn2R5y}&r> zseaYYk|r_8xCi4yE)l-^=@faKN+V!(L$%sh(P2a^460mALE!tq5`A1ju?%e7BpBv6 zq-TOg%4xpO{&H%aRKbNhnkG)>0aGA~kJfptGG2h_Lr$pEafo1C?7PF9G-Q9Fuf}_M zM9evf0GR#)=BFX&S@n-A@N0@m9xY=>mXu$*(ABzA;(sCq)r&f|<v;d~i$RL$H)CmI z+iRP;Eha*=Rx+r6!D<%^OZru!?+zswd5hYfct&J!Bj%1+5h5pT>IS7F<;-~WN|!uE z+2xakxCdg-a73E;Ddx4JzH}geaV*Uc?T63HvJu3Y_0ILFSm>_4Ca>2-8vL8<L-F%A zyX~aMvnf%zuFGd<dGNbhfA@*(S{NrV#G>Nbb~QME@}#%rdHRN$jm!ugj*1)IT<_d% z++%VoYTP3j7sjZ{%Em9W!8~<H*q<srz7gw$;Y}eR@4uCS*tOA56<qm1biOI3Mli9c zN=(Rh9q+B4c26%78D`}p|Fe-`!a)_1k0}g0O9rIoLp(PRk^a?!y8yo&%Hc~_M;qg? z4R)3!HJ3=?{A{?Ha*0o1?J-rabn#`-2>k9xi8i(&1MI8`W7{VqTjCN3!SyppzW5<) zSrt{--5PvNALej%xZSZU5JY+-w7x+~8NEm$Mn8mBqcMJ#WGV@i!~PQ}-0a(Ti1gnS z&<=ZF^FhQkMQ5XZ2;aF1c#EMNB6M(f@(@RJd)<8GQOc}kClq0K3EP<EMX|F?EF#hZ zzZ#^t(r2xiYBd+W6&aZ&<UODK%{lkcXLzj9Mbg|S;|H%@RdKkPS209-=XTe<I`l=@ zQ1rQsrL4*aV0`ht5L!Dk8*$2zeCb2##?fb{LF#!>4(U3P)l#s#4qPV5JoF+vHZG^~ z(-49N_M2Tw1QVJ{kbI!d(CZ&?yZEUTJ0bH)1t7#xUPA5W&?(W#2~pSG%?m|>i7>@K zGUs&I7nzCwd=j<qU)c)dpMfF(13gyp8P>C3PdA2i`@P-kn#omAoB`#4nu>YMhBV5- zB`JSd!!`uQ%CetLix}*lONX-KORkpP#fq};O^X=lMtA`ds|VLMJJ9WUZWA3pG7qm| zMNJf^8O;~?q={}h$TG_>06=n@Q2_vSZaRoD4^IL>aGH_bi(=D3fLVTcGdebw!&3H~ z4R(`|xG>k&RtVtaHyBk|VOa^^6dKstA_BnhI%736>?R{lp=d@lkKJ^BxLHm<5M#ZI zusqJRPna-jVrI8mOy&vU_G0Wed!2H-#Gy#z;s;3AML(B@c&>!KI4C@Q`0;!dw-a9F zalz)N>^%6}LAKMhUnUXRBXo@66+IGpZ=Q^Ptep04@CHcAXR4^Z(LoE8dJEM3wvFf> zd&I~zp~6beR$}DnuQ!R^pF1fccd&?gJ>~UyeXn$bK6bV-*1qvt(M)@@_pZhqOt!>+ zEi%i3i-ISUiev^A)C$Kn6?`w7f`R}skz8y9MG5+bXAdzKG$fh=0YH2HM#B^$@4`4C zw8Os{5O?&t*09Oh!_Wqu!nT*N!UngQ<nhiY8FN8liK(J#=@1IYau7gj2Zq)m6M&2Q z!U(l(ds*d-VO;hime{>9vPc^p+Zj-?3T{IoSeDW?3K#)D6I7S(wGK`rjMaR2IHqbW zNW?&B9C+9XMsc4+V$>R0Ss<H=0N@6j(<KIxl$K;_kP_Ovrdy=uhiA{o*H<Mgys0}H z&v?}lWe#z3vtJSlP2$bcFAUTD+8rVpcNeaMeJe^-T$Xop89UXZop1rBU6va$K|N5w zIA;z7lnfG98Yf5*4rD*0uVf(qY|~q6G!S1c3hLV>9<^;uJ4L+j3CG7aMH|vdqH>vm z>eLu^ib3-2**Hy*Aij(c-pPx;B%^Io_<-G4gkQrMW{1}ik^y0idI8dz;%-IAjv=ap z0E2zj-Z}dK;X70Wwo0gq5E|nE!gt{0-h*7AfuvwJO2+Ah&UGS?`;rBE|Aq8gmi&Dh zPOqSDmlq=kq^qu2<oAA<O}(D0z<2~;^B;Od$r?X?IwL{jujMJ-6egJP5GF<(EBt=; zC?Jx8R`dCWTd9?VHo<{F%Ju#6+k|a6Rt#v+$@e68sP+0D2z@uuj~Y}6KRiBv)$UqI z^qwE0BC=@2U*5p^yyFC9vyA@!cnJD#H>2a!5Xd=HfC?u&uBk^jPA!1ZInsMv6Kk+P zwNELrX(v0XsYfvO75om^7L5nF)9!6}ba_u3LoGRvRwPL78an~sO#o}XUfF2(w9>%4 zqkpuTO|E|<8y!E8o^C{xjxN%h7N1>RnfTe?LSZoW494S^_UZ3X<5r&D?Qk(>>(|N6 zWW5yPkVC9tZh`xGuY<SCAOiTz!doqS%HBKb(~3r+W+_rQjMW`UsT9d08`*q>2-wfh zv0nI*t(cD2zv=;jICYM^!WBjtxZkA+2ZB;8TBf|K)pB9|NE66D$OwVxsEy&dz`Tq5 z9a9v)02v6b7X$=9d<ot;PbQLGPAIWbYha$u#}=n2jh>~53zKWTz*Y%F|4=gyuhx6u zF*alQ*y4aN;`<IY^Y|3Spe&n@H)(P!R&^_<V&TqX?^`N#ET89@zQ%>4Mg@zOq`j_k zor1O~L95ll6}GnU7Xo|58?Tk=BZlTDM#p=O)|EQPd&CN1nWd+&<7>;M)?WuB%5}mU z_nsPc6(@JqlSd=}$^|a(>|1B*9Pbb_g%v!X(vHt9wOW5|jEqt0te(nc(>^>3TwdF^ zw$(XaD_eW3b>X3M-kLxY+F78m=-t3CzS3wr)TJhx;*)^D4u6Lh!i(rhfc$A$l@`|V zx-T<5BF^htI`6zmp}7B8==AOK&)=iVfp?oZ(o;*2`sPjMKG{<!mvj)~fisZ$U$kc+ zCdl^oRUkqJE1<h-+R7mdI>)AHpdHk1f-Nd-mU<FH;VCVr;5+sbzYcEZYTTb@<-FT_ z$0nI??Uy}%k0_pXbzFX2(Mg5bGQGsnI<ECYY6r}%ven8PSa^Yo-Jg$XwkWzzCs5Nq zj7mcPQREHs4^S6JS2nB@^toa-)VOYlAY}#}DpMsv0tgX1D)1%|)P>}8i-VVu#Q(9# zhqJ3gfKr>3v8J6F$EipW(kuw%D%I?xX6maYd-&pyj(Z;E;`?J1s%dsM`#o$Pnb0=b zDU=})pRGzX08vUbZBTR_w*NiSp}x^ef*d3E$lwM=oO#_LlF=bJO+|d)DAre<1g@yq zUq$o~J2ZKaEGxIsce$XLX=TZikW8~trWv7@IND(Y%wX)vhUz*_ywXGi-Y6zXu_jKw zCn!yZX(aGLLR3N6UjJh1_cl>P{kb8FHCdOrI)F>98X;~Z6A5twVf&i~KxT?0-vMN{ zE^`Y&av;X}Wg^gj`F5U_6lw$H34=14+4=S>>{|mNE-*e?#bpm>+CkbRB>TT)*I!cX zFInaOLT<m7&~uR-$bOIVuW{f1KSss>8V3Js;Qp^M&<E_hB3NTAB!pbn=Jrj+Y&fic zjMRUO&4J<Amoe{&Z$kpyrupDs6MO5AiPXQrg{O^USELAy$bon^wINMViVxf9mxlCW z=CA)Xwf>rpYky7dzoz)=UlZf6iM{gIJV-^LZ){ocBiC6@ujxopl8S_ZS4>ihs@Rf$ z**ke4KWJc^dvUF3EGvz%#tnY`8AwUZ-*Ne_LA+$9nzJQ9MgtDLNgrUxdOSLg!<kEv z35pSl=t;b5o#iw0dz%|YOoN)mnoFvq{x=UA&YwIixqtIWhW*JiBl|Z`=WpVV4t_Q8 z;;kb8c=P@BM)}8^<gd5qKckHL>&^R*ckiE3hNf(AsbL~wX9kt)qDE1A{7R88niX@X zv-6?U!<;sJ-%XVx6AMj=kXTvpnw}bCDUgs<I?4ZSwBl*dZpsFP^e>81Gyf2Av1?p+ zsm+7X4QjR6SJ<E+W@;c9;jfhUMR;Nk8#X?a`slx-K0c5&e&7*_Ie|C7BQ-*M`luDh zVZ*|!Qm24Ac{EqJmxZ4|_FgCbBl&4I{S`jnwPh#UZV9Gn@Nbf|jvY}pLibjnhMF!O z)$>9x)uHsHRmdY#=^yZ04U62=8tsm#(geQ#aY4jwl67B8CVD*;oGo_f(KCd<+iKZ6 z=n0f#42Bh3d$>kx0(Ltcp!#<Gc3w>L1uq23F8*;n`NtLd#kC8R-7b0d*kS|$#D(`G z6k6HPfCGCt+OZZ7>=1i?dnwGe^{DN6@|o_N2tXyi?AGIhh;8%)D;1_24U6cc5`Ngf zYJg93O5la|w6vowUf3ZvpI6^TzWCXfooAKlo@8c{%rQDc4T=GrFbe+HDe<q9KJBS* zJ`ukOsmJ2<9)pLMhb%(YiDzrsfU3*Gjm>Kj3>Oa-T10OW5@{$}4{z%OaQ^bs<7Iji zzm1gY7BPx$Z}eMQoSx}bZtlkIF9t;$ikX_6w*Etq`Vm4i5TbIRJ3}ADTQcCLpITcl zsb`<kV_}Q7r}KfM64jBm);k56fRZy&IK}9G*IP17#lR1$TcE`z2PbJSscWgcb4ua7 zd%0<s#W$iWZ}x#3N<MM(3+Gw;WP|>cbopsWeK+-&l1?{*wg)L*4%l~J0DFyg1g(K( z6Lc{Gvw^V#x<IR^80Qn-%zhu>v;K~*4-J1_x5(j>SMQoz-@AkH1*+-+s$gfC0vA-= z_g@gCoI4F6-kJ*fteXkebjTlo5RI}B4Yb_gb)8^IPvJ!Td)!J7z>vsU<Yh9y-Aw(m zrvnTghvQq9b=utPoc)m|iG??d1ete$mb9dW1qS!dNqdqqRTnDO8<86l?z@7I(x*sY z^{!E6UHVIY)C7p!7*^y#@|*;Sjm+P2K9IOFK1zLfaK>!#QocV#4-i<@&ZK;la0OXe zLmNa8!-z~3d^(_{pu!qqzWJ)xhhyRKA7()KZAHQ0IlhB|uC^hfV|79of`kjDKw#ZJ zdj(}2W628p8?}$7UALGLQbLQdqn&YjQ(5;A{XG;ij{Ixg$$U)YLHz(`Ktx5^z6cJ6 z!FPp*4KyAWywSK&Hs!rVuR@DO_NU_{y0_tHP&R%4q!$BX_5Ho_EAjont5>ciFItfs zjXPyid{{E;=JwG+-dEnCI*3dZWSil5cqzO{Yu2|i*+!iH&1}%396MwWOg?lqVL?&c zUr9}=)e%)p`KZ^OEb~VFHM=NP^$_KH#21+(uu`JJlMESUv-f6$7N(*_D69{G$aBEb zqq>Mp1{9mV<%HESx_Y$FT*=2IZD2?ZbfI+t%=ufJgzWwhQm*&cCCyLqhSsL&)s z4%w)^qM!lARB}vZjM%t91a=}!TeGh!hVQBMV`f<26;O~ft6GN0N{PwF5K#9z2y{`E z%0(l%21y5Y!X!ro)|dXIV<$slleSMHo%n&C*vR!xVpuCQdafFss4C1q3UgN9aGO$W z@ZIu56-g}*H5$C(#U+m_t9q2ZLLP%%!gen-_BBK#BN&o6xe{J2g(6aR5qep>?eVr? z1u9V>?gB!Ubq^98Tm!H6h8yjpMV(+(J-mzIFJfJ@MFI97OIN+?u0fL2X&``(loj|Y zSmi>z9*wX=mVn9lVs}m_&wf338b;2K8S9^Yi}|*Dvq<ktrW$h}y3t``u&{OCiKnL> z&uP7XHI@fYg4N_F8hA{!ZX&^Z(F;eJk-hJ+e!;mA{BBR6-Gc=1&<m^Z;Cz9MJ~qQ7 zm{KB-N}X|Vyvs|PQ=!4frkYMDE6}nsRxKi?Q+J_J4ooFoB-(O!e1lr8@R1y*`Z~H| zZ-@jj#V#BNPBLGd=LR9XRDMBQtQDz26hJ}|>&ilRF|IxiN$R@qV9Xs5OV4VnGG@Ck zS#zx%_~9jdhD8r)!SxCGiIP=64}Pcq1s8(qN*{v0zzZT|;L%Mn;WL~_a0|Xq2py@< zx?MYQTz(m(qJ9NjmOlNfta{B#cq21gh`8A%$fBgzP_)c6$XT*gY28^}+NdJkljtJ0 z4SHz(cEnIwU9A9sg&|_gs}BG|P+6PA$5%%>9b4($%oXm`XRcZelY5S6dD;r`V8owN zw5baB8n{0)C98nH0L_Ush;f~WFcQcJZzzUHHg;m$LxE(Z5M^^UP!nA$`8C;?Z0h}+ z+c^ifY=V2-XULmj#sp(7OxtmscfoZv!sdZ)*&iA>0<XH#@mUe>tG3W#W!EtfkAnHx zbz`m!3}n~G@aJQ7Uyo}HWFsVXQJmZG@H=%MPRvPn7<!Bkn|L3`fIN<>K_ad{Y;0uw z^&1u*6E!%)(^&;#U%~cMvHQZyMPt<*!uc8DO=n}F*@75qfq{+MByW1l*#v_cI<Xg+ zK{8*P89Ql#@fMNDZ}y0$dr}J1B{`VSXFrrStMS!SC#w-J!Vx&=F9~8vb;pMWtP^t@ zjUU|hUqm%ZQVZpQe~4`?7-UBrGfdd;L59{GyEBd@U8xlr-1T43HujJUg#ZeHE`#jX z(F_w4JCLCc$x{ZwIp)MjZ=0Qr+*Sp2qkk6*CeRjb|4_{Rs#;(&2#sUrGH(#9Zw5$` z07)qzk;n%m{(!{5RJDMn50J0|5*&3woPG9#_`S7LA922;OSPQ0pCbbr``wJ(v;ikO zy+|r;{Y%~6&{EX`$u8(O`8y+8!b{g08Hi}64z0pM*u<lwEp1!xY$7E*&;_#k7KLv~ zZ{qjnj(sF{o;RvjAW_mG)rhZAO&yR)g}_9kqr5&_2msjwxsJIEkg#gR`vA#EDl`d@ zu0C75V1R^Pw_gNE1U2F%fRrT_Y6QpzpDnW^fP`J2m?uWLt+|TdgFN!tezrb7N?G8k zvh28i!VdFFGUz$l!%>7dbPA*SMy`i2fmJN3>~v3SjF<OnpgutwKTmFe8|+Q8318v_ z&WZXtLr)%#dFChAKC5p2>GRf?+aDafETot<6schCtdX?C-oSGxb{hVwJuvK@Y$vJ( z4+H5$B}Fp$bJob<fk>b|6#F?}%pMr#PPP`+kcWaawhSO8vPK^EMFP(u+1p-7=sVeB zfFvMQ`3{g=StE=9X%ERB`a(k8$)*7$CMjkiKvHJ`Yo!665bUaaQG00&Ei2}34y2vx z5Xr<ueGYXHp2lME?3K_iv=n?Eb5VOjD--=es4IBJ9(NXU^_06(64TX82ySg7hh<W5 z<vXCWjLt+U!8)Mf4s-)oTdpgoQ{bzQ)PCG~6teFi$FI+~G~$+IUn26%w8TstCG3-u zw3ablKIXt>jPYG*ubdUxW?gwFg909RgmWgs!8fI5o-0xiCF=J(mN^5@*(W`2-PcTJ z3#3JO+#m7|g3o{#hOnU0P^<{KqgFS$Wzz44cJ}o?)d+&-v|1FF&brqO4ZY_xIuFa2 z3$1R~ZP=@XEl2d6RF75aJZyRJ=Q3+|Ser_&i#1w#(!vfE7VMLjrtS$po&S&DPEiVw zAy&2$8pwC&xd0IuQ48sVwmS#c&fK2wmk*!}T}KW6-0CCDYh~tw$JZ&fhteJ2wp@A< zodg;`pLkUy_h-PDeu@Bbx<p8-g6dYx?W%Sl2jVu!TGBVhrWTK`Qz8#t+Yz^1q%?M1 z94;O2TM9_si7R<{iO0uyiM5!PyeiatfDkf32zwv|HxMG{#||V?%m$ff8W5rv2%&N4 zy82;qnCWWe-IqMO&_`UjxBC?7H^eY5{KQf;S1V+7qJ^0EER1!z3T#>ILD1NABL&Fo zk6xhIqP#T`arzoMGnclNY)X2Co8f%+?_~EnSps^v2?E8;s@3;?{mr#^5j^shQhaaD z=o~p4h4VaHts>waYI)zBAvkg(qnVCyu5vSNUr=#2YV-=}a7aRX4G{O={><!6gP}hD zQkS;!S-`{IL3-8Fm2_vu8?=a(etyu_yZrjJ_nKE(I{y}qz6yms{}z0mGDlF<eq1qp zi1HB`MJdw{=_9+feRHh`Xv|RCw%zGW?#kD)yVF@R5oReN)JCQ&ZUfd%m^sGXc5F>3 z&+$O_Vi(Q8c9#g_xIB0KvKxB_tWd9S%NoDE<oL0%wSMU5Jpt#d{0^}<xNAWUm*_+J z_XKZW?38F)$-D!ekM?^{fhh0ISfh<;`!V+T(5EyTg*#AR1V3H`QZIr{zBo8cGq_yB z=yFjdAOhxr;v3n#WJL!ti}PUc_~WI7=t}_hF^nHWRE;vg8qp{~fG)8d1N}5f2i#-z zWd!;U%SU(170tQ(Pa=h!9`HBZOH?R2hFWP6fyW{AuUg2sk0%`apBQ$X7Mm50e-GUs z4k^Ap7`wO`?KwNqps*~XG4wbQ^dTmBR3nzy^<CDyK`pXeN<`8zJkXHrycWlXS&G`) zx;<)`kL&X`X(JJmT||B6b>|-MN3n5}?fZ_@bsXXH1WmPYPJ(f94K)e-IxYpQm1TIa z3JW7xU^4Y$M`#1~zBtU|<<&kE!UwrT7R4&ZJMwQ&cb<;EF!=@NxU_SP-Wcz^1=|}% zz9~<jAjeq{bp&O#wd!h-zAN5Chx<^=tS2_AQ&Gtrl{M*r0!^i{!%Dte;jM-%rTCRE z*f*vu6%XTLMx_*qk~SEuziN2MDuL{I5AWbtDD~Zl6ditS!>y`OBrvWPJ>A(g2G31X z^D}O5)Hrp{xv>I5V5=5P<ADlgzNOfXVCHMU@s-1Qq0|S0;9(76D!e<V*So}u(Q&n} z)17@juwEQdjh1DR(^*pUS;NHZ?k>n>@xK>Wo|ku6Mx*Z-t;-bQ4h+ew$=+>d`uHu| zTGAJchAkC*lnqrp+$8!E4QrRvo-&_Ed`B(^$i<K7@QXKQJR;{@Tj{mvO5nFMO#Oi( zDTGr@&jo~jxuEb|a;h%53rlKR7P8vNkT`UfvAX!IH2+yKdgb?NVk;8g&M@>DiU9}U zuY|Aq#e-1t0SEC5OLAH?vf6mS0d1@<AdAp{R+C<Fzqu~Xf3(Rri`MLU)D=XBkZpbM z(+FbCrkN&Zj@}b+p8ip^hl=SM;-{fCYQO|qALS>fDH}A$c>L*Jx}-=bD}M9-{EL|L z5iwIX3ko&)VwNjYPLo5V#AqTMCI<0nGtQV+qvZXLj9>7p9TV-)UFN0Sy>sB0^<Uwr z5O+ufkL}-%>yrQ4N}eGHj%U*o4>MMbwId+GQoehQV=HG;kd4Fk&mi!)U<$9!8(A@V z<~cwadd+wYgH3hp<aw;RtmNqJOkDfQ^0UEh1;q9CuRL%2%xYBm?qA%LOz=(EXp945 zk?@x3sPm^bibO-pXkXR0t6CT*ykVCj4Al#s(^~NN$&Ovsw>@2N%A!$8)DrL^E@lx~ z2iQsY*f0fIs~xoq_UZ?h<p(xV2b#}`)*S$+VJ~5iq<W$!`zxzORqzPZFy&hebMKPR znzddGW;rDs(AC>-Ukx_4!XZ>oeky$|`{FWpXZZ`+g9NI&V1*#b@#vO%ko}U>yJT7i z@JP-!e+yk*KtTu)h%}}XPY3fW^2m;X%yY<tDH*o9(?6}#SY`NY%)R+*C-G;MPzO`W z?EthIK;7#Bba>MG7xE!5ys_L0FYCd)yL?i<*7DCbB9nb?JvgU)AT|dP5L=#Ra9rqQ zekFqAGL;qje%e=kq6u}d@u~vT7*3GjuecTvH@%2$Xa<qpxc)}=gg4H4CMt3S`_j0e z{4AKZto2H@+0c|42qYCUv?${K=}~>T;7_n6+NC_wi(*T@A*<E9^8Hg<*~$m2-H?%9 zIgRLH1|dwV<VLA_d<PV*8~g?{j&awu!Y=;)N%3DpSr)r@fNCLn_(1p{RSk+3+?xh7 z(Q#LN(_c&~xCq>SjoBsdD7Y=9`6}S#>W%iv*NjKG9h6Kr3*@AC#Iq6VWVI+4*}o*& z<Z1@15xi+2Yc-534)xcNK*F?&W5JLSgRUEv5&Ik7sD^b-;`a3qWllR$k3L!;d|en0 z^&+hfIZl{_RS*ll(f<1<E{cGdH(MXN8pnE(ZK-l)<$70){T11B1%lOt-XxP{<n@2_ zf3TE*qvGg~4*HZAjSs9aE-Ma*!~oGlkeVzY^7=;v1&G3f-sS@$2|z@7ym^=T!8i^V zLq>*zqbu}da)^{y(^Fv#{+3u5;slV7{8It>rZvYpO|p`Z7$YajARh~-T#^y}%dk8F z+50ZH7a7@K**HydHgR5yQ-}A|$-aPS;WYEj$Q(W&Hj;ws_of|nqYR|miO9uWEFvdA z5;Y88tBl2Y{<#`QJ8OO#djgu3rA20zhddj5;YHQ<BOARltNM|-)a>soxqH<6Pld@& z(sp97zb0N=h8G%Hd=>Dq3IThkn8i^teIycK8k;+<3G*aE%SuhV&ooYfkVcA!Q;@lE z5MVNp?1A1b*m^1?F)Js|3E2MyZLU80n4_^Ep6V0|wyfSAb{F@4!aeo-L^p77eZF-B zN`E|PDybVdAGkf-I<)h0Y`W27YkL5Dxc;nnbFV(1+jpvXn!P;$-45ws66OT8R9idg z45GGLdp-7mN_3_l9!{o0t7H9^tP4xLNzyaw-EH{2`DY5N>-4n`Gt%TE9To5Psoym{ zXQU4SFCb1m$`ry??Grl$ACny58QFYcyKgFNnodgWkt~#SmxPxSyVI<@ao6o>0*w?b zf#;CClM9<@R7mD0M|5wtyfu+~y)z7-`W|(MpkMn8xYbJv;Xn2SF!!EB_S9_uq8TDe zJ#zk@h;DoL;L_NHCSXoeq1`ILCnU)0?zo<DI9J`d1g+Fy&U|=0>MSIy!-4653`2(d zzWjU=4>Kd~IKrfGqPiuwvvY5G?{HzR*fewzGnYi`K-fGZ38H<*EBc_~jsISCvN<ll z;M+A@!DJ#K{-oc9)9AS1bMW%=#nv8pZMg<PuID%Lt4rrzJURwvC%dz+0gdi5QRZHY zEYpjsN)@TC4)uCXJqoIbZ2Wvz3MwFa#2|$N_lk(Zr5{eWCMch82QyoE=5ev_$Lg-O z=EkyBD&MRpd5a1?g)~ow-${T6A3tS|WC$K_eJ5%tFX!DqsktHfoWB3{veR!0Y4+hN zH{|oP(^Tt2q6<t3_QqSZhk@$3wG37o(d+ZKZ4o24EjD-CWWn0*ejgo7A)4TNrq3x7 ziA!^u;0sl?37VX1g+1@~)eHB}u1+kDZyk<x(!Gx5rk@-t4oV2XD;GCBtw)FUOwYHw zC@!u}tb&K6_$4buj+>VIRi$s%OiB>%w-0Tu16B|DbR89r>elAk%W`9NylUFLNeG|r z4|Sb*1n-TVNLR|%bWe-~u~wfqo-gN8Z=0O?xk;?7c7!vvhOKd|D~7$CRf+tc9q-p3 zJ$B|UY}^DELOU`aYm-(yDZ3t7NbGn71=W&4Z}Q=*9@ZX)JPP5!?rHox*2|AI=W|>7 zyx#Ur^{w;CM6DiET>&doho7x4`lCFmo9cj7z1n!cf@hCIokL4jo}aewb}9(7&Yg8y zY-!xR-rn5DrnmTc54GAp*RK2=(`ApQKpE1(c(C*0XlrpperPp}e7@Oq2=Kl<emeDb zYSql}R-{0GDm~-5L64Mw?vE6@v21N*d)$-mJOcL|IG=~=SU<IOZ#{pAezNAyv-NcT z`Rz{i+FR(uNgWN7@)DHy8%`da#J1<ij&@(gsZpXpTDeKJM+@(tjYh)HhYUxXeV8*@ zM~CH&gj%7`W<~8n+3g|Y#LyP;-yUfKK4D$qsY%jJv(T01RQ9y<$^Y<rr-vON2sbSq z;`sh=k43rE0I`Jjkgd`yS)WKrO<XV1_cD`iI^-8UqC0XaG?@(QVH6aDj5}7%mu8-t zNJeeweC;%szVW+v3lBf1@6&X&nF+2pgaZ5*KlM#r-Die)1p0iiCF&^09Yrl#Cnn#- zXYcq#Y+CP%Y51+gV$|kbs24_+H+yZnEDE8VU9@wki40S73lx~jEk<46{~Cq+I9S#u zp5cwvGEVYpZI}|Ii6L~Uzoiu7LM}sUt)!^@JgGN;bXm3sr!s=0AsAc<Y|f}d-6`8Y ztiq+W_pK8nRL0ap(%4qjOG1^3S+xhJ{gEXT*s#<5k?q2zjRG?G6Ggfu9~IzjGZc{1 zxe#gNFRnHtowJeU4xQSdDkHN<|GJqq3-x)5wIfF<jf5*H7k8rRgnYr*%i5sYe`w3U zbPz!ExG*dw=brSB6C+f7=0QEqMbex)kg8)WV`(1JG4E+y8LCLh22X)zbo_m->h>O- zBJJ&8`w6^*pXe;#I#9Aw)*;3(WtU8=CtdD^`pioqua0hD2w7r*LBkNlP~|g~yMU$E z^;*4s-%1!3=TsD@vLD6v>$jxrOWoAA>92i5>yfS$)ZmE&y)>>zkA*3J_gRd$wn*ts z+9Cr8*EJM&`tM6F{M{EZ1pBg%Zklx-8k-v|iCvz@jy2lo-R@_AZAU-r6879ut#@kr z=C#=XdgZ!lZ=<0QUAsJE?e$u^buBb$bKz)g%mebZmC`+MJ>MZ&?RZY?1-p{gcB^C> z@x06ZK~2vt-?=Fg)|J}#4tw*E^b8Sv1QyhpVW(CdtspIo?qx9bD>31qs}DMyUHu+` zJkw4_luWo7alDJ@uPA-J7t7SOqL?_hatU<@yOjYf6!$ip$qI?Xlwat$O>>1a@_xUQ zM(|hW)w2NK-j`M{;Gri>*I6drQ1fV53tOr$XtkHx-*J_#3o+Z~YNno?uG`DxpL<~u zThANr3!RlhS8Bj3V<i)kExycUxA&3kPaI3_Ab&CoewVgfl|{5umCumhQC1o(qZ<<{ ze(G&V!*bQ++ap?-#`9SS<hKXbI!O4Ulx5hhZ6h4nGXvZ?f`zF}A?EC8!Z3AZHdCgo zZdb|uWnP+KZAQET73=!cBa!^Lk1Q00Hr3Zu1xnU+rVL5lE)9Y3_8?Ujn$<j%Uh)@A z>;(h02iAEY`eIaMEN)$(^pXPJ6f<e9kO(jm&H3l>VK(v2w)=2-Xn1Q0!^Et=n9>Dw z{WbWU+h5f=?E7F??F~W_EUpJbOVodv;i->gGVh*%v$FvXjc~)2dcW7>=LAA>wtQIa z=k|7P4>jhuZg{EgyuO7!FrS@o=_-9F*nOD<x|5+7+*l@X?S_<;m$W5^rsP+3orl1A zuq#)C=@a&yR0V-`j>h$G*)$EcT?PsRSW?oRScF=~!1EevQTnfoe#Q|RR)+3P{A_xr z$~UJog2yAqHm~qQa-#Yva^$GnQJ#xa)PhPs+k?fZ=uV_q8A+p-b;XyYOCnPV@T46= z5xTzf2c+LTkvpJ%zP*&ugEjV&)BR~^t4pF<b&<zHqu!o&>{dCXb8t9p=XKu7B>?X1 z#J68CbE!xCG1GH;v1v4O_H$z`gLrkV&&N_wXwN>E|La;P%Jtg}D>H?o_t%rZaLbym zi<TA(7nYRxbwW6l5=f4}mo<T4d8vKN0?j9wX27Rk=%hntP-cENeWk7pxc^FB8o+N3 ze7L`SG`)P>zkKjpykNjbcasIzztypu-x9wD__?<z*inZ(U(mT17h`8BvoBmz0rk*y zV^2n+KL0!s_%2cAU7%utZw;B6VsV^K7J%)O!fa${*zkd`SD*ctC4Mf{a4(!1!ov1> zQmM~n4D~;iFY&GII-DW9IwXVZf(Lypw#}&~HN)z9pX}%M?&{=HpU^@Mbx7P16Lu)( z%uz$wQb|ajd}#KRQXhBX-(C*+3>g!K-3tdcTv3xRZ4_73)Jt2*6*c|Rj&eoKytF4= zQL`^CI(O8ZA#ia1><dw4AOu~H!Irz&so+?j&&>a@a-|NrKiQLw^MP{xHci496;~nI zAnoaDSlLf#yZ+6E0!eKvjK}C*STt;Dm3UeyX*p2WBCS+(F=T+c*7OLJGc@&fdhV`b z1pUBiKg*{tB~*RMv`-U-Llw}7Vsh*|PL#N#cE1FVg3^*k=>^w_oy8Q`UrNDVGVR(% zCqr}HY4A=4*=Fzv5qpg0JK?iMQGbCm-ukjaDGh5!VE^k^55%dWJb%s%u96)QLm&~h z9QA4Y)?mOI!?3FwH6gW$UDGjDos6X^=44^>NixuUH7Y_D)vCw&qD26++xyNC>6Dw( z-b&IA^6{IUg236glu?|z0Q?%FOy@t_E**JN==rFJCw+UTx1%k$saNO2R4$HF*&S~x zI6LiLtSyx;kN;AMmd8E%KT#9{V|b}R8{4^HVrysle5qp1Sfz$5Vw}7rn6Fx-Gr6+? zdY&ng%8d!IPIUdb`bUn~AzIjsit*GoNQ#FkFF%fX%zMgE*sm1>@`oynz^|#C=Z8Wi z@GEMZ?GkFy2LybW_w1NRlb?S<1Yq~#vieTNbo+X0_KgQar5QrHLLGE)d6!l5pS|LF zUNex6(=c=8$`E%=n;YVx(@D(;r%{?8rC+E%CHz3}Wy^yr<Gq=v2A|(};Aa-Lxq3+U zY^HSK7sPWMsD)Q~D3$|AmdnU<C;P6*%EQDOBQ+HB9{YJ16~rrBlqkJvoa>)*YHz!w zDVEn=V<noqC#b_+F3+Up=Y2|FFzFY}p4t*9C9-c$_rXGi)*`?2Fsw&%CnL+OyU(e@ zZQO~<;Ku*7Kj5nVv_Iiu{<IBnc7NIyIORWW2OK+<rA;W!hc|0qwKi6TYD=*dVh1~b zql!C@>Du<1gjjp4EgpJq5fes0B)M!X_Uw{}>-qsAQUT85;8Z%3!0}`9bMx9Kj-CbA z&+6tw(~=1mf@ebuz)jO-*cv42m6t_G%e77o(<6G(3+WmaQxA`Bc!{T|6OPU1neE>w zV?~yBV{`YGG#+tJ^=2QfYTGcUo4cPMENKY%OhM)JdaM@;wzyeegnE^3!FNhYwHXRq zoUQIV&8(J!Yy%Ae;Pi)IHJ6G!+&B}Sm019oGaSC>K;l3vtARFXZy>q^&w@BHy>Y*Y zr*i!+3XpO_a(|xkWX%Vp>BI6n4kQV5a+=q}(z&&7<>eGkVlia{5uV1oE<ZpHm*Rg$ zjH513B(!lFs@%-tv^ARLX){)9R7|O}vq;n9VDzOO(op9b{(+yM9JgI2KwvV5EW`fc z{BuG0xkX?;QGn~gTk<p$4n_>RA&o*fo94RTReNt4(hN8l)d7T`E8=<@kE<mp@Z3C5 zoReT@>EWl-H_|jr8sV0VE@>!X_O`897|e1q(RsGI!c+NP%+P1HNt@Tr--Etd64B^h zigox~4AcfUejTFLv=6K??k*~Uv;6hbRLbvjSmVB5M1wr-I6RYtmZNBorZCoCAWm+% zs*s(QQXb70fDemkSf(Av2l#8r22{Fn5}>C*8P*_A#~G~{(ai2(Fg|J-pF4qxXfQnf z4ij;!mqCSlTb78k7+|X$4Th)RVIl#b0rz?s5ogm2=tMC*|4s!N0PVTg2Z=aG08l<= z?U0Cb?j@oN#qjDo4%F`6I%6Up5WF0J97P4;a*(~t=%Og^S^rx23n=m*kWuVEp!f@@ z-OVc?>U5In+id58y>o)x@Y@>>@cNY2$zjO+jNi)uQnf`LiGqJLA+3o0&I>Z>{r-d* zHA6T5BIcb3#BR0-Ko@`IKYp8$fBYoDJoKRh>nsY|yaIO6D(adz-mYhEBl5#*ed|>> z1F<t2K6a=IIEmdl8O_T|arQ1%^^8wvo0o9;X`Qj%MyH816@<hMiKUFpp=~_3(RMDI z(=~r3_d`R8e+4t>_Wy+NXmh>$%eoIwuh4_I_qk1U{si}EOTPctZ{@GwiZxE#OIU$h zFZ){~TlI(TzQ}vI-G52te@V>y7jmob;3M0=qdw;D_$O?I^ix$0<HOmayWB-$zR_CZ z&a!z`8zU1^o4~a;=97_``ps6u0VPtz{paMSVC`>`wtrRSJ0`qf4WlD!x08sC)=$G$ zIq5__o+V*Rc{AY<hJY7rJ-2t+67uTO!}mEP-OII0-G@&+tDa}K)7G#e5uZrQ<~uHI zb}n&SLl)amrWnXo9Z$9z8|8HO<sf?55c7B$M84D+r1_8?sX>l6n)K7*j{9JfmhBMo z^u2e>gOxgHfKx81^{d(Uvok=G!mWWYnY!NmO&C>f0y+GBq%Zs}sn#%5nxlj;cP|9h zMWSw*$}R7-hJLRDs<26K7S_}2(#u~u5tj*S?CC#8)Y)mx+F;Br`*{FKze9$QNq-jS zV|b<2(-(dRo9Y{b<uIapg^G>Y4sX9~Xysy`?}EvXGY&hKqcc(Wb=g)%yEi3YwU(%P zE=M70J_gChlP;CWld*sL=1{>NQ1lUDyyZI<xiXd1HNA3>gk_+EWOU<=qpSTwQQY$l zAK2-BMYmd`{Pexy{$9yO>;#c_jKxh|wp;A%e#y^sTm)sNkDBKJuRh_=yTzsEgi8UP z7Wqjs{hxI6L<B#aUoIu89ZD23<?X0bD*bX>ypNi_)){&q7Ze-V9ZsfnUk2s~{abKc z3c415oQPzXd&k-f%Tw}s%voMl+`Hj1l9M|pTwvV2$$g}-ya6FRq8P}{()y;XWCf<! ztEhp^ax<G*RHK-k`=d{h9sc}>_=XNBvG{?}>HE)0HPP~hz{rH5L%7Dn8AUaA8UToQ zm%V0+lhZ^Y%4}C|4A_n4ik}U;ihS=c%q;kGL=O7~HgZ*Lq@)6k1N~p8&8OMe1ti73 z&I^sSOeEFvuxNtWTQ^vslENifSVrE9Ape=x=J@90z{i`1ViLJmQ>Pbmhr8$(WM($M zgDBO0s#&(uXoa|(wmQ(Qce_vI2jLzoB$8`4OTK_YjxXR;D#bEzab5Lb=Q(i`KLt`S zrpgf+?Dr;4_xZ#?&T*NN#b~X<rO;6SZcbopecJFmE;Kb}NQK!-@&&|r0dWj5<AAw; zO61D$3KKKI{hF}X7b#37Qn<~9CBHeL`Xb8Up;CJ3sIQp61jmCA=CF<;A}{T{yl&q` zK$Qy#J*bVK3JXq=ROA#))HFQ{s<lX46u4pLZDsDuje##du4rYfWUL5JJozo0Qp&bJ zajVq|t|1pZ7C%{eUpqL%FSt^N4_OJsicCdb`d24k?KI-qPFbhf93{1EsD;(<3(D6g z3@&>zN@!k^^(;PNU&$M?t$(NC-RAmH=}Z-hq59az#tmb7tcXKV?iO!gMLN1%28gW_ z`%vKLubJs2G>>)Iw<TbGQDpm-W(Ibo&TGZNa>s`3+lK^|@zT8eZmXFbHtk;2TSW{= z+}n(VmBj$q-xbb-34dsz9MM>1OELT#av?P?w>)eyh{FyjRuAKo@$m$<pXG5919Vav z+3hKY2Z5@2f}}!1&&|EQn8wJA)W+$!wJnjB3e?KA#Da1aavpA)(1Bkpa#{@y7(3gB zw(2+e#Rpr2|4}45+F1P)(9RzFUj+lVs_p+3PIAj%)a-8H1q!&A*?#}fr~d@}ADC|_ z-roM7fv1-I&q&Dr&2!M*_+Q7xsQ;=7?Eafxba?2W&7|Yz-u&-o2L3<0%Bai`B>m5J zLjR2jz;tT=6U67g`6))W;(%R<TOjn=DncM#<?k*Z-dy`{`rcSR;6woIP6qCtjF|>; zE348)Lf5Z_|9%TR95gZmIV?k($-Kh@edATTG90Sf5!H6U`QdJSFg|`GEsc>Ww3X97 z9ioG6g`9$WOlF&Q`!9P*$k5{vYOyGW)^9sl*V`>u6S|RP9UYuXi`nDKqy7cw@pm$C zK9K3wS!*j&Ib8xNq}GaKiKsXMm(C9Gb7(ZdgNLBlEQ|bAl{jCnQV65;CUGUWHx*EE zm-IRz?4w_?vr1L3mE(MwO(6T-h+c`~@0#Oem6{;a_KVO|Lu~y@t+foaJD=e@KLt1Q zwoZAwxR{ibagr?NA}2-qX*sh~Rd%YpPM%w#dsjRJe@zR$Z9oy%B-r0~<(KJ1Vn|}_ zfh#mY?SU}79(f)p<?Nmn8xAjg#4jZ@a`WU~aCH4TtvhoEB^rDopu<^qzCSV}x}(3C zn+E2t4<BJ~h!}rj6?SAAA`N?g`|MEu8NcDXZ^!&;2~2@kLLYYA6{z9Wp4W{0JcutO z3hX+y=@bQaZprhk&jn!B5A*N>AyLy5#EYaqJ$wH^lJoF_fYMnj&y%a=m03$XX#?f! z*$<V-mP;L^a&rmw>@5ML4Op*d-vf}K?=U`x?tMFZ&Ak>Bf(4OUpi{*FiLVy)Kqc65 zkD^jf7k|2i*&XHzk+HLJhAOh1%hyQlx^WR>maOvC!8F(h%UsvKoxRjuYx~t9l3L(T z;<p=W*1`M}3y^l($g?Pw$OqbqTu=m%RzZ&EGmT?UTMyfwg3HH3H%3S$`gPvTndXf? z2?s82XLda!j{)A!6{`5^o<D29V8)e=c>&*@1$Ne=c`j^?IxOw=ygnq#v;?iQc}2_? z#o9#SoKt=GFI?rk?Bw7bm_n=S`a*ouYA&(Hh4ZvhVuVa$uMA-(&=-w&jxqt|c~YVr zj;X7RPa@Vq4u$Y{9(1U<+Fl-zeV>Jf33T37xg%d=$BbH-kU@SY*$uBCqZ4boppSW9 zBU3@~(*5wKOZC$I`ln0t(hUK+0~K_1;+og|QZEzzvWLbP<*rLsQj=+)cq-szqNAZ4 z;<7hImG6?Mt)m>`yoXKw(lvPLexeR=!PPMVx)l>Y+dsrAH(&0)>2WtF)Ht02RM&j& zNTu9!c*olnKQUo;5)%iEL)ty<+#PQJKU4T0OOKBmL>3jC*lA0QOpqlsh}4D}wQ`f6 z%(>Q5IP%^yNNBw^#Vi*WeN&@9`H93~(D8k=kSW{#cLKicyKE`$8a&4-Od|XLpJ(q? z&J@GT?_yDaDZL-}Ix;cmhGLk9`Lr)EXRrCsoP9{}KXZ1N|IFEk-v0;u2!L*x9{<iu zRZ%O}2{ZmoYF)QZ=>M;9XlzAXX9*7oI9<F<&smt){$D)@VpUPCdwB)^PUi1qDgT@2 zzi|H=3h%$Md7H_0PJmO<%M3fNli=S8zeiic?cX{1<s1EfV;plU{4=#4nY+{2I+1wX zJ{<USsc_6~|L<J>_W$tqmSJ^lUAG`^!QI^nmXP2CcX#*T?k)-LE<uC4yK5l0yF<`m zA-HvIlJmaj>*w}!yYG+w6ZWXF=3K&J)tGZuYX3*eZ~Y_JnO@{N$E|%Q{No>|_cDk7 zkcNM>yn6=yw||EHNPY9y?Yr-KNlAfvnVyxQ|IzYS|7iK1KU&^3!?@dio!${Rv(IQx z{wV&-hu45&FIyExS+ieh99opAtKDw0O{`A)-Gb%^iU5E|4Jzx?z}wRF-g$zT@wE|0 zbpFx;N2JcOeN+Y8QHP!?A(BRM)%D#h1&Pgeat9Syy^7LhsDd>pKf+x8FSQVP&fMSN zai^jH<?dOK?m&hFh<T4O|M=H=Vw!$+nU<vW7Tn^ce6;LWeog|f>{PwwY(~HjJg_&) z?$TG(A*X)4?$R**?f4l{UeFhQjw><CsGTZEdhg6J2vKsAxm%O@;RkS3QB7;yr&E27 zyOOG!D~JG^6iDwKI2IsEPOrlq0aFlyWe@+bJGubKPp&AU2X<U8(nN%!rT9f(8CP8t zZ=_~lf<x7ysLqnPS?f<qC`5LGf%_oQeuzn~1QP8^A(9|PFG)rYQuO43W-}(@u9(H) zddcjKk&?I3C?wTwiEsMf>4r%2dOLeLw0tHMEj4^QFi9<5FK<7JL$3VM3@As0y(d@s zXm-t|5F9dFVCHJox2Ds1D={)v&gadQn`G959Ch^sO*H={$Rx^A#_kX%!ZR-x#_DYz zH{XQq*!lzQUDQ+VX?jK2lfylUxs5P^d)~Fnb*7w-BL+T^(j|4Zp4U4~wN7}&M|nr1 zr9mN`pa#W{Hbr0xKi<?O(mQ^J4xvx}T7F%gV@8oN_@?gC2L{tRk3b`+VazetjKXo^ zqgkoB*;pp&^h8<?kZzdEE*lI{fV@7KUT_iiUx){lj5lIp5JwT=sW|F6H+K+NX<igM zlR(SOf(!gq|3HIO3=>~NI}l@tSaA9tu@xm1pWP~b_<~xpeNekNNwzOEwGn|PQDsrR z<R#aFdA{X+px76FT_A<Vyq3iz(&iTZa`6y!v4mZ$?bfpV<L!^Tf^&val&ld+VgHmw zph^K=sw!-9_)b7$t-vbN!TxiN`%r)R_gy+1cB-^O?Ug9dMGDYG#zAUYKy$n!>CEWV z(tdx{>-$g_L!HBqIM~<<TkCD7Ac3Byp%O<rOC8{uhlWuDgi|C(KOP=_eI|s~_V&7| z&Lr~jDEXi(B2#GY`s`T+1Vz%9)Z)V*W`PAdDAs+CbO{myG>|9uvdLDq+ZWO&@ixBM z(K?=QL!>lhEHysvl)%7PCf882Tuw`$MBDhLhsUVuh&bpudFoZene*Nbz0<y0Gen#6 z_?5U^(zB-bT2S*;qUTt};FJ}cth_a1g*K~wTs^?4^FCwQGg3snR7Ya4z4zyG941+L zBNZ7t3gi_PosgF68*!xustRdaX+axOn4i*$K@GCG+YxwG?<DY+hQ7q6g@Aj;Cwwg* z!P^`4YnK?RQay=yYudF&3DN=9&48$Dr##YSe+`@&)yc-?i1>TsKm`92S_36fVEZBU zg}3}M&f!Td1-#bcyJqwk`?AKq45WH)kWk<xpm+S{{ua=9_o5oWdJ`OX@^tc64&1N8 z0`J%oZ(MppdxoF)0FRu1IypE&ZW#5#Ph0)S=U*cBNMj7yz}u&S@qTlOB^#bWM7!P) zqXG|7vs$rFg~oB@@{PZ&3Efsn7UwS47yb{mnD(=?gpS@a*`*p`O^4P|%_r0o%dbVW zT{)VGX(l#-PvZy?&AK#i7~~^b-q;#VwOPk{PS-*jjdhUKLiQIOsXV3o3z@)$DX3xF zjA(EeCuQS0f7}vH4;3mv04~0_>09J5?f_lf5lxR3qDBHPs@n8va2oG|E&_2%s!#!n zq=KysnVk)Cst~Q{pC~0JG{A5sF^#|;xG3|Vi*$j8GtMW}d%H32pijs&{{#{L+hFBO z%YQ<fz+$z32P<lU|B4F!_tDRPqmTBtu>bA;zn6dP{x5=(o*A*BQ6$d4fmr)`pWC0P z$>S^YKR&M0D4AcvwDvvaTSf=RTpx_xKf%Ry+WWuE`i}b_yT^hF;8t}erF6<3s|yND zQtq18tvvpcCNuw#r7z@{ma)};V#7<H+M~a2zZfvTL?><YuRVdi=54;)Zn8A|5~ZBJ zTh>iV64Cq8EbF%cL>;kd`&5pYj(=KtL?s$^h`hJSf&Ia;tF^O5z0?niQ2Jy%Mtgt_ zKWUrp4yan`2au}G2nAFv(SlmPjW03Zd;&P*B2WPP5w#x5{m|+;Iy4C6V`jf(&*0r? z6Tq+;faI(jxV0MiP<w+We{Mb6(CSjD>mK{1!01zNVaDm^)uI0N4(1XVU+9R{b7uC; zG1fID<nb&Ze?8;krzPfyWPcrK=&JEn8=k-Ak`{BK<Ou&TN<hAP>@FKcrQu5dy|CA1 zPF23VdH<ZSV44$%K}hzIVk@3Yo^O7RUY4AdE~f<zA2v5P^LBc?U3YhB>bzLA4ZmG= zc<LRSeYjdR{2{>S`PTP(%zN|sw6(wgm)C8#_tUw9{gqGijk|s8jkV9k?cT2^wvR0z zz6h=|T$s3fJ388T@Z4Lwz2_j{IX|6zOdkDV!?&_B=F8WbzW#bmH{<aH0_MBi2XtoC zH63PON&W8@%Z`l~R<6FlpjsE74lZ^di-S^Aw$*5+RcA;seiNbo#tgB~FeQpJVq2a^ z`z#&6{rl1EbU!=Pt%eV*+4Mh;3|&;y)S3pj!qQ|^nze6wiUkKxw@)uN)=r;}>k=Nz zaf=+wWp#MW9VD;+>;S%^X}u21*Q@F7M{eZM+G6<Jg*yoc@N7~)#zU6>z1mfeoU2^H z)8VKyY%lZkwjuvh?&Q9&!-qi#*JC5a<b%j*x3Xua)~BC4JF`E}G_JXZCtW%Rb?=N@ zt`FUo9&>b_zU%&O-CjJX+d)DBd+$AfqSp?`ymx-GSzBzDI9CV8wA)|e`2)Z9bFLCv zT|vFG01a&Gx~%iamsjQTGFhW5fn4=TGzxQ>6<;nFC#x%a#tb^Xs%!i_L3=`4TwPA< z;92Ee!5ICS+&mbsf<z)cE}PX#j;MwRE}Hu4>*m3muioy_SGpZucsWEm?U*FqHCJk? zC&#}Y9QZsrTeb1(`%%Jgf4J@qm#XZ`D18GS78471!y_JfJkVuF^E{YP)$!#xv|E2f zLE$<0iJ|-Kq5_nUPZaAtyyx5enXNtU9DW>J2P7fs99a5z1J4vGPs56NJ|8;m32MFL z<%^ojv3xuGBkLVc4$I1i(9L!<b_1FFB?Q%Id#1bniFe`e##x!6k1BT4Hf!7WrlT&u z;#VT6h&3HvEY|L|Yu9hLD~u}Kp_W-kPEEqu8<ier&3=e?)qJ>JEICz={_;R#TFSIH zdK_Py(b@6j`&@Z;{d{~^_I%-C|E?2r{_-<ZN8tez=3R94cIrl{@AcyNMHaKFS&#fk ze<gdz(6s)w>-9d3{_nUJmyi>mV*(QAlio2^_Bm`9Q^eK*{GL<nWNt`q1vKeCmrjx6 z1#pZ9Ir1wh@~c<mE`ohgq3Z0vLcXaAml1d!V-py)w8L)Mo)_9~jjgxco9l36KRqu^ z#jm@x1JC9GPatV}{<zO_YID1BA2>R4Y#C=}PUbG1^WIWb9%N2aeR5PXs8BpK>RiRC zeB8go?=bhoCzJUp7av7)GPuYe4<}TwioQy66y5)pGU$tN%2GpI75g1mCYgdcI+kz` z6DjbKb&66HF0@+byAzL)VVOh(8M=$a>^Wj+C^f6U_(HwTz+1|W3Ca#X@dcTnC{uB- zVhJz!*s4|{qVbrULh5t5kbqT}1Gk{QY^p^_@!EkrlW2)ioACE}OGb+I1L+nhnl(fy zwKY?u&YmL&SJ_l6kmAh)c@EK>KZxt{Ji*MbLuO9U3RgcTxuK9=62~6$Zq0a3#GQiY zty3s=ilzIYXhQJ$VR{ME--IZg2}t$8#so!+BaztS^O=R-fGM7%6>m|<_6IX!kqFZB zk*j$172j0lZwZO_i{*tyb6}A)D0{&b--IZiqZMxTCWWDpVUfhr^KB`6EhwIo<!xaV zZ(;pIRElF&{$t@LQsUPCk1GbneujVg(2b?nwWsH^D!xHgJP%Vo4^uiX$=|~I$CHXw zKZAHbU@*I-@isCkY`{sC0qMoOG$~9iCQL0aOf5Z3jS;Jo6pJK|o^MCF&7#;1y7(qW z`JAk9>yLSGUKk1|R;4Drt^hqBrHa>JvD>WTIRY@?;w>TB{y&~jB(O*v==m&)Z{YqR z#DG=!$Ks|ae~UuA|Bow@dSx%DfBMKx3X29hkD=$=Q1+SuRxoc1Qn4R4JuF(2p6`>g z7k|l(pVGO4RKHkE7>YO+iQPY)fERB;%J%>5gjB_=tN5lVf6GX`9~KxduyBpaUWmmv ze#-WVl|}`Vis!6(TU`)lL~@g6#W%Q$_WBZaQH5r8phg^YTj@d3TKIfHZ0QMhvfTrW z&?G_#vIrc7>)S~_(PWR*Khl&=?WNiWVm#uvM$NCA@>h+-TO|&56FjFBPvG-bfCp(? zc+C&4pr))98#re(>y$k|6~7nzH2qUTs+K0kBt8y_;i|uKI87`02U$GNLo!n6i3Twr zi^Rp*dddY41pNb6YqY72WZXWQnfiJ)7^Ls_lWjWuUyQHV%4{|K{5wsq&oPm1GokV4 zu3vX`>DAr4#e7S<VE-_-nsycFHvN!1n6?WU&pzsF<TDLHzp}A9@Rps*eHsGkC=FYG z1N)ROGly)wj=%S=02;UHduw!|*&sXPL{*15IE>8&sH++rd^2^=ui!8hf}pMzQIOcm z9w)#c)xO@lg$4Z#g~6Z8H1qQ>o$%%n5xNqAM6%Rl>*`Y3=OfOmZgN6rOR%xE4~3i9 zdZUolpReJeZNvWL5NLM8MEPagr2@KZyHYviTenochJWKwL@Un|5Hu3D%_L*Fl{PgE zZ&%8JNELvT96J2fw_^-~i~vLkZRO$1#LKZiQxs6$DF3y|6urEH8u>Z7r5eH(3(3`e zWhDr3MA)kB--xW;GQ6GiUU+-sN6HU`Hs`J>9b)zxGJM#qymhWb2)3jYL&QlaFUA_b zA#|m1vM+v>#&hXbM_}??;c~QGH<D(f5)H^;`E@tKIiD-@=F+uW`LA3hKf#|#T8z@w zzcT(@Oql`(BE(zyU7G$92eq$^!^{5?Y=FhhU!u6?m2rGEB`(nWU`1CNhB3|{lc@v? zxb8t2C!>qOqRDZ$K1AEe2s!<*pDEjWiBHZO;F0;1*s2Sz7Yyv^Y`EdE*|V-lcPByu zrB%kS%`O*taCbIP+XHZ;*29f?2GOcI1c|}dy!!1v`FTYWf*)D#pl!&gBhtVPs(lAY zNq~$1k!)pAezR2`P;N@tz3>oIbzBgt(}!pqqZ&X{@$Ex6^~h2oziT`}HgG*nHQ03v z9U`!{dDI;Eo2fRg8`X<Lu#LwcRGF^itJ&_xB{f*EQ_cW02%k5qJ7;OI;e=4KpE#jy zBv^8~)1j0Q(j#!(Awpmr(WU9^-Wcw{lj4s^u;h1rPl=@gGiMR;Q`|6K8N}dcONrOl z_OD!j*ty57k*dC{Ak*JhD%+Zp?LU0wS=mEIUh*~oqmklRl>=e-{ip#cJzKzM%r)l9 z$1V9q38!_kcZXYX_|AGMmNOJRBJ@E((_#g=Zp~a`NG2uL^sl7OLrPVL2?KtwR1i&R z#q)n*mP!6#-B85p#Y0dm<$*3$bw_kN!Umyo0BQa~0tmq4fBTnBrIj%a)>uhw)}`aS zNuyu8JwK4)ccMwu?rvyly#uEI2u#1jYKqXyGJF?oqXr#VsTxklv-0v(NIxmb5bBNL zf|2gV24iF}CK_OqF{iP@t}lggf;A<83<)nC&dPIj0g?hlinSAZ9Tg=5mf|5Wo563l zRgwpMLyJOCq@5_!1#MO0{17T9@7;C_C_T{4)Ul|#x-75whzrhL?2q>b^91154eO7{ zRW4g9{q8OY?P}$5_*d~&`ZL4Yqj=F*IA);jl=Hkpl*^FG$-8BQb-=_#G(*Mv52?w~ zSL9#Fa)2BI$Wf6OG6Epq0HoOqxeAa`6yye!^w?brv2zrP)h*E>0kOsEVB$Ya&V%I` z5{;ZrxP^1IwR7Z8Ue)rs3%haS_J%IU(#99+&r(H$+ddm|2no=~0f+)X*Doj*Kx6<q zeL*n*A_36e3yKC15rEbWIm9;`FI-<`)zEsXKS||zU-(Nv?sc*@+JR%~mS3Nb$VlKf z^Y<<dLF2m3t_>Kmoji<D9r|fJQ_0u!$<CEM_h?pwqx*DO5y`EfX;k_LRBKYeHLaFy z<Dm<7K)~mEiJ+b_r~+Cdzz-T-6g-@C1E&m76L9daC?n9Z`8Qxk=FSn}$qfAi6#B^F z-0Zahd4Wn)$ltSI9Y(rgp9KgNvKDE;Z7-%k7X_a)kC0%QG9qP!6c*4;v=ZRB&!eBe zr%9jnlb<Iih_28?d|ft-O@XLby9sGR5!qlSR1!(TqBWqzrdeaH(}Qae(}G08LSSAO zu-p>E+C!R1z^DIy%1olsnbXM6|8L7JYn>UO<>8-}JIh~i2n=HG{vfE8FJu5hKz{K; zx$=bpK=^-<@XD8W0HOyWv%#N>{Jmd3{DaNwlvln;{12q`KakG9kw=%+e?~)Z!>j+k zhZOiyF3?Den=btp;XW(d-}o(x=jLzC0n<cO?0=bUQkWJ>N+gRoRxt2`P4nM|6EUzO zz|H0VUE}{{FOY~2ev4e0Ig^U^N8q(+FvVME;I*-$QvKzyBcix@VZFc)d`7HBVwV5g zL;?g#IJ~;7^8SaRe-MxU`-!T*jZeo2LF)s|8q~N=&hg)kqelPTizmC--(K`LJUQmi zF#)~x&#bQ<qy9DPwMEW<O~fsF@88R1y+Zz9LqP2?p`-w};=eP|Y7zO@Ol*H*|9f!l zTK^s#we8=*!QkeFIN}3tA}L9cXsFVa?A*JoD8cDC=r+=QhJkg57w?p~-JQ__rYymK zC=<qBxcUqD6+%qeD7J9^NCJL6=)kWB5<#(va}_|M=rK0&HKWB1z&gcsDW_3%o4<VY zV@pf0Qm^hUPCWpx-5d@QzJ48Thh5~=+oO>^$NoCIN3Z(jBnu_>54t3J#d4PX^F@`^ z0IDPj8u!N1g+y*L=2Rowz<V45@j;|D8rD1@9(?+dz6Ve@*kjxYwwfHL6M%wIZt8wf zOTP*LJ;ct~)y235QY9VcfMc0gEOzm(AWycMfTw8)#FgDu;E&9hnQfx#N)QrJJMcyB zkN|S${=aeu16X6;$^Xcma9~Gv{FOW66vmdoGM#2?AGfk8+>d4EA7`V+r;y=yyb9f2 zaIAg&ajr%WsE+)pCL?RGvzu^CkX+Hj-i0&)sCgO?Rq}cScBEw(BR(F`Bh2;227LN+ zdc-8-h?5*{#iXw;z-ym=HH{*H5W!#63__eP%`7A=N6gV$OCZ%_l=PR31;`u9$GKw6 z?CL&DBjBzBJf5zcl%fezI8?Q}##*p`QyN}^lig~9ma;X@V6^%DH0VTLRQ0<%OVIXR zR&dl^48`vXXP81DO@shdB2ms6>moqNLhwiL0J3JwZxL16PfgKM_KOZG>_`xxR^l`r zOMZUh(0>oLy%CSO82IR?;p#1EhL<6OZban9Z%UW?QTe?@u=565;>WJH_-T~gTxWjY z6wzNXlyOT+60sb0SWdW-AUMz%eV-p7iuma5{V=KRHjUML?im{admG=53gLEv1*nu? z?;N1YDr3d`*bP|INlEpn#JcY2-J#sxzDSrVK*IC_5=Q1l!b}4aMy3>KY6@x^SxTY* zt^yf+%@ht;33DAHuTp1curpmDV2PwI4mY$$OCkNP2|#KG5Hsr*dVt#51F4<w>ao4H z#IGGtIpB9Oo2a_~Q8BvzRWTv4G=63*G~EdhG)AJCV0qn`;FA9F_7rkpllros?4fOd z-&Ch19PS+^=uQ;at|mal;z=UA5>=-K4y-H-D%KDoi{~DSois}28A!#*<t2drshcYj z3kX+6q_GiG%+35%FUkv}T>!ct9wq?yL%L{ioD$qI`54I`bn1yiMqT;zL2ohhRNWC& z%YWgX%`t+ICV=YypwE~qi=QlbPnajbYnfh~FRgHf&i((aV?H=_S>2!mk^wje2x{Yi z1AY#4$Nmk)1>7#WER(qPub7A*3K0cwuuFA(3k)>dpQMF6A3cnlG>Tqj+eDTa%Ju6G z5X0LL9zt-?Pw@%UtHPqiZcyhi`Q?(A3+EX+W1zv?(7uqW0BH)4yDuc8C_v%?B-;yV z1CRq~u`K9VuQrOrkI;(MSH*;T29;lf<!zzZDgTi#51|Q)Ux9yAy?=$Axv+rbqyn{E zcZn1+<l0leUC<|GN&txg=;Q^F14sx!YcGftKpy}!_JW83#0{X1e!G|+A>gluIrggD z8CZ>PD?PD)mjR!1AGl9KR%#ylEr!cil4D&$6wid`2{Ml)9_V+O7qR00U@n;eZ&b<Z ze80r;O&`}SR~ETR=F3C<q$>?fZx?}#LznfZoyy1#Mpj&yHQHE6n|Lx;YmQ?!5cz1| zWxcgs8ChW#-pz7WkhSf%+`{p+v=1hO80631jt{#s_w{^UG}y?H1+h(of8TF83FXc* zHzNuc5z;mZnQNmV@blA>nHmM)tUpH}c%{jBLN8-d)~UNGxT0^mBJ<4f95+Hp?#FbK zG>DLMifszcL@2WN&+g>P&EEfIhr*jEzdgn8>MSY<4~-QL!E4tV^afp$wn&`vvg;Us zNSyX-HhJg_WTPc5#n*sOVNi-FD-~ZO0?-lY<HY{kn9#W^(mnTNE5Z-`jxJ6VAbj5Z zk|-(^$Gz+VzohiTSfYy)0j|2dnF^)fgR~^<aYecrGkWI{D0I`qW!PJ8TLfrPGFY3K zek3$V?TneJAeG;v_fErl&sfS?z$+0B+uUs{N3rmmkRm2;BE?G`px3qI8NlqMaC6~r za#(+#MV5bs<!_VZ19bWqVU+a+Y7ekJ%bi@-8<Y|0fK$I~@o}jD<}zD!b_RuDj7Fgj z>A?iyJ}@=fcVyLVK*h%ui_08e^f{wl&^x6_?s-u?1AGk18t=&NK{sRx5y~nN4=vl; zh)5RI41a*XYmP${YX6l=Fb33@T~^epchP9zcU-fZQ2r((RH#)*El^NKPAmDyG;nN2 z%>I7XXH?|;1A2#cpZMRxV8AWF7?49a5f;4AtN_hI4bVm}G&P9+2+-Pp=(hlE2ck^@ z+An1n4lx3Q2o18Z-$*uG%P^woJVj}nHUFF85``lFZ?}<y^OPwg)<-lt(lDM~Om}Iz zniQB&OjH?S`!75>Y#P!b!U!Ss(Htto3MH=#M9HCrlf}}RqG@%o<0)eyaz-d*Q^gYA zgdfC-gHP_F6^>F!ruFwp<oOj{gedKy<&PO6P|35%<_IIEb4AlSW5?40Mq?DR8Da@s z;pU0r;0k+ag<}+w8U0XF|1}aS9}^PJV35sVkjx0y%m@zQQcLGjOW;DO=R!equHbO4 zpmip;a3&@{)V4p=RygFDIph(ZY2KP?&Y5v9nspB;a_ld56e)JhpKTWWd%bz;P@C*; zdu?;)3e>-TD(bk@{_(G-nZY2O5uDD2Lg)PFItr&VvEt#M_QVc{Jb5#J{P66|xQqYw z?><<35u>;#S?U;AbP=PpN0vWEQ9ec?oUsYj#FhRvd)7Um=xsWJTJT%UI<9C`=b-ql zL*Ud=-9yV6v-8j<8k3(m<Pn^~lT9cELRQTTu@J6aI!9;V{!n@Wr(nwAUV&^%YvxQ$ zc8FLwIlGAn{l|Gg%RkO9{FL^<^T&+J$BckZF<bv!j{rKg_}eL(bCA->pH5kUP7ihd zbSgTNieW05HlUd{5c1w}N}BFMo#6sk!9+HNPKVf1hnS*e<olcaA*1pkBjH3&g#tGB zvUlzgW^ZV|Cy>k4k;|cq>_VkZZHLZn!{-d76DnEoOj_{x&!mv^o1Y6?o<mI<%7!UW zA>%O^j^|UwRn|zysU+=6W5Gg_I3RS>`lin4kI9|S2d!tIB0}PvMh+9#Lk6#>zJTGL zmc6*n_YV~T`nXMYWv`AhrB0S^<Q3t9{9hHeRGl>MR3f#`fSMvZ8>~8h*CTK#B*TSa zN<a8x_)}7d1yP%0D$seH%GY`!G6>`*2ju2ysp=I{H;Y|r#0KVIMaGSvJlwah4Ci&F zG<>L-pX~RGy)>0jR%Y=Y1A=`Ce=GBs)4`q`Mtth&<wN$M|2n7q8M<RnF{IzW5ZywC zMSa7QDNvoz4It`1{fnUf7lHY2g76Q4+a!&vo^q}2fMW`kFd>&pz2Ucf86PVr$otX3 z4-&bE20VR2HWeMXS|1-PtIWBcv3}#ImR2tEpoa8&jN%=WbkuCq_}nCZG)zS&Zsa-~ zr{VS{!8$$)SVcc>WVf&WY1tW(e7pznBe0&SxxMz#Zp&rxQ21&r40i(||7uM0j`4F` zd+mdoyf?L{>FxN{66MucOY}EeVa>$d_F4!q^+`iOLxp?^wpD(I;^&rl23+(avnK9P zSmpuSde?J3rpZ_3s(OR$7X5ooWAoKfTHkR7aJ;>olWQ8liTrhDO~chkm420b*w?gD zo`m{v+V{PxsUPGi&~E!$aK?mGzjP!7%$nc`IOe7~U?3X4v6A!a6>+7LPOwG$`<1&# zz)j2-zZ`m|pfm9`so(Wj37{WGaWzTx353JpChY4#tt0ZGAsV{tLcoaRN<nlAh*k#C z^)GZeh^_}{y%!o+3!sfbbOS(>7L-CjZU?CJb(yoaxT@w&pc@HCZi1F9=u?u>Hk4{# z*TD;s4ZX+_i^Xae7IBh+MQ0}QUe*j6@oY^4^rsqLV{gG=xS@=<3cNybqQ)wHCk&TE zNA!9u46}}fps<x3MmBY_y_OuBar9egWr+W@iPLGBxIlQVJ;H0ljTw-cJgSm`|0nx5 z|6s+5L#uS`a9j@OKW?}PXa)f{882?i$G#QUg!n6&zPKr^wLdNM17G7VeK{)L1LI@0 zJNz?D=l>%_3%rQ|0(u+ti!2=5U(<;Fq-y&A34uelxvIV#Ggd3yz{<Q#5j3U$6C{u7 zX$p<-4Ol<W{FT3n3%tx2G=H{Y)_-}Gv5f!@Sps0$LCc0gL;)O@fEfe~$7c2aSQ_dN zqQFrb>1iqg+?jICWBxTiGeP6L?AgU8SKt?4{Pqhz9-Y}gYsiy5qwzBXix&592k}&Y zJ9rsa-uHix%l*y2$CdMUTnM-v_62nyC-9_8BNA`(M+|{|0QTq1#Ri505yIqHBVAmx zz|DZpubhhUQ8K<CSbnga@9C6EVXv>o5TGJ}#ehpERq_B(7!)e+?oNuLQMIcoC0H@5 zh~JbU=%b$*D@}h9dSm>XM>V8}F+5~?x@q4A6ApQa)N4Qu#oQwCE1H0T%~QlDxL*Sw zfmcFFWF+8qRLxN(Cuo5Fj8$pk67X2Nuq3HIu7O@Aq8;cnsa$lAP$Y*#T{`XEFjpW3 z$=wb*do&V>JF2O9!4~%VfedI22g-Vp9WmI?U!Vg7E<M<Gh8+d$%8eC}SzKR$mRSej zUC7L$7-u5v)4l9hZvew}km0_u$IUms0$8wN@d)aTaHfJ_+n)v=U{wKqW{6La@ZUGN z`GuSW9d3F`$h8F>h-6og=_tho9YBgKCB|zzcRU$*;8dzp>2s;#ZGOJw$Mj0YTai6_ z8B*}05GNjcF|T}T$`(}%gdW{eAicNABPf_tDk07fW*G{UB6~Ok1BBH|V?I@(gdMsC z1zfy>53L9ygEnX!1~t|e0vcP33oOXg0%=5!)0mij3t~l7mm^TdaZG@cvPCllGhmhf z2rwlmk`;F%Dv}jgu&x6dH+3Hd2+wyP0<<)U9@mjocaqhWHHi6Qt*4tXWd<r<b|FxJ z3RmA<_9%CuV5)}1L21LDRnAz>Kmqzj?_veXT&mTK_*5m_JeTEUf_yg3tyRvi09n%L z{T)QEXT*O2NT20oB7lTg<E#c*0+ltptmX76KQ=;j?>wLi#E5p9zL5^3^j12c=)P_` zreok|#HRy>Vav&6fFb=F=OoB$lQ*5BB#`6Fh|j=yNiUt$W+<V@1jVC|(KU^`%1ZG6 zDWCCeb5emHu+y!ld)%k*B|zn^<+(X79oJ?8ja}lWM(G;IJ%PqUG6?L2%X}B0#_#Et z(=F~-_5y_WcJ`p48I}k`lT2J?eP!ii{ua?pCKZ2u6w0}O4W!8n1RmIT-D7|>`NB(b z34_c(sNMt;vCZOiTL2&Qm>@^zdN|K5da)Ks%1rt5H|<7ntTnGSP<gC)nZH-F5gcaC zZw*+^ylG^%GFk4fu63Ze78dk-$v<e;5*GI+&4mPIDnts>n7~2{Z$g;LHpM{2&ri_F zNQlh~C+>QvikM%QxUvtFp0SPRM)-zId|Gp-PuSx18GAKa9!qV2yMzxnnCZSK{3N(2 ztaN)-i6lZOnRi;`+DN&7_FT3Y<=fZcr~RCJfs(C5H?<kq?TL@fPLq$cD)!E8kcXe` z_iIj@_kB`Inz&BVyjYNRt~hX3qm=;1?Qwu9O?;bdBC5>CE~T&%Dy#`*Nksz@Z}!d> zJxAsaLG4NU*VQ7W<0g7L6B~kPIp+kixD>GKn&CkG0UK0*D3ASYdnpPaTo5`2Au#~q zgAijJfW!er2tw8%Bmp4e7dsG=1Q5xK9SBJQh?ERJ(D!kdhK5WoFQBaBGn+A1a@gQu zcv;x~ew3>~p#Sf6po%l`Q+~pAo$R-cw&1#VY<{KXG)0eIKQ53c5f<ZxE{*X(yg9-J zRcE9ClmZ|Iyxu?68L}U<YJ(Kb2vV7Yv!)l22NN4Z*q}-AL?U-w8aRQS&C>~Eoc)O3 z-_Rlvh6VFY1m$^JxM0^04++5@-XTnqK5+GGOQvxS@W4y2<=bfNmfu7*3J&<QZvq94 ztjxH(-3}oe|8S`jzk6~|NT&=B;hR@@ppr%%Es&UzILAFpegouNj7zuZ)YGt{!F^ec zIK;oo{!Xwh;GBL7FP%AT)0_$TycQfVVBZ|8q?0d>eUjQBzTt3x^?<X;oo(@szekDU z$ZacXF!^l^OGi2y)PvbPP-{5%fI<H@|JJVy#0CY#Jn{DwJ)%MJ9H@Yb301PB288pW zq#FvOpwa`3D+K)ZzAO}Yv^A(s!P<u*jyvE66e<Eh$4`iVCVvmd6ox?8b~>o^FcJfe z{*AK+4&nskrL`KiIIih1Iov`B2y$9ttE=ygl7k8pGlSx}j7u>V+r_cYJR-SN%X2e> zV32Z=WuT*Bv!Or~NT)mW7RLga<(Bf|SRmEDYG+}bH;Ha*X<-Z`$l25J@yzRhvIIUJ zs4P(^3gq3v8(+*mp>RMa1C}s(n{F1ypu;S*>D{|Gpz48aBqE!!g>kllOk@P8M4*=V zlCFO-3+p|Sq5$Q?l_($)75g}mKt7=IHuC};5tx)HfZTPr1o+->Eub-aj8FWp(c(tw z$OuZ(OX~i#X`57hJPH0K8A<lSl{fF+#Q7zpVcN(xezY*A{Cmy}&kXYS0Hc+!${CF{ zGj9M!lub%Sp!zddk)jt=q^~6{pBX%hbSDmw238?8ElxUsA2e~@dTomxoxy9#`RV}X z*cK!Ws~Q_2?6i6tNcy(hHc%;Fu@N|lY=Dypyd9{vix(1^sb{zVm3(thdHtXs5~*|^ zndOZA7$~5lQBQxg*dby|^hqAeU3X3qo|o39hSALcrI^2aew2&+ojkz63My<b8_PvH zB@eWgfsT}QQcsJ#R<1RL%We**MX~xq(U$)lFG_zXx)+M%52d33I(gdV|4`sB)OFp9 zRmXSGu@gO=iGNTL<w*s!lcRR`pAmQUmQ}<%(^LeP$*06pRZhl=FA#01L{-~)<7G_L zf=FUX#KqPmA7J(%O8ITDyKycD(l1;Dlxnry+0bf_h4gzR3PPR$dIV7T3knAiZydmh zfw(jPc>^f?1(kyA05tr9T0wT8mf|6zn~h2okFGI6MKj{hBB~-zP6MskJ^Xhld7^ix zsCQiNrhGw1NxW2K8w!v}&B+zFFz)i}j8X<R7HK`EL?j~anOdA=WSb+Nfra=j4(+?C zKq|SsVVhdM7-XahD^QX5`!wj_5Csm74A8;B7Ydvz0>G)F20B&pOMxP9#Ta;(UM?z9 zk1t^F^I(IX(k4|fGf3UBT|QyIA>&303?q02A_M;bcpoX4P9d;KygBT-<FKJ$29OL4 z01-GnKIMtRAl`&a<cq#H)gNNVkcq@B)TdrICK*xn8u0wOot<WfX5*JK*22G_M>)dG zRV8aV)$LbUW5_b3*A|T2bWHVoUNmbRl(JjngI&2gY_`l*QYXU>S~Y9_Y^~(rYVLGL z-`7)>7K;bF{GMr>DKbhtNFCSZE%~<o@;9TE{K?os)K|SWqK1T4%N!A{Y+Mj{h6W3x za1o!a34HC7Ma77PUL&@qmN`^eJF1*anRUJfc?+cnyvhAwid^ahtg+*jksIx!hIG>7 zBq5sOBwJPAD2CGifF!CqBie9ux@Pc-b>`-3+2)lupnXicJ}8lU#u9$o^&WcM^-gLj z5sQZL@iJobp~zdjIE##~f(84~$BO^?nctSm3w9>&q%?rJ#<@6`dkq>3W>QZV_}`$V zjM@*bE?0cgG1pnOE7K*2b{of9hoE#C4JT?9?{^?$MmrB=%nI(&;TE`9z`@(Br9kO5 zt~Wre$bf;C-JS}H_MFTiz_kj24A}iUXAXhvgB2)<h7%cKzbiXXzHE9F9?FU+BLwXD zXa?k~7f7GA@SuS&9TfwSqs%-FYL&AOIYiyF5-3$CD^IF_1S0}vCRYT6p&=*o`oMej zfsg?^x3xwXfss{o26rtk9~4<|zCRg2XX#q5o&hp6jDz3W)q$8Gb}~a92>HH$^9G^# zNgWUx`o;@FiIYSiH2m#R4M540t7-rm`PL3Xsjxs!EfTmOoVIDL1`0&6O}8@{UShE* z2LDeBc0g9`q!Aqy<jJ0jyhJkPpd4E+hWAS(!^Z#)Ni&x4K3qnX99%e!)4U)-!>S4$ zo^)sg{%!uSp<|*r*yKmqBsvvrf>L3K5PHWPY4e%|Wh!)jw$ylI$=LoNdLZT)e2FsN zD~0|i%7~RD)n5^#;wSA-A<wQ~XbP6}>yltC=`*+!DNEEOk7qtX6;=o*D$v1%We<Ke zuMa1BJ1hZvp-Ja!-LxwRq-1Vr0N`r9z;&yR+h8ZNTd#OBRb}w2n#F1}al|rzCEp-z z$H-uzhb(novRSHkcW|JmDv<e2WuilJ5Myj~pusD4V_k+HOh^P|B)}de9&A$`(!p=| zz=s{gm>%t3p3ur><>B=jQA-wi0q_v6q$mNVrf5DdDN3)9?*+V7G{kZ)<)#uWdAlcX z+&-&TR;=G8FH6**k3^j^C{u5at7F8;1JBJ3&(&qIrd@o*7dloBJM?y3<M0r?06TP5 zY@1!MMO!~s9Sp3qeT2L^SoU5J<=!IW@*~&bE1rXJ?){@N*V8Ex!zXTTPZH|E`*DpE za}^klGxBOK!cLqm31lcMIR{}szM+@R*$8ANGPU@@n9)I*2^kb7)T+x(VEYlMfwo_V zHn9Chf$bMu0m_23w*YzMN+54hp#$3Ycdo#`55_^u&S(Se``^ETeXqw%&fn_!;`#z` zt*a;O=koBf@9$qhSv__F`yOI$6AFA11rafVw;M=A7&^tO|IK-b7=lt6!`1e&>Rm4* z0@9H&k>P#7j*OiYF^v6`WV}sY4cHiiY_dfbo5X=+g)*KFB{rRz#(O4oAX%X!iW3TK z$do^3FB=)Kgvc2MEdY=W?f+vm12Uow5itZZ9<l16K%1-bCD49x;w);)@e)~!82;VI zfDf>o(R4PYq1V`<K#=^#u}Au#Mx7EHp7c+W(lAz?(y!<Z%}@e$_)FtI@$yS!a>q~7 z2h}f)Jx0ERlHalFr25*F*rYLr(r6Q!R-lh|iV^hoo-nh%vt6e=kY7#YANPJD2812E zK~B*|+(5o9+J7Hhu7fO-M)=8-&_RpvB`AKFQR-duhK_MHh-<x1
hh=#Ed0-bt0 zZSldjUcS6wP0*1*rP3ge*DE*r3T)%*EfDzzMqZsoGA%*+X^p>R>z?peW2@`Qa?L-8 z+HrpyYi0HMpYPE0%{nF`k{dS(UMD>EYG_FeFKy)Zb*Adn&|P2APG=tXA*fiZ=*IAE zzGr^EI^Jr$7(U9_jPKq#?d_z76WIh_bKv>R#hr32gCbX8TDabs{(ipc*3Oap`4)KW zx7N+m`Kq;bYQy&S)pO<Z<9R73`FKI_^!DmB@OH?p0O0%JYy0n$hmVH!)>nKos-DvB zKdg^cGF2w)J$JY@Hr+j*+E(~ptrNdyO4=Jn(PLh_j}9&k-Z{#fL2YT%(AM+jeYo%P zU2D}Hi{9&Gd`SLG^ELaTw6Qa@GsE}s_~H@r8Tjwxdw0V)wZ3xK&ke3uqbK2u-Pd~0 z=bMMgvxU8C%ww|1E}a<_Pu@-*zb;9At_`nuoID6S2`f5Q9<P5|HTYhwT0Q^1o&S7q z>vDY^z3n>t=n8uA=UwYRFaFf~5c=c3YkRfVblPqd=gCygRqfsB)BL=g&$Gbe1?AJl z#|K*?N*12m$0xN-;EDQK38mjwdJlO|dWGZBg{}8Ut#>rG<ahCE%zDH`S()kWT=v(- zSHPPnH~N&$=by)XiB3lzlLt_|ZYNMk?pBp9bvABS&wo0#cz~mz6nYlc+5+od8RL`t zRP1|9_rtfX1oWcMQp<6gm6-U&&DziAZOkaE)nFf8ZEf#<IbGY?E<NlH-?0;S4fv4b zjmpaEmNU`%pgtcF6APFepZ2_Sjn1kdu3wzA-ynGQy(mhTzSbprMSJo*=;?H|W#)T# zxv^dT?dfuLcKOHc53ef+7tYQWorkIvJs;POHqCl`cA1NF1D}gY@+WV*n^P4A*O&KZ zvN$8%96uaOW(VbV8!KL0Kl-k8@;wimmIiI@&DOg-dGI_N%#Ky!-)Br8WlWRoaeH34 zp1MT&EVy^L-EVvS_=&RHIlBHh+qjk$*kHN$HEBd|tCqb~zY+=M!EmqE^{a}*ho)BD zCrtZyY`TPm8Q$EyeD>CRhk-s^yf*v6)TdSsz9^WtDn@6|>Br;3T_1efp4^|JufuPT zy>6qu#kTI+b04BrHlEWvo7-;(*lQo-eZ6Wug0II`s=?<QN!G@f`#V4At-a5GdfE;D zkf|)^bF+E86aM`fFL3Xo)9WeunM7U+5(*Ox3=9s8Su0M8Nxw(}7ZwbRjs^^j7`W=_ z?&$Q<TF>~Sqq(V#o|~b8gC48iM*{~dcP2Muqjt?no9!kn@Ab;2lw%<X4wty^KO0Ws z$%hHRqpZap*t>IBj6)lmDZ-iPI9ahDuQR1MWlgG3przR02tx~;P@<VmIr9vKYga7m zaauSPzXS~1^ModyJMrXx2&*sav#V0!W?hh)gyY2x{aiHTLvv{2H|&EWVg$48mVo?C z06);HRP^0I`^{6Et&;(aXnhN+iThjiL`X-r$OT3zgPj86!N*3V;dzyc$@6jiWJ#>Y z`5IDdw5xl_hbKLVAqO`h$z(y4T+&pYc)Bi~=7f6lpa+3F=gK25njqiQ&tnSdH{Z@| z@5;^UzhQ8AKaZ1)3<QVRLyl=ql1TTmAemm6)p_9u8h>y3DmnKc)^?I;6Ljv>F*vvb zYtJ=9&Kx}W>9u51tw=+9Wb&rf7ZJI|Ea9hErZ6dl*{7l(Cd(7Ym!rp6d_Dy<p&XUG zVMjS~0xGe``gWcazXcM!ab_Ab<y-rne$u`FsAc{$qgdWKp2G4*pHa#w-d)692i<5o z#i5xGU1++sEw;p^+k5X-qo(h@JRXf`&s*e={0TF2DL8feg;(4-WqMu)iSJ5OtT`cb z`#C@0)mk+8edjGf=+bg>`+V@}mPRk7^r4?)G%Smk%r5j%O|IG;vv89#ge12Q`rEo! zcU63CLP3#3^EMl+GQ$p14`$B$9uxUu;rX^n_fd%-2^{S69sF66mbq}nGPGENxiJg& zY?>9CndyV>=j3VDSvm89KmC_D{I949UGO`^<q_aul|LxQ;|r7gl1wsf$!BHk=$?ow z$xkGh>@eQee&&E5v+5kuX%z~9@rG}5sWIqL6C1krjG)j{>49%x?-%%pH`PB%^$|q@ zf6~bBXiGm%G3IQMHfMuGfCv7B2F)8mF>G26Q(8<myZ!rUrTrCux3S>1KI``>Vv3wX zH*~qIeKN_M`#r}|aqCXK&y?^58ie_>UG3&5+kMx$9O0%)8&R3N5(!(RKIw8>W;3}O zg67!9;Z{jJx$gL~*tu?Z;TTr7zZ|2b3Th*$&yCVWD27Z(aPt>a<iGU7ro&vj!QuJI znNBm%jC4S~nu)|f#o-m59+~2bs-<fxV2%2G@OJbwWq5BtrMOv#_--xRU27?O^EtIM zPGfSRq@YP!FMe%88B~v5advoeIfn-35vsE;o4RB6#(u$Gz)Bj|P)pI0;v7Lqe?c69 z0#44r0@o2N8Ba5V7p=Nly-T;}kqmCC0_rLuGd%IqR%rrjMAL}tt!P#8kGaaY$gkAu z-&DC(j<jrhibN7?{n!u$bMp;axP4}|WM|IGs~Y+~jcF6G53V_#TXA}jDDFg_D_V5b zoA(szw^-VJ`YD4ks*A~Q1-_h*Ljp;@@NP@5e1Fbx-6R~t(DoDX6~xxCmPqO{Yevs? zNk~oWv??8gB?NGeqg~oGU)gydY`&$sv<KlZ8t`vk)v;L5w#oFiNgXAsG7^>pYw9uh zgybk?QTEMoluDRTSjHg|4RhJ?abopGcjf2ra&|gl*U$YYC0xJyghWtPrTtDR-z^hn zD(geJyZaq6r8*`c)6A^p*`b^mtL4bK+0|y)X~L}E#c?KYWVM^CrgU)xbq9Rn{Aq}* zj&lI@J&io$4cz%~Lzm#&`5up?3fMx$#w^7Pa^xd&w^U~)1{%kk#WdPfuhmox?3|?e zIA=BE7M0X;HvD{Di&9m@6lQyfW0@q>*^?^`qmQqUhK#A^w}$FGqq-msQ@T{cAXUF> zYh|!_Viedk;@@GYa!MKy4%A^#(N?UHUKQDdOYXQ~yLZ>><cz}Cv8&w0K)2C7nlxL% z)Ax5Miursu2$VQf>0q_ZIfPnHqj$c#BRCs<Z>z7hR6;3l1*;I&ws^&ns*XWVGq{!@ zG1u96XLj&5M&6p;i;LC_{Zha}<?UiR^BCVzExSyMey~T($MF2cNt;W|p%cvE&qXXz za$iLuaH8t&(CHBPcDf3O`2x$$F>N&rksG+X-N9K^&cM`#yqt9|*W9P;FEoFS{<N3i z>r`^X!BIYV4&30jExC@>ujps+S(YdfRn!k_KRsRqDo8%<-!rh&8n_YzB71mBk0k|8 zj2$fk*D}<7d~s!EkH(T$IH9i#v=)WZ(LeFfoHL`iTreP0w0d7Zz4=6pV=j7)Mx}t= zH*F^DACK31L9N}E-@j~+&C3M2HFDx>(v{Z2E2sBs2XiHDBEkgto>BYvVFTl*4^0YN zGO|8SkM^IArF6AF`JNYGT`>}_6Z>kms{SZ>^)Y2saH&{PUCuV@-Kn?4n)A{zg8^^A zk>xMu4yRtaCU&eFzR;&55}Jifr!Kk%8a%tO<Kh&Y{ne0<3dLItI^h1wRI3j&ogEzo z3{N!VL*a)Ej|~PPaHc<-r=Rb=&Xu<^3_VNEWUF~+&q<yj|K~kb`)Db?ng;@`TN?rl z=l|iJ%H(D<(&DVFrM3QUi9BCE4>}B!`J`V*Qi@J@WwpI25X$^32b3%AiRy}!z3cS` zF%Q(ul;fCZhuAvz3GQ`t8tb*(u69jrLwOmIj24PB6Q2aUWZ%Hb{%G2IX1tW&vQdZV zICoIpSoPR@JVM$O#Ezl`O5@SMn$&1_#H2RtL(Nm8+*f3g_J_>9jn!991B|e2?A5|h z1)P1f-y-_>?9ho2$r%F+%@dv8FfNCK_uppLWtpnNl!2YL68725!HV*a?QM!N2r}q( zViSHSHI?f~R2RNr)yJ^z;9i3<FF3ewV%wz3<wgH2)EZ_>vf(*#&mD-?b@Z;SXFK?D zI-nHWZ3F_&t`k=8!yY8>$VGi-MM$?QG5&9_8iMh;@ieU)f#CzG;u7SwqY2)k<Z)ii z4(NgEBI}iT4|_EPhzuOJRRNTSIh<q_BE;Xx#HdI_bqyg1@T57PNpyo5MBd`%u%GQS zQ;59f=goS=`#8(>>z=f-Jow4;$}wi=^SwE|6@zEqpa2vMJMEWH+DtOFN(^sY$-xV* znUt+ueOs>^pYU3!FRjz+5R9xiE6F50;yh+W6<zP)FNqry7uL)5w9K_Xp3d&b5g~6A zgkm?YDYKjsm`l4RB|=4>zB+Bm%krJlg2>GJ-Cu@z!YB;Eemq8(8Q#&khG&(DP~Qo5 zR97aOe7`P?&>j6<pl}}2^A&IGnqTRF<!Q%;@TXn&H%yfmA}8fbtHXo+LtzM8g!p01 zQQ?ayZ;^v`VVK5Yrv>;NuM64dq{wV=cuj5ik}KZYg+H1<&P2@M$Jh!lbK*w#w`d#r zT4ZzeoUe6}`zE+bMo70l#t^xoEyaT?>V29$<9%JXx~;s%qbKx)7a-9MwZO@GM<+u4 z#Y+)>0l%xt%VG{K#NaAvGc{oD!sl5IO0R_0NTF7exZk)M_4>2K2%a%ysq}L<%PFRB z*Z>Q|1LlWyhOhmYX$--+4yIMV{SudMs_c+|;W0$P>r6H&uJ8SFam<tohSm-AT?=yR z4`o|KZ)oA`x{AhzDQIYON;aXeK}?=<wz=Mzc$9*hS-Czrl6I`E|Mqm{vh<O^XEWw< zwLksg_)d3?%(Goc8{@te7Q8(ly=zpmYF3M!vO-LoZ5Q!#Zm6hjO>1waUH>)LB04_u zjRrn4&s=w=vqiL61y%XN?~fw2SR3A^JR94j&xEdsMn7j4;#o6KDNk47H7#pIF}>g1 z>?OwL=tX~j>tif_*O%~vJoa}!T%~wRwqIg<>|y`xQ2)wWvtdha>p@v$fbtTTto?q8 z&bX`=>Hvq<WY0)k1+2WK#CsMAp|}}R4Tq9QNJn=$gBnkIDuQT_{(GbohwX(vheKi^ zgHRmDRF&&!g>pQ(gkoZ;V+3wU_|uQ{0yC0QWEoiF&1AGi)w<k_5FPV<U)9Oxy9F*y zL|Chba^B12RaxkmBsgtd=-0qA7r(VPv~xL>X$2F7Uo~y!Lk4&0e5?KR%&O0XMdO`n zu-K6W@w0BZIc9fZ2I?&J7s<-m5!1M!&sFkr^Eb#Cli8U7pXt1!+c)H>t~59%&CRf? zh2Op4kv%B3eU!-CP1Y<7xnZrN>5Ic^wP}K7`d0S3DOwswQMKv}G=wsJPcEOm=W|Mb z?@IgM_U#Dd_uXaCL~0>9Z{D?e)h@Cf1fs1{@>dU>I-+aJ@H439WQWrs@k&Ms)IB#T zIWP#w870U+buJWg`?--9kSDV=AgeiUd^wuXaOG<k?a9AASkxS<FVy6iA3xQd=?P~d zB+WEPnBetP`2oxM0RPNRyBj_70og}#WNV-80aZ5Qqfh(lc|Xc?Eb^7-jxR435-feF zz4HP+d-oPrnlIGz{7k9u$JrET!4yL2<)EzdM&<KRjd3Zx0KJ8_y?d8BINtyjU8x== zNr48#Pj_D)1F>7^7-?$bRL`m+(pzev%iFjXnCdCHhob7{^XLiPE0)Jygxcm}9sHl! z`@^jz1v^Pt2k&9gw~oc*pRkIljHF*Z_;&MG&neW;p^g12rCWxlLmFqR8(hqa^4Xh> zgcyCdPvLA=PAkQ+qtSk3=wsZ+y@2dHMpD7I9g8}*&^zxLtkTDLxleYxW^mArJV#A` z9?lVEJr^JTu`4~Cu18tLZm{gZ#MZmCx!-Y=5{L0o#FFuA(6@*+)F`g@BKUQ=<l1gm z!w5aWHI=dthGdtK%L#?Y<97j!Q#M6Gy!E#d(5+6SQo3CtezM%WH7rZfz1qH<>}(zf zO2diMsZ2Dho_q&x+y@L(9Rg1?c`!97V6{F5<Sb%yZfv3kiW&C&3NB-4=ZpLa3ZK=T zYOo5~p2B}kAJK)lt~2xp=Cr%+a1U@Oz1qLTX8bztf{%H+skZ5fEkGn$ACCRoYv1`7 zjOwG+$+Ant(9Mj7aKzV15td(v^kKtleh~q-#+7srqifIilf`dV4X;wxt`H@MtcG5* z7ZKz~BN}?~?*ICPjRUC`#H3oVL7bu2c~Aw1`(0`K$HQ6#6M{;3@`jYlx&3u;%iw#I z6g>QudD<AQ?G1_dr59em`s)023RNUav<k7Zy2z}3!dIe|nzyzN#K}&F+D|y20!!m+ z52mO~TN~BVb{Qi-Bq65NAEi{<Nt0b^p-dx-b615VrDe0<2I@uUN6&w34KgKY{Jy8i z#11_!HN@qcTHp`ck<MV^ko|T{2k}fo#l63wN;lb%#KMYT-}|FgwV@gz9@DhBMSfo^ zJZdw?RZ;3vy#<C%wm0)$4sweNG;0=IXsl7sJf>`WABz-1lIzdLIlemJyQD>F&x2Iz zQa1y$wpnT|&n9beMiY*hGaOU(Dotq<@kY=ZT0GOQbGaa$I6t_W+ALSjag(D!#dY$0 zVHl=0$6B3_^@!J;+mB*@xNS1`yt6aY?_`f;j!A~2i$!nA?klZSoqv!{!1A?`6`tWs zPhev33jSJkj%TM+g$wKEVN)f~(>2?`+oWyy;k7|oXJ8!cG`O>Kp-9pl$J&61PoTo1 z*(!V(=QnVRk}a!aJ(Je3+Yl6Z;*FKIV?NlY76Dss=?cHg6=Q-A&i*2VUuE$S<<@j% zC)U-#)kItz?DYHG$rDfQDkAxKK5+Ro5-HHo<C!E+JJ2${JAThOyyoG^<ZW*%Wg^IY zPh`mb=DtHHaSFZ|hbZR^_fuR1+QOdP4dZ2OeCJ~(3ruk2HBVH@yt)3Dt1WUAcBZ$P zG3Lq;sx8GD_{r+R;{)l|r<XDa${hn`>4=GOjIp0ev(o-A069R$zkDbzI{h+)w_H9? z3Zd};R06qo<-0HP<QvAY2Q6{-?kpeP=9Qa&sgNaVG-roX&A4_;ZjdR1Ovt@8EP<`a z7gKQlm0&2eHz?B0J)}9}o9i!3vcxRvJ3ZS8k#UQ8!@e{difX+*pj#Qz*tPH8rR!D2 zE;93?o!9JDee1Zas#~C#mVwrOA8?%@bXwmab>%urL0v3K*~6j6+5o0=?ABCEAxo-r z-Df&AKgCbgCLoHVAx5+nx28^hd{%$OX%2&~bPTYpc_N*{t~W{(7S=Ppf?o03zF219 zFblQRvLFJzkUAj^CJgxbT}fNvX^^9q2;pU$@l>Q+=t>Cle4u+DmUGQ39ph%u5oYA% zNqgmM=Y{OM8N&)wJlo@}2Uwm4hwQGgu8bnEkn%*~AmVL?!fmc&#FACp$br<p!!J?; zQ`Fb=zH%=cikxAbof^cg!zc2A1{T-yfyY+>-8xQ`ta}|Uz=ZX+Il*0B(?->%xeY)r zG<x}-_t*MLpyv5P6pEjf_>cELBz}DO@zy1L=gHUrtB8jOJ@hl>&p^0Pw7Ki8r?(H# z=Y#j~bAdCYw*F@9-eZmgy6OS~8sngk5Xr^)xeu{%5RQMofsE@71)+`jOsyQ}-X%B# zC8jP@3icd7^B8@;BUYCr@Gc8sVd8pt;_l_<RI48pSG1Qu!6cQk0N<Kn5SxFYhiPOm z?!-QFFtea0Eru(aKK`OQwlP9fYk~Cl@wM0~AQtorj7Z8-85y-Q-(jJ5IS*sb+t%f1 zX)vCUc_Sq~tfcH5drnHrB~_T7Jvpe9?5y_ZsqwE>UF@zW(9`T2VZ{P?ch@`B<m5hp zA`7xyuP>J)(f6{4ZJ1*{C!b)V)g1Mabo{No7=SPR7@UCK6SKf_PC(jP3TE5z3Boj~ ziX|l$xG`J4VEuX-Ji^eR@cX!=if^GX(T@tDwm+4_RyxKPSD-93)SdX_nEj;*`1PR! zEM!pK>Z=mP>VpWyr%#Xd-JVjhpUcwExxUPs-QGSuHWoAeLTpmUS*gO$vQYJtjB}PM zM#$7UNbWc)iLA;isAP^xM_H>`Fg51J=9}q0nTB!8zD$X`UhqW(bLFxl?X*zYFRz|B z&EtC9Kg11$s2l7Gplyvb6;-Kkc&-&a80w<%OSi@J(UvJ74|RoP96zj=W_lgyOLtyT zn~~Jyj&8;dkIGp0np1R>vrxfkXQ~gz1<%=}yjbngVSiAnB|IP)STY_`Qz&_Oy9G7> z60PG9XzSZn(Wy~+hp|xZbgO39ws)veeK(JlC*M!S0=Gqff%hDblTKA`CvnHR0Z%}y zWnhWZM?ZVz4Yb?0c$r9CjSG~OsfdiNqf9W>;~WbI+P$o`+yDDV!GRd1?NwmK63I`u z<9jTzp0)q5-A%_Gy$e(}Wsv+&=Bu*me>OqNF8|SVeNmSCcT@MW@Z{)qO;-F}5ViyB z_*$u?U~|pKpgMxxlj+xF%i1}eBvJoc13GT8InTF_T92(^3k}J754R-WpOx5KEUgTw zr`6D_hBdM9DMqE-ZqVoUmQDNc>PcyWc7bx~3FGbyaPGQI{y9*19gAJe=V#{CJi-fG z54;OOuiMM6iMMRymlu<_t$&6MziE}<ifPfAud50wLau;4Dq-9qBe6~MNnTz&^V=02 z+qg8|^Yl@EoQDsI^-oqFRlzWq$x2tY%)^f#apQwbHa?N#p^=M!Gd2Dnp)y37udS_6 z^CPvyxF~m1Rd%2-K3;{D^)J$Tmyvx+RkpY=UR{N?l+G^E+KOjt+`1_DZ&GCQOS-j{ z$W)Y95!FQwmI_v3{=BqeO-#CVJ|o^>ES`DQm%?Skyk>3{{bWY=e7S;ruXiFmM|*`w z$^+;m`{<rrR0awyi!PcoNv9Z-UFI<^Y#-9$O3TK|Ca@r%H-&FacyhANFgBdTupvEn zRhHGHs$%Ss0k^1ePR*jvDcsf`E>e%iZ0@@t`){@_hnS1)C$0|KMQ?f5U&apt!{2W% zPh74!P}JdM2;Us6ogTvub^2niMr9_BagQ<&s3((s((iYV=oJj+7g8eX??;#y^m>Pm zCce<}ryF{-pU`xw{GP6No>&LYylJL&4d);xn(>`5(M9g&G5lxKE!<a6M?6ClWTqPv zW&?JV=PZ-%=J|soQo-LyHr7=Mvk762bd={PW9;VXs?Q^u!QXhLQfjU{5oVL@D9=@9 zRfgToGgO~PJj1<_Y_4lk^d`(E&~fNZ8F4pHXT5p77x4_{Msnm;1xa9)b-%Ii+F_*9 zUcNyJ3IE34l9H1N(Q=STEGOHNoj!|C?CKnRJ8@;${EU)a*;!w&WwsJhw?%-Kf2@&0 zD^>t>6EB!pdIxe7&vAaf=y9FjWdk~lkWV)0cld~2Rd*Kt6Rkb~OKI~YAkGyg6mJV= ze;<K^(@2U&kcGqk6H^i(JodR|T<AymWiYy48;^(21rr4x9n*^An(P(kbD$WEO=IR{ zAbiT}+UKI>3l)RZbL{64G^CRJ$)7RTCcjKPAHH<uLPjfo<cL&&?TGXZPdxR}I?8i; zU;f9x&?yz3JJUEy;L_7bzM%gz_}hHk4B^lEhKDeg0>98DQ$PJ}DAlaiztAaI{$aE^ z9VPJSx&Ji&__p8h0{M-;;eA;5$1<Nx95eht+9V9B!7i5&Cw<E=&hHqIUZS9%O1p^? zK}kwUsvYr~RGvTZ^SZCKTzW)K`www$O6}L$RNB<@l#L%;0(Q)-**MXn8hkf<b;WeL zyWYyYE|if@1JlteHSsbwgdNmBb-1}zkbCy?dE(<lDvozXfgfrx-Xnjf{r>X1qvxN? z13k$1>wAzZD=v=@2NxHxJ-V?S;`hjJ-Wdn}44cJyh^iF-pKf0zIP^M4eIt*DD>j$0 z#h4Xfg^nThgJW|UWL4s`N<8#`Y(Kch{{W5r-5Z^vpHd-qk4MC>{|)~?EPzP=#=jT- zcd&(@l7{?m(0#Qbfd7Bdz}@X^6}CN2G?L4aE^8v3(EYoKzY3B58~&l@zvABu|CcHa z@?i<1r;v}vqEaUR8A1Pw*29Ixzyt#Nd@oT&#S1`d(syHAW#zn_KhLrKSX*VMq}fF6 z$A#g(IfdbrF<{Cp<s=z~v`=(ddta<^Lz-8-7S=MdW2EZJHG&b-#9zlf-P<!VPM=vs z+tk<3Y-s<<Wg9x2O%nk0OMk{Q*%tnrv9>Mj{*UIBZDGf66TREf90mSZq^z8uBi$!R zn-Z%_N0YOSES2q&7BOz*!ywS6k-6ddcnp_frU{kb#kZytO;ZT)zq@z;iTro>XJbvL zkM?8VQqDRBvnKqb(JlTN&-{CxgIW1Wt*+6)%fF2y?JJ$K%FRDaGJXi_%&b%RpQgv` zm2E>uJc(^Xbb(yJ&Z{x3@6;)Qb!_x5+{Lpg7iXHw6PnoH;=ieXoBqc8t*nQySdvjw zR=FZR$B<RJLmTX0LxXA~jWG?zFQNTgQ21}c-!&Zn5V{y=@o9cvz}=Vu#5s!GiIriP zb8O|BV2NrP5p!v;LGXEE*KXw+*4jRVXKUd{y!?cArQmBSTBSKAT34Cm*b<d0={_^l zY4bkHEY$CaA^&SV@|1^^Vw!chO*0i#$?(7#FCc8G6DwEAkYqez+Ak8y7aXn@hLb}; zPsJi55%$&AfZX2kLkBp_pOzR^mJ=i16rZJ!K@+T0L6dDL{Xaq|7e+h;hoz4~6D(Fi z12UApZwJHuXm5~Zp=iH_;Xed^P?Y~aEu(%%?~ndB<l@D?`vbD{3<(OK*wQj}1>ZKf z_&e>QY>xjSBZMHj{{s4dz+Ys65$$J|=ZaF;ic%Y}*=TvfYTK&Bi+K5X7u%{FlNegE z6qa307YQxcPn~wmU}6j|ZgZl+0*>sCFk0hByqGBFhO&=!FlLq8<B@9dD{_5VQEdx< z#rGd}&HZ{Ir6WVhIrVSLxjS}0H{QL2yR8)r_06&Ep!Q?8i3@0_RCw6^T(3b7ouOmV z|Chw_zbgMX`LluVHNhxUFG`=6zOZ|)N8R|=#dPi3)@rOeS8^nt*QXZ1yev?+bTzpm zUS|rTIG1X{St|!U>mdrYe|CBXkB6#Ut5>E(*6G+t+#46~<u6Agt`D}FuH4%CUIM~} zbzB`?<Iqq$$KLpR-qlDDQG`Gf9&0X+b_xaeVz4^WhwoxDI|}ERqG9l?XuJ7dUOQ6~ zo~m$?Il6G$m=6)(p>}>L6SNza-pq0lW5mgedGhuXbahHG3-x_=;}Bje=#E=6>zf*u zAJ;mkcaHq#Y}Q|00s51IA~H<CeJ@L>&Rvqi#&WL4rEQw+w>@G<Crq3Aw<f(gm>B3} zten(0nw_8RmB0+nR^JD6gE<q}8k=0kmU2Un*z{c7cfU8u5-#aA?i`K3Pm;woW7G3@ z-^Fc~O<2`SW^k7NK1hqGLDR1b{)c4zN6WiXG5wZPE$8M|0=<*9Or-abzH2#!G&!HG zKCX>N{iLP(Dl37J@CBa@olzH8xgH@%e_R7cy~etd8o7r{Ilr^P7D`?qJR!eTxc?#o zFAyYM!6)NP+6cv@;DoPL$OK<AWtVdSV%BDefiY|BQowOc;yTI+%&3VGZscA}F+^nb zq?a4nH2E>-(4!>t-b8k;AxZ?CH%*h@n*S@PO!E4<1O7r>hSifet0!jN**V0b&+G7y zOSk3%EoOw9*5(Edv}%gH*hLD=g+E}6l%4_N*7h-1Os}y<g6wXNbMx0K_Bc&i@c|rQ zi<vJib6>$i)QT?dbNBCOY96pGd+X@Jo^ZfStq{`|zkyMrae7+3z+aeOiJx#_vUM8D z-U&G$y$>r|-XN;Du2|m7CKl{;)U5Ed={`T@xNlX&X;EsTx#O_CPxTv`8}DeKS|n!l z`xp(50&~);$?U~)Giv3V#(+h2!+YO9{qxZkHUIRVO`<xp3W=F@_WS<AaLyW~y&Ur2 zmzc7A%qLd~9`vc8IHiZ4Y=!4f$QzI%8U1>4+c%U~JJ?Rdv7RY%Amga|vG%w<t|k5U z<R4bU|9V$r!bMZ7Ydk>fXE;EM2;R~1sv0-ZAm(E{-?6^`Uj5eR+`hR`As!*<6j%q2 zt){8DD<0!a+7pIen%3w)6nbSqe<tCuP;dg0){5&DIwl!8T|?y3UaREyPNK`DMHS+4 zx=Q3J^iDD+37X|8Bo(Td@5LqwzEsKYpDg5bEs{%PQpq>pn@kdP%~MD#RI%9OmP?~k z$?u!A;&e@xOXE?oNGq%!p476~E7=efd(GaZnU<QWxALppaxRyvS<Y5jYH-w#SP(ol z*POH5)47I!qmyw_*E=+qyWw4K64)LFyvY{y^qkjkt6~QqzlLFtxfA<njJcEf@QqzH zj>kAqX@xmZ1<#i;`hJ}#y?mRV(QR?VIuNz~sDPoijugG?qgI$|*GIiD?ylZOqB(r( zgj=d?4M?cKlH%yw+8v=gvG}*0&Uylxq)379+N%XQa0tAq_y`Gq4O#`i-b5J55ai77 zv&&92_|#?`$}g#1ImYp+_l-?e!VdCixq?vywf6+lB0G4v=xGTA-Ie?Ox~L5KQG|=1 zA-9Sv52tD~D37FSYv%AjVhTBAm6G64CZJa1P$s07=cr=)z}wZUr4pK>)S5nVLzJ2% zP*XA5pdyRSg8jIYYE?^5bNyM)`C#GK*ZWB-&qDFh#3d_RHa{|a=r_(``mkr5!}wvk zt2d8Gz{Xn1wEcQ&&kS8*2+`TjVj(RWL6Gm-^OF*`k`vVNi{EV|aOD#aFk<_L7<&&y ze+rB3`luE*+4WH+tRysAp2MlZfIei}3Vy&T!feGkJz0WE{sfK7;`et_|NA>#*#PW@ zoVH5dM_nvVnfyOAW}fR=9ZF>)KcXjUp5<(jK>F7Zd;K}Y8g)rc0J&)Agh;LbJ~*4H zS?UvGoFG=d|M@=msvFbV!+Ha`y$v=EEXt&hUUpr^|Me<?s4z4-k4TG<I>p$OZ+OMx zvik<uu>B;;2yZx@MErY3$wBBB;~Y|u=BKIwvxiz@UaYwG#^v(Mm86RN@J?W$L?S~~ zB_^t5L6y3yB!k`;PRWACr&IV@=3OWr`Lf)tSBnR@4;~H<1+yk!@<+{&xZ{7;wms)G zOHu9rygt{8jZKz`QoD%58|KUq(8rm`6tK&g$QUqXR*{FP?zUv8^aRhWqDp@qWL!Ct zUNuzmW90K!7i)AehDG-TIXK=*%O9_06uw9<_{pvDe$RW(SDX*lL(l9tY{WG0H*_T~ zVGM|?$<dANcu$PkZ&-z?J}nE6+!9?v=KUqIaH<~`7%&Q@{4wv|80^kF<L&U`)iPDZ zF+tWf8M5~~d4V6xCi-S>*9AXCR1r<v98u|T<}e1loSu&Dh?jg*!w?Y6Nfg^*N-P)G z;X+(el5ouz32H>M<r0$IXd%M7#lAsdeU@p`T)BOjw?;;DYwr-UqEyAF8u#vkeByPr z5IV*cs8C|D1Y5B-rXUZqmc@Tpl2|UbBNLNuz_94|0Q~r4ED!^L!+Py<94>R}!TzE1 zt)+m&Bz+So(EY_>lOhvPV{G{oLr}j+9-n#2#P`qC#Q5vxSTc>-*1t#Z4MV_7Sl&O5 z2WzOIs}_4<SL!a-u}4UcH^-|>GAO$CFp)o98f$WcGs#cZtHey@0{z%`UF6OrOZ2(s zrPmzwX2c5Y7>?EEj8*h`ft-mf0fn52YynA}ntD%c`V9wOYgRJ^#9e^%N)ou8hEpwj zn^k!ge|dkjz-kptqc`6cdv2crNAu_19QA=x40gXcE5XztTsOaPs*1^1GJB)<gv+d= z5fd@6{n}0qe-A8TOj(-rq17UWDRWj_%Y?w4xXv!4&-|F8Tmj$!iZ6)67o=5&EwLXk zx<%>Y{M>7Ij3S#bKjOG(>EQDh0U4(VaB_CeHp4~u<(NIKz&oj-(J?NUt=Wme(Ovf5 ztMUa&?~A=Wkv90AZHuUooe<Dte{so1tkYvI{>l5|Z=#L%RJ75PIi`3qefl$d_Khm6 zxu}0VB`&vL(R-WXRgRD^%d2EEh8OJ{h^E-4%KyThz#S_PY_kT&9^}dY!r%#%m}XW@ z`{^-Bbazv|%VjT<4dsFAXLnB*0K#82N%twhc>5wXu1@HrN+9KZ3#Li<)%d7P+F5xo zVT4b59A1`+LRQq~^=k!)52B=B--<~*_L|fD5C7HS;^gJ<m@iFp^(F0^?m|}MYNzpg zw43?Z-)7b-DXGh|zA5mHEtr<&*X+{sMT#SP9CyUW=eNDvnk*b5+f6zwyt$@^Z@DZL zmF>1p)fL$v|9IKs=Hh;=cEA+aCEZm!SP@a?*cD9HV&cwNTQg@86EY{*Xds2d8Ss9j z)@+OQfxD%v#ERoSab;C=bX8NHy~@=qx^yDcHckKfB`XZeYmIN`>q;geFhKv>)oX2L z_p3oZ;akbjw*mSnSY9u<cO*wM3E!saqqus#nB0}r95bHHBs2=p|AG*CcO*6Q360Y9 zzaT`lA4EJml9QQ)N&))s5#rRYq-s8)Qkp)5NqZ2Xl)Ytl!t#o?84cA%aC_&kHS!5> zLI}<foWp1+AV42Na{e08_l;mnZ^D)F>1kR_2wuLz&LPv~(fHCFhrMafY-O5?SEvd7 zMT9kpNDEFV4}-?R5vc)7ZCnUFQ@p(TprSepQJU8GTFtA(vgP3;<)n1Rt}<znmTUWY zFu@=l>kd6D5e+L59m@{Ah?Ll_08DyhOc4;FH16M!gL)?Yi~vkv8+qoN=r7%tM!Bm; zK-1#?cAS}rMzkkK<IP7e)PR3-F>Zo#UZ|aj<bT{^(my1BIxB<k2rJ`-N-eg=xP==( zK+mG_QL{{BLSF?NsgmCa3SrFcao#<hTd0w;xTyBYrIWAbW~~*_x@FZu%a-3UEj^Rh zOjxG~R>4pR)N&tC9^G)O8V+nNHd?hNYJByfEKoqBv$@!)W2(Z(#e5TpRUHSMR$pV1 zPqAPI<hDqkNpK;*&$aUI^4w0TupgJM9#%+pbsH}!jxpCu<KExP(bAwFY814Zo|3LE zLrAXUw#_kRqvcr!yJiBXF>xt1LwvidYFl5Y2NzNR@vt)cJ)x$;<Ac1`;*{S>Q)A)r z-ajY6=9IGOFGdbhSJ%U$4k^Gkp_%7Dbl=Ttyn6_c|3oYlG=#~kR;v_~Eg9!o#&ZUU z36NhEoJAQ%IN@28vOlx`<~|+nob#@9=`mS9#f`u-+GLq?Fi$P0!b)~IXF99kIV<RM zeC<L;FR_hq<n$6Ve`f#9m0Ut8EjbwqRo+Dj=X_%#WwW(0FDR%Q;@#a>+XC8b4V9sO zD0?Rf5mtRajBtXg?|*0i%}qs+o2Oth?oCE<=k%(1>0~82e($esk(Mgwj-1!#8sQaC zfavxFr=IagJCoBpm2JEqc1`VVh`<v-?8>&_pW;43BtwV;(Kr1mErpxCObg}-)Ww6( zN|X4SNR!-MCz`8Mw$hfk5hLxX!8g>@Xtg{ge^zFn{97tc+0t9$rZ~<k<}<RS=$>Af zhuM2vF;$gntkvmD;thOvEjrOD%eruWs#8W~-4Lidz=N+{meo@JB!-g9%4y6zE$o2t zr(3yk!Vu+Y3;`yX05gUl3`~%E|CMdI-Y}{{H?cxDwnBIOjG2ao?{0mFI~OG;|I$2A zhrvyLzM;CQ$yv8j^<z~a3Hc=n1vV#_V#k|6^R1oiszw_gu@5G!_vhO1#J})!x8@7S zA5g0q6wTH2LE-@$Fr!}ooP!+@Fxfn{`HkL-si@*oDh-`?Wwn7423TL`Ut!en;1^l< ze$<R7*5wd1B{&kJ6~b$z>7}XY7u32@Q1^>b+cAA9ptYG=V!WO(7%$>|aqgzT60v`< z`%0{^emgPZNSSD*(t;4f<$`ji!a`zZc6gl}DDBV_T{#sLq9WKRMCTU&rL0A$$1GII zd`g{}NU$>JkjKbrcT!%mSIBk%b9d+HVD}(RmDJ+wIhS*M97){fk)(O=uXS=Vyhg)* z0qO324!u_aKcq2Z_U)Rx;-{S48ih?^Q8Krg${i}~To?xSBVQuM6Xv*#oE&iMZKz#e z=DQ|zZ${JZ93CZ3s=&JG-3f*ASXV%7+fWntq{RsV#`nj}LWWPyv!>{cCbhi1Lh+hH zP3YV%!=%CtP8;wWaae9sPxti?E0<!ty-vn->6-h^zYd;s2U#o>)Wd4`U-GR0N~dNP zv7IYqvbaZ*(pH?Lu8v~7Wh}mNI;%?0@w1X--*9L@&;H7xji0?~`~wiC{%vgDrisA0 zA1F5iuXp0mJ2PqA>Twy0+)bvK;1SES`OPPmb*1V*pQxeCvw53pCpY7tScb}>_sqDF z;Fk;Qw_R<7l&FGk(n!$l!Wy=#O{8HUH}f;G>?@+o-=ti%lHAPq#4<?^y--BOu9_DU zH4GZwUP`6-Doh5<FRgQxkI~|AM3UwNyTkX7p2dU`6)}Ca!nEvmjbQDnAsvv42fZ<I zGN<CQcc0CVBilVafn?hlYsdxLw$Z|iaGzzqFBGDlD?BRO9cd{cenV*MBD~<>H@;~% zD*@`c14f|Tk>cD6kY6|p&y1V`#Co3Hk+LrdG4s}$TFF)J5wAf@AhxM?LxKz7niZM4 z>QbV*NvF{e$Jr3a$q>h~mm2`)?yu@$vaK8?bg#AxxHVGKOT8&|^7FiYKa2NvjL1Za zvbXnkZUnuuBJ^Q_@#SJ<Zw%a~Y%_%>rVrp*g&K;mvdl=Wi4gg6Wa!?uR8I-7`~h>- z07U=xW$ddy^AD3cah#I#pG>3Df?dKpTevhu&5A|MnZ;mn6aoE_Mw>kaP?IvK$!>pq znq=*IjKL{m!8N`*AcRSDlSx#q_EW*NYNO(ZOYgaSxt%>T4`tk2b}>6$DF>-aZ>Z|5 z9P`k9|ADOI*O@UTn89vQui87QTZmO0G*#_Ij23msi%xzHLi$>ZKy_3-0Ew7Fsg<?1 z?(M`NlUi6}B;GSeV{h}Zdw;=+KAPOuw?P;%#<-FyT{*Kk)3_U+t}2eWu2^WyOB+Si zK?hlNmbr$TzTqJp@XKd-FP~uqw=OYM+peRMVY<u54)dzb*9FLYAMaur&Mkz_(G4UF z(_2D~ET=0;!vJNTyl414z!wdgn`y6{g}U1Nm|UttQcIkO+ZyO&cBe}4>{Q>B?QC=> z_ub!=%b8=`AE_ps#`^iBmR^5i5^YfxxHTh<b=2%uBHr%jH|YM#X-^+yW`Q4{p`;1? zU^GYk^>>n{r0Mq0NtQ@<Qa(QN5qlg%|AkU|EGP3uk^oc2Lw9vQa;Ait@TC6fSb10{ zyq|yYA6@%Exh-Eoi9o@RF0ertlbg=eHu%C8zBr_2-=_Vv=xc>`uiCR4>#R?#KQz#l zE<6pVij@n>xQqV4j5@1o9k}>Nx2(~*VehP0?TR;uw#KTfEIqwYFQ)sdWgwZDv$Sbe z$Wf-xZ!Nbz8ts(<TZAMjSc~b@nX+0AFEVVfy~^mZP+~Va*6Q*|$rzkK?c#+PS5+Sr zb>_yYdw;IfM%Qt0GR>X0h0I|&#A*N^?9ieLP%|=*ruk~FSzJiCa;rcLGS4h6ba%|x zApmPVsfiia<s7K!9tXw@wJ;m9yc0_@E4%!j>|l9v{&D!=4R$(tks9EfS#&<hp{oaY zUy%g&jO*@#n|Arrg(T?>`41SFa$x-sy7LS9lIM6_M$aAYjmMK^wK!mfF2A9#E^YZL zp)Gxsn=QW<RmJrERE~yP*+cBow`W%|L2;R38JTj+w%dvf^1~rZOXf=wMdeY|r&TNB zyoV!7)My;qMA?!rwKJ|}Eawov^q4QsN}?F&M^0_U{`mq=&?;DS1e{^XUf;z(g|Yfg zwtTp;t^b0Bv;B%<m`_yK5>PL)p=Q>7u~fiQMZu1C>NHD#d+Pc(g8oL0D^BTPb+y_| z0kGuNuOgp|z{<bSo#DV%yR2*5(lG1gTzNrYywCb;TIXA7-6x&nH%(+AeT~6S?66gH z(@V3_T+abyEiHl<{d=cfcY^sFGt9>`WBaLe%T<w6&aUTzOH4!d8yA90SR0!R`omoV zUp=&vQ=MTS+_kzFKE0?uEdtaz_Y~5#m%9Hd_oiVGOS4@RfH@jJrw?>A#;4y}{{=|Z z{Dx=4PLaM)a}%(nb`#*k=hwH!%q;5NMf0;{Xi%Rcx*!Tppuc79K$k7DqG6vtTTyiR zsrEjrzpin+D8!kP-~~Qb(xjHxb<)}H(avGAFeBG~&alY9d>K5o6sC)jKwwYGmb68Z z&_~CxLC=6k!+=M}fJe&^!)5k?8`B8J4$h1RJV;{P5nAy{j;qMj8PCwbS4y@A4IFei za`(wDNXR!Oi8S3}OnDzbBes`Z%ys6ist4W57o4fY#)P{Tf@b6on{!X0JsMSNUaC?f zQ)6eY=MrQsBKpU-8@N1PwGl@%V*!F*Y4?GyFcO6aYIVm(gD`1Q9Bbu{1x|rF*XF@* z_o0}D0ODsGrc)WHMN0m!a{I)73Hk^){Fum?WEG)yOlRi4b3>VsUA#x>DFCEc@f^=u zn}6I4sF&-TW>8}$4JSN$m2ip|iw=%IZ3v$`H`b%uu2oKGXLCzp^V2(crH9lsi`t0d zR$1(feqfxig*)3-HXtyMI(S~H8QmF=tq-cC|6vKHZF-*zAB6Md_CM)ORw)F2<Tz~@ z(@DLHw>&P7&TK<60--wHy|gUXJ*f6c$>ybr(83R!fG5`UPy(en!xFNu<NATq`BmXK zx8XQw;W$A&>~3yd&5!0^o*@-z8I|hQ6nS=4GjFMlmL+Puz1=%A*?aasDAqnK1fu>Z zzLXp*n<tcPvR-*p<NEb~(3PrDR$HcPr`Isw=v3qS(t4k1a$2pRwAi|)(7{|J&safN zBg`_Hm<g?4ooOpV#irlFWT2<aD6CMglR-#P>`3@7#H(K>$T7FmEBDMX_v~fvp~TO` zy{})grcR%&;FLrdF-`U--C#s8V^rw<SkX9(iCtg(9DT3fulR`}A?JAt2|@KwrEH7+ z9UyfYJ%>SBQv={g+xvK$v^4GIh{pD1u_zI*U}Cwz?4W6$TnS$iBRi+%cOMZOYsGv( zvbiW0B%foGLeriAm^jT-rkLNAnI1Cl#bmwDSYDdnTuWY9nqN|DM5k10vR)rOaqFv? z<O&9n#R)jZ;oa4S9UF2f4jvoLCrQDn+U~P5@3SiQKGgzs=3sVa`*m@pEAa+xtZhIY z;9V^oW`}yQfU#Hia?*jhU`1WCt+WEDV{}*h6J{rQu@Hg~rW}}yR@BRYIt?(p&Aqy~ z;uZB)pw0~<L9|tFZBvQT=C%`sL>(VJp~%Q%x7Cz1CmCSgICJH`Pd0-!udU^9k|J+G zqGq`+@$1f1UIlBuyj#0g=-&Qmdb_<C$#}C~R-77XTOpe|eEIG4(vPp|$nFyrHzidS z!2`s%otQ%lo7!5sXDNHeT`M^wjVUm6eYLXNgcCi^OE?{y?7>Qh!!nz#+2OcDQRqt$ zlJLI$yhQQav%)AldEJ;<G5+P|w3YtGiWOBt=KE=<=_T&q;>o*bBiL4XMp?lFxVKkn zDF&hrHfD26hz5KNKCSn-!dIr`&kujyYb|XZVwBqAUdy{yLKQuEYq(V}w&ipx>~i(m zP#4<oimlgl?6amum$;qyh8j``7UdUim&h?-tS>HgzOUR-w6!7K++*N#cJ7xQC4Q}6 zbJg&8MT-530^0=Jf}}3ZZqcpQabd38?lyeklBDjC&wjyS(QUN;Fw=42?M7`tw_Q;9 z0yaq<8Lz#N?V{Uc{b7OQg3?B9cDLR3tGYCsMYl4?g$6`|XbVxX)p6k_u{J^GM<WfW zvVO(jVwmo(>&$lCz#-B<59HVc{k5<JWM1r=bmyyasHR=m40#wcqhBcb(FEQfkDG|( zsT+{ydvJG&mU_wK5a-H05aA{u#mBtT$Mm@hBdz2$eQ|1x+Hzmfs%hNL)$l%RFx`3U zwSkIT73&Yf1vdQ^ct*Cu7N9ld?8bh0TSy?|7y@XGIlEE#m!xh7pe7czcn}$^aVO{` zyOp(2{wzp7g7j1@{J=EDrh|87u=fRjIH})4?~8hboA@*PFHY%dCL-nzA{T%~Ublj2 z&s)xVj~1C`+eu)XZQd;A0=*v{x_!rYjFa|f(s%og{@;?eBL^bB{U$Xr!-I2@c02h- zARLECXb#3Y`(&sd2!X(&Q}(6o%P0gI{zzi>r4;@>Sz4h{xLkFp&^x?r`uUZvMeN=p zSkE@QmcX`p$OAOock67woZ)<SpBi(uo!Tt64Y?DbKOPbA{6kVeNYLNX%)qzL-YGeS zQ;pXKuiQYPw1sDzX{qeTTL4eK?ExVHgXO*(sKRi9yHjg(%%2hj;7Pwd(8IsN#0m$# zIfAHZU!Sf{AKVcf2IM_7%1^Q1*P4>onv>U<r`rrQk0yJ1e|J9zw;U7FF~#k$iik;P z0l*S)Ai=>lp)A*2-ov^iQjF~x9q^3q?HQfX8J*G@UBi}qQBW7Lg}$+02eQnA=d}g@ zy%y#JOAzS$W4MD~hfU@$vqn{<2bNl1nJ{s5IM>Ev(%@<#SDXwy{neYyU(d46GidJ_ zFSh4%N7lk}M|!Z{^r%ZVQ1=!4M!qh`6isf%y(WU1SBRvO`bN^0f8#`p(s)Gi(H@QB zJ)18M-F8P%l=JGlz8BXkkmrkL3rNQ@mmNXT)vHUrcB|-+%?`Xi>W-M+0YCbf1XM*T zcI4%>X72iwX8ih~W}5nhW|ZsC(Dl_qNLRae6d|f2H9OLBj4)C&pC{FcC<L|R7EJi) zSc)8bIYU_N`Y9B!YQ#m9PAE)&W2Kvr1@X-EhBS0!jJdI$Y(tDz*?Y;aWuc!=G$8D< zb&-5mVS#OraA-l;m%SN&LD6EUBa-(h!t6IDp9A49JScD8olrv2Ry!b99o66!<dF|R zLg6oPY!5f6&T8B2%1npzClHpfFPzEa36y!2xQ{*H<qgPC{+^v*5{RBk*Y-3$7>?v& z4n14d>K*ahrV)GA3f{iNycYLvX-A9BK%$TX;sT!Dpr2$xdO9BH#GZ(T!j*SH%fhz% zN;?)RpcgQ}B!wRk`y#00fl3TTGyzU|Eeu6oJ?py)V5iI<rv>`CE~DL9?_K$`)2tx3 zegp7b8$<P0WqaLy*{N5sTT=lf*BH>!Rh8a4Uq#v#Own)v-n9!fe3h%0&v%5z07o<g zKz3~jtyvZ6o$<|&E`M??-HOpncq4PN3}J4+jbcYTmTkpsrnpf)xrfNMtMn2ExYHY; z9MKs(J)$yrbVQqhlP)8Q19)_!d$JCB@J*o1K-LEXcs&@QTC2Hz7XArT8ISej0fHU^ zP^<2%zI^{}stjXzGJw-V6e_(M4Z-U;i&1=pQ+FlMU7*$5^Uk1s`D~O6vXRMdc?{Y? z?(2~-=!GGjzlE4&MpghH`@Ffa+<6v5@l}-hhG8c(io#En<mTf}s5T<_0zf(MDrpnX zNCWVnZ<Zd5X9NR?&P_@v-ex4h9d>%OGyFx-Za(ewL>~tLv3r)^Wl}iYJ%fP?C@}9( z_R#zkX(*nG1_K^ln?mnbJ9}^aaOjVpiTXF=Tz`Zvucq~R`}M?Jp&U!3KRJ4R{Mepa z5!Irv#V}(L8M}9BRj;op;2jkI^ZRtnVXZc<l{ijebgy*S`_F#Dj~5k|v6}ra-p)p> zUez+6zbth3(|CQ1q@N^A-b~_Yal!;qI4OcSu1=MReZSY!e9+t}R-r(?Cu~4&e&R`g zk_>t6!3vF7t?A3|*pG65q(~WwR4%(r-c0T(bOM9eb&y2WJ$CrG{HpndC-X@+gta3@ z6ZGDojLPA$j|y~ab*MMRj~>j2>Y%WU(v0e9auN>-Z`X<DLvfH_e$<TR>2p#GxoBUA zZkwsEyD7QB2H@W4ob*A=J`cB5!}&%Pvs$^&#R@=JcdB~^eu~r}bkU`5-qmbdTV&CB zL}2nem_`J8cDBgNLx=#KxAw8(k4h~5-&+-8voIm~yo?Z}A$1+7B-_3VeGdK_lx>go zqv3)cv?LF{8nvf59xs0fHHd)ccTk52s=`6-4^@Z&3&G6%Km@ELXTCF3V#xXlaBdF~ zsLJX^pQ1k>rP$+ii4P20X&)XMiM^s>@~iextW|8N>uM#$regzfY76yg1i05azVfhx zHr8L&Zi}3;e;(_8#K4tqR)!Wyweoa1IF-+&B)lfmLE^*CZd%t_8!n7(k{#_Ts{E0A zw8P`$kF76-lK~_iXwbt|C5TY_Ld-FWgH-FYX4V_~6JCgSyH3n8>ao3vz==BKwnGO@ z@yOvV@OiVq4b_P`B&Z_={P1?rllS(P;XJ&M`rvXn=bq?1ynq_zYB&=}-`XjAlZGRn zdc!#|Xu6I8-KbcK3X572N~;wU7xRNfuKL395SP@*Ds7+EZ0*D);g0O5tE|ZUd*<`5 z!iOjNVQ?W2B`C$JWG~2{knRDM{OvtSbJQKMy|sl;F4d%s0`J+}@vmR9wUKlYRjr2U zrI1+9E${>#Hr0sjIv<pUu8i73u33uQa$Ous$u&cX!&}Mbsg8#nW5HF);rT5%sjoVv zK2|s=SfkH5xdGF&AZa6N4R_h0xivirO{u4J<4(pWG1#GS#BALHx=2?>H)67G2W_Uq zrXR6bcZS00++O4Goxb#Z%ymV@Zn^ay@^!E|Sor5)Y45WXPZZA=Ty2!>7_EWLiAiYD zglGnm`acJ6du5Y7u{;^MRw_prxp1mBgs&Az_SZ!lV!bSlxrBxT9x5GK`WFq4Ew~J- zH`;y*%wD4a&%^Zg#gq26%(b`(so5>IEFsb6M<4W;2X}kz%&{$fehuOsay^CDh1WTX z&M4swHiJo%_+wJJPU#yAx2hp-TqCsQk6PoKUwd%w8=x;r>k|t{G-G(Mc&eQcL4RuY z%!c|%?QGZy#g2#q=m$O-U~LL%0$ooOAUy3t;2D%ix^mQIzh+(!Tu-tS2B%jSVh%lQ zC(hG+9V6N++%sJ;x@)Wbl-2wa2mg#8t>~>i2*MsgG$DxN-$W;Za6=Fa2*QEZdsU~m zvz<?e0%gV2=Q$wz;_XRESl>1(4$TPKaMU&$4iyd>sOxx}8K99-nO@$J0d9K?GBy!D z>EXRZ2ED&0hg034ouIi5qP02rklOjQ*8=_T)5#t>j=`YEZSU@1!fVO4I~?X5$>Djw zgIPq-hzQDmGeu-NpRte6NbmjbL&&zX9!4V0Rv+dO0vJ((ecllrPQFk47&x=Mu<G6$ z>bpY6jsXm9Cc2hKJgfP7Wql3k+0pO8&G^?m(D7A`z5!nYDt4Rn0m!DDK`*tuLGM6H zYt#ZF)~a@Ue;FPbg?vH?8NGfcz<Dn*Obnvh4sVNk)@{&xh}f8YGbqcS0v98wR(lSJ zkbskjVB`=Hd})4lZ6qY>OHp-7b^TUI-It=m1oaw-2#%`}fxeJBkpW$~4Pb8Ar#)j% zAo|ossI6P@UV^lo_l>Ciwn}%;q42<UeHw_EqV9}yW5X>X(#t$c%-$NlRE8}65OlR* z-hFkb{rJ4C(80d@aZ~!EJN7-DM2?O!fyBEfdpZ&ilV!5v4*@XsQHKf}!ckCuE6@3U zp-FN3Z8(f~v^+b)4*6JPZ$YAMx-3Kd;VaB-RIGBJbrh7>Dsmoq2*W&2x)BNUzmL`% z@w>+xIDT~ZuIV26PHHbZ>%n*?H1k0mak_~uY;&F;7ZPSYm}W$dwq>{Col_jbUY<J? zx{E(_bUzwx%MyJ8yK?ivgw0I$NIVP=uVjHFeMrw84q+tc?1k<U58Y*BZ!>~dI)_Kw zYWF=x+YE0`yW2dD(=hLx_nH#D(_xIG6D9criw~2EU(>(07N4T-B@TNg!|c1+3#enS z@GsE2r3y^ER);?Nd_N`JOH}aSnsuexV4ob}ytSO9Cjn(eSOs69jDSK;$@Vnu&yKQN zn@*|!h;o<hx(W8SB|xcOk*7R++iyW(Ugf7kd)x0ou`tyQ_epl&`==KoVJk{)&taXD z`NVD6z!&F11;<wK2w2xBMNVtNDf?c6ILH^a+}&1SfN=-hyCr(~4kSLGyKXtOfrr92 zN4IlYGl(?`4G`0Vi@PiXlkJ94G$pY|u&K#%e^H?e<e@~lJ4!CPg&KK;xlrW7j=|yC zctgB&vQ;H&-)*`Vl*Ew)sP_a$N4^<hhemJRd=%{$rs$JO*`~fBIs?#9JZlXBkX|D} zPZ3iudf#%IjHj*s0NiV*eWBHXk~UxV8d0RyUog~b<Nar=p$B(3t)t6lTXWXO&S$nY zSGAtC^S5)tI)@^*>G_wfJR>UJipdrQEjUBYE6d(=-4$0U!5jx7=Ej)b21%7;%d&LS zRacS0o(Cc(>jd5ziIp?UBy_-vtAJpNUX7G%KR`HfOy7(@-)jRj{my0NRZz6UqjH7T z7tOD3=uV2<4xct-+z_1fLv%WrqaBdTKem!JBi&%11iFPI=~Aws4#yln+3vmarG~bw zMgb`JDOYeV`*3`#A!r?Tkf3&wD2gZSia-?M@v=(anYw+Z)r;Q9fEik`$NE8V8pQKc zWwoYPF<^#940$;n&f*~ibzXga<9QMRA#Bg?WA}HbY(vqHfE%2=hCKKY(zRjg$0O#) zR#2PO+}`ql1RBIs-ycrr;fR<*clA015Yo0GFNeU{JY=EXtE|1p0etkJN6TSwDi0N? z)T(?hMF1Zi2#H+s9$z>RPFx=V7x18m60W8lE(_Mjz+ZZh-q@UILitwh`d0kws6c4T z$#9YD_f6POME&5@*Q!uO#N1abK(W@wU^V8Trk(Ugxaku0P8aI1%H6ZD(!mI&K+M<i zN_s(l7Bml7=^euX?Z^i(@~y3hihJUAP>co2gQWVZSl=r+nt+*;Zm-pe10<=v4Q%n` zK_VR$&Lx0+A1wSFPVM>p8VzcAas|;s+=eZXK@#Lh_YuNE*E&$rRfk?@|F*K;K_z4w zE{hK_<JmBO?-FIK_E@`ir;{YhhLD_$^p0HImVjF@a8+dNr;i#1H&Gg^EUd%!Leoc~ zmVI~IkXBmkmQfluXCOU3@>m88#8w6GI7flsXOPndi?+z%`s6W5pU=IM{yxHuAiRGk zvWUbIkqG@xbfmv4Dj^63L{Y(58d#VTc!x_JLGU9=q#;N*bp)Z0AlMMeB|@FZr5Ur( zK&VZO(&tH;rfXK;!8sYyh`#BVrC~|mX?88#5qq_SATHIw=Uks;$43UW!9epdTq3M- z@T1r<@T2ouFiNI-EX|{qVw%T0BDCn@$IK1EVxOFxK?RV!hmXNrfRbO*%c|?b4vdaP zim^10;iaY<4-~X1cgR0%PewA$R6rkCNp55Ywpymw+cTIP4@P47;oCA#{MlnMpBpd{ z^S3H<W&%o0GjBfhJvuK1`|S$RB2Qn*JgK6H#r!PBM0^cY=A^tYG0lWa_C10XgZ(b} zXpxVOWuB~y#bS=qGZWV<Dsg5~<e6rQ>GmP7KBdJ8q>i(#Aj^fUITnC}`rG1cL&B7y zt6Bzq$X3X-I7L`-!tJ$6P{RfFK4b?WTAZJUal({!s?gO%nLcD!8(N%s`B-7!hg?WU zb08S)GFgTkV#Da!US106Xo~>*8tT#d{q&MS`qmJKLU6`_&cCIG`)x3vMm)(tdX-aG zTD;m9EN($X`*`#Xt>2ig3{u_;8Kgq_I26qkM)YQ04csWZESgUXAEh7FRf5~gGHF(A zG;p6HytuBC^lCjA?CWGk>$k-ugEaaz4ka&?0bNr=4Y!xAh^AdnPI|Se9_;IZPU{B+ z%OLIK#-dEiGNacgDB*r%FQySUke6N+RhAZSYX*zA$@ig97MmKcGAF%3k)gxQ0;7M( zF<p;V;iT$gPAVuVGetUU1P2e{$<PTaG9^6~$u;#q4+IBK49L)_mNO(-wiKBnT_%J7 z58Lh;)ztTee~NTz0tynE2mzJedl3{2T@aD3(u<S;Mmh)tke<*xf)tSsQltuogpNc* zuaPD-bO_1h`}?n%wPx15I-lp+`|Nw}UH9DV^CEH6db)xhL^G+>%R(6KLZhx=DARpV z>-MjP_Xl>OsLbzf7Tafq8IOnOn?#aSJ_FCe%A)a7jb#qLE<vKXTBj}+<JqD>R1UmJ zgnpy|Mlr(OETVGt324z+0krTH5=G^3Tf$@qTtKbXHhMq)b~g!F*AxSbeZOW<#(qx| zxa(O2B=gY{rH$ou1#P=yU{ft0GWxr2Hw&Cpmjdg(97W?os$4*8xdwW_rtEZKs6P|1 zyUpPl*ivAVfRJb<kk6GG7^kb}3No~`)C2#LvV_4ie#7#b@<=JE{|O8%4_yZ2dr$@B zLt$Vkw_HF^Z!`<^a(w~zihTwic-CcLv%=g#-}Kx;KXT3Veht62WZ5G;ho#83WH{vX zH4Ds+lmcnJY((Q^bzDFl%8x*r3xSp_o=Ip}6yy^O+i~11u)_7(G4fqn2E$BHvxp}n z2(`A$Z7CPh^1H!XQ2|J+s^bol;wg9RJ2MoGhp>~jr=qsrJ#cx($lCWNk?Xdf1SA8g zMd_M-O9ftUyc7iu!<$8DY)c)aFFi%+k}@BH>UgRhpS>SV6X4-42Xgorh=QIDxP$bq z_4G7<(OR;=3`uM8gJmS1&o+ttu&owQ+;kxA$`*Hvo=zjsr(czpF!uEc7`<OkM)bFV zbOA=OFTjJp*F`~5@vfkxHsc{gPk5R~tJ+uKgtrgrKG|KTUuE$DbDNW0Ks8EF^cq%a zL{TyW4?zuz=0nilLQ6T%Jq#?WvoeEXFuF-#k*h@D!MeJo+#SMr!)8!nM*prsQ^!qk zEUYwfsLA8bT&=+QFH=}rTWp3v@oKsNQ+};uB-Trm^B0pF=zg1t9(du{(v~W#$dQ@o zDvF{ocelWXJOaTseps?JCYi$O{0lNhvbvi*qST9k0U^?&aXLD}pr=YYLsY|imU75- zJrEd=u!LEP{ceZ@SHT`nEnC8tNB=Z{q-B7)|9n3S(5}4^%@vjx27OW18`2)FwUk?p zDHq^?go@GzIJ<#z=Ct*2yTO($XQSh=CFR--f$qs>f$6y-N9nadAZXdw71YpSsQ2ky zLKKu|<qq2Ic%t{Fy&On(<Rl7uV(tQZIHRj)a%n7zDv@^u$+cVSHB4k!vaGD5VH)pB zGD^=Cn*`w8pMdqD??mGqgIqyfyd>eF2&8omas%O&Uh3V$JhEhIV?@Kkzei{E&wa?q zWPLFS+x8F7c)ZY&k;(DmH%y|XJEMP*^jg<lH3?AbSlXt%v?A?^E=w5VWCAAhttF$h zzN$&U$>x*e;T{_hWzym{P5)gNgd6#w3j-UPH28pPU=D-s%^nLX)!695I7_)h+dr_U zeu)_j1?=e^)=lvl2CbP*9pN&zLxOYhmbNA{79^mvv@Ojp`}?^}waEjiTKo5BIgcnO zc+53G@r%_Ebgt3TwrIwN1k#qa4LP42wLA%F9aw3zAw7#uOSyG6U6AIOmZe-VaRTOj z*qCAPJ-`$ed<g#!OklBxB_yCUfu$WrW|ZdbH4FR=E(bnXw-N;@F1mpF&n@-tjhb7s z_;jI3xzQPq^TtS<Ew~KGylyHQr%?D`3hCjE>R7_O)X=b}lFvaOHmNOP-~|k+WC5up zgjBMl1ep5frLJvkpq1XepG9c`5uKk&&MRG(9}A>OsUQ$|iqn$iffESyY3kp9U<mp( z<^CV&g04?jk>J+@kYLagy?aj{0^=Nw+(4z{4?t2untJ!5bwNL-S4kNiX#$0+Wu&r* zG=Wd5U;chhqHOL!*T2%0d%*hw_H{ouqraie6n5X+)B@a-=txT@pZ4~|uG}G#XbH@{ zz}%k>?>0e|UEbD}n-Mo}XbZKNhMsmh=FYKay!Eg%{+1n*3>(@0p6>B#vC@J3k`b8u zr$u<WsmlOWb}X$cS6XP^z;~4gW7s@Q_jp=Z=Ad=)9LV`={lRn;AsJ?{;hW~6Sy=7B zdLaVL9d~{>9i^s)5<J?}l`G&iZ8*SJz!KMW(mdqUO9lA+eMM<2nZDri>1-Mwy!oc` zjaT7S&;B#=%Wo=m0e6-Qix)@31Ew_+_}=A{;p6h<BfNwP6^-T6$L`!0|C^H$+I+g8 z0a}|}TWDMr9X%J4%AoJyMLIL2WqO@T#i^lvDm!>lOblsvR<|Qy!O%I$J>`smI~V>A zQRNFQSYN8ac#4+=`w}m^vI6}XhD%<BZ4U=0eMsi7m6t~3(6bKqc)>BG!?7(VUhMp3 zQ^Ze=Ijf~|lul$JA1@^#GFafu0>`|xj*N*c6yl`{jlg^D$78j6#Z#FiF_FO%XJOdL z9&tDmMYpEfHM~$j*nX930KyM;8cDFUEYMPhpUPrnMaRAzU_*+!Q#015#ju^l-PsxI zcI*M-8(yFP2DAwW7;Si!|2=Q#3;-NKe&O=IosRR2FB%L<hq~gPZ|r>r3ciHS(OuOx z_1_$V1r>D{W@Onbg5{5xezBBT|9l6DA-uL+$&E&u7KNo{ymz4pkUC19WKkE@FK`GJ z5&Z{^PZ822%KQf~Ar0dHoK#38A;5CYD;^GsCOolR=>Q^a#)*bQmMAW)O;K2O#_@n6 zYIUSFCBtX^BCY?=R9f#GZP)(rD~l4YL7Z~m$-kzr=4vT0S?=UOp}}By#&N#=uZ93u zK&Zc@Hh}=H!C?20fv@bP4kM0tj_dCq<{v+3d`HSmYpY0pl)YD7n|=JDp?RXM5>hnG z<j}#tIge0#6EOT;0q9<KSVxbm?Z|do4&?O+Yf^K!{rDyTTN`*<>X_(nD+O-rq<$I3 z{7v10S6=+-1lxJdLZ_<*JU=<1L&}R{cAohgaZ0kc<Cfz(s;*O9)V=i(u2X`Sl@oiU zdV0vkC?{_COh>I65@z|wHwF#~-)R={O$l&KPRO&`i)i{<EBb1H_fU#==q~Y3kc6na z8{Lc#sslS9h5UKUqdOEhZSt-|N}O0v5BBSf*?I41yIqnLY_rF#Z2PD?N(l_<RNf|; zKT48RZ1)V6ZL>4675vGV??XxEYoEookgLbL-&WHDUMDHx-goN!H~(>?{jJu_U_e(( z;iS~*S+-Ky-G%44%KL|UmTOPVO$X5Zvys6!2JvnKVjGhc;<7ZW#Jh2jQ|D~>GlINw zS@yZFMR3Q1W4Q4e1h>2PB)efMP<l(~)3E)!uhPE94XwexTS8gRjohckPF~6{G{Sx) z1&yB;CsmfWh;s}XE`-X-QSKQbzQsYVakQB%%*Z9_gt0GQC8vxJJ=0-KZ%NwWAM6<P zp*?@T@N!msL+H>VdG4W?%`E#yxrq)Vtfe3yuAv^Sglp|Mu5{msG1W25Y$5ZfyrS7c z%Hrfo)%cIr*RX+PM~M|<*REb)+y$p}BvvwohqC))tpjq6iPVehsb6`|%@@khM7c5R z1#;w1oLmWk{ix(6Pk_aO!d6(0v*Zwe-f7aRtY%k6i{cReb-|EGB=Gg#`wWZ4ucYIO z&hj(PvEpG$*KGC6lkE6F#%~Ad7Munk!#;btuBe@8SBrIqZC{RW)D!P)8r5=PT(Rs( znySwDUkz)VGtTlJr{z0JPBv1&a|Ur3Gc<_3+%fd_^t!UgY01uvlZ`C!{HC}?H*^Q+ zn%(%<gZ|Zu;mjM3h3}+%R$?=OaaM0qifMROf$Uq%FE&@21xMFxEjEwga486GL1X3v zgtWg3cjQ7P>XnCHSs=gP6ZOR~ycLO%YH6VV_NGI4QfhA=iMV~=S9<7c8E{nYoU1m~ zNJ1R-*-@;sK2h~m(6!4CK)1cErr|#MdLNp>xgQtxQQJ0J+<Lf$X1N+FJLgrUejfRH z{Dhz&XlSOFjdUp=_Eq0f`}gw30}a`b>l|&d3v4RH#gW6zzmoaKh7KIq`+-&s!(MCW zqf)cEA>3P8xIm$L72KW9>I$OU8?Pkw*=$wLtt_@6p+*(3PiJ){@eKl$vG`~EukiqS zS~>b}s{FsVT361}3k`TVr{owVlV=^AlMQPPx6jR3t#J7IpV)j|ZpHeAZ%tRIgu}1C z?v3VYu;9uV<kO%7Pu-!5(LY#o{e;1bdeOCfr-2*jZtk~XkF#|wh%cOo4}gj<nc(%5 z>-mPW$HVCK3#Ph|sBcy|A(d%ZC)KBjj2sBn+tk{BiJu6n9=v5cY)-uQfo;~w@cLWJ z`J^w_6-Pia9|rT!A$!kwO!cd?Z{uD%Or=f;`4X`|syoEf*ZwZlVZ|s^JvY0vJ`J0w z3PPAfLEc&ZasSikdtcfJtB_DFwD&O$o2~i;!4eHIv^>%K^X*V%wc7*2+BlE`>u4%R zy?l5=fM=+FNqr|qHG6rc>fv!BY62TLNytC!JDF?RhKqWwyVrHb?RYwzJTZZto``U4 zK3^DdwUD2K;v2Ft<7}Je3!<(T&U1edznqf#q@GseJPn2bf8WVD$sGbR6w&>Mt`?JX z3kduFqN~FNN@EPOJ_|dp7EIiZ_R`X|ug~k9!c>9(_GZ&MZz)%Cvle-&J_lz}%__`o zgC^ezH9`z`C4zRal1^R)bFL?b$SIanu@=eXX>lQY+CgP?(V$QZWv7)qre%eWh-oH0 zP|flomU|dKgs^lfT&O878a@2g5QA}ApRYL74rSuZ328{fN~t;9hfZmKOWrSO-uCq# zCz5Gbw)p@5{qaOrUP}7?%uc6c>vPP>G3^s>L$e*5JSA#2vEKQ4zL$5Y_;z<a`^o!h zc5m|W(LSc#-8K9W^kIP2`a@TOA{%M#otuR2vB`Pf_Di~RN0r8{=Q}e&)v}Hw>7u#+ zScfu1Q=g6wr;6rQr3(g|e><FmaYe14i_Q#xFfiDH^p#O6MvVY{mZ$3~A6@ZGS?e19 z5EOsA)>Ec*9Q1lJFON<xNzV7RY2rmlovZd}xPj!%aHN6R)@fha14YW*PfgiOxew92 z;RdW=L648)PGlYrO~Y==LEw$AOta+ZUYo{UXw|vuk22(HHd#>Qenj&^4fw%4Q@2V- z-b_6&BG7mMVB8)z#+_(A22I0m$uS@UUc(FJ^j@1LUD(%E8jVut1~+Y{AFAm1-Vl!r zv6xX|ybQ$R>&CP$7wXhOA&T2WDTU!7Hej+TA(ut!lQ2^|vddpsq1R#TZFjd<oA&4~ zx$r8&ukAj{8TAqj!F*HeWg+TQIxgKePJph5GTVE1*`Xn9JC}WG$~)bWA+$3p<d?Ik zC$9=Ymt=Kg+LwE;?egTteh}oq&!);<)Nh`w<FD9M$L!87Z_NE(R9_Oq?xr5jWseya z91?xpVLw!hyERqCkEUrC%-_Ft?mF?EI$CkAqRMS<+N2D5q!i}9v3RK3La~1CJhLPO z!Qh%Zi^YXnen7HWZ-j;KO??b>-)O81yMHQhEI01_j92iK?|7(atdx+Na2q|VS@`J6 zo`bidC2|422x(2AMT^H2AlxtG63EfD;XEaTN|j5rI@~!=MYF&W_cVY9RXF6UL%2@b zNw?3yILy*JtXGfXdsX!x%~z5w-ip?<q8$Y`MPua#*FqSN3FDWzpxe-{yzZab+pVW( z=fXXL2uy+AK+vx>EBt(4aA9NJ80d1nXmK#8=uV9m)$yY<gE5mZ9yuXoW{)8ITdKY_ z`s0;xI66i{-Jp}=DCNR<qr4(BipRJxX{;l%+g>lYb{?Pi`Bh_TVHlOi#o_ms4<lkT z!%=D<YeP5+8>wr3T|3?ZjxFlWp&jfhNwp!ACpIp2R40cOdyxiAgzAa9?2&(pR4VcK zhpp0fRxfsqwiXAoG<GR-%Uw*_<*Lp&{`3ky2~kxL3LsZV>|oA&v~ZmfWz|a-z^U+P zfiVdlc5MKu{$UcuI|Nxj7Eg9>&0aj^+Kp*LGxdf_olCrDQRK8$j>+Rz$>ky&ySILA zOhBhs8mY6dHi^>dokR}wn4$)HO_7`j0ZDM@)eu40dbm)EQBSB!fY?zaHejg`b=a$( zN`zji;XwPgxCi?@IM;no9LK&G&SKvXr?}4;X0RW8_0%@J+rP(-cSHT`ANj&=Ez|ql zZndRqY~Ioc)^A@>ipRbsm5bWzu6Y0AjFBw`A->}dsZ*vsW5Sv2?_nL67V&v>gx_Q3 zOLj<mpGEBerrM~k*bDb@yY<fJjHicgNj81252Xq-Z?x9R9dun#To}$gkLpUjNImpg z-#z|EG0c8h$r~NYbo_Vx^qD%<mFJdl(cb4ItN!`F>yVAvv*6biV8}%P*TsvimrAY5 z_+W+*I)9Nzb3387(5`GH=tBtS@$Yf@X9MGFo}as@F0{AueL`$M+md0NRgcBS?TT%g zFO;@yio5kYS&o{~i{S*8<H2!^aTx1GJh}>bTuxv+Vy`fd?h3bGn;rjtsmOg1ytSvX z_qek?Aqf5bQCLAB!-j8VH{F%umaL;;`2zPvBibF6XV^)7<XnM)hNg6z8*Lbw){<Xc zJO0>e^edp-u`fg_GZ46O_1?}gMlCzgabwbjH$p8gka7RHE&Trb8;S<DdZQ0cuz>f4 z`k@7W8?2R>r~>#NZ=_m8py-AxdUbrj)F^x)Rcrqxm_-GeN2ijLM@GoVyY98*KSWV0 z<Q#!X^&JXK*zT!9o%b%L(tR+8(M6c2#D_Z{T->4@6JRGFyK8s7z)*~_KwFFt1GhG* z5juCXj_KoK)qP~A(W!c*h1SzrH0&fl;zti@ENWi?vQHJ&(xSV56L-M|crQ^*l=YJu z+O~)9)!N#>`lDpA7b)(8f$7$IHMiU3-rsIZvX%$iw0U9!8@ldcsKxo^Da3*D*Twnd zsl)~4$;9u;Q;G}7!~YF0UYVS$VA+;bn>G`jv7iO`@qWVBQUl%%BjZ}85X$4Oao+NU zYZu_HtD<hbPQD{Y^rco<LLmQ!9;w|V+&@N)XBzX;baEaGj<cKcQe1Iw85E0uQoD08 zi_U#y_cVm&sHH+aQ}QEedwZF5KS$i(+Itih8A!)bQqj%SDSVtErJYX{8jIL|dGhMM zSbpLV-#!rgcIgfFw5JWJ)vJh-@Mp$JYy_a5ZMb6ny{9#CpP&6beO>q{R5Ev~D)H+2 z)UCT=*9-$xzt4z7*+z9BI!?4U+EAim`1wyLE-Af2VmXbPoojIFVEQQ0l#>|ygzms% zH20QkAffPA_3LLh*v{6=o=K|Qd*8i&wvgUC2DgLp<yq5SG-5xJPO7(im|#PZy!SH6 z)%C^bYV_5j_x!le1<nF-i%XnHp&ofya-`QiUh>85TIz+yTj%$WtnmKPwsFA}7u5v$ z<gE}NnhQALG{!a~_~yk9mT!D0g-N43QsqvdA}(|T0;ASGw!LJ%n8%Wh$HR%?p-gSO zM>nvGMT<IOv{%evJ8xQCZCyY(p6=`c>Fdyp?OU3~U&UfFgifi=1&EBfL8!>0OaUV0 zm6&gL_fx|d17#e?l*%J9s;)w=A7!%VB1cJB-z9hC^sC8;Jnp#yE;2iVQL?VVFsl7@ ztjzvx(r07{CAq(fRcNgaNV2;tRAi^k*z*>72CGSL8Cdtw9Hs08Dw5BA$h&T*J4zu> zdbM*?eIt4K*U998t7QI(sDR!?<arMR={;vaQuZ99D0`2Q(LMgC=-y;leE7eV_z&)v zi!|0lVLILhU>|P=Fs-*g_<^@9*wxz%%;BAWi1$BX<;Vi;6Bo<=oxS64gR78l0;DcJ z%-s&O#mTgdAx-}(@)@N%N2*xtKEnwF$RSz(7VsOTtVaZw2Rq|D5<c^$4)m=(!f}HW zk!{!j!Lbil16ZGN-g}E;m>d;Wxjs~1QP>k6y2}_;3u^Ci0okQdaO*X><P@*;#dH;J zxk8vu-`X>rXkFW=o$yrfn%0fFfP~=2Ibj0ehZDuQWg9!H<P}ljT(E5n?+?8)2J5sX zqZW~k23^l~pAoHk2&y<)9DPc6hGbfB<lG|R^uyI9mJx?f>4r(h2df?l66B+=sIe#G zwL*46W68wo*V3@yW|cy$_<q4yN-b<X8?Siej4~gbddx$6rHH#N#g?L@5mpe~mcWi3 zD}vl>fgeQA(Q^rPQ|F3dje5mXW(QkA1eS|QY~GnzOR9PV;oi9v2L{<!-2K>--=6o} zenT8?HFUP26+3Rn*YY8hyzTQ>R^<Ha$xOUhEAP3yN;xf9ePi!})bD)heo@z!jaNGw z#jd?4ilgR>PKCP3c{D;fRZ{S5?{A(P?7zZsF7aWDmuj$lOY-==*ne`P#6L?1*hh5% z@hW%lf^F2TOl?A~ExegMoyg8!Ra8iiDl(*Z9(C0_k32pI8Guo1gvH>=&W`<TSOrn! z#oY#kn|RCinnfwR7d6jLq4JbNY9nd8pCaGy?2+wb2x??u@EX2BtE&*okThEJg_S*{ z4SVDRi|;AxOM#61i$Gs0(vK(;3NnO$)MdU#j|-7<E_DxFnej6)dTxL@dYtaZz`vMQ zQyDXejd7gU4t@Mbc(Xl&5t%%g6Nk_G>V-@m%1OXSeO*Ty4FZzzw_5qnT`lNfg{l4m z=N1-BsfF<7OyY0@R1Z^!U&pc+u^$$)TBqSzT4~N5y<g*mm)wIl?pVj-0j+n>{k_S+ z&fcL!NbfoR@cKJ~;`Q}|F?NNPuw94FzlAy$Ugzu2|5$}`r5tDQ^7gb`I#cKAVkGpO zE}qnAx>!e#x85lBxvjbSu$0rBPv-w^MIp>+602?k{_jBP|J?rnV|o5Bar6JANdGVH z_rEEz|EJL0|Ej$Ce@e{%f2!X3zbzJ$CQiy4$``ID)IV@ikdbpv3?22Bvxm=n_j@z; zJ?nFY`T~+Tk~qJKh5guwST1drFO<%lkftxEcLt0~<;{BY^o@Gk_MOwO%Ukz&KkAF7 zXP2|?_kPy*a~mRKJ?Bl^_nAIZ&U$T8lQmkL(V(Ze#%4{^MN{OVjR#p~^SkqS5uCLL znNZie&3KWIB!YCkn<fz{I`I8nbiByJ|Gc($KS`dgN5ox?9WZ;eMSEV0@GsecXbC#& zvYE5>KfmuNyRXi4ApSk4!%JBp(9Ku?EK$fjlU}_IaOMv-L!H2@!WSi050s>NYUNfB z0Ma~RUWnBvPAaw@1wSR%IJn&r$v#dVJYI-3S|^VJ7sMKfG<YD^9yqBKxFMEYoq}^b z5ld!HbNR0kGuckT`Hl#*q7zrX-U4vQ0cU9O1`a`*WOi6(^f(3ExFOKdSk;Cj{=M|S zLIH&$r$}{_NgBRUgm2_MKA(f%JVz27!NIRCZNK9o{l`<reuv#DufTaN=G=*k`z>O^ zYeYe5Frj2I%jd{lIw{l<F(E#p(EcN#q%haVFOow?C&$MxibF?Tc6Ec=iR-FAp`>p_ z;i8`8NUd&2NGFNOuWrORafK`<l<Z~u_*HP|#ANyS)p6)hlT;ChP9|vx=g_&#^=T?3 z4LLqd$s9UCB$Y`TNGisH)nDHIGjKfseBznCP7IUI*vOPn{zhx9QZ_yHWHSdO4w$_0 zQODjAWb&|VsN;9j;<Zb&&72V7N4eE!BN+h@+CWqm)Nc^s7f`5Pwww=^td;;FWYDH+ zWoAZgZvZEiHz&6$6SAP;4-ri_gl|uJ8v}8{!D~MxtG5ReT(c^``ojGU$XJo~qpbFz z9h5)t7SH7Vv-GTXO~^o#)DHU|AktpapHI2JDX^5LEh%c$9`NQ1z_jIGLDq|bt1YdY zOYik<(C%*lo?qA|B`}?m)%}-=wdv#5LR+yj?T_{67m_3yKxWCVXaBmgUR+&yTCcg= z0}8${Pa02MNmdKs`&wr)KV_bqNtYGZQNOXwKP#uzu{H`}s`-5?=wT*Y#@9e?Z+Uf= zNo)2y$u)MnIP1l;wItW~UkvrN9GC{00KVO{px=qEFSk#!UikA!6z2v?GDOcaPi~Dz z3euaAo=L5;y`^IyGO85NFN5_r*RL{T%H4n7mj&(MiF5t^kD2w0+pWou(<Oq>e`hI4 zvFv8if@F)6=%LD<nnnhdi(=_Ef=B3ti?<DDA6+*p6*)L=pSIAd+URm~+=2Xxx!+r^ z-?|CSg2s809_L2ce~?6ijQ=3fwW6AV!-5|oW+Q#(_y(ZcE?QPxI)p?jw0TG}2sZ=y zeODR=WcrWZ=xgbV|CH(XQ{<SYUq`+L$~^LnTHnpif)>xDDt`=Pp4^}LO}Hvv7r?;N ztn~W~%u{RG79W-sm$SbCd~i3=Mhxf6@_lEMWSG7k>sqm;3l2iD!%}H|0#FS51f!oa zdA~m<h~z2T|FAGO-n)A{0Xx)xDkPtVex<KvD~ducwN0uWF<d$GKBOk5)%;$%{MEb_ z<kkKHvIoLE+@0UFtnL)HscL|fxgQ@V`BgU%ByFlH!=7TkZ0>AYa(9Z_R28+9xi=qX z_*FYB<kgrB=KgBF9$?@8g5B#WW^nm<)ADwQn2m5K2G#;P2J2V7GdEtO@4S1wL+U|! z>MRU%MH`azF@p;~1$S_d^-ZOXVBJx+_sd-W0-NmUY;$TVcha%POR}M=*G300o@p{s zc3w|E^1Vw9VwK)C_|Ym~?Blh9=mg2{8npX(y&(~CkzW2MA-T^$4()xy`-7io9$nzk zx`|Yevh#>VLDN0%qoBziNF+3_|9{iwTIDwpopD#rXGmzm$(_qiL}=kenAD#5uEBoc zav2dsvk}Ik+wi(#kwBD~Ab$Vxn8=0`EnnuRt-yl`Iqc`MV7{Uc!G3S?lO4{6BF5h7 z#1&yLN}^#GC7$}+303K^E+D{aBsbEmuqBTyGP(-n*g#<-!uajz)O2=fMiCfW@=?Rx zYe^}yk-jOlR5~d0h)JaR2VYoiN_l*+;u$^hh4Q`y*eU?v>dT=Z-^OspKGQ_SE6{SC z_g)JH@5!;&5`PzzHW-~;w?yCN{3P4>>ghvx1uw|a<fuDCzlmU;hMFR_OHEwZ*$7&y z=ja*6@7ZYz2C09DG(`vLbwyZPvynOZA&okzjwsqnMQHHzf^?MX@!{~MN<kz3w)TV< z*c_>ksqPVBf8E_94;?T<>bz}?N2X1c!tqpK)qPiRh{bqHZJLP(nA}1ph4X_Ej5C5M zg){OLo*PaSl&37bD^JcVBu~w&JZ7Y?f45V>Zn5^kL3vC{ye1K9O`$Tl$3qsTCq}_z zAa?&i9ZVKSOg+@YC+8WhgoVnd_7jne`1HjP3PMhv)yfCS`2eXje8U_Smi@1fVD~iw zd=A9p%xjlYh#I(sJvj2AX!{(pt>Nj)OKRj61}L#U`}Nn_N*4+<^|r3O&s{t0MAais z)&DvltCiAIE-<B8p)Z5LLGQZ_LtpH5ql|lO#2~2E?JJo8k4`VazRUw*XuzUAk8|TF z0eQI~wcYPO(vo|z$1=d@{PZij-&8Hm)h(bs4x@iUXq!Vw+L#(1gf`RXV!MmHWV*)L zv6<LEiLS#gUo0?&(rRV`HOY9%)tF${;5rxUAwM-4pF$&h%Z56!vhM|dQi$PQLznwf zQ84M=y<7$K09O5VH)=r5;pzI~ytp!31#ilh1Mbmu<r6guLhqR+92wI)i_+<t#Y3pW zG}LY^048PdL4s^@bLz=ww(h8bSKZOUTpiiLnk#|`b7CI8rJsNl>ZL=KJFxSfH*Jd@ zVs5I8spl@WVSO8BWAY4E#=CCVz1hLW_Aj9n`-LRyvr@4Y{d*|a75fz559TS8y`<Aj zoHT58r7(qcr6q-Y{|zgK^AY1uYH6RIF(l|<K!48Hd;62wW0x1ql8qmq%2&~N`NHel zCN|`=WDkxJYf60>z-1D&R&uV_JE+f`vukia9a<|9+_wA<It%C;_U1fPwBqbcU(SG9 zP3gMyr8AhzU`js(5OLb#&NaRkeU1qst|jJ@dv-rI(i6n0V(Hu`xwhghc}t)MpL4s- z27|wSL6qezZ$aGwHIBAc$^&7iyjyp2+t(U@OP?H%thfCN&ZJsvW=IU-?@MHmmz+a; zOZScVT6e51M9ZG;hE=ycW3uEVKF0BD-?gX9)T-(=8};qp7KXFGOHgIUG?c8bTIQf1 z)F>PC#{CnUa$adyKB;cq%?Zg(Xo3rKV)C245dH%@dOq`UmtbqiMi&bv|9fo?cV}(3 zO?T~pzq9vx>n_hO3x*qEv)WE~9^Jb8JXEsgd-h`Nzoe+wKJ(4{&#WPG!CaVmroPp7 ztrd9d?x!=E8f8(n_<x=Wkd=1(5-V$ne?siP(PYSKyK-reH6-OI_8%j<z-K<>w{VSe zQy?6eeB@va@jH%lH{7gj-M#jY6O;GL-e+F&k9bX6N%?Af-`6l}NO5AqKf`7+tbbh? z=>oG<FpQ=+HzwaZ66r2L#f!=JjYL%ecX=@R@3fGMdS6v*ln<e>Zg{Yv_3Cuu3ZT!E z3v=fkycHpyi;xwZ5ve)AtobB@>;RbezVWSyEGvX8&)mbBf90I30He3um^*KCTM^;n z@$QC|&#f`B=09b}d>yTQb<F!^YfboS+`s0o<TSRc)F>AZ_4|he_*);^@2mi-JUKD` z2nL@KPMHLE&*DechfK$-IVBbB7!~dL)-~0wepw?E<(h!xIG>Sv^hftm?7!AEXE%iG zBF@a(S6Ox?r|Mj)#y$1lYR>xky&A>OTRtPq2C?o1Uo5Q;^;TDN_PzixbLiIAwUICV zvWv2EH34mdJ|nq_aqcy>Cf0{uC965+U+-Y>3oSl2i`MLzyyc448n2o}_fTAJYfZW` z!hb$2anJgCuFy*RpY5BNxy{uwR*lP6PrZli=IYC@7t<f(z*xg^UR?fDff()3NAIF9 zcKJ<kTo~|ZZpZ-hMhil=$Z7$?5fQ3q4LJ-mv=waYZ{3|CrgT_?VLtPzM6!TrtlVn5 z`*{dX7nKitX0p1#UkeNNm>c!8nD#3hLO%e{1q|I=ZM4g}S0D08j)0PvxX#PDXPWJc z<?$xNd3y7K$u|FJXg}{u7vTT3q?-zfh)-dz1Un+The9+L9t7-dx3^Y0{a`ag#YVNQ zI6UT`17f>NB|av�cvxi2pR4{>@bRSWVE;1j{{62V=eh|3wwgNn<;tpoPTpt^SSZ z2W!gG)xkyt+KxVbVc}tu*V()mMSC))!*001eF62f2uQZ8V_UYaVD5lJWI&o+&cIuE zfPnnKZ^wCio@G%Ve^W@VPG}Ve+qdju`|ljKp%Pl-0O_yQ@><hMhpnQr$;-E-RR}IT zoxrtJYY(17v$Y9ZSDvx#wbZ=VJbjx^Q`YW0s7jJ@;^}*`Heuz$(?Yq%oa@C?72q_J z?_Rd1=yXx=w(QK}AikiCt}<@BU1Z^HYj{1%OQG;}Ku&PZ4~{DcTo+cp+1+~M-8mtq zo;bO02R+O>UGzHA)K+)$3&_DYsC}=eWmq2xJ&@uKLVtWk&N*<`WBp5F7?ty01|3{w z`1|F1saEPX?pC2T(bmjlp~#^B45qeb`cK#wQsXeI!B_g8e5}{cO&%cc%4hzd14}<} zxhqe33Y1T!yxUeU@(`8iU~*4BEiQgegY66UqCo+Ofkz9qiJfuqHd3nV)i8vP4g~dH z1#{iOcO;8ODxbfUJfq~*%2>GuHhaK$w~y!}4wqc}ZjQVoJzu4k!5EsDa4^|vh{)Q! zfJ-{Hk2)mu)zER+cm2~vyxdy2YoFxpb#X`GOqAE0x_f7JeF=h(y0)v0$l6-~+UG&Y zk+=#`0eeHA-y;Hb;R%w9zh&T4GSYDd^6)8oY1(0u5|LgW7UWM{rh=t@@rgt}C2#F@ zD5aje35HUnpxPY50E!QS#)cou#Bd>bq`{geO)0Ald(m9*kVvfGG;5a4sXK#EIjTzy z_riw}nfsIPt`|#8c*irY>o_VO8sxvf=fGN4lJO$%8V=w}yUzWV<Sxc*X%GzA*05#` zuY_vTDAfX@6)3QOSMH}|#*sg=eMlFE)3+!}edhdc7ia{3q7)FXpoIlCypoAe`iY%h znM)}j(kxKX;i74LWud7(IB0NpjKw)x#hh&q8_;l5a4hquctC{6dla2xub!<yytR~D z?z}4Y?Fu%vMQ>IC$FxEN3%j+B5P#R-c0ecQ<B7j+kjL5G%1W{>`kDFmZtKK!7+H>{ zhQZ!}v4x+_xX#&K$#n>3Cw{46@DOV!GKEEv-LjmY^S2sXb3NGn;K--4ZE+5zRP*m~ z%XI%NDQbG{QBN7#B}tjd3AfTX4Yb_`L-a^1O}xkd^KTa)|J$`LL4LEfu6u>4OX}xe z1#ThN9hQwo1uxY{-uQ|s-V3rrDLT}C?XGq3+Bpw<;YgH_zb?Ka(9n!{mCcEabWpp? zl;%^YqJaop^fW*~!0H^6s1Qdkq0`iZnU>uY$(fcBxX*M;Co^^8oEE|n4B?1J?G38r zkB1xmj-m<{Gji!Ex6~w8`)x3k0-$9$L(A;Ea|6mOnJO1QDo~<j*hU+;NR-=Zk}Dju z0(#o+6_e#r(K5`)=(`k^J=2VoPGkk7xWdNo>Q6=~*^RJl70DV^utF+b$Nt<a9$iYO zwaF(q<PCPv`m4|Z{i5^4U*CYUZegLc{!wsR{|sLsI&So7MM=JHg>*r-am<zTDw_C) zi)d%yy(G`7aW+rEL6C@uRS%J1J?$}|W#Dq;Prg~MQ2eNL8#~tDX6T~vfa%<n)=xmD z_13{ot3Y_hxP~bsPN!j1&t+doC?M<WAqzl3S19cMzm6!X{5RNT7H?AIp)TQN-oGfS zv|mVGWV=Lh#8-uYimy8?fDSPB)Il_BJlsqp)b39bEkhCzc}H`OftFz~|FG9#0|S)t zhWw$<D~9O1c<&`J>1yqzj9*)F<!#NVe^C7BhpAet=;|{~@;IT&WSHz@&B(;CATRSj zT(k^N3-)_`ElLDr&N6aUwExJ^GW2uHN3_}_^tj>U;Q<FxR2xYECWwb7`SxvAK&$eb z>yQ|OrQH<TIc}NWc5*?DmPLxc1gVJDuTfMjRRS^_^J2xl``>68V0IbfO98o2RKKl+ zWTqA#7C$=6pk){}f9f($fJIRq#sg)Lc521FL@=$vjWh$7h9Z4UIL&9)9P9h(<E2`t zC_#Q*0hxgMF>0_+{;ZHp@3U$3$njc37nV|3m{YM``uJneBVvMHPfCKSif1j8$k2>@ zaVq?JD1B-(Sd&%q$yMWB_kU4>?jO&bAN^UOeM|OoN}X<{>g>`EFCR7*zqqmIdmwt% zDM~2sF8q1Ae_BT&aTOxmb>p1zz!`t_KrOkO>8JR;MbXe(=R60^$kUJN+FeG$a=5D% zOe*h9^%Q&&1w7=^>VOl)6z>HJeT+B4m8_J&cv%ZG@U3T^p<*`|r^c9BULE<y2mtVT z_dqw)F;By1#vZXK9Q(p4o?PWoc1@2tE^%IQQFj&4XQFNXtv}wE4XvRF+g&%d5IS!& z`=cE?dV3Ku_H%`Jrf3-~pbpWyJ2SSq;(p#{i<j)Cx>-0<%g|qV<W6-^e`Trp=0<2D zpHEEx&-#ya7VdpJ^ewW%*~@ZJD^5?x*3ZjqP;uG0IZ`)j@LyXx<;~y6z#uPSz38pl z=g)wVw4U`A?UQA|NLbH%%l4Tum`hqudjtD!Gnh+QFL*2VIWlBQTF-bt=mRojN?6bB z1EKL8Vs2AVO1fO*B8K|g_(gi=HCYd>D7n1MS?`Qx{k{i%98g~lLxNV8xI~@=mpqqr zo~J_jvP>UK)&A>|5vV-Jg3J5J<zpy@!`A(M;_`23w>&<7*$iq9uzmf$ZP^i;2`F%V z4}BeHcJ?JjRNL;&6x7d|)8@@>wOh(UvtdlsyfVeJ76_-B<pAh9r|qlv#mi5i?3@cu z@4qke(i2_Y$1LYVAsh>D-zP73LQgpesQoc$m?XYxd69m0|AHvs=h^p{nx0oGcxs=y zPp9$fbpOJqPl%rQ_N;W-6l%n&W`Fi|*%#WFy*>)X@k4#@BoVA$hEaU+(k4`0P;t+? zVLB=<))Hga1X9s=GD3oC=u~sYvZ81GBToFpm|beuVG$?cVz*tqHhx8%B#5!Ph;KGT zoMefyyX<Y^B2GGLZo1U&=w09GwNV*gn7d8Bvl#NQIHc1^s7d`&a&qiyFKYLtC$mfP zSyF@;*l64da3qH={~*q9MAiZ{qH5tcx^8D!K-2X>g)A5tpmO#Qr?CGUTfdZnm0Ws( zoml!qXpESJ>qO+jyUFYT8=nX>8tjBqiY>0WfBsX2GNaS;5lX)DR9oJ2w<`F-zD10K zq7h1BEmT|Mb8HnQzClHd<J=KS+7PNO2^-!Det)eZ#y>U@O19$ETPd9^6(uKbMT~Rq z5lZiBskiq18PUw!EESRO?TUKqF3B07{tPmAPBch@nj}<jxkzj;Yec?IrU1|f$&A06 zvX7wZ<6)BFo|hT#nPQEgLKM);NStUFB?So>udC@4k%hYyJ^Ik3K~Aek&LCGx4M>Tl z1T@7`18(Y404Q!;XTaZLkeOno0xW^3012quE=uioMVlKf*9{(Zv$}j~3oCNn@{FJ| z$5G^5>~e1~_8}suz+mbexxK%8Z>RidWL(m2y9{<Z7r7pGMHJ}#k{G{hT(1$CWJH~_ zpzeA7d3HqE%4JG-&!r5Vj0^TUgM->_7nDYc2KlQ>jYu<&2&z{fDFA*b9+z>TRZ(xc zuV5@#uDJ5Jg`6Sk7Gu*<kxo(XPtAx7AwMRWYZemYEKmqJg9#grOhvZ*xP}u!V~A{v z8n8Xg?&7Ke*N7}(xGoB;V0XFJY*O@>-0V6-gDtDegGYZg$eX;VECr3_$M5dyMP$&U zX=TPQ-;h$8$r-4+Z<11~HOLY9*F}XZI9!a+&o%Vu-6#O>L&e6yky{Z|<%JBSrC1h` zZ988V)v5qYWn;44id2*w?M)thn2s`)1JwLMs8f~`szP7KEQ&w{M;FgW-YlhuHr{z3 z4f;|e5Bkj@SlK=yFnV&v;P`&$VW9*}ilci|e{@L_HC`P$$#JxY%F>;ef<M3L6~MjA zrI=KLzp`{^(RbIEg2aR5s^#KVc@1zb-$5%L(xBxLL5qZ$qMV>-l2II^Bhp$2@=ja4 zw>F^pl%e-~m=3Fn=RGCl)hvKlJcr^VCJuER+Eh6@9-ji}g|c=08#o%uC;(bE4$$wF z5ePf>96m@H2Y7%=NhCqKZ<|8;R<eBZ`nuEmyw}hH@nLV(A(d}hS4R=<3Ii%L{KIt; zzv$B^M~oE*Rels^FR!>uZyQPX5zN;n2xud-v+svjepCf-p{b`=Y1AP#9NG)gTD?MR z2fUs<=gH(YY)O*Ic%3y#UMHR%CUP4$M#*G3KwYlT(GTqejjAXt?QzYkpgtnp_=7)t zt<>ImReh?E%t;~NwE)eK?jK1AM7s$QVlLoFj4?)boAVX3f|;B6|4zjqCYuk&gr<X> ztYfdsCcE6)_!X-iVfk3*23qRVv!WNwiPxKxTy9kuJTB4Tz6YS(($%Ksf*_fqmO9l+ z7zsa}XL{8y=~d3c$A%+MFB4SHgbGpA#J|$`CH0UsUVKa~%{Ah#FlA5o`Hk!QwOtL@ zI>zWf+MH!iMEu~EArC8$U3ek>_~=`p<flyH=U9S>xX#gf2=Ej07x#OB;;lOqG{2jf z6OC=yNpMVp`I{t2WbRb8OLU3aDvQ;w@Ju2>#((gi^DS1}y;h*4FHM;3S@Ky;thSFH zY$EYTzSK0~J3nAv^ocfx9x|gZQu{<^%PJ?KhGRFOQm|F%6Z2AogI}-rx<2qn2y-HR zCVz=Lbck)jrRRz{@lK{t32V#{&%{PAIdh_b-4ktRG4?o@rsdvP?JVIG_8)>ek4spY zp2*;=Qxl}mtz|w<B*gFoQfnR~IKaEGSZ#HlIG56PsQ@02U-tl_0WzPMw;y8L>QEdL z#Vg0L+TW^R>pg<1pC+;f?g0*Jv=NGKVr&x|Bdg4bQRYIc!LsbhE=}ukvD!%Y6rVpi zdXHt4jz|aCby<}3E7r#{Zl}*5^KY5M%liZqT>3XRW3@YG;S(~`e-mAfzSD9f=Gt_{ zYIo<RP6SV<$GRYj={e>n=PXQ@!CsGJ4&_lBBC8)C%SbJzBtS7@kjEvv1}K;QJ)DjV zzj3b0?ZBE?L&`C2nJ<f32~K}TN%y!Io1i@_X7pH^k^#wD<orY?*SJuHIne2`G~+Q6 zUQ~WhDa1d?1^P)WK*~evo>ICh??i$&H}2Ndt>lecr`C@f`I?ambb~;CrJt&-6CM+{ z9sGuUgbdQh^O;A)Yz(!9q)uBZ&%X12nyz@Kc1D%UTBf;w66;hu1DjAC;69z_F0#|+ zo)4Row7a5K+p4<W8xzue_bj&d9tC^iM#GAzR6BFq4+p;o;ArHAE%pX%;y3cP!q{cQ zm>>JYVl}#EmaTeY;o<@s5>);Nv?ORFfl{<3?eUumjvr*jPK@0&vLy-%X#Lf3W9gK= z+`g!-Eb^GG9Idz;*^%)#a{CguvdL3EW@*7bLIE@n+1&1Kz>LWs{nTQhNT-p#`CJOE zVO(h(ss34ufsjTkduF=G)$`&H*RL01&-d)>eI$l*BNrcL^({YyZed?A{${RW`O;`D z`#h#SM{&O)LNU08g@b$By3x4tzze$7Q7BdL!)MhokEZEHrG3Sta+`=3d^YTE*W4gQ zW>4j#00~NN*AoIXtDjy7pzr?XVSVpc{6c8{mfOBtEv+Zs^+O8ExGH0pM%Lx9kv4%# zB4RMO_=WWRZMV7&cGg#lE+4XgYgL2Wh0x)@YXyyckraA|P|GVny$Z6H5W#(1CT#_@ z_{EcXHn*ZpA=aL0uj^lAHLEk)#nE?Xy#$LamC=KhI+_eQ+|p!Imc=igsorwa*k)p_ ztLAWf@|(Pv>ME3}>C+djie6NH#Ea)P+-}!=b&77AnL`0syyW=DFGE@kQG}Z=Pks*+ zQ|Yx(TRxK&L5FXB(-8imMkBk?E=x)|)npj<q;2}-_Oybmlb=+D;f8E$%QVHQ97i&t z$~bbrO_Sl`t;|Gttro+~I+N_3)?SKhOu6B~yT;_l7R6Ni(pn7L<(dp<&M6ZSzhs%3 z2g}?mKv5rxU)*V?lbtZWi@s6vtyqwsg=B<qxTWi;t0(nFCdF7DOvH4MU9@RbsRuq= zq`C4aO24aG;RSnaR%FJvXR;^~!xm%7hhq$g=c4Cui{7@vR)aO{E6kd_Qd&@CiN!Bw z-tl?G@Gvw3AOh#q8;Y9MQz7|y{-dWAW}US#h{hO?+uyw*Z2ov-wB?S!Ma9IIZt`lx z7O$Jx#8vSNs(CIq&9^p1W}1c296u{gm!IQ_#e!>pC@fX|LMl+-e@DDvvEg+4uy?6Z z^|6LlmiEl1=(hHbmcgx>8%;ACUyR{#!sOKw7x&Q*ONBKJ)M{v&a2tKbtZ8H%+zvJ+ z##O_CP|IE!6*Rd^CY9x;oCNyew=M_28h-|@>No!kv<ECO3TTRclt$H5yQz+|1x5f} zIt$aNnr(lkgL#ROLF=}(pf>im#2A{}cYLMV+uPZSU$pxRmcXmrIoy8Fx;yxR{i`*r z!-Jv|3~OrqD}H;6r%s@LH`6vt?M535uNE+oVER8`Bms&9JS4~SaRutnWW)=UD!?s$ zuCJH`cibH3I*VT*=DFQ)kdPuXFa(-&*OtfS=iE-QU}`3%r7Fbzy^qQ!vG~iqIHB|L z+<tiQ*&chJIwz^$R_hC5*puv--52XqX4sR!myjCs*_#U|M?^0(mFX?@I3XaR@yCxB zlr=JPsbLjt)~`Jph+4Oo!S?VS-iJ3b0i0H%Z-f~#?{He#yb-?1&dF(&_(qtOorBY= z^NlbYJ1M^NMwo-06z8@V=2|Dk4eW(^*GcgZd*OTQ08Y-pZ|N}Kgv9FI`rB!ZiHX%z z4e9BPB+b)sJ5!pZ<r>nnq)GaE<L#VDl77{2JI$V?%edb9Ss5q}ZhZUTpFJ^lr4{%J zUSFSHu$t&urO++gUM-RD=9g<(XZFdSG)sWn+0QCKsdwX>lK-cPx0L@%kBGJwPQtcA zoSeCU`r8er35f>TQ(H5x2t=8_$MFFKA$Y;`dvK96w<)Z`)Ap?gn4l$l1hBUkF2evq z@=3=c`8x|)gvBa=JZDJ!ay7KY=2an~_g6j53WYv#iT>A&zu1=-vkqT=r_^@%C<H#? zci0JC2~NJ*a+#fw(s~)4aI^g~Jt5`$Wn#k3&P!Fa$dY0AH#loSz|wgp7$?m^Yhs?4 zAn--_kv48JU4Z|=mM-wvuPe_%AaDM`UT(yYu@3lGI*=)oH5Z<vSOY^R_&?SD_3Ji} z|JmjsP?pV9p>le05M&dxO(!b`FF=cc^GluHx&vh|t>i1Gr*l9f+L)(q+HEE#L9XUc zzlgW1qv;{dr3eMW4cS>6)k=<y8Bn4)*9}=yTiMEkH1$gUxSa-|>=lR67rR?}Zo?Zz zM60?n_;VsY{50mTgV$Dtqj%Vu|HGqTbZXH%R|kRmdC*>D1X1qajH<&en%4U}srv5} zkxxgz({P{yGV}4dO}+;hu8THftGBzyr$Pm(ADbRoj&qkKeYg&hLwf|KppR#3jAtLQ zl_nj1Qr6_Aq}kQ4*by0;q}qH6NmIJPI=5*I(J9#PV(>^xeO9-GJ8ZuE?EUfLxgzsC zu?rS@ath1)u)7DW;~&eIM56ACd0P+&)XuPV6zw|p9IO;*V)brUF7#D#>9=TAVnuE* zfA@n{RCx&_OY4)+bMs5?yjW{a;;p^x)gO)0Kh`x52D{YQZ|tu67B4OQ2Y-DFmUh+F zX+tsVD!udfE`mbVd<({@FM>r{yHU?N)_ohtFSGH8OTqQS=&{TnPAOx(AA%mss44$i z4=rYrIL|9qqZT$35mev*JjSUNHh_(Z$(=){#MXGJ9|ydeI+ZzJFw!qLw}*!jkl4>L z*}=y#%*V=V3S%QmC7ps&$-5kce&k7eIST30T8{Uo4ux62G?ds+raJQh=b*2{Qs=#s zvtNI+Hz|*E0_6+l<XTllwp{^IQaOCaU`Dz$x%ieSbEJ@ffPtFWYXDA?_86A@G1m>+ zAUu2%(#&x&lk2)tP|BElD(HXKnH+5S%f(5dbC|x(Jg4|<xs-9@6mA5%gQQ=5JL0z= zo-N2DV~WxO<$uV{a{W6|YB-rV^4wqEq70|)^s#M-ej+o+^<QeKr{z~K=;;tjN8nZg zy0Y8Vq`bQdrsQF34_#4)12RGu=-WhLfR8&4(8&y^DUWpYa(y!g%WO*OGyk#d2Myfk zbx{wI)B;x;YWFLdaeTBbKyg5-*!xLlQ7RZSD(E0v+lWKe^=Nz}V&W}@hPD7NnKuGa z13t_;JzwSRfMx}}6|-MNO|8qeAJed`HNT6_9t-{!_V8%~4+MI(OLV3L5!t5en3Jy) zUo=HgK1q!vS~mab!$*XD8A-H_r5wh-gl^6!${h<dBC`<lci@YKJMNlA$+FEr-(YT< zBcHMYhnm`}aJ2=DSGZ7)Qp$Ok6ZwUJDW-lL^Gc)ejhiOh*#*M}+#m$4ZCWBVhJp7u zeaM#nmSvgm-&J;9Zqk4o`Npo1EF`Cr&jR~Twa7@;7fWS2CLNjJSadlvrn=<CzjgRk zzFEuNz+eJk>fSViz8m7THZfA>w5e=5)HH}zsFrCq64p0BgH45-htbBOKzojjt^<GF zrP^Sd8(n+%P01sB0;{qW#Y?}bf!r?sHI|kkwFPApPo9`eR@(t(e_j}vOnzAul$BM2 zCeVKklB_KLQwd_|H8gU22(~scRpkZB{#D?stSn9*vHs0psW;37YZutbM(-18R^J@U z+h5RuL~DXYD=D*Tg=8Hil`8el`9Pvm+j-B~-Ca!LmA(tes!IK7wcP_Y-)(X?G5u}| zYyZ0Kxb}ZhbLQ_*zR}|^YqBMwv6eLnMT{(C%aS!q$vPF1ec#3mNyxq@#8|SW;Vont zJ6V#(K7|<uF~}GUGlt=-&-bVAb$$PV&viZ5Jg;-^^W58W-}gD^hk0J*JuqD}Qw$tn zQydI3_jn8W;y;Zw_we7ef52{Iez(>UysR2x?7_8J@IdJ9f~iO1i)?>2W~n9>a^c6g zjzIzP7jw&`XA8G$owq+$gmx<XY!!dxo$Jh>vPR_&unWJR>YcO6%s)7sZyBY}oE0Vh z`PjxQFQGKO=WdDFj(i|17&+IHFCwYrs3~17{RQ_RI4|yObw$hnMX)MSpNjqG)^{q* zrRE$YkfPxoBoM9PO6p>t8(L>6n={1UDVw{o;a|pg7!Ug+b!Hb^Kkf~PUOolf(|GRW zSypS1;)E9wT~we0zx9zijhK=?;IyFhYu?}5YP){OIewZPHN@+3q8rllLdb>TU^7lI z&XvLJw7IGtzU&WrmXURO)1)@-p73cqw=F06vSY-2BnK8hhC2~2D~LOaN$!LbE&)0~ z7;ObeavQx1Nj$hKYrJo&L`@@8X_3x_L<@=?#xrmHq%Pee0>F)owT1$utHHVH<5^QY zVr4efYNipS+rc~m_<LS+Rb{zVHTpsw_Z<NW5J+2D=WX^6X{yw!wj~#mb8yZuesUu* zecX7;RqD)8#%N$ih``(_Wd}zFZM+=W?7UvaQzxLOf8<D(1;~bCUMkoHAn&*|ZiP4x zzuejy$QVDFOOYzmYbnsu7w`vWBtKsExeVAE!ni2dy+cL}z4RmyB$lfLLq!1IV;GuC zqd};<OQUJ%AVp~04D;t>s`3osp2F-C<l68{=dGgaWx-7m?~=bx5hNAt%#i9Xjd>xJ z&^uzkEDYRa$b9?Sln+Q6-6e?4Y%x#Mh^hXOdvjbewyE~op-}sQo`RhPvdN_p9<n*~ z^4``=*0|if_q8&ihB-rhftSq*$sxx+iU33(hF8HZ3pu@#xrkV~ylA$vw8%Gv-`m{H z@}H_eN`9Z=8tbZzWT8kXP!HRTTm&cAzh)$9qr}U=|H&8(_~-ekwca3Qb&d(Nzk*1$ z#t-WiyJd{qpk>9EUz(A{T5ppIhVjjtyczy3|J7*g`#LngPj-&NJaQoo2OAHm+?;ZJ zppSBB&Q2~n%Sv2GsNj(ymHf%GR8Zcr`9t!u5T>qEOMsdvxB*)*8t_lA6#~fiVgwaZ z?SuD+UzQM7GyK(SYK-++gMsj5F$av(iEQJ;(9nm2cRFi10EjkBp^G5%UyrPD>H<b@ zpuV@37ch9W1v#uz^lMst`2qvq^<|24b9@xvpm>Ye3P%8Hj0u6mI4GoM2k#Hz3;uq| z@b{`ex>xFEN7zl}_i`#>x2s3yxd@7F@@M*gh>^KJVDX6n?Bq32Yq>n-?^T1$Pj(ib zZ*mbl+?dVsUx^vf9>|!ir3c7jFkT9&ox$uwFVAnk$na;Yom*p_C_xpcIwv`bDcGTq z>n?(i{_<yyf5MuIEr&fvze%1s@VN;vxQv8DOMoQlDgVDso<{nfk$e)%cn@@Pvhci* z8aT<h+A(kgkK9tt^#9R_%ueo{Al%;aS8w#x7SgD|+}lv0{%-o1dNM@_QAmvlK@8!a zZTW~TzjZ_BCxg%gUWHVPcUcTv5-}%2!GbY_+4S*<S%m0vm{lkMK&!?WDWs|fdk?Ej zba$8zSTGZ06;ieQd{@U@G5dm(ekQLYVpLOe{Tzo>oO**Lm%~`ds(?Y`7W6;4!)PGm zGQst1CjG*PRV;fkh68sl%bA`15L)ElFb`HOt1y!rqYidDigwB3B&>_z>0*)ia?1rW z!@oLCPHfMS_sE(pbzN&cupyNf-TS*Jg_g=FY>&qA`*Q@sAUhEm>2*GIq-5mBW|)RQ zLu|xgn$6;ysDqwIGzyd4HeA}z_Ea!BbT@zT30-JRRBCrgR7khf%NS=phebFSars2J zFF4|dChM5U4vLjxp^ysj6FEE*QU8&qx5)W#%rLEi`ilfu6g*~OkE|S4)dla-J=S0o z{=02YycSjWnwh#IqTY?-Tl@&O>Mp0X)64x?lO%FPh6>^qYsrCvDt)Mg#V%SLi$i?; zG4!HFE<CD-^XQQOxEQ|K!<p{ym3DNtTq5ReTm<KqJH?lO36mKCg9k0BVU@3gDgR{P z>wo2LE`q3K(AjIhMrHvRu(#q|1eI1m2b&UpiOI_$4i6MkfB8=jBV9GRw^Nrx1kEU_ zMb1wjwKx)8Li29iSK!l4AB!Spxc+7{{3%tyJ{;~EDEfQ2LN7r0x1r%rSpl|T+b=s~ z23{_@rD?y0^z{Om!Z`2cdL@cWxjL7j@`qLpwiDLg_%1g{F2;a7=|C%r+>3pn$#bA3 z#T$!dpcp#Ps+jR2LtWoPB5dXQ;?Z!-+2EL?MZ`H7H17+ai+n#Xa(tmxgkljOLeyBn zE=CM@bf;yFle%d}`h6G4tbkFGd6diDLO;!n@vA*sqGfkp&uQxi(v!si?CkTr3i(%C zMqTd8`4t4L+p1j$q_EEGE9CRED7oCd;a4*}?NSM9{UlzZ*fNv*MzsJ>K(N2{i+G8< z)q~4FRuVIbl4bYO)U@>{g+o6izW@=g0!$<4wH5MDTj*WxG6ozCzvNvx5zWp1dEVtN zUx4xOOUm-Q^zjQrvXW)XU*@#*oAsKDk~!*pga8;`4Efjzzq0Yw%3w{eIVIVw-bV)D zcohRuP#tgUa0zk=iWtH>Y=&fw7mm{&=vUg4nE{3Tm~EG!s^A(5V617JrZ40ufZ<YT zTWjlc3Gxn#AHw%;{!Ab5884J9^Q=?T(+3)aW+uyZ`EZ@`s;aepa|x<BJ0S79_;;D( zh#6V2vgI-vbNyyJo77}NS}hv@<KbwlpqkcZKkPdKMWKki6o7)N6LPvSoSParHEudN zD%EwqgS$1$K_<XvM{yY6vi>*|sTGx%Y&Pe^*s4gf8ODEKf0qT0KWU4KEHPhM(>8c^ zmUy(4x4F9n83tPo<Jq<<(#NZ3O+~?S+q(L>w!r9QxdtC~fa8lZf7bS+&EF*`FW7k) zudq3gKJGdLGu99F0465aP7?$a+H8?^!}x$L{i7Y`<8l!ZBFs2*ocJBqy`A*)H-U8n zb|*yRopE1KUS#|0QA16qXama5#bW>fgTz!u{-ui|49XFu&VZBb%tvuP{oUPn9L9Ju zmS(eij~2!lxp21C)6O)JX~`U8a=qKJK9h3H0HJEf>|~#rT8_idpRI=7T)YiHvYWSe zrELe($EANe>VxF!Id{1d9%YPo{A#);k{PTBz&K%M9rC5|OK?{Fq<i4QC0CcAq7coM z2{s)!1yyvL%*z<FHiFQGfy9A<P+<|~&kF4_T1<p{Av3wQuT}(nl+=H|8lFYrKm7Rl zf2NEeE|MO05#ZS8Xr|DHK-LAgSBPzFv(HE94ZHmTRcfe0k(n#tZ(R~)Nv+7lV`Tq- zLs5o;iN}@w4~Ov7e;#0K?vYqoLyL@_KH86bS(9Eh3W*-VW4D~HmGw186eZVg5o{IO zz9K7!@w0@YYh|9u2#MUs#`Av`te(rPZ2ti+xoNqD0@ZRKU;Rt+yxGcJRp!}LpsmmB z1I$YH+4SKBlmr3elYK}&wOv2oGl#BDG$c8nMBw)334;&r$^3E~CC-K({vPYVj+U{v zp^?or$mRK*<ey^%b_LZ1Bybo%{}(G-w%M?`Iw<Pmvb2&->0V*~Z@st6-UJi_AMEL% zQR2`{C;4wh%&-gv4p7;)WF#Td`y2s>W?L+Zls!-QgTA^5irhL+*hEV$_C#Xn2-|4I z#q*Jc^ul<w=AyPs5IRJ62(Lhh%N&=OmA$rX&D=aTH}XPZS4KfT%YQcJD0N{|DGxnV zH{zgAxV6XEn$#`@5c-VCartlomw0q&vwCe;O@TQBISkW_v>^ZkLeGpfTnJ+1?AEk- z<5l?pk-VcJn5&#v8Fzz>slJqNs8aYV2X2M4$v$ui3JM`-jZ4pC^!3qhp=zxNFaABx z2t22yLGDZPIe_CZ1_ppU4&j(Bi|9bctG1aUBZl!hTLj56u})-Cve`6&V^`{n<n^+G ze=d6vwl;(h+saQj_}-$eZ{tP21dtMMi5~`k57QZNzfL&Yx3S2|p@kt8U46blvN%BK z3#QB^$Sw5jkc2I0yekoy+TBBzEq6wU>jJy)Z7df9N5)b-TdULJvFf_O8dnSWIhy4L zx9jI?=5)=X=1hFo%+EKAn=^(=n$v3<6v-{ihQ}ojujDPFha0-(v)p395~z%NG-{mk zL6e#Y1gz22<xF)bhik(s+iMVfDAoTeH7Qc#9L;BgwK(+q*k}Jlstz7On~(Qj=h#Rp zZr<@^!=o+0x0V~g@mgK)sF(3u$99YmgAL;nz7i*H=f%fhn&h3Av$tYQ?KKx3c;!+9 z0GzaWsrsiIGA{E6fwldq)pgnR7*txOW^t#{fcb|1u*kzxvw<fYB`Yjdbs2Zy5X*pb zQ|Rx4+8Vk2cdP5N4r3v4{aV#^x#4dh75z`Decu#mYc%(JRQtXQPlpI6Y*{YQb(|0W z*MxnEn<a{sro1s%j3)0D_h)y=%L_n<=Uh{ZO(PQwtWLF8uWT@iN1D<M@<yuovQ<>} zg%k`P%8UC4Zm78mmpDCH`a2amEVzP>81pUfv|qipp;xk?155j173mWj*^tIE1T~;t z#se)Dr1lEo<|PY;G$~sVOZoFOrVY&zs8NSFX_Ll(8KS#UE)LhG+2B*Ea^svZb>=Rz z_dD1~9#c{APN+JI5}Wc9W|GQ&z*x=0Q*Mak#-9?Kij!Q5*p!S`-F;XWg>_0sr_PY} zio!P~qg|&(E2gM{nCLpx_jQ+!C@L(ZfGN(TF5Qk#D>hXkTv725CA=%G%@HcPF<p{d z5@`Yz+)ygc{aNl!458e7wv%)G<a~i;<Gt%ASN3Pej2~)Bdm3&87xPu7N)FdD?YE7| zm!!rG%cVdx@ZYM+4jXFBI-*w&s^Hw<Oo%RCud3`>_0b227(TYTth!oYi}ny7TUAz% z5XdTdq&0U4E9kDc<~>H7fi2TG@Qm%87ijhyqs41wsroHGSN2!O_8^*k)Z8ig*rIq< z{*|`6>gIHHk>I-{!C(tt>BA9+w%=m8WcjCxnaT)pw{;pFo~OF`-3M2>EuBIfdgH&= zIAw3~sC60_UZ@%@d1$;{pw%@~mmv=POS^(MU!6!9=h|-2s>>@8C^M%#kt5jw+U`(W z$fm{UpJQ?7f79|ElpS4O_Pz4Lx<xxl>k2S^*sFKN3n?@{>$Vk%ii@<0Q)W|HcAgrO z`DZl=N@b_Dv3Xzh`##uK0*05c)0<JhN8o`jFSA~C!CW;-_6k9hr#@ZW=OC_{95FT2 zdOm1_h;I*&dWY9RVtEMyfBm}=JGkf67s0lz+&)4y>$BWmHqMB=dut!m>E(&UdB-*L zW1oj*J*y_~{4o{yY|0^<V&{88Q0?<fq4D?Cj7CKIKG}dltM_j@cTM~<>_|IB_WfAM zgoxBdJ*B|<wgJK(VQ+Cgam)bWmtn7PCvisrf@ez_PGj{fScS;%IYRQcWzHH?udS`G zb8h*Hvy1b5ziEqUk5bw@Q5roubs^MfuLdeBY}-z^e@z?*7LcO`+rNIPuXbrE#a)aW z5s-6h`HWMDGX}`Hx4gkE#o4?^$?t<=zqogxVl8$0Mn=hyacJFheeeqkqqEi2F`|4` zLk&<Mx=NM5ql^+L=v@tU@bdbI9o$SxmfHuN{I-2ba!c;)#+wVkI>+G(RP{3Bj<hcG zReo5FbS|{F{XoDuuwlGQftsR!I)Mki@7j+YuLB;y1!$^eX?Lkj`XbLrsrsisj#JU^ zyN;?4h19Md)r}s_yO5+;fgKC&C?>@hP99EbvqbMz7)T+CY_tawDL{qi)Qk8KvT9OJ z5)Re3Qb6C2G4{zPh;d(~sL<qbU*LgrN@V=_Ab@68mIkCcuF|Bg(tMmX$Ds=m$)Mq1 z3!bFmRn>VnQuQhX^pxbjN)x$D4ca^51i<3PMU<%~Wtz`B(YMMpJ^s20(C(C>?ogNY z>)c4xID!7t2-I4fzOPqH0<I#i0N~@(5{rAcYS*NIZf$BEoPECu&8zqI#IDIGb~XVa zYJIr^oxT75GN1r$!g0ja)uWI?+<#l14Zfp9$;)*0q}sfI1!Ns|0tGt15fKLfAbKk# z5JR|q5SqUkk{iBdawgQHl3=`S3vQIiKF+I=W7xF=>{oI_NBkDdbNf-B`LDxXXl~_? z;D}l1g4^F?*IHEIDplPVowBML^kuIu9L~qy<w9}otGgOGP4tWd1LuGH@%1^fcRiz+ z_W1%L=ZI>nd!dTQwTGR^QSw$Kj(SCpYdSo4<ycdX_#^jcf;SWUf1~G4@%juw&N2ag zUCIK=Zx~O)547ku{k@*4ENyQ07{F*6Ef+m8kE2I2Y+fg2+Pq@T%8g;o%#F5MDPMG0 zkyuP`zbbfLp3Y57p20>!{#>&tin&=FMQ<aDVzjx2IuGC9&t9CJa*OuNaC_~U>GsMq zy)vdIt1{X?z4EoZg!y@(lsOYn%$yD=Va@=&Zhj6ZnZ^thO``{jr!m@yrJV;}OJhPy zMX}Zz2s73ipD;PZCu4o&9_XKH*}OTUT3G;%-cd~ff@~cb#*5(Xvc~5|o358t)*_S7 ziV6SKb<l6`Dupi=cFqX4qtL`?GF4TRC=5Pj2CZz;^)SOau2Ejqi7{-G_o0iJdqIQ2 z5s|_qXkgbN#K4bv1CQ9Ky;g=QKxQU)2KvO;AsE1VLLHAV(=Mdcz%HuY1EPHA<IBel zbvX>DE*qX|yG?l4jYx7+=AtGPAx<#|%dnh`pjlrl9@>$91OZ$~=|OAIj%zB+!K4pC zzlZThYu_`+%O-fPm6;c_4&mL`e-dG1&SN>1slxAT6#y^}M?D3|i#8n>l5EhoA$<M1 zQTq7W*q`fVQk5eQ^fe!Z_HM_YKl2R2335OH%+!$|0DF(|?^<AjvILV{LTp_~rok2p zR7Iq7q$XzzTQDgg=y15tWfK5^UBkG~mZjCmm<n|WI)cu^pr(}MlXrydx+CV?(p1nJ zIe9>bs$+8UNg_dfYaBeA^D(uk{AWg2CgPb3>3MJzycx{*+y1YPI$=F~;BV0bcZY4; z0Fwic^hwt!rhAothp$x*gV+MB51i5i4M$b(i3a~!`D+<r_EYl6c*t!hv`Y$}0cAUE zTzNWF+3f~9G4FJfbRHZ-9YRayC#O#1ic;!my0v2a++z(^r;Y=+HU`TprqSU;un*OD z;NKfh9}z4z3`)!^Pm+FUOr>d^`ER$@v}%z!P!`o(A>Klh0mi!zQ}D6W{P!UnjQ|m= z1x}dUJ|>{c@|2aTzJXlH`*<QnI-CmqPBWr$;;pK;(~mN#+hdg$rxvR=8(rF9EgEwx zU-fnlO}E{rz$J2YN=a8@);E18mMVuYvy$X9gLVA)y(07<z__4k_t@%`i>k8WxzbsA zLQmS6YL1agZ;qDAY<?}3(fmp(3mDxc;mcsY1Gn7`TnS!WK2EK1HGdRWOK(56sz$6_ z$ywxD;aSXB`Ll=`Htqpu=Dz9@m1l}Fb-Hl!rv2P8d$=&=l4hR+@fkdVNo41qJJAAO z^RDALpe@dKfSh+;*rbT<<dr(h@%3=gu&d#86tUefqSmeou{e8RVdLZ78SB_~VdyR0 z&;%P3_s+H2>;c-%kB$0!-?L|4el>P4bPYUhe}!^+8K1)ru!9!@%lC6lEoaaF2{^rF zER0@IRLCVd*-7m&hf8@4d~B3!D2l4>siZW2{obx~WaAq3BrYWNH-2-i7`5t2{w}D0 zq&F5{Y2wJgZ&SSeeGF3lNqTfm0}p2<vdkXSfgWHbmq80|s-)}Eqy8X0;R}%|$N7I{ zN5*Dn-bE(U!7DoTRzI0+wKRZqJ(q;GDtk{lvRA=rhig}kL*r%~9~rwl5uE~}{MNE( zt~vE~?JJN>J3;j7cgw@)bBF0aIw_YcA-#w8B-RQiW-Go(kLG~xpFG?xUU{^Lvdp(? zk2z*+VGdUevo;F!mH&9R7W+Nz`WKF&soHx=Rp!y7N7AGAB2r}f(CeY}z6bl}i=kDa zxpvP2#N0EtJxhwabUNxujC;WrV+$47`}xO5{L*+|3*Vcg$JOMx6UlwhB5(-S<7j1P z@T5TfDwP);L+6w|K@+ygiq|^LQr7K>qO{#0Zg<KV9dKo8rbA`HYHaGSj=3m~yMv29 zE4alI=`EE<NyjXt$QAP**f2c$DBa*yM3%wphzw0;vUn5=p=jcldw9V4-@p$ODwfW> z=tV@Q{zd3*-OYA^iHbJCjf@HYR0V0o)~}q2)Q3vLWyK=I{YQ(m&d!U+xpj4!`D{_N z9+9l&FU;tL<|TSJkK7a?sJG5R_@rbyEM?{4!OP67FPu3aSfPyf=-X31WOkreOn%dw z{>;=ETbci2p8vt%z&xw)Z;j+y4sGTne+c9i5cwN!Dt9BIWq5=L>oRl)MLNb24u|t5 z>}mp}5%zY@9%@A&oeU3#UU;xSJ}^Nb6J0ihi#DVYb*i}&8nQO!jVH@H*{ffTD;xDU z9vTJC#ah~l1>Y`j-FhvJ$WTq6fZb=bZ0ouaWkeSGW4sP3SC__gWvi7R<$tlv7n9F9 zYlkL1nvrYbj+h4j1H&_bp8=n_yT*>l8Gth<?66G$yX6Sw^26Qd(uhf_#u=3U1KBeW z{Rc{C(EAT0$|KqotlH2r8s$elmeLrhcNr5j=T^(Mx?6b@v=`5e$R&)@82CHG_0Fa+ zBXYwx%QlpE<?zDx$e8LfoJM0Rz*I<Xzckt;rhaTZAov-1{){woAKmH9p82q4>R$M} zD0}AJmYKW8@4W1p_$?E6(_g9CGtsp76SH+9mUi1s8s$n(l~#7>;G5-54pLTjUHhR% zo?p#AHacx2WY6emyqlm_8d%xwkqnJCKM0$-BPNWc5$2F<<w_68m5m(ho!JDbR;h9& z{m{zBNc_+28QMb8glY+&m7PG4z0qd0kEuKRn5;Bnzx7`Ez6P+o@$3FT_6&JGeImbT z)5>lQ;%MXvsXgnd=V12CSEsCr*}?;9#QJ*n8LU~^l}%nRZ&E{*%UwiJ{mA6FF2(lm zM58_#HhEzC529tCF`K+{`w&rQ67eD$cNn(@IBJbsyISNO_70a9=XSNoCoCUV9anj^ z$SW)pmk=j)RoFM|1Fk-9@T#yk#lEkMEy}s20f%Nce%a)w%#Ls}l(`!D``1~#qt_>Y z_1)Mf^YiL%6x%-3)uYNEzT}Vf;l21Yfyf_zQqHFZ4;D0EdJ&Wt{>V*Y*3J4Z+wBj2 z!#*QL{P5gX+75>wBFP2YyRV)Ve1{ITA3W<zyBay=$F~Rie<G(iBp?#)7uu)6M!q{g z;^*53V<Rigqx_=!=-D{$st*yL_UW>5DjgT$$n0lPzHVP1Ta*jMxv!5s>N&-#4-OE1 z7WNT`Vvl-8G42BcPN;N8K~EBrCZ7>!Jb-OCgyMW)dSkt*>$fT1O*`i3p@&oJ&t%#K zdRk<^4k1rmyzE1_v+4^mu>1Pq#R6IbUn79GJ}CtN)9VXIU`F~0wE|`XGYsg2WW=G* zHGnIEV65=fr4=<~sY|&4_;c!GXuZiIBI+A=qG#>NDnf!YQ-`W%03b8$1=vGtPYw|= z-&ZF_)|;Fn5_Rz>me!tJBG>9-P8h;vDXzQx#DHB7;^xYBPhDmgz2<sg$|4$C%hfLW z$$zon45&}xo(!QW9vI9_)eOcv$piW{!g`YsNo;-4{<Q&R`Wn95`oJ%@Y9`u?7_Nfv zfC_W8&#vLRHDL21^YUk-$SwERi9gY?b`D~O{=7^rut4N`7F6s9Y)v#e5q9q)a*?8@ zn}2FeCLygg6OCq{xd5Y*7)1+28B*2MbAUf<ynV8Rdz#vI;BKcm^Co%V9RYdPk){We z`SmYc?mi9@x!)vQr<*^sOyil(uQ#4-eBo7y%2{gCD79;7hN}xuKUK~Ag%wl;E65JL zv|h(5bs+63-3#rl6!<;bP-S+@p<`=tC0$pi`MW$P8bOs308<l8g9SpZZG0`Fn4I8| z;t)7ncBk@4<<ouuIb+;wT0>+SQhBq{pwAeMUaRbZ-)}1V&pCgFt4Xwst9ny-dbXEo zYTRThILYHLdG;<s*2_>o@G&{WdXufrc(ScxA4Fy=z*-=dKj{~6O+b}D1%s!n%UVC% zUzt{|Y68L8X-8Ev_b~#~c3=3RviYHHu@;Efng>Foo|xBFGoPj_QeV{jI9Yqbj4chs zEP-dwca>L_vD64~Pq!^7Ohp*(EmY0SoN!I^F9tM*+wgDeH?1C^s%DsSD@}p!Hw34t za|<w2dQy%B0#IuhaaSVPxXccDWN+=6EHlPr`ULZ(YQ}t~B$cVn$H&_Fd9z-VazNQB z;lDh<^z7=FvmTAKSy&)6)di>9@Dq*NS&qQjwa^~!QoE?IC~MCmnQ`IKmzd0|8BLpt z)QSclf9uUe5lP?Z6DR9H_GW{o0m^pOOhE49QStg`fwjR9V%RC5o150eXrAcd{p?XE zuW2eK|7`hUg{C3nrYFe07jdWRi_#~}=gd<*G+bmmrSiYE9a<n#+GRfNmxfY~n3b){ z>^$4Po$`)Vp7k}jp-Za7Jk4XvlXe#Y>zG_v`!DZoWlTgsodDE83q&Pi5MBBkQ6My} zI^8xkzBn_Q;Gu!btD501I;$U7T_x8$SnjTY8~&$xd#2er1L34I82<<Vj7zF!7*6=7 zpAh6N5G*Ffllk57GCOXHm9;0`vb!Dk)qfh&T?EUIyb7i0@qdtU1{wdL=nQ^OG)fX3 z&Wr~u+)WpMSItl`{L}dzFpIOiEyZILOE_DwTL~VcMQ8PNsJq)W<f@r^9(<W-d?BxR z2A2OcXB9@Y{?p{W@HqH)H$1bwf3^!SOKYC<isnc6IF9wLJ-0&ilsJ)!pMpcxO6@|) z&SyI}vC<ubk#M&QwYg<_CRMm&9M5`&l=)B*92z^(NT_|9KZD^hoNPlLpBd%KpBe2^ zgIPOA>^pz5sZXKd(VEE~9JJNFW7||N*LtU(qN19sX#=*M1P_Iu>%m*Y1umN0;bnh+ zi~6R+>_BL_hz4k<)q$+*#sl6*iu;X89(fQ^{e2USywW(-px6vF9@P`GE$+*_poeAZ zENFiOu{uP_cT^v*Wn*nl0$=;?!MUNx(+?BeN$LXguafsJIE<KRu+@ZD5Jtf7sx|Uf z-8{@I!t-WD+v-n|0hxvs!i9bfr*iMt@?AD|E&^RQxY&R%JbWv+6_x6&(voMKYW3e8 zK&h#!Ki~UvW{h9_5j;BK^suI?%sV$i(fCMxt2h<WQ)}?gCPVe>LYtiJ?CCo5#;?dt zRX2C}DsYwa5h4O;e56A&5t{RLY`V3@wa*>w)fHTSYm2Wb?cXCCwzKjZ=YqWyL-iUO z!Bg<5`bYB}>E*Q2RI9;verRdq2m_&!DXfLT@GmBU)AtFiMZ?fb_aˆQ1Y|L8_1 ziYyg}&ZuZ);>2?DpRNcs;bb-WPv?Z1=yVV`4FB)ZLh#6hu%keg^JYhcn(<Na*2mPx zQneB94zTYFt3lJisqxa${qGY_LJbPjX#PK%^j;7*chsjt+l?65mL{hcldJpbr}Dgw zn3MxJyYizijk(jACi^`Dln74c@mpJ-O)6Hj4;d$*H>T6Kw)~rJSkW3$G!2#lf7{t@ zkKhWb`vUcdTUp}ET{ke$>L}yKur-*(I$MlM5y&h*N|PC9l1-jBu$={ZY6dr|_<j3A z`uH(FZ`RyX6kxyW*l|lkyZUj(!S8&n0c9xp>AQn@esdxFZA{tJxY4(i@>B%XCt1W9 zwRcL#cXeg%|M%(KyU*@D3!B|441I6?jl7C<<HyGVUgBF7F^aENre3Xl2sER+`CRAz zkGCvbmv}Dm3}-(2Qe6D}{<q|}w%QVJjefpn$oc!zzS3g@@ipow814v%DIZTl!%(fk z+lUCCZ;BW!s~)WLHREIf&+cJ6rkBhZcu0GmXvMm=Yc$?>CYa(l79aJd-~tOM&GDT& zB>l1YL@Wn&uN3$uG9K?;qA3NT#{(0wbku-xPu)6sh$24eejQ6kJ6?nu*qV<w#Wg%^ zVS?trHP@YAPAdf)qW&EA#x6$1cQR4DctD(Rw>PZ4Yi2kq@wX_H17yw`zZeMj)1W}5 zpu8Y+7G_*vGl(xN+D{N2j&N{;;rH&>(a}`LH?`q15LZ0?{W?}yDn6%}^GXL3>33;v zY-9|6O{evPdCSGxy*CuWZ^5b4Xg2uqk`(|nsHaH>AMdSPw6cTV>|RU9$1f^cQp_oD z`YY9;9Ep*aKsB+augtY~q?zILr6R9T0=-f=Zf>{&@z9V2BZiw&_~*B|aNNW?nUleu z^<JBvwQLIMe&M_n)mgfA{vqi)@%JsL5LB2KK6)5<2pLCS$Le3;j6oSM1zuQ7yukeM zXZVgghtn+w)|0wK^H3tKmrMz0E$R%-UQQ@_?R+}1lN@nsLJ6He62~Quak8Ez|3;L% z-`(Z@9COHtJMf-(esj}22J>|at<8$7pF=A@J_h2j%n4W?a%2~=gP3;>H25&`8Ln*6 z3#Yh9*PG*qbq@Gjr?O42ioLiJ;6Mqa1aTE5@6L_Xca?k)?LF$b*Bj{&sDw-M|9ekJ z@kUf>Dv**p?ahBIk5^_mfp4n3IdyT2C(28n94>+_T9l8wrgu0`0aT|&ur)OGmB2;5 z?)p5c#<zNbZ>Majg%S=tRCk>7n8>*ImG2L7oR^9Bq^Z6{K;_&GO7<oAv5L(*qHuqp z%uaQTGY}uvU9T8hqpc|h6{LpYx*onr%(fB&x$Ubl!lmB8RC2-4iSFi2Ws#G)pnF8H z=m!qCeQ?xyxKJ;-xNcqY4~6(SH__?p36K>SeQGA;OFVs|8A{QVfpAe4YUZLS+Y{T8 z$C?w{T*tWygq~AbjHGUq4OF3|OO{Hn64K%`hubTnxb(QC;LC7|CS)#RI9TN(oH<tH z4N9Y}E*d4Es@#o<HSRvWz5`%BwGV=`!Yh`nE`vCeoUTweOTi4VWc;^cAa@7r^WqQq zKP6ykh%`m>CWL|dr}Xp{xvl9S9_4&CQ-OIt%!`vcq>IFG2NfoGXItSL^FWMTkK1cM zWvJZr);W@N>6+HI7(@wgr6<qWQJ<=dpS&;6uA$qtJyx0I!~_u=H_$oNYPq=--b%bg z`Ef~@5#B^?C)!FvnV}V|n&;rbRQ|<=Ct)|B6&KUuP#!q75_9gz3#c8r)~|Q*vU*Wh zsSjyRTJmz#t9ad@V_o0t)F=4nb(MHw9u4|=<6rdTsXJ_)I+WYgY?+l`xA3(4r#z6T z@g&`@`-9h8np1&(oI=iv=pYgHP9yTs;%2POYvy%TW-wxt7n)M5>la1)+x_Rw=BvK8 zLI(7tvoNu+tx(&cY1;WV9+-e-P`}?IO$pI>%&>54UJzR2=jq3YQ(m+oJ-OmwkY>~4 z4l!Y^m7V8N*J+WbxZ*r}(k}Qu(3}4rjAeu+;zMJk);opOZ7Co>R~+ssoJd<V>J{rw z3i66^TffSLnyJ6&RP21Y{o&yCu+CHsqM|CcJ1{so8h%-rxk&2xLRcy>%+CO4(1VE8 z)Q_;&BarXlz4gG%XXjIz?zT8pYD^{xbRNPpj@I=RrE-rgmquNrS&mET)ZL`IjUf|3 zED-7Ou2)!FlJuC`+ej9QoFnS(X(B|O=~SK+#sizl>P3!4KAwQNo?Cu^lgBMQ2)p^+ zOTsmhv7-z>S=`J;^TZ3?hjVl!;TN=g<*8X?YDp)uq>xh7E36rbee5U^#0{|-H-F~! z*b$ZESI84^Sdwi!K7YFXjkfaD-or$zT|n>(R*!17B-CGUY0|xSZv7YdZIt9G{B3hI z>xEOpcJmaj*k&F1k9Aq3QR@?*3E<9t)(L%1R~Bjeu+#7~_4{u#s{Tzk`j%p1b5P;J zSUs3KN^dao!C<8J;0agb{vqHn%IJ>?hb{a;KN9~d;bUE;vu23`dR(bp??mPSSQ~wy zp40H*d$rkMq!}n}Y`@*W^|9FLr+)vXoBcTnGF)|Hky*8sqU$2ubrqgfT2#9wAU<*= z<K+5|XHe~zkGa<);bAokyE0dN%ZJw-Rk!`7>>oJ#uIwpHdxuYGibfXJBCf1&kA-iS zt?kMkelB$ei@^YeWcmrP#OZbIqf~Wcs?17P_C^%@AzRsIc>FO~^mPAYmnk|>Ug(JB zuw4&CPDjnH?9m;57KPo>NXj(?-w$qyBk>KNzW5Z+H|%y@=!kBe)ex*rRs4vOfQhaL z8b-Y)*?!bCgTN--E@>Kp_6?&l!_*I_aO5NcjDLN3s7r2rGC|}zeC<J0K54r;D*PRE zH63L#AtKhnv)+&(m5#cyR7?6>k$L*$KnljT9#Nh4OQ)VD{>_P7HAJ`>XZ_P<sk(pi zEx5ut_aO~zjPA<bE=+}GhN<ot?ZV9=Q8yd-cGz}pO$L98!&ufE48i);a_d!xUBG>6 zpf!A?m1Fqy-UM8<<NP{%f&dru?bQ*f`S+xX{?WGu+|Ac~9?a*ri0uR<#tVN86(yL= z$F|7$1D6Q>8VM0xV4eAb7EXWgl4Vua6<V_4wmDRGhrg|E(@;c9J)Hts((VUd;*{*n zphzwiB=}zM%%LbR%_VHFoa8_MOTWPvmw`eDrI9m0A1IMaT>WAR23(q2>iHDyrTDiZ zs|WFOH+&+(=XJ=tTswBcoCQZW^RWDB8tI-g8S>;ZD02SvvFE!Z3qFzDQO;EeI7Q5N zM5<=hL*fy|sOjR3c@cGe%0*&dFRi;TR-So53JM4-B9<@S>xK0+#MZ>{U9_QJxaq(H zZS~_JmiOjN6B7L-h>v=CdVs7p=fKhqOznm(cU!vrr2RB;N!>OVav2sx9q6EiMD65* zB0fUd<G|RY=sK>S=fUz0W?NTS(oFikR}s$<FX9LuJday$P;7B36b0PvFk8@EX~(sB zE~;bi9U^<LUiV0BQVjFOTpOf)Z<!x^QfwW)rqsOdmiPsOZuCXBS9QDx-Slr1dw?u% z(Y{wwUo*41#W1tvGLm_Oqxa-^I<HdcaQq&XW-XFowSKZqR5=F$b?p`o%5_}ZV#J%x z=*kOdo0`A9>{C8De!4`V-jpeySANb-OLFrJXJ?;u*jqovCIPW|hpmq+&F2>6=PyH% zelkrH*XH?;Tl@rwF}>bBp{&jH=6pV!ktQuMvl-k3h9b#%z;TryU(=-MJP)+e4@k7e z6)l$Zc65_3g6V`M=k2$IS9U4cTNVxc$ItJ-(0o!DW*4Ry)=4BU>h&%}^RfCe$S3^D zNh#+-Qtya8w=sO7|M%wi&u<;w^MvYa?gWa4{BiT?Cy0(F{mLn=6b(^Y|NYkS-eJb$ zF3%*;6>_Ut*o82}f1ENpk+`{%C{d_DSO*b#qv0(PF{YXLODNB-cl*`mg)a8m>dlL2 z;uoT<%0b^-N5Dwpujy|lxPrw7$>%napp1xCVX9jxCqoo}ea(|<F62dW9%X?I9x4_x zy=i49VK48%)-f8iBYiQ_M1?KVq2&VQ0j_tk%1@Qj==6;d&LzhHl5w~MH4Bs7z!f|X z`L^_2dQH_~?TAY)5OH0QE{&Jtwa68@bCHHBnv`ca=;EE;^Ta1OYoZG73Q?>VRkk)S zkKPlc96b-owy}V|i=Mk6&)CfEMCT*lesx|ujH{)LsN*MvV_f{%yVv9R27bQzawPw} z9YxyD26t~!2*SgUBIms=<l^NmVuKG*u{)npNvB?TwS5k05^HZm@%98#^G2X{|IdSq z7tP<8TRHlw9LVp$SzygrL=1r&4j7BN1iRdlfH!|tz+!$5$QLQ-U_-g%r*Y=FWJN=G zAc|Y<*?chdFsnOA%STGjLmwGk04KFjEt~I+0TWNJ_=$}(_pCj_NruTsUWNk~C+$hM zriR6FuZdCG3#<g#qyAbfPs%S$-_2f|(jRYqG)p)yNAk`;ZsCI}1R<GeM!4|B@4cam z?INtr@m-Y*Fb7>jiY_HL<(Fe+(woX?feW1a_e3r@TjOb><ReKaBq36h!itG3J>k>$ z3h7_#y)!k;-Rpj1PXbr1^0kD>KDNN^lNd<5<*M)h_x?C*k5uh41KIM%^U#(eH0{E7 z^_znnaZV;ApuYxqgG{%a4Afg-J>_ncvC$`WEy6|Bg&;7TfIqu03((+jE?9sOUc`lr zD3EpF2CetX6mL%=?=2#Ff42;>v=!bz#JwG53fH5&pLON^c^0u^2tAtD1fxNw&A}c_ z*VHc#`eXzmn826oe;Y9di7<4;`!Rjb`!r{sou&C)(PiSzoy~&^`~ffM@J-$MD4zO; zs)=6u;;53NP<+?$e*0_4iCvf)N#L8Cc$obiBrDid(~~kN8e-FtN%X*j*MBhMrDAPf zlP`9i!-^e?w!9WRx=abcJz6C6%Gdm0z}a-?vUFYSv?gmWc5<mX*UBBMl0au5$5*eo zngd}wM^kGp3hjxHU7#1a94P{QNTDa5$E9_njqiE(*x;2f&6|e_g%uMvd(i9k3}LT? zS)=QwoyCbtkiQI_c4^h!HtYU;Em8f1YjtDJ_wWWQvz_#(H;*-1vMI;sI(5UiDNGtg z7Dz0Fjiu9(V$ifH+s+QHA)4P*)1kN#M|-<o`6mAQ5s&)AAEG64i|RvU8gDKY3Nt0a z#?q2b#7Jnp1y0&~{9JJzFYT3GD;iBtW5>me8C(>hTc}=syhYiB(5GDj(RG3;Ro|7l zPS~N~egdTV3W$T|gyX(hbDttk@xd)Tn$hmB{lUYRTKZw_jePf-1#GnCq&fEV%?aYC zOUy~Cu#~Q*1l64gS8ZTDj{m8pbvV+0dW*CW{FROLrxYGvR5tg7NDpyH*%Xxc%bb>` zGI9x$v3+w%#$CHnbKNE#n?@RWU^8-Q9fV{nfl)KfgC}aZY|6jooW&@^m6!eXmM*S< z<9J^fWjQ5MMB9oot<6|e53YHu?q7#LU&+#SNQF)*ys_;TBbb5o+yf=e(7cj`e2>*8 z>hGxfa_!2SLBb)t9)Qvol$`E+M(dl3I_PxmHiHij{<v`&Bs7EvrlksLuXVg9@%JmO z|FZjtULEDeUd5&GVXJVAUQ2QPDQ^Y1f!BSE=963Q`Liy)YY*2s^Wp6N_DdoCTM25H z{;wMcK6{JgB8wCBcA{9;J5p--6xRMIlO>9b?y%-v&71yaK;-|?Fvi#Z0rj&EjB>N- zT=*E=*!;$=`&0=RyJ+*q?KPOEh&@s)cXI9Uj%i<1SMF*JZ&+5*m*_5@B37(X-!hyO z#7X7kDtLl4<=iicGSKajg={dx=-Fe=94w>n)T9<MjjQ&Y5MtpdOP;nT@cE_|y7ALF zTF6gv$4BR6CFFm~7WZeq3a67LG7xd*eO^~<IFNzH@?QZ>)8__-Cqvn=2v0`nd)2e_ z_EC2iODOYpDZi>&RsnslKhXjwyjTdi&4D#&>WuLSmDlJ1@4voCxin4SI`dl^y}{kg zV$ia3Z$yxeL&(phk#|)*(CoaN#9@Y1nhu@E4T=VCu1ADrf$8KS<uQ+IZ}Y1Ku1-L^ zzWl@GL&D`w7HUYxC3c!OuIHx58{czLqUsmgohTecH^_BI*$XUSPNy0^i|K2|z6`Gx z&;sXg2JGv<O7!XADi*btKdAx6ICXYFVzl&{u`ka>l>x7HgIU4sCq@*0Vs5W)x5(H? zhk^WmXIUi0j)xOcBG7IYc8#*^P}_9xsg6wgbx*xTZio-_&maFiBUa+~yBYOFSmi!E z)1|(q%(KYJK<@e7!F^wZ_V!J+EBAHsM-A1JUmGVlu{enLDU1K^C2Muv=<DQg`}2!y z@z(fAOkZzk^vH#U%KW!%M{VUUztCHu5DC;n-A9(tn_6iX7TDzqpMh(vNc=?~(UbC^ zzMguvqr}5E+sp>B2CoC(7(^RiK%X0o6*<Q@`d{6ldq-z!ejVG9$7%Um>f+Nl*eELL z<dRBM9IOeIaB^Ekm(=zNa7DN*U;Ekg=kkl1baHa-mWUn~72gh@=y=N{h}w>KfAi%9 z9ofUteu=6_HomZXaM<RkWDR5<bTqT+KXYwKt?EbON3c2iA-uLrbA1DWUj7pK5o|^+ z@1I|G(5L1EVQcUXHr!y{&sgf~sO@p4F(n7%6Lbb>?1N1+I%3>ud&INOzNBNo;NpSm zY?VsMs;jXaAKn`qcax-SUEJKB`5)x(AH3{mL*ECkiTGUrwDSuK@Uemh&l7BX;oN-M z?xBClZS=SJjvR%SoG;<c6HLOChkWx+DV(GkZVF$A1mj7bkAFNi)6$v$Ou$w&f9%%$ z6Yz8P${thW*=w_u?faU_zP{z2{jBe+YKQkIKE+GhH<0}k{1ZtTSg!h6cOPukLf<0P zw3JdE>uHKAeH_Prn6&<TnwN0FM;GBs@6lS|_KUaPJI)(tXHhfr#_msB?{v?8tUMEX zWHG)B95>UC!2em%T2ry$G~j$cm#2m1x+BcB^U~>lqu%{SC9aZz*!U_<kdcMo8>Rtf z_uEjduy_15<J}ZLIlk~|U#8OBAxAUD&{Df~X?2!)i!jj^`#7Qb5WXThf)>n41UEc3 zH_44Je8xQ2q42lOl`k<{xc4m4{qPLEhJ4}YDn1NYvRl*MHGvp4WO8Os+Y1CO*s15V zA^so`7Ppsw9ue9%srGfkVYEUZ)^BdQkI6xew2}WUkqudULga7qWaAIg?V#>|nog|9 zz6oX*`|;h<MY?&&<HltXp<~*`kA3nVCLXERv=sS=4WzgkhOg2s-C&dODt;f`LI=aX zvbTGb{dhY2u~yDwV|Doe4Szsz%~f;M2cQ)X_6djdy09fnyfsyxV>tE}qxZ_M%Y=F! zf3I(+L0)qAdGKvF3A~o)W34HJu`4<z+F)tKCwn{B?8k>u?TrpYkNuji6ySK1<Vt)F zPZOR8UN`9Zn|}A|=<Q0+B4B0T`%*cODut>yA;sYLx~eO?F0=0OwHs1u?@Lh-_y<sC z=RKta`<hoFGVeZ>1Zy3c8$I??vij?~)OAyd#<C2=!Z+W`t6<>*euuA@SKxPcQM$XR zm&e=}QZ3<PQ)_<v_e@~eGSIdqTz7iy(tdaWwOtQ}{Rm>NL1}LU7E=X_L3I{zn`+d_ zMgyGMZUQ@a1n-!3li&ZX3k$J=J5ISt?f=$>eYJvnPr1qL|JH#iTft4I+{E^OYr$r$ z;K5UFvir8Wu*Wv=N7HUR`?e2Yj~~MSOt}H}ZH-{>tl{6L-M&(jKcP(a^GZNT)u{id zw?2a=r`)vn0oL%tX*VrcMU{DY*uuw+8%Tp*+000mq8hmH*Dmla8atfVD*OHA15c}H z(s3kgvA=tP<5s%UuWS+Cp_I($6}JQ=A`>om-A@3Q_RCu=+5E4)%)<saEAW++H_`7l zo^f_U4TGNC5QPzM--Y2<JtzVc(FudHVQC8`^8&%K$->J#yN%N4CpjPgoUG7ELPk#h zP%ul_4WA{wTK7gAxM-!d8(IIDe4$md@(yT4Gkq6<wH>?J7TG}m;-xR@4l_T8jD>{x zTpC-kTJg8NM*1-yzow*O_JF3CvD(lk-7%)N*=H}&t+RDwOf9oqFVStY7BA5)v%X`s zAx+L>wE<1bV{t9BEH7=^W*@wyIc|l%v}u_Y8Kbt&!pG!7o2<s<0-Gks<bs<N$Ea<y zwl850TY`<)+7RK{t=f?Dvl6uje2q@EtGa@uASKO4;o5g}2xLvU&gQDe`InB4vLcOg zweLji+-zjdA+l;1OE9Y**Ix=bBCa)Z)IPpo7hz)@GxKwrSQ_E&h`8OTT>F^8&eMif z*Yl5uUP)6>)AKRA7CVMnMD4rth}N2%-ayY)kE_xVrjD}QcHA~2uV;QumyYqb+OgT` z+Kj}_bWaBqPlq-wjrsUDT^`$LwR^DNT+8Ck(x}QpW<`9h$%%VBGW}hvX5GWJ1oPM9 zPw}*0)9#pDNYll!Pe?nxm(vc2%Z&vN2!%!gN5sX(o?6~`<tcA?jSg~T-wKc!+BQ4? z3a~#H;^69pU8Ytik0KqtowVc^a;iQmX*4+IsMTDY>gKOEC!0O#+h51BZjSVauGO35 zf`4kLfVl&;-gAsWId?Gq_(#*Fx?D;v<cq5TEa|hhy^q53Ae=cZ3jX(=lLnovx65Lj z@7Dh;kzuJ;yxA`3#t^LjLBu#4gq-!K-?_H5nZSG*Y@q&%Vz=b^wobA$G3>%lPCqT7 z?i#@WdT+<IKld_Of}lHJKnYngejD*G(9@nTY!UFcS(587Oi<%m(4Ws}q4$qCiwV6G z<}Ni$`smGPwlMA>`bQHAxPTHqI`i*axcqgOnELk<e6QCUIOMi)_<Jwe^(!U#O4e#a z@AwBVdGrIh77W$jQ{<M^61J~*&iQfKzKY<j6EXDm<4}_K<5DWzlZ)Z`_<7^k^Y4k; z$Y!?3>0hw!pBX3Nar?1!XORuP{hrh-|0dhg#BP@bnK)57MX9Rih$p(l|0b+UbM9%o zdp;U{eErF`V!>GXXPz2%C$1;<1<oV<o;@VDr?8(64&ff1H*G5q{UBKXi7gw(&Bk+P zGRanqZKH`Z)z?2bDVT-+d6Ze}<!^VPk}qXaW5s$J%Udys&1sQM-@T>B?&ChW$eXcM zS59P*Mtur`Z}i)Kx01Exi*-|4zL%{&GS|TOm>wGT+Hxds6_nH#f2(cPE7<ypDUYA^ zFZC6L1J!!a3Qt_qd*>V@_e3MxJWuatP@UTaE7YZmqU$Cay6+)zxA)neZ2)?w6yH?; z?=E2fMSNU7oc}v4YRcx5x82y9Y|mW$UGMz^%rm>9#;1wRS1YCm?)K-?VMX6#?^etq zq`R6gRWv8BD|=pqus(&%z4vLVc#Va=pSe0IJCnVtK|iTB5Y(W=b5Inw?&#b$oZpMJ zy4~Ss0<^Qb<11{kEin1i^E+|1m-Oil=Ba5OR`9)(Vukk1mHiieq_#VbzNWvix87rK zS47WP&lj*v_ElhzKl6<sick5SP3S*YXOqQEoKx2AJZ~<W#=C#G<LGSqr``Rw#&Z)J zdn-oYn<mRC>o+|=t(ZoyTR68(<bMjwdItINo@#IP$oH~In!qH_j5Vg<!X$(9hMTEU zyVR6Q|9iRS3Og*rdrAID*BR@<2*HX613}eF(|f`(?qBZgH&rlU%Wj)Kn5H?3Y<Q0p zoZOZDH6*~<Ts?pYEwz6a;JKth*X%y9bvdBM#n^K-C1x&Yc}8Yj)~dCMIMBQGV0lJy z+|+FLy8wMNU;x3~`shC&@Ai0{@?=Y}RC8hlpBd}dJ>l1Lx0YvQcgz}c?3uT<TSk?A z9J!6N?C~opadU#pGZN#bwTLA0x%pN8=AQ}8*Y;;d1sI#d2M|`J1@k(bzT)#m3O+*I zn3Y*bxY*)BqyJ|5lMYKe-|fg24d?k;DeP+pd$6y{{Hj26NW#8kx#KE^xtUS&%G~V3 zziaF<?j?7c>MKsL9k*o_DrRPaazZ&xl2WR3lpL2a?30(BJ={&{+mTQ0JWOOiyBCK_ znV|Ts0zLUx*kj%E?kF`>^k9W<%K|GZW`eSS3>9n1)d@<NB@D;p-oVtae4jm^828va zN?$6jV-dFzcPrv%?oJ^YEBKPDqm?j!Fl>`a1J(=qgdLyP>k`hN+)Vr01)kZtngk=< zTYy(9a#N~Pl~Ra44>hXqOn<Ggz+Rh1T(y#QHsVY@h(Omwe*5)*xcTmAxc_eJBsvMv zqepMidyj}j8HCY$jTWQ#=)HGFmtYtSA$o~U5G6((y|?H@=N-v!-M2l@z4y;|y=#s2 zJ!hYN_Sv5@W?2h1x-&Aq_Icad(Xh~(m_zSLXioPOXKk&cuf6|vLkz63Cg#k0vZQ=w zs)S6Bb{clP7K?NJjPxXkLhX_D0aa1EdlveFBl*YP{~wdJw0f^zbxqlMF_I3k3A(mY zcG|UCmSNb-@|h$3x@)Q*kENng4LrY56*fq4HNA%;;*-mr1dllBo{;`z$|KDkU?@L7 zvH{_8aD<GRzbdqz>gy_GDYf%wCZv@}-^2mNJy2nK<;D9G1H%4DJRNhZ@3lP`9nFIm ze>5pV(YTSmEh!>C2XGQ-{raC4XwUbDIe_33^Uq=A^ca7Mdp6OOi+!)<RG1o}JWl@* zv4KthXrn=X|I@+;p!#PDGguV;Z*wfSEB1joT>mGSmJGKkVQl7A;x2SIKB3R>X+ z>;4f$hwS|$C;*T^`76i{_WH+mDz^c_Um4xDF|ofg{9#a)e`L^s3;)OvK@|UKCaeLF z{cR!dbZOu@t%STWqz7M;0qt#VmIZ>Ltvm*%N`uYl+y>TwmNICF77e5{8d@Y!bF|&= zQp0ol1tMr@050c&>`jafm7>6Fdd3DbL_KOu$c+I8Fldq%4rC`98YSR*Xw$(z0v6Q+ z3RR#3CMkf-w%bbvkKs>x#(rjad5)Wqe*jRGLF=@hKqz9MEdr;fB^@qFJT+e-1I@w! zA&e8Sgz~O|-p<5u>7zP5%DNZZf-+w5hGd{RQIP7ks*G2gAr`1k1jMubLB{LA5Fb>B z;V~!v=Egw|Z<W2xE_{WgkW;h(<8*CFaLjSwGqqR&#`)T!ThU6Ye72_?-tU2q-SP)` zzh%6>7@<R&P{gP3w%UEIYnn(G+kKH`#da5ae~c?yiK$jhh{;3hUtuT4tp&O#cn8K( z1sGS1#^lt0iBX*{et(I>0oLaKM4{H|f1|kelb8QQ9sHtgK{xSazbv5w7x$Cjf62v~ zk-3-tVY~pemHit@$#9UMd3>Sa4Y~=}<-k5LHtKmmm>uw4!$yEPFYUBctsoh)$Y!xz z8DHSIPDQQBF1h|<?T4-zZQrS4pQ~bko}Ylq@!^ClQ#Rw<IHK}*&Vs!$T<9z5#FwXD z+{7BGHoV%7`>v&m=(Z0}-)6G$I0gpTD~WE0s%OVu!WZCLW?OKzYAaqMyV#XCz(;D| zi9hi4b2_Isf0)=ms?uS+xxBOddP|c$czGk$_nzaqnRP)8=<qJh8s@F$f+clvr`aL9 zB}KuL*VPr>C+D@&nk$qjDKXs*5MaI(5$K~;y7T>hr}F7WiYMf^U6ROEP{dCqXoP%C zVzfm4U3_BasEgX}nS7#+pF@(3U%T%wIU!aXvFasI6&%-!UcWVagxWR9*j?JY>vZOc zUD-|@210n!o~xQ?&+|;0B9}qucPT?(m2DEkfNwG~=|m<p4DzWyQu0;5yyX)u7L*cS zTq!4-PYc{9%E-h5nbajR417yx&~bA`CPMc~4zjrh*<8VdY-U6@4<PwkjPj{BbjU;z znHT|(&99LBl>5s43`u0g8JVOp%cmNoA_b<A0=f4|22xrcN$o~fNs%H3U?d=o1TK(3 zt~^r61(_5f2m2!X+zgRP1G2J#RL#yt0-8u59SK<8kKsj*Ik{IxTFbtt+aRl~$SN~( zR5o(eZl*}!^j=vm@r4*IlD>jWXcUoS?oGHN6RG=^*UY>xHJ`S>->VfHHXgV9QjM_R zUuNcw9`8z@Q3~WqEMATW|Bf#m4-`b~va28MqMtRp=FRQjO20L*%0F)wr6p}G|1|Ns zwJ3Pj-rC@dV)+RcR>S!gUP}BGhlR;UndSr!ltVm0TCJO(+oClVIiI!sd*KDvsDsga zMb-#8T|p0j53^UxcKn+R^S-k3fwmD+bhq*6D9dLN3-rEe=TyrAUG{{2^4BDXnO&t3 z3(tMC&jpsXB8+H#(>;g}NxQi4Tjj1F9V#pncMTIty=~6&AUpipl}pIQX!xob?16vy zY8kETI3kzUH{+ajc{qFnf0!{xMm*Dl<Pfk-)}=zA!hD<TL4K&d%-WR{!HloMV5oA9 zdWgE*8$m;V8|*=K*xR*0;CH_enODoZyVA<dHwY%#k}kdL$8k!{H$6=ArcXMrP-8mk zdPHZLtW8Zi_3(DklTx_FEBl@f$Jm^s4af8xs~%BWOH@WL`QQxi{~)8O(L8P9)Tu}8 z$G8Ty=>B-utY>mVo3gJiptp58wxEZ4y45?fMN_GhcREg^Dy-~9V^ij8lXrXBAskca zQ{nhqZCoX5E;MR-RUa_6zJ<#t`%$rh(rAWm%-zy2rg6$5XV%KL+tfcThVX+R^ORN6 zXNo0McQ9czq{(M$Ue4^)k~KEz+YAN_NGJeFK(@a;XPre|(B$*oF#3}Gu)+bV_oG|< zWt@_a31`_t=4!R-L`hX(t0VFYvO{GiF`g!w+{vLLkVD_+oV-MLB(Pc6cDzf3OONGs zy0*n=JeSmALRFLAb{@ha|K>dMa-gP*2H#Ka`r+YK*FJu$;x+Ce`Ep%ED}!&;xzh4@ zm;KXL<!kc8(yof9t$^mpbDZV0a3coa01w7P?B$J!TzW(0=IC>s<>81l0xnuZKy$bU z?ct;4i>~3PQcBlEhn8K(;Tr_Qv^h%RQ65Z(kC&MviU|D_#A7_z4vCigx{|_~2`ZR< z13excu5`uW`@L$8JvUoE{5_|!U~^unV8XY*hjOw9`Z9BkCDM6r$Dhe}O}z1VfoL^r ziA%)tyyyAGW50Hh&Y++yzH1R=;VH5(ENuBqio?P=RBA12X$M(p&T$UKKu6LEhseHh zei!N75w`Sr8IL5aXPI2<rVWxA4v2J4q9PgeNQNkqLE|)Zpdmd(cI)pPTJac}TxIb^ z)0|g{bnZJ29S|cczG=>(S7^u<DrAc|vc*=|^1KS^r*#nNl!_balw{-a*%M@MW)|Np zGm=p%Vp;Ew9J{cXwWJt`bS%2@c!hcB!_a{(lEH^$R5%Se#NBIjh;+^ciCSvgCA0uK zgD|YA1t%arf=7*LuD4%A&55aaLmPkn6zr^x2s0`NHgs*rr)|bNp1hB(wX|M$#oBH* zb^RXY@?9pvz%5moev@)cq-Q&xbTdB8np$Kir!ZxbwfHz@oR*z%n4s`$mkUC=H*ZKn zGptNboFVFsmuhzG2yO9k{5b6}oHmXDH;vad<JDE(ki2G?c-px>?^c8P)=7w)barkT zu1=0e>N5j#K#@T8lWlzq*Y8zb8;P>RjEY5K)kq&m;A@16ltS2RSMt|8c|#_eVK0<E za#EV9W>f!UD?Uyir&T1_XN)SLD}giVqPv2=ikkCK@d{QZnu%tAmVLJ&QYu5jIPaT0 zWa^ZYi6OG#NxLB~gyS@H{AkD%M82A}aglXA%kW)fc-UdcdFA<rxYVG?a7<v<@ih^W zh&;JtaU>CWEjAjmj`gLGL}%fR2E%yg982dR=Q(7<@N(9MsPvF$PM^qdO;Facc#w0B zEt<&2h5V3bIT^BX3)x7IY=jGMTr49SRMxUKw(lD{M25$alf=`Vb4t;X))JGBDe;gK zUo&qsC?F@~IymL9-+K;BI@V`JD#VG23=<<qTx-u;^!GGVO__I8w8UWuGWp>f?P-2a z`xEk@K-hi6zwlf{;e*kyJdDkbNTb^MyLwS5l3KNiAYvc?)J(vkmw#(*E=7O(D!Bpf z7k?nQN#o2PIv5>@L4)@JaBE5n7X3@d=@{$#Q+pN&{rYzvCXn<m=^?oBFX^~*Z1ArD z9%N6FdJ25q{Qw~GcQBOu^yILuXO#=m<`VHo;3po?{*S<CJc#69cB?wm=RdW>!2Z{N z={Z{I;eU(HY=l4msC#Hy(ES}aT>MMV%q#tvRHc+b)=aniBB}_fz|+!o5#?6`cx~pD za7=plE<L>G<k1G#Z8<M~j5Xs1SB^RosSZST72k7mj!EN6?}J!m07VA6Ll;r4&|ot& z-JXl6w;G9`c_apqfjX1v{NyL++vN(R5pb%*?XpQqgcTr4#P2Y^Uy>gpo7L*^&Vur? z-b_Fw3PU~hQ=FJ)Qc=B;1<5_s5OFm|^FfrVwD0X~>8&w*OIrzdnfeqXQ@PjX2-m$- zYRW}aGs(7I@unRDugtja$lk^i%#IyjCEa`H_hrkajH%T@3_v4{6yUl>gW&xs-9CoD zz3<2I3$%v)>9XVY`E}1dcnh8ox#!Me!<qk3>e{O3kwbl#-h(Awk)w?2u^_`)u>xGJ z2N+Y5?xGXT*B$#NR~=j0$45A=LA#1YW`hG<vzRkl%DowBM)ePCE3#t+l2_v*Or<yC zBEEf&`TGClhd|8lxCob=lA-b&{j~$grrpLBA?Z?nH40I_Ps|5IJFnT?u)3suWX@hp zLBuM{vi+}_@<f{B@&e)c-*`98(Yb|+L#l-AmN!x*3gEqJQj2kd7@cHF=}D>n_2+l1 z*JSXb`gItDv2kJjxsaOzXHeqd?wF0GAL{t%jf*Wk;V>8$c{wd&=1Fv#|Ftf$a5h8K zvg|>fF6%F*y0R+i<5`6gC0;4}?yV>?kiE`YB)Suxd^c_3xMnJFc)Br15vs(}o1AaS zPTF2QINjH&T6!$6aO(Rp>O7#?9{+8L=UkMM!s!@Mw$L0eW8dVtA-Bj+lO#feu~nTj z<#i+NGM^7#E|99*3i+kor1_ycE}|==)rSja-%LFN``VQ<YQ;FFE4u-|-{S{V`lg5% zUbC^Z-WjAr!T_RMo~;+?)+iW*KDcL$4Tby-Ns??kYC3~_=SI#Zi5sHzYw>EG*gGeh z*N+WMz1V#T;zsop%@kegGH-$C2x_71;uqD~AT~7-tEO3^{G*ZDhF(qo-$~vdWUa*X zTNOrp9c%hWYU?+OAMIM!H49homK$kUnY3nlp~1pSHMEQxR&7XS6y0mcM@IEwOx3T- zHF0#MwMw6GtlGHdQ8`pJa8^H_te~#`T5jZHCDk|#dsyuZ^3syA@}6r{YZZPsCh?a3 z+|FtE=Q9LZT{F{&uX7D#B(_nP&#K4(CQ=P7pLm4GEuSDj(3DSLBlgQD$Pw~dwkW&7 zr8OaLv*QS9v2~l>PrL3rB`DS5dRkPU&<tRN)i@x}>`&S5HJl^Z^}TK(sSD$c(gPbd zbDz-kVHnlwT02-A-Q!-;$4v;vk&opQ6bPd|fwKU&5m?%{5y2)z;Ye*GPr<jJL(apF zBGo>7l{aHT3k|+nFU5Y<V%&V9a*AsqK;WNcjC0A~^k*oWbgAT)9tK~=C}($gaMEhv zw+2x+qP5m;*3EQnSPj&(Y~h>6)jvDL7yYeedWuqbVvv8Xs&i_PZ^Pi!#uQZC)hiSz zdZ?-+G|k1N-WOGox!SETbC|Dabq@Hffxq0*wbf<a6%vj^5EjlCKCG&yr8+tse@k(w zx(x3s3cq8x4LWyUPU>O~k0Yd^j~%H&i{OeM_FR6nnv^R{<LED(A{;WM@{9g9YG^IN zF!~(x;yNRqyACc77Rwa!ly6lKu$PuFn0_O(k!wZS+PSV`0Glt(!@c4T0sZg8>!t{e z^2rU7$gk-KC4E1Y-llut3{KY01eR-XeCc}t{0S9W#nmo)Skl0+)Fy(c5wp!M2l`~m zyGqKXx%hLgywF&U`@I-Y@>MWRHJQ__LWxhLAhGY@05_d%&QNslo49hTVa#ygdT+?k zyP3m(vpz|HmQT1x5-eW2gp{9{;1l+=eKzRbT&&~K_pLlv^0Lb(D=zUJ#$R0<RiAi7 z!{28N+t(-yK@$=k+1?b*SZ0GX4ImLI^hE4oFgQmEo`7;|BAoWBp6BH3plOkD^asOn zo?Y`e*Myxvae*nw&y>4svx|pKYQ`6DtNFPf-qv(i@gO8s)clyp5-cw3I%aq@`#K_( zEqaXw;4OZg<AW71y8X0;*n0~$W#3dmx6ReyKqNP}^02Xk!)5IK^4D6_QyBDX(4`&_ zhpThui&&+`q84A&^4nu-HZO`pt}cvlcQx0$*VoRbo7VHP<7p<PkAc4SxSTCkY#8=} z0@uqCYme+n#JRv0aW|`El{wqCrVH5H6%i>tv~nE3(7fb_2}z&lUUyxDqjW*LSi5{p zX%3CP1#3zsm9QpJtHkQIs18u3s~SQ1%jRD=|IQdbxSaVqWO!^nZ)>>yOCWc-Wt|b3 z<~C0@Pfgq22AyS)ig*vU9vdFNvaGmZ*cae(_?@A0V0ioynF{X<NUi)5pjl~IFUoFN zU+}27U`4{4el@)Z8PjIP=LYlP+{!IpSzf2Yr`wL(<11sOy-=+<U<Gwid>k+ezev?M zOB5#Ts_VF2y*y^!4TVPni-?Pq*_E?3|7YQZyxW@SS&=)F@;)GIK3%wl&(X_r`DCbT zD?0)%M)*Gq0_cw9Xg&8_)#otx4T*iBAg`90Aw2UGH*+>W&j}jon|!~ft-@L9<}k#M z?5-w)w}y@0m{-S-zSKEOJ8SU1ij<s#bQy9)dDXnw=KDO>DGN1<_<1w1HKwa;cvXo~ zB$m~&8z$c|hYSBn1d;*}>~V45r2c_!$RK8{v%X-4*<54MoWCq|c*I{;9CtQg>31i? z5-4Qr>@P$DzLon6g>DW1g_yv;N`Ii+2k-<Ww2Ff_PG=i3d->y~kMEN@U=PP;(d9KZ zM4c~#brBg6K>T^u&VW9?4}p?q{ToPx5^~)`bbv(Afe+0TPLTmNa0IM&t)9DMf=!mR zpmKVF1wf1GLvr;ofV5_pQ9H+GVC76iecNdR352i5B_}CEDsZceXSY@-Y<fP7JDU$8 zA*k`C{w#ppm<+Dm6sJ1sZS({$+B$8nmu0O518&q)JxHS6<4wwx+fb4FAz-c9-S~(C z&h@((d!8Ju7QJOTlUGc<*F1SGR$o|jR3fXK7y}u9pWi2_QWeIBt*RwF$q~N3RZW%_ zX088iCK`gXioQU$q8cpzTRYDGtmu#hKrIG)>${(PD90RUFhRdbB}8q#S~=)NbfWw$ z?$zpH(y83BuMjm_-wNrD>XewZI1>9hSL*%1H7Qk32<@E^#^CD<OB=;HwW|yInsmM5 z2;6ig@>BQc#dmJM)4J4np+q@e&Jol6*qB?Fk{{j=NU5^UK$BtW3bfwlzWZ9vZ)XVN zeiU4;s+sbOVr^Nvb|qJ_R}vX+hpVzq^Uq^#ZFr824Jfl&kY@W~q|{4Pc2SZmg`6BO zm^C6KA`I+EVxk&KV@7o@0Mq=Sq;D#_Yip-I70YXp1x|W)Jwp|3$y?Rq?|)tM0(6w` zfl}j0QM(#4u~t;z59`vwsS8<fRdy{RI@{<SP`?N!Xtt5UP3(@a;d3*52*mmWY?!e9 z)u05BL1#5HE03MP&FY}iLP<T*m~lY80Cy?h)h0gC*Er*9zH)>G^>0J3;!FYzAszk) zk)sd7F(i1%PnG~i7i(wsr46lqQC#7rGjhInwau5G#cJv@jdt0Y7D_oP{Fu(9>WSYO zVHW)+-oZ)MTBs}f%`q6z_nUU0bYJvJ1#~GCHc(#|WZzdhv+B2cmcFK6DluKOpBYN@ zlmDwqoc%=U%+xRVq@YyWRo(?>)sj<SR6#w_Wh1m}cXwd)wpN2io{LI>ZbF{PO@Rql zj%hv(kd+M3&j8GBtI#b|^xdUea?=%meHzO2H5PlDjof<n+pTw1pzr9N$Rel8)mzZb zZTbxH-4EEElylQjZiB(;@GS1dU4UNh2`$=f2t#z`?McNC8D27iJMV8yoclO+CFvi- z4f@o?kG?7G_2r?TMosx=zq#maYiaMIjKT_FXka*ZI!CQ+=4ZHr&auVc%DqdQrPKM{ zgR=f;oxCpf69XterbdN##|ij7sAqS=9Aq0kBhzCC;H|5OndwA#BfAsnyY78f=iFnb z!CP0^v-{;@Ys<B7wuh{4Zatz*S<~J=-B_Fcz)Ing^*G*(we`-uwYFTv>tdue9(#<r z<+K&}tME>_vhGf-JNC*wGW%?w=&BzHUi#%vN!*@rN*jR0H1_VY_bp#5h2Ku}xnMKl zi!l@4iCHHE?URpw)V9k`3gdDv%4#l!AIywDWUkvGw=yu;)wMFvw|l_<u~6Kvke=y< zg#NDRxvP6kz{r6_LbAQH1pmiehP9c;fuZ}^1>(6BdAqJP?IXC2Yjc>p@uhR9yI)G@ z9`9;tHK8H!wVH?#s#;A?5ccJ6V%28lf~W|`v-v&$+JRFF>?aE-8E02r2`6HocHQ>R zIIX;AkM=@q=f?K}YCFgGLTcgTq0(YYIV+M@=d7rsp)$7nHfJ)n8#eTLu5P&(a#q>; zc0~NwkXI2*o(Pl?h5EIa(9}H}yjSeoHh9wP%Qkp2R|73|JR@obt(7C!<$}1nvRdA# zx<AHyWY|}2;$DgE=bZ6ar5LpKe$sHR!5UdE|BYHrsg;SjOQ)5I3X3jP3Qb+I0p_i_ z*FZ;<8z#k{=vq(Oi&lr1N?>v1mJa&=F4CI^SQYBG>WoA-AU;?<)XGHJ#j9&>7-6gT zJ<gLg*k!dsGuXAZ+L%4c3DBHB&BWsv7$<p&(|~woWjS|5BF(;W$q|~mWuusfW?;u! z%?I)ve#O3X$q}^PfN-)x)87@bDw;dW3DX=t)q4p0RtgKAXd5^5pBNc844(KtZWyT9 zIj$*f`^$z)#&+4J;-&4jO~otQb(;!l+dZ2K8QUcr`<J#`Hl?3xoN9zV)wtEv<&C@4 z)O{Lvsu38mX+nsNY}X^2Mz$Lf-Xom#!<#-<8v3nxc^a-Yc%POwf{RryFm?5`^l)}f zwXU9J40G61RTF7lq3ULo!ooDC#w9~Y+-ipNESeA-BauxA(h-G5MA`^j143)0qzN%O z;_JS%PhrKZ1K+AEjNA@Ue{6o_`!%gz%+YdLxr-`-r)`;kCaN>*ed#xwOaf~ZSywt3 z0_WZ2yVUYeFAQXK8bhmGo>**=vlxASrM+QWB5QFvzWm-+LSR30?wf{^yy~%Y+QTM} zV4hDyVl#P{gezk~S&h0yF(pad%5!~C#phfiPZR^@W9iO4S-wVm9?GB0A3w9(Io%}e z+P<neO$}>&)2G-G`KgYgFu-X7viR2g(QnBnwV%vDj+IkxStG!;Shkvv&}YNo3YA&^ zdRj;NW%90=h|H%#jG#BOS@md+ip!c^un1d%NxE_+{jhpYM?5{4cEYLKC*8Me8l@%Q z043jE{C#<?tCsY$Xjmkd@G5AK6_!M+X}p25<*TRs*D$n!BShUDDLj5mzF3GVZ~ETG zu%DLXxVh&`tl)&qM5KUt1z8y$`HqQg_h|Mc!9-Z}sg`YbWcDQbL|Dvec#Y|&AzQqi zXJ!&MFzwu9(RR@ZZNIOYF9tjfOsFi&ddoC4EGZ`@){Cs&W_s|V$_!n#_n$byS&TFz zPE{+Y%i@Gx88u%ldubT^@P22sWMwB&Y6<-^<Z8nU_cgLv<MuP!2HAFhhE5VqtSL(< z_Gz=9>b5oZKrJgxBgSl>>`W?4L=g}CFx1d?7oB|J8q%#^!BN(fRrFjdB*nUdyv$Fy zcb$b@Q@aKCOOA6+!m5j3(dFW2rl`{gE%{dwXHWMFAxF;-X_q^@S_x=qB^9nI4tY#Z zqX?$QE)}H$){HCo_O`y{(kpJu+(_)c;eXeuq`O=`)*QR(Er7yL^%Er8PVN2Zl-e(f z&L(s5x|`%MD1!w|CkiyGofEZF%YVKy7LnzqT{LK;ZJs5X2v^>zmK~&z+{Ud$5rFPO zb*7cwM`LyOhH4|)-SX=mx}WCtRo#Y=?-`uLyB9Ss2<q{awc1T+7NL%43PAaS3U<|J zahJ2Z<_MaM_Xw+s-P4-xbYgBJw_DLwrC9TtE*~#rI`S;DIFdLrRle2lh}HI01&TVG zo;KlK;vNxh(BnQOWx&P9h`@PTG(%{w<qX{D;t_R>R;J7+?A`p2(3aCirCEkUUzmC5 z6XC{4g!5*Xh|4mis3UH+aF}vgL%77DvOtp%v!qJ9Q{=hIvQ+pmelDY=%*Tv#E(-mo zG2Rg8<!9N#Z<u3$Mwq$$M<`H)5Sxch=w<!inprZ;6Tj-1=krO1e?+jWp{CMuD(Oeo zKXUY3cIvW-V4oq}*WeTU*JjJ#t2C={1c>}=vmzjsW}`ui>{Rw7-Ex3U121%~zu<pK zMzhRRKEC8Y75zWV{t2~@W!-K=sWdAv8nNF+*s(&mmZ8#2N>6y9Gy|WvUXmzbR9T6} z%fbU*WZ;_rba@^HLDAu-`u^W9dA|QS_FMs8$l@B-D77CySyx1(9@YQe_)Ab00)qhe z`=<bDTn^k|b?LCN?FQCjDgy%yuMsgqm3SF;9Nvz$p~gwzlYrw#c_<Q_$Sd@;&^TP} zCr_hcj#DoSw<*yx9_;96Xm6q)(Z316&u<G?HSYS);m3yKi-lnyu+hn8=oi{|LbvDb zd;=j8;|GUbPa{WVV$_}-(}f35nAF^6QQ%LP0)K2WB%wb}9}9D?%aEs1W~#x<C?zO* zYDq}ST>eT+E25rv9GF#)8e+lbD6mYqj58*GDW^iu37}-+l-JL$kFg8)(-3>tP@{dF zN#s19?t*-+14NyfvSV@?<6^&V;(k_dQZ1QdnUhYo5mgYNDr|~S<LKGM>fOXT)(#b$ zc{a{@_L!&S)3X>?XO;{PaH5QgWLVBe+?wZVO>f6?-WW!w<{=aq%TuT1->VHeW?87& zS*XFxM>Whx=MLaeqkmsi$Npk(+<ypU%OGEsL-|pZC3BQsM<OSy&YRX7Bk-Y5zcmvt z^@RN-RcT`6m1ww1zSq5nc8xXf*Is_Yyz6Rv$d~sf^z2OboEiPM9<c4I{2rViYqotS z5A&S-f(1Iu?2h!AP_h_a8+OHMgMqZ~LLk@ayb^;xC(4w0;JHkNg?0_*vb#v&?ISSm zv`W}7Me*5>Dq6c=ZWUDoV&>mkE@ak+Cc?f>*@m1N`S;UIGaG&xa~9d4#>->N*toG^ znLPFdXOID(zdUWmgFt%Je`Fkadq-`;TrAiuI@@k+2gg2NRtW6Y%4CrQu2g%G6<LG| z$GlkP7iK9^=4rps*d{N2a#CdT!_nWyOiCiEMg7j3AUEpTBu>G1h~143<k0+;jYX*V zXy)kl^%8#8&ClmrWfug^j@(KPfayll-VzDIUZQbgF?2|Tv@fO3r3w*ssCA-Q|AgP? zgQtKbDxmsZL`M*}64sH-k5RwR+~g2wEUO+8L*Z6(2B_XJW9J)ZP_MqtExdbe!T^X9 zfqXz>^u}JZJbh;?<BlahI3+%zU-Q_;%X!O>moK#H2l+vMPU1A7)~P&eD;|=l@DFB@ z4&pSy*0DS(wTH8#4b5-rqdbS!`gSG|5s}so-u(^Ygv3CTjD0Xb9S~q0V8*M;Lk0;M zQc5odqr&NW%|Dyc0ivjqA|jcMb(hscA|kQ4Ia~ouY}8>}I>_oW4>(nX*y{7LDmcdt z3HNlKM4KfRZ?39eX^LlWWEDDjir!=u3!~R<#LTe9MlKlZngA|D*kU`XN5Q<pY_V-8 zfjlXdsy}-hnwjdONV5k9)In*Ys)vtf4V^fLUw6Z~Tb7aD#L?iTv3;+*#leQop#In0 z`d~wM<hb>=lhAw16p(Rc4RA3S4W4<=0tl$ySKqgt)B*#T*m8ocQ|?(*kTj~K*WC|_ z5Pn^7&)#Xegd*n<LgLoWU4s?3jQnK=z&;u9VQeHJcZ)rM`fax(Sdtr3CCCPH<*X3d zTb9{4VqgQge_r;i_gU4?iHO`V*4;pQdl9xpc)w9(fSv42Ue&`FfLu`~LSjXe%O5=H z#oCYH8~5I{AHzxSz0rZcxgfofbeyEzdn0M9o<Op|c<|m>`OnLeMTokkt&b}oV*21) z`>(sL>wRozhaA+i0<D91&_vj7gRS#<ES%J@AHv;x)Q8@5KifFcg-S@@Tk8=~Jw%`N zb-3TQ9d0{E<P^go>r&*(57_`xqCys*mx;l(B1mgoohM01xDp$Zn_a@KdPo3h6~2c% zs^^eiJRU-*l+iMZwXxt#_v=CfWZrKZ19ICs;M2Pwy!zn{qY;tE4l`!6Lr&^hLDm&K zA;N4!9o56&D&(`RdI8)&Qw(0wHx!%gez<XDuFo?Z5gDf*8|UoF#3mWMrFDOEP{6VG zL-#vf#u`|W&-Rndd#jv)UP|P3b2k><yT5J%+}RGuh0KR;72H=z0Ig#8*G&nGd%uv< z@tktxb<^HfzZ&)s^%I?$K5{8{`rHvWs!UerOi3&WR;B<-$40ikJ0~-XbW+#%-zwwL z_@Y|b-_RV(a^39gNkCj7ta>Og%PE{@`FWWgeBfg=fi$NGR=an>WvuYz5cys>r-b<5 zk0%3M+`}p+{WQzSjjN=FJi0$qW^T0mw}>lu$SZy1nM#IkaTS4-)XPHpHYgcYE5|Ql z_lyo)CPc9w9=VJyyjqu)l}y$gNp{Q0r+WaTHDl{iO~3|b@N^5asTnH>K_Ug&CPY+! zqJctWJ|Ye7WEY>~gJiP?_*5&2A*S~ONx*dXkN05SEaZ)=#9|sop4<6D2;hEWy}?yk z_oqq)P`y7sdH~P;0|hXBf2ijqkT3Tuc><8VKU7Xj*8D^TAJ(>XoFgTgi|oZilWzLn zWKD_qGncX4snv#yCAV)6n)>9$#6YYLO^fw?X(^OSd;?bJ>^F~OMFx112t+<7%itI8 zlFrlf7)d8CB##PICcj`~j8a${q&gO0lm;rKb*Y)EW80%0Qpcb+UuI4=D-=g$7^UaJ z>k0_QXfQT>zN&cEx7e#G+MsUwyeR6K>QPTx=&iD8vj)_h`@G0U&+1X1$p|#G7T^_v zh)$@Fuou2r?@^!37;TOk`#uZa)&ZJS6p*J=0DWw+y&3Nza%~__Mg2V_W7~h=WPI5M zCf5N%(qK$X_rK8k*%07r2`S0c2G){>Y(?`3)IgRENdT5H&~$;66W(z>Gr%AWn!Ev> z9|Y@a1If5#POW;zMl<?7@yDAffb6y<i^RaeCQlvR83#4Ltei=15{<z!$ELPjH|-jN zp4Am$*3Y_FW(rHftYsNMGldmld96Vx5AnLNJP`Q|5mCm5u8)DMkk1n2GtxOLSl!tM zz$l^|SRx_-QJ`c-Q@1w-Xb3AGl~Q+sOAwdMot_eP`AyNpBLhEsfrM^CtMTJQdoSH< z2uwA<s1z`LQ0bewsexbbwd;KQe)WiVh8541^F8yd(+`2%YS4Ct*vwjEO3;iEoBEGQ zq8nL`<q+gYb)>=}XR+^W{e_j(3{9T$akCdo4~Kx`*$c?ZD&?%gst*cbN-9VZ$IEg_ zlXrh7kKk;<RMdB<ZI^E4_re43%iCZ7@;_Dy3h{a%=2|Sl#IiF2qI^HNy+fx7x6)fI zzmsKTF(vR}hO<aJ4e7jQU?EGOWW<ppcrE=n94ybaPTBSV{NO95oUpfs>#m{uz-i8g z{)Uh5$A#SYl@r?~O(lDKa|YvcNt9IhCKj(>zwYY20{ZjG2)Cyh8z{$yv*>n^;^Pxz z%Im~GLZo6*s@J~WA^5-;17n$vtgkiSv7b^P({rpMcD92~$WAFx=*dRH0x9%NcDSbK zVg{M?7$;LfH&}Yfl^UNE*qc(&%cP9ijXwxs*B2UaJ>}$pUsBatnMD;S(9bGFX}7kM zn0-(+XJ14_>a=!fj!a#fD>~RQmKhmG3Dh!FF*eq!O$>jzh~^0S&{qzNc70eYY&O84 zmukyynH|P4KNT4dE4D2)&JN;`j<!aRf!1nN`34Sh_3*zmD|!2=>XiONged@pAA-;v zzkFEBU{(r9(R5^ofqd}vswxeNcv_ZXt(A7frqsH?=vDyN!W!7uFNNfK4@>y_Vb$fX z=(YSjkcZ?z1Kn6x^4gA`RJlUNhQc+Sg^u?TJWocz+oRh$3vH>ivGB*D%C6Q=$|_87 z!dLi%Vu5zH=00e8BU6zHuzFit)9gSF_!L__?6a-y`|Mzjfho3xK{q>&GA<K#x<Ab( z?5nLr6!;0VJWo|WtFf$=^-UE5tkS**$M14QTQ{<C^k<+#GZISPh80@v7|MCRDOplL zgGIz=kV7Ht7iHeh1^CoBv?A-6UfO^P5ar3nb5Ecl3ETB*p9QGZ6zRuZ3(a>p<zUgt zy9&?=VL4b#@`^$TtTMizoOLo~6vh+ZPsTc&@@T?JZY?HxUm<;hMUFUHeK;jjo1RvK zU(H90?R|{DdY{}AeQ2-%Wujd{Xt{bnIXbi|fxJPD%$B8q?TyVZg|#x;_!4qx1iQu; zbeR%R0i;~Lj~o>mDwp|U<C^cOno@$G&X9=Zg92STyQ-{XfS+8AEW%u5Bh@#4JG{Ej zX^7c}cAVHcX)R0U@?}5CeIVo|(~o~2uzN}O|0&UUz3L~u4+y<pmN1Vp4T#{mgsB@9 zD5X08at|7Nc^@<{U_n3KPkpwJy`}%6C?-``$KbgDfpvbNpr)l<j4Z+m3LD27z0g*s z;HatF^B;R%5R)pZT_@A{bO1?;)qE=_)$4?K>BT&>z<(0wDpEkyLV!rN!@smonH_=P z3c~0byGwp5#bO6+sp5i(KE2HFdoiS^8t|O}pP0@jl#}L_x#OK>0xlWs`{ru#h@gVq zso~0Pi&n;6cClYV_O)3wpFzS_NqmP*W=U<IO=fW|mJLNktz->Haqawr_iry31XEIg zYpFn8vKo%UTE?+jFoHyN22k^)c=t}3()xA={|!Tp2=7<};Yh;+oP5f6vO)ZJTbUPr zyxW1Beo*V%hm}Iph4R(FBeJji0pXcbxET*{$sFh>9-`3`gGmeh8oyY+t+DJ~{XS9~ zgs9b;skNDTW2XnT!>O@@)GCeDCLjdg&3M^l7S)Q_=xN#6mf9)Rz{+bi#%rq(nrbuH zHhRi-1I2c%H5{L6yT@w75${XvUfRLOYrh~MC3aN^rV_hAL{BM9#SW*$t{U+M%5k~; zp`aBuycI=K!gag<!7`&YW}hj7gw&DnDAi9)?7}-Eng`?b?v=XC`kNRLDv?ULj8@bk z%k0mGGvu$v>7D6D9V*F9T+y{ee$?QEykDAP%^+Pz1U1z>C>QFOg)NH8m7~<pFt}6H z$0qJz?p2qqxH;4m?BhDrFiv9WQ}rP`wVVvvvJ+fg(9$@3+ye&^^o1N65_fPpRh%T+ zvQu17P}8`4TH3~w^z9u^87DFHQTk5N&a%t$Y3f5qCu#KQ`<^_Q)OJE?7f6_W?yxW* ziXLRk<|ET4kTm<wVUSViu|7`U6O6N<GBecr)KMV<efGYXN0Yiv`Rz3cv(Fr)`bB#J zn`AG00&LkEn%ZiTW<4Bs8Qq2IGZxuG8n70X1HN|7N-QcXfavPE7~Q$*xfq1xsrZCB zkK1Ma*$G4wwCBuQWZMe^*=g1z1DXOQSxDC-gA@ZQOu_6AL5XGVoZBs0PK-;LEDdUl zdkOm14!MfukL%qTwMb^g64^2K`1(>X&Y-Jo{#UP^h}(T$G$<@eCT2a^lj+kyKMPnb z@%J`z(r9mCZm?M##SZs1jJUlLR5&l`Jd2}@BVWWGI4s86Qx^GF)28F}(Kd*J%M6OL z$JsZICM4}Ny||aEk6SO2IDjUk=j6HgUY?U?7SK12A|&H<+OCwQkKzDln0z{WlW2>& zC)p=}E~Me4)TWf64|GUkoP4a`_{+N>@-&9BZvDVDW##81{VdA3)WzG>l^N_Tm5HC^ zv%+Kp`QOtj6Kzozp7qT=0#&af0`-_0+!j+6$|dR<6Pt)<P5WFQ)X6#31?aIf#4eJ^ z?YTJ|GrD8cV<69eRvdZ$Xa1{={+a9z<%`P_t#gYdeP&-M>LZaCpuBn2%l}F@)>Fm7 zU=@7!DD8P~vrxUF(~m2Ec81<&u6orfsxa<#dd5k-Z}^Ejc%b@KbpIKahJZyuIndMk z(?p8LpzPI9e?9hwPm2?Bpl9{ti4?edw0$uT>J*&l+hNJGEDr1pLgcefeK8L=(tJco z^mT^!Me2E-KJGs{OQBpiTofHRY@yIkq+GyS<V1!T`k$jM=;}>)*WJ0l$w;&w9y~d` z?g^rJ(a^W(CJ(}{f6FLDJgeL{hXD#(-NUFeaDunlCC$1x=rIbR%+e=jVu7Mo-v`XR zXqa9Uln3F~he=FQEGAmyN<JvBUR@6;rR_b_a~)*tJ(E5c?K{lIE6<}`KwISOKQzGP zd^6F6Is2UK*m_Ltom12j#q$Qn#Y;sHYrQ2y-Q!t!q8{!ZYai@EoskoJy98^4#^P`J zJz58E#yav@!bClkJ;y#6THRZxoi+)khSNniMG#88_H+?NyG38+$7lMiTTR8~xohX3 zz<peiZ<+1H@heSev$;+mX&#a??o45q=SF){)PGBy!7Yzlb@SihXvkhPJVh?vTh^(m zt>s0-+@fLPtl6Sr@+`Fjm)xGCLm0yuYW)Ud9qFue-!95o)@lx_`%9;*b_w=|qBhw9 zNgwjrG^g2|hwe?3b(Zb2-yOvs?BR)kh69@L8MlQo%hRIQJRKw$&pge;ca|=0LMob8 z4IiA<t{S4ArLG!2a)0H-732+cs_^%gajFRPHgKv45aVp<T#S|9!>rFw6vN&NUyThA zd(p76XqYs+v&i<{(HlkIqOS#u^LgAj;bNrx9*0B1k<f*mgX|i(6{S3K_RPS6k@1YW z{;|&d)#7a=!7RpNe;-&1Rm;Gs-lF<jyvPOq#}soanxH1O<9$Mh?!tYbLwZ&0a9c|J zx8e5b#H&ZM0@JZv?FMPH@(!}A--_DMELw~LO4%AJ+Ow06pD*}dX*eweUMV>hwcl_y z@GTB0?!BnTNxZ_H9ZtNWp5^+{eKoUGpOyLy-*F~l>fA#BXg@w4)mns=OZzePz&*H? z`5I-31}m5Tntf>j^G^9(d<iGmkLeo6gxF8AJ6Gj!19*Kw^k0Y6-MMcMH&m}Z{~IA^ zcdp{$hT3(*e<Q^0&Xqabcy}%GUxm0mC4m|QQw!8@f1ivbWING~R=>#9O;zF;fTUUg z2dbbJ(_>X1Y;*)`{uP`aR4HiM9qNz>isTxr`ux(S;Td1G4U|EiLs|Fdy8x0m<!50o zKQQ$QUFDgv{N&GZI+8FK6g}vcyfOWh&kZ{EpWa3v6a`DsUh^!)Vfx9Pqjc;O`o?j; zmwZC4a%~XI{PbJq0cFQmtYJFwq=UyDa4Z%2k5Wrlm@16oAqVCi3-9!L@TF1@o^|A7 zanUy?9}sjzVR6wl#~(cEIL4Ba^PpbBz#L}07O1fxI;6*ndoG@J@TP+Tvx2!f_CUA8 z7PErs`stEJuoi)#w1>!2MKMQbIE{h_=2CmGJHBu7fpUi!rag0W%z<V{+|&729(+qm z!8A-CBN4pe=K;YOPxn;L$vRXBeIpNiJ5&hf-+FK@F=I+8d*ChgV(zaWQ`8jF9Qt6L z(avTblm;8oUh^)cVYbShqjiK~N~xSbU)sQ=d4ByOHy6s$6232AkFm5Dyufr#vP2lX zz;KPeG>JvS{4x4Kwc{-&4bwIG-M4poWBcQ%r()TZap@r=`x*hf>z0ir#~;f*%Xot; zn+tP9V$O<;!-67)Rx&(D+Nw6U3v+l2J5HK;Tn{p~*@5JMu;?wp3TOZ?ap)GB+4iP@ zfI1TnB#j{3$c#}P_)0W5E##d67THrn{8vvHQJ??7!umNrSQrVX(SS;gZY3<ge0CKy z<r#`qOM>Q&*v$lh-;)FFD&)_UNC01>p|O(%R}f6V*BEH|eZ&HAR#H@H@&P{XKzTLd z1ElN_r``O<`p_hyFNJSRXsy_OdC)oK@HTO3Nt)1D5Y3}!H%^UvPzXYaRD5d<CV2+D z*U5hjz=>fUwjI4uC4qE9TrFpBSuji@p1SxYhka4UY?NYqsZC5^x}83tRU{P&^drjG zXO*6^r<RR7(|RpE09d>rI@hVoWoxfS{v_|I)R_ALtoaP+gPZ}h0Be#1QSKvRFf}hs za_2Wt1G6+F?+QvDEbwaNMxCnTO_I=ps+2~NuY%iEKDwK>?V}HJ4}CX&zf}THkOArM zopOLDD1c`7ksVm@8IbTkq5@M3!mfsP;~Jj|Vo9I96$~Ck>Ls9<G>P<nRJlozzro*; z=-oWF=X9Gl4YX5e_uc=kL=A|02IRZnPDy}X47A~X6R80+(a@0lND?3hP;7O-f;<e) zMs6qkX-EG31G8gg9ef#Z$&_)KuU#*dWyU7gn?3ZYhs7!ltC<n)HfTmg$rxb=fHgDC zsVLq5p|h?xauYJzt9!u+kNc?eB-r{C4<uFN|3wS4F<1B16f^3a3hcSKnbYRm?V|o< znS?RRl-Y0AG1<BN?enkNZ#RD{QGkC_0QHbw2RXPUEC9Pmbs;+wF9aBYRJTX8pOjf| z#eo*8!yH~>Hrj(i4zixw6pOitlYZ!4r2hD+UZ(f%l~9njN~2T(#FyN9FFR>#UL^g$ z^`Od9kcnV!=&0BZ2^($T|GL?TyNfe-`#A;J3CofZ_`xI|bpYt#!&G4wk2$yr-lrE& zIUwpt!t#@WRTrm(u$ml}C5wE4x4^O>x*}AtEK1NCZ;1)(5@Rqaq2X%}+9kB$4MN}e z1Mv>Lr#Xt}wl)@&HKT7~*O|Ix`*v$C<NajY*3Ay=LTXR`lkX%cSY!Q)$(122W;gSi zL+@Z&5t{Rapf%2tCDjfwto`TB*$09hdRS7gJaCo<gN5*Y!3V5176dg%yfwTDy6L~{ z)&S%EI#z3QOPZ200^&;NtQ}WaQt}=&OD(~<&##4-B!X$^n==pOZ7ig1)?{rg9@p?r z%=GJ&q<sC^-_!y7m}27*axf5VM0ZWMM2bm6cP+jY6r4-{(f{CUaBG1kNuK7LpN<#I zRB1nv{xo4(`8f!jx+A-iC5{ee%vSmHCmj`plFH}Lmhyw+o=O7F1v-r0vC|i)2wA<j zL-u;<Mf_&mnk>Lxnk16D$uJ#PYmMFB_orE+mLIZj%GgvMmmPady0MQ6-;p1Zonwh~ zWLUxv4kOg8Y|is)=ED{RVUxdsd<qVGO8tvt(?H`LDUr($BK~jy3Krh;*#*53GL3gy zL@r}QV{w2#-$G~fMixr)#8gM{f$z9@PZ?%|9Ng`t!{}At2@$z4iklJGac*XzJ@s*% zq=NVbLnYHsx()Ea<=8+J-mR#n9Bu<bNT~TJse6m@<s8^I%E?SktV}~<gaRUUPo7`_ z(tvM?An4}0Fo2KAr6QO-af{Ys8w0M=b5be<=}m!30qoOHZUaa4(sO_kk`ExxpBZpJ z#<5vH2W*5yp!fmO(c4|5!NLb~{rt}$_Ay(e_KOuP@TXv99Kzm{D&8j{ThZK(04`QI zH+Tpe34P{$61L@Rb~#M}59{ZD1mOyfFuQ!2{-)!k5XswThqHj^c{+~@-L-LxO~{_W z<y8qVZgbeIAK(9j1T_0aDHgakSn??o?zOiCIR-qeqbtC#2;km+l7MWtOtBUR*gu1N z+5_%b;B(w9tN=<lu|>uMIF`vWn3EkY!PXlRVb)jxAT;qB2M>9gb<Efu-g=vOO+Frw z-~aMUtCJTCGWlAb(j$<~nimj;Tq0Ki064}9L@v?8A_E&fv)=3#S>bvf>F+1hvN7IQ zg0TnmvBu7L4e`cOVfYwVmxrM)eO8*lhZe&O|MOXnJVG{~xT2#jBK}x_8CD#vI6`lP z(}$waYbJVzfxHg17kB;`j39%zm@Bv{@tLq$0|@&H_Ka$*NdR^+ThzRT^^6=L&@vvR zpcF`cz_@W7yhjH#sVi7xTZ*=Rl)mSp#BRK83~*2Cb5hD2mo^5hd><PZunT~G;)!7d zX4!h7G7W%x;<xPUT=h(Bi~;$5tImoD3?O|H>cf3HS^vEkRQiM7*>%c{?MW=fj>UO_ zuvf2i_P15giMxc>hGJgI+JAD^_;jUemBe6~!ItC3LSe`hewKE&cU_FQV6BleLr6s; z7P{^3;M@X2kOXrD2c_XQB7O+IdE=_v*;j4>KFE?e+iI%IGYE<NkiMJsHlviQqmFwR z{nV$ztqId=8OQyOm;TsJjrGxWmblOyk&=lTKyfF_*br#2*Vx7kAk&w;ni1QnJ_Zhr z->RD7tLPj<fYFm!e{yV@ffIkcOFJ6+B^J{el=*&2u}RD?Fq?32sBa9#27}qs#>0Ds zYMdgsWKD{2{yBC<l3u4J_Zk`eB`>jyQf_CmDFEfW%g@~yF5`Xy$gO##qvGX5$VYgd z_IAL7r5E-=%BAQx;n_Rs<fc#|g$9A^0!>g1lqSS6%vKZw7|V0Y2z)r{LJbi%+m?Yy z&1o9>v(A9Ml34pWwjy<50uV#<#yNo-6rhsXwmbxL(uElE%xqg4A~g#xZ&&XGHzsX` z+D38(TiOrG>E~xIJSLBzbFnE|3{}MxbLS89Mvn<r5>t0`muthV%Z%s!PUN8E3*LJQ zd7(Qs0(lMY2`&dMzX4k>sb`2Q6|(lIXM`&jZk4EKjL8>5<J2>rJ2<G;Ei<U=4^)dJ zib5lW42DbD8_j>c6RVc_MfJXl;pHIK$jPIMY4cI*5o=$0do-=_!}vh#Z3Yno{C$Jh zgL!ZO`i(i-re&n1Qy{;4ihR3;n(k(~oNRX=g#B}inE6juh5h(YDz;4XfxM(efeh;g zW#)D#kX=aSv7PD0<Nl$?3e68-xw<w#QF5~?zn#2&O@!t|D#5hH%<%3cn`tHT9hbV( zj!GCbk;fqBD7st1<^`|uwmCq0e?Og*^#Rb*1bYO#ND$3rn+}kkOpQp!0=k=3wOj<G zq>fk<LD+g+1}V-Jh&}MZC1h~d3as2~EGl$vSHhbvh`ok<xA8XHBTKlf1sg6<BS}=y zPm8;MH@0N&L5clqpV)_G#Dgf|cZ|^eK5bTT2#VUat23LT8Vz;Tx3+Ka?#M}(QvjP} zoEdS~x0l<Zl`JuOBbinY`=?&mXcO1?YCd`*#<tg3lE|t$=b93{1=h(F+bCa833SlM z^b)Ax51tIk(-Iwcd9L##!of$Sc%Bmn&h>uZXbXyq*^1rnS%T7G|7xT(>^wLwC3?OQ ze?TI;JolmvMA|(@@b;^rED#^ksx;l&@KZg|K^{|-oT9^mc5^~2)WHByF`l<oQ*x>E z1PlVV_!U_SJb%qlxhP)xkX8+|@!N#IeN^8A(1O7Dx9zDbD&T@=-8b^5^TdJW6->cr z!Fk>kx$mk7e$HUXu2ZWwXyP>aynrYQXV7Ty*O**(CHu6;KyZUqLh|yVI7@uAn^p%@ z%<Nb{DG7d3s{J%Ks{@+8ipal^lTAW_Ye9bH&RIFpe&j%<QlbvF56;V1jU#7#p20_# z!N->I@z?KcaN3HMp~la#pe=9UZ!Qr3Ded)hBpC%$3j0&&C2Ibquj=dPpI<Xbwoj3o z)DX4uPnwzlbAMsaV7E;ODoA44!>^;1j=2N}Tc=JKnw8)$1eLx{@ei89lMV!7>oe6E z_HdhTN~IV7Ng{z10mLcsNeB*}{1LJJEeM;QseW${&uIB~iA9l;O2-WUv^m^7NhwL` zk4S&B68o_r?8i(s@4ri2ra$~~_IA3O+5+yCd@oXc3xZ!ZDedeF!dm}bV(@$B-kGAQ zAV<V>HN*QqB2_ch{UA77lTzugf0xv8{Bc&2rBv!92<xBzv%D=qSm8`{DhRII`0o<C zv_H<ct>7JbN;pA(L?RoM*w+PN;F)Su(7#DsW~}}=Q$kb=TEh_qe?&Mz@Wy(jon=8- z)W1vSej`qR5er4HE_dRWXv&4J9hRCtZ>RDNioyDaMUSs(hCL3Qv$kBW`i9pFfzOIz z@vSDCXF{H@SfU9kKXEI7o3=r#<#MFIpf2q>ug<aOK$>|o5~o3txkuVY$r`f&Ma_ay zAoPS?HD|P;3vj73!T3h6`^W2(6qdR!BDPApZtZ}B*LBH(6CCT*!Xe8giyVw{Qg>d% z=A{5D6(btHnp|Zeo$Gfx{u7vw_y>jwSEV!h1`B9x41gsXi0B_u{Q2gpO5@lbpyPT? zP6;`wo5qt4O;$eMyLVEW`GP5h8Q8#R4XikKML-=QGl5mV4QPs<^8FBfOHDRSt%L1@ z?p%rjt4d*)DytvR=qjA+ZDE|VB9}#Bx@KC@=t?u*fW^BAX*QlD&bKZR7G>)2?bdwp zL#G#%W=sa({|6^;0n}#Lw2h+0Tio3V1qu{*4-Ub-#T`m<3IuOyfndcwxE2jAEv0yi z7AFNtg9Hk}3;f~z&dfPy=KW^QoSDq*ve|q0+H0R1o;!J#KcF#-GhO*>-UdHPvyeom z)^3*o{ukG^M28mf=Q_pLL}Y!cBR+0`@C>U^UH;D~Gdh4=lvY3G4qt)x1XP>Z#@>s6 z4YkO%*Qji4PnY=VIh@R!FQC4nqMy*vy1cg>2Qi~{wDb*NpS&^E>O!(C`fg8$^NrM) zs3tR%u9?+_5s{l~ZsF|cZlJ4aJiEiIuWKF3omr@vWz~gpHm#t`8J|meXB<kB*qafg zE~H4~)%AtilwVZj9i<N+;8Rxziq!^*07;t@R7A=c@>#UHDAg!@?e?Z9ZiBE+?&2b% zbr<J;oaEh2mgW+IbZN?D#r{S=$wJ2mccEnJlq({IK=)q_5RpG}*vDn=X^V+8ZR}n6 z*HJ#i!#6aUg%)V8A%aQ)eBn=v-t9jIlw-_a@w7=NUZxq_D|CE`Fs*x63%-DVAzn_` zCZ2Js5Oe~Yd}mCJ1ijY^WeJ~vz6bU0veq`_41EA>E{VnnTD66Jpz=D|5A-wR4#H8p z!8;pH;++=3*4M{F3>hsxp8~u%;V%X6zWPdBlc`N?@Xp!;BIp!=*sA9hO^!5(G$|1` zIgvLQ)mF;!SE|#_e_<lA75v$Xy}xMi6CRoeJ>9|QLv3)FqA>wW!#Yyb$JnNf{QdW? z*xWbI7Ws|HLjckR6{Ru$VLlP>c-}ROjuvQ2eE%KfTKX#MSP&2A5A}S02oG%#ncvFX zFC#;LX1bzprw2-1Z6X@qB#mre9T6H)uk~d2(0Q$9etSuA#|~KC*i*UvQR49z3&wRx zf4bkOev+|BS#(#+VW+gr$Bn4CM%gh*;UbF6KO#>{j=$1QM3)Sey(+O=XDk-0HfjJ# z7m2vN&~igqf5{TX`(vUNlWrTNv5VCk?ThDnp0p-cK=e0phzWWn75K!kU>@gC^GO>I z=Ao49`2q0oYPEY;w#fT48+@uXL^@FD7$Ghzw2Izc4j0O3bIaFo2DOuSi@m_k@P*ey z1!^r(2ex&qe-|*}k7wSnb!YJgMW20m6;#};fa=Nu1eKm;_*Ty5b9852p^~O&(OE4t z1BEUS>4WlHeVJns)BCpYSqYAtIGOj(W=prl`WvpYeCTwMP8V+ih@VqQiGDk<$STd< zT6-OnE~*kMlm1(CsdscVXK;wAILA29C(zHi_|;-bvrFijRenA~Xch6CVH~NEpHIiS zikaK!bYaTla!niL1qjPs?42CVi5M!-eBF1jj@;I<gFpUW_pCceQfhVu=3%&G)Qc?8 zn?g|{{c|mxFVuNlLJ5MvzYCXco5pgS*<HTo$(`~_WQAO;?r%>>!u2YzTmz4S{C*3f zEB_$YUF7HIT=!}h#QDA#Sy_-zCxAw>KZ$ffBjG?7MB{SNqBr&78IlnY7PS~YFq&hC zX7Ba>LB!N(PAZz0rJz6-e?Mo~D;J}s(;nn5Is^b6B4!#Ht~W(Yfn=Th+j66sDLSb( zr6U?9UR)&}dhrHb&`qpN!SCCp@Hw;^Cj;ciK9K*tJ>j_3pzqXp+La<BC29g|aSe!C zYecJRu$b1n0i;BJevaenS=GV3?M0s6ln%ON%g-+^2zXqOR7lo(zor`jv?`2zljvB! zc-x*X8blN%U7yi()08es5i0}q;5(2n^{a^cmV-B0s9RNNvo5EAZbX!?%a3cqZiUx= z&V9akZn*JlMd+N1Fa{$|nI5DNIw{YpMQh?`q)<VAh$0%w)MsS6-qeH$Qk`<twDBe* zUG#OV%=?AJpSPLmqAloZYBdk;mPd0CU)Lb)O9ugwTiX*l=nIpIFEH}g_5?AS`CO`Q z1rw=R07gK$ztHvspv8qKagXk(P(ezn#S&dJ)B81={QM9gI?>E9vZx^6!h3a+FYVU$ zLP&3_juQFQQo!M&5v`J<Yp(osBf;bHkv2#)(XnyywmV&P`~k}L&)bA_QSApPfd_Z9 zqd9S3*D~0bwgT>VwkPb-P%;$)i_rC^qU-g7tnHdiwUSG<3?D4Tmxj)F?*{O=v=atV z*V8o1i0JK3aG?84r530$jL2W|T&=G@$m&62y^?lerbag)(=o_De=0{8?GvCKIPwMU z<GNbUo2FtLG$>ghx*sP~upqz0#8CO#IR>3AAY-lX!Th0{OYJ0ok%<OvPeSiCLjG<a zNvRf7bk&c>k#8lpbv#l<!zK$4`D!|P!?@9@L#$!5ZZ;p+s`aL1*;bV-JDq}Z(?z?J zx|uR>D;8~jj^@arFU{KigLX7~I_Nm^6G(p^mq7X;tHf>CVgY=6LJ(cKm~$W=+QAs@ zKsbpkP8VJIa6_HA{dTbcu|4tlff~<1o)L5vg6JIk&OwP|IkUe8>&)wX?5JizX7i_3 zdp?VCUg0mgxjijvTCHO1Sv(ix4!Z!lw|p|0RZU5t4M#rOQrpLop<J2Xr$&f#flR@1 zBZMD+W`w#C0+p@h=3^@jrJL`H%_H?zf|0;wyGFefVZAqa(6YKz*XcChF!{1B7YbXg zU~ISsfr9QO)sPR_RM{mxskc6iV|Y2%muScfe=*>m(7RO(>(GMUd%Dv2;^~m~!zX6x z$40Ss+;4_t<T2f?z{}Ld;y6_#nC>dzWtQS|oC1Y?S;j*PYVT<t;|rak_XLd`Ju$}- zLqcQ`>BnBrAD<COaIfb3$_#Zr=dLGMd^IxSLZTA(F=6FYcwR2%n0?5Dz=!7_C!B_$ zUkR2h(MOyp6tkSK=`{rvcLC*zR!`<kv3zGvJg>v=*yX4RlZMB|E|RXR2eZIw@cWTW zMHp>7im&i^kr=~F#o~BqV0&f7r)K>L#d7?g53eA5h3dhW@cGyd@^$S?QutP^$E@Tw zskbVO4&ELs^F4cxV|9$FNY&%natmO!@JSW&hG3fKA1Pb|UqR_IWM~9GsQ7Y^%zMYn zg}Y5OeK|+-Z~`hn=6Bb3&Dy$K;v2SJ*>%M6j}>kb+d&$Uf2=g5(R)Z>u=*dSNFSJ* z#B|pOFH`v6@%~e6bqRpU9T~0}5lnORq#ZjCh2bk`T;jv6+!*P}y|pf>hnAi{zKXRX zZ`euJf9Zj-+`_wB7*vhqi)CT$i9Y5YN+FY1ynF_?TsIfxT_8>ri&-wxJe-D}yMS)a z{-%4MX@pUuiG4gTmvd}AG)|zP6D$FDA?W}8KQ#RZSfY&LA+Z4i)|`e?+d$<VDDW~( zh}mn!2qJWK+^>ACpO8hgMEIE+cV*n(iSqvQyb&jKF&1T+(MS3Y>~9WCC`0*Nlhjo* zlg*^^-kt_C%^0#VCC#8uKdej?GoN_FXZi>cFi5fn;&ej+VoNi#Z+LC&hdaZd9Lk}^ zl9|QU%LkPx^N+TRMkPXQ-_3NMbXeL@&(vxWn$xh^P}?Nkb6twm_p{oN%}{YseY!Iy znpVEn&>XH)V6~x|G2zN=ib(L+1ukY7k6n|@1hCndf#~+lfD*C(Dw;cWcC2b|tzz&4 zJf5kq(*GmQv-^3husw<k997mzo)7V31|>p3_5p?YF&O~poOuC{f|3>m$g*xKorR5x z8U%l^C^pAB4)4icF@w4wGY$cTZ}9k3fOMav^{12x@%cz=Pq&Gos%%_e_hh9=_%wl< zH3}n%pHDeGm0l77Rxtn4r?B8H+y14Wwhd_N=Ri{TtGV&0`V|^H(M_SqjKH?~*kfg! zS<`>B&hE&MZRKN352xUR%L0E8f!Nrn{FtGC2>5J(2zj{$IaVqvkYN$?J`$2hP@S!x z5+DH_jx%1Z9J$s5a>W`CRgQG=L(`N2h*^g<To4~y<_Y2xlDN7RqIH8Z4~nMbg96d3 zWmwe+_{J)y2|82&tWYfZaJ@hHe3rl;Xaz<B`T((ibDjTPsn-esjeamuf$AXk;b;?c znm$mzNS>!PhLQ&=`Jlv63PFRpOq#r-GFYKFTqe!SiYG*)jnFYu58yjxEn|>LEftiL zjf=0kYn~j`3`xs0o^{9MQv>p8)p&^|pcyyjns3W}U_3X?udV_@NL1aFc2dU;dCF#? zRpTNSpTPzt<`Qe7S3(nA3{^K(0V=WKX3l+a$KW#p=4iP)&nIMXL7#H9HSH+@*rDw1 z$ZPV)_sJz&UF6C8Z+?#9ThZ;prechBf%ExW(lnq#$O&5FfsEM10+RPVfs?Uw6H*QP z%I<>D-&3z_@IXXtZNAC-%X@L^Y;FF@`<4((g6hoI){C)omcaUgt*%GVW@W%W<KjG9 zCIv`p7uN8ox(6~QGZJ6|q>42jlxnC|tl@<wqGQp4xY$hml06vG1UOfu8u*oJo<hga zcEetvAQXUBFhM44CTJ8VkQ$=uuGiLCF~%xDvHY#Br_fyG8U*8_6DyiEfnAs&Np(BK z9i4*@7!YebBGsU+T*D9TLWjTtwL_ZGs3f6`Y&()80m8se<uP=~QpibM#u4M98tXg- zNDj?Fw6PuVtYAx;0))*bhAxm58n5jBhj9@TxclYkOe!Gf$Jm&`k;1!P`s&_ga|DE* zsQOddvL!Y2v~=LKX5ifP<r%KwCo_sRGdzBh8Qf2cxNT+{{8Tg3%0u^p6_<H63axQO z$=Tm4Jl}thtlgw|70~2H^+Uik+<;9AfOAYhj5|juIq*rD5Q^x?EW=9WVh5<s5#MS7 znmva4zx}$K!%z5N>gexh?8;Ey9xC)N=|?10oC58Qkl)|QZ(%;_=iPKmznvWq^HRC) zb-!MxQ2I$@rj!7l4ez49{`r}AS?S(Z*Z%<#6|E&uwTwJWXugx7xZ|+uxSv?KRcJZ8 zoc5Hv7qx1<p9mB@QD~Y!9?4~VZ`K~nbv1YXyZg&^?Vq`}Gm4GWlJIbMJ3Bw<GC$~$ zs`@<9I84o6$QZ|fX9|-@Ed93~^G=3?I<;fj+sM>Ucb+Xr?{N#l7l+rr#6K~KQ?$6X z00Z}8^G4#^$Z(Ts{1W9tTkQX$uh-v~j4OS4OYJ$VE(|Nnc}vc80Sl9JL2vZfM3cO> zCp{BBc*TDT=*l@Xbjb7eO!9HgbDpb_Fxt&kMoJVXxFM9V3&l4>L|0uVd5b>uXyypH zwx##iRSVlxBi#K7YrSfgxof>jm$|FFdY12|EwV@v85^j6!w8<ptb4srW%n|}Q&&fn z-FN*)2X|=0$UVdG`o55xo_)FTr}7MAWv}<uUSw^P#w+0EJ0Gr2rzvf^M>xciEO0Q< zBb0=OYtM$%Gkvx7ZB*W${?Q*@1B`PlIVU_-_6hz>v+nk7&m*4;hB2oM&WBtI@$+2$ z9i5>4OEZ@~c$i^L2xCgy&jP3DkG$=FdrQ$L)@J2*3t!>tG|pJ$QU8~D@Z2}t;fq~~ zAaO&`hfAUbYFI>?HlBpe$1F_ms%@;zc%UG@chk1WAW51vH7qKPAIlrOofy3@)M>w| z{w@1`%sw;6T~`Q!@0ue^2F{|2U-^I>`#vv8LrYy5F)S&Kp9uNVmukpb%2&>bX=jim z>&6sgQQMDd&<kSC<!j>@A`WXvt0hM2jhbLefIga0tx}JgV0T#f%@2B|S(EtgJKE_g z>3L^KNl~qeKRk@Y4-0+Sz&&QgwO@tn9kuNqlgHL6y~z!15QLSdjX#nw^OM@F&=RCs zH6PuHvSROi<NKP^?8z$S=scc;x*y4az(;Ep-xjV#{8h(?r^GD%bO-7)tc`q6xfY36 z{YS;{f;zS>!B%XYA2*pZtoa|FDx+Tw9&N+yu=eW!=kav5Zz8j-WqgA)8{}Y4508am zr|n}P<ww^ZdFO9SM|W!!N_gW*7+xbWE+D7?tPaa-=@=`HaGQbp%)W-rh4Is`1)Ips zn?P&{P2>vGst#fw)B7Xp45!23IyB0PGrV^&$$sjp8}3sfb#(qKf$yJ-JN^;-|Mgwx z7T)aD>Dpyf+j$&-w`-uzWs|awdE)imn~S^WyVF6n5?~YJa=!Q(N)@-nlIOz)x%Rw= zcZSW%Zc{8=Mo$g{QeLs(f0>R80Y9mX+!b;?SEA`H_}zn5t1SE^WjMA!b%~~;<Aa+- zPGDC>#Iu5D6*i8`WE6LgxSX--rd8e;vYvG{*Nj*YGixgS3i|#uG$pabrPLw6@=5yK zMAR9VR-U_-mA)>sS}@OibF%x=;m3Fc;l60bql_jT{=FwgWPoB~0DDX>O)+4S#-%EE zK6a73P&Jqut`-~A$yTEvV211Pra$Koy>q_iwSKcnwDe?^ijB!FUkjl@B}2g5+8PB+ zQ|dk9vd4iYXO%5lN(F9nN)0u4+s3b|T0TgBH<>ST2M`9d8{)xgt|>Hf40dVT`fGm$ z&esqJG`RXGR>Q8_m=g%0b0pk`X>-pr&iLXffZ?JqaFTwj(pUdK&@6V3CRvd2Gylb? z4wmlzVZZ)aE0z$dkfXPQ^0i8m39psSPf1Lj+1ZR=t08PlsCI&dMGQJdn>^ZM6HX4b zTa`2qD?U%zVxGOR?;8RTPyr+N^VwHjAAjQ%lX55@2w9fIOKYiIq1J(#t8K1QkCZiT z4I0OoFzwBj3S2h=&qpr%7(o<4zswJG%OpM=8w{!7KjD^rd#pHwjeo(jnvi_d7kl&L z)zW)C1CG_F1G0%GBwo_4Uqd7_n+Cn)ewWs<=I?SyU0@?GKBiPkZ&;Z&B7@50Bff;m z2eH#3)l2W1Pxg7?tyf%oziYBf+t<N_&<LR}!~B%s*G5MsY63VMzX3n~?N>x%KYH=S zR-zx1fwwNH!HxCd>rnN*vjm%2*6c#9*I4^HNry`1=l(&oADC=K%Fj8CgchIURG7^K zn>V|f|B)FT0bR+A7TB1`#S|nz#sIksT|i?-HTbas5c*d6xdC()hHrb<Shh>>{}vOx zlDYj5_-aL%xI*P?Ym$zQ&8%;>?emIy$F5niBrw9nfgW-D?)6<OCeo)+`*nnUx4~u} zflmo?RW-Q#<|eM1*rQ|Y5`Q<p2OJ+L2$za=p(xb9R2n+PSJ*6!eCA>=7f&ygO>g#t z^(j|R?6Lh2KS3jFPyVsU#nVKDR;{o*4oFO|c%4=|m>B*e_J~`y@Yp7Hq*F7Ylf#3@ zy;Ubkl()S)wvx0^Cs-Qp7JJ0=rf5Z72V{{_`jyzw0pd;!N>Zv328unZ{>nN}3#C7k zv=^UPj-$s;&6h%C{Fhf(QZ`FVp=uI~+DVRHB&kjKiLBt{)plptxlU!e@uPwQQB=|W zKoqLA^XiYXj6c^K9=Jwzal!igDdH~o1((X*`P~dMYRKK%s?KozX`z?sp9_A)kyqek zhrV`_nRz2_8#$-{w*dXQ{&GQa{X67!+LG#^S4m=bsJ^^~zY%5SJtqNS=pbcl9O1G~ zeP=r1vTAT`So_dWya_`A0W{u|;%vhi5F!URTu<lSSUv6p*=bkzJJ?aQVN}Zu2^fz9 z0WWa^zBmu%;ivd%f5pnnj7^JL-54hB0)3A>4d;x&wI!0;;>n#gkuGSMLHK9tRo41T z+mz2D00nwx2>&j>W4ZNl-&=lBLM28CC0W%9ljq;H353{svX8Zf`0<5!dOjSxOT7Jo z|0|ooK1t#&D=rz7HJVZt5{(ZcRU%{uDjj>3Jhmr}mh_B<WkqKz%6#CGjX!oB3Zh`v zzZ4wW>bWSBe)|dk*INR6Zd~D*E^h#wFP4X*P%oGsenYUK5&V(iizLpgIh;?BXmdrG z2`<@$W6%Hl2{<7UCCZucpGb;&$zu0x9?P)o&n=J$FvmaP#votJ&W(S5DB*IrMOz0O z(=(8rSz`NoG%7#!x>LAHLw!g%AMDlKIk<ngJZIl|{hP+qH20JwJb?S)us3D2c;BIK zk>I<W!S{Ea&l2}s6Zdv{O$fgSc!F#24L&JVCb{v}RCZP(cGl#8-Hsqbiz%6)>Yq$@ zI7SLxx4db#tzkYbVQjbNnlSx7^+mDgpCxifcKCrLAD-uo>`+3l)pHNEaO=~nr+WI+ zsJk85?hOX>s;5{02K^js4y*l$QtnpI+~j7r^^pn>*Sv^5&+9foS&fxojkcnY9Iy9{ z$eRAYE9G|DpKqF|P<j2)Y6VM6FHky9JzxEmb%MZAI!eG#&Ua26DaOQ{MX8}(xYu>A zCdEZQU$Fc+DmL&8^=aa)Pz2J)n8GB`8w<HW(uk>kGOfbht-q7LmOl)1#|Zgm-PEwf zd;n1JY~nS9`bv^tB;Rx{rqvwyd-C%epmr>!ukEk#nAW+G&J1|ZlPn^)9EeDP*|;C8 z01ap$M4O*shLFYOMf~ZIkxk|!Ws#%D^HI<3fY-97CjQ+dR%{AEVe!rL)a@z4(zRb& z%b1zYT1_wi$Y8Iid=-yR>6?X^GPn`4gl{NYIzXk~pu(};>Qg_$&cvzVCaU}k?~ncY za}&4S@Kzp?6>1i?L&OP2Ij036;zXmI`V2rT)iRxv)_Bxg2wFt9ngF>&ENiNt|DZxk z#brUxblFL^L((+OLT5-|;^XI|vy?OHY&qL*ScsQwh;28Hu3L@|Q-Z?psx>O}z9q7} zSn=|7kmB!4KxL;^_?f=Jb4yA6?Pr=Fhk~Vk>^ci1hi9tr7m~seb${5Kf9d@^PdwH! z^^a?{19J0sDTZpHg>)^Q{tw=CssdIy4$gF{AjMEqwADMoU(r8qG%*=cNRc+0xH89C z4neOp=zx@B>zb|-x)q^+Y)wExA^xT)inTj7^<7+Hxq6Mt?r({wO}(z3Tax@!N};2` zi&v)qa1c%$asw8BIiO}AS|{p*p!ki?E&tZw>$?oQrcz<Q4AYHuX|~6JmMM!^{9u_8 zX3MGjQ&~ZxR;FW$ibHjwGohkT@ed*%Rq=-~ovN3$fE10Y5VB5=7R-=!Y9`m_QW@yy zhX5oqWNe5!n3?|#9&JI;@JSkT0W&*)MBJgAL-+fZUo^lY21LYEVi8;T3us6_6%A{+ zD|E-Zw?#FWYfcA(1{D`N2Jl>|<-Yr}1L@1o;Yf3N>dErnG<%z_?Dxkw$26Dc(CG(3 z2^CUZ(LMPPi$ZgA@&W7*LU%J>MVc#gf^+&A(C(q}20%M><EKf18*d}TM@4C8c$7s( zMe%1Um1jm<%s))s(0&oMKQnw{ub(O#ITX0?^Xu!Af0zgv4&ANoE2fzX^-xIqt^`nl z)-BHJ$db%fxb>F?S)pEGz|cqHJUi=W`(GPqMn$RGi&Xh_PIL@K*B-*tp^tfJK`<j! zddMAuj6>C;@#(~(^3Y-x8xi!wfjfj-@md897a5PLd;p(-%KGDyE*qpI&4a!Mx8}5B zApViwG;4RvkN*06lB~!3lm#r*Zn6<+@0JR=i}zmw&Ps&{DtFmKukvLhm99sC{A-~X z?~a?lCwj2U7RcVHnNGwUcHvJu4r4>ux6DN>glRw-=oU^y8M7ucI5pdgLtW8*&c@|a zM_+EvHF=YgNOWgK=WL*8FCDX>RCF(eb=TCszQW6`gR#tz{OI83N5)XHozGa5*ftRa z-E`9ye71jSnvxvK&yi1M_VT?%x$b7&B_4>4V{)?&hQ&7sB$DJ8R5@XA%d|Q&Or^qX zWfxBVJZtgF+HqLxXPRm-H@~T(78Uvu+JVf(IyT$WL5E5Wx%ofVaPgwCnPc(Ups`Wc zI&q@+CqH%d8S{e`pN|*4Pl#BZ(EHOum<7~~#w&}@rv{YfkI;NJ8+u8(F6#F0CrG+} z!udOv+M{~mWA^x+H=uhkpHpQArR{Hg5TER<k5tie<U&UCXDcnR7W^z3qn_yx6m;}> zIKk4)AY4a<NsN2<2=16{tdW#s(3O=grCeb9;dy%c)uS}2F(8r6sEbX4@c{5iC#WZY z1k{?k0Q*r$so^RRKt_d_z)6Pc{rqFIJT^mf{L2B$uIjY&s^SZ82lW}fVl_m7s-0<X zxf|k;_ifgGg{{{Is@Xv4<rRa9N_Fl8DS7ZipCq-uO)1uxQ>#cd?G78ZvNCo^YF$|4 zA6%{zEK-gAjlT?ikaSaIsHUzdN-}3jjqmCK1$^u}LCM7=dx0vd*>Fas{rSWAw+2U4 zO$*g4BxEIpoD;1JH4oayM01b1hxfFH>*n|PxX>0Y^CwE7W~x=?palT%=pBB5eLi`} zS?u04urGcjszpq_pF$e@D0YgtpCZu$E`4?;Qz8+*A-WJb*F$!7fA`h(@@(|ibxO=X zeWBR(ZLhI=1tIKQ38hQ5{(Ho2-!a3R`-m&Sg`)BeK|W+(j=d#3{B-Aj#mX7JIC!TY zVpW+Q2|S40X_K6OFRS9Lnsg=XXAgfKNx+G)l|8fO`p4NCTI*8urnZua`4<CaQVK_G zr-gg3fTgUOoRz>kE$?K@+RRn!v*WC7>C)u&=48Ch6|V5pfy0lhF`LZkt8aY6I2UmP zP^ke=4N~H@Hk5t!f!<`W-ZU#>@1pI{;^e;OWUga5zHsfq!>m=2Gv2)Ik1=7Ee&L21 z3Kk=TmxZZ)nVW@~s{mg-&I?l5E~f;304gh>&Qw2NbA#S@THCum^pB3;{y;BlC%no5 zT<6dmO09b>Ud40H*<mPm!q=HFuM(EV5F`C)Oj^8JV+rch656EXXEnFiwask!el2aK zW<B&hwGW++VsF9rioylBTg8uJa80l?Y%m~WmD4xOK0jb&k8HEOWc|XicV&J>`p2)y zE~Y(!64$?9XY|gf^;(0CYH*l=w*r=Pwaj&QCVuALEfJ~Ke7*|W4bNdKjxu9l4?d~7 zaSH)Xv&YtWqnUU?wc7x&hfrtY=CWo3IjlXcWpItXb8K@N*gyzdNc+5;HLvBDQX|pm zsL-f-f0lOJtlb;H8Qwk5VCN^xjD69-xFNTfL@m{4{-R}SRC<o%w}K}6Tu+o{^dzq+ z%la|QBW)Zv$iy#X^Dfm|9>$+mi-&C9-iztx?0mF&_i(VMc{teHrF{kzo>gw=f^Ss( z%)hooWUX%PC9y7D>=8A|l^+pYuBUE&GV7(|9&soNCDKVgO5aNove5AOa#B{OA~$m% zv)yFu94^#pH4y%A-2dgM*N(lLZXM?P34PqRRvHb!pyB9T8Bo)1;DBMJbu(FKY$Gvf z&{VWokfrStBjY_8sF&;Z(^eYSPttdPRnDzcH6In3`gm#~>3mnXDs8j>u<Zw%_MUT& z`~!#Pfs(IY@9D}}tSg;;gfgD?Rc+PPsq`kkQui~*Z_(4%>&^IEzx@c@;&@MjV`lCb zGf=MS{S0P2N`jfi-dVDl_AnQiwjEzfW5y|gm2c-~uGT`%DM4t>HkxGGI0{6DoK=(^ z%&P27+ALiNRY?#yd9TKubdBGI8TNkS9=YH;<1S&`$ePbfZ%#IwvwAD?@m<vxgGEB9 z&2Nv<mzv|(T7KyR1!=2}zFbZ$I+$idr|rV9Uxgh>gH-9Ox0{Jt-npS?>VC6>PMY42 zU^=6dI5ZiI3!m%2+s-lL5JuCkI{(q$nsuCy;n%sL<Hmjo^DAY3vVCd_LFu<as=c1P zBNtVn6z0iC!e_r*o*uzMKbtLd;|mvM1XN|^_hm4e7SsuGcHpe;rdbg-Bp$>#Z348t zr6jHV_y<hVR<(SoxH<@}aYyBF8hW-(WB7SG#Wu&Z9&4ML(v7|h9|H(TJyo|T=e+0& zeQ$G)VAvxGUb^t9k${vRv0q>5Ek*Kdh8ZOvS?x`_NcH*DO8jtQI;xV`bYik1J9TM( zeCEDfnf7@Ia`tXJ5PW0qCo{Mc+CVY51N5eWd5#`FOnjz9)pB&oZMthCU-`B@%!%cu ztq?WDZ=Y9Z9dcekLoIauZsv*N)56SphXrqpdwUj5&d0;{)&!-zk7_0Ec3|Pf>z7$u z=Ov*W)(a)J6*s_zzp}6=TnXh2``FV*LT`?=k+{B-PAj%Ji#mQnQ67NKtcL+n{!RL@ zseny-O{ADF30DxUHTEbIrWv~Z7oYYtk+iTkqc7njW9h3Jd;26O7bgy9PPj`KMc@_g zn>(X3F`CiviaspXi(Bh(CB@_`n!Rz3nTzsk`w!cCHH{+QF7>K~Xn;umv*E{d<BNM9 zENMoOQDyHLPkrXHG#o=VY8oYg$kuDZ#O<jnA;xdgQ#C?jK$gBCPp{0?McR}>zvN#G zz8stv0<hFH84~Y|?I|z=duPjLycSZ%_cP~(91Q-S`LOJqeDa6+Fmu_NQh6XkR!$f8 z1l|{WLx$83#(+n~;!!Xw1#`j;AD(g73Z{j_V@>||NwEK-{;!i@|3&>@C&B)U`rjwP z{);L@D|%<DdA9K3`s--M|DWlwixBw+k>_y=4be+RME9@d8$c4nSFo|6Z)bOq^>^}F zet7T|f*{U+R;}+Nx&9HsiwHK<Z`wImqkZv)I>^2CVNCG$SRc04cwdj9di;yhpHpOa z?~ZYYb_wh_-eevt#5R)l>0FY-`w8qg|Ixq|2=0|GgNEb??tzzhLmL!#$-azd?^1l9 z!lPpy@HHp|6(tjWIpO$261?&Iv0<e0+Ly%e+1P$APs6J?f=V(yu6$+59A9#{>QKZG zK`aqjGS6y({Z0JwVr)PAn?zqtKqU_RSL}N-K`j_Q{OM3mY)mY6tSEjZ1ycP}0p~w1 zxDbA%9*i*4D5psmMh;Jm<tG)?hcUvNW5-Dabzzin8NT*u{79`!iXj$?sd7I*d>4ua zZP;V@a;yhggC^_|d@r_^tU(LLKYUIGe?kzcco{x4S)K13n@8@g3=@W{$1;$6E5hXA zp|Pg~yJSe^Pt_Z>1bac!Qq26Vd{ai&dk^zq(XU!>FlM!e7)sY%2F2AA`ZG>@E%o$X z*;dNXANE;S5!P4gC{(pMI!ON(n>VXqng7)+%yf}U|HtfX-n~V*7x|yDuq2smd**Dj z$W$c)1Zg3SasZd3q9kW5y%xS=w)eGWzzdSD{8!hWUg%su+4ow+;PR(MMn)>!$2OF~ zJ{?6<wISRN%ZFl!h^}I8)ei{aM$L=aY4?gB+2;>aM~S7cM0IMzx^In%hjiHfL;xPY z{7CArkO_V!H2fww{@R)0Y|?bB<mw0fNa$Z_g{y8;icwSeYTuPfeK_qbg>5=AZ(~k5 zQTXsI*MH+*gY&;6x1xtBsi*X)VmCLI4xcflY$2RAi5<45q|wMcLeh`9eF*LFmUq|A z*l@fdsqK3ef$7{AMbH;FUE!ydmOjrbZXbg7&tdfn%k79E`Z}vjZ;}vh593%=&s}re z-0V7hYLv|d(Lv`~>mGvckuGF!)v}yT(n}|QG+LiX4&0bB9pSJIA+WiquI8e+6r~JS z=qM7b4tePiy5KQ$>u;e8pqs^fV1lYG`i0K)!#LVr@hcDA+MCW>W11mpG!tX-O}6-5 zMSiTF;!<-(*KY9_Z<wHce{1`$G;~#RUOWLc-LCa@*8DF1fCzb*zY=Ssb=OIFn7>+_ z|BxfxyNSkLo$|;_Sm5yJTo)TZ1z+hxR|VfHuK1>cELKBzgSfbF2`+b$?L*YrZznN_ zDkC^oBMbzuNL=QHF9bS-j(W_5$Mj#h96qba>P3gW%!^vka|j(qn`aqGmYpo62M+V7 zNdt+{akcSN2+)Eb{|1zgmx%}3@GQB@{#EEh$T}d$Jz&N+5ak`I`WXci?)@!>xO*Fg zAaIaAKx@4Cv$hV>ztEa%g1W4mrNsBclylN^bjXo<(n4}&k_)49DZZ&nt+nppe?qpA z+kPOR?(OrLRXd*9+W?WZ|1Ux&n1EyhM;iBO#q1VnPj6nU6&<MVwLL6zv1+b1_MYuc z+_BS87XH2NB|E$y|6cR*$xuH9QZtyX?Zn%C2g`kj-G{%j+VoCA$#DJKfBjE1&mCU| zFCT`xv{I@qB6GM4UeeM^qF(-HRDzC5?dHFp(tO5>ma0l=;J0sC9}R$`o@$Duz1Gt* zFr1V4;}JR^78r0??Q%i9(RI;q)a|hr*00c8*m7YsZ!+H<WOBH-<YH;B94#jurmUPi z-ZzmGb4TnJ8Bb15KI6;2sRI_pn!=Ej+w=zu49E`<fbX?@j*GzE*o7*ROT#S$yMBLx zLu54lia!JYhSo${THcG`RT>FtsE4_IE_jWlhn0`*8#ur=u!P})%ZQVY>x<#*Jg`=r zHLWD1p(x}+ruXXa>AJ0+S#Q2lFC+8ej)QMP510ivAJ5l&AO%AYHy=w9OOjZUX47%N zrX))g{VLA-47ZTqKinanAJ3T^FZp5n5iCQAXHD#DIG~0>^T-<CS7`tjV;AoLV+s#O zgz}?D`O%{M0J7tVb=xW9-ZvjZr6iZf0lo=iV1CR7d>Gc|33wb+kO)S+IRn<l6eNI& zqWnZqe!M6@a+F_UN7i(W?Nq7l;}7S}n5!l7o1x%+tOjhD_@*V;1FHcOCcWtnuEj#) z^yXvqGCt{L#G;n@u`+H^la<q4F=#49PG0AmTZ+x+2ZIKc;zl!xR1WITY}3_L@-++; z2x0f(T(Z9i=qQ?BlLo1+be1wGc0InN->h9vMAjrq8>-2`N`=5EsZA@ePbj~1Jz!6V z>To*|iHQ*0lmYvM@h_JH_LQj(e<dQZ5gr3}n0GT)Ke}s5RYTdkJ4=0{P$Zj27z)Rx zt;QzXm5K5@iFc2o{g`){#~15~g=SO%Wev9(HY;yI#zC4fv!ag!d1m@?svkipAD^?% zOh+42015HJ$PqM3HMpE{F)0)X8Ez6SpJgcFQ!|nyHRus8+Sk?)x~_Vy1aZf5%VC#O zg7y=D1Xz3Pfcj(z=JZFQE2t=TIbEm_F2PExZR#~Cf?sJ!ytffJO^Wb%&?<r9@BCvl zv3tChYk{|K{f!_^x?z;n3aQ=%<n0I}HF`zp^ut=K)JNn9tn}-!6@S~*M`Van)|Yux zd^4o%HsqY1vleRfTF~+6STE@^;ODpg(VDkCUe}hX@e~Ls+OH1;B~z8=0{*NJqH^O* z)gwYjE@V$)pHbpIJ8MS-snP2~RnUvCbyL5RBAU=EB21v4RIhc=So(QqB-sxgDrYdc zq37TgOz*tGEo1Jg#IO93qd{R`S2~M}fD~_AtsqUWEhydCQ{|~R53ChaMbbrAIe<Sq zCla5(b6*}$e`%FXOogCeN#&+MV5BdHXs%GYC58fY+>f}tjG@)4%U>NrF!PT>26i!u z&ZPff*OX!ec=K=QhJ=Q9h&q!`D?_Dqt{EWI^dJZ@<rxBxHAx&=r&==!J&I}tYc56O zVY@ZCE`xWux5tMBD*9&vd5qPvYcHv5Xq}K0>>v{EaBOI2d`1D#DjUTF(PIETW}kPQ z!DgKlg=)NO&C$Gw@*jhokXO^E_dS|nR0;h6l*&O-LDcC%^6Vx~Gg*qcUcmCV849|E zKlye4lGmNuax%sjlz%;a=_K>`*aK`9vKT#GZPxsJtxkGn>rEAk>Sz*GdSc?EmN^$@ z91j2tQ1xO2MU1a>8PhcWHAN-68(P%3Ql$Klqb*B!{xC*XO`eX#LP)6q^r58bNDQ}F zHWOBm8u!|xnWri>+R!n#6I6MK`Rl<2vy>TkpM=1g$Ma=FxU+<n<I}h(*Qck+AHq7W zjbCs`Ac$ON2vpn^p(i@mj6hM6YPQtoX9#LYJOhY>J=0-Emv!<P^jtMGL*vC$+MSkX zSPq+i1!H<~sHW0QB^?~ZpFU-b8VY?*jcp5OS};QPMFZ9bCi^fa<-L)s?|@F({!GAG z;%d=U@*IB>;Ai4$qEszW1OQS&4`N}@+%e33%yCM-S-$>w?bTwSu_VjJOX&|2=C2d_ zRIjr&&5G$ph^tvrwS*BO5N-xgBzw8b3>Pbj7!*?#FbaLInCl31c!wfO7sNnRu_bYU z$hZseW^h#K^`SW21=usBD!Gc#AsuNV=-+shY-;W^w|5tsFzAwM&4|x$_Tc)gfj|9T zgcBuLB(MSUXESOqt~_+3XsQ&Aeta<YZ4`79OilEwrzolS2^H9h%Dve&jIuxQ*oY3) z|BJj6v)!%#<F(VeYos<j3pjb=SXn@1t5f%!*vJ5<q5%JL5I+_IDkkH5R^^>V^F8U* zFROB3l6#0YNf?(J6s2yz-9{9$w$jF9vS<s%N>alNPmt)rZNG8*>-Uyg2-o$mGLQtQ z-&D_x{^Nms1moWn1j}F}aW0)&{OOAV+lYRw5#*H52AB6bq>iS4g#4JXTZ&Go-cbXF znLq9R)95)!?w?Kw<#KFAndPp{JezmIw!zuD&ZYY|tXd-kBs-oJbR$U36?H2Qf{5b1 zLy@QE3cGb?87s+km8-h*dM7}Ui71g&%V!7yA-9&$iXEUe<l0sbRd6>(-IJEQ5b!^t z+c#aF*UW3)(5-xa|4jB2vmfI!<mlidv5=ZbkHP4RqtWjt({n~)is#>xkavndKVv&R z*+^}daqFj8KFSb(pVC9=MnETUn<?O$-Y)9qRnnFzPUF@M5zqSm8?^k)tvgNpY3s}K zUd81*XfJCkO@?@8s~9kEY<YO~;yFJTQ6sc&Ze|+gbo33v?Ior+?bP^lJ`)FI>co^n z=4KZd&Y}j`X&BJ_WXG$;AE%Ott!${ycar|A55IHsR^Iq^5GH&0=W@K<8!FD>4rTay zBPky<eq31p5cIMeUj}|_T6IIkHlqMTvA*svg<e(~z%KaJ6ItShdhK3;{*7HQ9Q4{L z_I7Z+MKNSCdm_!!DEo=f%R$h~4z#O>vi|j3e5uXbJKqj2<7?h5qzBS0o^m7>z1#xC zNRucs)*Sf#b`RooNZR*0p}E4(_}~Nn*b5J2?Lr36j739tk((|qD4&9`LySuEl`Ze; z!a?c6T;;+6dHqtkrO~@Y8?u-Do|CxqxnrtbV*wWu_0`IW9?J0c3IJaNQQS&y*j#Sc zTy9vY*oCo2jo~LD)fZ2!TJ+m0q*J|LuVL$WxI=y=8^G$TH50qhtDJ+>mzj(_@N*Wv z+eN+TZWWIsA0h||w;-QAA5rxv<j&o-BHOMq7JjQm74skAR)@ts%=+AxSa}OA8eTE* zuHgHs4Af(GV6Bq-5)DjZN?@;2`ce!eVP3?xhZ9{g3~XRVU|c>KxPSCdW^)7Mp74@p zKmq%XX0r(69{;ike2)pk+q?nyKl(?tDTI0RQimMV1uLJ-_t5|Xvl81A^BhwT;~z~c zTz_B<a|8Pg%`wwJ2j+@Fq~3rg_%+7VV@VuIhRr%~47dg3F~$@zjG^_AXrKtY5BHLL zbELTNf4^1olEARTdqZ+;GQd?__zV0VQ;-mbzc~aZ!W6`ZVQl^bGYwo}1Vy#mV7{R{ z<{F^EG>ZxaU^n2v*f$Bm<Jf|Du&0|MU~OzcTo~u()c1BG@HDnO-sRKHM+W-g17{eE zxYl^SUIT3yi#XQUz5xUC7-qPu1j`lNg1JRlgTwZ}YLqD!8HHD-3|lSTX6;@5=>>c$ zo?E&vPjMTCCI9A8AvQa*H^Z{UXF%bCH9qU<>IS=cY{lxl`&cma31(t&qcuQOa{gm| znd6yPmsmvy2V)W~N!wGfrKyqtKj!@l_fglyU*DIod>T0nM6hOCtVA4le+6H;@m>K| zBN;b4eeXlS8cK)bn2|2XjL&+%c}@YVZj75DzLkasH`YFfe9y$X+jd*MB1FoHzjhjI z)f#L`4xqg~+;!TSB1B%7eBD{6=P+=<`AF_95OT<gJmaf!BAFAxLn@FTdk*-h9A4-i zUL7#5?u!}RFdj(+bQY_Bm@FUg8>|=ZFDM9;n_02-Bx*<hYNYoTz&!+brnHoN>?jfN zC>F5GO)Ee2^i1I?*>>l>VqA@+-gE+MC>}Oq_qiaA7pBJaoyArWQm|S4qXKqco;o?) zj{xt(okLcI95REOr&Zx}oAbVvi<le2Vy3P9$LTq^VuGiSP)%R)pJuLFy02g&&j@%s zv9zXQZ=T@EVjr7V-4Ypv*~o}qgyPQ0&)(R)5Ue8OIOA*5@$;zjx#?W|+<Q3O{(`~i zMbys1%=d^7hp!&ZiBK;$V=ep5W_WtJ{Q4{Xm@7+p)Xn$4dYz--8DoO#3$mSs^@XW# zTWyr?MUUE^oGv80$dj2Tc8axkh#m)%#L!nNCplmU#Vt4HSV}K<hV)b@3pn&}kVbJ8 zSv6-BB#-RVHZ2ay@qCu!!DJ>BYruUoJa-rC^*6XaW|>FjP^GucA&IYP^|i;FvGc#d zBysdSN=Y6iUjx8GIhNNyxq^GRl>|IWJ_daM_`YJ>;HL2)v?p14RHAqr2ewN(u+=-l zL}pIh)%K+0exhN-Bl<#n#M(fFIB0m8qY3Ko!RU6MYLl`^>Uxy7?gKC?{z_^7p{wmf zJJH|Zq>F`U7ZNh_F3_lAlX(4Ed_sNCyH<LJESn-w&m$KWe$0z6XCt(azoB06HFAhP zT3hlr4wU^t_?hnwz!Ma5N6Us}j<07~IGjER{rYmkmiR2-tXUM-rvF*&%JT3ykhsfd zh_&HJ0CvMpdo<;WhYjn~6FwxGf!Y2oPx82K74w(2bk8a-KbI#<EX>Y^K)AfVy-#;9 zFptv*)t~}}LAQkjCg~K_Cr%c#faz@0kWkCwj_tDYpv@n(yp-a#skXi>lts8~W%ag- zM>KXcY-I_yj7MZFd~9WnA~j}J;iGPc<I0Ct(}yxxhaOu3QZhfhHWH!>2>(8HoYwVU zxsH0F!TKxiAmp8Q_lDEC%lEX8w&!n#^;$P*4vgRCvV}$2yR>gm9N>SMhx8hJYWeAr z6HaS6Gcg`v_^D<22tN?;<u|04_s1Hz4(+6R1nHIh5&mw&{+!KK(?KXqv$UJfoa1LT zX`JeLzG~3meLFgx-n#>u3|%1_@!2@-h6K}Fc=){StoW>MlM!p-+k?fnNq?$?XR3^; zy_PFFF3$Z=0`7l1^_O^6l)d0lnIm8FanD0={(Sk?gZ)OpOOz@5mbrD?Md-%MOY{&& zhR(k1n{Am_MemCQw$Q|bwq<8a(Honp8x5hbg7%#D=mIP<bH~Ox@mckz&!lB)=Kv?s zM#8+1c<l({cEp9{ipH{YA@zad+ZFb(vi2PLXr`!dg3S_cc_E2QEK1rUp-Xvfld^0- zM@gB~&#Apc^$7!UevN!vukosoXi<p$2t94?Ks#GErL#RsI?!*OM3JLesZQ;+S<wk{ zj>HJy30{&xTPe}x`g7bkc!|p4$Y6c)b@VY1Z&Cg^fiKuehja+DYO`CJcs8gPe<|7g z#4V3b@F&^ZVvd`p_ME|qb)10R<7S0faZ%$YBR0a61CC|qcziSr)HnGWFK3Jv31#i( zkfo8<Nn&zzmNSkUSbI(sx{z56$D;4zvsp_F#atFW2kFbs*F=GUd|uWYaC=S!+Cdc0 zk;Pd2G`A^-&-#P{Htn#UM$<N_Lw?{WnV@)1<t3VqD}%*D^{o$`hP|ajN&6&tX|Z+k z0}a~YQ1Lv#OOyg#%r4uvz7j8I;+78OwQAdQT`$os92u%9V@SC6%7pn~@<26Fv%;dd zXxfrhG1p6>8zL{!GF%xdJ#R?3=E?*w+F|K&vk-rt1)rz0c-AUzJpe9nmDHX?^AIHr za*J-G6dEiAn`307mos%skg|4S=#qkKUWjdz5!{$yDRNf)H2;Oh<|<lU6hD315~R2m z*K-i*nwNuaoNr*<L8N<L4&P5Q$u;Hklx61%(J+}-&i<_1^!A+U=mKIM$8UG0D-+Mr zNa*OBS$Oj-xIBMz33k#TjfSmG^u0ud9%!p&TiFfra;`=v+9p~0xY3rwHL;EzV1{a* zy|T%(;6!H%O*lYBEILyY1>#IyKnezrmM7j%+)-MBrpBlKpw~vd;Q35ff=_md_UA>z zQKN)o^?QiE&go^?6FhXfxow!7K<`4ycdF-h%g(<E&|v_n1)Ds1AvQlJ@~lr-S1Y4B z9L3Q~YH?-gri4-pR8}S!9=OqS<Z;Wh7(Ku?2(l59scg?#d|+5J)yo523_6pBT3~Z~ zj#*AGizR4$e5%~*x7eUwT#LD5Lfd2==>dNJ0=rD9m$OvMh0@x5?*XNk=s0?7>Agv1 zI_VZaO+?qML%Xz;If<J5BCax6-ACyxoh7W>Ix>=)!`QSng(*|b*rYs#$zR0ytZWBE zKNI_@!4?N;hV_$Rn$2FYLcGnE^FR@X{I_g&_i2MjLG_zidgQIoAAR<3ud$|xV3M0- z;C<{n+T|{~V;=AmEP1TMb=+W~%`;CH%0~w(x1E%S`uwnqqwhsJtom60csK1IKHAw0 zmw)-kiuRg1zAvui$;&?~wAYOBcdEvbBFp+lk%AgGv*gHI1{4p+H}tI*HjH;O5xkFe zNBlK{VzU7J1mh0B@U!?p7)HNOp?>LY#<jQ1auK!fkzI)bonj#q`ld?_!wkb3@AziD z{de^LyzVL$?~RJ@`MyV9+>UxGXx?_xAL_q`T?l<ILa{!<jKJ}IIk1DdcrIV`48=q2 zMWV7X^d0#OB}yEQ|CzS){Nr#$^!00j<sxU_qoNW8gkm9yFJMy{JdW9bd-?3ct@?l! z<`LdMHrWIwumbMC!cQG_fj)cFXReXCL%sL7x1SUXseB1Hqru}?4Fs2RK31=l{^#{S zpzbOE^n3WQb<>>fs<$fWZmugRMZRHuf|ZORhyf$sq+j2qh`)<1sekF}L3>RX9}$lx zjh16^-S20LQKUDzC$z|04ipdOwgpB4<}RK!J^H>c;l;rQ?mx^;5^x8`I!UmMY*F~{ ztL@e>P~;SvUwq`P{-0?(F!sPG?oUi>LSM0gl@i?>43_BgaExH=O#yHacEn<j!f4== zvu=oMBt5ze%<xMJU*}Cu>?u6-t!K>xsKA7hwU@3zwAU>0^06<U4t+0rEA26^x`A@i zvl@4TwpoYlt=Ro4PQ5F2GL!;wy^T@7es4<aq`5-@DB96mZ~{6JWIA=8+PZ<tDQf&b z%EV=`n}n8VHOdS0z&{I-?pJ5#x(eJ<;XfXYZB0|XQG4_D{{1!Sz3^UG-*d~yr2*{A zWPiIwuisy<ry9G&?RgFyhT*kcQ`Nl2>^M5igcHqQfmbd9$Kqr6l+kZl&GVIX(fYcS zio0|17-wNM82Qvwt0@OaZC;O<x`(qFn_)H7x>Z-WmvqtlZY)znr2)no*hr%9`Nku$ zd)c74LuaV8pz%m1VAxx$hC(?&xtjS?9%K({P+(jm8|VNkAg?jf%3SnTt(jF0u&h2= zBGC*`ulm!dcw8ZA+F1Y9=wCd4odjmvTri=DU~geV^>6*ZGBPy|4MOHA5fkm~lU08G z9|QTmKRcWz&!{ZJvZ3ekpQN-_qjm{M!l~sWJ>O{~<jK%2a*eBN<*ydOUFpi#8C)c| zoEdPZ;l%aeg_r`AGX2_4v&E&O6gR$<<cwHq4odu?5oVaR%<A^d?P+cFQwBW~5<8|y z%X~XFlkO=qnyBHVU47u3M)h{gmV1etFD%Z{O4IZyz^K1qh26=A+y{G<(A{ELIxBcF zUD8(f^JR9S99(-_z>v{X6W7}}sveT<M6`Jb;4F)XQ`vfHr^ye=gIsSaX8ILplx07U zJ$JI7+S)kqn!*^r<9#g1^Sh0+PVdIeWZ)JPQHwrILIo6;GuW<WEJSc`h}T|I$#jvZ zYfrEDDOxHcl~f+JB$-JNsT904NhBWb1D2b<2a)k+5|)EZ_(UXcWHa5z1(v=E5Hyu@ zZ@2x)l+tMZ_3_T!6`Od*8TNyFz)C7!v2or4&^I$<_tY!)7elc|O$}ug0JkvvX3db~ zFW)s+P5aZJkg}lSv=YEj?OE-$Y;R3VS))64s0qVyZP)j3lJsbyzqMmROaxh9!YF7u zeeA#78m!~_bO?>*jQi}rd9;2ZVYGacXWL)9F%n`H`S!FSf@0UESHGFva7iRBoN4zq zoOJj0mfGps#;eFE<>=zLK^`1*W;NZt97QAxzBsiSP{<C-j34$+HD$f9j==7Z(g-RN zQ0t$sfBnnq7Gr(SN6sgpHviIe1Ml;{cme*rQ0hQ!`>9=t&4@YXwV*y5y&j9lRaom+ z?K;=gz>7&MU2!Lg(wnD_4!uv#Z%KNJ?D9a4rh!I)wzpOnVjX(-yd2jN;?vcU8873X z5mQy{T{O}CuqAE9{|+*q)czu~5S#dvl;oQeV{EA*PA7>1%4c)uj^6utpy!LwJBH^K z3_ZMGx#$vkKA)EVA7<V&uBq^i5=^Mlkt)4|ROwZULXbcJ2_2*fp;x6N7!*O8^e&*G zCNvG9S4Dd7y@(=RI#L8S@xQY>Gdnx;Y3H|mIGlT)=bZPw7jl!ETUJ9~Z}!miWC{`_ zKj!3V={TM;`k-SUT=2G}c<dF)1)K1<58|)6$sdxVrFuTL(^giWCBN@9HE=S>@HEQE z1*zs$>onavD)=I_gEuD3m}uPq@FlqLsEaJ-*dF(~q(85nE?K`O?mg_2`+PS+LU5N` zaf*~b;LY;mt46x-(;#J~&VfqI#FHj~i;iU}g`nt3-;n-X*u!lV<;ji>3zYciA(_Pk z5BE1(#%iUcn>9ucp!9S?!Q#^*0Ru;)nM$J)Rr>bU`Q6RZ2|;{B4WZ0*Pr+F?o!v=9 z+Mj5vuDu1UGZkt$hI-p$Yh6DZf)>)AKtGu@gNtrW8%kLxDSrN{^=V7pB2Bi2p!*`_ zmEUH=(zi7;=qL7G&t5@wrZq;NxI-Fd81xgcw=$*N*=Q=!LGGvTxRz+%KKtAUmQTyg z1VmRL-)s`u;#U+|zO)NpM1Sz76=g7{hxM8%W<^-VVBXB^E&{0h#wXONrYj)>U)?jO zXI{b#6FMqEbKK=EE)2Fp=jw0XE1tg=%yFk7nppRI@*2Ctoz`wk?mSPEF8GRc)K%}T z-yQoVVs97q{H|yVxj%S}=2^Aom-a>Rlf<IA$+ubI+YNS&8eSPzuP|vE89VZNAKrW8 zWsH!d((A3zD27XZC3*5xfZBUkUa@A9$HJg^v5$H&+1%d>N>7WT8(z?mF&aiPRuw0B zQWrMX8}K30F#8g3>_!49E3`0BMD0&`w{d2LlevkJh1y9v%$$a>0Hn{uQuIL?7<2gQ z*U;A*5F?7F;?*7MrCAd$W6<wK(afx>tlG)jauw<zq1d_7lKGkpPi^Z)^9h}4u6tHd zExtrc2mXO)@9GHe)hKm|)qIi4u+yIr%gYz}GG}q{*Wt<f?DfkzDbq_EpX!4}^wP}b z#V?kNnHdrA7d_V-0X9#k<lHp07_X85%xY3aUvb*gl~EvQ5nTJ|FgS5Xx)=2brVRGi z{*BKrFbfvk2BB%8#r)ABKBs~<eWBW3LLrvvp|2d8V3&Ekvs{89F%HDA<vjjbV6UOx z_1hgwj^t2&*l^;`r_>W;2|)5Fs?ry)_abHIdGcgJA5o%v$@}}n$?h4c6i}tWS(P*e z=P1_KU%t%?1gUG)+Vn*xyWi_21;P5dmy=E?c$N%i)4%gx8cmfj1a!m9*R~TnXD`=! zkpX}I*zN_eoQ8D+IgWPl4WDh<BrZh;?c7=!25=C)Al~<B?*_c=4e1&9a(f~;s$_cn z6T6E2sS8hZY67?f?mLyJd3#fWKbK%kL7iE*@KKg8p4K{r3whGvuZhYdhvSf#6*DNo z7+rXlZ;bb?;$H>W4>rw=jtJ$IIx4)@Tl2m6@OuCNJwU?06`+k@qR%{E#m2=ZBV`86 zYW>H938igAxIUf=@Jx1v2d;|$;?6#0djNog_yxImOa7^|^M$h<9}k6N@%xLYkPy28 zPjwsp@c2A}7kJn{)Y17Q_glximp0O}qJhi{9_)vKW12HG?^eFYbLnzIGr{@5%@16< zyihTIHli`0dbUQP5kK1-SRr#>n9$m&1NWNam_#W!-jWZL{jhLH!B5_kYQmR#Kdha& zoyZu}u;?&iWFYQ3$oQS)3E;&o*SYN$%R3?}<a-_j)~H(;ZalAtq?ppgt!#@<ryuc$ z2746lcORS4KPI~*S2!7#`tzVHKwT%`A*czkx8G_AGAbG`9n+{hmOiWa&q@apuf+a3 z*DukQIxJWOCY-)9pD4@i*#p<po-Y*U<vf^s|955ht9Ra!Vv13zB7Nk7M?VC0SH}XB z)qN<X9r?B4gSXh7>nFBUXXS?N^d)Mqp|&I5sXEA|a)Dvk5q#%QBc!fq7^+i!Xyi+z z<@Aw$9biN7;)|P_bDH4Fy(fE>vOb;lpsgC-+j319`o*@Z?1)RbBGCdxnOIEklTNn4 zzDs4xx|jT$6*<`-sUB>fcBnV~U~3{bkv_{;DWSYB%UI){@CNRGbhddtY=C@J@6-L! z=h5KO<6jDwqTqD}L;e7km<3>dNJOaEWzS!6m!E&!6$F<uU*~T;2t*LKcb&GU%v0%a zQ^yV7zQc0z>9C`N8)DNG85lPEqs35if}JQK9q+1rj!bQrP~^|{46i@UWlO6l{qI!L zf^Zpz2xc-=%HiqL{hPusUV_Dy6Y`esv2`<BpMM_(Pa)Eq-W(tJ&yPF<R%E)W5gQ!o zPrywU;JNO+M)L`Uu7fD6yp$4WMw-B*O3h8yxJxGIcJuc27Ha`|X7h!ZMHF`5uC>MB z^qpb-14?Q?b2wxXkJ8j!*gxmyQS#ESvXAq-xw`#GZZ=R-K2nQ?JwMd(g@eP&GFVaa zLvy>Q^IyGBrB?7swth>=V70x}e9=&W33R^_&z8k0P=)lYetOwxWY55{_BdC(6#M`2 z+F+LAb?|_tJxEyAB<Y<!eV6RP=ak4F49DtM3#s_s@s<<C^&pRTmgU!b^=||`zq4ff zxc}mnGZ?X&<Cz)_dD`N4CP|`R6%gcC$v7O)xoYlWX*T+%FZA={hJG@7<ws$Bzj~VP zKiWF_eebM!-r3IeGD?2hWUkg^XXjXESTAzw{yUCP&cCII8qCwHjpbx<qaeo6bt>>3 zRP&|y%b-VRIv}?qw|ddPMbMhsr&nu#z;|}AmHa<eG?4;(Dg$1jIT7D`o2ZVA|3p+l zF|sD*RTumu1(^Zy9Lal!%PHc&12dMF<;ENK_PSjx6Bbxr{Jn;5O_IXSu9Wx2_kPLP zybpM;nok!WpnofbxJptKGzy(?V>g+0@Eb%{Don@}R+>-B#5OvbOl$ZJmR4>~(l2&q zMi2~}k6#d6{kHkj85HF8jn?|0Gf4f<#c|Mt?y~xDfmr?fr3)k4;4MSt6J*|nk$xzu zL}k)2e+#L9GEwqh<fl~Q7r&U9S#!X|L^|hB@n08(a*GG*biRGTEq|iW%YG1TJI$!l zvKV1l?1gN7s&e}ql%$&@MKaCtepPCyKKfD74sr5Y68dFQd1>l-sVJxr!Y6!B1f~Es z(dsjrJA4IAhoJGG#Wb1g8IozW3rXl(y@%jgk7NVPZM<TkGqWd$zY|5cgM>=WzA~~9 zH0x$<$c<j-<q16kx*QiYK!NLo*rVb5@K`)Cyn1o$p(Gie7(iatme^Y*KTc!mU|W=u z0)MYu2f#o6t*Tq)Xj@_MYRdFvGQ3rB#FY4AOU;IDqYOXP??V;k@qa6JbpkW?L8@=L zh#feJ#p1uaG|DGDmR-}>p)FkOUse_xf2NwmmEhvVw;MJ!rWAW~Y?s4vv)RGZx-oSr z_T?U8%8;DsuS9zgP?c1kY6St01&EQ?Q)7=^$pAxCGr;#$-k#&C*}4-ipZ4f#RamE) zR7LT?joVJNP2v-+dr{N(@P@mUe;emp=AQm&W7awV{h9r9EA01lnYpnDvC+D$-W%0- zkLkCA_I2)b+$67vRw4+DZXbZ^Xk7KQz&QccW6dEcTXQ{wf?Xiz1E1GM^Jc~qrDOBc z;1iOvm^;Rrm(0l8YSbqKzByBH&1>T~aYEIhZ;X`8jYmq+Ga2BTSYyICp|a3uqbBpT z<<f_zAZTcxP<QFG8Ah#|DC6@up_0%%qa3r7Oas38b*-8#<DhsU_k6xd1`}mhBf(Q9 zs0YlL-DI=SW^{7cr%msoNY*zYP*;$gpCO!hE04Ks4RRdLjzCeqCGN9vVCS8@eV}%A z5zfvzY4DHy7MPUy58;{g`48cnB>4~FngstNVcICe)$ofG{6$^V_<slpCG{TyM!o(I zd4N*?M~ZGLQZ7FQeh9l#Q{|d;S`BZ^*RrCe@+BJ`I_$oKToKUD<emm46&U?|fA^JG zxAH}XYK;7^Y1J5U#}xA7WU`n7g@*NOxzKtNg|+UzAI3h{XWt7s+7k4?H|-2=hz)Mm zOUs37Z;K7~kBv2p+#6h8uR5IK>oGpF(0TbeqgH;mlA&aA^01+-(cfhH-PWA7)jZ10 z&Cdf_IXGE^Y?L*bj@+`avD!wtdH7WzD<>ywP>m)g(=l5X)>i!}Hy1xqWM$`MO=%;8 z$@HtOY#Xa1q?@(hU_oU<>GsP`$EU0bi!VQw%_LJh;UD<i|6Us*@AImHglZPzd&|?o z7!AED*<l&W{w!!MC97J_B=F)3MR^(0S0=5pvC+hMx^K(Ez^cE@&D>A4qLOd2roNHE zc>3#>#bc|ZGB*{!!LrJriIdxYSHsJ4q9+s;nztEhd=%-Ne)yVszE|NgC(M`MT&`4k zm6C&G;|<aFEcQ<>FH7C!HfI!^*F_IOPX&7w!L#hieyQjd-fd3UrPh>n-)TyDZYmq6 zxuu|z7FrhS|JFfYd)DscO?_&pCfWq*AK@Tcv~#!DLTgqcStbMhgV&88CNR<c80w$w zpj)^@*UPLk>yj*!jF#dJdDu6UT>ddNm&Y7mP^qf<Q>qoGxw2rB7P=AYAMM~*xFgx? zsxwQUJeiCx<1G_}O>3E`_hAnw>QWau&HV(Mz-U*ff2@OX5%$xi@c{w3mWg?vXG(c; z>LQo9xnPqHItJ>W;LuXIqm!&G2D3>jFG&s6MYBWwZLapD1TQtO>ZAn?AG{0odBr-v zN}y2NMe@u&n)c_62<#=#EWhAo$g69oVa`k9URkYK)q*ebJeNrh9N<}f-b-;kZ&+38 z6$DLHxWkxSk%6`WpSGr6X`=rW?gS*a2*YmpXO*C*#JyAC*?eA0Vc5$g_xM!45z>ua z`3DGPsAJNOsG!axv|N#ha_>?inw-~C6vhNTZA^u6&k70Z@Xl%r9;NKa2=+tJ^iT%Q z*)pG3R{7fIi4OfmBEr4aNoaRoOMVzva*GIT7F=u8_bhRTPf&+@Hd#KrZqWzNt7(;B z$-j%FdG{@C;5@s){%Le7GR?aITfIsynOcKk%amGqa}beq$(lczqA=I8!{$_E?%;E1 zvFyX@Ldb4TUYcahV74I4)l^o!Z-U>mF%_x2jNM+A-;mH^|J2w*h=*E<R@PjVUb-Yb zX%;Wcl~Kl^PdnNDRVs30El|)fyv+xynb6HH43pNKu`i5cuT!?@b0%?Yy9>h@RX+kV z=}Mex2^MVjvU9<t<7YdH;)<0*GE+w}&QxiV*($qSP|fjjWwSo#m|9|N^V+GJV1eMW zHP$WtiCD{=?Zig9C@!7ZUsbSxFM83m&w2ByDh~|v?enD;ljxhB5^T}n6i={VEnV5B z&)M8@I7QN;b@n+{4mu@+YW6<}(R<H%9+gE)!+s-?q#_>9d9HFx+Y7&$exIQ|2k3>@ ze0!g$odf^+)_xTH58gFkKe&AlKa6U>3BC+$rrft^cLTIh1X8Wk0oq8;DB8<_ZIprZ zD`{{W{ARrUGTb2p>%K5N0~p4%4{g5(w8jgRTd{%%;4J|Il~(it_lWMZ>>t7N@$ZxE zSHqp~@_>PgD=zR7JZpkL(v@Dg05I=XAl}LWyc@_)7D&D_3b!G+Pr9$w&I6z#zE8gY zxLqAUMRfnxzFIpOkP5KC;jP*p3RK0fr&=ii-UHm{*uUTY9M}d3e7BysT`=(8ka|dx z5}>l9@RQVOD(Xo~@x`pE!b%zIzA@#Q5b=vN{MJ68%^JMwS^NK9x#$3UeDc3_YbcsJ z-Y;D8qC^VrcnGBUv$_cfzWdll*FCZp@1J{7#Oy+HlDF-IPZ1s-*{-H;`+-Y*g!+#2 zEpllBB4)h*y|U4dk`>m9|8iMUJ<kdyd>-GExL$Nc7M@SA!0avDE()vzEU<b@wd(@q zfD3oLdD`^>p110OD;Mx>U?o{S<;on~4OmH1PqeZGFT<}Ssux-jf(!rWvI=WB2k`=} zH&y#0FaZAvk+%ZJU(I30tHHOyz7<kORc0&d@z1)VMrV<s887Z|op5QJ%dd(O*WYJ7 zNC!Rvw%(cXQqeH5Nz#z~VaiK9&<CA0E0gxvRNf4Vzw=7w>8+`^TxL9xw*UWGV9t=? zh!E7DO4hvsWCk;-L&w*G`IQM7nrE7&UBg1(fUUx%=MyI){z|4a0ycxxp;Bi$kS+4U zb#k!od2H4MiB>f^_zPp!#BHr%=46yFFhvpIQp|<?HE0hF;T#m_og8J%oS@VirvMMy zRA)^vXpLi?fx{9=io{~(<ZP~G`>_-?3kNM%?a*{^MYwcl;sopc*We1c^laipp>jM} zG+eqjaiY(TG{pkog5W~(4e|2J;I6iVhGw=GI;t^yY4u%qNgxFiyO@%L-*ky1NfNu5 zlY`eaC6E${U3Zd$!H>j|w-Ys4l7q{Z?Z#-;f=3fmS?+WdMyfHxwEDcr?V$La@zT6& z&O{POl|;=u$%jz<Od~3-4hnEXO&A14R%jPl_;*DLg2FHS7+J`=J`O=q6mmrt-rr4u zpa=`UL>4}I?!`YzFvh74ZT;)RKM5F9Q-=<{6io@nclpSLoJA0)pzvMbT*%ELmJ}2a z*~W!zK$4}P@R0dj$lh|=6chkih3%`tPZ7f3#QhauO8mxnP!4tIksAxYF~Qh)WFi00 zx~vH@Ei!WO;EI>zrVr<!9Iwn;l_ON2b5M%6M$@dbkX<d?P0Q8bfH|cRf0IxhDx7SZ zH9?{EeBe>&R$1-u?-9*K8`X+A4Ce8HNi|e$T?1fLZTVcRjXi%7in#1_Wja$qYcj() ze|0)@7A%u$+;reJK#h$2?8+DxpA~P^VO~RvHEE-6m(PXU*b{+el8xuFEh2@?ohbc% zw*df>x!RQl+nZ&iYgR+w)>U}3n$sj$R*OxJE_Cfe4Qige&5br1G_UC`J)B_zPrfo{ zi5IHM4L1@sulZ7XIJ*g+j4|e5F%DB=Lt0dC@e~L-TNb)@ps3<epLThSl}tiyjX=8u z&>E-7-~u7f8xYGY%JSglQti2y$ta$(B`MU{DM#VfH&j48s{G0e>gPDAq-nf#ooi%e zRx?y8G}o4d5-yu!HiliALjCL~nF~;^HxE(2%Y)}jQS+)PD1oviNmTY}o7RbZ*^)GB z?o_{Us}m&`hpN2dK?Ya5))-9I-GEU7G`>5{uL5||NMrr$wA3#-jDCVEJ<<$hYH##V zC1y2arP*^Yc_zopg9l5q=T#F?RAnZ2jCC$UpvoO6(|A<P6&v#6vulGvO$|pPO0~>d z0(E*?SIFFsf@w~UUPl_?o1dT!{A%}7T&c^_nT<^^-JleXlRBD{i`Owm>Si_H<TXzu zT#v`sjL`d^Nfbe9=6mT1j5{_R`_9~-{Jh)3O!MDNLCR$B8PC5$<+k@eW$f_$AsE*8 zGbD%BgJGNjxC>U-@si`2i-m;TcGkaRq1hxjnlA3aH4@BXfmn=iz9-=U90_K!aBPwo zO_%gg8DW>hi1_m$S_UvdYTGW(=DU#^mR*<zwQW0Rt|s89wcQ+<+P0^&!p?<;<q$?e zZQIdVVK-PKrw22uw(aWN>u-h7eL8ofw*A!Eu8DG#Uusw3gP$yhu1R*ZSlokQM3HHZ z$6pko3!AG}+je#i+R4_)>B3~GZQD8r?J{fR3}Kkm+`OF6ceXTgzF;=h+?<@xcU?7d zdND3)Zf?$myC{v2bQi<$sjd;ydE>XItmDOiikgq~HNv#JLB+a67Q*Vno~GP$?!~%z z7CP#}E~dP5ti`$n7G&ze9;TdgWRM&H=2xW2l$buI0m4Fsaf~!s5_^ORg0K){iX%-% z#dI*|5Ee2Ff27H*m^y|K!h(<KiZq!N)5W}juuxz$BTW{?Ko}5&g%A@NX)-Pb#&kkh zNH9dL&b8nZ!LZ}}&-6vyRnL+>J}#uZXyn$g?8R`YmBqJKijSH|c!-a<eykGKz)WY! z-g1^1ou=oVb1kMIu%I~hBYb;GG)@GlP`qgTs}Yjoauseu5t^%xsmq+AayA~FUgMdY zhisE!gf_O>dFGglmGLos8{3C89)#l;fC`$6#z)bo%;R}P7NDaSa?yh5JM`xLpvVVF zjm15`2>#ORlL#GBGBN={TLoY`fw?9K3neDF_?IX`LeS`^vKX|BCCYz&wE`g#RN6zl z>iv!-F~^95H0|=T*kiRgfqIw6n%4;a=7G7{ozx>S8mMj3%Jo!q`p@6RanJHzv|HAP zE}Z~DJc3@mmjg4~R?PKr$EQsLGe4~8>*L~16&+t}Sy9)=X@pQn`G-v7iSbllF-Z9b zWdOx^Vy}p#{DVyaVmxJ6ic<c80xvUeqfI64n*x>sm=t#C2hP4Jtu@8HKYixtel&C{ z#xrZ(6<4toy#$NcEgG0v&HZ7e8PgKMl+!Za)F1fG`dggtQr05u=VwMq`+@*y08`NJ z`M}Id?y!}nN{E=0%BkL(7*CsW6ca~~lTU`hNLU^dN3ei*hQUl;XB<`Y^Sb`KuEP#p z!F!lp$(Z@^tb^8oE`KJzUF1OEu#$OG+}NpuWAk=yiIt{#2)ESIf!?TCL9=om({^)p zb$_XI0<6no@7s=~(0oDG=Po6Ira088mSgj7?mH_@zYuw;rNg0bVg<#@sZ3tM0(Kb& z^Xvn0a?O-eu!$OCN&A}Vy2iK*|Cf%<zfZQs3O+7oFqJh+HT65Y|FP@R-YfhqnLVd3 z@k3_s1(wb0i`%~m5DH)t-L)PFoK%W!iktG+cNF=fw<1<hv6#zL6}ax1F}n1-FAlck zG7s~`C`tW*?Zxd%D$cWIeV)LrO{IT`WAjhk+5|L-N~H&uI6rOv9`N$$g0SdIE1T|X ztL(5Ni|m(2!NV5`W1|Z-&24W__)B}~n(eRtcsbns3FEoE(SMeIbKSdlW2Jh2rJ5Aq z%bX2!zbhD+o&0gM%I;Z4bg`sr$rvi7yd1JNwVZHc4Ze!h6tGg+h%NRr<k&j-;Vv1e zK$K|@am?c4u8w;;W^<9!S{e4YP(O{$>2QdSA;?Mla1VJ*{1Wty_@%azbsArW3nSky z8<`usmkP1Sw|so&UB#3PSba}F!nJiJHcUhcZdjSwS{2n=WqOk6R!2s2RO#GtsU4z! z6~#8TRJ|{@9{SnbTsFE~B&OEj#%m~^TR0Z#anxXWM!_wt1HSHM_Lx^?FgMpeytm+7 zcAeDI2TguS{b>G(3S^R={P$8}F8L)V8lCzxe|}W<Ma#c(?jy^+KmW=d59d7F1aReL z!!d?StE4m#Qucho<3#D-FsBW69e)4VLBq$dHUHR2C;O7$!H@5`!Kv}bM77l%RC}qY z3d%y?L#zfp8Tpd(F6@4@A*xl;cI-<+RDiaO5ixk~@?c*N8M)c6rKHNfBsl5KM((oR z-Jw*({1Kni<8NSKi4l+ANI?xQ%r%wL7xOPnX6j3P`oA!K#lZQmxUfkhV)vYXVcDCU z9#Xh4<L7Ld9$UCD*N?A1>;4N9^#jy?{x`EU%0}6LVKr0XQ<49|WEJb@+W&>=5gTp) z3!B^KbXE4j8rghTFqQJyrgw^F7LQo5=>lw=6{3{bq-x5TDH@y$MEuY#20Ppq>UF-% zH*B=uIB<At6&usI&FLhAi&6Hotw!Nux=+4a(c_es<L_3me*~-WsWeNW1dh3^Kt5U} zM*3UojijrIddR%7DBrbCu3V^c1=VI>w7O!^Rsoj8U`enh$5`6!C|~VKeJo+X5gRO_ z!4erYETO}Z$5=v*BU&6|^o%%Sh9$H(!mDS2`tm-#@2oepb*8#GDJ(SI$(4mgK+4!A zDGSAWgO|OqDa9?724x#fvEWF`(nh}90`I!~Bb(q|!GB~MybHz=y$$d#$v?6MuBhvQ z6d9Eq7f$Io6hl$t!O)N=I3g7c-4>KI6r6mZj69*vLZ)sd=1nxl4j5_snd!SOYB&lN zT?{bhWT5~~#gdrn)){vrd<B-YkGV&kd3BWs+eaox8v+}GhaPPz_A)5*;FuTvRl~;d zTOegn>*ll*QnN-wKgsLt<Q!?xQdrV685Zj3YNT^Qciw1|pTa!Z9~|>!ZQ7|ovj(D{ zBz$#of1=cS)bOWUSSXRJQU3{D!`G@|<#<;_9=FZOcC}tXHa24|EVR|dC<U7lW0UW~ zJV~bv5<A*{4k^e+mGop>UF?jN7H<kZL)zq<GEe$q=kaseDOFQOT|Y_Z@5Pg`QpYX9 zXP<5ILCllY*wKGZuP11pyvGi3ye;*pAlO<ziR|j){b;G<w&1gHn|x8`Nmgah(4Xxi zje_6@`bkHBFUUtre_=B!ZSq-|C+}kiSebTuqbXyfpY;6i#fy<rh7G}IwKn-A%##n5 zK`MLG>u}8)E&Zh7^OM<p1JQTSm*hIChA+u?jjt+o|7=UC6j&gaYh1!YE1itWj+<mB ztA-Ebw*0U~?B-P}u&7wB>BVj~CnK+o0FPvw{BfqqoC~X*jcKRnnkVUD)BI;ALGKM( zux$s}&E{m}hRqnN8eWWZeG@j_b#Y>zW6;8|{=%c!CclblZcg6GwfgS`WN>6@wBx$m zCcl7bvdL#?Nb~GuHtS;x<9f4u9LI1!-7bD;!D!h1dxj{gA3yO~znuf($6GgIl}%XI zrn_B>YZF!r30V1|c&ItC&GX<=Es|2B<7wI4iY6Dh$tjRBA-!bZ`TVBAeQ}%CSL~XW zYPeIiYt2{uv&uWetMzYxeCa4xL)^-vy8m$Vr!wX^+-Nr6UADN+Ko8<m#UJi|y4Ek+ z*JEOib3`<;3D7RGWkpwl)b52oW0ak(Fxs-nk|}_x-79YvZ1(!r>**(^=U-OsgSO0# zPFdXYeJ^4-{lmejkwKtrbW%PmqViiWudjk0|3@7kNfN8L6!6Bw_mGF{Mdik7Rjw^r z5uV!C+i>gEhh1&5z?ND<^Le;+!`GQQvJFs;9NB1ve3mXHfjq^jN00lVf&+Iw@Qih( z8a@nAp*T}qaf4Ukwc?#guIRw;;k5$Jngw*eet{1qUMT$4*yLZagzp7P@|TlroH?KV zP^tVHF5zuU&Uy!*xU2ntMmJFSR(>x-b@c|Vbrvl<Zq(PF%O5Lt=38#;@1X(LdY7r^ zxd_tpR<`!UJ>4T=&u9LpmxWgScEddUn|>kazEGeZV;DaBH-&a5U_S5#^S)pEG{A=N z1>rudJp*^P7q}nPE^vSID<iSE)fvf|;;&mP1^d8$pEKvRJ1=}+jQ?HufFD0t0=(9r z*>`>yO!KOZu6^SeoPS;tP&e@U15@X9Vy4oW565dwRynF7p2a}9<uitbv!0Hzw|k_v z?H5GFx0jjP;U|6&o>m?>aN8|Y^F;^%f67!M{!9Q!Zf5gEdUYP}&ZpKmmv41M;<lkA z<8Qr4utsjIkrits#2N*$#v`oJ9&20|k3Wk95S0%<Wj;v2zw=4uwaYhE+(;OK_(0#z zQ%}J7TaGs+A>S?wd2E9PFTgG8ozo;g-l`=T(T+#|1}~A?Le`nDTh|5wUA~p8dzMSL zumb6IE6fjIub6T0N3@Dqy!biE%Vo3>Tp~C2>@qzK7`OSPeYvigp*?db=x=C$4AwgI zeb3UG0&5k)T7$4wr+uuI0BgOl3+l)q5cfJWILqFh>Nt$7BC}oEFR)(z5oTIql5L=L zuI3lMcp&jt(yPT4Q1&i|nZLwP#w;lGIDFw6etqywuznfbo}crpPq{Ay*z!nXFkbEX zA{B}L+n0&Q=wMaQ^K*_qQRBT5=gRH8#>Y{#9ZZ{T?_rof1S6%-zZ~yQFR$*xx_mai z`8k#k{Pc8R>eXOrg*1Ogs<Gsd=Edt4uQdGy_8@0&=%=^>$1d*8V4fUg>4UaV!8bM+ z^*=sxJkc2VL;2aVboVy{%5uL2l1*#!{$@b^D(c0X+v~4mZ>~|RZ4Q4L=j1S3Gar^( z$6l76c-m~f{POkm<O*`kkt&kp8>HO(6?zKneFmP5;?+q<Culk9%y#qk3&L2m(s^Ml z;B@sq*(8ysR1bc0t-jhsk(^WyzFB){ZM=hd(GG1gVUfF1FIsDsGMTW@U7%M|XI3ov zyvSXym!@dPFd3GNR_5)$3k#9jyxETG{RvJt?K@2oX~CUHQ500tlGN#=Od1e?MS;^f zVfjfr_w*%$lCL(w{Q79s``GqVuYbaCobYHZR6lt~?w_!Q6Kwu<R10L?@4a5b3Hkp7 z{eK-BIN?5eFheWdvQI2!=eA(eL$r}#lQx=8ut^jBmOh6w_nNRlE<$=PhJq^|{Zw6x zr(%YB?3S?Xg9~pQh~wZf4ybWpivvz!SznpX7~Mpb5gfE*VQCo$!#J46!gU-rxg`M$ zS1ve*p^5veV*zaPf&*@m7Pq*B18W>~SIiJ>D*uCWEG**KB92+%m{s}A<5ga)E!YVM z0yubt17aM6;6Monmcp{-3ZpR;ZhD`dc4YsknECWe9w$v<rFTi_?c?ifWj(X!**_OK zmz0^lHiOZZxYI|=f!86ty{&2Jds?SzeV!>hN`g)5=)IyHvEJ5HbO`U|gT7YY+2z6= z;p9+0m`%!#n_!bZx(Rwp(R+Pc;J(sgj4sV`7Y=%GFoFZ@7NN0O#=$TSrg6}XgLxeE z<6s=uH-}>#IKZXY4B}uC2c0;W#X&C)Mse^32TM3xAC3*-U<wDg!EOFU{VR;&;42Om za4>*_37qX;;TsNSaDbbx4Q{$NxasEOrn_hM8N6zVgB(j7nB%|#2RMzz^7A9XJ%{hS z2N<RA1#}i)G-z${*$D%AS8l_j@Y#t1@2+sciSgNS-`I!4i2=W<>N!?g;rYM?;{9=W zKHgv6m0oy0V1dQ^UV8%mZ<2cAmEZ6t;BV4;vK8?E;|uO+xB!5iDv)*MGrSw%iC=$v zB@)g8sHCcAUulGI11c%%8CJ64miYhI!wxF(>LpjS;lg;8fcpC@CjZ^z69`uR&l?W; zR=DA0_+kY0oGW<nBYc0rfBp6OOmcVrp5y3e3k!cx3O#-?H3@srH4Qa*HSK?R?&AOD zl*a#Oattj7KaaAWVnq|qg69dS|L>mr;7L(Ww6X;+16GpOldk+%Pm-_%{<rr|(!gW! z#fa+fuB89>mpCloc|UI-1jrFC5O~|`@JHX6zjz@&7?bBZZXO$&iHTUy*z;(wlfhe{ z_Qr2N0Z!vTVf1EeuK`ZuJ)!k>YnK6-5<j8vHf}ctm=Zl9@dmZi12TvgNWB%>VZaRF z6J~G0c0OPR;0dd@L^}vrNALu9_rV(gBf%4ZcUU{+3IjX`-;=0baD^Yvf?v!7RJlWr zKSU_c^oc@VV1>6`0pLW;iuayk-yU9#M~OFtCl8R9vQ=HtXwL#T5xfU_TmLuru(D#V zN&d@?u#Z0GEvsD%uh;-?$j@xxFY*5JtlWS6^8da~^=$9S`VAmj?B2`&;S*0}?O}m) zySV}HjXKBv2<&~TCQcvuL`GgI!imR?{o&+J=GCDA0&m~Goz6<A!K*!_ur8vo{+`di z`A>E<!nS?i(>Oral%hYhx$2^IY5j+4OUwGDl9S$+%H-mt)#uMc6m|VY=!PeiGiD|E z0y6nxGWlN=D02S1c~U9<Q<B}MWYx>o(nRzsb@!C7|7GW4hS{}bzm45_naB-Ozm5I* zw#bb_|I06j`D!W;*4rXq_~*8LIrJJ?>r|AneO@G=(VwEmNjq=;UD+%>8^y80t~SK> zD4>*+9l?LAtdJeiLun(lNycl<Q9#xo;CQYlvYfQ0^yx)Ny1w1HzsPd>8g>X3k>$uW z8`$}j$PH<K-P7|7^H#q8wr_``X4jzfy}`pl^H!#QIoLU)Su07uoa4Es$j$wJIfwIg zvunR}ulB<e^H%o$Y1p}<$c;$<wBxz8$a2bB$fp+p>3dy=R_50%mz5r`235Z_5h*yK za{A1;h3%uu<M_~$={^6Gg*)kdB@7P2hUc5zeZv%u#S>2nX9;=xc0coB(nX`M)TARd zNFYX|F-ruacWGeu2vU;?4h<fy)U@clT11_ibeu*^bf4uYfSQ8OfPp`_FNWxqvv{<m zl!1aUGXWx;x9^*VzQw35bqfd)2Qiw8iG*+H(sW9V(wa!qX?P{x#ivdCjHr7couKhY z(m+?3nFyi6t39kyRN*ZD)><H`L!+qNnc!`3^4)jQ>~)AF&MiFzHEkLOVZgbijWFX6 z9*EI=T`CnlCSgD#%#4qC$4e?XT3{m0ps@?4>(J<|aAtiwmvpyXBf)Gmkh(>aTa}i+ z8bQLDt&ZU3N7;dB9~=uszknQnqSwV673MvZG%yg(CP!p|f7&6U%AHByT5~7mM8}wo z8d0}saWm2?JA=m6CgL>O&{ckR!r7ELHk_mPAjfd}LHJ-i_*jaT9*xNQbRI-=^-Y7Y z!kNK6?43qkRw=d~IasabOV!l4Wg<<iaRNT(Q5w54k!F;g<{PDgq%Oz&`tr*Oe5_2n z=ma{ldoD^7+O2V3?hJIVe1Ncoq)x{$eEsFh+t{lSRPKD+JuFgVS<(PaFB&;0rIi}H zSmJ7eA<!7tqH{!`OfYmBhFYm9(RrT{FwSfZ1S`a-Gba7DGhQ@W$^a&80Yv!lYC9mb zc}W!#yE1gV`PSZ%HBN}0>6!B8e4^@IeZ}ra``F`Qi^w6;3n;@sF^+&=5Iq)ts4PN? zVu3?%`BmRF38g4i{#eP-iQI-OQg1yq2==3R{ODn>XpE^T(2sj!6am>H{V)_UXPyeO zA~K2|abtiPX8?@(C%O;>C-fhLBCKofHeJw+NJUs!rW%F<ONBm~iu4t!5F5ph^fT}w zhXKX{6Jv;lEkiY#TP+R->?b52vLh_aQuVLM9*aJ_XOU`iMLSX*Vg0ETenI>}F(TW{ zNGp`QRP19H1HB0c5cQ}u2?Uymf^X3VFCh?H_-YilWH6<f!5kycBl4<C>w~Ett3RX= z5&9^G7o7JvG*%l$24}vFaxxI~V}DHh&`(sTU<eo-{+KPc4^<7%rU{-xJli7w;27aL zPt!=MT`Eu$5&R)yiO5`T2GgON?k4N#dzAhZ&ux@n+)XLme4b=rPS1AAAFsNWHnS$T zy?mFz(L><BDn<IfLYjm{Y@5@$FunL%eyDFw_D3iECT8v4=fAM@wy%e#=GRi`ZC!`c z=GV{DZ(bd8t+Ul{!l3!;H20&DkteS8CH+Q~HD*e{9DMV^HDw|+khiSY;g3a@ei~r* zZt|opnpc>t^w9&^bce~T9d=<d)G8;-BeW|dy}$42Bo8NxJ{kpKs71>glRMCAosy@l zVbI50c8R*Y=JfpicCZ7~-5&m=a>*1}9U8L5m8i>a&d0BVL5rYn^#muCbEoi`nVXz& z_E-y;6Y}>v!bni!J;5nEF8uwju-Qp`&TVz{A*8rmq(q1S-O3vh(~CAU7c-`I@JcLa zO@Y;-d5r-MS6ZjMDKIp8VJj@L1&l^R(ntFaOaF3i>!SrSMV9&wk(X+x4848g=0w8% zRfpm3oW0so=E1_k9}Zu+Q}=31WZA>s7_lc(@X!8$FgU^97)$m<CYJN2yeTh#+ed-^ z$Qu&Z`?R9Gw2{%lE2W$urKlSHREWf(L+kWz3SmWgeIv0$2iW9&@6+<~h(1E}ZQhWG zUOW>M=$i+!$qt>F175HqBfZ3U{@D)5uWGcU5OGgeV)@;aATYx-6LqT$nVQPj*NtK< zJCbkw1qb}kCkMA0%Q<uO(8QTLjB;h$bUluV<<u!xJC1%<42grh<`4KUd$BzOoH-yg z9>jkh%ORXOy6DTSok!Sy$ye@_y@yh>RGuc&ov+=+dVkxa_ZG`5`vK@6-u`Zf<py)B z40eYjaC%0sCzh|n-GzD&#b<S~-c>c(c!yrdX@%0^i|O_wzakYIx%<lmGce%pA?JK2 z%qF_#D|s(vlL*3!{b^I++4{ZP4zXa9h~9<Mp6zeF-@c0JIA>Iu5C5eIxz>3K4FGE` zc7|JxeGw~B?(%(D%@w5(&iUaeLZ!N=<SD?4^SA*7qzCvWjW9A0rg4mfM6_b=nw$e# z^f!cKS5p~Bh$F<zQ>}vlp;{Yg&_N%?2G2w63%u|NT!r6v5+Sl>`l)n?y3x_V<b>=) zbws6Q>W45Q-*+Pd5f6tXsH#ZyUb{!tPxfJ7|8W0U%Zh=DFvbyfpR%-uNde$4eVs*? zWhmZ=%0HnSxXbuYARht#6CMHC=>7==BV7N4C?FfdKjGGh-ammDxJy%K@%?InbLo`& zes>x&r#YzS)o_={na1fafz{vL$}j%l?+ZWrW;ou4mXu*xzH5AH3qiSa`QaVM*BU-x zOS#zpm4eX6M5E|cWHRVl3MvdMZk5g!1}e{qPsV)62FF;B$|6m^p*9OQm^|LOg5GCq z$FPs4mzorsrhrG4=Wb2L1j;1QEh2XHD|!mMQV?#KO(ebfgc;N~x>m7<yNp>kW@wbC z#Duprsc?hN!_5`sFY}&`tzZyR(Suy#2SquP845o`auqsrcsy|hB{OTpq&hc2g`brH z3!ND~pspbH$$UDGf(md&Psv5nYK^lxRQRy0wa}T?L){ewoXnxC(#%P)Fwi>T25md{ z8wit@u@?sN%{foRaHCiREkN_J76wLsT%G-+#RwBR!(6RV$vN$bm{k<MpmmHabqyjy zn+p`{JpWi&8&z8vC^0885d%e02xdRBjIc1!tl<Y$JKH}N?n9XrIx}B=oQ`>h;uExf zd)?&*3YvUHr;FGnt0?`Dor3Veyg|~Z85u&QV`Rl@5HYR~W7Z3HwJS<d%t;743>Zm2 zX{-*t&*-7+3euf~(@`KOz!jwxTS<s83<Z+j&xj2=5i844gUCba#x#zmW8eNC%YY38 zaH|rDQWVUKuVt)3B%!op=0=OT!}{LueVJfh)hkW>*{&Y^{%5{;f4Sg^$rlPSP>g;S zqRM!}s0e((WO!T~GlwD<RB?)#u0VVYenn>^4hkr9{@9nis#Cn-KwmMDQg{|4sAvXq zGk!;xk%?$A#u(@)tx6gent@`3^kak}qf<h`$P%zmnxI7z_Z`-sCiGg*;=$RLz0`Fm zt>kUUSRAjteQrtnwtXe>94`{QM2fso+4pumyv3XLgu?!Ph3%6-W=H>}=LMhl^q#E+ z(4P?6*^>vy+d9T}J1}fR5YH#<kJ%?eR+SyA2Ig#&q8eAGIw(KYuzHF{DW!H|$cu}_ zMWbCvAhGrq<l~4;hA$ZL;y2==aF;O1kzF?N_(CSXT{hYH`%K4fY2bKyrsEfB+Tw%E z;%;fmaWly4Od|0UJJ);lm9m-N$Iz=qR}xY2K8;&VHq#9o*M{%fXeqb#27P_923}A( zN-FK~JWUwMz390&q8a?t^R=WB#{x3Jhhd|DPqFSvz^p{qY`M&^l8WL(JXe~>DfHta znT}tj*~gDFyX=@_U8u(a#VU~9D&8&16O6tst<|C8G+RcZdCu==_Ew9=tBwgj66AXa z8sD&o4o@%b4kR@C{gM78+0(}<{Kf?g(Xq8)9e<k1(22QK{6<1F!UYI9vd^X(zn}T5 zOPXZ-N#?I_(n`fIf2zCwazOO=zRNg@y3r8U*DkgEH84a{%6XG?(fB5|==$kxQxa3h zbvpMDTA{v21GVI4LPlU`Prr|?Br`gGqbDMz68XT`_+Zx5BcxrV_Srpr27BXM&Zdkd zRz5a&Beo>mjT;B6R+5^wY##6>lAFCZGJh;a-G&CdBouNyu@~`erSAM8a;mX#)0!aj z`{k)c`e!$D$K1L+zID-%_qu_scPqC9Kjd^OxNDNykeuNE?PK`7=W_q$*+i+OT3t+N zc;xZ;aNgVtU8;(O(S6#o#V15J4@x@js<9BvtxV-o@&G;PoC_=i6yw^3X`EBAguJ`| zwsSR(;A21^L_HTb_hmm+;Cc9M>qUKp*OFicE0owG0dry_{&l~7@5Eh+;O%;2YY*U4 zw=iAU8WEm_@Q6j-?`0-{bFSL<Lh$_`qH5cO7JIGZax3iLS>Oq1#O6gW-wS-|Nm(mZ zJFHUr87l~4g&IjKRfPyI0D^A(B*p5Ch||@pF^uL7R-i227I=UawwxQo&?L(Mja<mI zz6s7-y?J5qRSsalhqZvP9VqwUSxGW%hw(rulpou%!6cQOYJjV_Y9_4W!dxA{-F>}J zp=PugM^nVu3ViFDnZ)%7*Wsf1dKEXmg(JaTUhIUF-84g=;w*}eg#x)a%XHjt0-O-+ zs3D+<vv_Ix;Nix{mwNUCmz5_~Aftkt*hi+12c+0}4CfdyC19-+J#pXaaaMlE<4)Y_ zx7fbG4~t*Jda{|9;Wkejur<e-!GJG=N^AYL`2}}-njp&N%p(sC#=pKCT_UXMZEC-M zEN9r|HmcSFirv5EoS>=rR>#(~N<K>Y7b|<`Z_{wf?8ZiU|29(Jo{7l>+dpM$QMy)E z<RPkrichz;u<va28>PsySUg4k5eY+6Rf3IrwQg(!!3asXUS{LP12q9cMVGCuuQN@e zBi7gkc*{KAZifZqymb=$(%#Bwo3O(kVkeYKnDCJjpF_z)K(OdB6;l=AC3Q&l`lVw} zppcn#`^5LFhh0iv5C+ARFL@m9(}*y;ZSA*m{di+LDsLF|hlFVuKej7Ju{oe8ki|^; z%f$DpsJN(b5UnoTlCVQFsYtfN)_xw>53ud11GfDZ(;hH3I7_iPq$iNv?6?ux(ex%R z0}g7zw#zu&#~0bM-`W@B`axl9U}G2+K*>Y}h+Pu*3oJ=wI;ktoM|LPh4rsN3ys{OW z)qCQ8TTU!beBV`f)L2H;LD=$WBn!?MD5U)Yn-ZBC>YNji9rKX`&MlzVx@^}LJ#izJ z(%&Y&dpvYBT0(rpu8xd@=BjA${KWSPb;sjHgdJAmbI@E6W%k_KH{p`%^Blcp7}drq z$+OK6j}7flWYVp3#vnUvNV9JH#-xGsq}Xbx7m#|XOVsyh}gAU2E3Tc{-qelQG* z!z#c%ac?c9$5U&%xTHuuM@0;$nBNTa)PbsX%|lciG@C@T?fWb`5}Cf&I#&w14Zj&E ztpy!H%-yIZd1e^~<zN+IJ#p5S(vzt*!CX>LJw`Fon#i1}fx9(nqFVM2ji8=5NcCQ7 z!|pxoI!e1y7fIk*2{>qesBkCg^Ni*Yijq74D{qdf9`k-E!fT0L*4~+Z8)rHFjmZq{ z<M8e={)kZcP{=V0lx;)_&uV`|u8>(am7sBB)Jx0QUFOzt-lI0IVcW6?AO0v`P#|Ab z5QVGYnj~wP2`)womJ_vS?$U1XB!sC8JuQHINWe7#oEy}f?q5&@F^`aj4+Z;rJYgTv z4j=knajo`(BfmY#>mc-g?DC`W=Pr4h`ujDD{Ok*|M;e~^xZTfiF8)u#PJlw*Fy-?I zyr*#1QO_gD9wYwGx2Ks-**4^fJacY&o1wUZ$`Rx!8u(1(a!_hen5gGAoA|JF!X3^> zz9aX?9VFD=Z6pR2H(;X>9lx@ca1FcC!_r_}!dk;~c(Z2@d7|ZPpES|em+#i_zu$d* zBNpUWB}dK^VOOa3Cs>uVWhnt#^+(@xJhvrPvHoSTXxQSHD{9JqyAn4M|3_Epl#{W( zi8`qbkSyWU2JI|2&m;AucL&SY>PeLIu+;P9i)Sx=a;^u+eoJ3Sjc=4x#CF8K41DQG zPWgG|K<bL*s;J_(Zts{Ve|5@FNoCvb#2<bPQRD`xWVmcckb!FL1X#&mMUZLMejnM9 zpE2P??@Dg)>nzgG$bvnaNay+cJf>~u2^t0|YP#GTL@7(Y_js7w&O;h>zf03Khzh&s zh}FS5vhF^!#B<LPs^eRAplhHY{?z@o_L67bdnTm0`JRHeX2t>|@dz=Pjk1X<(jy34 z_!Rbb;yd-tW2AaFysn6Hkt7n#rpQH}q3COMhs$qcUmUtMqWd&;;^Xs9XQX;YoCGxL z9V`A?3u%)}MEq}^azl!cXtoUDtB2m+-C^?~evh`Bd){xGIZ5{GKRu5YQ4L>ns{SjI zo{v4kF$+`d*S9}Mil}xD0ju7Hjv<|Pg&zvI7M~8;oi~V3fgqi>RT8IZrU4L}phy`{ zMGeWODF^?G-W`jS*&6<8H(kf+?14&|EGxJFwxFZ!n2{Qn5&ok~=DTDoZ-*Uwe3H|M zeV1<dL$Sw#X_StJ<&o&W;^`b;4hzh}$k(J+qSVt~^Vk$VfInbYDpZ=G&9aOy7}F0w zq!Ek~Uwd5kZFKYSLo=kWF6&vQ@#@`^@ej=p`@TaIz6$wZ8u9K{B|qaee23O%#Z=zv zb1P&WOtrtClr_1bHaYuolQU(OOg4H=0R5LBJ%8}9+ANH}f7teXQ$#g>tpxp-E}g@v zio{A&yItmiSS^deb6tFMc2}e9$C8<AQUBE>`-eXt{T&glNU`_aVg1!5F_kAaBfBLb zzgQ&wOG@S0{Gc_VLG+R&--B~0Ws&=OvL<R{voKy)`4>#l4X5%O8HQvV_g3Zq5`CAb zSzmPX1wNl8B*!qq^>h<DzH>(XPX19qm61DH7JO*LKg#R%V?Vrb_E_EaecKk6nKPUl zxkXX&4r1<pu=Y7q8zYZ>g-YunPA;~jE3@{;3evHwMmpFI-I}{C!M)${!G<C+rlPDW ziPEzO$QB4!LEnva{f!NaW`G$F;ws^ZOf)gNE&0Iu{7F_7Ug;ct#)_?du8&zS8aJdU zIcTznN@7iX&JsuHRID)Qj;IL!!%ajQuJen^6&ZrUY+hpV$Cu*cue_h0CHIgza|?fn zE{F4@@v{H3FsCoaY4PS>yS`1yr9!54XuW|hAXMG?Za)3gosqhT$b&4~c)%jB^sC|P zVD=HwhXp%cov287E01*K@L@3J$e&g6UiB8>2aaz{$x*?fYN|0(yi_N_aL-uW??!kl zgOe8fmLFh|+NpGL<TH>V=pc_^wu+bKr=8~p4A@Z0C;zQuVI&{$lmECjs^Mb>@F$n_ z0#?z%Kcr#a#5NTH&TqwIKLu97);p@-ZNB)FW{X!=b4-RmG<C2>6T?r~-i8sKjC^g* zCfBbRPj9-bC6Q`8Bvp<m)aL3GFV1wNFER>&#@WUoO$<!@I6Lq9v!_%b-fwBeNbKB6 z(K={&B-dW`I{$LoX992!NU={d0Z70!*--!ojz=u>>W1`w-uiitZ<UvK*pTU!rZiaW z+dS=Ox}HBUmG-voL;k_Fpy)=N^>b~iGsrJf??K->p=6rhzvSYVk6fg~?)7x-#moO_ z|H3S_+%CKEiF`fQ{gR>ffvMG5Yfo*?Cy&<){$#Zk8S|~LJ+^<=?%B;-H0%=3)M|QO z-ma}kpZDyj4ft7`Z#ys4u<JBa3-!DttgT3#4}0U$zb2bu&+0HEE9Y*>Uq`r5oS!Ze zX7AlDic$}63=P81367qvHDlF@bA|jEDOmWmqE)nz&Q2`5R!i<Ve%@Kz*`!;-I-fr3 zaj`#B3-KIZ>#l|Q=deGl9mM|wi+2C}w4|L0d8Fu=Dc_j%!eop6c@Cv^ZAIbyu@l-O zp-K0Tz`RMHN3hOp?U?6yLtky0PmY*oZAJO~u@>4xzfm_|bl&7$W5{f6Nohc)hj2ok z>a+Rrjk~;c#+-7<jd!mGk#Zv4?E0?s!Fhgk?;Ych4MlFm`tu#mX+>VXUrYG>*C1W> z>tU4nHFZCS!#Tc4TmIUg&ws7cmpcxd%)=P__Z-gIMA~xK>OTK9NnieYm{XuAQ7d0u z;~iP^V+j5CP3gN>t_h!{qll>Ap^{C1dUuutszdSz{wE79z4><M)&J{(JW5FYTPXeO zZ+<Y%J4W0?GZWA~(~_Iz=QJZk5s$EA_Mkf7t4oXVLe+M1CM#p*Q{2;}%Htb&QArE& z%)K)u)tTlpI%Z+C)vM{~zuZ%-^7c7>`$&`V5!d+d0vY&%cbK+3J|uQXJ^8j%!RI?b z?o3cB_+db+?`p?FcFeliN5x2bXjrr2K%QqzI4C<U>VLK2W=<);uf7=H<jR9Fw)UuK zh;thU)bBS2KNMRm2sGhbH4lI5M97^-|Mq{fa5^wxSrfiCUHw-v-KPK0%`8l&zpdl2 z%q)zrzsmM}O{6VujS<v#HERy*U;xi+4Lk4%lU^1ufGzbA6cEfk*Cb30uf?!N2u{*P z_(3oNSjm{zqF<v4Cp|#OKrq6tSJg0Hi$M*gf+<zYhlqeo=SLG4PO<+7Yi|`6W!Q%8 z4&B{d($Xn8l%Rlsl!$<IcMsv<fRuoM(lLO9q=cY!gXADcN+XPP3?ccy^L>8DS{v&) z*3Q4<d(L@Z_x(KYj4+S0F={o$#WreIy=sK23uZc*1L{Pp;dN}|!>U)((dxsQPTGK~ z*J^khE3H|jOzk{O8u&IE-a-8{bne*$-IoWCF)R3~=B_@bPj;C+{Rp!YXAXc2=6qK& zsgpT8#pa1{qy2s+J_lLy7N@YQf7BTLefsFhdjj@swYo)}#<ak)w|H|eQub`>q(UF$ zdb4M1*5&FnW(799#hD9D*?U<Rc;O>w3vPtkEo8dUSUqu+9HdQgV@j>s82<AXbB;5` z-M)?n=uBqMR;d%xY0MERmB6%<c+{BrJ#EgIMVQbuJtEPYNW;*L|LUu|&Cem{C75jI z>V9Eq5ZRhJX&_x#`Z@bq;MW%9qb1lyNzbB&-XUvmI8aa=qss~D0g?k3uYJQ<_Jtwu zMPz3N0HFzpHnhkPkv(q)TF8!Ywjd9FS)kahysCNOH<6^XDT0dpZyxlgVruWNIbFS0 zCbhisW#Ub)5z=SniA*!iF1wUvagRo{E|GkcrLm}Vwmgt~+R9{62ms^LoVUUwk~QZn zPjg~~2PA6(x%m?{>8!J6T5_ld3bjQ>cPt*q6;0rzZ=MSC^d%$3wND^)DQjMS-o?Rf zCy%`Onm_(%UHbM>mfk`OnCJGCIYu}iPEUil@ev)6y>|O(RV|AerYQ~oHYzzT5iLJ2 z=0H_IY?(^X@~Wv~<nF`y@T(Pzo=cjY-kT1j>9wt*Z}rz(o$;KzH`Qax!(oG|DOKi` znMC-LsP3epZ_pJ3dSQ+&%zoKW;g!&&T%w?{F&uTe*M8kmpbu8fT*BC~8>%n=nC@$t z%d*dR2iaYW%M!dulz4&}upGZ1V+-=zF0_Rfr~jgG1R<9+96{P5EnK7^#6&zPC;&u2 zyT8rSTNC%DV3-c?MyPE&kr<`6B|?fahm5i~cc{sHcJElHIsLqMj#+q<h{9;K+_kvI z+s%gIJ{_ygOui7*-n%$eULU#mq8Ocj#0+nLnh%P~xuJ0q%yNLJOz3D5-eo#K0$fVW z0Vw%<GbPz1StRp=nM^Kp>^E>DzQJQ--+H}Io-xWaZQaGoi*ub=d51pSd=Rqd6d%Ss z;ApqjuDseldveK-_$*tu?7OA^x5%c9*<Nz1?kl~3rn;{%8-?2M7^g9NF)^dWn9UbT z_umXrOymp~0x62oZ=qVmAGiu1q-*r*Kj6&r{R{tZ6H$DDkGka6F~)zJXeogi)6ECW z0?`I^@<vMe<9U(RV*iC+>-v7oiQVt6-l1ioO8JVxQi)_A#<%KuYkH3gj{i!Ah(pR0 zHV!lG_!fS**NbILu1@xiP59L!`z^v<ewi^`<IRM#Ng?lN(fpXnOJek<9VPwaI<8G3 z6TcaW`m$BfpQYieb~&H=DZ*i*^<8+nk73bB;a`p$;YDo{v3S}@RLgty1d({!H>egr zGO4fkpHu%hcq;5-XC}$@ak*Gjs`CEpJJtCYv63a%?B@6FBnQUufPa-q4v_ZSbPHk^ zJ_ulSW5ieY-F=CDzx6SDmMtEZ!)hSfi?-8R3XzZ2!dAZ?GDbKhvb<f2nju6dKZ~?J z0g{1Cbi~v#o3mZ|HbI}jS0kZL^ufd;R8Gq6tETEw8K9Z3O1(tg{=OCU)=@kNnN1VN zK0;l%=V+D5vjPIY$zR*|(bwT$+atggmHX{y=4VwZWQcEtgbk1<+cX)#n&(F(0eziy zA_#Ziib>m15yZ@<iTtw@BEO!n()Ipg+5N8(bylM{*8++19_&7ue<-Z_y$49tJtP#@ z;?c!H+Y-%C)&Uk7^59Wa%=p^ZbzDv>#`=eA%u24<_K@iqH?u9T3+5<aNzK*Aq>KIC z-;6|O9yis#WZp=&TkL=S`-^U#%Dz$jG#m5A6Zc0KFf3I5?WLabO=%62IYXP+UX(ML zPK)(C&g7GffKExA$;OPvt_G=+DpB`XXCj?!+j;6qo($>k296RE(W+=?79CgH=Twv1 z876%Vge4zDtDw%oI(O%lhpCq%Z~oqfJKPzM-=C;<qG?k#E|lQ#o9U}qI$Nv_GIm-2 zmEFJgOv33;Wq?>9;#IwpiN%7&v8M3W`#FZ>>CC32N4B=n4NopE7WHJgH}zy!i#%F9 zXoX&)mT$U$wq2z-ohms0Iy%4WIc?Bceri$bJ(7>WGn{dzIVr7lHJ<k!_@d)0?~fcH zT%YckwlNCMZT5p;D6}=$e@dq?BigMAVu%gUtwoyNudy)OUA9;it+BALl-Y)G?fl<( z3Wlwp(@x&)8~+fO?+J6BB6e78e--TJ=uEiQk>AC3NBs2QxVv*X@UPyh$pOd@`cx;1 z)PBy`ofm;O?@AtgK2m?KDZKt@eUMwxneCf#+WWZ0I3}{)gPTCe%exgi)>$gGl6AQp zUhy$eg2vo8?onI|PrymXP2sB#<QV)!4`i-is+*ER#yXOl_YYfSHX8XqA7IQjY1u6= zB6zRAOyx!{w+T1tMup*Z$`1A<hj~Y?rA_G)Woqzt_wCUWG9Q#o?crMFPGLo|#Usx; z8)@KzEOWRKEmOF&XX<uLy?>wP_cYceyOY!ESVXw%WxMwmHHOm?K0r2+e(^H=mg>}Y z-@$|&lh9`PSmxQSYg)(HanrL~p5dNJO}xoxTY|fqNn#%4NFsvlmp=oWMtj^Rf)yVX zn<7SfqRz3l)F(-K$j;v$oAP<~e>x0{d{J`Z(cEbl5b=E%Gj$G!r=Cd1fi7c27_o6A zH?lJ0oHjm0JE+ikj5YgmP9tq#M>@FmUg~n^aen>v2d$+DQC}C`x4~0EVwtnw{WU2f zKN;?5p>!MY;|wZK^g>s)B0)>OazF9(v8|7%csN{EzRWP=1><$^e!5lhNdirD%%05C zf+k9zRcraB=2Go@F(zSK-%jn^Yi1Rai{-?pDucx5hs?Mh+%RMmZ-X;bPH|%o?L>li zlb@_6v+ERWYjU;@_hf3Z5p*+`%k+&~9L`s?tRz%M$=!cBt@_hIdTx#kj$taH=^RKb z^5)NMJwt!^D|$gt&$C#Devj(!o-h9jCbwcuF#VLTrM7*OOR-eG7!*N%V^GCI@tSpa zaU_B>hV@h9fR{mFz&r-EMPGVqV&vBIF~?V=R)lzkrseAmZ_YIsxl39i*K<hKJB(y( zbZ@*n-SNN%4U_IxMq%OPj||=YFAPMQ_cVG7@HxH96;=IWW2xiVQ%dIr)zdTinBv$e z%jS92O*8l~G{_$r?a>uh==d?zplHJ@B9V+#7Ag~g>~ehSiqDJ-4{p!d<unV;cde~H zuA-*o7}b~Km|9X7Z*W*!)aa84F@mNX46t$z=kvqSk3y$dIUqkAHG-;1u|ZD<BvR#h zbC%oRRqe2LH(_Doe^OBqhIBf{_Xgi@B8O^`P-`3$3#MG=utSp>1=Y7QwDPI2!B5vC zk=Rs!WN0z@K8dONrNy50XvmU7ALY^|AqCHv&kOM}Wj}5BHEb$FT`J%0nEoYUj-r-1 zAu+iWt0_u!r!K_Hz&|0yUNrE;8SPOTRln?q>@Lp8e+1q-qGeqmCX2R~dUwqHiE>#M zy-p@X)|T=D8&3vy*7D6T`diOTznaE~2UX#p%)75*>iT`_6Bv)*Xafg=PUcgsOFyfv z&EfRbTXnVuc*IbYCMU;=)`T&)Q$#s2ROF`B8g{n^_~XYE-FEMTHopw?XnJp!VLAPE zrgXPSq679sZsgb}*d%MGp}ful){C9!{ye{1yVaa|)9rBP@zOG+U%0rLF>to~3D(II z%N%8Xb^m9-QAByT&1=FGg;l#-q6eXv*`~1~6TD~!lRx}o7-}a_=lR^Xbv1uYRep`- zX3AD0zb?PO6^nxXXWEou0@EL!>`(0V^hDzEwg0b6U6QTwnQqO{<HyDU?#6Qr#`eGK z1Q@@{E(X)kf0a;Zbx|O%v$|slV#!>&u@HIe#dFqeyA)BDu^m|!JO0)E6HBaScQG*} z?ztzAgtTalqsuEDO;yqOT*XcQ&JRhEWztkX8!aF36I6d3c=^%W3L5fzC8<WDr!)lX zmmuB*M1r}Ex3kTMyzS4=p3)YyGk8_Qs<cS6V)-Cu>3Dl}G8be21I!6(N~U>$?^VOB zj@1T{g@gce*cmr|_DsAaG6y6KEnWX3*8pjbi5{+B!<x9n3=C>s-1y0LmyH?9?dNU) zIlZi6!U9merwwv5O#)p)`ABwG%y?Rmm34r*(9R#aMCtV2v}U=P76FQQ7y@*-f=H;H z-l7#Ff<2-iJ>&qycpo8KW7*+P1Lwd@INv@sH^pQWKwzU44!j8`3;A=WNf=L32mZ*i zEqq5D+=G*~i&i+2s&Ia^q6Bl{WNo7ruH<95Gg@(ii*T~`0i{~{BIqqxq7~Q=4z!<8 za<XD(C6CN02VCC@A=wXx_7h1m83aqwA+RGh*~{UZpe#Pb3-u3EZs1hxtmv}1EF7mg z@Ck*nu>^)Lg%d{MwhJ!&6Q+>5k)bZ0q%K?|#-+j;T0+!7Z@?l&gCLGbV=pf)0cG(c zo~n~f*@5e^pT8+9$ijAN1P@b4J*C1JrEtP2<Z;1-f5WU&pE63olhlJ7$7EG_&u&vA zS=5E5o`H$5pC^<RGh#UvfL+PIJT1ZyqI41}oOYpx_hDA4O+8G&ZcvAx#>|&fK+^~t zFbv`tNu4smOl^k`L~VaMeEaeH@Q<td6PXJFE2kX7bPN|AClJnE%;YT(?ANF0AV$)o zl;sQV`EfIi=@8$!N{jh!&rs^BO6gP!i9|V(%Y=@?4^j?wJN?e3-<B_gpPLEtT+PcK zN}ez&KNQrqu4C%YEd8{6A^F@agy*WiGUW30vU>FAI#QjpDl@DvvCHalpX(BJ0wyb? z=Vv_&A3ihV<!P~>e(1g-LvgRNQX)0Xar#l%l4s$gXJ*_yEjH6S?ns$r?#fE>R0}EB zHKnaB10MZ{20V-x!S4ywsqG8f^EEROCYfdht$6@})eU&IFaMPi|B1ZQ6F#aKz9DsY z<s|Wlm_nVY<9|Z;f8y|;@c$=_PW}nwe?nm$SeV@4eXKw@t34)#MrPUWKk@i~0{Kr6 z{u4p-<3&2`g?v=MZxn{G3mt@SqCyDueIv43P;}MIa5@~wL~)0g9;OS2K2iE@_XiwQ z3RvVDif*3(EQI6o=ZDo-ai}FN(jHyIMa6+@z5;#J@M+910e?h(twSwokjCg5_S8eT zG<rBO!WFY^6X>Ia&J#{e1H+RrU3m57fe;N|!y}{f>=C+s3UD^gyj#0hAIP1;DgJM_ zBC%5i*nE_(nh)-d=`LaQH5%kj=hX7In+Bsn4bHMjbj}XX#oUv$`q~W*AZQp4U1bF| zn8O!i<jPc`XZQ_x2E4}P2+N2w&h0`%&^#|fNquqZ26{%+z-B=9fenEXLCU^eypQFl z4~NA-Dng;81lV^Ey4pQH(9K$Rk#g;9nFK>wD0cDdZaqE_@0PvPOeXQF_|=(65I{V~ z-qcJt>)n-D^a<MTO2KB#Y$CX`PO?&0DM)$D;Yhl>rhUl$jbrWP`8Hd3QhX0Y=2cv6 z)y45w-ut&7njOn6Fpo;E;x>=Sr%!N#Wdqo@gS%|WtgEg$DotPVE%@?DM_2S^E+2It z{GwiDY5CR2Ta+E^dSlIOdf!e_VNvJB4q2Ha0Zo&wTZf*^bYQXCq7hw(k~*sB&}z94 zBFjPMc-)TMSdEL?%p)!d@k!=?(o#4U!qH;t)#yFX^z*r2yf^vOfc($)^VuyN?EB3c z7X-B8H8Vplmkk<C<Q=-Io3?dZVe^IN&<~C8{$^Gd>zVtVOc+tsfl|MmJI8yl4nhdb zh*M9G0Tv#_#W#ER9rvO^Lk7<dE9yQ=DhUek(u24JX7^J`&>7l6Tq3iW@3>b$hRTj6 zau@H6S^(XEHR{Q6mHb@*SXYK+Pc4f!x-11)YZAdjT~-Hx;RGplSsWS=q|``;{6-{C z5euKbF&YX0kU~Qt0RCuz13&^me^D*3z7QI$<JI8vO70U08uI#ZN8pZ_U;%Kje<%P6 zXh54N08Y^+77b_<0RRcwBmyx07uXx|9yo##*yOo1V+f`c`j+^lE3sX6CutYS8?bO( z)&O`30Hmu_s9D7ck8o-VfCw~<0DyspUjQ_rZ$ksv*(LfmhyYkp2X>YYEVdKfp9dBJ zo}qKxMm8Lm-;=Z!R_KX+K${Y}e;$A(C%ibDplq5R)3EvG5Ege;j;q-3^A`=pGemo8 z99Nm&El->)YIx`4RL_V~znnS~ea4$lP(2e&{c_^$`dMB&Eo!81yO=t^k+{WL@Y&!m zo$0R9s^02ZLRxXMRDa-Qt0iiD-6yoSF-dFd==PUzYOYkM{|PdMQ=cxskzO8iTR`6w z4WMlveHj22u(pNu0|77uosySD>zTjZ1D#Trl>*?7(I$-8QF0e+$1Q6Dw}s8yxM7tg zfx|-QZ9-}Ets+*G8|clkLexIXg!bEn;yhFCy8QdLZ<cU2`*qtN3EK7H&P$@{Wykyd zX`M@yyF!v{Z;0ZL+;=5u>p1wBIPBzCoz$Y-_=fu9Ktk2@$g5MY7BB4j{fEdoQ_J?@ z$Fj#7)^nEX!?bOEM5RNN-~i>EEpO!m>;<QO^<%7NJ_L^hj}IF>347kH1o;~aRSPyB zp>Au1-f|#JBs4_G;I9Z9Ms+5%aZw@>joJK=Zx~^m2vP}+150o|VM9Mq!9-<(T}P?g zLO{9XPPv(d=|qS}5!2ao@2tTGm%*N^52;wBdEthbe?l@0e}nv<vxkxSe%ghO;eR}^ zPI-*&XMz?L*x)VfALpV;L%7IR@FnY}p&5n+xz=*e^<xZ(9*`g^yANHW1B9x81e^?r ze*Qd!jZ}-6UMNdQPMj+aXZ>;n(r}Lq^dW$c_fhzPHFNC9wG>~IB_4vV5p-+T6W3R& z(01yW&1E`l)CbuMmlG2@kl!OXiS#?Wjgg7mllQVGhMR#Cu#-Aj%y_Xm`BQxRReUup zh5mc25jHxc1c&sgF?{_SE1)E?*cd<%{u7=56ZrpxIR>jOB}HGxF58M24~4<o?=t8i zoN;1vBAvE6oQ3qMp4dTeAE{H@)_Fn)GSt<@c&`2@0{;msvi}aVtNAzmjQF2O`X>VZ zmuUK*Ao(ZK|0hWQiPHax|ILX0CmR1Z<MF>`7jXXV!~K8zAo`ccMz=V<{nHgjgP<`W z4#{HuH4UvMl<Y*Uv|}Q(z(qP_9)jQ*97b1&mlZDR3wRw6+F%)MMo&Osq7uMVfFJ@d z6G~11E%?Y1a4H~t!A;m%ZV<0*jLRo*%M!dMe)s_nWD!V!ka!W0Y}Q{q&~WOqq(5Em z#K=Z)m@b(I7g(9#<FKjWsRBG7=g04gZ!Y*qx(L6&E(Lj5s0wg%=ocdr&^JuxKgT~G z|HRv%)k?3KE@x#=LRSp@F@n)ORpnTNyzhjq__xi#;Xv;;+y#peADGH(Or-x7NctN{ zVc?*X+2t5~xqvv;|B2B@2qZ*|N%XbYE!rU!<<K>38?hH$jlU-`SbJ#VIr_YWfS3FQ zg#qzQDW<|3LC(0<=fa(~UxAmrS`Rmf*i@am=ZhP3z)M42>_tBC*QGj!?~Q9FC}}k= z#^!4p=|A!5pHO1`H!KA`enRp@D1`VOm&YmfiI5-$UmJLGv}xA>%z&L`-EQB9(MKdX z89L00@!kM#wrOrctj`nSknK6w0~IHd90(nb!yMv7yZ}bvzoT@@`<q6C@!lBzchmfg z9qxvyE45tL4W-1k@%G}PeclI3g2gvMB7%7ZL6505Uvl^Ww<v+Z%1M_5=J2V&4vKl9 z9zSYs!Jr@DeW<ZnRgKLT4Zi=`V`HREOyRRIYiR6W3o>}l8ou17$0Le-Df~Lj1`oXE z?=+&Ugkn%aLL+jf5B_}=URg#Y92M4y(E4H*LL;iA!=Md(#GbOipyC-}?P}b4s{?{V z;@nQSw-u{&{m2E`ho>kyvSz^5E2_$|iEkDo^-gm&Pio!Cn^89Pqu;Lqf5_l|=3LHq zMOCqQ{yNAhTurzjW0ZPMpF-cdAftzxpbWn}M^!AHpVEM$!Uw-kp=2;IPWFQZ-=>5H zsnJK%Sk+o6zfU2jB{zPbY6*T3XX+3Sb$kXVoj_e#rK%W=zYfk>@l;hTm7n_T$rR-{ zU%Y-zfVsbhg!%_FK50=6cK{yoNsDXPriVXdh_azFZ1DNxNG(F0oypl(oNs_zFxySN zXK~3;Ocz(BGtpI?pUg$QkWpBqtz0BqFgr$_`{I%zD$)ke&@TY!6Qp*{C`<ureyX); z`~tRBbxVEPC}~FIH4kKd!e>*Z?UzDL)yH;<D$A}Z!NWi=_cwz!PnF!vu`lQ=gE>&w z#n9^L)>#|!IuadearllcSz|_&8&_%qA+mWcA%U1<q1UbmYyQ&98m2ZVEisn?ZB*~% zBuS#F6Vj2EhF4L3cJ5h^bQ4TXAaWwYsa!h@;#lanTgRGzj?p5gpfcDfF&7DKe9<XR zrbk`Jq9ZK^ucH6F*V7Z_Mv_WG<`j>+wVOy@_eBTy-pA5#&DQ!?Q6aPUdRtV#%U{uf zYw*>V=9ICv?~w;P-bK2HMGVScNlS;~a31Wk9mNu%iz|k-F|G9-1D3StqWrEs1@O?* zS|lF4ksC&ZAi_)zJZpkx5dzs|kP8nmXc;v1g8g{xmJtz;F8YIouz`85P)kanvj)?J zAIMl?pz6>A81x0vV<~~NIuJDlPU`5zfO9%}048us2kaJbMhB+nW4Q1Fov#S_G|)wC z{Sb7g6;uv=4|mv8255^1A49hcK%3E)4P#pX-GX114J>wp0pCX#ub>cg@xWT71ib}{ z78H7aM6<={@%aP7is-q_XA1;C$gy_$2{2a)b`yYZb>3~F0yd~;-I0qPDE!|LZ|%AX zIuv06L5I9|f1pE2*6DfZ`P5f?==of;p6K~({hk$Qi+{Ce_r)!AhSa7bw=yl^U>@U| z5dC~#9SsY<I~(gi<>Op8^>9kQUnETuE|s=wTJ+&F;hHy;yHm5@MB)H$*e@^$?cq^v zjQBprSi!DHT7W<IkVoFJ5)&`lFw%`GHCM(&g_*Ppf6jrY<z?k-^2B<AIa;0;r^?sQ zm=h@Ks&vlsY6*X=4!(WoZd-SrU`*a?z{s&MX2(Wf!H%ox7U_nU8U}<xiS`6@j6Cx0 zm6*zjt^{+>dE{Z^O%3#aBllyx49Gk;XzX>n3*ClH4fO2#Mh16kd&W&idaVj*B$}@N z#%0p&*<>kw8AZFc7yW<3EAneHf+wo$8H~)YL;G}o4ZG*;%KSG-nd9w31^2{a4t^_f z^AIe9bq!@*2Pe5geo=-5Crn;Lz{><15{bt<pha>#6ywic>LUzL<%K@I&3s#laA zGA-`AC_n5FmT&KCFn(P3rpQuLqobSAui`YOKzp+0GvlHQ&U_;8^b;ex1L$su_wDlf zP9)L#hesc{BZy~Q<~R}?d#=fa=js-E1anv%Z2F~8pJ+n%qz`(G0dPtRX7f_~5Vi(w z8NKpi2m@x>E=6l24FwUFCrY7FN?+ER&a%!|wg1y3anM7Dd@6_tKT%o{5S7P~d$|-n zj`;fw4s&>o{kA;%6?vQPWmBCXeLUG6pTM3PtGit{e)vV>takoGVVw2b)qLz9rO6*V z&DpQ(!IzRgf`?-GcbwrnpEa2>*{na&h5VTuELG}#%GPr}|7YUiCf>7cocZ4XTmf*& z0Z$k?|2##6vIR3`($!88`N_i*bm+avu52$Dwo^sQUuGyVlZV_;BOdY)??>BWUp@fp zUIh4fIG5aLx`$s%g`9y(i+A0yGYgXcViFbaa^_&BbS(2UV!){;U6qXpuuj&Y$anBc z!<S!MdGc1+b;X7vk&aL4VY<|z27x0rpDo}9K=zb;h5%?{*wga)q5))2!KV#CGzNc! z5&8<Ur{Qx201v~Siq9MkAa4Bk<VC~t;ov_wkJ$iF0^VL<->E|SCbVdpi0ooj)98J- zAVY`UtB@fiNy5+L$D8qODOFsbQ%1|IVl9LRG`_UbDeh}T`Swnwg@Ekoczv;#BS_bB z0%7-~-~I0N73OL`K5UL=qs<*4Tc6k_V5r_S)*f^z*d^eA`OpaS*!3wS^R3c6wf_cR z-_CkQwL*TS&O-cWth_?iJ0lD+9qqTZMpGc$op|J-6)0>;8&|YTpRL;Ldz7Njyguy; zxNvUjfjKd0bTD1j`!#=KBtUek-YMpe9E;yBzUlAU56m+D$c@h@=u;}0r9~5AU%1Dw zC?LnH>J4SWEz{CJAh5bq{B`?KBf#H)kF@S&HZd+|`?da|V+bqFx2fQQmGJ;Pft0BS z0Gwe8_*B%ZcIEFqG1@S2j9jBP+*Q?3@_){k=-b0a^Y<q|I*lbjSQZ$ycF)jFU4z5_ zDc@P0)q}{m?(InZUE8>xGQPrqcnuYSx}Q0T@WPCFZt5?YYkUHa%W_pGGWZh<3g6Ci zP*-KF$qEcr?5QSqQ}e3IIm}BJIE_>Dim~_lax6vMd<o(@7o`e_)tteaiCSYfyx#{Y zc0o)D2CoFkM_y`}3^c#K3|fdP#4(I~-E29>LoFhvF%7RaZ6wstF2Tq1Z>!Vbvvi1i zp<+?vMjL0Ev6crEr3o}#OSA~LA@)W3twYr3xIj#WZ(dYmA1F=}^||UKGf$lk$rU&a zQ~yU1YRH!yVxv>7tNxkYOh6G*P&Po_jxG{tgq0kkS2E#aJjD3|Y{QI;dR$CHM}J1J zBC3$X5F6HP8LY{d=NRV)Y@?Dg?x79^dc@-br*3L*v?7nA%jhNm_VZ0&(moCasQXlL z4m!ykWjh}v3LAtS<4O983d`h#s0A}PZS`jqN+WH!4eeAlyicq3D{bONR4in2spA4O zIEe(%|C?ED7C*JX<4^0VwQu<AaQtrqboi#lH82H+%8OKM6M?gm!4npWIBuO}#j>5j z9@X0S{65(JEWuiQX<`~<>?844th-+^L`C)u%BBM1*M7cddS8<Ai<|mdWlPL>x+AiX z)9TkyQAOn|LJjwTw|KfAPRR<KMiOh$E-9*z#;|pL!gyK}cuB*HKYprHqK-S$IeHHO zc^s*Z!IF{L0g;Ag0BeO}^AJRjPp;Nh!M(gPUUedqUm!zZ=e53(O<Y{bkemqhhs?s1 zPjzDi8Z-eVt_saVa)Q+3pKXn#ji(t3%7zkar-210RSgpOr-S`I_&??=m*|5eRo5-i z#4+wF9A^paeAJ(^8<<@Ic0*85)|Z$(Ze%tPwPsfG6%CQEMRd}Zjm$KoUcYkNmoc8E zC|F_3#spTrPklP~#SMiN*m<Xan53&>XwO1Tcw5VFS6+#w+NCT_mLcHUfv#8^+V4@J zD>mhoZK@NI7Q;Tk`e*okL^`$IMOcd-l=+mmFIWk;*}n_`Pn#h%$u_7SSZ_v@49_1r z$A$huh{FM>M|C2OpOs+yur@jpsP-95r~^w{_9y_)#5Pp_kg)_?Agkg=bt0LcRZT<t ziymJP$%(AMe0iH{mutq;G9>&AAE(CpaZzFFybyKk8-7@vuuHnWk#!ue{)Fxxden<J z3=Og`YJBD58fFR)J-=A+m5cSIeu`rsp<Up)_cL=tyfTj{wZD;&pvHD+)!?$_CoRXP z@Apg(`LKSu)W17?zA13_DinWl`;jC=xU8<_T~=@(@~4K`!R3P`YH6zV=!v|UqH~4u zGlg-cP7jOH4&6LonWFR1{3^9eCDKvFKdahI7kyc&+_U651a73Kr#@tDaD7upmq9k+ z!k$q7NWPc3%!DT&n7TuMm*@{AYjyI-_T*Dr{VrPwj!o3I_PfoVxe?iGRZUN271>f= zxM3|7+S~70Ja`-XLwvJ(0`vJYg9Urd$+0H^j&IV*cE{af>yzwdpPUiW$gmDBS(QHx zoV2Y0j`XF?PuRA`gTKq&dP2S*%jJeI^zfxlhaK!(PiIg%M}}RdcE7sGhT3N4&g4qI zhJMi&6n~awFvxWG=+va=&f?T0b=lOj?Lzsd`S^0%5`?1)QZeCK(Uf(ocWP3<Y+?kF z>kxXO?NA#0{B(L*Y%|=DUpf5zX`Vw_$I_T*{9U@k#~f#mQpQL8?Q>Rt0*FuKjFH!T za&yaKGIw{1Ni#XyC|RG?^$Xuu`y5+lm*1XUf4d7#Zyl3pAo}UxoL<i;7Rc{doLe4Q zmUlNk_HFYOWUM%MzGI6{TmDP<_<rmYx~*z&BQ9@)19jU2$_GROANI$rTAqHo3oJgK z31%(M-3nj6T43L-7I98$>6i~B*@T?Kz3$Arvv}kza$8=kb`U(j^28J_T}m&#T?<g3 z>xjR$`ku&lcQ>6_cAdXKp?51SzxJnPf#P>~K8wMsaub)pjgoxf+CfFM{Bm1eLZom9 zmB!j)iNV}$F-+u+Mec9S+0m6QMa`Y=$gX<n!eU4K&VIXTTgwzil>+~g2aPWI+=6(_ zE>q&L&I>K+F5RmTKR?5a_w@ZZ_1-G(FT9xOHu`Z|yj47395T^iA7EM*TX1ysje0u} z2roy6e?ubUp41{G<AvLh#|tE9NK%4kk@Gh%*t9NHk8$taum@A6+`enY`3};;RTali z>3T;TVaIpRQ%#&WW%a8#`#mlW2AuCsTK!;g+7$11Z=>xn@1=5NstSK=;;*hC2qHW| ziLLPeIN*C&M(~ip7bQktTzuj^h}ZGAjcSO8+nzYh_%mfd5XU|FuopxX`wqluhV>X# zZ|u@nJ|w4`)`Q$(c1<hB?@aY2F!mxg;49<oH6I;7^F-gByDB(q3QMr&@xE)mHdV7U z*2QXIOVPuwNo;M-B1D4j<r7+B1?x)TAUL#?s9I@{=Uv3%J?}z=gWNa#r|yB{*&lFT z)DP}_iiOgu`4}C_4KkGxK-0iJI)}}JOyx(=G*zD$hmb+0Pu|cp6(7~Z%faljT4<V@ z&(lNP!R&G|s0sMEdS#r$v-%;{bAs>uT}+&w1rITv<9ui6V&d@p^biw*ms0;)=Hb%g zE*a5Q+!Wu}GAc{YyP`!~X;V&KcR*VcUQ2cL?67}h4>H_vr@2qcW=Zy4qbr+3uZvru zhlxE{?{ITae&sQIHQ`;W$VL31{Hplus_wf`_8_7S3oog?LkBG->TB+eMK6Q>Ls~5* z+H3m_MKAY*LldoAjv&E}HZPUQ!vL*Y{tLmukae4~RhD<x+K1C${r;pv_#axO@Y*6u z55-<#d^c5Hph}|AzsL$D_|DyBHDFs{ovy@@u`x_fED&m!&hbsSq3!5dEg{=q8m)HP zR<dK+DC03>Ef4%9x?o6D&6Jc@JH<7LLV77@y}3B<sZH&wC&%9>PWk<|!CnP(hv)CE z1%h-ploT8;@U%k1$MR*)amM(sx$cE`nRAk@Nkw_8QIfZ|eWUZz>sD5w<O&k;6<N7` z^Xkn#z6+4A2$=2`C&lkInL2CIxX5nzU$xg{s(A!61f(tAl*ucwWXCS<H$5^ONBEW) z!6q+qWkZQ5YgTBE%-+u&L<#cak7HIe%jP6=Hq>M}Mi|>W<iv3XF`eB1T<-6c_im*o z(=j5y4kc@*b=bIRb{~|7;YdudG^P;$w{zWl{@n`yrr8Kq9>o?Z!BUq({I^btRTNv7 z{92pn9;aRkQ#DP+Xbzl&$lY|xMw?ff<K0HoPPc#noznr$yvJtq=eQQUAdjw=Y(R<= z2nlwDtautz+tXEW5w9j&IbCJiTKm6QRo<t&*7Z&LEZ2+Rz`;K7+@~-prT4eX;PZ4A z8hcyPN6s-BFJVgCgxBFk&%0@Gl$txT*ClfAO$I+lkfZOTi>N-NWD>y=cS01rR+?4d z$s-YL3yo(c+~7Cff!i@^ueE8OnIvHjfFAneyy`C&s#xn3qcl?Ns%UPoKgB=1yu%w1 zi*Nh?`j#6BYkNENx7^@mpugp&Wqf3;^1k*nS^PnETx^<0n6C*5y9pmtVu)2oYUro5 z#AK|uZRSX9=J@vK_;%*_juq%GUst$fmYYpyUM<PINE^TUbuw~RBkQXgn4eWGcPip7 zT(1`E+wPpo^IO?bxwk0CS4ca0AS}g9l7As(uJ3T7w<%@@Z<)5W|4^(~v%jsY32S-s zu(nropslkB&&uh-q<5fSu`3XJdHAp@HYq*?dVt;Dw7MRfl+*(AB(}7_z+R(TKkdYO zec*PXzLvV?u>$MlWojom+FSGQlEKKgxwy9`-@60#Bvkr&=pK7OT|=ToADXqgK(YK_ zpHK<Ab^dTKRxh>%>WQngc*qi?7e9l^MyS+tm>Z*)Bo8CQ%6AT;Ibt}<TFHhTxLl0& z1}4ma*ht&6S5srh<4p0`FqDQ5`Fpo|D<V8Glok#@^=|d$b~a(>+g?bnNgb81aCL1l zTIz;||Dp(`zEJ2XrLrP-?9_xEcw7kg()F`+4nSuZl~OO3js#Y0ySA9x1&_kk&sNqu z&qYIJ)~*KjI-78<US3q*7ZF?*-+K{nv|v|SX74P{in|c@vL(MZOg18{c&%XN>h*!= z1sB1t>%Fs|mF~`^cEdk@1QE@$f)>=??iDrE@EqYEVs(*8U5l;huCK1(Mcnlq4#djD z%it-~U+2G3Rv8c{9QaXEwKLUV|0#Z<`%H9})!9v^)RnqV5XOcRUbwm*!@DlMq6y1) zxJXQ_(IyTjzWDQIoZXVK30Il+nz(1{K|5_#XA+k3<l!QVRXpM0de^vkYyZ&~CxURy zi@7&5l%5n#AZ3DU=AJF8nwV@@K5=;UY6!U}iwu@B^EGu(G?gb+I*bh?ynL0bEGePC z4LRGWQTmj5xa6}US)(b_!ko|jY^W7xc*3gh#xvXq5zLOM!*4$KvAV|bIz|rV%a$7w zWN<q`*FHT5_m-5F@UK-51&KT2gQA1f4&ys(B(FWzSk^IC=wMd%7m8CN11rzf-HV>E z-c(aI&UBy2*@h_3B}TcYHhy|SfT}h4+{W4{!?1uy`D@_e>t#@Q{VLf|&;sT4!$Wi8 zS269bf)W8uulK4xw@uC}@n10#g)?1Pyiw-14J5wUTM1;kZFsvlu@VSfw!M(>yU(jR zXX`yzyOZrOus<y+&FTK8V`!*JI^9tsLov=m$vPmo=0zUIN>YTf^M%cW;;xk>n6mvv zn4gv>4~ioJw&zOVe#V%YFu>tIp7kYa?nQ>8t)6I$b5`4tuM-(=+e1Evaa_m(yosQA z%?x}%k0LGj5hMc-B!Q&srv{nA&xs*P`jbICh%4MSy)rWeEF>08iG7N52?wbLQ@UqF zp@(-l2v5TQl2{RuCxtXqbzgG^k29c{3mT7%;9De+JpHR6LIe?R8@NnOfdQ!jv%EJY zw#0zshFNk>@mFI)=HTfBRjdct4ZU#g$mzF%*pNQBDSj2r0SHyDQbW1T=t+iZR;i(S z&bvg4B!MllPYEs2B6VR)_ofu{uu*9$7ZsJ){(2ILJJbbw`;TTOvv>Y{(-Q_=&hD<- z&i7!SQM@R<ccxENulIJ2_eX^*R7nbH_~&N}R+t0A@khlLMwka;3)SYC!L<zYXnJr= zw=uYd6=r>Qh_ko)W>=!1?{-enEl#na3%NAlEO8yB*t?-gkJ1~^Tw+9G!=8ABZd5^^ zNF(hA)@xt0rs3wU1t~Os-1?|eD#w~6G}tGKlmsddg6VK`_k$Fqxdyu763j^hKF9pT za+60vZiEdrDpx?%eglKCA%Fd7HZn&Ub{43>YKke>3C9AWPvKZ3a)_fK9V`|*gi7Lo zEg|wHj5I)jReP{T5=lO=F7`;cSS1>mL2%4Nav8mTM32GZfUr&+D8iHb1@B==(!}$N zRMC`WO?p+J$Lfqoj)e5TN@;RN7(_w_UcD=FModIr_I9KuIU~d(FZ()@L!1${k(UDz zd3m@jnod0zral5Ba(RgUBM~q!qaSC1%F+17V@5y90^Rj|XuD+3p*G{X+8SF#yEsx% zRc)OO+AfWBRsC>u4qm25krb>R1%j6uQ9=bmYn~D95=ePfu60jny9_c!wP?L2B3u-S zr)s-i0}Yo%YO1cUiA02pBY9QV*F~V=(nv>Ds`c@Ra4{sEYU<iJG+YV^97y`|F>zp+ z1uScN&~OQ)jH-Me8`g~n;vh00Q5o~H2d<2-8>@_cISE%L(2Z9HT@J%L@O6`x@qG9b z4oxR_Yp&0sttxql>Z2BLvI<3k*^xY$07e<P+3ShLP4bvBi>?F5$VQ)_AnQUG{$7P& z^<-TJ`UAI^Kq$rvPe7{dJ)-+U3jTrkc`Rb-r~<4@?4s(ld_e;jhYjKHv58=~xRBop z2zE?3FCW`y<l4VkH9Ni^LV$b$8~flm7mr#PhM#PhonhWoiaRAM4Cj?v8R6!NjMr@; z2i~jD4c70PU9#9CqJW+^;i?RN=tzl`9<Igx@w!rEbb(4b5P1ZzCy_JHv)2RV+9DnR zQRcE=FSd`Vm4{ieTpaZ4(SYOPp?`t~3>Rztcr@U;IO`9i0kd4RjI(dN4lm+4!;}CT zpwF46<N&yeuz{@sk$o%|Tm5VRXtAo?5JUXoX^TWt)9}VAUQeHSwH>hX&}ebH(dC8! zcyEMteu9;!<djuzVmzQenq?@6tm21=&xwTBfcWmJLuuRO8LDS#ch%>?n}7y9SoJ&a z3EHx@2d!uPtaO4{RW=9#zm290-vccoOouJgp>qeQT!x|_T+xmGkD?nazBTcI3h-m6 zgZ+F4?*E7P5W7B_pdrO+q<@gLf0DCM#nzc@VfB)1Xup8!49eD%X)#i;e{Y7Zqewyu zcl7>xMf8V+k#O8m5r8z3M<Q@p^!w`xrwzsN82s!Q#s!|meP?z<#M&!VyrkjvjZxwK za8&6dk0`*+ebHY(<PN?QMZOo$Gxor_ELAB@18PJFVp#c5kjXa&rxF$Ubihy{s&RFN z*Uf%Egf9|jJq6lG!1csg&jC0E*OO$K0N@YyB`!y2p2NT4>WTos2oJ{HLx+EYTbLw^ z-a3A$fVz;(e*r)+Vw0)C9N3&GXwMo^2`n#+_xvSdSN!aq2-f^0{DJ*0hZSK10{#sR zm<{PFCquJp?etEbumBNTvcH`0FyeB4094^&B;`T?V8U-m=8N7cZk$AriaKG88ZBgh zBXzaU?0Gw9Ek6BuB<%t4o5Gn)KErBlyk{5RHXw3$^#PoVMDFp!oqDh)gI~j2llvX^ ziPA{=%O#fd>XP*kx~^S%*R-_*MKWX%EK%?1JdeolwF>Vba|kISHlVdMC{30Lc~5@H zP(eEf$FGj%fIp9W3|p=*@tk^`(h-#FG7u8y7&{s9L;~3Wm{N>f55ylJ^8`n38g7g3 z!t~2g8JHO9psHzXep383FDAxrOw=J{C`|n&w{M9G(QhSAp411!@U{&ln@2LfjVd9- zmGM7UMjqhqan%dcrbuitHq?wXKT8_RaYTfR@7RP%)(^sOK8+g{pQiAegiCBO)vFD< zIc9LzI}l{FVb>GAoh$I+x5REnz+Vj(2Vo#NVYiRu^WFM~D@0pnm-y%Y3WC#T<+<gp zF#SI5{qV`P!fhT2aK2pP-~442J@>nxSmj;a@u$sS6kms4SdWmt?@=2O^etAw`@YKY zBJJA{9QKF4B-6I@(r?qnHbBb1&t)A%{ANz7fA^u8-2yc-0OoNLN}LT+e2Li06PaL# zN0T)7_?j}~qheG7A^UMmSc)zPi@cV#hu|azzoxC~uN;VV+{N`^Co&ME8y=!aws#BG zV{Di`K`eog&tV?N3Jq5n7LJI~JQip>c!tR@-VqN@h9JRR+@8aD#)f<-52oA&p>UhO zlg44ogW8}TjWEtGQm`J}d>5|u84H1hG`T`P0)Pc6{U7+usxPvx#+v*R56*;OnNHUR zlrSRq?9uy|crRJtExW0FU8J4!;J^h<o!2bTYVi52K@JcmLbL@?^Uh*CBXX)`=_3dL z$zd%zYI*|^sfhh1vJzl*SCFC;n+{Mi!GjDcW$-CTF3N(6tk2$yweWS>x>F-2aV>O0 zX8UxU%zJhjYy)C<t(g(^xE5I}6U{UTUtEi{l?gdoWH3y)^dQ%o8T#|(*=w;76=vuY zum}^XP8L*wDJ13;D+^+YuoOemc!R{jHH@fo;4#!v0x9JUGQof>!e{z0oXAjbR6Jv_ z5TxjH1~ndB2w{%f#!cEe1QuaL70QC#p_bxE?ks;tM!c8UBTJ3@alZyu!3WO*HTKsx z!3WQQt1UMoWZL4<;VCmNs$IqL-UWmd=du$nK^3YfgLR33*HeZnMuWoa5OY(G0a9de zG105p3?a_?#St|x&JiUshppk_3=rxQ@P<%KPln1=q5CoBn0ZvkGNL5oU^+O~gmc*q z7qs6>1>S&>0^i0KKw<Xz<*2s$(QgOf{nX3{<3(1>z9Zj~BIjltJhui{-3d?$DqBOV z?ii>lm8}UeVo7V%p7rzsvzn*e8^4dy(woC0Ry;#z>9U{<dx^2#E{#y)jBJS|cD5t# z?zefd#BrSaaY3YZl(^hzfY_zra&rN&X%{ZKbp<1KSNJ5Z%!n!iU|C$50hI~>jf652 zsvZDsiDd><E&ve{%S<Rml0BKW_Fdk|P^W5M;GOc-(EY_6FqxEZ`}yFH(Ib?;1k$qE z+gF#Zr-%0>{z0A<GAJ*x0hZpcim<6~>O0ophvN}L!26yA2pFy+-?<C{>YWPN_ZwZ1 zq8p;e=M@)RlNh4DUsI}13S&*UxRo1P6-Cy@92{6}Trr@6de6`Gj&Fz|oNHHCc#4-K z%_0EEqe0mQ??47_HClXYJe`20FUmLAd{hJOU_fP8GTmXKT2wlQn~%o9ujo<HfWStT zs=OL%K1z~|Be~R`PIv`3$dN9R9VJ~l2h6c^z+rolft&t&2fPDw#y(u`AG<4IYDKef zyDXO0nuy250*~rp=QR35a3es7L;wejNg#n?<f88ki17#$ai?NQ`Jj9ZDY3FgfYFN> zA{NjFdI<ClVTYz>e-+kw!iejAY)$euYtJb3iD1t^23LmRPyK+WIkUR5fsE;ml7Whn zz98&*ad2fD-qbvN8mJs#_Tl!Sub?ktWx81d^9x`>rUoAX%)#y?F4>#4Z>Y<4Bw$(S zCXA_H@J&C(KpDdqRK#}y{go`4i<=E*h8Y%)X=^n_3cZda?^`HG@+7gYA7v6~N6cr7 zxae6K*(R0}J5<ou8xK|n{PEnDHmeWw4#?a+97gq&sL?h{&w0+_?O9ATU`ShhRb)6w zI6Iw~V#{9ol^1uedIgds=`ImzO7AC{Xy?d!h)DMeNe$9#@Qf@uLUI3ElC*@LB}_C3 zg%LE##Giw&z>@CKqaY7YigC>=9;bQMY?5znoYfjvB~J4g*$H3U{9nM%5}g>|>b_Z6 z=xr$qGnORjD&cBsO4urBqso%*aWMAqWE<DGa&cme)8+LzARkw`+IC`mGwSkdNh~kn zObTHXJG%XAi9avuOlqRxfwZgF)%KBx)4|)4)!EALd#?R0g<(RH?h(3<9#(>Rv1h_g z^OV_NzP7n4VC}gUIp34E_!gEMMAuLQD>0a@9Nk-7`{QIqr(0BO7QN6i@YCtwO^MiS z<?x>3y0eoNnXYZ^pZ>kJ^-oR*i6vE^&5{<bdJPdvSi0+<|BUV3tbKPnh%KqA{nNE~ zv!44WP%(?=<z(uD%-f1VTlGnGH;DID|Irht16YasXS4hTygvEDHjykI>q%Z+m<Qsi z2(|4h`|K`$E7gs;#Pu|9lS84mc`a_r+)#$QhQmoZ<ZSjJLbRe=xYVZJdy!rqv?pKW z%qWeu(?5Ibl>fHGg;C$%)=jxVPi4~2O?uULwwL)wm*4H?yTcj0#UUBri_8QwjO?)w zbILR3LM?ydN4=UXnnN)h1oCgZ9;vhO9E!LuPY1e8{ycq>*66%izcAfU1$QT!mo9QQ zoR=<e=XW=3(ETA@C=_t@e#>8RH;{ZUki0g*xrM|1YO;~d{R+`2;~w&(QQo~}B7kK+ zpm^_jgGFj%#bhIuyZnzvEcb=UMj`jRkTFO0yO;fcB#S2h&fNYjzg8o<Q+RZzuncDr z8SP}}gfYAHb=XEQb4UhkM$t=_l+_j-Ebbk2G)dfng&OiruFc0-)dX*o89w#n%d|I& zEnbW%j1gJERfe0v+4n2FZHx}ebywKBk{P2YSVGnD*e1F({SN;hG@@WJR@bEY?y>Om zW^nklTy84vRoh&TQ(p(m9){k^%EDbIajnIA7DvG4xkJpSD2JFmraX@FG%2-R{a?hj zz6A_6KHgX_pF<eJ!XBglu)KT)uW`JZob=Cat5(*S%IDnHqc|;%xinP2;V-<UHh2|R z_W!Gq9p1Z2lZUg5VP>{X4rU3c;ohYWz6u>VxRd)+m-+si1NVlS%H-Q`{#=~#Hj22P zm+Yy|3&Zz9=cf??tnOQg09yCJ;yvQ|C#8Fm^G^!)#u^r58|4uJ^7Bs$_tfVTOZQ~w z6N~qp<`X~e(KfI=S$I58TD(U-@9}uycMI>>=J}SFyxfnyi~O5r%WF%T_G*GKi`7>@ zGM70MrkKC%a!BzkcD`dAJV<=#a&|kD7`)p78NnJJxRGC$eP@+%Rdah1aIHF5C#)eK ze&cRNmbE+hYx6E?<Sr-q!ROG0S2a{Rk7Z^Fc+^P>Ntm|T=6HuM<V4{6-;eWukLdCB zr#labgbVEuFZ^s9HMwiH(+jV^I~>?9xqEeZ%d2P-eS4r}qItcO^s}oEcqDcGjOF-1 z(M0g>bYT1N)j@6Z-wv4~)^s<oc?IdY!-n9ZE3!Q4dWiuN{{d4O-=M4q3Zu+<4y1Y# z(WRL^lOwCnn}?GBH*~^F-E9ZcDcfD1nHAgJv^NvIxDQX>I$7GR4x0SV&1vmUHxs$~ zRC;jm{KG+VS~`YAMbYSKpze@nFg1b8-isa2&{Uq^7j$CN1@-w@_SmJq`R4}t=f?Tx zhWUD?`Q!Te<0kpz2KnR0`QwK9bf3nzD#q!`#_7t(0j8@MPcIu!FCS00SC%P_I-^e) zsD}$vYEi$ED*YMQOrNREsVh=|z*H<vZ<n%U;`lD}Y4nh;?SRy;NJWO{cUT}igt(cP z|DXj+(E(xmC~<8J2QmStOJ(lM#X>czc=A4olV?JIsHEjX9Y~9a#tqBZ;M?~AvoW9y zQi{H|V1~IN2GDKT&A;Ho=r#<$C>7It4{UAd;DRL0;@=slCL^lFk>&Rsb&Rkq+z~$? zjb&uu`X#8$phE(PFSr)j8*gLz!7~hg7AX=uJXjW<h*Kam%Yej%d1(3ctJ5HKaTnhM zp<JkkG;$M|w2SW-uhN7bfCo1xX_f+3S-@I!K)9p(NYMv%J)i#GMsOg#pC)=H#-cMK z7hS_e3d8mv`}AX{jY|YF`2_;S!)$Kf8kKV%V3-2J21d*Kx9X@WVqXH;ey>AHi4++Q z+t)ibU&qIEU=>A104|YkL7a>c^)h9dabU+QGnyE}_uVrg2^TU8k50XwzTiWjZ|<QL zHe?E}iB@UgBqmf4(6Ee&B!J~<0rR+^d6LNQ=p~qu{II;ofXc>FbVp1+3U_U#L^8ng z9s#NcnkR*vL#L>b4`6xP=oE&cC*lN1h2o<>xO=zJ)Q^YNW{1#5D?@lX3FHB=HWxJ2 z%m$Hy-Y!&clLlsqo`#=hZz+ZR3CMOagez`a8qh0)i9S^Wo0JXrc3cugCZHDsp-<L2 zK*vqQk~p#o-GG6R$8Adm8m=(fToEnkU5X$=aNE)WMFAaa^+a5u;=aF31%(i!&&T<L z^}9}RJ(5eKJbO(H^oM!J_vI;(zA&ZI0~Q;~96i_^UH>l8-zCEc;DoFVT%qXCr~X=2 zCflPwp9+4HhYg&Fmj@+K>TpkzOOw0;UQn1LBJh}4krf#NYcKWvHDVN^dZxOGXL|<A z;i7bxc*TH9&*54s9lWP4`S`I#P9B&W_k-4#QdTfO?CI0JPxAWn@CKuh=VWD5@TZ^7 zrbwK)VJ0aqdO?%2lf7`Br+WkkypF&jF4aZP73;?7$zRPQs%Fd^JA~dEuOG(c96Y~I z?->!24i-+KTp)p{!Mza(@6G~u!P&#R8UJ$*y&$Og&nI1Lyp_19Xq8tn`3M4}-v43S zD+8kHzORRF5J_PGL6DX%0g>)bi2+0!N$Hl7ZjkO0De3NzmhO;lq)YhUad_VM%kP8Z zzH6_w_CDwAdtg4`caJY*sQ%paRUF_<=G!C;`6g)WL*+*W^Z-X^sPvhE9BV_{y;K#W zKi&78s?n611s56SM1Q7+O7YI~%qisRgg36wm8_JQJWoKG+{o3@Z|a3c`;kkSJne-t zYmlqs-_)}x@%zn5XDpx;HVYr*DIQ`~g$Nf*Wh`J6E@avly{&mtm?S)u3qPCwb_mPj z6+s^%sG7AfK<)WFLSddTa~}MxM<%)-!}byuM;S_1pI*Kn+urlbL#@m(;b(KAG>Kgf zX(}_Fe5`wBgRlF^hmo}>Gu1Z^Gms{JW-8cUjv#6eWlpXiX24Z72p1153Xz#%`Auc! zEG`JVC=HxV?y-{Rn=AHtO*-)|;z9s1K+eA=vl>%dHiEF5id_$LmrBx|Pf63Sq5l^I zxvECkQdYki-;B&}D!L_vA|lCXa7HLF9k=)8xnMK7i6lwoi;ZV?WTOoxTYF>bB-W*4 z-K={igAG5`8&J&z{ib4zKT#?<`!x)xH-HL*gay|b(r_lmGt=$k3E|G!3lnu|nr35m zJ}TMN%WT1y*o@wMig+Q{DhO}l8TO8Xuea0phGar>_z!EQ7xH<m!yWA?GV;ggTUR)l z_sHjo4g&aQKEepwW|171XWC!Mj1PB|?G?-5=0^7oMdvyRO#%!{C2zj7%@>v`P%GsL z$2&Sw`PQ(T6*s(&hG@>etP<5rlPbgbh&Pv4s*pR)Rf9G;F&TW;(8Qf3xVJ0jruQyo zv;)c|ntWNM3FYpYpSr050n2%ngV`E1C1bud+6E22nQe_`LIZRlcnMT$o){j^l85@x zr=*GcL4%^NX`-ULP$VHuG-VQ~r9#Ue6gUM9sDR)fLdGA&T~>^@lgH|WjrA{h>4Y6# z2o1na*dLWU7%}Hviu}_=brO!zJH=SU%N@)<pp~)89jiRN4w8rmKo^$0G6%Cy0BCiP zx9f-<PQOYJXa_-uY>m!5g&5BC%a-hcNi+c`qvp8!;j9u_mjFY|Q5U}+S-pl|Z}Bjb zpF8f9dnG5Cjfxe?@;o&o^{a_)_@v`jWRf8ooBL{!5Q09tHCm&RXzia!a=}-XHjzhq znCIb%{gK6Shx<~c{!NfmT*Ie$NXXRIr~*LyI-R$RrY^15kOk2!qOGc&$eSPBgFp9w z2^6G+Oy|>bitmnLT{JKWW)%T+)iTC0yyTaF*PjMr!7K})iN3wpXa4yB-4~LWNy{m^ zJN9wk@!VB}1KRtGGK>+txoUq+V(znsO@XXM836Q|-q=JNVgQzY57A7f<!pqej~n^~ zviNA4Iz~tKZch9+fjtz}FOwa>ONMTTXHzMIqS+;|M_S8!pHKWP0Q0Qc>FRZ~Ar`;~ z0!fUft&#-x_3W(Svp`v1Vj=p;j}BY^MD!EBO3&T&MMy~R)@Y04h;^Clu)}uN;p~B9 zwX4W&Vxh(9Z=$sIyg85IebDt1UNe63NEX6|yW+8m(VHObm?Oa@S=VduYpwLGURS+| z^ifLSR-M{&yYR=3H{E7~B>td1!*LBHKX4>)7kOZMr`_$fgD#50qQ38767-YL>9WB| zFbi)3Jbl_=C73n74sLYSt4Plgu#ebt)BB$8_XY@D^a|2Plk6ib-Sx85N8i~;=rxxr zj6}Zxu2dJjujvTVz#X~aDDM`*7@RRWD=U8keyw}w&($24Gm`b9OHr%S5*KvQ23)v{ zp{PFg>i{w&`}YC-TKmpl0V4CjIHG^&uimWocO=WGTXB0kZ^av%jMht}N45kYLGJvq zA^mq-qi8^t-+3M0*lE;WBJM2Re;u|z{K1=Z)f>wgrT<M^b>5IHl%*=G#^wFakhe{i z>mha4b&U4%Ql(G7dpcL~aLe)93wsr~SEu*-|AMV!-_%D=i{J8HP<z_jl<l26>`ULQ z1Lw56H4}UGGXSEw^Dhu=CZt<|21pP~JX<J-98!g5Zx(&_^BVF2&3@ZsC$<`b4+QW# zv0RWYRQqj_o!CbAUzB}Wp}C5~r&PjGqC|;w=Wy2>_Hjh#a0K+R?#{W>$%tTjM&)G0 z6WIeymSjW*i<j=ai=klOkmR3>LPMcXebH0;7lkG*JrS@A0i@f~92U%4sslkhojQW6 zgzlmE<Xfcabu*SzDznVbmiASNZok--+PV1VWSjbk3s;iC2i=fRT3cKBhzqJRM?BuT zm!9V$Wi+q=zHIU-Z>H`KHD<#d!R9&3YJa7Igtx_XZ!ePt7i8UuO-skFS#_)i2?x}* z5kF-JU;b2ojRXB1DzW&}t5F1G!RMMg;7k-OH>J|C*|#{)&Z2blDt*Ygw+-uMOyLFJ zkG`OhVG_AH5Ni4&URa)%x|Rj`M5Xo#GW_QW%Trv2Y}zfC^63sd@UK`0fj-M2w21AW zeB|5J3y6|uG70_iOw9$FZp=KmyX!A)t29A^A1{tSNqf?SXhdsdqiP3dQ;o^s3o|NL zg;hNjAC<vprYaG`1;SG)MrFhjv0qHEbeyDiFqIiiNdBYh5#|hrsTjp!=`olpIsyh4 z!5)>Ln$v`2#?!^X#8kMj$81=3^~Jx60iiGr-BFAxl=WM&ES~POM)p;w<v+I9C7|TY z6|0cR{fC=T04}3ZPrLgcTat(2Uto6Pdw{!tU>x1tV{0`~8Wz3s_qb8mieAF%?fAo* zihqQuVerpKI2s0vp~0F|H2c?#uE@XE|7gN$UU9&-<L+@~UXd`9*2mgU9^vpuSPTYd zz*_BAgstFrFKk2ph(5Z$fw_7R!Q?HE^5sW)*`r+ZQ9ke}7k`usJjw}S@;8t2j7NFo zqg?V)-uWmOhRI!oVDhHN>PwIEqepq|qg>}vKJ+M;c$5o1${(vk9_3|^a+*ik#-mK- zQO5Qtd)%`0$DJH`+)2~NsvM8<okzLaqnsTk7kE4fa;V%)Dj1%i&i-E_(^^vjYJ{$K z+fNTw`jQy({i7<qV=91JBke|S#6i8@5>%$bCUPhK-$cR(v^|=<*M^zInGC>8K3l^~ z4j%{K`LSL8$9Bmc+r5CbYo`Niw~h<e?!w~>V*M!Rd6bhr%CR12m-BJ_l4)Se%2o&F z@R&VrKZ`0Wp3bF&{#%)tayo2cIpty8{--dnm(U3&QOPz-g5|5E05D25d#0x=r}a#w zTqZA3%5|EhY2v#|FrLcNxdV%Fv6`)Z#2p8VI1p&`H+t>nS;vQ{$+%7{HpP{y81mB} z-Bcv*sx!pB<a#c2qS7W4FqVtF9t5$_DpE?G@VRS~ea1+@mPitH<W6sz_rnZGx<eSW zi<pw}Be$KK@vBwdak6JG|52HiG5*!}#cN*HoH1Vf#fuwemdO$|!tgLNHLNUnO$G-E z2~Mv%rEtc0z85b@T6+oy<NH&iasMVK%KS^76+=Swiq43i{VH=Qa?Tqw`XI*gN99C@ zrnc{k1ee@5x4fs?D*I_I>v_lVGG@{h&NtbKbD9iUG~G+|ULnfYjPau}?~m|XS&HBj zVENQUDy@I{ol3~AL6Ls~zI(xl3w=NyyE<U><{*zGp<fM3j7!wEWl)6@V<G+RMRM@~ zQPHIcwE#vu%wtc55x*w>wq-zq5_2HCokdl#05Q^q9=E`r6-wOClS%DwmjZ}Ui6V9k zzo-DBAH<@&NGuc};<yyy7d&MKh)YL#TB$Ns0MRWY(e07=o`q&jEn7UKdEC8OEnGF2 zkp4p9=#L7og7IAL41e>y>;Z4QU-bK<vsWw|YiigM0Fl30E$WeYtRkjBqy5F%tugVn zJ;N`Nrt$fvxELV$J8fw<FBz!z<mil$1!+SqJHVxY$GwOiXr+t4`KRnVzIa{grJZmH z!$1)j^N)hfv5Kz3h5=yJoOlDX+G~pY`p*iom)8%oB4G*GRLe#Z9C(?ubu|J(A1(r; zxhDKw&%;dXkJbu&HEsjhV@E0(z;Bu|M(5MZ|2PzK6A1|!FABrp3#4e8C{TSybRzI~ zNjCU(ydCAp_)(Ku5e%A=#S-`j*)+wq!=O1?8W>d8gx?83MK^P*t!^cP1XULkED%e@ zfWWGPbX#rr5RUP62EoIs3w+c3pXzRd<_GkU=P|`+h2wLoO%pFPcW?`;3tWmMOUF7D zEEWH#MC#@RrB0>)&Lgjv!FgRG*exsg+fiTz(6gL>nYL?%%BE-`y`BKujyu$aEHn*Z zTM_<wedq{Ot9;kBSJw*^88*Q!TeAkdc~iujA{wEV|45(yBX#~qa(X0TPssF}!#r!D zg=d+5JGNa9JwnI54s)uhLsXDn`Tr_kIi`%K|JIfK^Sb=Ye^IG3;O{`zz4!LdZ0ZI6 z*6WaIyAo*6*i4XKF6aug3th-G`2l~%jNgvB8^FTKJo0xf6`OxUL$-b`9a!)W+ku8W z{p3r~ot!2$u4;kqB!HNC4ncR)Ms9LCy+bi6^+IiQPW1{cemosr+Z|n~$$m~%*ivy- zQ%}L2!R&zk?!%zDB2tN9K*lZKK~ZLPLAg{Ej4F%q2t1F50RzO3z||ul`w{>~qb?>e zpzVh=>yB(ex17MeK7E7_Scl~sd%+Co-V?oDcYCu2Jt?2QbB{S_fAleX*KyG0t58p$ zN<&XNk4|0ReODbCPW}tYpdpg<tL}NIJ$j68NG<fR2y)dt4~lT0;Ux9!^({1M5wm|M z2&I#WmYz035qR-$Ef*7#(2zk48V>yH(I31d3k}>pgO@^~$8|3UO$I5z=28OMwkwxC z<_qXTz?gi2%+O#TzFtg+7n*_}Bar+r2!ftfJ=_=p&%dKZ$Yso)vQdCWAIxwZ{y{(h zT|YF)Nw5WSu?_%arwt9d;E4BuEumfif>2%r>_lqR3+%R+(4IshctL*9_4$MCK*-RE zL*x4mvig7QXeiqsU<X3kPXRUpl%27K%Mbbs?Ux$RaYF|q*0u|7dkd;t{emz$4Q#wZ z2QErx<bMV?Py?rMUQjbs7Di$Ra)a)`Gp^sDGwA!kVFBn@0e!&`pkIXQQ>1~xnj40` zBLdMCHP8`GRf+jlCa4ab*n+q|awmI0dCK^q>TnxCwlw?97Tck86e&O{@+%fLl8Zpg zzz|vJ0mt@vDqS0RHKD^b<)jUr%c4@CGhi$yyB}eD7(5JvtMkYIjd2Ok8`P{2F%}p} zEOSNqf2;ObcZ-0Y2{f=ifG+>K?;QMZAAn$?AG(f{=smuv|AL<X0_bciocvqj60~Pp zXqXIr@3vz5^1eck02<89{{JNOz1vFY8!m=!VJ`0MH7E4ih+>|0{RT7%?o)u0pnaN2 zxm(0Qq1%Mcd1%E5&w*8W=r$WILodljV6X^C#?nP*SPP)HCBBL{>}92Fr-lJzDvv-C z%_GC$5wLst$oTjOFjB$*`)7|pH7yK?c>w@flm|tp&;tOrENu<8ho=0G<&}5}{srHT zVSAncfgMGM<-emycK>&mt8tY5J2-|*7%LyfQs1op=O*6-J6@Vc);Ww-HT|!fJXrQy z7tAsSrj36r*at(KU|c?!!_5F}67E02NJ6mr*>#4^&&?1l{TVhGe|KSvYK878@PlUr zHaF+7kLhh#TIex-`S|{~IKa$p(qQJKkLGhQ^OnacWO+<uJf;_5={JvR#m6+>V|vE? z-!-YWUkw`qV_-!hqI0Sx&9GgFpN5?XH}t2YvAJS9=8kVJZyweC7*>>;RJJNZALHUm zhQg<UiBs(cxF7h^ZZZK}d8E&4qbCZ~v{W15*r}v_U{GWXXW>8S2a9wd3^I+4gF)<q z(qS-2DDJFK?Y-Y8gb(pC)bSxF1+M+#uNaC{is-zP3v{UuVpw6|;(RdoV>A#f0E_gt z#j<3?ug3*84tK<IVNrG=<&N4v@q%EglzI_et6cqQTA_N8E_RZ=Wg4o+KZHwxvsjH+ zE`MP$txu!K_A9_irPKVEa!C8~7^H5)g32^0Em)9R2n!ndaDS)_pt5fq?ure_<dyZs z@s9_mLYpe7At0Ex*Z#%8nQIo1JDr-Xu9c8YFc@Ve`&xqmk>v#ueFL>|p2#t~ee{g1 zg$Bd8gv6^cU+Ga9@vZUm$&v_1?L~%(A|0+<&eFOW9{M6Mo4wXZNTo;QGY3=6%db3@ zZeEV^ky(=%-%N+ncpBY?@5oOrHV?H09lbtA_T!4y9xC&>>=~x08_#c!q_s{r?cTLE zPEW~|94PZUM<y3dR;xF=$t6wYIF-#^PgWM^t4|Lv7%N03omz=bcF@OK{s^pgA4(E3 zN-Dhl9D=gW>#n|V-|C!N&GB+tO>wWncJq6Z1EEvKYiFm(pBSbZ@+C<+I-zUYqx`oc zCUPm0M#7FmgYO)iixi7L$zCv#pUJCyA{0!l94|~_=Wvfx=bEfOy)rpA|E_O0|8Q<D zmm=V8KY5lkzV+%>fT=W@qolzNqW*D+$&=%TNuS3^@pVCRz553oE_V{ei8P7jknVx$ zp+0W;A*UieTcJWecW##Hv?1hKyj!6+Df?MN-^FXo(zqNf&VMMHKjT(EpRc5?$vx~s zRuiZPXUt68m^zio4K*`bL(&7IlN0vHU+uBz<F!}q7EN;1;lvWD6<2Bv=U4t5&!3zS zG*GkIZS*+LpL4A=8Q$V>Q5w$F?<O0Vd^Y$!S)apI;b6V!bn7=~dfYg%lHJ3@hWWmV zAgz0!YH_|?X-PV}<>ADl#a@OS0=K#<?exUHI+yEk)gi_O<HxZ^S@R#+?<e}$)bWDC z=iR!#{!-7O4I^Q<(siG{F5CBBlX1V?pDc4f`sUT#Yl1aE8x9sMve>U<(^11BtC+}N z_CAma>%Wi7|1y%9>(I>8ao8J1TH!ibXBe-ZgAz%iQF}YNyPN<K975gk-p(dumXUR_ zA(#y}f4lf`-1ZZD*=oEPq?7HMuy>OOoVaYeH&!NZ-)X80>HO>wYIMS!F2Bx&sj$f< z*I!E<V^g7dUu%_M;~TF&ZmV=}_dRiWl<C1zXPrk)s?#!9Wdyf=%}#UqI4_UjCvJ{p zn9VXVvYe@ZQBVBE?zFMQNcvy*i~BB9_m8tvMhv~0SQLDQciYP0+e`zNTM8NaT$Yj7 zr!Pmo?@T^?L74YEs=Q3d?xS5<Y8fX!`Z#{>zP2>x{b@{pEtmeA*ZZ}@(fIiI&V+PU z>A+NfJTF1#j)5ZD`Rlv=1<q2();D@4XGitIH20Cr$hHrDM4rEQ{H29A)+L-fQqK2U zlddTgT+lYnWD0qaXzwhH_Qz=c+SO{7qb0ks)9j}<ydP9Q6U)}k87H~KD~fp-kROe6 zcf1L)OZ4tUQ=OZ6#=ELWvW7NpwW#_q&3Nn6pMJN+>)JoUk4O6;V<d3>p(bb?af-&h z6kqq2`WA7IEU}cjfT0yJwz)xWXZB&VJJvw5UL%9BCdZ>n9b;bEe$F)DfKK(`w8JT` z=0e<%U#92wM>(1Crl<N$#MoKOD=SIYl4bmw9O`KsfWCg(2oRs$dIH4QzggvE8v^%Q zGZE~*-V9cf=Iug6H92A(`snk@8mnc1Z#OHT6qs5D0F}KX0ATtnX~^I2|F^Q7EU)_> zC}ICW??)yX&EO4SD@aOElcP2#X2|a*`{Lb91Z0+u-b(VwCKrAF*8q42U~njD&qOSN z-W8RTHDizE0-vNR8UPXB9Ey|Bvsg)%MR76$qF7EKW=X7~vy!w)u3`f4G&P_X{|JqM zgKfR|Dd4j^ULl~Dw7L5!(Go@T*jPT`w*12$C_bC_5%@gK(*r)S@`0tg(VD~q)y2nw z1vg8@0!`UWeu8?=e23Pp-BAO2Xn9uxWP>Z+fUTj_&H>_<N)tevSl|GBR+yG_IGuUP zB?3N26V>HpG(shMfR;mR>*#>I8y$ltssy8x$X?;r9vrZbc}{q5%$@tgdt}LGT1V&I zq2e%8(TAgT-}#jY)q8{5*2Xm}$)EmGL3gB$N5>8kOUth@_&pl!&M?i!jumIxXCDI9 zHt#b8xBk>|$JN&_Eki_q<Zuq3KMY&{x=LhgpxgcAwDwmzY;u>t^g1Tt^^+(0vk#eF z-l}iw+`Zm@CDG`&8O42X!Asw|s-7`zYv0nH65JFRe!{#2yo_2l6xYiq3Fwn5oxhYJ ze%K7oBRK#5sdhSCV~uR_G({~RzxV2ty~bkM;$iw}<0ACX2Kia*QoU5Wo^kJXeap+% zx;lIph;vFxD2>3Jqr!bRAKXJ$bU4Bo{HY_Z<Ut|ZuB(1nhh&RnuGVIm5_sF1D<dhG z(l3Or(jo;ns77V6j<^r`7iisk7>6sfd0wCPZV$%oJU}|!W(FKh^9@FPtV|^&^A+TB z5+H_dLKVNe&bAMVah@`2$VDzm+?H1?Zy3hQ8o8ZOElLIx(B{+fiQ|vw@DK_qe1F2$ zTo4+zBdGO3?#P$Q$nBEo*?41F-`Azz&<8@`p#XST6z@4ZWMI#CHjM`OAz?d<$^icY zpwtoolxl#&3{b3J0Tfk$LJC+eDgl%mfT9R>DFrCi0Hu^3pg01QV!%c39Y6^ID2dRj z-vLS<KuM<qDAE8W6mZc~11KE;g$V!IIDacZ$p#*_fU5kpzylw^CuafpP5{3WuuOwm zh5?iUz>>cNpcDZV1!z@!fYJ-4KrOof3N6&K1)$ggln7?_{7W-{Vgyjg^Z-gBK#>G` zch3bVozOxIRQZ?BQZGysdd?C!v%ix61(OACa4@AwbMDns<v>OVanC<5Vu*|RY@4!9 zD($qu)3B;uCz3P1g?Fe4W!Brz+~pFCW$b#7lEymuuu~0hY^A!Bz4Vj7+7Tso@l@Qu zVuuUN@O`2{xixUyT|88NG*^M9?xqwJ>-Mnk{j{k7pJhAZexTi5uR8025=8~Esdr4P z**X*W2aK+vhZh1Ny1Zz?U10NRt8vbqr`k<ZV7n}H%);?Iug+iBSQxthegI``@o)k^ zY`*_KB}v}UN&VUyDRlB6eR}6TM%9{S?A4iZeOvehBe|<^^T8sxM<Dp)sA+dn*UftY z)#Fq|EDf6l+#<Mm1h-Oy%LH;I>iP<aHS7v(a;0~CE}(+eC`Fm4)Rx?bU)EOIc@Or3 zae9i17bwOUcNgj;9a{Un1QVU?#;moA?VSI>`7tu0U}`ugo!K{Vp2TtMrTTG(HgMku zO^iv0eMq0OUWlD3Z@=;OLs?s2{wyoPuD17`II@JGmwBhM$|06_wjsRHL38dQaI%CX z8eXin744Snhl}V;G`Pzx;6REr+ue}=X~?$nOoZACH^Q11Xu%KwR?Kz=;6$y5Bj8FT z`4+ZJTLEs>G8)iyy0<mYc&5!)RdBEWAWDeUR-J`@>-lgv$3*gWI`9+K4Oh11sdBUW z3}w7%U{tSr-*31oR$=y3(z~)(a(6=ENIug4>yKcc8yoRK{|MIABd+^dZ>6-4JQk|d zoJDxZ=XQjPMV_CBjSG#`EQm26xb-KxJU>O?rMdS5rJ`O?Qlft5SwdNQuV|?gnVnyw z{jp?58zVa&mHdlKHY$1Ekxh(eWm4PW-T2L{tJ5jkub`urb^ejTCXULZCY!X@Ih{is z^;h32)TaU&JcPaLKe`&L8xNh}8v9zzQ+bCAX}#NIJ`cFdQ}_KrLuu2zTRqRvUu<>W zv#f?hnD*sQ!u98N<g&7S53#?aC%L(~F%WHyfb_D%S}j$SbWWphZ^rqHY^Mf)XOE{> z&oIDGdg*-3YaOPj+PL2PtL{5z;Vqw5!cDBT&r*yrd-l2M8TX>#3-8a<UnY@uNi6=} zJw&1+4T#hz8N4eWq+s_N7_-+Yq*S}~R@)~<XZn7s!CYK?u8!<bg%w_4@{al0GKqJ5 zQ64o-(X**4-y)=LBo0Mc61PE@_}sZl8G@4fA;-4&K1Xn$;1<j)wE2J1wv3GZVJ<sM zKtjk;Dq@+b)OEWnu`6WvX5Ge8zux^TktGl=B0ZuJ-nHlIIvcWRT{oxn5`igjSN-R& z{;mtG{tvYMAE^63cxxv0sTv{k+5cd`?=BT<=^)s$tcwiMup^Q5xy4`Do>`z>>FL^x z_)JQg!Vhn)YjwzIyJqAN)Lw7op#S-_YqJH!L&@!ostd6?bg;dys2^$D`NcQ2a*2>i zr|f6LLF&;`x@SG)!o|Zt7ppqDLMzUU*YmEOKy0n%2m3uvh_$`dA-}Eadk4?sBf_3d zH$TRZp>udmaEdGv4;ObLytTH~t7i*mGpR_r(pIm~7Q$v0*y2tHx534yD4^k(!eJqk zDc8vSJ@TRL)|B}miqCtm>L7^IPR|q}WMhXBL<-vbVa=ptmBvCw&hE3Fru͏h_ zg>P-A(3d1BhvEwf1dKFLySJ|i)RnO`xhF`!uqP2!%UQAH60Zoy{p<$m$LGkUizI0s zVCTq9=&CP{8*SoU+AqEon3bTgaYswKdy<sOqI}@R@Se&@^HZ%}R<wN8a%A)%lJdxY z*e$BAvb|bqpT!Vyw?fDcGEYBUdyA?p`b#(26GxkhMmnLpz;;1z!^Yp|NV1IKG3UsC zv$Q`N>9pQYLC7RYZSH<Cvqs?zN^ilN3$Xd)3`%Xnr>NAot#H0#jl)?#_N~7=THOpr z^Dtni_}WWhM5s8piIC^9^8TK9QUG@a0n5YSjdvJfy4ePT=BY2z9h>z=@ceZfg2&3! zd*aCBt{e}8+5ilXK9uyW<r8>{ncnHhr8~BlI`wV%Z<LmTFq#G_suE}uPJDZ>LmK^r zB%-`!DIeUyY{3%|ZN48~DP?paai4a{FcN8XqiCG^a(QG%<8c1*t@g-F!l^p;b@a%L z$B~oTfKU9><@TmL0B4~G1tPl&KkxFMpJJvR<>JKG(_@8|;wk|LTxIJ^;K5Mo`uF9V z+Rp2?SPuh!3YP+oV*zBu?w;1D9r26@nN)04su97SYkOB0@%bD>Yod`CzH3S6p<py# zwU0(?&u&>i(u<I@#B)SOeTc7q64N4P9KO~+7h|<<_xeODMBp&+(}OW(Yb~a#ds%Cb zruIgp_h}rm_qJHhfi1n9C_T9R2}?i`T>yKB<0{sf6-BPWV6cjdYEK?t%|uMWH`iU1 zr`~-FC5;1)hC6mRF^v>I&kJOmXHpB?7rw>B$}+cG8UFR8b}?)?!`O4{WfZzo=8g5n zISE})aOPjw9N2KG81(LV!{O%<u<*A=azt5f6^Bw+TI%Y#KhXg5{zUbw)yU^f{!z!h zPfDq%@6h~<(W+M!DRo7qt~gLp%up-$_}&EBmMn-`=~fYtyEcDu?S3Q0A|JUHx#797 z(SDpdYnx`Xvs-2#SErB5AEA3mz$R{Kp86N8Qjb!ENfpokn=2j{w{yzZBE<qTZOz;8 zl=r;{Ny^;KkNQ!5DI>bHK?03$--=Br?q03$tsnY@&z6y?8c0<Rbk9*XGYLkp6s{&P z9Ua;aO{Q6Qmza^(VjGp(bXG%Trkk1s$fm_oO5Io8l2TnK9n!38J5KuDN<?k-UR|R{ z^sceBaz&cF(wFbp!ojj1uq1xnFq_!Z(s_bM*(!1}=-i^VW}I5lK={hBPhUY4qI_Ip zwmOhi(B^4;6U>6fd4p^l+g=hfMBt<2DKcz*!IcD>?$g^QkNn-vBO!HVK2_HeWkby% z1Uiuy+fWR=)6PEWWo<Eg$&m4Ru=YuO?OQK0<!h$C+NWBWw!E)fUI-DqAvoC!?$1EH zX8h9c9kk?ypnQEAbsa9o-?SRl8dG?O6tyg-c266%ET?ua*QYP8c5l_EFJDzlfp~5C zrT;SUu3Bs((jdwK@NMtAI|r)z-eHMtxC?t>C|{S2ZUWtS6S8~bDPQ|WwI&sMFs$29 zGI$ezyw}J+*`hkF#f^VpTeqQQctGe|7FN60sk-J5?#B_|JfdQFc+qD=!;pb|-5A{O z?Z@qnqkK)-ch@Yo;f;EI`=wup(pv~9@oSUfIfFMg`@?hPYs@|&F|`()b--09g!_S2 z*%gKTLA8FP(s44JMSSPVyq}-)fg}5bWZhdqZ3*dG|4BRr+O_`Ec+KYzjM*nS&mT~g zuYW~7a4BE2MR~^+-f8tc1Qy=$_B|vN-nsNWL=-lWo<!otU%tJ!%RZ?SciY4h+c=2w zjwx(Hzh1_R?=AVmQHvKp;(Mnmw&6hez^Q!wU;uaetbW7cEEVjrCczK1kP$fu^w;|2 z#5<FA#M<mD%C+f6OIFsDt3JJx=#>ZRgR-^Zf?e?N-wrY-j(TqDOT`%4>77q1vaj|E zVxtzezNF{IP(BDKUw`U*05(*pul223i_p40u+b#z4<c&!03Kg>_bkdgxbTj(?;*VK z4z;h9sotYNFqX$F`9%LcmPyb)hSIgQ2fa+MqUt?HUn^CufO~&58y}XpXv>VnknQT9 zBFS6g!yLscpZ&_nTc3TUyxLdW#c0?4yYRv#j(g7qR;G>L9KL36OSdL_W}sLbf!Soe z3V(Z5TfRjqiJX7zi{x4NmXE?ENyv;@k!b@V^7Iw|l@a1~E&fUC3~sE{0V)`{!Ox|2 z4LB5CfO{PMo_SW_*L(+3@|7R<7DDgU@|kq{%rMFl8$tr6i!q_F&_Y#ywmE@Lp0)NX zal3#c^2i77jRIjW2IcFWs0<0U7Q~bBou4^)Z%5MJJ)K4Ski%*6qy(KWDnnZB4be&E zmwx`|-nc^wpq$Txv(afjczJ9=X6mEQg%S&!FiuXt^hf&Nb#wCjI{!i&jNC_*$l@df z<T;eyXwXr(d>T)IaV=}^1b>u;cP#D%zotZzCsy3y(wB%E?=0GqTG)hg;+34%cDs#8 zDB%Q;r$iF^MI%6<PxDFqNRS7OvMbq1D4@Rmg~k-TkNEOO?*v{6dhE;#;MF54@14er z&ln^(R;<Lk0;RqE_<@!+K=35ZOr7onjx;TUeiu2jf?CU0LG9G^JB58hrP&ufl&!K7 z*J@nF9SWueK0SxMT!VdkI{S9JQ+Pr;wbh=LNGq+gm3>HUJhOgHz$b{i3xu*#>3ZQ~ z<`k~rUL2s_>*{i}V;;OqC+YYp`}Lv7dlagQI&yhWy`HX%u^$ZJR~AX0xJiFW*7`W2 zW;GkxZ@Vsw7T2hLT6YMtWQBzh*I+xOBy}D*6&(oLlK{U2sO(f(%765{d>)T5Dm5a; zFmG&?yEDr$Ko9Ys`!-Haj{p6w*N9B0y@_>WL|O+asI;~RIS~QOWZN|WN@oTgBD*MX z+U}iWdLXSlfwM(v%Yt9o1C0=XoZ$waf}G*OFG2ShE3WV>mY@+L&;!~rJlwIm4-vZ0 z1Bh1_bjRO@%l5YB^d3K|hO_9Bq1aj+gQu;TSJOIps+7d0yu5Ok=XreBJ1)q`H|!yS zVr&tbTH4`nF(3P<2f5<vrOK1PR>`ne|Ky@Ac_wA$^nQ#%-NcT(RP?<AqC^g*Pd&VW z;M*Wj9cHE~$O@?t4YPI%?h~31Ge{EMX9y&T=3@yLK?q6#Mc{%+;UWk?(;zS{s1%tO z0@6hqWd@ZZZsUSjP<SbkUA_p?p?*kTFhUgXOq>T}wuV!_+T{3!+_{g)kCGRg!P_n~ zhQ&XKXGQX~m{y@&#W-O|zg1){{p;7(o`Y}YovE$bzocksHp^m4(D^&IR-d2fJZGiS zL$^(7`)14B_7h$b6O>8?f}oTu8T2_aw^6|zlQ;<>!W~;U9f9DE8EQr#poPJUTnwKS zPy(ut<`2(B_!Ws76m8H#+XV)Ok7jvuHT;U3Po<F$8O|3IQnm5imggwV;n{Q+TU>k= z(+B*s$m;cpU08-9YkEQBa)+)~2-Z~fPic61<*W(k4Vawm9rZ?N;}-UhiHp)cW-8!m z6@MM#)}WnYpicT_l441)V>RybzC`5P;E(E%7iJ4fUE1OcrRuyx)giGn_xD}e0Us<0 zhL2tAkgw0tn26O77G9NWD0d(FKDX_n+4q8jUrDpFX>JR<!mh#512vJYh`=3l*CgtI zn&J=K2|+f7?*2$^DYIVMaK|rwWD(m)XVcZ-Y%%6r=|M|v7q8KMrYk*tsVElCk=`*n z2dz0(I-*Z5uyMtG#E<j#?QwBE8&2dKBJJQtf0^(4Gz~JSr|Qo76f;=v^ws+mWV~?! zX$#Q&6W1r6^FOUbbJxcO9CjV>30mH;od?esDNY;r{GE{)?wXMNk^Zwu*Me={o00XQ z@PlI1ldm^w<>3wRlDdet0iY|^wgDvMnR!qtK8OOoP6p)hWW@sR7|zEMvF#R)8{Ov; z&gv=X2&qm3)P!PC33B0SBZRYMY;%NP(F5s-=fC+o`;zUoD#g@5I>HX)hs49LH%cjR z<vVF)XiHIFm!!|=(_LpDbchcQtI_3-OtJ{A<m8U1&6o5texFOcFO%~Kp&cl2adKdA zCk}U4ea}EzruwdA?+t$Qs1y%v{*MR7@dtSHf^3EV`32X6iwpJz7tffyC#6P;0TFlS zGYZ3Z)MAmB<|(4$(&mz(@N<O9^j(}-Rb$+XxoZ7x_$BI5jV^F9%EI+Ww+wWNwR=B& zK4*SG2|^)vFWehG%})xX7;W)B@H%Np@)+CgjP<oiPr6J_x^yJE`YhflJ0x!0E~40` zX+W;7rmOb)Tfwycd-6lZbEJ@_Ll4E#ME(8C2-F6~@7AI9<(V_OS%0M~yszp7tjlPh z6n=7-!En>~#QY^Rbif+#42RK~D3Xcg6d%b-p?Bt6Xd@}s&(5d6f4`QO3kj`!Ng6vx zV{$&y-%MS)cQlufX10@1#%r-#Kw@y#?$R~WQ~~Od2D_hi@FsQEk@V2ZKijiNvLgsn z<qKmp{Jz0j>SIR|WXBh#=s=v<euWuiM;|s~Pn_6x1rD-f3mb7DCTizR>#Tdy^9<aB z#5!!%bLA)OP~(4!#EU~3)`Psq?N>jl|C=L`;JV)v&LOa@Lvx>n@lSk0$^iWRt>@B7 z=DVT(KDOf0)WJ8_@{E^>nhDq250{w8O~MYNLhLK&NYxzlt!?1%m<hKs3lGKP<&GiM zI$6Kl1~%HHuk1b}d(vm>Bc8$GW`z@`AeYb)?oA^by>HauLzvLLEUCpAJXltI-d`D4 z9`wYT$e&+!|HT}^+}H-%OsQrT%38IDxAixZ@5v^-5kHuTBt^&~{5`42(SqlE4ccpe z-~@TX8<-+EwSFmQ1}~z2RB(-O4kGw@DDgw+w~sg4Pp_G;`wb7YHKuCO^Q6Zpe%I-3 zNo}TgMf@ti%s#r&7(3Lb(&bC%E8|G!fK_eYJE{sOj3suLrdVY)NM}1trjwMWoJ1cn zf<2jV!W?+RF57t%JGTS>sAI2j6YH;Si&+KOkq1?f_gsT}m|0(~uv!P&Q3l!Jg@y8l zks9g_8ZLm=o?@@L5hvOcPq){Fb=DE~Y=C>XSW8!SmS{TaRn896LLHAgXjkDT5{qM! zt)Cx?+ok&1m{!kVi3KOi6}cJlVz-wDa|H&-Bya_KxBYD9o+6Hz>M3uoV7ueb)+MsU z#F!$k3EW%FqVu12sFDh5tMl#rin1mdxEbzexX@S8>uk8t^=sV?rDq7-Q){@;Vd#O; z<A%MK!fL(2dKhR&8e~TlR^~wL+;N2*WQP(q&lfgtxX^CsfzXo%?wK}Rm<)?1;9Xo@ zVD9cK7tPLkQ1je=c#U{x!er16UqiWK^DFJ(i_h}Y+YY^N$;sak^s!fJyOC=co%nsc z;mYCKjzCw8xW4oADx_tSj94sfm4Ch{_;~YhlT%8`^2)caW}<xdWO3^BHH&|WQOnWc zDMVOmM)XO`a{_MF>$#I>ZXmaNrwzez0q6lw!t5UXN)#TeCSZ3ZP`-1h%f?e}*8=fA zYsT|S)u>5gVV%M+{$O<YdmU(R3K%&2UST4ZHX`GR4_dQcSP}k$pD(%0_=v2l??TFU zj^5X5PspjqZ!;ui*J_uxMK@~(LO3DcNDjp-;qRZe9fgL&qffn5{1th~h<of+5oz5x z1=hsB!)*R^WjV{m`iGG@3_Wo9^_@*&)f>Vlp3S#JVSgvwjWF+pe1x8Jdq@Pv;Ccn6 zFk;@bgD+45j)HVZmS&jT!kySwgm4=r2CDM4I5;NdW_yC(wF%-LXKBTXm%pJeuA8&C zCYSu>sWrgE<bkp}j=JiOnjwx_@EjccMB(j~fCw+zs+s>WmOl%XzYV3w?DI1Yl31Iu z`|z}<@^f}hehI$jZ=I%?5BKFJ7ndhlI2NQ2JvQl*#qHeTuf?^w4}Dm)Hi<Qt^Ghnk z&1{;hDGJaI3T>S&si>8E)z$ifX+@X`+-j*rwy3E-<%uXV<f^Qa->^6;OHweL<#jZF zqChAzdO~ng#QOCx$N%-6T4AmT`JyD3V-*{2R^aQG%7&<mJD+yH3I`;qeU%N!`cyDb zXoN&yi!)>1VaKqaNii!PkZ>0DTIzDuMmm!1CR*_<k~+};&mXbEFE2U}cU?xM{DTUQ zSqaFqL<k6alvb4)1SA417z7joNEif!15V||W|l%w&85Z4RSn4(&B-0Da<N$)n<U93 z3t5A_J`fO0sjeboxpNL(pbk0Fb{|Dc`QtO}D?aad)ewnyRwgJNFm<M%EEj;Swk;JP zsJ1P<%B^IGM-ZW6c<M@Nh(aQGs%_SfR2a4tIaMr+8=pM|PfXClBeaNnercBxuwPXZ zu4)%n+>p9)MPhG7I>7eYp=z72B?Qb8wn5yzW#lMe5-c2Xt)0_<gPS=YfqAn4`J}zj zqN3<k!E*BxkHNFuL2nVm8w2B*8O$Md&pg;$yApq;Wib8vsIzu-nEFDm3Oh(F@s=z+ z@m2u!k}7u8$ka*Qkr!XQ!Dn`~l@zX)2Tky7nU#0fqRy`1&wZyy8*M0-jl)g~9?RdI zpj)^Hl!}3mTzcjn3puE5WYqAl5N#zZe8(r%#8JqxS3+rIT!?*GqUj7Nd|2Y?R^dVV zFO@qWAm^ADhEu5Y$j2M+c|^b=99U(2^VTy=F@A8~0n%6Rs3YMnAZ-w|ndV=wk+qbb zpyJ@0g}DaWQZOLYPOKzk?|u`uvi>em?nKzQ_T|Mp<<#%{H}In@2o0h1$y+0Qf-C%( zt5gHuv`e(>>i2);HMU(##(s@_HLFJRzB5Pm`&TdALCs)2x%v1}S#{CYbJGG-xR&C& zZq9FX!GYd0&q0j&LC<H3H|X<civ-|D7rWlXm%I{3YgQ*{b-c>*IkJvfP0~@X;KcuJ zcm@2HPRqAa@vhoJ$HogA{*Fs|@lN3H(@Cqp_#=INN@O!Vla8j}o&C<WDc`Ac4IOqo zBQt46`92-|GdX`wFC?_$2VNcGcR|Ef-RSi6(pIva@M#MOyoX}DB)ab0yZ!epDDFY4 zrU*++y#WlxHRkHhM$PIdF5=iO;_W)-x=LoqE6krGQ7t4JtjMCQwQC%r5By3-1T%az zjyri!T7r@<o8gx`x6a<3g{(g#E_wN<fVO;A<fzZ&*rlyN3jKj@MU^I?4CIlVd#nnw ziN(K1Yn!JD@J2nxmR^0pbScO^j)n7X&OPSxX%$wvLV@$n$vrmqIijJzqi$QKroUVB zX?>@1)e35<%{}&NYoreFCOO`T=@3G?dK1$T3Fi@*d;9_}gP#75v#l5a5bl^`IyB+# zHKbPu;4*0FJ(yQ+WzdBPk87pTA5d3p67k=lUU|iIC?a`yORr`i-hrf7DUk2TVmeSj z-US}V`|X)1pbX}FKVhaFWuGD3yBakb8Y~udtiMySUWCW>G1Py-1}HMgXQw``vN5>@ z2u@Mk(v?1{YFuYJ-Vn2wD@PH6EYv**ZVI7Z=$ceB?$mq%k_Z<NX^g#IkdRhPZZTX6 zt@#)pNJuOumlocs&|{L_j|Hho=X38e7+dlR>*`*@$ex8;nP<jEU~B(QZ}>I8cX^*4 z`EeYw;F7&}o3iROIY->(nCVy~zC}^_@>~Co1TiydA^Rw=E=8fSB(HV6zb}F*4Lt;K zXXJLGfg8vmHdqp!zmPTga1C{_SK$Vi`-O9Eln4^^zw*$-HN?M`iYi%L-HQ1lC=8!+ zJIEPyWdg|KoDc}Ol~?HqX7Cr~SgCPjyP`_js=N^S1)bj(5#FAC`YpX5i1kp?d4zbk z7SnMA?-8DR+z9vJ?{Q3rxL`06!GyRe>Z&p(Y6!Q|D}&zp<amubKp*j{V4Vr^5aBkn zPsD&N?oSLkx-3WtIQTrD3_AKd_BO?s$|uJ@f-FCtXki=w$PMa1Z&QrM_o7)T06fr- ztL+KluMIB62;qyf<YLd1v=FWeXae*xu1o=Qlq=H>Cd4KK3c`1&--Aw40X1@)5DWdC zM4MtFz8Bt#Y2Q0kd>>D-50vH4`sJi?0+@Zgf!c0utw3#!wh?G;-)5#CPiBzw6vjkX z9ot3{@b9tOT7fxqYHMYp5NH&#Bwx|TeYpRANV4~KAxq6r;8GxxD~OiZU@+hh9JUd5 zSPpO4EUN~$QPY#eZwBCSmHJKFa9{B=II83qUShX)4woO=hLQHYa1AT+tB1@5Umh<m z5{WG>epKH7av?9K<7=iLzKdiv{G%Kr#c+Dlp06ZB;#y?G)R+Io0NeS?nomd6AFh*U zWZgNLtaszK-(i~8eY+#Sh9pghd8!M=t6MEs+!luok+ud@aP*S5`c_~B{ZXh<Qd8|| z&^BobC@JkSRGXC%1NZRH^mBuI;H<cav5mZI?9qcND0GbdJ6-s7qWwBuNOgukcg`f1 zAhjC~zQ>@qCAKjR#pX2nz{sP}uFR&m?>a~DU3%0O9@|*6Ao+FKxBO>X)vuopUsqUg zxfM^UsTBuBZ@7G@e;Z?=o-M(u6Xi(p)QbX*1;3GlR`~~E@sdND&j+Gzx6=3Gp$%8O zG4dN`(d2$?;hio|B}jw*Aci?%ui3D^=1taZ?bu#ty@y{r2lse)x*!Do(Pv%iGrXe> zYvv7m@cHu+du@buY0&Tvt!D|`Lt*&Ij@a9WEv?f9z30sk@oYyj-l{H>6Nj+f%H+&* za`;U_G<lvc?+9+mQ)S<)f2RwlEr4`Ki2jf@Qva^?>j%V&FI$gruUo=~Wh(#jeJ|C( zMg`=%nQ1YzE|GV*PbIH*o_>Wgf5fj<7Q_94ie46jrtr?MT_&G4%$%Oh?iYZ260Gu- zu-9CzG~}_3Ft`zDg4m*mruq!!3^+*biPvVo`+y}7QiLNriF-{l)>!W&P>+7I-UkUb z%!IL3Nx-EtcIkJhwRe<c4Si!Ve2sC;h(tl6i63e;y^39aZjO7%fYuRTNM5~NaHyNx zsC_&cq|T+9t;)l-Ak}X)vhb2i(4hTgl?Tx<*Jm89J_p3|pGOMi#>%+++U>oJXRkb- zRPDXjyV^eJ&^dqQf%QX|Vy`1~>TI;koXi-<&*3abD9G-GB+)%;*frSw4pV|9i0zqR zKYT>Qdv{__a8IZKxW~{6Wz80QEr6BKo_M}PtIMzn)N{)h_R#>`ZphiLHE3vsWrP+~ zK@gS)?m0C)JJlU`dn(zD>{@DGaq(c~WZ&Iz2w#1#h2|b2ZyI6CL%ARdUmbMjY8zcV zSDv-#Gw#V6<tGg$GGF#}aM-6Rq2n2#yAhwWpl+mbiq`mU=KNv~lwCqp8|19jM832W zA1mtZv&AM>&lQra^MDlP-FfrBzHGCxbC^KCY@>PLsgw7{j+X9F{dOur*Y5I@%}dHP z=Ro2c11mB0)*!W8GJW)sVu>A7X$lD`Ze);Yzbzzs9)j|Ph#>%;A&5@tFvn%3q`363 zp>%KfNS+<7tm^1sYt5^QCDtSJc#miNe))*(f#=i&QvTik+eeE`swe0Pbg`kGzCVrQ zX~sKc!bK|>X}YtN(o}Snla<E{CO)tefKzt1d6?(rA$$>vgHyQ^r2$5N#rym2{)ih% zT<RLt$QLu=%zXRoyZg3p6KevopP{%Pfnc)co6^RHZ_IA_P{tN>)%9Y)o5*a7$@ob} zb-0^{XRAumGlZq7xD06i1dSi<i-7qp#g1dl^R>0L2w>JCNY?<P$IY1_4}2!z;E-V$ zm=EpXE~y&S$no0p4mqo{-H5ZuvyyOBw_}ZaF~Bo@xoyK$KqkYUG-jIrRW5?lQpQ4M z>1$JVdj1=s;<C@w?yWy#JdDN#INCIPbiP|1KW}>-@q!%08;T$x_ztOTAJxk0xq*$3 zrkzg#TvIZ_KGHD>HY?dmHF%{6w8z(`3L4%7xx+U_And~(yI~)H@Zqubp@mEBgiA*~ zmO#ELc}KIAsquX$Kc>W_nCo-MIHq*anv*QHbPU+cAEmKScsV>Xr5k&$tYsqe0BvHx zq<y8C^~#I5i4ge+@0UTbU<&cR>~jZ>9MSE7<BR49iJqJ5&5_aCkMQ-_)dq=Qs-H`L z+;EEPw^qBJMRgMVyvY2%{O6Bk-c8;&1a&RWk!43t!Ruk91_uP?7g$=2%q9l|ttJcI z9DXv=h3w#TU9bhIUCI%|pAZu3nx$Z4BXZlq@S?Ogb=;6)>)k1Imc7-Dl=lVXH;630 z+Qn}K4uaZi?c^#i;5;&n0&9s@bR%9I!nyFH@ID#$<LBMP-GWc;g-b^$_eBsOUGc!S z1+^i84ZMAv?S1}&mb&3CkX(3BW>8iH!7J}TM;o9KL>CGa7v#2ER}+`%ER7}_r^pvS zT^fHz)#EJgr=hy}&ESF98)d6rIdLqcp*iz(ET+NJh($XPtjCA;FleiYDQ-`sqUz03 z*If%5iPU1W*dW$R@1yC#SDU$8UASSTE?Nyjx_=}LLW&Iz=6m+5Plq9uXR~{g>)+zo z+zFVg`$L8y&Ydg0y!la$pf|&Chlq7i2n%qwxF}!!ibmc?@Wo&rqhXIC*`lF*#X6S8 zX2n=h1!v-|s9>|=t&D>+5mq?BnPe-K;7r70KI~Cs+h-_W;cWRv_RqB^LY)0uf$xy9 ze4f#5VqHyC0WT<*Kqq=HC<$pS`zP>zGp_Dy01pG&F;6r*<~~Y@EV$ymmsb2@+CFd~ zA;P3B!X)1Nn~?YpT~ecgY()m!mb6XKgu9Mlr5G%EMw#Lk{^H;S-Xjg+45Zr)w+V0c z8?*@0bnxkfD_sQz!C7sBg5Y%r;IQH9A`k>{R@|^{S=)Gc+k`>OAAE$UC|l60vylXc zd#NsXX+Q8+5Aa#P8(TID)2F;bLiGxoNpwv0Dp1ugy5fajqQAI`$!pd{tNO}pa!f_s zG{CCG>Q{OGYLA?_NoW7n08PCv>eufLO`+>Nzsnk^F~TXt%iZw|(u99=>LpqqzW>@d zq9y)HyH)OF`<m6cb;h-7c}wVbz)Gv|aW(2a=(7;rC_UZB!zZp;@7EX=CZptvaoH&F z|H{C-{zRL|Hga|pg!j|I@)HL~+C{9xvlAVT{V`@6s}%yBM9p|MirN=?g72r6ZrY~a z9!5U(FgTSRzN#g^^ZTIOykgqBjjMo_t{YZI)b1VioXh}TFRXlT(w!W9uXMJ1FgSL< zvN1U3=Z)D;LD*#EU~W_G7+Z#V@4|IaL|rS*mXs~>?Ah<%{`O-By=VlvjA3~B(T9`@ zs4;W+w7Lp1yb52jS988ZnO5gxaje$hh3@*we)L-GAzTkvLig}84s)+!c}-kUJ2Z6= z^R$!!L!+4Rr^BvlWbI2!Ns{m6JE(?VUQwHiga<#h&I=AQ%Zer>&ky+g<?YlcQ*U0P zBvVIvnMi4r6b7>t`!UVyFoN}AR^CRQ&Ha#J&Vg`l60Ql^xv&QpSAieYBjy6ZUAF@D z?ebkNf>I7t`qE8*Cv5epotNLuW#EX_5w%r+<zMfUHyQxFvB16Y$Qap*eOk)X*0&+r z>pjlGW*_~6t1Dbr?5iRM#%~ESss#~@KSn8$<nv!_NtqWEFixr9cR+6l8aq|@N|)fk z5=|M~WJ}z_zb6k(#J@-LN^jn>)l<$=-PBu9K)h=8)0WLEwa=C^94<~W?9NVdCcK^# zm29#`(ALi5oPAc#>z?fHk6Ve8IjBvD4;g!#DT5Z&zpj%KA<97;)Gv%cEfVuGN`s6= ziW4^sm053c`Ih!>2@>kWVyW_t%Xwcc&uolWe<acCz|G?WTvbt@+Vyr`P6LU5G5s3) ziNqGchWs&~+jcy+O53l!kf$0v1-VWmjA{bcbX{|dD8Z9n+k9)=w(YmJZQHhO+xG5T z+qP|c_cr&}-Q|9h=}u*msp_PrE1Bx5@6(K`5v8l072-}oA%Bg$Bvec5F@Ey-=)0!O zR~CMOI$4m}AV7yQ95IqPHIfPUJ@um=StB?WBC+{;=}1j~@wge)D8elJDhhgNyX!Ud zO$$?pHlU}mGOemfzp#X@|Kwo23(3;g3WLzLW(lm}As#zB+|5*I_d`=p-B;~joH@g0 zQ<p*%la#_F%$HRBvmHHb#OzB?>%qIhemNgn7mM1d2k{u0Eb$GAg^8h$O?;G^hiwtQ zlrK&AkoAf7lMyX4YVtSAB)#+ipMq_HYjLa!J=bn4JNt6#NlA{g-hSbEc{I6gu(9wI zn}flC0fz5E8PM7Q5k}ro$iQS0i1-AwdWu`Zr=l@y_k&UePw=pQ#^8_ax&n6v;pM%v z((TPBj)0F!F%xz)w70m<^8<8$*axca_d7iOT&$_p?7g%|xjKsQ1UFSgWJ>w5Z3evR zM)guwf?gVm2?E(??=OUIMK$SVOIs(`P-G{U2y~D_L}x0Y|L(QMW;aR%iYpC57LbHJ zGy-;nP(9F5$xXNA*3C#Oqos-IaXpjc^yP`PphwA~&wA9_3rFehibKN@@>`R?rWmva zcCCNu+%lmFy)L`ZpDaD{&8t+3boY{B>~4}1y0gW%T)bN7kOfy;;0(3JNR@|4J|S^E ziyLKK8|xf?@$Xqr)UVAAJm5JeCg4@WHr<`s+jv=cdQ3$i1a#BjvA_=&sLJ3UWR5DT zVwefE^spO6cP+I@W09n41~KYmALC;>rfyqyPNC52?6X?g?8*%^9qbFXxGK)53x_8W z=Bj5)E>t<=m}=fa_O0C)Mf?ee3mH(R<!&0|WXh}*$Bs5-Bs=-7{M&g?>5aP-gLHQU zfpcw1qAWApwIlU#uIwAPt^xQPA<*1AJZ0uQWk%g(pHuZrxEH33_S7weRzcqR;(u8H zI6MgZO)v0W0+tH|I(TNSl=ZAy5eNQz`?rwxc<6Dq>bYP5Se%4N9s+OQ<?)$1`Desc zDipf#pIh^f=Snl`t!hlApYRUuofNMLu>!ZX>u=NnH`0baJs8uzBp!z=<SlN8B-<HC zt?%)pLfb80;jL|HT<jP7pxqtg0!*<B{#ccibgd1~_LGRF!}@7nq(3I#;pc08^?dSj z*ow02az~DjZc?RmhvMoRrV(lxlOF}c_`_&JaONc_@fjXNTHBe@*5#bG%ejltn*yYe zk#;Ma#flR9=1hJ5&)+Pu&;a!z*S0{`1|_hOZ4CeNkA`r6|EN4{@j8rAK6_q}!5Uyy zrYt=+Glq;jl_FJ-<&qn81NPdDO2KJG@(R^CmI5sZf25DH=cc-A=GjEB{E9I16gx+? zM8wms?;{;AqwpTlo!83s+`j;*6={zL5gGuwtDZWWlb0GV|8bpbBz!WPWX&x#rZ(!K zPZee470wpMRvWK0Ky}LQ_RE!M=W<Z%a27GyTgG;=_q&)7#S`lGFL0x^f=IxXr!D&Y zaT+1Kb&nIag?Ib-a6NlxOW?&R-d?xVRkU5_gbomna1)~v%#lNt-$nZtzdua}R5FxA z#a3l%#!!OC(07!iK}v$xv&IfkAEMvRQdf89F2r6;7}iW#jzJzKB*Dw4v($X7*L)|% zd*u_kvu0JVm~i|m`HEr2d>meqSEO(-t%6D%@ER-TRwyYZ$ow?%z+YASWQy^~iJ3|j zH#8Kgj%(0z_%IJKwnoZH0o#)*C^<-hsxZ<J$gK_?rg<OU;arP>k$-~?c(5he>0UEz zztIrSNkWQC#22!dQ7oZr9iWu45tnoA{vAG)5}1nV1f$UnVSjrNm1-!awe|lNy(v!$ z?h;CY@05xVx1;e3Cw!zm(~TYV^SQ!p0R$Ixjb=L7#9g$;pThe9`t`c;FK_zX-3oG| zieQ4*$U@I}1Fm`kde@`)Cp|y0nih4<_;3E)dI~(f&}n#<2(tRF_`1M8=`j=zKk$LF zjAXoCR%f|cYUr3z%0)i=#>8L-q7+E~Vs|TKkf6;k4bB>e7;BR#9bQItJNOQXDk)(a zugw4D*HJ<gS$2q-Tqq0~VC%~CqYFQJQp^rKO)W&_fOmCQ3O+Eg@<))Bk7c^Un-^V| zwX%k2VV~nb*CayG<mR|7t_^jErD)UnLpA!D_|VAx)pasKCvY=^Bk^1snb5Y9vgFT3 z7$|BB-Q^?DM3i}9j(_(tV#a?nIfV%q##2Doq)yf0E+rU&t3al~&uWF@crLA^XF@cs zyoe2Ir3rSP$eHEDLd0yrX6Z%XTaWK7_%pcZz5bWL*#M$Vy0hgHuB#7=xkHVE6~&i) zr%FGlYPHSn01ZS2;Hel#2|V?#AhS1Y!D+-4nLSMYCvq3}H2a$_;1>>0`SyOX7vi1) zoAq0y?y{>T3+hq!^@Nt9=`9KgsrTqon$48z`)}x}W1bt3hbocZ1W<?&*OG6b{2K~_ zsxb9mq5vRte_z&=x(%73PVk?0U0@H@Pe+!59e?zN&FBkynEMiHHAlpg2wNLsN9A?y z60sm1{?JQ1sV`scGf0#wjxfhBHC&zXuI>OlaCpq*D!Y$l8np=UQBTge%VJC^Cl=8t zSeyNIVaV`d@j}73CrIndWf;ewz<pK|u5#MB=)EK{CYUj<C_k?RRH0Vthe4A9WEfP$ zd&=|2BNnc2ED|i+=O^68y5m3G;Kr_Vpq@QtCSVo53j<JH^>3|a;mu3kNpX4?zLf%i zI$*mT!f&2-n@(7;V3;A3m_TnJ5hoX0Zy*@oOP@oIPuE%;AiZc@&wX;?irjx%3ExOh zOPLE81kjkgUGU9b1C@LLI44KeltGOAv;OeICTa$p0p(f?_|=8hQD9UlLYt~q!G#$A zf&9E##C0DO+C+iBrwKIUB7iyfI)^htmi#fhl2q8w!Cu~+Er)t;03r$PsRu(8?y3hT z=?@fpIgR(WdjN)jW-K+*xfPYEM$Fpg%pC`sO3}~qbaq<g^}?x{E`9trH#}`qmkK;e zCS74>0eg}3Zw<9vNKPpVFvQM>nWLD(i?YFVTGV?2a-UFw86z~hcbm1<q4{fnn#_sn z*8g25^B?>9e5WD$)W~kyry+}lb4%Ce61ILRITp;0Ex7y!wP{Qyi%SigEud@&InJ?D zshQwo9YtFUtT^?hnZ*s=b$MwSykqDrQWV<h?4rbiE_;`1X#F21;}H3g)ZAFrkvQGx zdNppAU_vxb;}FMl>hr)AK#hQ@0Rk8NK>CdOZq7xk+Z=B-N5fL$ICeffXrMJmASvqf zeP^?XcfGL2lM|vlQt9s4v^sEgKz9*}xV22K_IFn-P7Z%WsgkPSf@4mjv|L9Vny9~v z09(mKy_s;sbd;jtz!C4_VUb4%L2|49CLdoZdLI0a@(fJ@hZIfUAI51Eaqf`EK&s~F z%z!tnE*Xzx8i9hdUPcdicAU70oClA5$0EyUdz#wmAx_}zXf-zTSU;p}&*@U|4o0x! zI=8=6kG#t&o(up;Hc|SW=@@Y=tV;TI@w@TA=~51x0*sH6gq_Lpt-9lIU(&Z+PiG{} zZE#D6YTVX@QT;u05wJ{E4gY(X4M~$vR2Pa%`5=<&Am9YtsRUv7t^w%jb^jKR$eBQh zU0=(-@2`Ab?=N%tuge?x^cki~gF63VBtPQZQhAe--PV=|OCgp775xHU4j;n%pV!@~ ze3_}ze>mk5=PffM6~)O|kcx{1a#W<`j{}hf%w>;?fQm0Oqft#V&_5sb3Ej88Ba%6! z5AB8A6B1-Z2p82M!iiPsx$pK>KK7$Y6xCAF)-BW%qQgBax+!sDpbIUblHv;_89Wp2 zCRbO{d<VGu>&c;Gm9|qHE11>zbfKcShQZDGtcZ*bzDLvDSHP1+6ZczaaJw;>07gWu zAA&Z<0X9OcpEF*Yl<DTNw@JO2m`=A4s#)IY|5zB`H4$u;$UEMl%@2wdY{z7QT}|k2 z8mBTI{@{Th_N#)y2#z#TNq=d~D}g8-u<Q(U$DA7^xED4(Z^@c?OjZ_IZ_&FtW3d%J zfKX^sR6A==(SRU)sHS9Zk`OqineRtRNyJ=L#?pF0t{`K@C_6sh$t!3h12aa)QICw@ zOpoJe)!i(wleXYRk?vz1k0OUwS-Nkl2yjruWX-V0+9iQcDI_WgHGMZkw?rOj{aI^0 zZdVfHnxz8GKtTOqp0oLtz@=UqCIoMYQCGOzw4L~R_Hr}{K4xjCC|`yA8Y)tf--$Qc zES*;zmdf<|%Hq71z=7v2)10QvXa-p8<5W`2h2_&oT=Vsbfvdy<4nkuhg6_NHXa%5( zLKptN-1L%XcnbXN*FfNqli?wX+C><sg@X(LiWUMxzK7rK*5nHk=5NtORKx*=?}{#d z1=Hnu=A-_TG|x)^Q@j<j`Ukdh`d>z|Hvh?aIBT^Y%%1YeGq+JAOU7=sQcu7sx~*e^ zsI6!BomJCupUiHM_%M#Z#ot#^_O2YQ_0|P5b9Bus2C$yVa{*)@=-CsQZdGPu4WHV@ zj|?3Sgz?o61IuQY!{?I=x1N`BRu;_1Qo=*Xf$%^%^-SreQZKp_6WUu_#Ob7)8+9hS zHD*DDH6o20l8|KM56VZnPJQ#p3%ls3tU(sk;tI_HyvTM2FGosWYnh(Z4NUMAmMg*? zbZA>jyJaPqJV(w{So-e|dsFR<G4-%j$`r5mu%W=FAUZ(Df(n92?8)(b<FX8VnTZnt zPX~XmT%LzKN31;R?ykm$gD-u%HMm((PXm+-ZHQdf76h!w^lixCdE?LbWW1gj+!8hZ ztbg8VYst>u3rOmc&(&-CdT)KueO%i$OcU+@u!>kfAn$`%UZzk9UmrBp8xcO)3!wWZ z08c?po)jP&CY4;pSGh%h$W+5_@SiX6)G24EeE~c!Uh&;p4D{41p#>gDMRM5u_u;iH zMWH~OX{>^SN8uQ9;06?)A#V+feSwx9!zTBKEnxd>tEq#7+u&dpAW}v1(v5q*jg{%Z znO|D?AJUDD?n1K^L2P|JEqcJWslQ9z8=IkZw%PgJYSh(H`U7_HzQEHJ?Xdr#{b00z z`|N>t^$8BPO?R&JPR6wHLw>wH2N~~9Rr<p1kYO>Pb1!|Hu0#XDyB$2_Zs|0R-5&D> z1;4+0>+d%e1pgp}zYdfxVD8{!g!CDM(}nI87J5pDUHsrEBHgGw&)uBS>~CnjREw@U z7N(_ETn3(0B}>_-KU5n6bax_l?05?mWafv)y$%Iwt_9#f{3zM%jj)HMclTWP+23;b z>CMo=U6)G~aJ=f}E_QwBTtzZ$X|CBg?(HmNY1&(c#3jAu@YHoZ{~XhT4DCJd>L$!W zKGuk_!;L!x(24_eM<QP1i@3qHiXm9V#{pj-kr11(&6!e#z^R+C^~I4Re`J?$dF;9- zJ9qc4q6nrwr-I>N;~2cqbHBc$iG%g@fD;0#Y^FyzzitvF1T;=ee-7r5W6yJ9uL|v! z^U)i@H~o1=fQkm<6A|d{$5Y7D$%n81i~9@aWsp@DDsE;Ow7B>!*^8qLK6#=Tiw<p$ zqb@+%#NuQC-{hag(>4Qi3B%0-R<hG4yqoGu&v1LKT&hWqj-3Pm2NigaA9*CWV&&=< zBk9Mk*AOYo?Dpnf%5%HbO(8K7%C94>I%bgdw}$60{p?=XLiBKLM;vfUS%b|~%oZ<` ziNmAEgPg&Ps<pyO+2V708z64Il!HV?rYPOjlm8L{3g3`N+;xlV%LvZDlrJ=nMos;W zFsqG8v-%j2;ib5@y}Yw$@%x5{PQCqbAgPWF3=+!ok=C-Aj=9qx*%^Gky|GExHNk#Y z;xu0-`@8S`eBKfKjF+;R!mtpM&o^!6cP34@urP%nEq3m;<-4x$qpgCx+iWex$~Sr+ zx+=7#eI>qrK!n3#FI5W~*R8RkG04uellC;r9|jYLb4*GibAQ*2I&JixC=D^Vi5{vv z|2I&<Sy^D?7kTfv2!Z@9irKeW@V@=yuxqt4jAaVdT?*E^H@Ft)lP#eloo>G8UjIO( ze3R2sG5^vIepYq7s+c2w)pxjXeh7~(vQw0d0o+rbEUvnkHhkoF-Xu#rVrXLMszMfH z8XLIUZJ>ByffrCE(D2#Gy3e_<?%8YcQ<RRGN`w5mYq?o`PR00r@bj4P4<M*N>bvGF zT{hsth;v>`<{+*eff$ccn|(=pp#G)Eb_@R$Me-^y_ai%~PrZbnSWlrYUBNx!Yd3)A zfNQU1&N#odoJ0v+CbS2Zc50NYwF^teq5Dg-WO9TjU|ur<nOLR+2SUaPZ#Z~~5}|>_ zPbWf*SYoKa;t)I!&l9|q3UH0r0I*DBEl{jiEP}QZkSF}e$*!FWsQlCjzfD^li~z(8 z0qq%OF5g5a(ilu-&MIu-?C*VlZpH|Qep#?h_6!P#QhS-qF^U(8E=h9p{3wwn@IbBz z{8Th!btK4L0Jbl%HPJ*o7(eeZ4bCn(_r^wtRIf2s;gkQ#trjZ(v$JB$mO*1&qXQP` zeF$VZ=wiGk4CkWzepNuQ8w-y<MAuHF(B5ag(Mc}_HHw1J(9D)qoUxZK2j>c`l?kvT zDZt7>?iOwV=28yD4!TO|zD~8@DYD@|T@U)cD}<iZ9{<Y1K?^Ap(=QLxs8I|zhlaa? z;B*DwZ)TI)t%c8RBNpKfl+#Ki^y0?7Z{72g<qZ4a=&^KQvQcF1dx%9u9WUGUoH%c7 zh`IgKzvDfn|CshYwb;A&Aux!CtW<@C-T((jd9L}sDmdRNV|n`Vo5;L<>^jmO()*rk zC}0^(Su+!_X<_(S2+;<0IFsY8XrAPW9f7N9-r@>=7#l#a%#;fd3MF_Z*Om9~&?~Wr zG2l@rFkdGa3-|R!P<a$6#JZ$3J)&-<LsTH0xCPXz<wKLIKWMx5HHc|0PChq1sscn& z((KK)A!Pa#^(De(4LG0#x=r^H4kJpGHo_<!IsDK~LW3Lt{sy#B>H;P|u&jG}no@bh z?MRu*Tl*|Cg<*q9y}KN%@E0>JF(eSAirKoz8r%*wS&r^=xFpsn$!H)jg-x^AtlGKA zqOKkl;t^VUHt&{{em+C>_>g@XKO##wS=0<YYMYNe)}_NzWlYpQen7Kt--8b^HyUk- z(#)>H>L6Tdd@gu9BYv6}k{MVXSij1!T6>KKy^3JW_Mq?}c$h$o-W>n=MQlD*IKaz3 zNB_Q;sTbmXZxyOEa$fm1oYwUyWDc?3`LUjLhEtvVxl27<mknx!n&w*~TmB4N;SEE- zdK<6@n>ddDad{y-Aq?@28UjA`He}VeossA=I81&yJessk?Z_#*WabR%(K_P&D<w7s z_n^8O!|d-v4S*{_oY2-m9browMw;MdmyRx{jsF9VhLD$@;XSNt{L##?YZ#*;xPSC= zNudRdcJn%X?Z3!<F+w+IuM6vnH_&Xb>h1b8e7uu<D&&TIgZ_c$sB}||^u_pojEHY2 z{0`nZx~;i}<bNpjGWfA5a87j`rvTVKAp)Y8Hl^QIi|vlBh`KFr+<@N{KkpVn33uL1 zoLNxz=79IDvsysl122}#GF%ArTT7&LtNwPsU}@j1*%!qO27I(OVQb!wrVMP$fyIhZ z31-A%CI!C90nH0Pdm3ITX8b)Jnq+I0iFvbXXCYfpuwYbdZ;=A$PMC8WZJkha*&ZM; z%{{ADWFws8T=l31eYUHyRB84;t?{5%0`d%rUb}#usxp(!wGR@m8dW|@dkeT>Ez_WP zrX}4J#pUQ#pu>+6I9<X^RtV6U;ufP)M8XrV-}KO$TYK=A5eCrP_uJP)^wX5L7b)z; z=!+U9)IGueZwYzBaT~6bHs{s*lXFoe8EhqAC>NA^)4|qx{%LAT{T9r~Js{&#YK5?G zhJimwRp}jjBhUtR{1EK+x9j>OMlfg1O_W@A8d?9>8ikVc#{BH|L!cp{oRdHSn46cR zkRgxO4s^2TrSGuDkO~4&GrkmxLfa#`Yr&VVB`r!!D<8J)K`TjUcO?h?-fJU~EW-;g zY5I>A70P*>wB8g#f>$$?o2#e(_4_LG?e0(B0~X`U(d7gn@8G63o^34R&qd$jJP_1~ z_b)<|ry-4hzXQ+6h!*@Jp15x6N*)F==WEuRD4f4ewEuTEof}Ajs$80P`fHa`gO`_1 zW)(SVIY5VgoIe{d37Z-BLMcC<@(E4AYPn*-9wtRUe77WSCG-3Wq*Wg$k{0}y%~zTZ zD|!wC7p*MC=nC8OTI`co630pAL|c#W^Jdq31RfTBzxxL}X1T>%+PcnO?8~>&GgX>^ zEE*$9=jt)`{!42+g2~ZU4o{Jubw`FiP_?jICsVh*gg8vgYr8KmyxXN&#++=`-kW2C zO_q!rLqU;)?Ic>`Y^{3sx>!8GW<%NsbiI{Uy)8@17EX2-pRc`=8sv^gbjZP&3yJbn zJ2L&+i|Z{`5wxk309}Yu=<&m8CE$~_pb-TD;Q-jEdZLn2s)6n+^r`2ljM`h6WIeXA zB(8(l$x+tN7z<K-IjM95ML#%&Kqdm{P2HUjd(Mcr))0m%gxrL~oH@jYQEwoB)>d|Y z{trxLBng<uAqk?65j;Q%<8}ec4v5@q<QFHm56wc_Uz?gCtuH_#3L%CN5!(C#iQ$)v z3IDfDbY1o(eL=JN3#xChSm^WkljDdUxGLZqeDQ6Dz=tFnKqqH=nBUUAP?w6Q5OL?- z0m>AzJw@upuIzhmVm~qtT>llp)|iG_7?A7O7w2zNdYVdvdW+2r(8f_bj5Xd#t9nlx zyo{0kCdbI$ca6R^-;JbCGYts*p-hV{UBz<X=0=8J+S7iz`EvD0SV3>eK-ldYl}Eq~ z*e#`Ai;g&4J{tC4VipWDbd{z%R>%64YfgAq%5k(yuS&wrOGyGSCj%9y8)Tq3B+5z8 zJ8`L?&qF0)mZd`V!+y8XJtjuwl7_|3N@tWdzM7HNZhGGUCO*oGw|$h}L>n|a+nGla zKQwbV{qymJCm#c^!hm-Ra{Q{`dH-tKb7<wwU?TT)8a`mCh1~H`IPO65voxF;_q{ha zy=x0CGj8V+009jOBeqbvl{!)9uUX&+q!p}#riK92guV^ayK(~{k6qKiLP+PaS7Q@d zqndsf4R;hHnauA(UlGu#9z9y~-tU7Rr`i^3UU1<%z$K0oPDg2t=u5TQ24Zd?&CJF^ zB%PX3A(Pdo<IEUJG(dGUjJXmYRfA^3^KKpEU_vPM*zLEC1SP9LSACb>@wz~kzf``z zAlp$BN)#n=nqQXUYK<nyXM9vxEr2~NLHY|yUyEI+N|8hlRx>ANFwQhIq-+Y2o(F29 zAI9Q^RPj@*Dt;$Ou}t(hp7N#(X^Jv8F<Fys_obpq<YdxQ$3jCFYL{%ue5eIY97L6V zPDakC5hzCL9VBn7SVz$wH`KIL{uI`Y%@{cLHT@C1Tj7VHBtmW;NxHJ!#mk~za9UD4 z<{<3)8IUFG22>gH$J*iI+TmK}<G7k1W1G_SNY5y<$yK41l}i<FGy5Fu2X_ot7HdSt zQTOnYycsfM({J7C>!))v1ZI!!Lc*ieB6zaaxtv}daPA+I1_HxupFMYq|GMJDCj;-G z9(v}Kmf0Y`h$x)q#2o;-uV3b-q?{DBcQ@u^rOOm-XdK*K3IADF2g_RtaZG1u(D60y z`sHq@t|zVeeU&aRz9#{u51KcJmb5(S(#)j*qq$33l9k6)f1?sP`ugE%s;N?+%2vOB z$}|-8PPKRw$zWrM^Eh)B47sZjMMU~gpB<6y)we3{s~2X{rzmCR-Uqy<7Q%H&w8q$x zr@!XuV}1I3fDuj_Jy;KAaIQ$qh#X#g`{zK~cM)sKr8-F@?@ZXL8zv_gyipoU!wQ%K z#Xfi%*$%JL{jo;QVGH7s?vy^Tg}EPri;)No61%<}<AorBqBs_&7^Y^%z&)Hy4p)p+ z#3FBIj;V^aoirayfi6QM+gIIS_R1VlDLq4EmCf2tI%q<aM1e3aENmE9{3m<2g(!hS z(sbvv1t|K>OQl6Y(KF^FicC_U^0PDD|7Yl`%1d>5*$YUbi+pZo%y5s0aUtQK@}34< za%&^CyagU}*w;}gtf{2D>iDXp2K&H(Jt63dxE`rGj@e~aE?=l)XKpa)DzP?h!!mR$ z4X@P)4wIMLt9K{v;EBSH*n!x=*^ekN7-V%CaXvB6_RBep8t&R&CTI2=fO9n$`(IDp z2ay-ffm}zOXo-wY(cHu;c^R!#>1(gSx6@6AxpK5xOsT=`%JGS@%Hn7lAOq>dxS~Ro zl{wB9Z}S9xtWDY^CJF8@jq(&lxD57+({Fi=ghVY@O@f_?yUI~cf;<I~Dgr@WbX)dS zkFr^W%d$zDzFyg~m`?kQEBi&|tmO1|&!$IrVtn)FA)|OUDu^H0cg#ao0Ta^pwrV(V zNzj^de7pTd%y(C=@7l98-{G*+@*v+LmYd;cC<h~oQF-I2Cr6P}g|BHaxqYVWSY%K| z4m`V{(0SJTjJ$%&K^;$+zP51Eg+6~Jj05>DipfL1Lq&D@OX|k_!YHKW{3f6f3G6jJ zNCC+bh&UX5uvNJ_M$jrDRP)LQs3V^DylObh!4mxAAY<a1@z|N+Q^J6H!`wz-^deGf zB3#AjH6`5}N)tJRW)GMxXv~J7q_fyH*tLqx0<NfHNQL^<n3I|ccFWwF!&2uf@-Jn3 zKr1)(Z!a+zudvdk=XPr2eXJlac!=}3qUwNs3SVxWvEz3ah5L!QdMg?#KF`dL$I~U4 zuc}pVoNvcY6@}zZ@j_LPSzY(o$Hzm|mgu9LJIxf+6`aUpGMdp^o#l`2SPRkVee*gl zs)p2uV_S^#ij+>5fUi#!(IY^Kz>lyM&v0$#Ilm{ARHmzX&$6d;8E(H;e}hmx&X?uD zAFB-q94I)L=r+^AHjnULHgT?U%ACZ@zTQ~!>(38-A1HjjU~s;H7LuEkVrmfVKe+ZY z0rpQ&zP2eY^8(!9=Y6_Se>NHqx0;ef)Sx2UO#9mmhITUZvY8QP+==29Z~AQOt=FId zGh_T@5Ly0U|3S2$2>~=10*Kewy4$^}o|k<OJ5iE4^g@~8c-YkyMsfWXLFoMxr#l(w zYq&A*Jkfv-<s&TohI9~t;cJ`Zf`p%=I7xhnPfE;|NkmNUX2d-t1Krzy`x@U%Uus}_ ziA2ieONQv;AJ~;0&8fggK`j9u@9f;S!1*3W``1(GJ@4D12=`(EjKCO=%D1k9M0(-A zzU&9C_;`QVhl^tdCq7a2d|`C)%Iu?N{)N~j*L0Gb(Dh+dWGjADhaJS`ZVOgjYw*cB zAt<Wh2Nsw+0cA&iRHqHpCLUbFG#~Yd7$`;vaHQVvK%5^sYp^tT%QI*TYtjc3+D{+V z6~bPJde!z$p_8jz?PU^P{bSG_y~?wts!`qCt2+Aa+7FibHmDQ#u~sHDT_zONOSIiP z+8#3)zA*m+KMq90tv?X{J-1|lp0^O8Yd9}<@VvbE-kP6olyKZW>CN3K>!+q{OKNx~ zxQW~Fs4aR?a?<sonCCe&&)GdT*;${j)$4mXCG93^ya$`-^UrZl63+?$j!eM}FsZwI zg)A^pE*MN@VNMUY*dLg#11p{Y*Ci?EEPDX9lD%%$FM+~6cnR`Wjna7VMpHBQ7|)#} z;|*|;;oTgq=Jz+7P@)a)WFiIk@XHt?QKj$|+D|sN9$UM>N>gNwnf3D`MO4R~q^45` zEqkLdgN-p!mTvkggFMQ*Eh*Ry1PuocJVPr~AC32jIY*m|&bM=~yi>2;Y{A7`v6CjP z*|a>1!q&m_8L?}PIs8xftK9P1MpLLO(CHoz3y?HRrByIETkLt+j0IV!@Dp~o+ux%z zk|D<eGnO?5lhD2#{BMxEtQ#Z_fqjn0SI>#2#O|iV<=1D2*JrgqjmUb}+7p@H6MXv| zeXq~?h)DT}qC|Q;ci1T5SrB(w5aC(c>k@HpF$r#P`(t5w*<DC81clku`PsySX0nlG z_q(z7C$(rZ7)hh`{W}ALJBkCX=zV4}F?s7vO7WxSBIM$S5W5@b!iW7di7nLVn{Ubj zpJGTHZcT~o6z#r7;}rK^iIpY^9<|H`p0(s*<YJH%Z>z6~!X~K+Mr_OlQ?4xxe8xoY zYHx|FZ_OBQQ_9qyX6Td~TOME`Hb@6_Hb^W5cMGsB;^*M2&7rH!;Hy)}fS|bmpnCwO zgDd(jR{}0q@-E_hTt;Cy0e_+a9^=qI=Erni!EN@oVCS?3!>tqAqU&lyEhmp^Yq`{C zGGKsBWqPicpPEwh+R6?1mFV%I{#@w4@(?@qpd_5s<k+PLe*2x(Z6GBvDZ{M&{p1(y z;1XobW*W#0Vef#Px9%93q%P;;FzWfoF_i#4nm;`F()<OO<xM~RjSnZn2PeY*%)-OX z;aa|^1Q25Io-hBD1KCy&dt-b94-~|QLa;Nwn+=vXqhz%^N#l>0el+fsJM`L)?IBNP za7S=4yIE|U2oC_xw(L5CK2XG`CgFS8JHETHS4X~Z=B<q+{&aJEUN5?-^)uuC3=kuN zB0|Thi=XWdUZX>T(y>c(iQ5(T_##2{uAT>ocFhc)S9`*@VNbVqIoyvHV4hPbm1F7N z&%(Wzm1CC}`2BoV*EmBCR!kn5E6<w5aE>4XStyG-po}~4#3E`7!%L0C7w3NsCvmSe zhFs$@o6C)(VioR1GdQ|bMG{<a@#+S-dRh75Ne{3)ChwK7X}`)8Iah^MZ&zWoO#j`% zpXY@Y<ooUi(`ift%zc2dW}VHVHQ6D;BgLC^@W`TWaDz9xScJF<4XIz*a)Ikd9Ct?q zwPC`0tk{ViL-My|^>4{v2!<Fa#uzC2d-bu*ABf`M5VXh8XD`9~ME>?c(VekDoXQeu zf_zDdQfUSR10!^Us$J8fd`Wk)WJalUMyX^%;dBH2fb@pGnN?FVJZ?;=Oc-x!!49!s z7-^B6xhUE$@LfUIyf#C$Bnt0<C<4}dUxNHtMDdqP@>H)=F03{~(Kdp^tE6wM8S#|6 ztIQuJ;D-8Cgm*gN2BgL(?KPT5$fC7kOk<qs0<4G4{%A;%@TAynnNqB1Z{O3k6z-Jc zzHdFALf2{`Zfo+4;_FRRNgqF(sB8kcD2heGpNNpQaHR4Hkh?y$j|}=RPo6EyJq-$r z&QkaeJd_XBDUU~&Z&tG{oOb}OAXEd-eP37z{<$TGyZ6(5!c~2(PI>^Jt=(E>aSd}) z=XUmo%EOniQg35-*KRc^kXCH(z6niA4o7cOiVmqB`a_VN5jk&k4o^WN!<EVhdxjA~ z-G!pRwJ1_=M9;CWAMXwWld+j}wLKjma$yubXCB4JGx;T7>2u=p`4mK5)Lfe>P}ZBk zT-No_iZY#1cAFtW(cjSl;0)`ph@mEZb5zZ{MYlLzG_Wfs%P!w{=Wehc^3xTk=OWG1 z&q`kWL|Qb1(m9DuC)H%^KP)1B4Cxf~-}s-|j3R)V=$nq1(a>{m4bU|l(aX3ym4*m% z@T~6vzyxf-q&PvfJ+vn3$|a`^_aK>FC4K~h7QMSwM31sn_1c<q)6@g7qhn?il{D&< zYrQnn7`ffh^f0jsOZ*k*u9Zw~Tdwmh@}oFb{Pr?Jn%Y`1oZ_uA`w|~ge_X_wp{*o% zH;}~8<z>;Jcv%L(0eoYf$86_md(Z1eneDD06h9Loekq;&thUuems_k)dZZO7LAJtI zPJ~k_2X64(Styj9|B4(u^^&O4zY`QjbLp4v*_EM|Q)o5lmDcx4F^J$RTsDCS=Z5qb z(*t!Y9MI@rPD1P;4$2}E7()^ozr9Mz1STDYCmn?eSp()5RL`QI^#n#|r-+XOmFX3| zPo9Rxu+lzCR*S3e&0}y`>ynWm09$7vT4y21@UU&Nl6Aq<i`wtC2$~%nu9|0=9ptH6 zI2%VPkwi^kLIs2s6yTRt?+S#J<owYosm3qMn@##-Lls<ti`WwgkrtB#sxiKbfzw08 zk|pt>y_8u>_m6eX+i>UU?rqO2u-WRsuZCjJ$9m;yfvHyROl@`xO9^p3(K<zb4HW5M zl_j+QdWnx;Da%N)K(gn-&&AD7|1}VO`HWC5RD_ZH74_8|@ZxWkl)X~d^~tlN=;8(r zLSZLRP9N{O0aLA&=d`F|C!NGBf-cE=-V#bjrq?R<$Q#dyyB{2PJn(3!b{@iW9xyy< zw-D)*w?Ek1JYJtY?vVYo=s8so4Nkc9-*q|pdEb)XZl}P_5x?gb(C0#+vuu_#9EP)O z+Ouq=5%)>W*C04AK|;ZEq-l{eOEQ40alq6t3&0eYgpZLwE=vuB+(=amN3-cdX3F0M z9qu3~JQbB~JqiryO|}I#meja)Rd@|mVv9s64&l3ck6|~bh;o~!fZV5mPN#s3U@R&Q zboW)q)u}z}QDsI-vfg5t8baF|BbypxTMi<Qw(u8f-7oC!UlY6(va!L{J)oO#!Z_9} z*!+Bn@Qxcp)}HLBGEf#L)Vjgdl>dqj^%Wq_<V?FHl!kOx9v%l3kY3eH82v=+<jj5V zcx^NXi8K-fvFP;9My}qt<kvs*{aWn?VeY)odTFj&|H2r?!5g~a4Eq64>cc?k!;I@! zfuzMI@m+69+|-GCQ`C8y%?5<eEfd8dHo9oe|J<U*^xJ>O9AGot2x6a1VV{ISCgFEK z5OoU$s!3{pp<ZTcfo4SR==*wj<r-b=zI?|2ILuj$TxD55eI;!eHzTvG-$rFB4-AJD z55*blg0QC%?uX-k5kS0v1>UFe>xK7K2-wi|IQOgDEd0}f_?VLGse|KSenEJw?rjp1 zGpmQUg|LMM;_6PX;UgU~wkm~f4-Z|y*aauU=Ocrp$#yZ7+Dua);@M%$VH_Peq;*v- zV-<#c=N0%`Yz1gyh~MD*H)Ol#&HtzzjD=v6nEH;6>vtJ*`nq_l)?bFnn?C(OXPj;N zm_TbjY0Dh3a-U2b<v5tOVq}=)su1rBL0=nLTxnxc(|bra9mjIk$@j=y3y1p@r}h#a zb2AoW>N~jF;75~H+B97S1LCBY{+M2SlU>lh6XMLhqvpC8`g=PsiaE6f|8}>wBL_Jz zLhiqha%8xYKh7h+<Bw$E+ZqSErXjZ+z}@M!HHNxIg}CUA>TY3_WYr^V_sr+h2)fdV zI?#zal0n?~g*fxqx~Sqd3}1QJxJC8V#H_|A7)1o1lB_duYDGCJ1@$sBXojOg&q?CX zaekZ_Q;8v$^nC!awOKEarkn5-l)6vtp^ti-E!g%YXKnCl$b+2orPHIud9PppE0916 z072S*N7^nxYEgtw?_Q<s-=q}WqU_(=7jXv1Z&wU*Rtj=e3UVeU|EGo_=M3pxW+3yo z<$H7>3KBMMEBBA~nSl&MiyOnH`Juj1RLx{PU_w1J-Ddv1B6|(9cwjVs;a;M`gV}5k zxMr?bpv5s%l{)Tn6#(#-dls?s4P6JF8QvAAi;M2`#rEs?8lQ^Gz&51W+C=dxT4|*` zSGe3o3tVp1x7K8DSB=}qbE4pe$iUI-sq_RK&nYB{S#JS!VSB1f(J=vCS7f;NIm`_h z{7=jN&6WIieb<cOvjp31qf$wVs4Y%+aBG{=G+lLOL&<<8Wn@kC#~=<);MeR&*wK`P zThpqdcMh8DH)gHB%thlzPWwL<?F)kE3BTvHr<v!Oq^Tj1DG=L*H#1)@U0+mQy*fVc z6Z`X|M5h@fqB$Jman^BRPV*FyWfz*H)19@Qt#+G-WLncNZPr-x<Ak7T>NoA*{JI)i z*8zPAtw7G`S^lX3zaU$Wo|&2gQa}AfYL3kiXKP-$Q>`77>j^=<^!aB_I$U!e3k`ur z*nSNEKbf$JJ4=`I(5X|tag*u|g7XoOna43D{m_@R_zXa;wxZF0T8UB&+q&iwCxl1) zMm{fIaCFf3kUBNXQl|^h>(~|f*v}#b>Zwe~K9!cW8QYe4aMD<NKksTg;wGg8kvh0> zy0Vd~)z{<ZP%81hJDxb&(<$=jW0voMXIFcr`K<;@siG}3&4j$T4|@yG<ES^F)O41p zM*lioEjFQmFE-M4&g$3OxLqxHOt&G+EKl;wyk^-rU+uC^Xm(wKHPWRyYudmWBtG$_ zX}Lb6o5;u<j)yV#l90bbd$d$4ww+UT`ow*yrWInV@1icACv_?v6r=@UBqawkF3gS; z8iEJ_8+>*?yTN{e|5;R4Rg%@-2cuQQ!DMJ*iQo5vuv9n9J5BG{3PLU|=*e|YA%qzD zSH>QN{hXi8N+Nnt2Giim{m(gNMQzS$xRK|(<aVx$j><3^m)tz5POnw`R7uYm9B8We zp5i?3JOs7oNI7rpQeO<eN7ybWrrfYIDr|zYn}K+(viDp-OLnD-c+kDr5T_r<&nEdq zdE{DQfsPjel3`+m;|%GOQBic}-M+WZ%`Nw2VXNqACe86_a@3U+6$#o9shuAgWrl-< zIjqf9!zWINEOlH9Ze2_&aL70=HWJUGPPLUEDjyBa$;rZ;Q@PJamV*!Fy^}k^Wx}3E z(vCfG$z})7Up|N{ZP+#j+Tpu`q5GoIHP_yK_$G7a#>taVe&GK~0fV3b002M$&}k+} zG5+NOJqH2+&_MzK!2Nyd=<eubY^`f#>}YOkqw8j%@1V<|>*(ZcK<i>=WR;z!E4M+9 zGPLtRL7fu<qO90rQz%z<Q6g!U%^Bp@m^Da+MsQt|{k>MIJ3m<LCzt0FY4LOEX?xAl zJ^sdlb#aukxWC=-dXc*KmWKzwmOZXq&tbSgcvWA?ZTjF5sPAY_Ty3-*>5v?kN0TW_ znkcV?+3tD%)$=x7Ysyz*h&wV6+g*8aA&)ZFPuXc~Vp-*Nn9gh=e=9>6HX@xKR<D~u z{qe7YY*;vhuTH82s)f&Tk@~N5J585mNM!WBL3IQA#HR~mPx@5lz(Ja^hql_(`{g4C zNkbIAtAD#zPt-Bw2Mk5ww?r5$eoB%N^&w}#;@N};ufF%OkV5?S0Rr8wveZ1gVAh(h zHGVLiW_Z_u^#?K$MT7Ow>3k2mhG{>P8?04KbA6H?jC}j4+sO8944sfTYQh!{fZw<z z<q$0wdr*58zzOUu$<sF{#5p<kq{QDm&A)M)f73L7h1okB0e>3-eH#G*8-bAt;lF;e z87V*jmlPH}V0Lw-<kGr}9Wej8+(E@1bbDVGKkLigAHd;gF}i%Z;VfAo{}F&;$pg`T zgS4xp01Rd=kN|K2SqDM3t<<jD%Vq<3S#!f#9YL~Z5sU@T<A@Bgv5xa%dKR+Sn|FL5 z+uPs+tn}$PA_MOJ^|2ua_!GrgN9iwOehkb9Y<w{c%m-F(LluhHvv(Y3Sqj46xA|-c z1fZYU#sO%zny^^}z&{zRk&uZB5DJZHAz@<xfIUsWny{{aU`GAdOO9y7zI%&hv>(n{ zC=@U7>&yi1SKac^C(0z1^tmmpO{i9I_I1x+qwN{wC55Hhm+|q%6yo%H<Nimyo9%bS zkh&Blg>{RQmR$+jdGMT58C0nPXIc>k&eaCpW2yMN;tH;&_r$+L`*tf2f#;nUe`wbl z+miHCB;7~1?(VF3_ZD(@Ot&53SMJKmoCOr;hZi|&z_^d?eN~NL4%VtQ!lfJEJLPTd z7$XuStwprw<R9_=_bwqm%G)ae1OONX0RRC1-6ckbcC>ERR?&+SHih(mKzE%VP|zw} z<j0Kxnk5zOr6$7oh4H7VsDVn<gsebFg^th2XoQ!_l7~_pKi9sE8GO&-QP~ShhLX6U z8pt8#BG)!)<)1rC(&+2DjWPgK(q@n4<AR1REo?|~ZvNq`tJ{DCJo-&funfdqlk8pd z=#uup^GO<ZxPz*MR$@o7@I!`8z<pjq06@h(fh)WJWvO#8$P;hirN1C|LgK=Wrr|B& z03CVJQu^2Yec%$iHl-$m#rpg{`ASu;Am4Qs;!_FZE`7mZBS#|yo>qv~i@18T05&c( z(r4XK8@I-A9;~8@a&n<-!^Yen6C5l9DfDIB%Amdv<^QUb+k1(P)nRh@gT&4yn8dmy zartn`t&uU}mX=>-@e+}*GyKYT4mt}LxVkl@g@K#~EB2YQ;(P_&>&~!3D~GzlSUsnD z+1c0;(|V{uPFEtK$#(yFi(#`rxF23;yrsh}E3Av{TcLKm#O#EA%Un;k@Q1FBh&D)K zS-OyL<WN#3M>c4)+4dffNhh_GwJLqIA^gF^`Bm-^{v>?zhWAH4a_#bexDw_!;LYf3 z969~Eu=#fo{ilFuZlh~xYvW|>=0xjeWJo1T6HZ4yPA5)7S*|ooLp>!;BX9S7=lUE2 zZwGt-=o<d=;u-^Q598npD^Jh7z(h~~H%u1%Y>bYQLS=SpB9@Lql3I#}Qa1QZR(g6Y z=7@6GpvKg$%M@Uh-&7S3FE}pmuWFe7SUCp=|G@o17$a8-G{FD<{(jd9@EfH6`y%+A z|KBKW{@2XiQO?eZ*2&Nc`2Q#fhoU}U|9w&Fcl`Me3TPdS^c^LrC8As>=px`9?42gY z=;)+q7#EqC85PK7X~v}|$EqZ$$0lbQ8ziYEr$?!!l<Aq}>HopC{~v4RNko?mzvkYE z00A)nlQl;(eLLeRZE!DTk>!)^TU<7}q=^BCP3>Oel`9bmKzlCb)#5e~C1G!{ung@C zf(Y6gERRq>B|z9P1HkYCAW2B&cr+1|Dm^+s0Rds99*&bq8YYSx&@T7a4HBjwu9qyP zqv>pp6TX+N`8?U|nPt%*2WOq$F2Gi{s|2nh^XDm!K!?nhDT>jvY$o;>SD6hMY$q|F zZ5cLn<|(t3oTVn^ULP3>t~PU@>)p-I4TldMFa0e}=krgk&)(@9#X-KajoN;vY|d2Y zn~`u8GFnFRxjqQZ5%^k;oM)noq=#HY>%|u37nS;!El!=a8mTJh&Iv3v=YsMr4d<Ar zb`COIKWmJ+cOLRgrZzd*olUS53>oW8Z%|zuqhjF&P8VyOi`8c9PT6V?)~0h&qNGwQ zA}V4!)7FdS7Ht<IDr}jHadUgA3sRMCGa|Mb-%K@?rg&*jwF*_)Z)*b)VGfmxq(}|( zxsa;$z;ozPiskZ)Bb*K97EEC*_F@Qg1C~c4i8uL5`KEj*mU^q)b}t$W7PKMk1!5Fb z1V|T2mX-`*Eb*{-Jhc<y8OsIAPMOM<tM&0?2fFNw&cifW-wd@ANTFIYl54ZALhp^s ziB8wHjvi;9#ozA1YeHaosn2L<R6lENglf84r&m*W5T9RZL8+krNoWpb-h-gta0P5R zwxE2~ILXpVo4iH}8KS=W2bmFdcVzDU8ws|$WG+neYdN|qclS?R^XYm={*$8pT57j= z%%2V-i!Yep!}ZGyIBl-xVg<b~ZVx!!tJR+F8$sj?^lfp(3NBa6g_`&$DO`I-yk?)H z7zjv~dLNpN+xzR4ris(_63<FmnW`OOEO&K_Ot59!dXl<b)V0(c!!KG3PnVXdUvnp@ zCz`#ntn#8r2Pf+2Vug}>&sU&c{>~DQTHWm096J_h*85A;$GayQXLG}pvinRyZX*2B z%rcAPD9%@e6%vJoGA}mS(~S)Ub1nNBP;3nuid4=qcg><I(Bq~PUDn!^oNMjd&0?+_ z77_sH2>cb!>deG`xK}f+-w4(L;n(%k)eW7k7o^5nre6%0#@wBXl~fxNZS_xN3<Za~ z)*--+^-reo5~hcAb71?nFt()*(bc^b;vUEswyTCX2G*QS=kvwdrD><7q$*T5Z%HAd z)yvldrz$0|%B0I3hA)@0I2%ok)h%=HvS8px94eh<S3vhIA-~-Wc3dv)2~nrps~uX^ zVQ!;bWvGbaV$7YTy@bB1i1P8idLkb0R1W@26dpBrj#3*cCuU!8*4-nO`Aa02W7p|h z?Uv{^0X64Q+ci=fN*57kWs0%aR~w}oKSfHZ(=AIh8o3Jfm)BdIEoa-K<1r=8=1bLB z6j!SxOXs+-+FQ+<nK|Vuv1VnCv6UOG(#<u8i^b*3%2^#%InK_^B%PY2%$251I+?OO zb|9<EEX3HlSk+w4)=S+vOH>(zI+#z@mW3(&3z}NlIodlN(^E~=w%RvFjDyK(iDO9V zSXt6i@niYYCSZ`KS0+Jzys}`RkIszb_$y;glQC*+q60~1T00#Q`+_ds7bfFPqlA6# zHlh&Usfl9<tv{JIw((0GQ|IW_BV#GBRo{=((lcfhHnw`Ow+;rF7~<_w$7*L_%lLF; zHCux1$7fo(UisxzJE}XF(ioJhqm*GKcY2qb6*8?gPt}@3Nju3*GF2OcWu<^fmK#FS zI)oVYA;JUPl$e^Wo`ns-ygKM=u3_flaQQX{My-*~H~8N=+k0LYeEaGyCX2G#8=t^C zGTNHCmax&RCa}DZf)~@Lpaphf`HlNLDNj3QTQ-EYny%rcQj3hFp<)$Jy=G<2Zr#r2 z2B?^GA=M3@F6Il>TSr|*;>{r#a~-NpXR9^&ESq`9h%!5j9~JM*5JVcaVyV7PXCA*S zlu;;_9cr`E+HLP{8RqF2DrfQVr5Vql&*B3<ga3TxFrS?Ua4q=ES0iCR1U;Mee}-)I zcC1(Bu%2`CWLGh{oN2bK)SWLm|CXBL$o`SJSd30{ru-UdIyce?w#~0F7`QgTW4`P) zv<UOA)!)(UwOQ@0U%`Cl3hAEZkG3sj&8>+msgzY5kZ3b0vg^0XP@qF9D9(Jf4EwpQ zO;r7csuYe4s^*CeJHla;)<1iOG+E7P)GCu{j!5C2#jr%Hm()#X-Km46pVY<J$0hJD z0bF36t}llS22}kXH#2Ff`e17er0=rp)}8&v$+Q&_C|7x#Byt^$Ul59K?4v7n>e~Zi zEwl4@J9PtdRt2$s1QDrfs2vW6Gm%KD(otw3G*2Wn-)Z<LD%xM6SMM&ei71-#kvJMS zLFHtPpFJn}QjBjJqBH-&G8Bs=p1a2qnNX!Wg%X){{C=k8X8uA;QS?$Psz_Z>j(_Od z$?I<rEN!2d(9uS&wa`Y9(ELh>aOq5lNTGJ)&MBIXC_+ccWSxjXj%Ie4nq?2*qzGtv z15LJZ72|(<fBkxf7nyhIElSY77?DUE*QM*=iOK7w3UGP-sCJ{}Yb#e-OCeerj$e?E zZ&ZwLG<m*i_?dXtMi-L>7n!E*&AQij3~9(Ju=hL|YuAcbEP4D6%xv=)*1X#8<<wDZ zRVD5&<8G0P?MN8ji>uI4;1ZdaiYSUbQX;H))7~7msf1X+vMu_84w)FMel`1~D32yQ zH8wV~O&kzkygH(j+Ly4X7GE!vCWg+@Jmw}UJ%$e_DoZ9jMJ<k3vGSfv-`I|^o!>!` zsHGIr3$j#Li&DQkF6yNWc&4m&`e7X@y0?Bfm?rj>C%*cHNbDW4EPmVXtJImzMHQ%} zAms^R!pwYpe(*d<e*_nqzZh5ZcwE%uNI^nyN+&#pEw<UXb-|Uw8%|wRh<_|0G>;`S zH}#T8rA*jX2>JJL|3qr>vTr%@yTp8PkBuGa;sLVf-54tO{X4gtvEzuWFP}(ByzZ5= zwy%eS-sA=p3GgI>I*(*3^Op{WJ7VKsHS*`I$Ggo6vz$rl-FYw~vz<Ny!3%su0{bL& zdyQ@nnjM5EJkA>ZJ^LJbt9NaYekKt`FJB3gvOeviymrNgF575gvm_CD@Sg$CbpJ?6 z6UR%eA~wX)sS<b}r6_s#YNVigqknkcx(UySiXBFHBy@*TAAdbCA{pqrCrMdo^pxOJ zDgHi4SAL1*8m{O>-&3?hp1`Y2%tMOaZonKJv*FyU0)nH2`Kh^5^k02_1yozzwlxKc zYq8+&6oM6p;_hBFxD_uBMS}%zao6G$C{Rj~1PM-o0!4~Dg+Q^Qf4+O)d-uI>-20!5 zWaK1g&#ZmUUUTgvd(D+Df2w)&o@qbz3?aSG%azbJn02nMI1SoX{Q(`N;r|gz{Frox zt2pJDp!$0&gvrudf1_=Bl1z4cgazo&QqLKk|JrAx-s^28T&&c0>BXPoIJH3v-!!H@ zAA4VlOwkpiOWnJHGi@?eD~`$I`C}9gDPpT>ZBkX``y_+A?3vdUgd2NxmOA>1Q~W)} z>C6(&dE7UT5!aDnbyzog`)yCZlovNEo<6-VV%qCCd#B-v9KJhM{sYA4?21#y35t{B z<psq}AA}yDw<!t5BqJ(|8^>K&9uU5LImPMugmPW)Ad=AnX8p}ynPKHv;?-DOZ<O^I zhwCh-3CUDfImYjs^40oH7KBR~l8fm%BD*hexv188(bNcN>8K~maY<EIIrWFD)Q#0u z2a5W$e7l+U-&yJXVQ(le_1{oORn%K2cq|K^dT-Fi`mneqsW=VnDQ|9+R2AId>zXiR z!M{@HlG^z+!?Y}8$hS|UIE|&9zOWIt$HO%`mjV&N$mQ@>y4imBJm`d%({Z3K047lb zr>ubgXx@Io={TMokhnaUkpE4sQEHo)U9G*5o~|**O>r7fQQwny7|=O#)t@!1k|s3A zSMAg{Je;gaC@n5sSPo7o_X<;G^(c+aP@Z1DzF&QrCiIi9I^g@d)PzqnXLtEcLOC{) zm6p6}$I=~W6K?w}IMdm<e);OCseKw*wF;4^ktJ4~VtKS)?6Hpa)q6J<DCxeUM<$!` z{xZyF+1pw7+s_}T;Pwiv+)}*JBupbwTK~ty$w5KRIu$Ox+~AroiQHiV57sqRD!DbS z)9~kK_ZH2hwMbV;Wpc`0^iWq4*B)WdM)G9>)4qF;>UN{&Q2gvo{i(wf{WL)f$`z}C z;Ks7rje7J$jz|ZN`uhU2PxthLjjt>er#0-+yIRJ7n2@hVxqm%irA#Pa$Gjhx@;hvq zux+l-M<s(!;aByy*xEEB@@)9!^qeR|RLmO>Ib4WNV`XDHeO}{SVZHIMy-^Cd>Cbgb zA(8`AG$^r_H~N-Uc~G<IV-<9&8VC@_%oxNl-{YufdZQ@LdTihd;drKdU;KJ3b}Oi} z+6Nmqs;jIR7_M;ibl!WsgYOpm)|>S}pEq<1RE3r99es`8=hnMOy1cU5k3>86?sc6K z&<1^zXn>ZYVH<fS)ObRAA{8?V!VSKOH%5MGLVCvj6Jx7WSL}nlx&!LkbE}fF#sDad zrDWokk0oZI?*&;H^P)6yXof-PCTS=)P3Y~{oA<#tBR~Bxole_vaY?9)Nep8)-?JlT zvgX7l&q`M$^Ag*Z1e&XkdRe~&M%%QPYKsxakQl^}%u*#EaMJfZ??7b<wP_`A2;F8U z+9EK|Tf?GltjXJJv?^)0eja3SKr)<>%@Q*(%HO1lGdi!;CCC`FX%LffhcjwiDj614 zm&UJOVvol58MnOyC()7ZgJ)fhXR{UPN6>tM-V53cNe9cm@(>J1QO#Gr?F$)frJj%) z&xBHht^a$2pqGQ>3eC%gCO0Uhl8IrFFmB?cGQ%WAwLJ25@hHsw#3d`=-Pa-bX@lwG z*(^yhLtYtqaf7-wgVkY4xAP@b(<Wz;wI7{Cj37hKr->6jx*?*vNz8^xGw-TzXBizU z1JM;*pjlJDCz67WO1vgSr5OEI<M*gb4!)S>s1At<YX0&2vz)jURIPnv(f#ZTSK-3k zq?X2tr{Rk4+o(($x!-@p%sCF(>B7t8gxYt{HprT#68%aES&3s{1~Gzn7u-b$vyE<_ zefQTSVK>n`!5u*W2h$c2{x>l-ojD_t`g?PYTVcjlKlIweCWmk0DG_UJhqd4QlWR}j zCUlyPkes%)mznJlWZh?YS`5MLAxniZS9EXNI&p$<PV`78jOgN2y(`#mb{B9iAF!Vf zG(elbTRvT_@#_t^d8pmX+dS#sXx29_6X(CXj3;&G{xUz~*JJiIePEATRbizdp)>gI zU_^Q+8&`UKRnt57cc3rVj3;N#b4#5&q2+c5C%Hv1*Wymi*Q*N_#~-%|L}RZ%A8|Gm ze7HM%-acH(qoK3(mh|=ScMZXFZ`>)yZC=YEpi%Q9pMRH|+v1X38uyeVW>-o+<TZBD z{ZtIBbEh3@R7jE*lRM~_Y*)25Zx6*L$XFt?zq)z<{@61#oi*==Hm?Cs*yandcquk= z>gCrJwIr@^#)RhEyQp$cRz3@bH*|qfk?3;so5>C7>_1Zrj9Nwew7zJ5Gpy5?<jC^< zRb<X}Xn4IyJ{^-M7qX2kbs}aPW)tdHTlzXeK%w&M?h$N+T#EVo`(MwNG>zI_wnLLU z{}d%_X;?&*x|f`_N`*wXflAc`1C0BpxmKiixV_2$jPn+*YpGQTZvLLZ;7+I0DTFI_ zG_a}OQov*Uh11=2S)}%|49?dblVj_$qmB5SQ<<DU+RPj_XetJ8s#ASX2~u&c61J46 zA3z3L432a<qr&#GLXnNAsME-qdotM+j!3PJ$V(YAV;vdAsj?B@7F60dl4xCI##23H zvg+>~*NVBF9=kdsA1bh3)foH=CuOngrd&<Tu2Koopj1EUNLRDu*l7<znqtKA967&P z-Y{yoyYG1aMU~i~v~}8jzI@v8rz!DdIW?JT3itb^E#VpUJv}6!HZbjDZ_AqQt8o<) z_vGAgrDt+m_>a9ecnG^|I4n~M(n~WqLe38^j4#rIxYG;ISmKAzEc|T|o*}Yg3B^_K z`J13`+TQcTOJKO`pw}aCxlDQ5J2q4)sf~3sh+FkO?pgXbnj4Y#d~P&msn>Ie;6#SO zucxdQNW%yDs;@tAS8vr{r#B!c8np}Oh3xykuhWA#(~WLpdmmWux=96klKA$h6sM?= zfu1wD7<zj8^uhqW9vO1;*Sq>g6WjZPqpa%Trd1m3R-LoM(}t(YSB8Zw5wZ0#c}C0` zVQy;K_gj@Q`9bGJiKC3(+1xtWq4SUu?L45j4Yx_$@)cf*aZ$;InTKI9Fcud81EPXR zMW*=AzY2n-1KZFG5IE$eq8RdkpT#z$Q&T{kJ|MV+63Rhd1|qcv;i2)Fn?hQh00UqE zESoqdQkDrHYC<XxDBY0Y=coXY-rC^V+ARB>@O8^ZKxy1;@uECKxRq9fAkbh4Xdz`3 zl&=lrD24+ts6Oign?Ha^p>}xkdC|H&`a?jc5den%4+lu03n0ds5{7ubnt1x^DXy+b zHY0>wu+<^<$er-j`A-WxkXvpES&N-^2NAf75~?Da^-4z1FF6`YW8;V?e-f=*X945* zFbTl8rG)KugNc*E`O7Ih#kNSC0J;nSc^C9UMc!yIabE;Ki!_mv1}3e%H-@dsA_K&P zib~0iXfTykZalJ;=qZlieKdc01(A{@DL!*It}Q%@(Mu&nm%%N<ON*c7r>8pOj1n?U znBNAgS~-L}J&BY@A)gJLdeHwXdW-ETE^%xEW4VzoKa;*QAgv7`FF=tcf0+p;)`akv zr+j<^r<md2s^ABQ2n#&LcA<(E5(THyDifWplX8sJNereY4GmNtz0;1zWgJamWO?*T zWLVLL#Tmf?)A-oTVI^SVv^0JeQSXbiZy!MY`F41e@9l8(ILJ803^WH*p~Eg|E!7|e z0((5wvJekez&Bk=`CP8m#4ZDTW>g+q709+XJo-DZbXfpwU_O_)Y=+OA%x4R~uQ*`( zVH^R~;Bvql9)vJ{G3k?2uyMfpJiZ)2&GizV<A7B?>&BN}B@9tngfIr?jWU{<!Q#j# z0Mi4XY0h9|JPJa-;M4*wqO)&EQ(FB8Qwg*Ir>nw{xJwA*lOmwavMWIMmQsF1CQClK zCo*P_=ZSnkfB5%}5>)&$7%pVJ1jA_keTGR~b~GMiUuptzg$^w4GaMj5wQp1o<kHEW zv;=}31s2x+5c(Pw1L-fV@dzW}H(v<r6Tj_08$`@v$HQ#da7cGHd5}f#D2yU@UkO1b zCV}8xmxWIK-?1Dz*@Q<xFlHcDS%w4-7`JfUn+g^szpN2ppaR=dcxD@*7w<k$riw&h z)`6^l<CsfuED?ebSnz{mKX%X*cI!0}(Vp30O@<LiSA7-2N_od0%{~s`T4GlZoHDP% zJvFD64M^9FfQDEm^GhlZ8Fg8*Y^!4#%N)Op!@NR%Xb_bWJ1QkCBa($61q0ci6b1pX zG6^NDC5}odmW~nzORXc<mf<pqBQO<+cI5kf6D!Oy<|vLlpwMl3aNIjR71@I{0dTDP z4<bp;8j!Esdw$6YH9&#Ycc3`OD1ffmK9CG(u1S+APeOR)%`aJ6Yt1N*HAYlO$cCkj z?n+cB;eeIGoB<RMuLHH3+Tw|LnG^WGMmqgCqkM;>UB$q};9!g=-w2Vr?q`e+PQxam zU!j!H=lt!&?vZcsM3x}yZ27>&H?Dx!CzP<)GFh<DZg84>1i!Bkky7DPd}ay^+r7oL z;BQ$ape;cVUMQ#er;ig$+H%>82SV&O?j0qWq66kwp>lX7wQ=0w2}XQoc2e8De4EWF z>I%@-r48N+C_3#?eQ4_2AV7T0gfD1W2x1-#DH%-xo}KOk#7QXS*_oFjEfw*ZPgrgD zzL>6sbgA|JnB(>}~*sd(?ooitX`e+ud-2T;AYGK_Mko*|VjtCJ~73EpquuAu@U6 zR@C+8B@62k_WTN|ZPE;#Y^fti$!<YHNv%Z$^k~`<&*DCW`{q&@5{U%?c}6T@mtl*8 zLAZm!{62ADSP6)f14)D&%US?_-6o=#000zDC<{2$WuTF47yvA+zp`dghH*#_00!$# zV5N>*dC(|7u?ITr7%^WYL&B!r2Lh<&0bph<G!Lq1V$r8KJ{(#w4z{Y*PHzKkFtAdE zNQqb&3l>im?WKqhMJYp#L8?UnFjW3bj8CBU#Q=qBWC6_I8SpZZ!>6nh0&2|~H`(Rc zv%uQyqKbZEg%5={BAcj;127s*A9x%YkK_PtMhwdx9hsj2Pn(gwI);FNh5ev&WN&G} z^9dkH%P1hQQ5Mc<jaB`W1p-H>QmR8m64=+5QK^o_vhaB1>(9(xiZIsEPj1Mh@b;fk zDRp7vGiPGj!XFqQdvwTMLL{%mMXn3&`L>!tvbiCQWikBaO-=wWQz3}Tb1J1Ha$0!- z0$Y`7q_!rvGLls$za<cBD|3URY4PR!h~-tpH*T26RgiotGR?q3=j{IsO?4=hZvb%i z0gHL#U1Ut0Yy}xJ*ZVMT@0wP#v?qf^&tTU^<&paUZzz&@w}AL^nb{bO6-kVCooT?0 zHYDdF2hM^!4ms0eo)N?;2*MbeVn*->>3{uF_{<nZVB+`Me6d^dgQ@S}+o>@hKnlvU zzztRx05PdBgyTJg@q79<W4qo^s$I1$yls}h+`<KLsz53Kz+tNb_ztv5b^++BAo<Q* z@7`#uTL7GIGMI{93+e|WS#^L5a6`}bvzm-q8cC|Z?GIBIYHi^N<U2P=&lc^WipH}C zr*@3JN~@`}zIK!FX)}YsM_=*V@w*Ph`HTa+KmK^ZVug&>CJV3lOKn{Nr_G<t(v&BN zrbnv*#3RCx>}@I~QXhQghR>1f)Kj_<kU(BAO(5-WgATyQ9i&m`f%tOGGV%c!;fE)N z(1EyzMvyPT%RV_@hL?QcT?zoq2jBdyB)r%*pi~yN=M;q`Vn`ImdKw-WQI6p{&_anM zBOxJ(mMe!zT=HutqC$QUR!SjJbgU*R)|FiYkfLmpeX%}(Krsq2izgqD4IaOs8XnlA zNwS=%jWj0Eo^t}wqTApRVtKK)iMg<n?v%+=DLF>Bnw>VKqOL#IiGvQKez_uU-QVcd zd2w!NQ;BsK^A_f*G0U(;ize|BV<oocC>`5;7!vL7?t8ZXPACB?%e8r}6pgjnp%o>h zwTX&<pl&WXITb$BaR3JA4`O3d4WHTMp}eU2CP9!?@!D2VoNLC05Jz+2g*g#SOmE^@ znsk=evMDsM8L|L@{>dMFEr?R(Wrj`RNp*jLK+8-y47x694UAHGR5>8y4(!lb<Rkxr zcq{OA8~zDlo7Rx0M2+G!B6{<3ogK2-6j_wu%aKH<&=H3$kJLM97qtBIaBbI|Zb<A- zE|Wr^<4&&<`8y$I&xSw;Z<Li_@)L(^{H1sT>Faux*co^WW{>O0MX3AEb40LKSq3HV zjzGs`xcY*FdAz)!Rv9zhv?<%fWon=3F%^S;rYW!srP+jSaHvEqYp8>{bxp_{drgni z@feQkH0+G`18OgDe_VokjaKEgf-I?b8D~oV(C=*C)K_HxYAgvs;hbUufm(?rg~?v$ zsm!aF#XotaDz*`WQeQD{qZauhf6$p?@kPapegIZ$#tXOQ5bjowk&8vf=`4|oHaRe= z@0yVKMRA!%<7yE1UBT$)5!z$w*+3<|_(v(gF?fQ99+p29GXtQEX~-X}CpYiAvgxOJ z7#2P5Dxuy4#6-CTo&eI0C!8U)a)i!33wzM_&0PzQFvI-8P-*jV=Vdo}ZLLjS>V~xI z>Ob<u#`+EOhl=g6Pnv-mbU8s1=0j&*{5bO>6@D-jss3_&8}%n5Dqmd{#FZC0{ZOa! zyv(`e>ANYM$)~bL*V+KyltTq1%W!A&O_*i~pH_lr%R9audw7Px00i?f{Yp#B8}sf9 zr_8*dLMMIIx8Jz;Vo48_fL>?ckI<K<4h#7uz_?$10KsLZ*GoLpfAr?b)9A)TG2WJh z&j2=J%<O~aYf3z+vy`a_@Y1eJ9l_9FdRqGjC7!XZrbkulGWml56uj4JualRoJ2Jd{ zgL~iF=L}cKuk&U`jVfHzZ(KM_Va8xMrfNKa0c~fiF1YZrjDgKhnfKJtex^fJ#wvuY ze_}$s8%o~NE7|fchl`{El`_i_Qjj-lHt*;LhiRT}g1W|Zc_`UL#g;jt3d;01T-MJh z=faI&0Zn(}lu`9*@Zr)COzg3@0@+*@I!(_ihh1AMBEM`4<JkB5nqn@R!0@UF_MV65 zBtP$Hj5VDIh3iEA$QGmqHe&CnaQ25p2Gf|OuA=+cC!2Eswbd9ssK>H|nR>*Rx$X7f zsK*&%XLqt6eek6Yn_lj~-xA>3CmWr4_RPLqA~4z7@`ODhgwwnhUX#r!)5PHM^9Bfp z$I|2gD8pk5Xu$I8#5rXQ;*tqsM=~t3z0Y#W>V<g*@;}hWRIKv{CZSR_;rT2$z}+LC z1t#VHMszTf6u=SDJgUilCdW=M{lz8s;R=qTlC0`_bx#U2#k@bjaCW63#=UC0R6!k} z_jSYrl53QKNs=3gI$QReZ=g@MxEQF`NnI%+8%;)*Wmvd%KIPVcCu+2#KsB_(isxIe zBk{9wOEAV>znhPvM$gMnhaW|Z3n(|xEJTXYsPB<Q?Aj&gqa(U}@T;AgzNf`YvIofS z#PMM)A-nVt^Q&2jbT*pyjEaBIx@t_fAJ<Q8-m@LgUXMP;d0lZVe(~8AmSs9?d?M<X zf?LmBe7@0Jr?>dQ`QmHIbe3siHcZgkX#pGn%(6N{)TL>%ntu9vO*n^nm96VoMYfT- zYkeWUFf@R~=Yk4&i~rO6sGozr>-hz76v5@F>sCJ)EgQB=uBwknE+Z`ajNsilc(EqR z+qJt8|II4EYKMayhh9{;bUF5nYCvY4d6#NBY0Y*>fQ7S<T|ilg?b=``&KnKWKKWYG z6aJAZUc=L52kwtu1R_{^YWqZo*QXUfg1H%)9~VAZ@x0$VoX;-V<f*5@4tx@AsGRf+ z(VHcpe55Cu{5eQ;!jj~3BaK9wI4XPoP02h)cjgr_#WO8&qq26KZZ9DQ{wLi7G;Qq7 zbZZhh%=E<h>8!(v=rL@&abRp1F!p&IKNW!2hxA7Tv4Ao^Er8Rf^;49t9?mZ?sCDey z1lgb{m8T$qt$p$xE>LU7sS0Bgc`jYCNb+wzxp7GBll{7Z!{3xY4+|(ypk;5s%gxh# z=-r=#YJBLoE5)dQ(5L>kTIXm3z1HG8p7|wj(HulGOUh6j#IM#1=^Vs_<wTy2`FZcP z$BHFCS8<342FCiZoPD$A-D#3a^TYj(?6o^^b(m2R`}n<BLQrq07A<fPbwEJb3*Usn zr*$+YMoZPs5qh6YS}xkhl*8<TE*UNs@*<5Doltx}RTVqYKH13-k;?ou-Wbs|M%+k3 z=jE*eh*Gvs<``~5_Yrkv2n1w`3#18Qr-)tYy65=Nr&|;&{8a8T4Osq&mlK4D9@7=V z&9o&rOztNLc;<8MCnt_2xxJO@f~hS*l{$pUjdWH^Om12;j`k7Gcp-^^LC$z|i2$RW zxL2691+FSJQNqcjcEQkB?6G6Wg$c{C%M2XIt0CF^!dhy_b5_?qsx=ptvPl=Yut2a$ zbq5|wm{;HmFpPLUAq>&#^+665&j8Djauf--_lukm0zNp+XJhL;A9|%g>n~%vc;tR6 z67k_E5)~Q=0x;gdq>WJ>w#GCo8cW~9@XuMus~hoCW8s%clJ3)hAK#KcnlCW>kakBH z17kfXN4|2^v#FsSy>W@wAX#7+PF1F|^f?GmRt~V(fz#u8h*C}Y<9dj<G3)|<rt8I3 z<9UqIoaTXgeDHtFcp|Ci1n&e%SJ`0yZDH?QXmGOMxg_jXOSOEl4>KDkEoTV~;W%N| zqX>x>BUxR{z6JPn5cW0+glx<{vBf}CcPUw_i|);0acX(O(;|l$){s{x2Sqw#F+Kui zTR=HlTXbWVP%Izud5pLkt-CkhDR4ulS2u^*pPMFQaX1FcO1#Zl<7x6J%|PuMcTU+9 zVZNuD4V<t$Q!K%_SJhPJECnW#OzIm5YZp+~czLZgmtwx^srFO3T%JQ?-IbI&5|pjp zCvNTDF{XQmp(H9M2|{7!kNq0?O%xFGnP}qoWa6?D(r3j%kTK#@lED!gFCkFAPm=eh zMNW3!OR<(5;<gt_7Cw^C-K3g#_igdv{C|$T(x4eUBuQ`;W{=*rNOkuSS|aNFnVyq@ z&@)T|x@8IWB5%B_(a+C~?<AV6JsTb7Ei`m2d1OSYP6jqSWWy%H`@}+VFm{H#&#FTn z&2GuC?i{!xP}ptnF$id)Q5DuD8Ji}<BQ5vm2hMEvKbi=B;nl#^7GNrKna|xx5H>9o zV+7C^+9(X7yJ~5LopePmhv<eNiUH14G^3v_mJ8?GjXQyrwpmBVA042T8WMP;b@_1N z)3N;`LF88wDav_^{G#9t_}0a6(#7N#7MT|u9y-UY_qI{q$9p0=t+JMlb>t@7$fA2I z4VdckBoKJqV#8Un$>>6_8LmyJ_u20g&&Acq+xkOh;{id%YWJ4w@8j@dx;Fg*b@uCz zR;Cs+f?P%~P;u)_mF(1hnaw~T!_=O(?qOl>t0qSR^G!TLJow%9{%w?*@!;>V*hY`= zq$<a`)Q}Rbc2_v7SYYTt0N0+6Po1ftu^EPoE6QZWj$%+zVcQ6GpMK^xr+PazwA0h` zqH|VXab*eX1*UPMMv=f0_|rNylhmfO9^LNwb&8;-<vErxIPlF7b=rEBm|m@ucyo2< zm9o8upahO$bw(0=?^PS?%%=(l_Q%TQNK49bXZQBJ-pIAi&oL*aA15PrVX7YjGcA9Q zY19Q)hVqW7D{0&9U6xxVT-yEE?TZ?2+SOYr+_BTH43%Ck!V9i(D#D{)>$Y3aSG=|R zLD4H;{Y1m;`HJ%)rl<BwFWvag&$1lnN1_*){Al{}x`tusKCTs9`<F3I{508Yt6yYB zA7lbJB`4zWB*sroKJ&Wl9DvJi^qdFz-qXWe`wSQ7yD0ti7f7X}jGebTH;fj8F+NU@ z{~33GME8pgRt_pCWHr>lw85XKRj+Bj*B8x(57vOWnnS2~BU8|(rl3{pj)-`}TZ<jd z&DQHQi%Xvc>W2r{!zHH0o^b7YYUVEe>uw<fbC#u^=&OvW4?npGsD+smsV|HXE=(={ znmoG7Q;qAM9O3tVJN9mm9@a^wb_#=Y?q)`(mLBnqwX4vm^~LrN3GWGi4TfPRW~@D# z*|G3&J`28PGPvDwf)&ljI86)y89T0uJV-K}tx(OPX12^M%a_KjjhXcAHA91PwAd?` z_7MgjjP9^!l_{I}JCZ83O)CqqC7|t!7-2=Zh>h?F{(VSi0FaDiG3XQ(vaDr_&?IWH z%RE<m5$ia1$YdP0x)GS<-X1P8o}7kU?m4-?M6ndP;#FFEn;|0Z2!Abhe|BRd-z2}- zO$wg#U1n>_f^TFFg59yLs?evVD5vFpD31gtd%s%@M9GpHihOqVsXxk+=~d-c?RHw_ z`W}dTPuyMSk6~7*kXI?-V=x(zdCx9NuBr!fs<ejPQ6{t3f?D01A5oQ=9f2W}#h_Ug z{7<7+>k41Rl<ZtyQ%5P*<TQS3?de;(n6Q5Omd#>k)kd%AQzUa5rIMZAGq-B-%yj#9 zFdwrj)%Qh{=B3`<H1wZb!U76821jiOT_)<&+=ljM_@vfhy&uA4ukMH8RC<M!Bu$~C zLM5iF?!ZI6Nx62>vh35YI{%`n(e<(*?7D!Pol9cdEX}TkqEp}an2`Y?ESpfzkFp+f z1c+)cjNf)-wl#9&=f_i)zgwS9G)X|`=Y-Razvq<l^{8aD^;13@rIx&&>v|#*NL+<? zd*ZqKgMZR~@iE+kx#}xsY9`)6mT|8pL$CUxqkl3!O=|G(-pGZu$o$A<OVZ`xW{JMD zR))E_JE5flP@d_`FjJahgP^HU%a`7d?-+zc-z7Wv8H?t6FBa(jDMS8=$+Oap+7=VF zhqrHV$KGX)VU&i~bM=L~uI{u@GD0h@pXBJBTegV^3O<}7ovIU7#~=isc!@7%?dI7> zN6*Xz>wcyd^?UGI6es7>u!&7nvztHD-U@#by=vIF3hxVhT?3is{-rR&P4s%43iZMG zwcxg)Iv+;vbx_|d3;OXind<_sqZRHg6r0D(MO;wNV&>Q3+Dj&n>Rbc;3*3cy*skn# zmw??<o2E?-bN=_nC34z^ZN8^5GWj4Mw6WIo<KLI$SYkl_{QNfED~H(^UqMPtc~!aD zrQ)xSq&->U(lS|<Us;*h?<h5-uy?bOeE`U|`>eyJuIQ?Nw@)W|0!i-_%zhM4&D{ub zxkv6hK4Xz8tB*Y(A8qvjXQbKvD81$VT`c(w#j8(-{}GqK%n>MIP*N`Uh8Qtv^n7-u zzP##=dL#p+QSnXv3w?+~!E0$kk2gQ*r5pSgU7OYX;UP{8j#vj>Wmy!JlqlNetR?!b z@?-)X!bHg|>nk;029lkN3;>FLX}&~k>JRJW*8&~m?H(!boOfY23<n#7X?Z`Q*7F$5 z$tVlKr~q1c;rGK+GmwU7@SN{s!{Lhnfo1OLGI7nCT5STmm6N*8n}vE?!iYqdGV+|u zUkC%yri*mJuwN2uQ99PI%z5YEu5C_H^5ApL%ZD1RY?Wz7pBajgUZT%85cKqSlSpGX zSQHfW+*<|6kS;i#|IrZm^~KsyRCK!NOUNUAm+J~?HdU;n9R(GlknHy1N}RyT6HwSe z&_H)QBe5LrUpM^e!N_>N*i=KHUo0&83G)>Gn@;sY^k3B-=e0!T%C1UOp3RmhU-LN~ z({%%k-sTchQn<aom}`tQ%F&VnE{3zJ9z6M8BL8iY9|Q6!=<VYuj<mG`8J%lyQ>W|) z1QW(49)K<m$3tJhQc^VH1ER~61Wz;ub0PyMVBk-l1c}Fhe00Y<c)G83o`HAGA+#3k zU~Qzj-^5Oh05P194IAb~uHIqEb#KK}tY0ayu?~Hy==6kt5&j<j#Z@wt1q$%;i{HN# zLd#^F6sHt6vNAQ;{DPnDP$1R#&cSKM7mZGBn!6&MJQvi<<9cc8$5F_W=iEzUm(EsF zz!m7{KnYyxlnz_tmUSmgBAZNem>g{Ee#&53s8A49RIk1^tgX{^KDAv&<;G~>MHnW} z7fuBqSU0~@`Pmb>nD?jp@lx{R5*Fqq@0<K*mmz3B2d0MhlTWyQRt9`p99I3!sK);L zD+bM{V?icC?U~$>T8qBy1Z`^aQ(~*zQBFZHdbK2d`?2&l^NZuSW$&OJFI)WmJpELX zQ3}F#APCMXZ^z}Rax~aQjcEzpMcX<>xe6Bc`}o9lI(<sl<xa{%8VeUs6#OxM=FLNX zgYful6EAhkR5)M#NwAcO*UCO5;uhZ|k1Ah$^)qM@!TXHXO5(r?|1tbYt*bKa*Kc$} zYd;osl|$PX!X5Q85Tt=tGreAUoKk9pl!!k$JiJ=-R7o_9f5Hi=5sK6IS^}5xp%-xh zl+n*jcxQcY^N(XP=!C6<h$MVhl_xU+o=mJy$b_Bsf3@E0p^eCko&F&fu8-MG2^<T* zJzxVByV%MLv}92tUK3HDX?$kn>m!V0``R{*<|^af>p%CdWe1Hn>xVX=3Bi*s&x+>! z`3Z9dNV7uL^HvpYu!=3zqCa2rmJ_X#rOdgNwJgM?=!Lb7K(5j!C20e0yzF<7%5O?Z zl7r3D;a=6M0sXb&n|6%?*6sqiRnvTA3zGn#_$P+xli>D>gR*4G%NC5~42X2~#ur!0 zOBXZ;b{GTS8ZZ9FfF}6sV0g|j@IwNHzIt%|lYwEtr^Q6oFh<XUC(P-fB&F2UvZ~o| zXiG2EzLU8}Z`15U+VV_G45@SFT4@K4al_ZXaBcY~brjqC48hD{vyu_4VM*H(xCp#p zk}!R#Cp`|DhVc1yhr9C|N=WiZa5;4C!=C>P+Su0Vf=%!IMLKEsx8&?Hu}MmVVe^=- zEo&=9u;rMy>`_?0qYw83L=NRF@MDI1Pq>U2QFsU?S$q4s_KVqW>N2GE%jLWun%0~g zusJAL^2H<pnnQGyQ`l$vrTCr%{^_`7O6@+%?`<2f>D`@(C?8Bw-gOHMH^M2_BS#$D zvP{aRXEM#NMr|9WjinZUmp&<jKI!7M%idwVd!3xyjd<oI8rZ+Ff)SFZ$4#r^b2o}n zhcku$OC~h&;1bZp^zzNaX3d9VGPKMpOR~?U;&0xkRn;cP4FjiYF}CI(ni^-h<1Ut6 zdl4^pUi@)cj_o-h2@m;mk9sS7w_Ub~#pn2=k-ABcCYx)O;*Y>Dk$zkm$%}KDHN64P zMxX{)H%T_*l-c8Y3f`?I+D^sOskC$}r=A!Y3`5_`yIEE6eH)-twvMVmXD;l{xvqB) zhro43+PXKm1jW)#0R58Sp=p*9@B7H1ZpkVULfgqOK*&iecs~5kI^(As)4`n&S5j!l z*sJ7}I>ABvB+Vz(z+DZt#2T_9EyAgdV>GnPId^q)u#1e)+DDdF1u|(6RU$oQ6Aw*q zr?!Bh?!b`EHkE>c(e$nE06PW*PcBB1B!x>_crAcQb~U#qwdHw@sMAXj(I@!1w2BWr z{3P;2jO16O{v<WRF#Y<F>uY-9`6M2|<7pd*x2XSlB`1aYnP<_-Bk;>(Erv3l=sR>d z<%*+cZa*g#9b;Wq3)NDz%A~9S2>WM}g5$H7UgKgez4NQLAHfN(9F77qY;3<#u8O7K z7<}OyIOMrF5&mP~{lI#rKm6yWDm{f7(SowWS~PRajpi^P;HSBj8u>d}rCl4K^UT`+ zR)!@;_+~MjT`y_@MWtv;^-gnTt!6V%()m2hwzo_b(P60e`ekspu(kMuhVk<yedj&m zUw<aThu7CSVUKAd2L2=A>}dHKcRj(e2RNDmTycFzH0<;aP#2$kVou5NpLL~jWSuP= zoeG^Fzveh~U=voc&XA^zh(e=d(_Ip-8fcuMHg|aHQ+A~Zl?I}-na(K!>(qBD)B9nR zCmN7%6T6FLnxgM{%vwoGE&(Ln>Y2}P{ObmDb+TXlcDpqSsFa$BURR}tFRDrgcVU;M zJ3#!F#|y2Yd*zg6q3_2FouJvREco`nzC>KIkPNbolK;9*zO*A5WE~|B?bYB1L4RDu zIW8!&X=p6IoC~8|Ds++4Z5G$KRrL<e#h#ho0i>0_YJZw&@GDHSXRLcE`Zj&W@xDJ@ zII}3l%gFMiwW%r(cy&a*uz!h1;=tz0<J`{?T!}rCVV@|@S-I4r(IH{lwl{g}M&eNZ zbZ7ef@xvFXq3C87w+QZv*-g52y3;~DmRIYcVfGCH_uuht=(&2-MJA)G&=?m3o@D)D z%h$kOLu9ubf0>Wo=#0RZUEN>ixs@id#7h3YCX?ezk=Mj3YWN^Hbcsq*2=g$Se;EA$ zrDI&Nbb`KP_&_p&U306s@EQY1CnYk-L_$6*6}3~>b;8xkhWZew=JQ>(5{|~!rMIcd z^+dUadQiQcUI?VfaPGg)W99~Rl|9d<I1GVD2OnY-_vOLqUx31hNCG?U{G@E4g$!)o zS8*>Z?>_|0%xi{IWvo?cJ5BelhNge*>W_|6LV3<2vt-9746-L;r?JD7bbv0&#%0a% z34$Jf$kQ;<2gYabL^8;aoZv2{<RL<c<X?rklhh)ju{Wd4#ID79FTF`#utdDp|L!bP zcb~zwToirbOSXG2@T*G0#AMUhkcsyAYi`jCkX{n3A^rp6gBZ6)Hc=c7pIysz^swxQ zRCXtw0j`fYxC${>rwB(44jhFT<+HbQ(bdSgh$wrlW;Qu;a`LN5$?b|H@N_PqbUV<m z9{Yr4T~fcIrxx<v!ch)H<g4<Ad*dD+W&ZYEE~5X3psfB>@K@{<_P%m1%Nqr%6>GU+ z(^FGrDlTfxfVH~YI%d<h;pl<uQOYO!JzOn37D><3#PSAeFEpgl-(c)G5y-bXBw`b? z4O5N3PneT*gfbm29=zRpZ)Ys>^>Szb`<wF?1kY_C$xgQFH8^6Y_57!?ndZV9wyw$< zVGav^xY^S#YdO=`A)hLm`-=Y*HXpra3jz077DY#x4yVj}h^*%=-jSCNy<G-D4_XDU zi#<yVN(s|z+Axqy_%*Lba$OZFpStM}OjMgFJjQSYXDt*ePl#`Bp*D_1qou8N_&ivt z4kQQZ*1giZRlFJ0&w_^}Y}dq>wY6FafGor7bRNaz>z3R}3bi$-UB9OPM(4`|))-BY z*!>9B2oTQ?Pi$qYc_&<OpMV`Jry5}BVm0vFQnN9)>G|hX`qF~5tK*-pP~7U_+w+KJ zj$eWx$6p~g;avB*fPiX8#U_cFu$5?#bD!Y~#k;bhTYM5VG#07b3D=BH5zPfs^nI}v zu~s+ePX?{_(UbZ`k357L!`b%w$~{{bO?1fqj~2qX3eWHpfwv6QUv6nh<}jyMrr$m` zVDmjSp<Ugp2;7h&d4Yc2bp0wNfA=J>=~&}Z7h7u(%iy;=0?t97?a37vb?6;&TY`PU z#`s_`01hX_%>#<iEKgR5)zaVElKdoaPurFmba-n6O+cyL3fo@O|7g)?6|OZXVR%cI z7djQq$MoruAuv{D8*VdaSQ!eBR$S*z8?9|ol2MKu=(55ao-D&umKKZEyxyk_sb>CG zId^CrLn0ytdl-uzsCG<K-1qy8O<0aWEPpC$qpXoli4KD$W{0{%^E*`+o*Ss$%0_hq zLCOMce2b@Ue2ZZQZZzqi(&x2HX#_zb-q-VFwC9&uY#R8UX6s8s`Z6>k>uGjk{IfMP zZJXRAO6=SQr3D*uK3ds80oA^EwYoox(Oyp;+Ujrfdf7EaiPkEA?kbmk9TH)4!}t7n ztgp=cU`Eo$0{UpVpVC|`wVLC}L~7pH*~1<>5>1$nh)7m@8;pBsk&QZpcE=L23W`4f zSM*M!DCcO?O4Y*jtyRMh?t1Ey4wTAYsuJD$4<CI}u6*gt)VefMtN&+8cf#pMnci9p z)gy{F>atzn2;Jx5GJWen1}+*c(@rV2r-fJwF)i=Z+lMN9H)TI~_QdA8r>I9%q3Nz8 zvZsHYayAJNkxej3t`w%CYJ(4y>)cLx-t^e&G`v<|TK`9C)S(-75|i`&Sy21%ulW?- z?<84^tp{`Uj+wO0Om8GE_6ptSw-vw^DI_^<eepa?TM9lBWAWsEBadkRH*-@FFYMt8 znF1+}_y0+}^tW>uc(3jb1L7%MNhMXJfzui`7ha0sr=m!`RUU56%d}R91J4C_BFe>z zhVD<pZnL+OS|{Yoe2OObfu4v(ZC4}H04L4DTr1PM{iCgK{!6VhZw`l6HRKX&_@~&y z#oIL}9|ckmJGA!zaHd`+YjEd&O{o=7VAlU|PKRxdTeF94;GrU!EOaehx%ke?I`F3E zJ}u|1mcjj_R77ual0|{?FOQ3G2%VDwdzcaECB75kTv){;ru14#oF6Km{5y((bLN%a zGWtWzut<P1;&3Q1vWRhn><(SGdyPHL2Llr}N^O9J=Z!%K6Y&srugYyLaUaqAgZh6@ zRz<pP;2Sg)lsSA96zczwH~L><1fj;m?n^+TueaP7QmnEE^-i57u}n@8=D7?Uktm9c zI?rrz(-i$VB>Z~1m&G6)Rya~J-kBmPB)%`ATt7<Lw7j}%x;{TW_~qT*5jj-(x)a8_ z?E9Ux`_uE~L$qhdpSL=8FMI`Z#@FUM(|Sdg9<Zo&PhJu5Y4(8Yd+A&(KjE3K#t{@! zDH^)5e?|{Hx)IJgu;EjveT=CL-oU~e$uykQNkEgtj?Vj1J>fO)%_ioSb0qd5Pj#(Q zf1Xm()9uwqR8Bt=uRJY9>o>360b?vpT931Pd3A4Mt1?<}19%!dPI@RrWZo`AjRRl= znz|*a&2}b7Sp%geW4Je)+wv`rpHQBE_x*#xJHg)YHqgM{J>ivb$3a3AL6fMh;cW;u z-h01Lf9&ag8$M0i9zzR&?&vW8kGoCc_Y&`<#5ikq<G76KhkrcB@jh=%B|b7$pJ8^q z_y)_$z2HIlVN3aP)aFb`iK-N$P`&sQBXyZGLjq4#F&it=fJg(q?|&X-xv(lDt@S85 z@v2{_n90{@QcWl?Evz{p9+ocm)Anc3ma3E+COxOm_tOq%<dHjY<B?P1ylyGan8B8E zJx6rRI%1lpaq-lC6?p)2hjwiy+AysLx^c|6rMK*;rpy7USX)U%T6z&(#F855P-9e6 z+kjM@cgg9b2at7?*WW2&5iI#heh>DjE*Y==rS>#sQ&#||v8#y#yMO3+b?up<U1g9t zh$lT(1v<-EI`EZdZi0@|E9kiM%L>8zC>^EqG`ApB=J|Jb%%33vxg=l0UQ#{(od95V zY@swp-V=9oNbLK$B$btnD%-J2?$ZgK=+)W?Ze8}^@G;L>+8ssW<PLe6#=EXDv$ATe zH&EIgGKUy{ZEs|SABpo#n&CG#JjW}^V*}3HluVg{-~Z&~h!jpbSl(d?OHY8})mPfh zB#%D@A0+676_>!TqJ5b!Xe#bB<Ts;n<tqwev)yY7XUNaY0j|7dMXtxCD^S}yWR>XL z`E)(^VoqXSw-``&6FK+~)Do_<`5v#0B&XgCxBu|i|D;K_wSyF_*k7O8@{PMzpE~Ai zYD?NGUQP-4d+JBsWqg?2rZ?a~yG@>V6Px<8%#Q6*M-m2KhBtd<7Vj%2rv=)mKJa&b zIO&U_R55;UE4mYx+tt+JxBu5$jo+o%>LR@~3VEme58le_>~8CgJ3KZ%rk>*e-?`;i zW9qzW<C^2#s$*XNlVdLM|IIPi0b<xNKrxbl3P1)5WVZPeR8q8miI@z$Py^HlqM|Ix zGXEpH9cc^-Qmp}{JmRls|1Mdc*WS+I?<l<1A5;NIbq7xNe@dbJ)%Kq#n@H?`hhk+9 z@^%aSOCsR!SmuX|86PE4QTohLQ7HZb`me1#LL}nfWAO&K{~hG-+AM!z{L>)XZuEa| z(Elj4{2lA>3KsvulJ7(RZ#|3u-RR$GCH$)q0qj2*@PCyP{x{%XYBPTU{BIqDzxMyy z$|GR^5%7Ph8vGsZ@2UoWLH+Xtq4)oL`~K26_&dtq)e8PX`D-hW@cvII|IjY@JI>!j z{a+aWv?lcaKUjkd{C`LJdkp*+O3lN+NBOUa_;;YcyXU`vOi-Wx+tKq6XVp=Eit*Q> Qc*vVMG8V5P4@N=xe}z<I-2eap literal 0 HcmV?d00001 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci new file mode 100644 index 00000000..c5b137f1 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci @@ -0,0 +1,1430 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_xbar_1</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_crossbar" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_BUSIF">M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_RESET">ARESETN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RSTIF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RSTIF.TYPE">INTERCONNECT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">256</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_PROTOCOL">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONNECTIVITY_MODE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000000000000010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0xffffffffffffffff0000000080000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_CONNECTIVITY">0xFFFFFFFFFFFFFFFF</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_ISSUING">0x0000000800000002</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_SECURE">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_WRITE_CONNECTIVITY">0xFFFFFFFFFFFFFFFF</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_WRITE_ISSUING">0x0000000800000002</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_ADDR_RANGES">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_MASTER_SLOTS">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_R_REGISTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_ARB_PRIORITY">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_BASE_ID">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_READ_ACCEPTANCE">0x00000008</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_SINGLE_THREAD">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_THREAD_ID_WIDTH">0x0000000c</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_WRITE_ACCEPTANCE">0x00000008</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_RANGES">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONNECTIVITY_MODE">SAMD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_xbar_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A00_ADDR_WIDTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A00_BASE_ADDR">0x0000000080000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_READ_ISSUING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_WRITE_ISSUING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_READ_ISSUING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_WRITE_ISSUING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_SI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.R_REGISTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_BASE_ID">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_READ_ACCEPTANCE">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_WRITE_ACCEPTANCE">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_BASE_ID">0x00001000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_BASE_ID">0x00002000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_BASE_ID">0x00003000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_BASE_ID">0x00004000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_BASE_ID">0x00005000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_BASE_ID">0x00006000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_BASE_ID">0x00007000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_BASE_ID">0x00008000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_BASE_ID">0x00009000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_BASE_ID">0x0000a000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_BASE_ID">0x0000b000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_BASE_ID">0x0000c000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_BASE_ID">0x0000d000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_BASE_ID">0x0000e000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_BASE_ID">0x0000f000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STRATEGY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">10</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RSTIF.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_RANGES" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_MI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_SI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.PROTOCOL" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_READ_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_THREAD_ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_WRITE_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.STRATEGY" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml new file mode 100644 index 00000000..5efeffef --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml @@ -0,0 +1,35443 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_xbar_1</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>RSTIF</spirit:name> + <spirit:displayName>RSTIF</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RSTIF.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TYPE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RSTIF.TYPE">INTERCONNECT</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLKIF</spirit:name> + <spirit:displayName>CLKIF</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:displayName>aclk frequency</spirit:displayName> + <spirit:description>aclk frequency</spirit:description> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ" spirit:minimum="1" spirit:maximum="1000000000" spirit:rangeType="long">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_BUSIF">M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_RESET">ARESETN</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S00_AXI</spirit:name> + <spirit:displayName>S00_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">256</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S00_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M00_AXI</spirit:name> + <spirit:displayName>M00_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M00_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S01_AXI</spirit:name> + <spirit:displayName>S01_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S01_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M01_AXI</spirit:name> + <spirit:displayName>M01_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M01_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S02_AXI</spirit:name> + <spirit:displayName>S02_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + <